diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..e3117b5 --- /dev/null +++ b/.gitignore @@ -0,0 +1,2 @@ +*-backups +*.kicad_prl \ No newline at end of file diff --git a/.gitmodules b/.gitmodules new file mode 100644 index 0000000..f772862 --- /dev/null +++ b/.gitmodules @@ -0,0 +1,3 @@ +[submodule "hellen-one"] + path = hellen-one + url = https://github.com/andreika-git/hellen-one diff --git a/fp-info-cache b/fp-info-cache new file mode 100644 index 0000000..3ba834a --- /dev/null +++ b/fp-info-cache @@ -0,0 +1,8 @@ +1630130251862 +Package_SO_J-Lead +TSOC-6_3.76x3.94mm_P1.27mm +Maxim Integrated TSOC-6 D6+1,https://datasheets.maximintegrated.com/en/ds/DS2401.pdf, https://pdfserv.maximintegrated.com/land_patterns/90-0321.PDF +TSOC-6 +0 +6 +6 diff --git a/fp-lib-table b/fp-lib-table new file mode 100644 index 0000000..06fa38e --- /dev/null +++ b/fp-lib-table @@ -0,0 +1,6 @@ +(fp_lib_table + (lib (name "lib")(type "KiCad")(uri "${KIPRJMOD}/lib")(options "")(descr "")) + (lib (name "hellen-one-wbo-0.2")(type "KiCad")(uri "${KIPRJMOD}/hellen-one/modules/wbo/0.2")(options "")(descr "Hellen-One WBO Module")) + (lib (name "hellen-one-can-0.1")(type "KiCad")(uri "${KIPRJMOD}/hellen-one/modules/can/0.1")(options "")(descr "Hellen-One CAN Module")) + (lib (name "hellen-one-common")(type "KiCad")(uri "${KIPRJMOD}/hellen-one/kicad/footprints")(options "")(descr "Hellen-One common library")) +) diff --git a/hellen-one b/hellen-one new file mode 160000 index 0000000..fbff3c1 --- /dev/null +++ b/hellen-one @@ -0,0 +1 @@ +Subproject commit fbff3c1f9bf5e63db24b0c0267715444ee65e276 diff --git a/lib/8-1393476-0.kicad_mod b/lib/8-1393476-0.kicad_mod new file mode 100644 index 0000000..8a30f5b --- /dev/null +++ b/lib/8-1393476-0.kicad_mod @@ -0,0 +1,121 @@ +(footprint "8-1393476-0" (version 20210824) (generator pcbnew) (layer "F.Cu") + (tedit 61520E1A) + (attr through_hole) + (fp_text reference "REF**" (at -69.100075 -7.810275) (layer "F.SilkS") + (effects (font (size 1.001961 1.001961) (thickness 0.015))) + (tstamp 48c2f565-4def-4124-a1d8-8227281a6bf7) + ) + (fp_text value "TE_8-1393476-0" (at -63.41649 33.503735) (layer "F.Fab") + (effects (font (size 1.00026 1.00026) (thickness 0.015))) + (tstamp e3a9add2-7d1e-4dba-8672-59afe8db6076) + ) + (fp_text user "PCB~{EDGE}" (at 10.5063 21.763) (layer "F.Fab") + (effects (font (size 1.400835 1.400835) (thickness 0.015))) + (tstamp ecf4f8d1-4657-453b-80b5-3b0d2cd58f24) + ) + (fp_line (start -71.95 -6.76) (end -71.95 32.24) (layer "F.SilkS") (width 0.127) (tstamp 58e4895e-1f4c-4f40-b917-555f6a5a06c4)) + (fp_line (start 71.95 32.24) (end 71.95 -6.76) (layer "F.SilkS") (width 0.127) (tstamp 743d803c-9407-445a-90b8-bd7d5666688a)) + (fp_line (start 71.95 -6.76) (end -71.95 -6.76) (layer "F.SilkS") (width 0.127) (tstamp 9d2dcafa-8f72-4200-bf73-c43d195dd819)) + (fp_line (start -71.95 32.24) (end 71.95 32.24) (layer "F.SilkS") (width 0.127) (tstamp a2305344-c21c-4c84-bec7-caf22649068c)) + (fp_circle (center -56 -7.5) (end -55.85 -7.5) (layer "F.SilkS") (width 0.3) (fill none) (tstamp ff054712-7963-4279-9de3-d6053ec89197)) + (fp_line (start -72.25 32.5) (end 72.25 32.5) (layer "F.CrtYd") (width 0.05) (tstamp 07f1e883-c06d-4fbd-91b2-1da49f5c421e)) + (fp_line (start 72.25 -7) (end -72.25 -7) (layer "F.CrtYd") (width 0.05) (tstamp b71a77a1-ec37-4a22-9c81-dd6d5197b751)) + (fp_line (start -72.25 -7) (end -72.25 32.5) (layer "F.CrtYd") (width 0.05) (tstamp ca9035af-6edb-4a49-ab07-b126f2728740)) + (fp_line (start 72.25 32.5) (end 72.25 -7) (layer "F.CrtYd") (width 0.05) (tstamp f47af344-3b45-4be2-a6b4-4a662e5f2d2b)) + (fp_line (start -71.95 -6.76) (end -71.95 19.54) (layer "F.Fab") (width 0.127) (tstamp 39ba09eb-cb20-43b1-98b7-e6c7deb32bea)) + (fp_line (start 71.95 19.54) (end 71.95 -6.76) (layer "F.Fab") (width 0.127) (tstamp 57f36f89-85ae-40fb-b2e0-1b83e596f00c)) + (fp_line (start -71.95 19.54) (end 71.95 19.54) (layer "F.Fab") (width 0.127) (tstamp 5c351cd1-3ff8-464c-8a07-3ed6341f3d1f)) + (fp_line (start -71.95 19.54) (end -71.95 32.24) (layer "F.Fab") (width 0.127) (tstamp d01f28a8-76b9-4e69-b04e-ca28b5d770da)) + (fp_line (start -71.95 32.24) (end 71.95 32.24) (layer "F.Fab") (width 0.127) (tstamp d49839be-08e4-4145-ba8b-06a48dbd6cd9)) + (fp_line (start 71.95 32.24) (end 71.95 19.54) (layer "F.Fab") (width 0.127) (tstamp ec3ca17b-25ce-42b4-8107-a40fa6c286de)) + (fp_line (start 71.95 -6.76) (end -71.95 -6.76) (layer "F.Fab") (width 0.127) (tstamp f85894e0-a3bb-44bd-b4fb-9111a67a2df3)) + (pad "" np_thru_hole circle locked (at 63.6 4.54) (size 3.7 3.7) (drill 3.7) (layers *.Cu *.Mask) (tstamp 05b0beb5-d3ce-4d20-b388-9da7f7a39cca)) + (pad "" np_thru_hole circle locked (at -63.16 4.54) (size 3.7 3.7) (drill 3.7) (layers *.Cu *.Mask) (tstamp 8ee22069-051e-489a-9370-f84efd7cea43)) + (pad "1" thru_hole rect locked (at -55.9 -4.96) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask) (tstamp d23ceaa7-763a-4436-a22c-e88cf33735a0)) + (pad "2" thru_hole circle locked (at -49.4 -4.96) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask) (tstamp 1ac9659f-bfb6-4113-9ad3-12b21f73665a)) + (pad "3" thru_hole circle locked (at -40.65 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 20319adc-5246-49a3-9295-8baca08cb9d6)) + (pad "4" thru_hole circle locked (at -36.35 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 3f5c05bf-d8ee-41b6-a187-689c0d211402)) + (pad "5" thru_hole circle locked (at -32.05 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 56646bd1-b464-4184-92fa-7f91e75f8606)) + (pad "6" thru_hole circle locked (at -27.75 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 72596e55-b2fa-427b-9cab-2031cb4adfdd)) + (pad "7" thru_hole circle locked (at -23.45 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp fa1151eb-49e0-4271-89b1-52f8881450a6)) + (pad "8" thru_hole circle locked (at -19.15 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp f8d9fe36-134a-4006-94e6-822ba2a29a09)) + (pad "9" thru_hole circle locked (at -14.85 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 805089cf-912a-4c44-9af5-10bf7d79ac79)) + (pad "10" thru_hole circle locked (at -10.55 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 5b7185bf-fa2b-4c1d-acd0-33d6bd3860b8)) + (pad "11" thru_hole circle locked (at -6.25 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp b873e8de-4b46-49c0-90d8-9e518c488ca9)) + (pad "12" thru_hole circle locked (at -1.95 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 5d12d959-8aff-443a-a147-5fb85abe1749)) + (pad "13" thru_hole circle locked (at 2.35 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 31839763-a4a6-4f23-8a31-64a9bdc15fdc)) + (pad "14" thru_hole circle locked (at 6.65 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp dd02264f-15bc-4575-8fda-bc13eb8401f5)) + (pad "15" thru_hole circle locked (at -38.5 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp cab7ac97-687e-43e8-a8e1-8dff0bead63a)) + (pad "16" thru_hole circle locked (at -34.2 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 3d462c2f-d048-49ef-a530-be51abf3e393)) + (pad "17" thru_hole circle locked (at -29.9 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 86379eef-ec01-46ae-82e1-9d6e929bd3f6)) + (pad "18" thru_hole circle locked (at -25.6 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp b0d42ccc-faf8-4a27-9081-0528347c2bb6)) + (pad "19" thru_hole circle locked (at -21.3 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp a280b041-b4ba-403a-a90b-be6f92804ba5)) + (pad "20" thru_hole circle locked (at -17 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp b9beb579-0c28-4701-82bb-268e52e8a040)) + (pad "21" thru_hole circle locked (at -12.7 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 29c3c53e-c5d7-4300-9fcf-bc1209fe8129)) + (pad "22" thru_hole circle locked (at -8.4 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp d44e5ef3-a57d-4033-8aac-c476173e58e8)) + (pad "23" thru_hole circle locked (at -4.1 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 6cbf3a67-ffb5-4f76-a69e-76d3aae3fbff)) + (pad "24" thru_hole circle locked (at 0.2 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp b63b0d75-3ff3-4582-8303-3e84f7b86b9b)) + (pad "25" thru_hole circle locked (at 4.5 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 6f6b4d97-ce51-4ad2-9580-9bf601bf0e6e)) + (pad "26" thru_hole circle locked (at 8.8 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 1b46f7b8-7a2c-480b-bfac-baee4fc51dda)) + (pad "27" thru_hole circle locked (at -52.65 2.54) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask) (tstamp dcb662e6-50cf-4a7d-b028-5dfd9b1b9c92)) + (pad "28" thru_hole circle locked (at -46.15 2.54) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask) (tstamp 46f58363-34a6-4dc9-8c45-2cf441e7a388)) + (pad "29" thru_hole circle locked (at -40.65 -2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 88abbc05-473f-4c98-9bdf-be230ebfa6e5)) + (pad "30" thru_hole circle locked (at -36.35 -2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp c83910bb-7402-412c-8b8b-65fcfee90b7b)) + (pad "31" thru_hole circle locked (at -32.05 -2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 26d3a53f-10c1-422b-8660-34b52978898f)) + (pad "32" thru_hole circle locked (at -27.75 -2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 81279026-ab72-4a68-a7c2-b04d105dee3d)) + (pad "33" thru_hole circle locked (at -23.45 -2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp bd6c3971-703c-4394-9275-ae536ed072eb)) + (pad "34" thru_hole circle locked (at -19.15 -2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 9106c216-a8dd-4550-ba94-d9f3654c2770)) + (pad "35" thru_hole circle locked (at -14.85 -2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 49dadca6-372c-4866-8632-1da496564d14)) + (pad "36" thru_hole circle locked (at -10.55 -2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp f0973d65-8d2f-41c9-aed8-45a033f4fa6c)) + (pad "37" thru_hole circle locked (at -6.25 -2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 0cbf64fa-d832-4ff1-97a5-170cc25b4bdf)) + (pad "38" thru_hole circle locked (at -1.95 -2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp b54ac2d5-0576-40d8-9c64-a5d90678d4b9)) + (pad "39" thru_hole circle locked (at 2.35 -2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 6687c72a-186b-4a13-9a9d-5cd74213e45c)) + (pad "40" thru_hole circle locked (at 6.65 -2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 2339832e-990c-49a6-bad4-dbc3fe0a4941)) + (pad "41" thru_hole circle locked (at -38.5 0) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp e56ab7fc-1655-4568-8697-549fc9097229)) + (pad "42" thru_hole circle locked (at -34.2 0) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp ed0164ff-04d4-4d2f-9030-c3f3636cc28e)) + (pad "43" thru_hole circle locked (at -29.9 0) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 7dde80af-9364-4416-b5c2-26534b8f7ab3)) + (pad "44" thru_hole circle locked (at -25.6 0) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 65d08605-7565-4f2b-9094-615a26ef81c3)) + (pad "45" thru_hole circle locked (at -21.3 0) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp e1e5e91f-f9f0-4d60-82b6-82876cd7a91f)) + (pad "46" thru_hole circle locked (at -17 0) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp f9066088-b832-4758-a7d0-c988c675a1a4)) + (pad "47" thru_hole circle locked (at -12.7 0) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp dbdb5a75-03c9-49a4-8529-2d27a6bd17cb)) + (pad "48" thru_hole circle locked (at -8.4 0) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 95e1f99b-b879-48f9-a603-8578cbc85d4d)) + (pad "49" thru_hole circle locked (at -4.1 0) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 1d91fb51-5d13-4913-b07a-11c6de4da513)) + (pad "50" thru_hole circle locked (at 0.2 0) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 60dbe2e9-e151-4f10-8066-c794e3cfcb82)) + (pad "51" thru_hole circle locked (at 4.5 0) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 3debf577-422b-4c55-a603-e349f5c1a0fe)) + (pad "52" thru_hole circle locked (at 8.8 0) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 8f95d54f-0aa4-4539-a66c-3befa5e1190d)) + (pad "53" thru_hole circle locked (at 24.45 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 28768c0f-4985-4d93-99c3-e3dcaaae2809)) + (pad "54" thru_hole circle locked (at 28.75 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp ec49555a-b457-478c-8df5-78b8d3f41f59)) + (pad "55" thru_hole circle locked (at 33.05 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 6744d441-91cb-4705-9162-43c9d3993032)) + (pad "56" thru_hole circle locked (at 37.35 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 4655dc1e-b1dc-4618-bbeb-8ed6057b98dc)) + (pad "57" thru_hole circle locked (at 41.65 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 7389fcb5-e430-45b5-bd8b-34f17d0fc1cc)) + (pad "58" thru_hole circle locked (at 45.95 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 087d3fa0-bf3e-49c3-b1ab-7e562fc73dbd)) + (pad "59" thru_hole circle locked (at 50.25 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 8db56013-1126-4bf7-9565-da82dc3145ce)) + (pad "60" thru_hole circle locked (at 26.6 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 5dd89f9d-af74-4ef1-a09d-4403eeb3597a)) + (pad "61" thru_hole circle locked (at 30.9 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 2f81ac49-a7ff-4c6a-8095-9b8e34d3f14f)) + (pad "62" thru_hole circle locked (at 35.2 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp f80c4594-6c4a-4692-87fe-3b0967c17db6)) + (pad "63" thru_hole circle locked (at 39.5 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 0f1d242c-5f04-47c4-a79b-ff008ded44d1)) + (pad "64" thru_hole circle locked (at 43.8 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp fa095616-a631-4fcb-b399-6ab60021ab03)) + (pad "65" thru_hole circle locked (at 48.1 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp b3627dbb-4537-40f0-bb6d-2ff9335e5f03)) + (pad "66" thru_hole circle locked (at 52.4 2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp ed3a9c37-3b36-40c6-98c1-902baa4e20bb)) + (pad "67" thru_hole circle locked (at 24.45 -2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 18e7d4d8-1a28-484e-a3fd-f61e5f4df714)) + (pad "68" thru_hole circle locked (at 28.75 -2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 3871c566-8f45-4fed-9b40-e662fa1c1967)) + (pad "69" thru_hole circle locked (at 33.05 -2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp ad04a523-5a6a-484e-991d-19752119ee9a)) + (pad "70" thru_hole circle locked (at 37.35 -2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 586dd3d9-6420-4676-b082-0ca70a2f1981)) + (pad "71" thru_hole circle locked (at 41.65 -2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 1da544a1-cc0f-4250-a99b-d120aafd94dd)) + (pad "72" thru_hole circle locked (at 45.95 -2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 69f4ba14-3b51-4333-b0f8-d7dd860db570)) + (pad "73" thru_hole circle locked (at 50.25 -2.54) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 2684effd-9960-4bf7-8820-1875d2eb7415)) + (pad "74" thru_hole circle locked (at 26.6 0) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 4fe05a78-03bd-470e-a8d7-948e448cee74)) + (pad "75" thru_hole circle locked (at 30.9 0) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp fbca7f03-0f20-4f55-97ad-49a710b60234)) + (pad "76" thru_hole circle locked (at 35.2 0) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp c1db8a87-adc0-426b-ab14-96f23ee30e03)) + (pad "77" thru_hole circle locked (at 39.5 0) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 37026091-6197-43a4-bba5-b575f0e29df8)) + (pad "78" thru_hole circle locked (at 43.8 0) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 915efe47-e01d-4898-950c-b63fb8a40647)) + (pad "79" thru_hole circle locked (at 48.1 0) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 92db0943-1ec6-4cdd-a283-eabf79c33947)) + (pad "80" thru_hole circle locked (at 52.4 0) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 559ae15b-7271-42ec-834a-feef809e4483)) + (pad "81" thru_hole circle locked (at -60.7 -3.26) (size 3.516 3.516) (drill 2.5) (layers *.Cu *.Mask) (tstamp 3ea1c4cc-573e-43f0-8e3d-b8bb4d71e4d4)) + (pad "82" thru_hole circle locked (at 58.1 -3.26) (size 3.516 3.516) (drill 2.5) (layers *.Cu *.Mask) (tstamp 3aa49443-c59f-4690-8326-cab53e04c647)) + (model "${KIPRJMOD}/lib/c-8-1393476-0-b-3d.stp" + (offset (xyz 0.25 -28 13.5)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) +) diff --git a/lib/8-1393476-0.kicad_sym b/lib/8-1393476-0.kicad_sym new file mode 100644 index 0000000..bce69a8 --- /dev/null +++ b/lib/8-1393476-0.kicad_sym @@ -0,0 +1,343 @@ +(kicad_symbol_lib (version 20210619) (generator kicad_symbol_editor) + (symbol "8-1393476-0:8-1393476-0" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 0.5334 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Value" "8-1393476-0" (id 1) (at 0 -213.36 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Footprint" "lib:8-1393476-0" (id 2) (at 5.08 -36.83 90) + (effects (font (size 1.27 1.27)) (justify left bottom) hide) + ) + (property "Datasheet" "MANUFACTURER RECOMMENDATIONS" (id 3) (at 5.08 -36.83 90) + (effects (font (size 1.27 1.27)) (justify left bottom) hide) + ) + (symbol "8-1393476-0_0_1" + (rectangle (start 0 0) (end 11.43 -210.82) + (stroke (width 0.1524)) (fill (type none)) + ) + ) + (symbol "8-1393476-0_1_1" + (pin passive line (at 16.51 -2.54 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -25.4 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -27.94 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -30.48 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -33.02 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -35.56 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -38.1 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -40.64 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -43.18 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -45.72 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -48.26 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -5.08 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -50.8 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -53.34 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -55.88 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -58.42 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -60.96 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -63.5 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -66.04 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -68.58 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -71.12 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -73.66 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -7.62 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -76.2 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -78.74 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -81.28 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -83.82 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -86.36 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -88.9 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -91.44 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -93.98 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -96.52 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -99.06 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -10.16 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -101.6 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -104.14 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -106.68 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -109.22 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -111.76 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -114.3 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -116.84 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -119.38 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -121.92 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -124.46 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -12.7 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -127 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -129.54 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -132.08 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -139.7 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -142.24 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -144.78 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -147.32 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -149.86 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -152.4 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -154.94 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -15.24 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -157.48 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -160.02 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -162.56 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -165.1 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -167.64 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -170.18 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -172.72 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -175.26 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -177.8 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -180.34 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -17.78 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -182.88 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -185.42 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -187.96 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -190.5 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -193.04 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -195.58 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -198.12 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -200.66 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -203.2 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -205.74 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -20.32 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -208.28 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -22.86 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) +) diff --git a/lib/ampseal-23.kicad_mod b/lib/ampseal-23.kicad_mod new file mode 100644 index 0000000..3b7bf36 --- /dev/null +++ b/lib/ampseal-23.kicad_mod @@ -0,0 +1,56 @@ +(footprint "ampseal-23" (version 20210824) (generator pcbnew) (layer "F.Cu") + (tedit 614A7416) + (attr through_hole) + (fp_text reference "REF**" (at 0 8) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ec67a471-271e-49a7-89b5-a6d4b730dfcf) + ) + (fp_text value "ampseal-23" (at 0 -8) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8da333c3-bc8e-4675-8ef8-95804f9ea9fa) + ) + (fp_line (start -30.5 9) (end 30.5 9) (layer "F.SilkS") (width 0.12) (tstamp 35b27ca4-e67b-4d47-8cb5-bbe6ca9e22d7)) + (fp_line (start -30.4 -16.05) (end 30.4 -16.05) (layer "F.SilkS") (width 0.5) (tstamp 43a834b9-7c96-4964-9def-4ee1df45345e)) + (fp_line (start 30.4 -16.05) (end 30.4 16.05) (layer "F.SilkS") (width 0.5) (tstamp 4a8050b4-9edb-4c55-b099-c795a641a1c4)) + (fp_line (start 30.4 16.05) (end -30.4 16.05) (layer "F.SilkS") (width 0.5) (tstamp 7c3aa3fa-21fe-4b8c-940c-0f85a8ab97f1)) + (fp_line (start -30.4 16.05) (end -30.4 -16.05) (layer "F.SilkS") (width 0.5) (tstamp dfea1c2b-fbab-46d7-9f4e-e37ae7ad0336)) + (pad "" np_thru_hole circle (at -20.5 0.5) (size 2.85 2.85) (drill 2.85) (layers F&B.Cu *.Mask) (tstamp 1625a161-5a2d-4ed6-978d-8aa232b88de5)) + (pad "" np_thru_hole circle (at -25.2 7.3) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp 1a690a7f-bb87-4117-a404-d2c027b51870)) + (pad "" np_thru_hole circle (at 25.2 7.3) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp 42793b4e-63ab-404e-b9f9-38ba7d6d08ac)) + (pad "" np_thru_hole circle (at -23.7 -10.8) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp 8737e4d3-41da-4762-88cf-fd21dd2a9b74)) + (pad "" np_thru_hole circle (at 20.5 0.5) (size 2.85 2.85) (drill 2.85) (layers F&B.Cu *.Mask) (tstamp a93cb500-0fd3-432c-89b8-93b4db19e1cd)) + (pad "" np_thru_hole circle (at 23.7 -10.8) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp e1d7a29c-74fd-4ac3-913f-cf8529f88e74)) + (pad "1" thru_hole circle (at -14 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp fec9b069-6a2c-4ad1-ac1d-69143e219373)) + (pad "2" thru_hole circle (at -10 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 672ed096-fd8c-4a01-8e88-48a25ca59ede)) + (pad "3" thru_hole circle (at -6 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp cdfbfd2c-8673-4472-8558-62625d9d3c0a)) + (pad "4" thru_hole circle (at -2 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 48edd161-1b4d-4fac-a70e-e1901f548d47)) + (pad "5" thru_hole circle (at 2 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp f2000acb-61d3-480e-a458-b4ced6ffdac5)) + (pad "6" thru_hole circle (at 6 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 37e94aed-99e7-4684-aea9-c9e012c24ed9)) + (pad "7" thru_hole circle (at 10 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 58a2c08c-5758-4950-84ec-56fe70c04826)) + (pad "8" thru_hole circle (at 14 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 9d5ebaaa-1c8b-432e-9f9a-457bf78f6e74)) + (pad "9" thru_hole circle (at -12 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 6fde404d-02ff-4370-8205-9185c214dd11)) + (pad "10" thru_hole circle (at -8 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp dc02de86-86d3-4ce5-aeb2-6745b919008f)) + (pad "11" thru_hole circle (at -4 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 0f3fb1fb-69a0-4ae6-8a5f-365fe1eb2a15)) + (pad "12" thru_hole circle (at 0 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp b4287615-ba3c-47cc-9951-c78f86b8b3c3)) + (pad "13" thru_hole circle (at 4 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 7600e0ea-3877-4af3-86fd-8a212d22dc55)) + (pad "14" thru_hole circle (at 8 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp b2af3026-941c-4b01-ab86-b6d044748d63)) + (pad "15" thru_hole circle (at 12 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 0d1fa6f4-775b-484b-bf32-86beb7d3e256)) + (pad "16" thru_hole circle (at -14 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 8721c7a2-eb18-4a79-ba6c-cd7552c9a410)) + (pad "17" thru_hole circle (at -10 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 43e51784-b330-49a4-8890-2034cb14b9ec)) + (pad "18" thru_hole circle (at -6 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 0d7edf78-2763-4a0d-a11d-5e82076a6e96)) + (pad "19" thru_hole circle (at -2 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 5809ea26-7649-4c9e-bf02-4fc129f8bd39)) + (pad "20" thru_hole circle (at 2 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 0ea71d0d-9ecc-4b2f-a3d4-42e100215f6f)) + (pad "21" thru_hole circle (at 6 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 26ee2ef2-30a9-4dc5-a8d3-3b564efb4f91)) + (pad "22" thru_hole circle (at 10 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp f3003c4d-dd1d-44c8-9ff6-1578609d921e)) + (pad "23" thru_hole circle (at 14 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 784cb82e-352a-4eb1-a724-a349b8046cae)) + (model "${KIPRJMOD}/lib/c-776228-1-e-3d.stp" hide + (offset (xyz 0 0 34.5)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KIPRJMOD}/lib/c-770669-1-n-3d.stp" + (offset (xyz 0 -31.75 11.5)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) +) diff --git a/lib/ampseal-35-2.kicad_mod b/lib/ampseal-35-2.kicad_mod new file mode 100644 index 0000000..e8dba16 --- /dev/null +++ b/lib/ampseal-35-2.kicad_mod @@ -0,0 +1,68 @@ +(footprint "ampseal-35-2" (version 20210824) (generator pcbnew) (layer "F.Cu") + (tedit 614A742C) + (attr through_hole) + (fp_text reference "REF**" (at -36.068 -17.526) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4f97a9ef-3cca-40e4-8d46-0e430614575b) + ) + (fp_text value "ampseal-35-2" (at 0 -8) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6609fc8d-0e56-4129-9c89-468647681048) + ) + (fp_line (start -38.45 -16.05) (end 38.45 -16.05) (layer "F.SilkS") (width 0.5) (tstamp 70cb12c0-587b-48a7-ba48-252a52d2114a)) + (fp_line (start -38.354 9) (end 38.354 9) (layer "F.SilkS") (width 0.12) (tstamp 755ad2b5-2983-43de-b3c9-f48f5aceea00)) + (fp_line (start -38.45 16.05) (end 38.45 16.05) (layer "F.SilkS") (width 0.5) (tstamp b8b3203a-4e63-4d7c-8b4a-aa4fd2e0977f)) + (fp_line (start -38.45 -16.05) (end -38.45 16.05) (layer "F.SilkS") (width 0.5) (tstamp c51701a6-66e7-4345-9ec5-3be7649a52e4)) + (fp_line (start 38.45 -16.05) (end 38.45 16.05) (layer "F.SilkS") (width 0.5) (tstamp e79097c2-a0ec-4f50-806a-9754d4f7fa56)) + (pad "" np_thru_hole circle (at -33.2 7.3) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp 084c1c86-5046-4880-ba13-2ee9d7705879)) + (pad "" np_thru_hole circle (at -31.7 -10.8) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp 44d16a83-3e04-4213-9785-44068458577f)) + (pad "" np_thru_hole circle (at -28.5 0.5) (size 2.85 2.85) (drill 2.85) (layers F&B.Cu *.Mask) (tstamp 768a22b9-12b4-4498-b439-ea4bc9c177d1)) + (pad "" np_thru_hole circle (at 31.7 -10.8) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp 916ce9b6-0ab0-40a4-8c1e-8fa7afb5641f)) + (pad "" np_thru_hole circle (at 28.5 0.5) (size 2.85 2.85) (drill 2.85) (layers F&B.Cu *.Mask) (tstamp a86d922b-7859-4f77-8308-426da831e970)) + (pad "" np_thru_hole circle (at 33.2 7.3) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp fa914d89-5675-4504-8dc3-624d0ed34fc7)) + (pad "1" thru_hole circle (at -22 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 7eb54002-9382-44fc-a3c9-dc80dc55d831)) + (pad "2" thru_hole circle (at -18 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp a6e2680e-76c1-4373-9e20-de6ba34c51b4)) + (pad "3" thru_hole circle (at -14 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 714729f9-a8fb-4a1f-8a13-2f43fd97219a)) + (pad "4" thru_hole circle (at -10 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 9612067f-0027-48ec-8de5-2cafa686eba5)) + (pad "5" thru_hole circle (at -6 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 526d7a46-5568-4867-94f8-2fca301e24e3)) + (pad "6" thru_hole circle (at -2 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp fa0e10f6-d298-46ec-a43b-ae373d283997)) + (pad "7" thru_hole circle (at 2 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp c7ea9f4b-b08e-4ccd-aa0e-e4d9e14e1be9)) + (pad "8" thru_hole circle (at 6 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 11c2f4e5-33cf-4dcc-8440-d5f70d74664d)) + (pad "9" thru_hole circle (at 10 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 8b1891e9-d01b-45b8-b146-369c7b6b1594)) + (pad "10" thru_hole circle (at 14 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp acc0435f-66b3-47dc-8b95-96808334288d)) + (pad "11" thru_hole circle (at 18 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp bad42fcd-6a25-4516-8029-40777f581166)) + (pad "12" thru_hole circle (at 22 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 99749910-8106-471a-a413-c5f489e97bd2)) + (pad "13" thru_hole circle (at -20 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 1e0b5b44-6c0a-4bad-9d65-2f2fd0dced1d)) + (pad "14" thru_hole circle (at -16 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 60fba0ed-81a7-45d6-8fba-3a1b41c758f4)) + (pad "15" thru_hole circle (at -12 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 5d541aea-6097-42f7-a4ce-bb1adbafb318)) + (pad "16" thru_hole circle (at -8 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 75526314-5f3d-44bc-ae5a-c25666b8b3b7)) + (pad "17" thru_hole circle (at -4 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 4cbf3514-fb44-4e5a-a6fb-5442c99d125a)) + (pad "18" thru_hole circle (at 0 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 4055172b-97ee-4501-94e2-cbe7f0d06de0)) + (pad "19" thru_hole circle (at 4 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 5004821f-2f3b-4a9d-b535-5f23a9067627)) + (pad "20" thru_hole circle (at 8 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp f7defc32-671f-4f66-b604-9f6a15bb837d)) + (pad "21" thru_hole circle (at 12 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp a4a46485-c1ba-4ea6-88d1-4b696d7ec842)) + (pad "22" thru_hole circle (at 16 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 9e64507f-0153-42a3-849c-1bc665a873e8)) + (pad "23" thru_hole circle (at 20 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp b4376a41-97d9-4fe1-8a5a-ffd4f4d8944c)) + (pad "24" thru_hole circle (at -22 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 6eecbaf4-2a06-4b64-a123-692582d49810)) + (pad "25" thru_hole circle (at -18 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp e2ed91cb-1d62-4852-806d-c60eed00217e)) + (pad "26" thru_hole circle (at -14 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 23ad3352-69da-4f87-ae05-6c1bbdcfce09)) + (pad "27" thru_hole circle (at -10 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 3499e03d-559b-4c9b-8331-cd32529e6942)) + (pad "28" thru_hole circle (at -6 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 86576baa-90bf-42ee-b778-6da6dd9f6cef)) + (pad "29" thru_hole circle (at -2 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 88d8758c-74e5-4de3-b7e1-07a304f18c29)) + (pad "30" thru_hole circle (at 2 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 84a70b6b-a5fe-4e0a-aaa1-f05068f766bc)) + (pad "31" thru_hole circle (at 6 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 13cdb72d-7329-4a5a-a7b3-700f0c453f29)) + (pad "32" thru_hole circle (at 10 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 443af354-8ed6-42d5-a648-4ffb0dd84e3d)) + (pad "33" thru_hole circle (at 14 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp ce96f13a-1437-4127-a720-788816327b30)) + (pad "34" thru_hole circle (at 18 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp d6a0499f-45d0-41f3-bd5c-ecb3c8827ec7)) + (pad "35" thru_hole circle (at 22 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 95d1ec8a-a56f-4966-85a6-e41885b0ca50)) + (model "${KIPRJMOD}/lib/c-776231-2-d-3d.stp" hide + (offset (xyz 0 0 34.5)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KIPRJMOD}/lib/c-1-776163-2-s-3d.stp" + (offset (xyz 0 -31.75 12)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) +) diff --git a/lib/ampseal-35.kicad_mod b/lib/ampseal-35.kicad_mod new file mode 100644 index 0000000..609e0f9 --- /dev/null +++ b/lib/ampseal-35.kicad_mod @@ -0,0 +1,68 @@ +(footprint "ampseal-35" (version 20210824) (generator pcbnew) (layer "F.Cu") + (tedit 614A7420) + (attr through_hole) + (fp_text reference "REF**" (at -36 -17) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 983e4367-2326-42ab-8b4f-5209af2cd857) + ) + (fp_text value "ampseal-35" (at 0 -8) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e9198c1c-fe38-4c73-96f9-17fbfc1ad4f7) + ) + (fp_line (start -38.45 16.05) (end 38.45 16.05) (layer "F.SilkS") (width 0.5) (tstamp 040309cb-bb20-45f7-bb12-d1a1e3cbcf69)) + (fp_line (start -38.45 -16.05) (end 38.45 -16.05) (layer "F.SilkS") (width 0.5) (tstamp 179a8140-2fe3-4e60-9cc8-2e9d94d51d6d)) + (fp_line (start 38.45 -16.05) (end 38.45 16.05) (layer "F.SilkS") (width 0.5) (tstamp 4b207431-710a-4b6f-9a75-cffe87162041)) + (fp_line (start -38.354 9) (end 38.354 9) (layer "F.SilkS") (width 0.12) (tstamp 9acb41c5-0627-4a01-97e1-b010e16f3274)) + (fp_line (start -38.45 -16.05) (end -38.45 16.05) (layer "F.SilkS") (width 0.5) (tstamp e4acfc3d-af6a-4504-835a-930b865830a2)) + (pad "" np_thru_hole circle (at -31.7 -10.8) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp 5f5686d4-8f81-4572-99c1-2d0c25ee114a)) + (pad "" np_thru_hole circle (at -28.5 0.5) (size 2.85 2.85) (drill 2.85) (layers F&B.Cu *.Mask) (tstamp 7a72257a-4d39-47e2-8863-71fa595e443f)) + (pad "" np_thru_hole circle (at 31.7 -10.8) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp 8b6ba2bd-b2c9-4907-a63e-8a0cc7301308)) + (pad "" np_thru_hole circle (at -33.2 7.3) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp 947d411e-f50c-476e-8ca7-681b9802f28e)) + (pad "" np_thru_hole circle (at 28.5 0.5) (size 2.85 2.85) (drill 2.85) (layers F&B.Cu *.Mask) (tstamp a5d3776c-7fd5-472c-8718-75bf927bd228)) + (pad "" np_thru_hole circle (at 33.2 7.3) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp e0d7e6c1-ee18-46db-851d-16e6446bf795)) + (pad "1" thru_hole circle (at -22 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 27dc85ee-7dac-4b88-8221-2f3ba34818d2)) + (pad "2" thru_hole circle (at -18 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 37555e58-8c6e-4b60-bc79-6ee4bf9503a1)) + (pad "3" thru_hole circle (at -14 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 5e8c348e-28a7-4012-b96b-37dc83da9c19)) + (pad "4" thru_hole circle (at -10 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 9e321623-5a76-4d17-b90c-1357af4ca45c)) + (pad "5" thru_hole circle (at -6 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 219c69ba-0654-4b42-a427-a0b42762c816)) + (pad "6" thru_hole circle (at -2 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp dc4b4079-e4ad-4370-ad31-216cd8a49294)) + (pad "7" thru_hole circle (at 2 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 487dc818-8687-4ca8-bc81-873ad2a3ec00)) + (pad "8" thru_hole circle (at 6 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp c7f681f7-9b49-49fb-a17a-8a887209ad87)) + (pad "9" thru_hole circle (at 10 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 06642b2e-c278-43d6-bf62-5ed95c363d18)) + (pad "10" thru_hole circle (at 14 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 23b8817c-1958-41b2-9743-74e6b6ccbb13)) + (pad "11" thru_hole circle (at 18 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 1319040f-e5e4-4008-92c9-feaab30c0609)) + (pad "12" thru_hole circle (at 22 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 433180a1-0256-408e-b152-08245b51ccb2)) + (pad "13" thru_hole circle (at -20 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 8fdc6d02-1419-4b20-b992-e385374f1868)) + (pad "14" thru_hole circle (at -16 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 37b2636b-e917-4b8c-98a4-ebffa0dd8d85)) + (pad "15" thru_hole circle (at -12 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 96a0b9f3-8116-466f-b1c7-9672954d84d1)) + (pad "16" thru_hole circle (at -8 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp e5e4ec70-0e2e-49ce-b424-4a308ba8295c)) + (pad "17" thru_hole circle (at -4 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 6c663360-9e36-41da-9d69-a7c1621f6317)) + (pad "18" thru_hole circle (at 0 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 9240be07-4f06-44c9-8ab7-8bf183401379)) + (pad "19" thru_hole circle (at 4 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 41e0193f-148e-4cea-ae35-1007dfd375b6)) + (pad "20" thru_hole circle (at 8 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 9ce606db-5e1a-43e7-aee4-e69a07fd5fe2)) + (pad "21" thru_hole circle (at 12 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 225659c2-26f8-4f67-ac34-ec6a30e27c21)) + (pad "22" thru_hole circle (at 16 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp dcf7b6a6-735b-4953-b551-783f3c6ee693)) + (pad "23" thru_hole circle (at 20 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 976e6b00-db91-4dfb-8c7d-0428e09076eb)) + (pad "24" thru_hole circle (at -22 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 88787cc7-3da6-4d21-a96e-f0a662a02d03)) + (pad "25" thru_hole circle (at -18 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 239aa5bb-6df3-4861-b735-7ee0f0d9fc18)) + (pad "26" thru_hole circle (at -14 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp fcb51d3a-fdb0-4c89-a453-8839cb0708a4)) + (pad "27" thru_hole circle (at -10 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 7120d594-9a37-4b59-9695-b23189fb15ac)) + (pad "28" thru_hole circle (at -6 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 9a09a785-fc9b-4775-819b-ca30ae2656d7)) + (pad "29" thru_hole circle (at -2 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 34ebf82e-f813-422c-a470-c67d35df6c4a)) + (pad "30" thru_hole circle (at 2 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 413c6b59-6642-4763-95ca-176db7ee4ce2)) + (pad "31" thru_hole circle (at 6 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 8e405b23-043e-4d1d-979e-2b886d5df0c7)) + (pad "32" thru_hole circle (at 10 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp dfd615d1-3e47-4b13-a237-254a79f743f7)) + (pad "33" thru_hole circle (at 14 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 1f9457d6-ef37-48fa-b5ab-a63b4da42e60)) + (pad "34" thru_hole circle (at 18 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 19d653ad-5216-4fe6-886d-579a33885d2e)) + (pad "35" thru_hole circle (at 22 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) (tstamp 583f8c14-41ae-4c2a-b97a-d4cdcd83801d)) + (model "${KIPRJMOD}/lib/c-776231-1-d-3d.stp" hide + (offset (xyz 0 0 34.5)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KIPRJMOD}/lib/c-1-776163-1-s-3d.stp" + (offset (xyz 0 -31.75 12)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) +) diff --git a/lib/c-1-776163-1-s-3d.stp b/lib/c-1-776163-1-s-3d.stp new file mode 100644 index 0000000..08b0a73 --- /dev/null +++ b/lib/c-1-776163-1-s-3d.stp @@ -0,0 +1,26988 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION((''),'2;1'); +FILE_NAME('C-1-776163-1','2018-01-31T',('workeradm'),('TE Connectivity Ltd.'), +'CREO PARAMETRIC BY PTC INC, 2016050','CREO PARAMETRIC BY PTC INC, 2016050',''); +FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); +ENDSEC; +DATA; +#2=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3=VECTOR('',#2,1.853456053484E0); +#4=CARTESIAN_POINT('',(4.526728026742E0,1.149449725639E1,0.E0)); +#5=LINE('',#4,#3); +#6=DIRECTION('',(-8.715574274766E-2,9.961946980917E-1,0.E0)); +#7=VECTOR('',#6,1.952402043115E-1); +#8=CARTESIAN_POINT('',(4.543744331763E0,1.13E1,0.E0)); +#9=LINE('',#8,#7); +#10=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11=VECTOR('',#10,1.895625566824E1); +#12=CARTESIAN_POINT('',(2.35E1,1.13E1,0.E0)); +#13=LINE('',#12,#11); +#14=CARTESIAN_POINT('',(2.35E1,5.6E0,0.E0)); +#15=DIRECTION('',(0.E0,0.E0,1.E0)); +#16=DIRECTION('',(1.E0,0.E0,0.E0)); +#17=AXIS2_PLACEMENT_3D('',#14,#15,#16); +#19=DIRECTION('',(0.E0,1.E0,0.E0)); +#20=VECTOR('',#19,1.12E1); +#21=CARTESIAN_POINT('',(2.92E1,-5.6E0,0.E0)); +#22=LINE('',#21,#20); +#23=CARTESIAN_POINT('',(2.35E1,-5.6E0,0.E0)); +#24=DIRECTION('',(0.E0,0.E0,1.E0)); +#25=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26=AXIS2_PLACEMENT_3D('',#23,#24,#25); +#28=DIRECTION('',(1.E0,0.E0,0.E0)); +#29=VECTOR('',#28,4.7E1); +#30=CARTESIAN_POINT('',(-2.35E1,-1.13E1,0.E0)); +#31=LINE('',#30,#29); +#32=CARTESIAN_POINT('',(-2.35E1,-5.6E0,0.E0)); +#33=DIRECTION('',(0.E0,0.E0,1.E0)); +#34=DIRECTION('',(-1.E0,0.E0,0.E0)); +#35=AXIS2_PLACEMENT_3D('',#32,#33,#34); +#37=DIRECTION('',(0.E0,-1.E0,0.E0)); +#38=VECTOR('',#37,1.12E1); +#39=CARTESIAN_POINT('',(-2.92E1,5.6E0,0.E0)); +#40=LINE('',#39,#38); +#41=CARTESIAN_POINT('',(-2.35E1,5.6E0,0.E0)); +#42=DIRECTION('',(0.E0,0.E0,1.E0)); +#43=DIRECTION('',(0.E0,1.E0,0.E0)); +#44=AXIS2_PLACEMENT_3D('',#41,#42,#43); +#46=DIRECTION('',(-1.E0,0.E0,0.E0)); +#47=VECTOR('',#46,1.895625566824E1); +#48=CARTESIAN_POINT('',(-4.543744331763E0,1.13E1,0.E0)); +#49=LINE('',#48,#47); +#50=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,-2.420812228630E-14)); +#51=VECTOR('',#50,1.952402043115E-1); +#52=CARTESIAN_POINT('',(-4.543744331763E0,1.13E1,0.E0)); +#53=LINE('',#52,#51); +#54=DIRECTION('',(-1.E0,0.E0,0.E0)); +#55=VECTOR('',#54,1.853456053484E0); +#56=CARTESIAN_POINT('',(-2.673271973258E0,1.149449725639E1,0.E0)); +#57=LINE('',#56,#55); +#58=DIRECTION('',(-8.715574274766E-2,9.961946980917E-1,-2.420812228630E-14)); +#59=VECTOR('',#58,1.952402043115E-1); +#60=CARTESIAN_POINT('',(-2.656255668237E0,1.13E1,0.E0)); +#61=LINE('',#60,#59); +#62=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63=VECTOR('',#62,5.312511336474E0); +#64=CARTESIAN_POINT('',(2.656255668237E0,1.13E1,0.E0)); +#65=LINE('',#64,#63); +#66=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,4.857839607100E-14)); +#67=VECTOR('',#66,1.952402043115E-1); +#68=CARTESIAN_POINT('',(2.656255668237E0,1.13E1,0.E0)); +#69=LINE('',#68,#67); +#70=CARTESIAN_POINT('',(2.35E1,5.6E0,0.E0)); +#71=DIRECTION('',(0.E0,0.E0,1.E0)); +#72=DIRECTION('',(1.E0,-1.882920510294E-14,0.E0)); +#73=AXIS2_PLACEMENT_3D('',#70,#71,#72); +#75=DIRECTION('',(-2.588190451025E-1,1.630037500488E-14,-9.659258262891E-1)); +#76=VECTOR('',#75,2.070552360820E0); +#77=CARTESIAN_POINT('',(2.873589838486E1,5.6E0,0.E0)); +#78=LINE('',#77,#76); +#79=CARTESIAN_POINT('',(2.35E1,5.6E0,-2.E0)); +#80=DIRECTION('',(0.E0,0.E0,1.E0)); +#81=DIRECTION('',(1.E0,0.E0,0.E0)); +#82=AXIS2_PLACEMENT_3D('',#79,#80,#81); +#84=DIRECTION('',(0.E0,1.E0,0.E0)); +#85=VECTOR('',#84,1.12E1); +#86=CARTESIAN_POINT('',(2.873589838486E1,-5.6E0,0.E0)); +#87=LINE('',#86,#85); +#88=DIRECTION('',(-2.588190451025E-1,-3.603240790552E-14,-9.659258262891E-1)); +#89=VECTOR('',#88,2.070552360820E0); +#90=CARTESIAN_POINT('',(2.873589838486E1,-5.6E0,0.E0)); +#91=LINE('',#90,#89); +#92=DIRECTION('',(0.E0,1.E0,0.E0)); +#93=VECTOR('',#92,1.12E1); +#94=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E0)); +#95=LINE('',#94,#93); +#96=CARTESIAN_POINT('',(2.35E1,-5.6E0,0.E0)); +#97=DIRECTION('',(0.E0,0.E0,1.E0)); +#98=DIRECTION('',(-7.599535032537E-14,-1.E0,0.E0)); +#99=AXIS2_PLACEMENT_3D('',#96,#97,#98); +#101=DIRECTION('',(6.520150001951E-14,2.588190451025E-1,-9.659258262891E-1)); +#102=VECTOR('',#101,2.070552360820E0); +#103=CARTESIAN_POINT('',(2.35E1,-1.083589838486E1,0.E0)); +#104=LINE('',#103,#102); +#105=CARTESIAN_POINT('',(2.35E1,-5.6E0,-2.E0)); +#106=DIRECTION('',(0.E0,0.E0,1.E0)); +#107=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108=AXIS2_PLACEMENT_3D('',#105,#106,#107); +#110=DIRECTION('',(1.E0,0.E0,0.E0)); +#111=VECTOR('',#110,4.7E1); +#112=CARTESIAN_POINT('',(-2.35E1,-1.083589838486E1,0.E0)); +#113=LINE('',#112,#111); +#114=DIRECTION('',(1.E0,0.E0,0.E0)); +#115=VECTOR('',#114,4.7E1); +#116=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E0)); +#117=LINE('',#116,#115); +#118=CARTESIAN_POINT('',(-2.35E1,-5.6E0,0.E0)); +#119=DIRECTION('',(0.E0,0.E0,1.E0)); +#120=DIRECTION('',(-1.E0,1.882920510294E-14,0.E0)); +#121=AXIS2_PLACEMENT_3D('',#118,#119,#120); +#123=DIRECTION('',(2.588190451025E-1,-1.630037500488E-14,-9.659258262891E-1)); +#124=VECTOR('',#123,2.070552360820E0); +#125=CARTESIAN_POINT('',(-2.873589838486E1,-5.6E0,0.E0)); +#126=LINE('',#125,#124); +#127=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-2.E0)); +#128=DIRECTION('',(0.E0,0.E0,1.E0)); +#129=DIRECTION('',(-1.E0,0.E0,0.E0)); +#130=AXIS2_PLACEMENT_3D('',#127,#128,#129); +#132=DIRECTION('',(-6.863315791528E-14,2.588190451025E-1,-9.659258262891E-1)); +#133=VECTOR('',#132,2.070552360820E0); +#134=CARTESIAN_POINT('',(-2.35E1,-1.083589838486E1,0.E0)); +#135=LINE('',#134,#133); +#136=DIRECTION('',(0.E0,-1.E0,0.E0)); +#137=VECTOR('',#136,1.12E1); +#138=CARTESIAN_POINT('',(-2.873589838486E1,5.6E0,0.E0)); +#139=LINE('',#138,#137); +#140=DIRECTION('',(2.588190451025E-1,3.174283553582E-14,-9.659258262891E-1)); +#141=VECTOR('',#140,2.070552360820E0); +#142=CARTESIAN_POINT('',(-2.873589838486E1,5.6E0,0.E0)); +#143=LINE('',#142,#141); +#144=DIRECTION('',(0.E0,-1.E0,0.E0)); +#145=VECTOR('',#144,1.12E1); +#146=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E0)); +#147=LINE('',#146,#145); +#148=CARTESIAN_POINT('',(-2.35E1,5.6E0,0.E0)); +#149=DIRECTION('',(0.E0,0.E0,1.E0)); +#150=DIRECTION('',(7.599535032537E-14,1.E0,0.E0)); +#151=AXIS2_PLACEMENT_3D('',#148,#149,#150); +#153=DIRECTION('',(-6.520150001951E-14,-2.588190451025E-1,-9.659258262891E-1)); +#154=VECTOR('',#153,2.070552360820E0); +#155=CARTESIAN_POINT('',(-2.35E1,1.083589838486E1,0.E0)); +#156=LINE('',#155,#154); +#157=CARTESIAN_POINT('',(-2.35E1,5.6E0,-2.E0)); +#158=DIRECTION('',(0.E0,0.E0,1.E0)); +#159=DIRECTION('',(0.E0,1.E0,0.E0)); +#160=AXIS2_PLACEMENT_3D('',#157,#158,#159); +#162=DIRECTION('',(-1.E0,0.E0,0.E0)); +#163=VECTOR('',#162,4.7E1); +#164=CARTESIAN_POINT('',(2.35E1,1.083589838486E1,0.E0)); +#165=LINE('',#164,#163); +#166=DIRECTION('',(5.490652633222E-14,-2.588190451025E-1,-9.659258262891E-1)); +#167=VECTOR('',#166,2.070552360820E0); +#168=CARTESIAN_POINT('',(2.35E1,1.083589838486E1,0.E0)); +#169=LINE('',#168,#167); +#170=DIRECTION('',(-1.E0,0.E0,0.E0)); +#171=VECTOR('',#170,4.7E1); +#172=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E0)); +#173=LINE('',#172,#171); +#174=DIRECTION('',(0.E0,0.E0,1.E0)); +#175=VECTOR('',#174,1.E1); +#176=CARTESIAN_POINT('',(-2.425E0,1.03E1,-2.E1)); +#177=LINE('',#176,#175); +#178=DIRECTION('',(-1.E0,0.E0,0.E0)); +#179=VECTOR('',#178,2.325E0); +#180=CARTESIAN_POINT('',(-2.425E0,1.03E1,-2.E1)); +#181=LINE('',#180,#179); +#182=DIRECTION('',(0.E0,0.E0,1.E0)); +#183=VECTOR('',#182,1.E1); +#184=CARTESIAN_POINT('',(-4.75E0,1.03E1,-2.E1)); +#185=LINE('',#184,#183); +#186=DIRECTION('',(-1.E0,0.E0,0.E0)); +#187=VECTOR('',#186,1.E0); +#188=CARTESIAN_POINT('',(-4.75E0,1.03E1,-1.E1)); +#189=LINE('',#188,#187); +#190=DIRECTION('',(0.E0,0.E0,1.E0)); +#191=VECTOR('',#190,1.E1); +#192=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#193=LINE('',#192,#191); +#194=DIRECTION('',(-1.E0,0.E0,0.E0)); +#195=VECTOR('',#194,2.5E0); +#196=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#197=LINE('',#196,#195); +#198=DIRECTION('',(0.E0,0.E0,1.E0)); +#199=VECTOR('',#198,1.E1); +#200=CARTESIAN_POINT('',(-8.25E0,1.03E1,-2.E1)); +#201=LINE('',#200,#199); +#202=DIRECTION('',(-1.E0,0.E0,0.E0)); +#203=VECTOR('',#202,1.E0); +#204=CARTESIAN_POINT('',(-8.25E0,1.03E1,-1.E1)); +#205=LINE('',#204,#203); +#206=DIRECTION('',(0.E0,0.E0,1.E0)); +#207=VECTOR('',#206,1.E1); +#208=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#209=LINE('',#208,#207); +#210=DIRECTION('',(-1.E0,0.E0,0.E0)); +#211=VECTOR('',#210,2.5E0); +#212=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#213=LINE('',#212,#211); +#214=DIRECTION('',(0.E0,0.E0,1.E0)); +#215=VECTOR('',#214,1.E1); +#216=CARTESIAN_POINT('',(-1.175E1,1.03E1,-2.E1)); +#217=LINE('',#216,#215); +#218=DIRECTION('',(-1.E0,0.E0,0.E0)); +#219=VECTOR('',#218,1.E0); +#220=CARTESIAN_POINT('',(-1.175E1,1.03E1,-1.E1)); +#221=LINE('',#220,#219); +#222=DIRECTION('',(0.E0,0.E0,1.E0)); +#223=VECTOR('',#222,1.E1); +#224=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#225=LINE('',#224,#223); +#226=DIRECTION('',(-1.E0,0.E0,0.E0)); +#227=VECTOR('',#226,2.5E0); +#228=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#229=LINE('',#228,#227); +#230=DIRECTION('',(0.E0,0.E0,1.E0)); +#231=VECTOR('',#230,1.E1); +#232=CARTESIAN_POINT('',(-1.525E1,1.03E1,-2.E1)); +#233=LINE('',#232,#231); +#234=DIRECTION('',(-1.E0,0.E0,0.E0)); +#235=VECTOR('',#234,1.E0); +#236=CARTESIAN_POINT('',(-1.525E1,1.03E1,-1.E1)); +#237=LINE('',#236,#235); +#238=DIRECTION('',(0.E0,0.E0,1.E0)); +#239=VECTOR('',#238,1.E1); +#240=CARTESIAN_POINT('',(-1.625E1,1.03E1,-2.E1)); +#241=LINE('',#240,#239); +#242=DIRECTION('',(-1.E0,0.E0,0.E0)); +#243=VECTOR('',#242,2.5E0); +#244=CARTESIAN_POINT('',(-1.625E1,1.03E1,-2.E1)); +#245=LINE('',#244,#243); +#246=DIRECTION('',(0.E0,0.E0,1.E0)); +#247=VECTOR('',#246,1.E1); +#248=CARTESIAN_POINT('',(-1.875E1,1.03E1,-2.E1)); +#249=LINE('',#248,#247); +#250=DIRECTION('',(-1.E0,0.E0,0.E0)); +#251=VECTOR('',#250,1.E0); +#252=CARTESIAN_POINT('',(-1.875E1,1.03E1,-1.E1)); +#253=LINE('',#252,#251); +#254=DIRECTION('',(0.E0,0.E0,1.E0)); +#255=VECTOR('',#254,1.E1); +#256=CARTESIAN_POINT('',(-1.975E1,1.03E1,-2.E1)); +#257=LINE('',#256,#255); +#258=DIRECTION('',(-1.E0,0.E0,0.E0)); +#259=VECTOR('',#258,3.75E0); +#260=CARTESIAN_POINT('',(-1.975E1,1.03E1,-2.E1)); +#261=LINE('',#260,#259); +#262=DIRECTION('',(0.E0,0.E0,-1.E0)); +#263=VECTOR('',#262,1.8E1); +#264=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E0)); +#265=LINE('',#264,#263); +#266=DIRECTION('',(-1.E0,0.E0,0.E0)); +#267=VECTOR('',#266,3.75E0); +#268=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E1)); +#269=LINE('',#268,#267); +#270=DIRECTION('',(0.E0,0.E0,1.E0)); +#271=VECTOR('',#270,1.E1); +#272=CARTESIAN_POINT('',(1.975E1,1.03E1,-2.E1)); +#273=LINE('',#272,#271); +#274=DIRECTION('',(-1.E0,0.E0,0.E0)); +#275=VECTOR('',#274,1.E0); +#276=CARTESIAN_POINT('',(1.975E1,1.03E1,-1.E1)); +#277=LINE('',#276,#275); +#278=DIRECTION('',(0.E0,0.E0,1.E0)); +#279=VECTOR('',#278,1.E1); +#280=CARTESIAN_POINT('',(1.875E1,1.03E1,-2.E1)); +#281=LINE('',#280,#279); +#282=DIRECTION('',(-1.E0,0.E0,0.E0)); +#283=VECTOR('',#282,2.5E0); +#284=CARTESIAN_POINT('',(1.875E1,1.03E1,-2.E1)); +#285=LINE('',#284,#283); +#286=DIRECTION('',(0.E0,0.E0,1.E0)); +#287=VECTOR('',#286,1.E1); +#288=CARTESIAN_POINT('',(1.625E1,1.03E1,-2.E1)); +#289=LINE('',#288,#287); +#290=DIRECTION('',(-1.E0,0.E0,0.E0)); +#291=VECTOR('',#290,1.E0); +#292=CARTESIAN_POINT('',(1.625E1,1.03E1,-1.E1)); +#293=LINE('',#292,#291); +#294=DIRECTION('',(0.E0,0.E0,1.E0)); +#295=VECTOR('',#294,1.E1); +#296=CARTESIAN_POINT('',(1.525E1,1.03E1,-2.E1)); +#297=LINE('',#296,#295); +#298=DIRECTION('',(-1.E0,0.E0,0.E0)); +#299=VECTOR('',#298,2.5E0); +#300=CARTESIAN_POINT('',(1.525E1,1.03E1,-2.E1)); +#301=LINE('',#300,#299); +#302=DIRECTION('',(0.E0,0.E0,1.E0)); +#303=VECTOR('',#302,1.E1); +#304=CARTESIAN_POINT('',(1.275E1,1.03E1,-2.E1)); +#305=LINE('',#304,#303); +#306=DIRECTION('',(-1.E0,0.E0,0.E0)); +#307=VECTOR('',#306,1.E0); +#308=CARTESIAN_POINT('',(1.275E1,1.03E1,-1.E1)); +#309=LINE('',#308,#307); +#310=DIRECTION('',(0.E0,0.E0,1.E0)); +#311=VECTOR('',#310,1.E1); +#312=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#313=LINE('',#312,#311); +#314=DIRECTION('',(-1.E0,0.E0,0.E0)); +#315=VECTOR('',#314,2.5E0); +#316=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#317=LINE('',#316,#315); +#318=DIRECTION('',(0.E0,0.E0,1.E0)); +#319=VECTOR('',#318,1.E1); +#320=CARTESIAN_POINT('',(9.25E0,1.03E1,-2.E1)); +#321=LINE('',#320,#319); +#322=DIRECTION('',(-1.E0,0.E0,0.E0)); +#323=VECTOR('',#322,1.E0); +#324=CARTESIAN_POINT('',(9.25E0,1.03E1,-1.E1)); +#325=LINE('',#324,#323); +#326=DIRECTION('',(0.E0,0.E0,1.E0)); +#327=VECTOR('',#326,1.E1); +#328=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#329=LINE('',#328,#327); +#330=DIRECTION('',(-1.E0,0.E0,0.E0)); +#331=VECTOR('',#330,2.5E0); +#332=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#333=LINE('',#332,#331); +#334=DIRECTION('',(0.E0,0.E0,1.E0)); +#335=VECTOR('',#334,1.E1); +#336=CARTESIAN_POINT('',(5.75E0,1.03E1,-2.E1)); +#337=LINE('',#336,#335); +#338=DIRECTION('',(-1.E0,0.E0,0.E0)); +#339=VECTOR('',#338,1.E0); +#340=CARTESIAN_POINT('',(5.75E0,1.03E1,-1.E1)); +#341=LINE('',#340,#339); +#342=DIRECTION('',(0.E0,0.E0,1.E0)); +#343=VECTOR('',#342,1.E1); +#344=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#345=LINE('',#344,#343); +#346=DIRECTION('',(-1.E0,0.E0,0.E0)); +#347=VECTOR('',#346,2.5E0); +#348=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#349=LINE('',#348,#347); +#350=DIRECTION('',(0.E0,0.E0,1.E0)); +#351=VECTOR('',#350,1.E1); +#352=CARTESIAN_POINT('',(2.25E0,1.03E1,-2.E1)); +#353=LINE('',#352,#351); +#354=DIRECTION('',(-1.E0,0.E0,0.E0)); +#355=VECTOR('',#354,1.E0); +#356=CARTESIAN_POINT('',(2.25E0,1.03E1,-1.E1)); +#357=LINE('',#356,#355); +#358=DIRECTION('',(0.E0,0.E0,1.E0)); +#359=VECTOR('',#358,1.E1); +#360=CARTESIAN_POINT('',(1.25E0,1.03E1,-2.E1)); +#361=LINE('',#360,#359); +#362=DIRECTION('',(-1.E0,0.E0,0.E0)); +#363=VECTOR('',#362,2.325E0); +#364=CARTESIAN_POINT('',(1.25E0,1.03E1,-2.E1)); +#365=LINE('',#364,#363); +#366=DIRECTION('',(0.E0,0.E0,1.E0)); +#367=VECTOR('',#366,1.E1); +#368=CARTESIAN_POINT('',(-1.075E0,1.03E1,-2.E1)); +#369=LINE('',#368,#367); +#370=DIRECTION('',(0.E0,-1.E0,0.E0)); +#371=VECTOR('',#370,1.2E0); +#372=CARTESIAN_POINT('',(1.975E1,1.03E1,-1.E1)); +#373=LINE('',#372,#371); +#374=CARTESIAN_POINT('',(1.925E1,9.1E0,-1.E1)); +#375=DIRECTION('',(0.E0,0.E0,-1.E0)); +#376=DIRECTION('',(1.E0,0.E0,0.E0)); +#377=AXIS2_PLACEMENT_3D('',#374,#375,#376); +#379=DIRECTION('',(0.E0,1.E0,0.E0)); +#380=VECTOR('',#379,1.2E0); +#381=CARTESIAN_POINT('',(1.875E1,9.1E0,-1.E1)); +#382=LINE('',#381,#380); +#383=DIRECTION('',(0.E0,-1.E0,0.E0)); +#384=VECTOR('',#383,1.2E0); +#385=CARTESIAN_POINT('',(1.625E1,1.03E1,-1.E1)); +#386=LINE('',#385,#384); +#387=CARTESIAN_POINT('',(1.575E1,9.1E0,-1.E1)); +#388=DIRECTION('',(0.E0,0.E0,-1.E0)); +#389=DIRECTION('',(1.E0,0.E0,0.E0)); +#390=AXIS2_PLACEMENT_3D('',#387,#388,#389); +#392=DIRECTION('',(0.E0,1.E0,0.E0)); +#393=VECTOR('',#392,1.2E0); +#394=CARTESIAN_POINT('',(1.525E1,9.1E0,-1.E1)); +#395=LINE('',#394,#393); +#396=DIRECTION('',(0.E0,-1.E0,0.E0)); +#397=VECTOR('',#396,1.2E0); +#398=CARTESIAN_POINT('',(1.275E1,1.03E1,-1.E1)); +#399=LINE('',#398,#397); +#400=CARTESIAN_POINT('',(1.225E1,9.1E0,-1.E1)); +#401=DIRECTION('',(0.E0,0.E0,-1.E0)); +#402=DIRECTION('',(1.E0,2.238209617644E-13,0.E0)); +#403=AXIS2_PLACEMENT_3D('',#400,#401,#402); +#405=DIRECTION('',(0.E0,1.E0,0.E0)); +#406=VECTOR('',#405,1.2E0); +#407=CARTESIAN_POINT('',(1.175E1,9.1E0,-1.E1)); +#408=LINE('',#407,#406); +#409=DIRECTION('',(0.E0,-1.E0,0.E0)); +#410=VECTOR('',#409,1.2E0); +#411=CARTESIAN_POINT('',(9.25E0,1.03E1,-1.E1)); +#412=LINE('',#411,#410); +#413=CARTESIAN_POINT('',(8.75E0,9.1E0,-1.E1)); +#414=DIRECTION('',(0.E0,0.E0,-1.E0)); +#415=DIRECTION('',(1.E0,0.E0,0.E0)); +#416=AXIS2_PLACEMENT_3D('',#413,#414,#415); +#418=DIRECTION('',(0.E0,1.E0,0.E0)); +#419=VECTOR('',#418,1.2E0); +#420=CARTESIAN_POINT('',(8.25E0,9.1E0,-1.E1)); +#421=LINE('',#420,#419); +#422=DIRECTION('',(0.E0,-1.E0,0.E0)); +#423=VECTOR('',#422,1.2E0); +#424=CARTESIAN_POINT('',(5.75E0,1.03E1,-1.E1)); +#425=LINE('',#424,#423); +#426=CARTESIAN_POINT('',(5.25E0,9.1E0,-1.E1)); +#427=DIRECTION('',(0.E0,0.E0,-1.E0)); +#428=DIRECTION('',(1.E0,0.E0,0.E0)); +#429=AXIS2_PLACEMENT_3D('',#426,#427,#428); +#431=DIRECTION('',(0.E0,1.E0,0.E0)); +#432=VECTOR('',#431,1.2E0); +#433=CARTESIAN_POINT('',(4.75E0,9.1E0,-1.E1)); +#434=LINE('',#433,#432); +#435=DIRECTION('',(0.E0,-1.E0,0.E0)); +#436=VECTOR('',#435,1.2E0); +#437=CARTESIAN_POINT('',(2.25E0,1.03E1,-1.E1)); +#438=LINE('',#437,#436); +#439=CARTESIAN_POINT('',(1.75E0,9.1E0,-1.E1)); +#440=DIRECTION('',(0.E0,0.E0,-1.E0)); +#441=DIRECTION('',(1.E0,0.E0,0.E0)); +#442=AXIS2_PLACEMENT_3D('',#439,#440,#441); +#444=DIRECTION('',(0.E0,1.E0,0.E0)); +#445=VECTOR('',#444,1.2E0); +#446=CARTESIAN_POINT('',(1.25E0,9.1E0,-1.E1)); +#447=LINE('',#446,#445); +#448=DIRECTION('',(0.E0,-1.E0,0.E0)); +#449=VECTOR('',#448,1.2E0); +#450=CARTESIAN_POINT('',(-4.75E0,1.03E1,-1.E1)); +#451=LINE('',#450,#449); +#452=CARTESIAN_POINT('',(-5.25E0,9.1E0,-1.E1)); +#453=DIRECTION('',(0.E0,0.E0,-1.E0)); +#454=DIRECTION('',(1.E0,0.E0,0.E0)); +#455=AXIS2_PLACEMENT_3D('',#452,#453,#454); +#457=DIRECTION('',(0.E0,1.E0,0.E0)); +#458=VECTOR('',#457,1.2E0); +#459=CARTESIAN_POINT('',(-5.75E0,9.1E0,-1.E1)); +#460=LINE('',#459,#458); +#461=DIRECTION('',(2.664535259100E-14,-1.E0,0.E0)); +#462=VECTOR('',#461,1.2E0); +#463=CARTESIAN_POINT('',(-8.25E0,1.03E1,-1.E1)); +#464=LINE('',#463,#462); +#465=CARTESIAN_POINT('',(-8.75E0,9.1E0,-1.E1)); +#466=DIRECTION('',(0.E0,0.E0,-1.E0)); +#467=DIRECTION('',(1.E0,0.E0,0.E0)); +#468=AXIS2_PLACEMENT_3D('',#465,#466,#467); +#470=DIRECTION('',(0.E0,1.E0,0.E0)); +#471=VECTOR('',#470,1.2E0); +#472=CARTESIAN_POINT('',(-9.25E0,9.1E0,-1.E1)); +#473=LINE('',#472,#471); +#474=DIRECTION('',(0.E0,-1.E0,0.E0)); +#475=VECTOR('',#474,1.2E0); +#476=CARTESIAN_POINT('',(-1.175E1,1.03E1,-1.E1)); +#477=LINE('',#476,#475); +#478=CARTESIAN_POINT('',(-1.225E1,9.1E0,-1.E1)); +#479=DIRECTION('',(0.E0,0.E0,-1.E0)); +#480=DIRECTION('',(1.E0,0.E0,0.E0)); +#481=AXIS2_PLACEMENT_3D('',#478,#479,#480); +#483=DIRECTION('',(0.E0,1.E0,0.E0)); +#484=VECTOR('',#483,1.2E0); +#485=CARTESIAN_POINT('',(-1.275E1,9.1E0,-1.E1)); +#486=LINE('',#485,#484); +#487=DIRECTION('',(0.E0,-1.E0,0.E0)); +#488=VECTOR('',#487,1.2E0); +#489=CARTESIAN_POINT('',(-1.525E1,1.03E1,-1.E1)); +#490=LINE('',#489,#488); +#491=CARTESIAN_POINT('',(-1.575E1,9.1E0,-1.E1)); +#492=DIRECTION('',(0.E0,0.E0,-1.E0)); +#493=DIRECTION('',(1.E0,-5.684341886081E-14,0.E0)); +#494=AXIS2_PLACEMENT_3D('',#491,#492,#493); +#496=DIRECTION('',(0.E0,1.E0,0.E0)); +#497=VECTOR('',#496,1.2E0); +#498=CARTESIAN_POINT('',(-1.625E1,9.1E0,-1.E1)); +#499=LINE('',#498,#497); +#500=DIRECTION('',(0.E0,-1.E0,0.E0)); +#501=VECTOR('',#500,1.2E0); +#502=CARTESIAN_POINT('',(-1.875E1,1.03E1,-1.E1)); +#503=LINE('',#502,#501); +#504=CARTESIAN_POINT('',(-1.925E1,9.1E0,-1.E1)); +#505=DIRECTION('',(0.E0,0.E0,-1.E0)); +#506=DIRECTION('',(1.E0,2.842170943040E-14,0.E0)); +#507=AXIS2_PLACEMENT_3D('',#504,#505,#506); +#509=DIRECTION('',(0.E0,1.E0,0.E0)); +#510=VECTOR('',#509,1.2E0); +#511=CARTESIAN_POINT('',(-1.975E1,9.1E0,-1.E1)); +#512=LINE('',#511,#510); +#513=DIRECTION('',(1.E0,0.E0,0.E0)); +#514=VECTOR('',#513,1.2E0); +#515=CARTESIAN_POINT('',(-2.82E1,5.2E0,-1.E1)); +#516=LINE('',#515,#514); +#517=CARTESIAN_POINT('',(-2.7E1,4.7E0,-1.E1)); +#518=DIRECTION('',(0.E0,0.E0,-1.E0)); +#519=DIRECTION('',(0.E0,1.E0,0.E0)); +#520=AXIS2_PLACEMENT_3D('',#517,#518,#519); +#522=DIRECTION('',(-1.E0,0.E0,0.E0)); +#523=VECTOR('',#522,1.2E0); +#524=CARTESIAN_POINT('',(-2.7E1,4.2E0,-1.E1)); +#525=LINE('',#524,#523); +#526=DIRECTION('',(1.E0,0.E0,0.E0)); +#527=VECTOR('',#526,1.2E0); +#528=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-1.E1)); +#529=LINE('',#528,#527); +#530=CARTESIAN_POINT('',(-2.7E1,-4.7E0,-1.E1)); +#531=DIRECTION('',(0.E0,0.E0,-1.E0)); +#532=DIRECTION('',(-9.237055564881E-14,1.E0,0.E0)); +#533=AXIS2_PLACEMENT_3D('',#530,#531,#532); +#535=DIRECTION('',(-1.E0,0.E0,0.E0)); +#536=VECTOR('',#535,1.2E0); +#537=CARTESIAN_POINT('',(-2.7E1,-5.2E0,-1.E1)); +#538=LINE('',#537,#536); +#539=DIRECTION('',(0.E0,1.E0,0.E0)); +#540=VECTOR('',#539,1.2E0); +#541=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-1.E1)); +#542=LINE('',#541,#540); +#543=CARTESIAN_POINT('',(-1.925E1,-9.1E0,-1.E1)); +#544=DIRECTION('',(0.E0,0.E0,-1.E0)); +#545=DIRECTION('',(-1.E0,0.E0,0.E0)); +#546=AXIS2_PLACEMENT_3D('',#543,#544,#545); +#548=DIRECTION('',(0.E0,-1.E0,0.E0)); +#549=VECTOR('',#548,1.2E0); +#550=CARTESIAN_POINT('',(-1.875E1,-9.1E0,-1.E1)); +#551=LINE('',#550,#549); +#552=DIRECTION('',(0.E0,1.E0,0.E0)); +#553=VECTOR('',#552,1.2E0); +#554=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-1.E1)); +#555=LINE('',#554,#553); +#556=CARTESIAN_POINT('',(-1.575E1,-9.1E0,-1.E1)); +#557=DIRECTION('',(0.E0,0.E0,-1.E0)); +#558=DIRECTION('',(-1.E0,2.842170943040E-14,0.E0)); +#559=AXIS2_PLACEMENT_3D('',#556,#557,#558); +#561=DIRECTION('',(0.E0,-1.E0,0.E0)); +#562=VECTOR('',#561,1.2E0); +#563=CARTESIAN_POINT('',(-1.525E1,-9.1E0,-1.E1)); +#564=LINE('',#563,#562); +#565=DIRECTION('',(0.E0,1.E0,0.E0)); +#566=VECTOR('',#565,1.2E0); +#567=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-1.E1)); +#568=LINE('',#567,#566); +#569=CARTESIAN_POINT('',(-1.225E1,-9.1E0,-1.E1)); +#570=DIRECTION('',(0.E0,0.E0,-1.E0)); +#571=DIRECTION('',(-1.E0,-1.136868377216E-13,0.E0)); +#572=AXIS2_PLACEMENT_3D('',#569,#570,#571); +#574=DIRECTION('',(0.E0,-1.E0,0.E0)); +#575=VECTOR('',#574,1.2E0); +#576=CARTESIAN_POINT('',(-1.175E1,-9.1E0,-1.E1)); +#577=LINE('',#576,#575); +#578=DIRECTION('',(0.E0,1.E0,0.E0)); +#579=VECTOR('',#578,1.2E0); +#580=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-1.E1)); +#581=LINE('',#580,#579); +#582=CARTESIAN_POINT('',(-8.75E0,-9.1E0,-1.E1)); +#583=DIRECTION('',(0.E0,0.E0,-1.E0)); +#584=DIRECTION('',(-1.E0,0.E0,0.E0)); +#585=AXIS2_PLACEMENT_3D('',#582,#583,#584); +#587=DIRECTION('',(1.628327102783E-14,-1.E0,0.E0)); +#588=VECTOR('',#587,1.2E0); +#589=CARTESIAN_POINT('',(-8.25E0,-9.1E0,-1.E1)); +#590=LINE('',#589,#588); +#591=DIRECTION('',(0.E0,1.E0,0.E0)); +#592=VECTOR('',#591,1.2E0); +#593=CARTESIAN_POINT('',(-5.75E0,-1.03E1,-1.E1)); +#594=LINE('',#593,#592); +#595=CARTESIAN_POINT('',(-5.25E0,-9.1E0,-1.E1)); +#596=DIRECTION('',(0.E0,0.E0,-1.E0)); +#597=DIRECTION('',(-1.E0,0.E0,0.E0)); +#598=AXIS2_PLACEMENT_3D('',#595,#596,#597); +#600=DIRECTION('',(0.E0,-1.E0,0.E0)); +#601=VECTOR('',#600,1.2E0); +#602=CARTESIAN_POINT('',(-4.75E0,-9.1E0,-1.E1)); +#603=LINE('',#602,#601); +#604=DIRECTION('',(0.E0,1.E0,0.E0)); +#605=VECTOR('',#604,1.2E0); +#606=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-1.E1)); +#607=LINE('',#606,#605); +#608=CARTESIAN_POINT('',(-1.75E0,-9.1E0,-1.E1)); +#609=DIRECTION('',(0.E0,0.E0,-1.E0)); +#610=DIRECTION('',(-1.E0,-1.136868377216E-13,0.E0)); +#611=AXIS2_PLACEMENT_3D('',#608,#609,#610); +#613=DIRECTION('',(0.E0,-1.E0,0.E0)); +#614=VECTOR('',#613,1.2E0); +#615=CARTESIAN_POINT('',(-1.25E0,-9.1E0,-1.E1)); +#616=LINE('',#615,#614); +#617=DIRECTION('',(0.E0,1.E0,0.E0)); +#618=VECTOR('',#617,1.2E0); +#619=CARTESIAN_POINT('',(1.25E0,-1.03E1,-1.E1)); +#620=LINE('',#619,#618); +#621=CARTESIAN_POINT('',(1.75E0,-9.1E0,-1.E1)); +#622=DIRECTION('',(0.E0,0.E0,-1.E0)); +#623=DIRECTION('',(-1.E0,0.E0,0.E0)); +#624=AXIS2_PLACEMENT_3D('',#621,#622,#623); +#626=DIRECTION('',(0.E0,-1.E0,0.E0)); +#627=VECTOR('',#626,1.2E0); +#628=CARTESIAN_POINT('',(2.25E0,-9.1E0,-1.E1)); +#629=LINE('',#628,#627); +#630=DIRECTION('',(0.E0,1.E0,0.E0)); +#631=VECTOR('',#630,1.2E0); +#632=CARTESIAN_POINT('',(8.25E0,-1.03E1,-1.E1)); +#633=LINE('',#632,#631); +#634=CARTESIAN_POINT('',(8.75E0,-9.1E0,-1.E1)); +#635=DIRECTION('',(0.E0,0.E0,-1.E0)); +#636=DIRECTION('',(-1.E0,-1.136868377216E-13,0.E0)); +#637=AXIS2_PLACEMENT_3D('',#634,#635,#636); +#639=DIRECTION('',(0.E0,-1.E0,0.E0)); +#640=VECTOR('',#639,1.2E0); +#641=CARTESIAN_POINT('',(9.25E0,-9.1E0,-1.E1)); +#642=LINE('',#641,#640); +#643=DIRECTION('',(0.E0,1.E0,0.E0)); +#644=VECTOR('',#643,1.2E0); +#645=CARTESIAN_POINT('',(1.175E1,-1.03E1,-1.E1)); +#646=LINE('',#645,#644); +#647=CARTESIAN_POINT('',(1.225E1,-9.1E0,-1.E1)); +#648=DIRECTION('',(0.E0,0.E0,-1.E0)); +#649=DIRECTION('',(-1.E0,0.E0,0.E0)); +#650=AXIS2_PLACEMENT_3D('',#647,#648,#649); +#652=DIRECTION('',(0.E0,-1.E0,0.E0)); +#653=VECTOR('',#652,1.2E0); +#654=CARTESIAN_POINT('',(1.275E1,-9.1E0,-1.E1)); +#655=LINE('',#654,#653); +#656=DIRECTION('',(0.E0,1.E0,0.E0)); +#657=VECTOR('',#656,1.2E0); +#658=CARTESIAN_POINT('',(1.525E1,-1.03E1,-1.E1)); +#659=LINE('',#658,#657); +#660=CARTESIAN_POINT('',(1.575E1,-9.1E0,-1.E1)); +#661=DIRECTION('',(0.E0,0.E0,-1.E0)); +#662=DIRECTION('',(-1.E0,2.273736754432E-13,0.E0)); +#663=AXIS2_PLACEMENT_3D('',#660,#661,#662); +#665=DIRECTION('',(0.E0,-1.E0,0.E0)); +#666=VECTOR('',#665,1.2E0); +#667=CARTESIAN_POINT('',(1.625E1,-9.1E0,-1.E1)); +#668=LINE('',#667,#666); +#669=DIRECTION('',(0.E0,1.E0,0.E0)); +#670=VECTOR('',#669,1.2E0); +#671=CARTESIAN_POINT('',(1.875E1,-1.03E1,-1.E1)); +#672=LINE('',#671,#670); +#673=CARTESIAN_POINT('',(1.925E1,-9.1E0,-1.E1)); +#674=DIRECTION('',(0.E0,0.E0,-1.E0)); +#675=DIRECTION('',(-1.E0,4.547473508865E-13,0.E0)); +#676=AXIS2_PLACEMENT_3D('',#673,#674,#675); +#678=DIRECTION('',(0.E0,-1.E0,0.E0)); +#679=VECTOR('',#678,1.2E0); +#680=CARTESIAN_POINT('',(1.975E1,-9.1E0,-1.E1)); +#681=LINE('',#680,#679); +#682=DIRECTION('',(-1.E0,0.E0,0.E0)); +#683=VECTOR('',#682,1.2E0); +#684=CARTESIAN_POINT('',(2.82E1,-5.2E0,-1.E1)); +#685=LINE('',#684,#683); +#686=CARTESIAN_POINT('',(2.7E1,-4.7E0,-1.E1)); +#687=DIRECTION('',(0.E0,0.E0,-1.E0)); +#688=DIRECTION('',(-1.065814103640E-13,-1.E0,0.E0)); +#689=AXIS2_PLACEMENT_3D('',#686,#687,#688); +#691=DIRECTION('',(1.E0,0.E0,0.E0)); +#692=VECTOR('',#691,1.2E0); +#693=CARTESIAN_POINT('',(2.7E1,-4.2E0,-1.E1)); +#694=LINE('',#693,#692); +#695=DIRECTION('',(-1.E0,0.E0,0.E0)); +#696=VECTOR('',#695,1.2E0); +#697=CARTESIAN_POINT('',(2.82E1,4.2E0,-1.E1)); +#698=LINE('',#697,#696); +#699=CARTESIAN_POINT('',(2.7E1,4.7E0,-1.E1)); +#700=DIRECTION('',(0.E0,0.E0,-1.E0)); +#701=DIRECTION('',(0.E0,-1.E0,0.E0)); +#702=AXIS2_PLACEMENT_3D('',#699,#700,#701); +#704=DIRECTION('',(1.E0,0.E0,0.E0)); +#705=VECTOR('',#704,1.2E0); +#706=CARTESIAN_POINT('',(2.7E1,5.2E0,-1.E1)); +#707=LINE('',#706,#705); +#708=DIRECTION('',(1.E0,0.E0,0.E0)); +#709=VECTOR('',#708,1.35E0); +#710=CARTESIAN_POINT('',(-2.425E0,1.03E1,-1.E1)); +#711=LINE('',#710,#709); +#712=DIRECTION('',(0.E0,-1.E0,0.E0)); +#713=VECTOR('',#712,2.225E0); +#714=CARTESIAN_POINT('',(-1.075E0,1.03E1,-1.E1)); +#715=LINE('',#714,#713); +#716=CARTESIAN_POINT('',(-1.75E0,8.075E0,-1.E1)); +#717=DIRECTION('',(0.E0,0.E0,-1.E0)); +#718=DIRECTION('',(1.E0,0.E0,0.E0)); +#719=AXIS2_PLACEMENT_3D('',#716,#717,#718); +#721=DIRECTION('',(0.E0,1.E0,0.E0)); +#722=VECTOR('',#721,2.225E0); +#723=CARTESIAN_POINT('',(-2.425E0,8.075E0,-1.E1)); +#724=LINE('',#723,#722); +#725=DIRECTION('',(-1.E0,0.E0,0.E0)); +#726=VECTOR('',#725,1.35E0); +#727=CARTESIAN_POINT('',(5.925E0,-1.03E1,-1.E1)); +#728=LINE('',#727,#726); +#729=DIRECTION('',(0.E0,1.E0,0.E0)); +#730=VECTOR('',#729,2.225E0); +#731=CARTESIAN_POINT('',(4.575E0,-1.03E1,-1.E1)); +#732=LINE('',#731,#730); +#733=CARTESIAN_POINT('',(5.25E0,-8.075E0,-1.E1)); +#734=DIRECTION('',(0.E0,0.E0,-1.E0)); +#735=DIRECTION('',(-1.E0,2.736905352557E-13,0.E0)); +#736=AXIS2_PLACEMENT_3D('',#733,#734,#735); +#738=DIRECTION('',(0.E0,-1.E0,0.E0)); +#739=VECTOR('',#738,2.225E0); +#740=CARTESIAN_POINT('',(5.925E0,-8.075E0,-1.E1)); +#741=LINE('',#740,#739); +#742=CARTESIAN_POINT('',(2.35E1,-5.6E0,-2.E1)); +#743=DIRECTION('',(0.E0,0.E0,-1.E0)); +#744=DIRECTION('',(6.021445372205E-1,-7.983870967742E-1,0.E0)); +#745=AXIS2_PLACEMENT_3D('',#742,#743,#744); +#747=DIRECTION('',(1.E0,0.E0,0.E0)); +#748=VECTOR('',#747,5.966703869233E0); +#749=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-2.E1)); +#750=LINE('',#749,#748); +#751=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.E1)); +#752=DIRECTION('',(0.E0,0.E0,1.E0)); +#753=DIRECTION('',(0.E0,-1.E0,0.E0)); +#754=AXIS2_PLACEMENT_3D('',#751,#752,#753); +#756=DIRECTION('',(0.E0,1.E0,0.E0)); +#757=VECTOR('',#756,4.690415759823E0); +#758=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-2.E1)); +#759=LINE('',#758,#757); +#760=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.E1)); +#761=DIRECTION('',(0.E0,0.E0,1.E0)); +#762=DIRECTION('',(6.923076923077E-1,7.216024245882E-1,0.E0)); +#763=AXIS2_PLACEMENT_3D('',#760,#761,#762); +#765=DIRECTION('',(-1.E0,0.E0,0.E0)); +#766=VECTOR('',#765,2.5E0); +#767=CARTESIAN_POINT('',(3.32E1,-4.05E0,-2.E1)); +#768=LINE('',#767,#766); +#769=CARTESIAN_POINT('',(3.07E1,-3.05E0,-2.E1)); +#770=DIRECTION('',(0.E0,0.E0,1.E0)); +#771=DIRECTION('',(-1.E0,0.E0,0.E0)); +#772=AXIS2_PLACEMENT_3D('',#769,#770,#771); +#774=CARTESIAN_POINT('',(2.35E1,5.6E0,-2.E1)); +#775=DIRECTION('',(0.E0,0.E0,-1.E0)); +#776=DIRECTION('',(9.912571127514E-1,1.319444444445E-1,0.E0)); +#777=AXIS2_PLACEMENT_3D('',#774,#775,#776); +#779=CARTESIAN_POINT('',(3.063705121181E1,6.55E0,-2.E1)); +#780=DIRECTION('',(0.E0,0.E0,1.E0)); +#781=DIRECTION('',(1.776356839400E-14,1.E0,0.E0)); +#782=AXIS2_PLACEMENT_3D('',#779,#780,#781); +#784=DIRECTION('',(1.E0,0.E0,0.E0)); +#785=VECTOR('',#784,1.062948788190E0); +#786=CARTESIAN_POINT('',(3.063705121181E1,7.55E0,-2.E1)); +#787=LINE('',#786,#785); +#788=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#789=DIRECTION('',(0.E0,0.E0,1.E0)); +#790=DIRECTION('',(0.E0,-1.E0,0.E0)); +#791=AXIS2_PLACEMENT_3D('',#788,#789,#790); +#793=DIRECTION('',(-1.E0,0.E0,0.E0)); +#794=VECTOR('',#793,4.690415759824E0); +#795=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-2.E1)); +#796=LINE('',#795,#794); +#797=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#798=DIRECTION('',(0.E0,0.E0,1.E0)); +#799=DIRECTION('',(-7.216024245883E-1,6.923076923076E-1,0.E0)); +#800=AXIS2_PLACEMENT_3D('',#797,#798,#799); +#802=CARTESIAN_POINT('',(2.795E1,1.28E1,-2.E1)); +#803=DIRECTION('',(0.E0,0.E0,1.E0)); +#804=DIRECTION('',(0.E0,-1.E0,0.E0)); +#805=AXIS2_PLACEMENT_3D('',#802,#803,#804); +#807=CARTESIAN_POINT('',(-2.795E1,1.28E1,-2.E1)); +#808=DIRECTION('',(0.E0,0.E0,1.E0)); +#809=DIRECTION('',(-8.823529411766E-1,-4.705882352938E-1,0.E0)); +#810=AXIS2_PLACEMENT_3D('',#807,#808,#809); +#812=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#813=DIRECTION('',(0.E0,0.E0,1.E0)); +#814=DIRECTION('',(8.823529411765E-1,4.705882352941E-1,0.E0)); +#815=AXIS2_PLACEMENT_3D('',#812,#813,#814); +#817=DIRECTION('',(-1.E0,0.E0,0.E0)); +#818=VECTOR('',#817,4.690415759824E0); +#819=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-2.E1)); +#820=LINE('',#819,#818); +#821=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#822=DIRECTION('',(0.E0,0.E0,1.E0)); +#823=DIRECTION('',(-7.216024245883E-1,6.923076923076E-1,0.E0)); +#824=AXIS2_PLACEMENT_3D('',#821,#822,#823); +#826=DIRECTION('',(1.E0,0.E0,0.E0)); +#827=VECTOR('',#826,1.062948788190E0); +#828=CARTESIAN_POINT('',(-3.17E1,7.55E0,-2.E1)); +#829=LINE('',#828,#827); +#830=CARTESIAN_POINT('',(-3.063705121181E1,6.55E0,-2.E1)); +#831=DIRECTION('',(0.E0,0.E0,1.E0)); +#832=DIRECTION('',(9.912571127514E-1,-1.319444444445E-1,0.E0)); +#833=AXIS2_PLACEMENT_3D('',#830,#831,#832); +#835=CARTESIAN_POINT('',(-2.35E1,5.6E0,-2.E1)); +#836=DIRECTION('',(0.E0,0.E0,-1.E0)); +#837=DIRECTION('',(-1.E0,-1.890960506458E-14,0.E0)); +#838=AXIS2_PLACEMENT_3D('',#835,#836,#837); +#840=CARTESIAN_POINT('',(-3.07E1,-3.05E0,-2.E1)); +#841=DIRECTION('',(0.E0,0.E0,1.E0)); +#842=DIRECTION('',(1.776356839400E-14,-1.E0,0.E0)); +#843=AXIS2_PLACEMENT_3D('',#840,#841,#842); +#845=DIRECTION('',(-1.E0,0.E0,0.E0)); +#846=VECTOR('',#845,2.5E0); +#847=CARTESIAN_POINT('',(-3.07E1,-4.05E0,-2.E1)); +#848=LINE('',#847,#846); +#849=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.E1)); +#850=DIRECTION('',(0.E0,0.E0,1.E0)); +#851=DIRECTION('',(0.E0,1.E0,0.E0)); +#852=AXIS2_PLACEMENT_3D('',#849,#850,#851); +#854=DIRECTION('',(0.E0,-1.E0,0.E0)); +#855=VECTOR('',#854,4.690415759823E0); +#856=CARTESIAN_POINT('',(-3.545E1,-4.954792120088E0,-2.E1)); +#857=LINE('',#856,#855); +#858=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.E1)); +#859=DIRECTION('',(0.E0,0.E0,1.E0)); +#860=DIRECTION('',(-6.923076923077E-1,-7.216024245882E-1,0.E0)); +#861=AXIS2_PLACEMENT_3D('',#858,#859,#860); +#863=DIRECTION('',(1.E0,0.E0,0.E0)); +#864=VECTOR('',#863,5.966703869233E0); +#865=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-2.E1)); +#866=LINE('',#865,#864); +#867=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-2.E1)); +#868=DIRECTION('',(0.E0,0.E0,-1.E0)); +#869=DIRECTION('',(2.865091676452E-14,-1.E0,0.E0)); +#870=AXIS2_PLACEMENT_3D('',#867,#868,#869); +#872=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#873=DIRECTION('',(0.E0,0.E0,1.E0)); +#874=DIRECTION('',(-1.E0,0.E0,0.E0)); +#875=AXIS2_PLACEMENT_3D('',#872,#873,#874); +#877=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#878=DIRECTION('',(0.E0,0.E0,1.E0)); +#879=DIRECTION('',(1.E0,0.E0,0.E0)); +#880=AXIS2_PLACEMENT_3D('',#877,#878,#879); +#882=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.E1)); +#883=DIRECTION('',(0.E0,0.E0,1.E0)); +#884=DIRECTION('',(-1.E0,0.E0,0.E0)); +#885=AXIS2_PLACEMENT_3D('',#882,#883,#884); +#887=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.E1)); +#888=DIRECTION('',(0.E0,0.E0,1.E0)); +#889=DIRECTION('',(1.E0,0.E0,0.E0)); +#890=AXIS2_PLACEMENT_3D('',#887,#888,#889); +#892=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.E1)); +#893=DIRECTION('',(0.E0,0.E0,1.E0)); +#894=DIRECTION('',(-1.E0,0.E0,0.E0)); +#895=AXIS2_PLACEMENT_3D('',#892,#893,#894); +#897=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.E1)); +#898=DIRECTION('',(0.E0,0.E0,1.E0)); +#899=DIRECTION('',(1.E0,0.E0,0.E0)); +#900=AXIS2_PLACEMENT_3D('',#897,#898,#899); +#902=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#903=DIRECTION('',(0.E0,0.E0,1.E0)); +#904=DIRECTION('',(-1.E0,0.E0,0.E0)); +#905=AXIS2_PLACEMENT_3D('',#902,#903,#904); +#907=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#908=DIRECTION('',(0.E0,0.E0,1.E0)); +#909=DIRECTION('',(1.E0,0.E0,0.E0)); +#910=AXIS2_PLACEMENT_3D('',#907,#908,#909); +#912=DIRECTION('',(1.E0,0.E0,0.E0)); +#913=VECTOR('',#912,2.903225806452E-1); +#914=CARTESIAN_POINT('',(-2.480967741935E1,3.2E0,-2.E1)); +#915=LINE('',#914,#913); +#916=DIRECTION('',(0.E0,1.E0,0.E0)); +#917=VECTOR('',#916,2.E0); +#918=CARTESIAN_POINT('',(-2.451935483871E1,3.2E0,-2.E1)); +#919=LINE('',#918,#917); +#920=DIRECTION('',(-1.E0,0.E0,0.E0)); +#921=VECTOR('',#920,2.903225806452E-1); +#922=CARTESIAN_POINT('',(-2.451935483871E1,5.2E0,-2.E1)); +#923=LINE('',#922,#921); +#924=DIRECTION('',(-6.979732812036E-1,-7.161238012564E-1,0.E0)); +#925=VECTOR('',#924,4.159508515061E-1); +#926=CARTESIAN_POINT('',(-2.480967741935E1,5.2E0,-2.E1)); +#927=LINE('',#926,#925); +#928=DIRECTION('',(0.E0,-1.E0,0.E0)); +#929=VECTOR('',#928,3.404256105423E-1); +#930=CARTESIAN_POINT('',(-2.51E1,4.902127695084E0,-2.E1)); +#931=LINE('',#930,#929); +#932=DIRECTION('',(6.979731379535E-1,7.161239408757E-1,0.E0)); +#933=VECTOR('',#932,4.159509368747E-1); +#934=CARTESIAN_POINT('',(-2.51E1,4.561702084541E0,-2.E1)); +#935=LINE('',#934,#933); +#936=DIRECTION('',(0.E0,-1.E0,0.E0)); +#937=VECTOR('',#936,1.659574508667E0); +#938=CARTESIAN_POINT('',(-2.480967741935E1,4.859574508667E0,-2.E1)); +#939=LINE('',#938,#937); +#940=DIRECTION('',(-5.131025932281E-1,-8.583272853770E-1,0.E0)); +#941=VECTOR('',#940,1.239422033934E0); +#942=CARTESIAN_POINT('',(-2.436404934028E1,-4.923404288292E0,-2.E1)); +#943=LINE('',#942,#941); +#944=DIRECTION('',(0.E0,-1.E0,0.E0)); +#945=VECTOR('',#944,2.127659618855E-1); +#946=CARTESIAN_POINT('',(-2.5E1,-5.987234038115E0,-2.E1)); +#947=LINE('',#946,#945); +#948=DIRECTION('',(1.E0,0.E0,0.E0)); +#949=VECTOR('',#948,8.176508760545E-1); +#950=CARTESIAN_POINT('',(-2.5E1,-6.2E0,-2.E1)); +#951=LINE('',#950,#949); +#952=DIRECTION('',(0.E0,1.E0,0.E0)); +#953=VECTOR('',#952,2.127659618855E-1); +#954=CARTESIAN_POINT('',(-2.418234912395E1,-6.2E0,-2.E1)); +#955=LINE('',#954,#953); +#956=DIRECTION('',(-1.E0,0.E0,0.E0)); +#957=VECTOR('',#956,6.359506759606E-1); +#958=CARTESIAN_POINT('',(-2.418234912395E1,-5.987234038115E0,-2.E1)); +#959=LINE('',#958,#957); +#960=DIRECTION('',(5.185937410258E-1,8.550207785597E-1,0.E0)); +#961=VECTOR('',#960,1.094909286473E0); +#962=CARTESIAN_POINT('',(-2.481829979991E1,-5.987234038115E0,-2.E1)); +#963=LINE('',#962,#961); +#964=DIRECTION('',(3.352390012686E-1,9.421331179979E-1,0.E0)); +#965=VECTOR('',#964,1.355005538083E-1); +#966=CARTESIAN_POINT('',(-2.425048669695E1,-5.051063847542E0,-2.E1)); +#967=LINE('',#966,#965); +#968=DIRECTION('',(1.322636764909E-1,9.912145680331E-1,0.E0)); +#969=VECTOR('',#968,1.717213922756E-1); +#970=CARTESIAN_POINT('',(-2.420506162662E1,-4.923404288292E0,-2.E1)); +#971=LINE('',#970,#969); +#972=DIRECTION('',(0.E0,1.E0,0.E0)); +#973=VECTOR('',#972,8.510649204254E-2); +#974=CARTESIAN_POINT('',(-2.418234912395E1,-4.753191542625E0,-2.E1)); +#975=LINE('',#974,#973); +#976=DIRECTION('',(-1.322636764909E-1,9.912145680331E-1,0.E0)); +#977=VECTOR('',#976,1.717213922756E-1); +#978=CARTESIAN_POINT('',(-2.418234912395E1,-4.668085050583E0,-2.E1)); +#979=LINE('',#978,#977); +#980=DIRECTION('',(-4.708707112585E-1,8.822022292416E-1,0.E0)); +#981=VECTOR('',#980,1.929407340229E-1); +#982=CARTESIAN_POINT('',(-2.420506162662E1,-4.497872304916E0,-2.E1)); +#983=LINE('',#982,#981); +#984=DIRECTION('',(-7.297999776124E-1,6.836607292195E-1,0.E0)); +#985=VECTOR('',#984,1.244862681091E-1); +#986=CARTESIAN_POINT('',(-2.429591176728E1,-4.327659559250E0,-2.E1)); +#987=LINE('',#986,#985); +#988=DIRECTION('',(-9.545453575187E-1,2.980656981935E-1,0.E0)); +#989=VECTOR('',#988,1.427644531878E-1); +#990=CARTESIAN_POINT('',(-2.438676184296E1,-4.242553186417E0,-2.E1)); +#991=LINE('',#990,#989); +#992=DIRECTION('',(-1.E0,0.E0,0.E0)); +#993=VECTOR('',#992,9.085010816957E-2); +#994=CARTESIAN_POINT('',(-2.452303698897E1,-4.2E0,-2.E1)); +#995=LINE('',#994,#993); +#996=DIRECTION('',(-9.659978342114E-1,-2.585501581878E-1,0.E0)); +#997=VECTOR('',#996,1.645838730670E-1); +#998=CARTESIAN_POINT('',(-2.461388709714E1,-4.2E0,-2.E1)); +#999=LINE('',#998,#997); +#1000=DIRECTION('',(-7.298000386063E-1,-6.836606641092E-1,0.E0)); +#1001=VECTOR('',#1000,1.244862799649E-1); +#1002=CARTESIAN_POINT('',(-2.477287476207E1,-4.242553186417E0,-2.E1)); +#1003=LINE('',#1002,#1001); +#1004=DIRECTION('',(-4.708705310514E-1,-8.822023254262E-1,0.E0)); +#1005=VECTOR('',#1004,1.929407129870E-1); +#1006=CARTESIAN_POINT('',(-2.486372485399E1,-4.327659559250E0,-2.E1)); +#1007=LINE('',#1006,#1005); +#1008=DIRECTION('',(-1.322638042936E-1,-9.912145509796E-1,0.E0)); +#1009=VECTOR('',#1008,1.717213952300E-1); +#1010=CARTESIAN_POINT('',(-2.495457494998E1,-4.497872304916E0,-2.E1)); +#1011=LINE('',#1010,#1009); +#1012=DIRECTION('',(1.E0,0.E0,0.E0)); +#1013=VECTOR('',#1012,1.135626209973E-1); +#1014=CARTESIAN_POINT('',(-2.497728747499E1,-4.668085050583E0,-2.E1)); +#1015=LINE('',#1014,#1013); +#1016=DIRECTION('',(3.352388948518E-1,9.421331558641E-1,0.E0)); +#1017=VECTOR('',#1016,1.355005483623E-1); +#1018=CARTESIAN_POINT('',(-2.486372485399E1,-4.668085050583E0,-2.E1)); +#1019=LINE('',#1018,#1017); +#1020=DIRECTION('',(6.249884924060E-1,7.806339631095E-1,0.E0)); +#1021=VECTOR('',#1020,1.090221241390E-1); +#1022=CARTESIAN_POINT('',(-2.481829979991E1,-4.540425491333E0,-2.E1)); +#1023=LINE('',#1022,#1021); +#1024=DIRECTION('',(9.364180635474E-1,3.508863209961E-1,0.E0)); +#1025=VECTOR('',#1024,1.212734263787E-1); +#1026=CARTESIAN_POINT('',(-2.475016222690E1,-4.455319118500E0,-2.E1)); +#1027=LINE('',#1026,#1025); +#1028=DIRECTION('',(1.E0,0.E0,0.E0)); +#1029=VECTOR('',#1028,9.085007567904E-2); +#1030=CARTESIAN_POINT('',(-2.463659959981E1,-4.412765932083E0,-2.E1)); +#1031=LINE('',#1030,#1029); +#1032=DIRECTION('',(9.364180470546E-1,-3.508863650108E-1,0.E0)); +#1033=VECTOR('',#1032,1.212734111664E-1); +#1034=CARTESIAN_POINT('',(-2.454574952413E1,-4.412765932083E0,-2.E1)); +#1035=LINE('',#1034,#1033); +#1036=DIRECTION('',(6.249884924060E-1,-7.806339631095E-1,0.E0)); +#1037=VECTOR('',#1036,1.090221241390E-1); +#1038=CARTESIAN_POINT('',(-2.443218691329E1,-4.455319118500E0,-2.E1)); +#1039=LINE('',#1038,#1037); +#1040=DIRECTION('',(3.352390012686E-1,-9.421331179979E-1,0.E0)); +#1041=VECTOR('',#1040,1.355005538083E-1); +#1042=CARTESIAN_POINT('',(-2.436404934028E1,-4.540425491333E0,-2.E1)); +#1043=LINE('',#1042,#1041); +#1044=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1045=VECTOR('',#1044,8.510649204254E-2); +#1046=CARTESIAN_POINT('',(-2.431862426995E1,-4.668085050583E0,-2.E1)); +#1047=LINE('',#1046,#1045); +#1048=DIRECTION('',(-2.578481534210E-1,-9.661854530976E-1,0.E0)); +#1049=VECTOR('',#1048,1.761698492984E-1); +#1050=CARTESIAN_POINT('',(-2.431862426995E1,-4.753191542625E0,-2.E1)); +#1051=LINE('',#1050,#1049); +#1052=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1053=VECTOR('',#1052,1.362751460091E-1); +#1054=CARTESIAN_POINT('',(-2.331927324254E1,-4.2E0,-2.E1)); +#1055=LINE('',#1054,#1053); +#1056=DIRECTION('',(-4.286434830844E-1,-9.034737209290E-1,0.E0)); +#1057=VECTOR('',#1056,1.271687405890E0); +#1058=CARTESIAN_POINT('',(-2.345554838855E1,-4.2E0,-2.E1)); +#1059=LINE('',#1058,#1057); +#1060=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1061=VECTOR('',#1060,2.553191781044E-1); +#1062=CARTESIAN_POINT('',(-2.400064890761E1,-5.348936152458E0,-2.E1)); +#1063=LINE('',#1062,#1061); +#1064=DIRECTION('',(1.E0,0.E0,0.E0)); +#1065=VECTOR('',#1064,5.451005190553E-1); +#1066=CARTESIAN_POINT('',(-2.400064890761E1,-5.604255330563E0,-2.E1)); +#1067=LINE('',#1066,#1065); +#1068=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1069=VECTOR('',#1068,5.957446694374E-1); +#1070=CARTESIAN_POINT('',(-2.345554838855E1,-5.604255330563E0,-2.E1)); +#1071=LINE('',#1070,#1069); +#1072=DIRECTION('',(1.E0,0.E0,0.E0)); +#1073=VECTOR('',#1072,1.362751460091E-1); +#1074=CARTESIAN_POINT('',(-2.345554838855E1,-6.2E0,-2.E1)); +#1075=LINE('',#1074,#1073); +#1076=DIRECTION('',(0.E0,1.E0,0.E0)); +#1077=VECTOR('',#1076,5.957446694374E-1); +#1078=CARTESIAN_POINT('',(-2.331927324254E1,-6.2E0,-2.E1)); +#1079=LINE('',#1078,#1077); +#1080=DIRECTION('',(1.E0,0.E0,0.E0)); +#1081=VECTOR('',#1080,2.271252866692E-1); +#1082=CARTESIAN_POINT('',(-2.331927324254E1,-5.604255330563E0,-2.E1)); +#1083=LINE('',#1082,#1081); +#1084=DIRECTION('',(0.E0,1.E0,0.E0)); +#1085=VECTOR('',#1084,2.553191781044E-1); +#1086=CARTESIAN_POINT('',(-2.309214795587E1,-5.604255330563E0,-2.E1)); +#1087=LINE('',#1086,#1085); +#1088=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1089=VECTOR('',#1088,2.271252866692E-1); +#1090=CARTESIAN_POINT('',(-2.309214795587E1,-5.348936152458E0,-2.E1)); +#1091=LINE('',#1090,#1089); +#1092=DIRECTION('',(0.E0,1.E0,0.E0)); +#1093=VECTOR('',#1092,1.148936152458E0); +#1094=CARTESIAN_POINT('',(-2.331927324254E1,-5.348936152458E0,-2.E1)); +#1095=LINE('',#1094,#1093); +#1096=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1097=VECTOR('',#1096,3.861128703717E-1); +#1098=CARTESIAN_POINT('',(-2.345554838855E1,-5.348936152458E0,-2.E1)); +#1099=LINE('',#1098,#1097); +#1100=DIRECTION('',(4.309411811097E-1,9.023800188522E-1,0.E0)); +#1101=VECTOR('',#1100,8.959758020280E-1); +#1102=CARTESIAN_POINT('',(-2.384166125892E1,-5.348936152458E0,-2.E1)); +#1103=LINE('',#1102,#1101); +#1104=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1105=VECTOR('',#1104,8.085106611252E-1); +#1106=CARTESIAN_POINT('',(-2.345554838855E1,-4.540425491333E0,-2.E1)); +#1107=LINE('',#1106,#1105); +#1108=DIRECTION('',(1.E0,0.E0,0.E0)); +#1109=VECTOR('',#1108,1.903409090909E-1); +#1110=CARTESIAN_POINT('',(-2.330965909091E1,-8.5E-1,-2.E1)); +#1111=LINE('',#1110,#1109); +#1112=DIRECTION('',(0.E0,1.E0,0.E0)); +#1113=VECTOR('',#1112,2.E0); +#1114=CARTESIAN_POINT('',(-2.311931818182E1,-8.5E-1,-2.E1)); +#1115=LINE('',#1114,#1113); +#1116=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1117=VECTOR('',#1116,1.903409090909E-1); +#1118=CARTESIAN_POINT('',(-2.311931818182E1,1.15E0,-2.E1)); +#1119=LINE('',#1118,#1117); +#1120=DIRECTION('',(-5.384567811798E-1,-8.426531283995E-1,0.E0)); +#1121=VECTOR('',#1120,3.534933828373E-1); +#1122=CARTESIAN_POINT('',(-2.330965909091E1,1.15E0,-2.E1)); +#1123=LINE('',#1122,#1121); +#1124=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1125=VECTOR('',#1124,3.404256105423E-1); +#1126=CARTESIAN_POINT('',(-2.35E1,8.521276950836E-1,-2.E1)); +#1127=LINE('',#1126,#1125); +#1128=DIRECTION('',(5.384566281667E-1,8.426532261751E-1,0.E0)); +#1129=VECTOR('',#1128,3.534934832894E-1); +#1130=CARTESIAN_POINT('',(-2.35E1,5.117020845413E-1,-2.E1)); +#1131=LINE('',#1130,#1129); +#1132=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1133=VECTOR('',#1132,1.659574508667E0); +#1134=CARTESIAN_POINT('',(-2.330965909091E1,8.095745086670E-1,-2.E1)); +#1135=LINE('',#1134,#1133); +#1136=DIRECTION('',(7.454208084990E-1,6.665941930866E-1,0.E0)); +#1137=VECTOR('',#1136,1.276734610308E-1); +#1138=CARTESIAN_POINT('',(-2.191382581808E1,-7.223404258490E-1,-2.E1)); +#1139=LINE('',#1138,#1137); +#1140=DIRECTION('',(4.450647363362E-1,8.954983978042E-1,0.E0)); +#1141=VECTOR('',#1140,1.425569934719E-1); +#1142=CARTESIAN_POINT('',(-2.181865536354E1,-6.372340381145E-1,-2.E1)); +#1143=LINE('',#1142,#1141); +#1144=DIRECTION('',(2.411649726592E-1,9.704841348329E-1,0.E0)); +#1145=VECTOR('',#1144,1.315421700059E-1); +#1146=CARTESIAN_POINT('',(-2.175520827283E1,-5.095744788647E-1,-2.E1)); +#1147=LINE('',#1146,#1145); +#1148=DIRECTION('',(0.E0,1.E0,0.E0)); +#1149=VECTOR('',#1148,1.702127456665E-1); +#1150=CARTESIAN_POINT('',(-2.172348490899E1,-3.819148898125E-1,-2.E1)); +#1151=LINE('',#1150,#1149); +#1152=DIRECTION('',(-1.832197644970E-1,9.830719800186E-1,0.E0)); +#1153=VECTOR('',#1152,1.731437867530E-1); +#1154=CARTESIAN_POINT('',(-2.172348490899E1,-2.117021441460E-1,-2.E1)); +#1155=LINE('',#1154,#1153); +#1156=DIRECTION('',(-4.450647363362E-1,8.954983978042E-1,0.E0)); +#1157=VECTOR('',#1156,1.425569934719E-1); +#1158=CARTESIAN_POINT('',(-2.175520827283E1,-4.148933887482E-2,-2.E1)); +#1159=LINE('',#1158,#1157); +#1160=DIRECTION('',(-8.811727274958E-1,4.727944842293E-1,0.E0)); +#1161=VECTOR('',#1160,1.800071186786E-1); +#1162=CARTESIAN_POINT('',(-2.181865536354E1,8.617022037506E-2,-2.E1)); +#1163=LINE('',#1162,#1161); +#1164=DIRECTION('',(7.049769984727E-1,7.092301682983E-1,0.E0)); +#1165=VECTOR('',#1164,1.799973618666E-1); +#1166=CARTESIAN_POINT('',(-2.197727272727E1,1.712765932083E-1,-2.E1)); +#1167=LINE('',#1166,#1165); +#1168=DIRECTION('',(4.450637152235E-1,8.954989052989E-1,0.E0)); +#1169=VECTOR('',#1168,1.425569126824E-1); +#1170=CARTESIAN_POINT('',(-2.185037872737E1,2.989361524582E-1,-2.E1)); +#1171=LINE('',#1170,#1169); +#1172=DIRECTION('',(1.832208397044E-1,9.830717796265E-1,0.E0)); +#1173=VECTOR('',#1172,1.731437614161E-1); +#1174=CARTESIAN_POINT('',(-2.178693181818E1,4.265957117081E-1,-2.E1)); +#1175=LINE('',#1174,#1173); +#1176=DIRECTION('',(0.E0,1.E0,0.E0)); +#1177=VECTOR('',#1176,8.510649204254E-2); +#1178=CARTESIAN_POINT('',(-2.175520827283E1,5.968084573746E-1,-2.E1)); +#1179=LINE('',#1178,#1177); +#1180=DIRECTION('',(-1.832208397044E-1,9.830717796265E-1,0.E0)); +#1181=VECTOR('',#1180,1.731437614161E-1); +#1182=CARTESIAN_POINT('',(-2.175520827283E1,6.819149494171E-1,-2.E1)); +#1183=LINE('',#1182,#1181); +#1184=DIRECTION('',(-5.976897280962E-1,8.017275029137E-1,0.E0)); +#1185=VECTOR('',#1184,2.123074798456E-1); +#1186=CARTESIAN_POINT('',(-2.178693181818E1,8.521276950836E-1,-2.E1)); +#1187=LINE('',#1186,#1185); +#1188=DIRECTION('',(-8.305052070449E-1,5.570108626152E-1,0.E0)); +#1189=VECTOR('',#1188,1.527912264290E-1); +#1190=CARTESIAN_POINT('',(-2.191382581808E1,1.022340440750E0,-2.E1)); +#1191=LINE('',#1190,#1189); +#1192=DIRECTION('',(-9.821304926347E-1,1.882012099777E-1,0.E0)); +#1193=VECTOR('',#1192,2.261047440751E-1); +#1194=CARTESIAN_POINT('',(-2.204071972722E1,1.107446813583E0,-2.E1)); +#1195=LINE('',#1194,#1193); +#1196=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1197=VECTOR('',#1196,6.344699995084E-2); +#1198=CARTESIAN_POINT('',(-2.226278409091E1,1.15E0,-2.E1)); +#1199=LINE('',#1198,#1197); +#1200=DIRECTION('',(-9.821304926347E-1,-1.882012099777E-1,0.E0)); +#1201=VECTOR('',#1200,2.261047440751E-1); +#1202=CARTESIAN_POINT('',(-2.232623109086E1,1.15E0,-2.E1)); +#1203=LINE('',#1202,#1201); +#1204=DIRECTION('',(-8.305052070449E-1,-5.570108626152E-1,0.E0)); +#1205=VECTOR('',#1204,1.527912264290E-1); +#1206=CARTESIAN_POINT('',(-2.254829545455E1,1.107446813583E0,-2.E1)); +#1207=LINE('',#1206,#1205); +#1208=DIRECTION('',(-5.976895907045E-1,-8.017276053396E-1,0.E0)); +#1209=VECTOR('',#1208,2.123074527219E-1); +#1210=CARTESIAN_POINT('',(-2.267518936369E1,1.022340440750E0,-2.E1)); +#1211=LINE('',#1210,#1209); +#1212=DIRECTION('',(-1.832205864040E-1,-9.830718268355E-1,0.E0)); +#1213=VECTOR('',#1212,1.731437531013E-1); +#1214=CARTESIAN_POINT('',(-2.280208331821E1,8.521276950836E-1,-2.E1)); +#1215=LINE('',#1214,#1213); +#1216=DIRECTION('',(1.E0,0.E0,0.E0)); +#1217=VECTOR('',#1216,1.586174544963E-1); +#1218=CARTESIAN_POINT('',(-2.283380681818E1,6.819149494171E-1,-2.E1)); +#1219=LINE('',#1218,#1217); +#1220=DIRECTION('',(4.450637152235E-1,8.954989052989E-1,0.E0)); +#1221=VECTOR('',#1220,1.425569126824E-1); +#1222=CARTESIAN_POINT('',(-2.267518936369E1,6.819149494171E-1,-2.E1)); +#1223=LINE('',#1222,#1221); +#1224=DIRECTION('',(7.454208664929E-1,6.665941282347E-1,0.E0)); +#1225=VECTOR('',#1224,1.276734510978E-1); +#1226=CARTESIAN_POINT('',(-2.261174245450E1,8.095745086670E-1,-2.E1)); +#1227=LINE('',#1226,#1225); +#1228=DIRECTION('',(9.658469762536E-1,2.591131383426E-1,0.E0)); +#1229=VECTOR('',#1228,1.642262784852E-1); +#1230=CARTESIAN_POINT('',(-2.251657199995E1,8.946808815002E-1,-2.E1)); +#1231=LINE('',#1230,#1229); +#1232=DIRECTION('',(1.E0,0.E0,0.E0)); +#1233=VECTOR('',#1232,1.268939091401E-1); +#1234=CARTESIAN_POINT('',(-2.235795454545E1,9.372340679169E-1,-2.E1)); +#1235=LINE('',#1234,#1233); +#1236=DIRECTION('',(9.658469762536E-1,-2.591131383426E-1,0.E0)); +#1237=VECTOR('',#1236,1.642262784852E-1); +#1238=CARTESIAN_POINT('',(-2.223106063631E1,9.372340679169E-1,-2.E1)); +#1239=LINE('',#1238,#1237); +#1240=DIRECTION('',(7.454208664929E-1,-6.665941282347E-1,0.E0)); +#1241=VECTOR('',#1240,1.276734510978E-1); +#1242=CARTESIAN_POINT('',(-2.207244318182E1,8.946808815002E-1,-2.E1)); +#1243=LINE('',#1242,#1241); +#1244=DIRECTION('',(4.450637152235E-1,-8.954989052989E-1,0.E0)); +#1245=VECTOR('',#1244,1.425569126824E-1); +#1246=CARTESIAN_POINT('',(-2.197727272727E1,8.095745086670E-1,-2.E1)); +#1247=LINE('',#1246,#1245); +#1248=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1249=VECTOR('',#1248,8.510649204254E-2); +#1250=CARTESIAN_POINT('',(-2.191382581808E1,6.819149494171E-1,-2.E1)); +#1251=LINE('',#1250,#1249); +#1252=DIRECTION('',(-3.492749114305E-1,-9.370202966026E-1,0.E0)); +#1253=VECTOR('',#1252,1.816532110176E-1); +#1254=CARTESIAN_POINT('',(-2.191382581808E1,5.968084573746E-1,-2.E1)); +#1255=LINE('',#1254,#1253); +#1256=DIRECTION('',(-5.976897280962E-1,-8.017275029138E-1,0.E0)); +#1257=VECTOR('',#1256,1.061537399228E-1); +#1258=CARTESIAN_POINT('',(-2.197727272727E1,4.265957117081E-1,-2.E1)); +#1259=LINE('',#1258,#1257); +#1260=DIRECTION('',(-9.129007220280E-1,-4.081816650962E-1,0.E0)); +#1261=VECTOR('',#1260,2.085012143139E-1); +#1262=CARTESIAN_POINT('',(-2.204071972722E1,3.414893388748E-1,-2.E1)); +#1263=LINE('',#1262,#1261); +#1264=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1265=VECTOR('',#1264,1.268939091401E-1); +#1266=CARTESIAN_POINT('',(-2.223106063631E1,2.563829660416E-1,-2.E1)); +#1267=LINE('',#1266,#1265); +#1268=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1269=VECTOR('',#1268,1.702127456665E-1); +#1270=CARTESIAN_POINT('',(-2.235795454545E1,2.563829660416E-1,-2.E1)); +#1271=LINE('',#1270,#1269); +#1272=DIRECTION('',(1.E0,0.E0,0.E0)); +#1273=VECTOR('',#1272,1.268939091401E-1); +#1274=CARTESIAN_POINT('',(-2.235795454545E1,8.617022037506E-2,-2.E1)); +#1275=LINE('',#1274,#1273); +#1276=DIRECTION('',(9.759091726016E-1,-2.181771913655E-1,0.E0)); +#1277=VECTOR('',#1276,1.950395737992E-1); +#1278=CARTESIAN_POINT('',(-2.223106063631E1,8.617022037506E-2,-2.E1)); +#1279=LINE('',#1278,#1277); +#1280=DIRECTION('',(7.454211823744E-1,-6.665937749991E-1,0.E0)); +#1281=VECTOR('',#1280,1.276735187534E-1); +#1282=CARTESIAN_POINT('',(-2.204071972722E1,4.361703395843E-2,-2.E1)); +#1283=LINE('',#1282,#1281); +#1284=DIRECTION('',(3.492748040431E-1,-9.370203366313E-1,0.E0)); +#1285=VECTOR('',#1284,1.816532668683E-1); +#1286=CARTESIAN_POINT('',(-2.194554918192E1,-4.148933887482E-2,-2.E1)); +#1287=LINE('',#1286,#1285); +#1288=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1289=VECTOR('',#1288,1.702127456665E-1); +#1290=CARTESIAN_POINT('',(-2.188210227273E1,-2.117021441460E-1,-2.E1)); +#1291=LINE('',#1290,#1289); +#1292=DIRECTION('',(-3.492757888088E-1,-9.370199695588E-1,0.E0)); +#1293=VECTOR('',#1292,9.082663720958E-2); +#1294=CARTESIAN_POINT('',(-2.188210227273E1,-3.819148898125E-1,-2.E1)); +#1295=LINE('',#1294,#1293); +#1296=DIRECTION('',(-5.976890439995E-1,-8.017280129090E-1,0.E0)); +#1297=VECTOR('',#1296,1.061537095689E-1); +#1298=CARTESIAN_POINT('',(-2.191382581808E1,-4.670212626457E-1,-2.E1)); +#1299=LINE('',#1298,#1297); +#1300=DIRECTION('',(-8.305053913472E-1,-5.570105878197E-1,0.E0)); +#1301=VECTOR('',#1300,7.639565090350E-2); +#1302=CARTESIAN_POINT('',(-2.197727272727E1,-5.521276652813E-1,-2.E1)); +#1303=LINE('',#1302,#1301); +#1304=DIRECTION('',(-9.658469391481E-1,-2.591132766539E-1,0.E0)); +#1305=VECTOR('',#1304,1.642261908233E-1); +#1306=CARTESIAN_POINT('',(-2.204071972722E1,-5.946808516979E-1,-2.E1)); +#1307=LINE('',#1306,#1305); +#1308=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1309=VECTOR('',#1308,1.903409090909E-1); +#1310=CARTESIAN_POINT('',(-2.219933709096E1,-6.372340381145E-1,-2.E1)); +#1311=LINE('',#1310,#1309); +#1312=DIRECTION('',(-9.658469762536E-1,2.591131383426E-1,0.E0)); +#1313=VECTOR('',#1312,1.642262784852E-1); +#1314=CARTESIAN_POINT('',(-2.238967800005E1,-6.372340381145E-1,-2.E1)); +#1315=LINE('',#1314,#1313); +#1316=DIRECTION('',(-8.305053913472E-1,5.570105878197E-1,0.E0)); +#1317=VECTOR('',#1316,7.639565090350E-2); +#1318=CARTESIAN_POINT('',(-2.254829545455E1,-5.946808516979E-1,-2.E1)); +#1319=LINE('',#1318,#1317); +#1320=DIRECTION('',(-5.976890439995E-1,8.017280129090E-1,0.E0)); +#1321=VECTOR('',#1320,1.061537095689E-1); +#1322=CARTESIAN_POINT('',(-2.261174245450E1,-5.521276652813E-1,-2.E1)); +#1323=LINE('',#1322,#1321); +#1324=DIRECTION('',(-3.492757888088E-1,9.370199695588E-1,0.E0)); +#1325=VECTOR('',#1324,9.082663720958E-2); +#1326=CARTESIAN_POINT('',(-2.267518936369E1,-4.670212626457E-1,-2.E1)); +#1327=LINE('',#1326,#1325); +#1328=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1329=VECTOR('',#1328,1.586174091155E-1); +#1330=CARTESIAN_POINT('',(-2.270691290904E1,-3.819148898125E-1,-2.E1)); +#1331=LINE('',#1330,#1329); +#1332=DIRECTION('',(2.411659474360E-1,-9.704838926006E-1,0.E0)); +#1333=VECTOR('',#1332,1.315422028387E-1); +#1334=CARTESIAN_POINT('',(-2.286553031816E1,-3.819148898125E-1,-2.E1)); +#1335=LINE('',#1334,#1333); +#1336=DIRECTION('',(4.450639705018E-1,-8.954987784253E-1,0.E0)); +#1337=VECTOR('',#1336,1.425569328798E-1); +#1338=CARTESIAN_POINT('',(-2.283380681818E1,-5.095744788647E-1,-2.E1)); +#1339=LINE('',#1338,#1337); +#1340=DIRECTION('',(7.454209664398E-1,-6.665940164687E-1,0.E0)); +#1341=VECTOR('',#1340,1.276734948586E-1); +#1342=CARTESIAN_POINT('',(-2.277035986361E1,-6.372340381145E-1,-2.E1)); +#1343=LINE('',#1342,#1341); +#1344=DIRECTION('',(8.305051732081E-1,-5.570109130659E-1,0.E0)); +#1345=VECTOR('',#1344,1.527912326541E-1); +#1346=CARTESIAN_POINT('',(-2.267518936369E1,-7.223404258490E-1,-2.E1)); +#1347=LINE('',#1346,#1345); +#1348=DIRECTION('',(9.481096686620E-1,-3.179434795521E-1,0.E0)); +#1349=VECTOR('',#1348,1.338388514898E-1); +#1350=CARTESIAN_POINT('',(-2.254829545455E1,-8.074468098581E-1,-2.E1)); +#1351=LINE('',#1350,#1349); +#1352=DIRECTION('',(1.E0,0.E0,0.E0)); +#1353=VECTOR('',#1352,2.537879090418E-1); +#1354=CARTESIAN_POINT('',(-2.242140154541E1,-8.5E-1,-2.E1)); +#1355=LINE('',#1354,#1353); +#1356=DIRECTION('',(9.481096686620E-1,3.179434795521E-1,0.E0)); +#1357=VECTOR('',#1356,1.338388514898E-1); +#1358=CARTESIAN_POINT('',(-2.216761363636E1,-8.5E-1,-2.E1)); +#1359=LINE('',#1358,#1357); +#1360=DIRECTION('',(8.305051732081E-1,5.570109130660E-1,0.E0)); +#1361=VECTOR('',#1360,1.527912326541E-1); +#1362=CARTESIAN_POINT('',(-2.204071972722E1,-8.074468098581E-1,-2.E1)); +#1363=LINE('',#1362,#1361); +#1364=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1365=VECTOR('',#1364,2.21E1); +#1366=CARTESIAN_POINT('',(3.575E1,1.105E1,-2.E1)); +#1367=LINE('',#1366,#1365); +#1368=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.E1)); +#1369=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1370=DIRECTION('',(1.E0,7.491591887905E-14,0.E0)); +#1371=AXIS2_PLACEMENT_3D('',#1368,#1369,#1370); +#1373=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1374=VECTOR('',#1373,6.69E1); +#1375=CARTESIAN_POINT('',(3.345E1,-1.335E1,-2.E1)); +#1376=LINE('',#1375,#1374); +#1377=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.E1)); +#1378=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1379=DIRECTION('',(5.560769236383E-14,-1.E0,0.E0)); +#1380=AXIS2_PLACEMENT_3D('',#1377,#1378,#1379); +#1382=DIRECTION('',(0.E0,1.E0,0.E0)); +#1383=VECTOR('',#1382,2.21E1); +#1384=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-2.E1)); +#1385=LINE('',#1384,#1383); +#1386=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.E1)); +#1387=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1388=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1389=AXIS2_PLACEMENT_3D('',#1386,#1387,#1388); +#1391=DIRECTION('',(1.E0,0.E0,0.E0)); +#1392=VECTOR('',#1391,6.69E1); +#1393=CARTESIAN_POINT('',(-3.345E1,1.335E1,-2.E1)); +#1394=LINE('',#1393,#1392); +#1395=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.E1)); +#1396=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1397=DIRECTION('',(1.853589745461E-14,1.E0,0.E0)); +#1398=AXIS2_PLACEMENT_3D('',#1395,#1396,#1397); +#1400=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.E1)); +#1401=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1402=DIRECTION('',(0.E0,1.E0,0.E0)); +#1403=AXIS2_PLACEMENT_3D('',#1400,#1401,#1402); +#1405=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.E1)); +#1406=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1407=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1408=AXIS2_PLACEMENT_3D('',#1405,#1406,#1407); +#1410=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.E1)); +#1411=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1412=DIRECTION('',(0.E0,1.E0,0.E0)); +#1413=AXIS2_PLACEMENT_3D('',#1410,#1411,#1412); +#1415=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.E1)); +#1416=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1417=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1418=AXIS2_PLACEMENT_3D('',#1415,#1416,#1417); +#1420=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.E1)); +#1421=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1422=DIRECTION('',(0.E0,1.E0,0.E0)); +#1423=AXIS2_PLACEMENT_3D('',#1420,#1421,#1422); +#1425=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.E1)); +#1426=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1427=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1428=AXIS2_PLACEMENT_3D('',#1425,#1426,#1427); +#1430=CARTESIAN_POINT('',(-1.E1,4.E0,-2.E1)); +#1431=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1432=DIRECTION('',(0.E0,1.E0,0.E0)); +#1433=AXIS2_PLACEMENT_3D('',#1430,#1431,#1432); +#1435=CARTESIAN_POINT('',(-1.E1,4.E0,-2.E1)); +#1436=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1437=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1438=AXIS2_PLACEMENT_3D('',#1435,#1436,#1437); +#1440=CARTESIAN_POINT('',(-6.E0,4.E0,-2.E1)); +#1441=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1442=DIRECTION('',(0.E0,1.E0,0.E0)); +#1443=AXIS2_PLACEMENT_3D('',#1440,#1441,#1442); +#1445=CARTESIAN_POINT('',(-6.E0,4.E0,-2.E1)); +#1446=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1447=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1448=AXIS2_PLACEMENT_3D('',#1445,#1446,#1447); +#1450=CARTESIAN_POINT('',(-2.E0,4.E0,-2.E1)); +#1451=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1452=DIRECTION('',(0.E0,1.E0,0.E0)); +#1453=AXIS2_PLACEMENT_3D('',#1450,#1451,#1452); +#1455=CARTESIAN_POINT('',(-2.E0,4.E0,-2.E1)); +#1456=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1457=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1458=AXIS2_PLACEMENT_3D('',#1455,#1456,#1457); +#1460=CARTESIAN_POINT('',(2.E0,4.E0,-2.E1)); +#1461=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1462=DIRECTION('',(0.E0,1.E0,0.E0)); +#1463=AXIS2_PLACEMENT_3D('',#1460,#1461,#1462); +#1465=CARTESIAN_POINT('',(2.E0,4.E0,-2.E1)); +#1466=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1467=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1468=AXIS2_PLACEMENT_3D('',#1465,#1466,#1467); +#1470=CARTESIAN_POINT('',(6.E0,4.E0,-2.E1)); +#1471=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1472=DIRECTION('',(0.E0,1.E0,0.E0)); +#1473=AXIS2_PLACEMENT_3D('',#1470,#1471,#1472); +#1475=CARTESIAN_POINT('',(6.E0,4.E0,-2.E1)); +#1476=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1477=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1478=AXIS2_PLACEMENT_3D('',#1475,#1476,#1477); +#1480=CARTESIAN_POINT('',(1.E1,4.E0,-2.E1)); +#1481=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1482=DIRECTION('',(0.E0,1.E0,0.E0)); +#1483=AXIS2_PLACEMENT_3D('',#1480,#1481,#1482); +#1485=CARTESIAN_POINT('',(1.E1,4.E0,-2.E1)); +#1486=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1487=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1488=AXIS2_PLACEMENT_3D('',#1485,#1486,#1487); +#1490=CARTESIAN_POINT('',(1.4E1,4.E0,-2.E1)); +#1491=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1492=DIRECTION('',(0.E0,1.E0,0.E0)); +#1493=AXIS2_PLACEMENT_3D('',#1490,#1491,#1492); +#1495=CARTESIAN_POINT('',(1.4E1,4.E0,-2.E1)); +#1496=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1497=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1498=AXIS2_PLACEMENT_3D('',#1495,#1496,#1497); +#1500=CARTESIAN_POINT('',(1.8E1,4.E0,-2.E1)); +#1501=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1502=DIRECTION('',(0.E0,1.E0,0.E0)); +#1503=AXIS2_PLACEMENT_3D('',#1500,#1501,#1502); +#1505=CARTESIAN_POINT('',(1.8E1,4.E0,-2.E1)); +#1506=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1507=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1508=AXIS2_PLACEMENT_3D('',#1505,#1506,#1507); +#1510=CARTESIAN_POINT('',(2.2E1,4.E0,-2.E1)); +#1511=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1512=DIRECTION('',(0.E0,1.E0,0.E0)); +#1513=AXIS2_PLACEMENT_3D('',#1510,#1511,#1512); +#1515=CARTESIAN_POINT('',(2.2E1,4.E0,-2.E1)); +#1516=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1517=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1518=AXIS2_PLACEMENT_3D('',#1515,#1516,#1517); +#1520=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.E1)); +#1521=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1522=DIRECTION('',(0.E0,1.E0,0.E0)); +#1523=AXIS2_PLACEMENT_3D('',#1520,#1521,#1522); +#1525=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.E1)); +#1526=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1527=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1528=AXIS2_PLACEMENT_3D('',#1525,#1526,#1527); +#1530=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.E1)); +#1531=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1532=DIRECTION('',(0.E0,1.E0,0.E0)); +#1533=AXIS2_PLACEMENT_3D('',#1530,#1531,#1532); +#1535=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.E1)); +#1536=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1537=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1538=AXIS2_PLACEMENT_3D('',#1535,#1536,#1537); +#1540=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.E1)); +#1541=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1542=DIRECTION('',(0.E0,1.E0,0.E0)); +#1543=AXIS2_PLACEMENT_3D('',#1540,#1541,#1542); +#1545=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.E1)); +#1546=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1547=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1548=AXIS2_PLACEMENT_3D('',#1545,#1546,#1547); +#1550=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.E1)); +#1551=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1552=DIRECTION('',(0.E0,1.E0,0.E0)); +#1553=AXIS2_PLACEMENT_3D('',#1550,#1551,#1552); +#1555=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.E1)); +#1556=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1557=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1558=AXIS2_PLACEMENT_3D('',#1555,#1556,#1557); +#1560=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.E1)); +#1561=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1562=DIRECTION('',(0.E0,1.E0,0.E0)); +#1563=AXIS2_PLACEMENT_3D('',#1560,#1561,#1562); +#1565=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.E1)); +#1566=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1567=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1568=AXIS2_PLACEMENT_3D('',#1565,#1566,#1567); +#1570=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.E1)); +#1571=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1572=DIRECTION('',(0.E0,1.E0,0.E0)); +#1573=AXIS2_PLACEMENT_3D('',#1570,#1571,#1572); +#1575=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.E1)); +#1576=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1577=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1578=AXIS2_PLACEMENT_3D('',#1575,#1576,#1577); +#1580=CARTESIAN_POINT('',(2.E0,-4.E0,-2.E1)); +#1581=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1582=DIRECTION('',(0.E0,1.E0,0.E0)); +#1583=AXIS2_PLACEMENT_3D('',#1580,#1581,#1582); +#1585=CARTESIAN_POINT('',(2.E0,-4.E0,-2.E1)); +#1586=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1587=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1588=AXIS2_PLACEMENT_3D('',#1585,#1586,#1587); +#1590=CARTESIAN_POINT('',(6.E0,-4.E0,-2.E1)); +#1591=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1592=DIRECTION('',(0.E0,1.E0,0.E0)); +#1593=AXIS2_PLACEMENT_3D('',#1590,#1591,#1592); +#1595=CARTESIAN_POINT('',(6.E0,-4.E0,-2.E1)); +#1596=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1597=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1598=AXIS2_PLACEMENT_3D('',#1595,#1596,#1597); +#1600=CARTESIAN_POINT('',(1.E1,-4.E0,-2.E1)); +#1601=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1602=DIRECTION('',(0.E0,1.E0,0.E0)); +#1603=AXIS2_PLACEMENT_3D('',#1600,#1601,#1602); +#1605=CARTESIAN_POINT('',(1.E1,-4.E0,-2.E1)); +#1606=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1607=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1608=AXIS2_PLACEMENT_3D('',#1605,#1606,#1607); +#1610=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.E1)); +#1611=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1612=DIRECTION('',(0.E0,1.E0,0.E0)); +#1613=AXIS2_PLACEMENT_3D('',#1610,#1611,#1612); +#1615=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.E1)); +#1616=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1617=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1618=AXIS2_PLACEMENT_3D('',#1615,#1616,#1617); +#1620=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.E1)); +#1621=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1622=DIRECTION('',(0.E0,1.E0,0.E0)); +#1623=AXIS2_PLACEMENT_3D('',#1620,#1621,#1622); +#1625=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.E1)); +#1626=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1627=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1628=AXIS2_PLACEMENT_3D('',#1625,#1626,#1627); +#1630=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.E1)); +#1631=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1632=DIRECTION('',(0.E0,1.E0,0.E0)); +#1633=AXIS2_PLACEMENT_3D('',#1630,#1631,#1632); +#1635=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.E1)); +#1636=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1637=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1638=AXIS2_PLACEMENT_3D('',#1635,#1636,#1637); +#1640=CARTESIAN_POINT('',(-2.E1,0.E0,-2.E1)); +#1641=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1642=DIRECTION('',(0.E0,1.E0,0.E0)); +#1643=AXIS2_PLACEMENT_3D('',#1640,#1641,#1642); +#1645=CARTESIAN_POINT('',(-2.E1,0.E0,-2.E1)); +#1646=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1647=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1648=AXIS2_PLACEMENT_3D('',#1645,#1646,#1647); +#1650=CARTESIAN_POINT('',(-1.6E1,0.E0,-2.E1)); +#1651=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1652=DIRECTION('',(0.E0,1.E0,0.E0)); +#1653=AXIS2_PLACEMENT_3D('',#1650,#1651,#1652); +#1655=CARTESIAN_POINT('',(-1.6E1,0.E0,-2.E1)); +#1656=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1657=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1658=AXIS2_PLACEMENT_3D('',#1655,#1656,#1657); +#1660=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.E1)); +#1661=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1662=DIRECTION('',(0.E0,1.E0,0.E0)); +#1663=AXIS2_PLACEMENT_3D('',#1660,#1661,#1662); +#1665=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.E1)); +#1666=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1667=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1668=AXIS2_PLACEMENT_3D('',#1665,#1666,#1667); +#1670=CARTESIAN_POINT('',(-8.E0,0.E0,-2.E1)); +#1671=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1672=DIRECTION('',(0.E0,1.E0,0.E0)); +#1673=AXIS2_PLACEMENT_3D('',#1670,#1671,#1672); +#1675=CARTESIAN_POINT('',(-8.E0,0.E0,-2.E1)); +#1676=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1677=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1678=AXIS2_PLACEMENT_3D('',#1675,#1676,#1677); +#1680=CARTESIAN_POINT('',(-4.E0,0.E0,-2.E1)); +#1681=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1682=DIRECTION('',(0.E0,1.E0,0.E0)); +#1683=AXIS2_PLACEMENT_3D('',#1680,#1681,#1682); +#1685=CARTESIAN_POINT('',(-4.E0,0.E0,-2.E1)); +#1686=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1687=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1688=AXIS2_PLACEMENT_3D('',#1685,#1686,#1687); +#1690=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#1691=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1692=DIRECTION('',(0.E0,1.E0,0.E0)); +#1693=AXIS2_PLACEMENT_3D('',#1690,#1691,#1692); +#1695=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#1696=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1697=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1698=AXIS2_PLACEMENT_3D('',#1695,#1696,#1697); +#1700=CARTESIAN_POINT('',(4.E0,0.E0,-2.E1)); +#1701=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1702=DIRECTION('',(0.E0,1.E0,0.E0)); +#1703=AXIS2_PLACEMENT_3D('',#1700,#1701,#1702); +#1705=CARTESIAN_POINT('',(4.E0,0.E0,-2.E1)); +#1706=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1707=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1708=AXIS2_PLACEMENT_3D('',#1705,#1706,#1707); +#1710=CARTESIAN_POINT('',(8.E0,0.E0,-2.E1)); +#1711=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1712=DIRECTION('',(0.E0,1.E0,0.E0)); +#1713=AXIS2_PLACEMENT_3D('',#1710,#1711,#1712); +#1715=CARTESIAN_POINT('',(8.E0,0.E0,-2.E1)); +#1716=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1717=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1718=AXIS2_PLACEMENT_3D('',#1715,#1716,#1717); +#1720=CARTESIAN_POINT('',(1.2E1,0.E0,-2.E1)); +#1721=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1722=DIRECTION('',(0.E0,1.E0,0.E0)); +#1723=AXIS2_PLACEMENT_3D('',#1720,#1721,#1722); +#1725=CARTESIAN_POINT('',(1.2E1,0.E0,-2.E1)); +#1726=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1727=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1728=AXIS2_PLACEMENT_3D('',#1725,#1726,#1727); +#1730=CARTESIAN_POINT('',(1.6E1,0.E0,-2.E1)); +#1731=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1732=DIRECTION('',(0.E0,1.E0,0.E0)); +#1733=AXIS2_PLACEMENT_3D('',#1730,#1731,#1732); +#1735=CARTESIAN_POINT('',(1.6E1,0.E0,-2.E1)); +#1736=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1737=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1738=AXIS2_PLACEMENT_3D('',#1735,#1736,#1737); +#1740=CARTESIAN_POINT('',(2.E1,0.E0,-2.E1)); +#1741=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1742=DIRECTION('',(0.E0,1.E0,0.E0)); +#1743=AXIS2_PLACEMENT_3D('',#1740,#1741,#1742); +#1745=CARTESIAN_POINT('',(2.E1,0.E0,-2.E1)); +#1746=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1747=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1748=AXIS2_PLACEMENT_3D('',#1745,#1746,#1747); +#1750=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1751=VECTOR('',#1750,2.225E0); +#1752=CARTESIAN_POINT('',(-1.075E0,1.03E1,-2.E1)); +#1753=LINE('',#1752,#1751); +#1754=DIRECTION('',(0.E0,1.E0,0.E0)); +#1755=VECTOR('',#1754,1.2E0); +#1756=CARTESIAN_POINT('',(1.25E0,9.1E0,-2.E1)); +#1757=LINE('',#1756,#1755); +#1758=CARTESIAN_POINT('',(1.75E0,9.1E0,-2.E1)); +#1759=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1760=DIRECTION('',(1.E0,6.039613253961E-14,0.E0)); +#1761=AXIS2_PLACEMENT_3D('',#1758,#1759,#1760); +#1763=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1764=VECTOR('',#1763,1.2E0); +#1765=CARTESIAN_POINT('',(2.25E0,1.03E1,-2.E1)); +#1766=LINE('',#1765,#1764); +#1767=DIRECTION('',(0.E0,1.E0,0.E0)); +#1768=VECTOR('',#1767,1.2E0); +#1769=CARTESIAN_POINT('',(4.75E0,9.1E0,-2.E1)); +#1770=LINE('',#1769,#1768); +#1771=CARTESIAN_POINT('',(5.25E0,9.1E0,-2.E1)); +#1772=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1773=DIRECTION('',(1.E0,-6.039613253961E-14,0.E0)); +#1774=AXIS2_PLACEMENT_3D('',#1771,#1772,#1773); +#1776=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1777=VECTOR('',#1776,1.2E0); +#1778=CARTESIAN_POINT('',(5.75E0,1.03E1,-2.E1)); +#1779=LINE('',#1778,#1777); +#1780=DIRECTION('',(0.E0,1.E0,0.E0)); +#1781=VECTOR('',#1780,1.2E0); +#1782=CARTESIAN_POINT('',(8.25E0,9.1E0,-2.E1)); +#1783=LINE('',#1782,#1781); +#1784=CARTESIAN_POINT('',(8.75E0,9.1E0,-2.E1)); +#1785=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1786=DIRECTION('',(1.E0,-1.136868377216E-13,0.E0)); +#1787=AXIS2_PLACEMENT_3D('',#1784,#1785,#1786); +#1789=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1790=VECTOR('',#1789,1.2E0); +#1791=CARTESIAN_POINT('',(9.25E0,1.03E1,-2.E1)); +#1792=LINE('',#1791,#1790); +#1793=DIRECTION('',(0.E0,1.E0,0.E0)); +#1794=VECTOR('',#1793,1.2E0); +#1795=CARTESIAN_POINT('',(1.175E1,9.1E0,-2.E1)); +#1796=LINE('',#1795,#1794); +#1797=CARTESIAN_POINT('',(1.225E1,9.1E0,-2.E1)); +#1798=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1799=DIRECTION('',(1.E0,1.172395514004E-13,0.E0)); +#1800=AXIS2_PLACEMENT_3D('',#1797,#1798,#1799); +#1802=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1803=VECTOR('',#1802,1.2E0); +#1804=CARTESIAN_POINT('',(1.275E1,1.03E1,-2.E1)); +#1805=LINE('',#1804,#1803); +#1806=DIRECTION('',(0.E0,1.E0,0.E0)); +#1807=VECTOR('',#1806,1.2E0); +#1808=CARTESIAN_POINT('',(1.525E1,9.1E0,-2.E1)); +#1809=LINE('',#1808,#1807); +#1810=CARTESIAN_POINT('',(1.575E1,9.1E0,-2.E1)); +#1811=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1812=DIRECTION('',(1.E0,1.243449787580E-13,0.E0)); +#1813=AXIS2_PLACEMENT_3D('',#1810,#1811,#1812); +#1815=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1816=VECTOR('',#1815,1.2E0); +#1817=CARTESIAN_POINT('',(1.625E1,1.03E1,-2.E1)); +#1818=LINE('',#1817,#1816); +#1819=DIRECTION('',(0.E0,1.E0,0.E0)); +#1820=VECTOR('',#1819,1.2E0); +#1821=CARTESIAN_POINT('',(1.875E1,9.1E0,-2.E1)); +#1822=LINE('',#1821,#1820); +#1823=CARTESIAN_POINT('',(1.925E1,9.1E0,-2.E1)); +#1824=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1825=DIRECTION('',(1.E0,1.172395514004E-13,0.E0)); +#1826=AXIS2_PLACEMENT_3D('',#1823,#1824,#1825); +#1828=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1829=VECTOR('',#1828,1.2E0); +#1830=CARTESIAN_POINT('',(1.975E1,1.03E1,-2.E1)); +#1831=LINE('',#1830,#1829); +#1832=CARTESIAN_POINT('',(2.35E1,5.6E0,-2.E1)); +#1833=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1834=DIRECTION('',(-9.599885898035E-14,1.E0,0.E0)); +#1835=AXIS2_PLACEMENT_3D('',#1832,#1833,#1834); +#1837=DIRECTION('',(1.E0,0.E0,0.E0)); +#1838=VECTOR('',#1837,1.2E0); +#1839=CARTESIAN_POINT('',(2.7E1,5.2E0,-2.E1)); +#1840=LINE('',#1839,#1838); +#1841=CARTESIAN_POINT('',(2.7E1,4.7E0,-2.E1)); +#1842=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1843=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1844=AXIS2_PLACEMENT_3D('',#1841,#1842,#1843); +#1846=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1847=VECTOR('',#1846,1.2E0); +#1848=CARTESIAN_POINT('',(2.82E1,4.2E0,-2.E1)); +#1849=LINE('',#1848,#1847); +#1850=DIRECTION('',(1.E0,0.E0,0.E0)); +#1851=VECTOR('',#1850,1.2E0); +#1852=CARTESIAN_POINT('',(2.7E1,-4.2E0,-2.E1)); +#1853=LINE('',#1852,#1851); +#1854=CARTESIAN_POINT('',(2.7E1,-4.7E0,-2.E1)); +#1855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1856=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1857=AXIS2_PLACEMENT_3D('',#1854,#1855,#1856); +#1859=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1860=VECTOR('',#1859,1.2E0); +#1861=CARTESIAN_POINT('',(2.82E1,-5.2E0,-2.E1)); +#1862=LINE('',#1861,#1860); +#1863=CARTESIAN_POINT('',(2.35E1,-5.6E0,-2.E1)); +#1864=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1865=DIRECTION('',(1.E0,2.456663714064E-14,0.E0)); +#1866=AXIS2_PLACEMENT_3D('',#1863,#1864,#1865); +#1868=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1869=VECTOR('',#1868,1.2E0); +#1870=CARTESIAN_POINT('',(1.975E1,-9.1E0,-2.E1)); +#1871=LINE('',#1870,#1869); +#1872=CARTESIAN_POINT('',(1.925E1,-9.1E0,-2.E1)); +#1873=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1874=DIRECTION('',(-1.E0,3.517186542012E-13,0.E0)); +#1875=AXIS2_PLACEMENT_3D('',#1872,#1873,#1874); +#1877=DIRECTION('',(0.E0,1.E0,0.E0)); +#1878=VECTOR('',#1877,1.2E0); +#1879=CARTESIAN_POINT('',(1.875E1,-1.03E1,-2.E1)); +#1880=LINE('',#1879,#1878); +#1881=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1882=VECTOR('',#1881,1.2E0); +#1883=CARTESIAN_POINT('',(1.625E1,-9.1E0,-2.E1)); +#1884=LINE('',#1883,#1882); +#1885=CARTESIAN_POINT('',(1.575E1,-9.1E0,-2.E1)); +#1886=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1887=DIRECTION('',(-1.E0,1.243449787580E-13,0.E0)); +#1888=AXIS2_PLACEMENT_3D('',#1885,#1886,#1887); +#1890=DIRECTION('',(0.E0,1.E0,0.E0)); +#1891=VECTOR('',#1890,1.2E0); +#1892=CARTESIAN_POINT('',(1.525E1,-1.03E1,-2.E1)); +#1893=LINE('',#1892,#1891); +#1894=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1895=VECTOR('',#1894,1.2E0); +#1896=CARTESIAN_POINT('',(1.275E1,-9.1E0,-2.E1)); +#1897=LINE('',#1896,#1895); +#1898=CARTESIAN_POINT('',(1.225E1,-9.1E0,-2.E1)); +#1899=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1900=DIRECTION('',(-1.E0,1.172395514004E-13,0.E0)); +#1901=AXIS2_PLACEMENT_3D('',#1898,#1899,#1900); +#1903=DIRECTION('',(0.E0,1.E0,0.E0)); +#1904=VECTOR('',#1903,1.2E0); +#1905=CARTESIAN_POINT('',(1.175E1,-1.03E1,-2.E1)); +#1906=LINE('',#1905,#1904); +#1907=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1908=VECTOR('',#1907,1.2E0); +#1909=CARTESIAN_POINT('',(9.25E0,-9.1E0,-2.E1)); +#1910=LINE('',#1909,#1908); +#1911=CARTESIAN_POINT('',(8.75E0,-9.1E0,-2.E1)); +#1912=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1913=DIRECTION('',(-1.E0,-1.172395514004E-13,0.E0)); +#1914=AXIS2_PLACEMENT_3D('',#1911,#1912,#1913); +#1916=DIRECTION('',(0.E0,1.E0,0.E0)); +#1917=VECTOR('',#1916,1.2E0); +#1918=CARTESIAN_POINT('',(8.25E0,-1.03E1,-2.E1)); +#1919=LINE('',#1918,#1917); +#1920=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1921=VECTOR('',#1920,2.225E0); +#1922=CARTESIAN_POINT('',(5.925E0,-8.075E0,-2.E1)); +#1923=LINE('',#1922,#1921); +#1924=CARTESIAN_POINT('',(5.25E0,-8.075E0,-2.E1)); +#1925=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1926=DIRECTION('',(-1.E0,3.526397281180E-13,0.E0)); +#1927=AXIS2_PLACEMENT_3D('',#1924,#1925,#1926); +#1929=DIRECTION('',(0.E0,1.E0,0.E0)); +#1930=VECTOR('',#1929,2.225E0); +#1931=CARTESIAN_POINT('',(4.575E0,-1.03E1,-2.E1)); +#1932=LINE('',#1931,#1930); +#1933=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1934=VECTOR('',#1933,1.2E0); +#1935=CARTESIAN_POINT('',(2.25E0,-9.1E0,-2.E1)); +#1936=LINE('',#1935,#1934); +#1937=CARTESIAN_POINT('',(1.75E0,-9.1E0,-2.E1)); +#1938=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1939=DIRECTION('',(-1.E0,-6.039613253961E-14,0.E0)); +#1940=AXIS2_PLACEMENT_3D('',#1937,#1938,#1939); +#1942=DIRECTION('',(0.E0,1.E0,0.E0)); +#1943=VECTOR('',#1942,1.2E0); +#1944=CARTESIAN_POINT('',(1.25E0,-1.03E1,-2.E1)); +#1945=LINE('',#1944,#1943); +#1946=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1947=VECTOR('',#1946,1.2E0); +#1948=CARTESIAN_POINT('',(-1.25E0,-9.1E0,-2.E1)); +#1949=LINE('',#1948,#1947); +#1950=CARTESIAN_POINT('',(-1.75E0,-9.1E0,-2.E1)); +#1951=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1952=DIRECTION('',(-1.E0,-1.207922650792E-13,0.E0)); +#1953=AXIS2_PLACEMENT_3D('',#1950,#1951,#1952); +#1955=DIRECTION('',(0.E0,1.E0,0.E0)); +#1956=VECTOR('',#1955,1.2E0); +#1957=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-2.E1)); +#1958=LINE('',#1957,#1956); +#1959=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1960=VECTOR('',#1959,1.2E0); +#1961=CARTESIAN_POINT('',(-4.75E0,-9.1E0,-2.E1)); +#1962=LINE('',#1961,#1960); +#1963=CARTESIAN_POINT('',(-5.25E0,-9.1E0,-2.E1)); +#1964=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1965=DIRECTION('',(-1.E0,-6.039613253961E-14,0.E0)); +#1966=AXIS2_PLACEMENT_3D('',#1963,#1964,#1965); +#1968=DIRECTION('',(0.E0,1.E0,0.E0)); +#1969=VECTOR('',#1968,1.2E0); +#1970=CARTESIAN_POINT('',(-5.75E0,-1.03E1,-2.E1)); +#1971=LINE('',#1970,#1969); +#1972=DIRECTION('',(1.628327102783E-14,-1.E0,0.E0)); +#1973=VECTOR('',#1972,1.2E0); +#1974=CARTESIAN_POINT('',(-8.25E0,-9.1E0,-2.E1)); +#1975=LINE('',#1974,#1973); +#1976=CARTESIAN_POINT('',(-8.75E0,-9.1E0,-2.E1)); +#1977=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1978=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1979=AXIS2_PLACEMENT_3D('',#1976,#1977,#1978); +#1981=DIRECTION('',(0.E0,1.E0,0.E0)); +#1982=VECTOR('',#1981,1.2E0); +#1983=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-2.E1)); +#1984=LINE('',#1983,#1982); +#1985=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1986=VECTOR('',#1985,1.2E0); +#1987=CARTESIAN_POINT('',(-1.175E1,-9.1E0,-2.E1)); +#1988=LINE('',#1987,#1986); +#1989=CARTESIAN_POINT('',(-1.225E1,-9.1E0,-2.E1)); +#1990=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1991=DIRECTION('',(-1.E0,-1.136868377216E-13,0.E0)); +#1992=AXIS2_PLACEMENT_3D('',#1989,#1990,#1991); +#1994=DIRECTION('',(0.E0,1.E0,0.E0)); +#1995=VECTOR('',#1994,1.2E0); +#1996=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-2.E1)); +#1997=LINE('',#1996,#1995); +#1998=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1999=VECTOR('',#1998,1.2E0); +#2000=CARTESIAN_POINT('',(-1.525E1,-9.1E0,-2.E1)); +#2001=LINE('',#2000,#1999); +#2002=CARTESIAN_POINT('',(-1.575E1,-9.1E0,-2.E1)); +#2003=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2004=DIRECTION('',(-1.E0,1.207922650792E-13,0.E0)); +#2005=AXIS2_PLACEMENT_3D('',#2002,#2003,#2004); +#2007=DIRECTION('',(0.E0,1.E0,0.E0)); +#2008=VECTOR('',#2007,1.2E0); +#2009=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-2.E1)); +#2010=LINE('',#2009,#2008); +#2011=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2012=VECTOR('',#2011,1.2E0); +#2013=CARTESIAN_POINT('',(-1.875E1,-9.1E0,-2.E1)); +#2014=LINE('',#2013,#2012); +#2015=CARTESIAN_POINT('',(-1.925E1,-9.1E0,-2.E1)); +#2016=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2017=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2018=AXIS2_PLACEMENT_3D('',#2015,#2016,#2017); +#2020=DIRECTION('',(0.E0,1.E0,0.E0)); +#2021=VECTOR('',#2020,1.2E0); +#2022=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-2.E1)); +#2023=LINE('',#2022,#2021); +#2024=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-2.E1)); +#2025=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2026=DIRECTION('',(9.599885898035E-14,-1.E0,0.E0)); +#2027=AXIS2_PLACEMENT_3D('',#2024,#2025,#2026); +#2029=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2030=VECTOR('',#2029,1.2E0); +#2031=CARTESIAN_POINT('',(-2.7E1,-5.2E0,-2.E1)); +#2032=LINE('',#2031,#2030); +#2033=CARTESIAN_POINT('',(-2.7E1,-4.7E0,-2.E1)); +#2034=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2035=DIRECTION('',(0.E0,1.E0,0.E0)); +#2036=AXIS2_PLACEMENT_3D('',#2033,#2034,#2035); +#2038=DIRECTION('',(1.E0,0.E0,0.E0)); +#2039=VECTOR('',#2038,1.2E0); +#2040=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-2.E1)); +#2041=LINE('',#2040,#2039); +#2042=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2043=VECTOR('',#2042,1.2E0); +#2044=CARTESIAN_POINT('',(-2.7E1,4.2E0,-2.E1)); +#2045=LINE('',#2044,#2043); +#2046=CARTESIAN_POINT('',(-2.7E1,4.7E0,-2.E1)); +#2047=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2048=DIRECTION('',(0.E0,1.E0,0.E0)); +#2049=AXIS2_PLACEMENT_3D('',#2046,#2047,#2048); +#2051=DIRECTION('',(1.E0,0.E0,0.E0)); +#2052=VECTOR('',#2051,1.2E0); +#2053=CARTESIAN_POINT('',(-2.82E1,5.2E0,-2.E1)); +#2054=LINE('',#2053,#2052); +#2055=CARTESIAN_POINT('',(-2.35E1,5.6E0,-2.E1)); +#2056=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2057=DIRECTION('',(-1.E0,-2.399971474509E-14,0.E0)); +#2058=AXIS2_PLACEMENT_3D('',#2055,#2056,#2057); +#2060=DIRECTION('',(0.E0,1.E0,0.E0)); +#2061=VECTOR('',#2060,1.2E0); +#2062=CARTESIAN_POINT('',(-1.975E1,9.1E0,-2.E1)); +#2063=LINE('',#2062,#2061); +#2064=CARTESIAN_POINT('',(-1.925E1,9.1E0,-2.E1)); +#2065=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2066=DIRECTION('',(1.E0,1.172395514004E-13,0.E0)); +#2067=AXIS2_PLACEMENT_3D('',#2064,#2065,#2066); +#2069=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2070=VECTOR('',#2069,1.2E0); +#2071=CARTESIAN_POINT('',(-1.875E1,1.03E1,-2.E1)); +#2072=LINE('',#2071,#2070); +#2073=DIRECTION('',(0.E0,1.E0,0.E0)); +#2074=VECTOR('',#2073,1.2E0); +#2075=CARTESIAN_POINT('',(-1.625E1,9.1E0,-2.E1)); +#2076=LINE('',#2075,#2074); +#2077=CARTESIAN_POINT('',(-1.575E1,9.1E0,-2.E1)); +#2078=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2079=DIRECTION('',(1.E0,-6.394884621841E-14,0.E0)); +#2080=AXIS2_PLACEMENT_3D('',#2077,#2078,#2079); +#2082=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2083=VECTOR('',#2082,1.2E0); +#2084=CARTESIAN_POINT('',(-1.525E1,1.03E1,-2.E1)); +#2085=LINE('',#2084,#2083); +#2086=DIRECTION('',(0.E0,1.E0,0.E0)); +#2087=VECTOR('',#2086,1.2E0); +#2088=CARTESIAN_POINT('',(-1.275E1,9.1E0,-2.E1)); +#2089=LINE('',#2088,#2087); +#2090=CARTESIAN_POINT('',(-1.225E1,9.1E0,-2.E1)); +#2091=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2092=DIRECTION('',(1.E0,0.E0,0.E0)); +#2093=AXIS2_PLACEMENT_3D('',#2090,#2091,#2092); +#2095=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2096=VECTOR('',#2095,1.2E0); +#2097=CARTESIAN_POINT('',(-1.175E1,1.03E1,-2.E1)); +#2098=LINE('',#2097,#2096); +#2099=DIRECTION('',(0.E0,1.E0,0.E0)); +#2100=VECTOR('',#2099,1.2E0); +#2101=CARTESIAN_POINT('',(-9.25E0,9.1E0,-2.E1)); +#2102=LINE('',#2101,#2100); +#2103=CARTESIAN_POINT('',(-8.75E0,9.1E0,-2.E1)); +#2104=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2105=DIRECTION('',(1.E0,2.486899575160E-14,0.E0)); +#2106=AXIS2_PLACEMENT_3D('',#2103,#2104,#2105); +#2108=DIRECTION('',(2.664535259100E-14,-1.E0,0.E0)); +#2109=VECTOR('',#2108,1.2E0); +#2110=CARTESIAN_POINT('',(-8.25E0,1.03E1,-2.E1)); +#2111=LINE('',#2110,#2109); +#2112=DIRECTION('',(0.E0,1.E0,0.E0)); +#2113=VECTOR('',#2112,1.2E0); +#2114=CARTESIAN_POINT('',(-5.75E0,9.1E0,-2.E1)); +#2115=LINE('',#2114,#2113); +#2116=CARTESIAN_POINT('',(-5.25E0,9.1E0,-2.E1)); +#2117=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2118=DIRECTION('',(1.E0,6.039613253961E-14,0.E0)); +#2119=AXIS2_PLACEMENT_3D('',#2116,#2117,#2118); +#2121=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2122=VECTOR('',#2121,1.2E0); +#2123=CARTESIAN_POINT('',(-4.75E0,1.03E1,-2.E1)); +#2124=LINE('',#2123,#2122); +#2125=DIRECTION('',(0.E0,1.E0,0.E0)); +#2126=VECTOR('',#2125,2.225E0); +#2127=CARTESIAN_POINT('',(-2.425E0,8.075E0,-2.E1)); +#2128=LINE('',#2127,#2126); +#2129=CARTESIAN_POINT('',(-1.75E0,8.075E0,-2.E1)); +#2130=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2131=DIRECTION('',(1.E0,2.368475785867E-14,0.E0)); +#2132=AXIS2_PLACEMENT_3D('',#2129,#2130,#2131); +#2134=CARTESIAN_POINT('',(2.35E1,-5.6E0,-5.E-1)); +#2135=DIRECTION('',(0.E0,0.E0,1.E0)); +#2136=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2137=AXIS2_PLACEMENT_3D('',#2134,#2135,#2136); +#2139=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2140=VECTOR('',#2139,1.8775E1); +#2141=CARTESIAN_POINT('',(2.97E1,-5.6E0,-5.E-1)); +#2142=LINE('',#2141,#2140); +#2143=CARTESIAN_POINT('',(2.35E1,-1.13E1,-5.E-1)); +#2144=DIRECTION('',(1.E0,0.E0,0.E0)); +#2145=DIRECTION('',(0.E0,0.E0,1.E0)); +#2146=AXIS2_PLACEMENT_3D('',#2143,#2144,#2145); +#2148=CARTESIAN_POINT('',(2.92E1,-5.6E0,-5.E-1)); +#2149=DIRECTION('',(0.E0,1.E0,0.E0)); +#2150=DIRECTION('',(0.E0,0.E0,1.E0)); +#2151=AXIS2_PLACEMENT_3D('',#2148,#2149,#2150); +#2153=CARTESIAN_POINT('',(2.92E1,5.6E0,-5.E-1)); +#2154=DIRECTION('',(0.E0,1.E0,0.E0)); +#2155=DIRECTION('',(-1.421085471520E-14,0.E0,1.E0)); +#2156=AXIS2_PLACEMENT_3D('',#2153,#2154,#2155); +#2158=CARTESIAN_POINT('',(2.35E1,1.13E1,-5.E-1)); +#2159=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2160=DIRECTION('',(0.E0,0.E0,1.E0)); +#2161=AXIS2_PLACEMENT_3D('',#2158,#2159,#2160); +#2163=CARTESIAN_POINT('',(4.543744331763E0,1.13E1,0.E0)); +#2164=CARTESIAN_POINT('',(4.540105906632E0,1.134158738954E1,0.E0)); +#2165=CARTESIAN_POINT('',(4.533684532337E0,1.141498403359E1, +-9.815485926214E-3)); +#2166=CARTESIAN_POINT('',(4.522870491953E0,1.153858908078E1, +-5.272327399693E-2)); +#2167=CARTESIAN_POINT('',(4.512214902506E0,1.166038302548E1, +-1.391953292943E-1)); +#2168=CARTESIAN_POINT('',(4.504633267249E0,1.174704151301E1, +-2.609370535014E-1)); +#2169=CARTESIAN_POINT('',(4.500864568175E0,1.179011794054E1, +-3.846810674798E-1)); +#2170=CARTESIAN_POINT('',(4.5E0,1.18E1,-4.582537533845E-1)); +#2171=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.E-1)); +#2173=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2174=VECTOR('',#2173,5.4E0); +#2175=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#2176=LINE('',#2175,#2174); +#2177=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2178=VECTOR('',#2177,4.9E0); +#2179=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.E-1)); +#2180=LINE('',#2179,#2178); +#2181=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2182=VECTOR('',#2181,1.8E0); +#2183=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.4E0)); +#2184=LINE('',#2183,#2182); +#2185=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2186=VECTOR('',#2185,4.9E0); +#2187=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#2188=LINE('',#2187,#2186); +#2189=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2190=VECTOR('',#2189,1.9E1); +#2191=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#2192=LINE('',#2191,#2190); +#2193=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2194=VECTOR('',#2193,1.8775E1); +#2195=CARTESIAN_POINT('',(-2.35E1,1.18E1,-5.E-1)); +#2196=LINE('',#2195,#2194); +#2197=DIRECTION('',(1.E0,0.E0,0.E0)); +#2198=VECTOR('',#2197,5.59E1); +#2199=CARTESIAN_POINT('',(-2.795E1,1.18E1,-2.E1)); +#2200=LINE('',#2199,#2198); +#2201=DIRECTION('',(0.E0,0.E0,1.E0)); +#2202=VECTOR('',#2201,7.25E-1); +#2203=CARTESIAN_POINT('',(2.795E1,1.18E1,-2.E1)); +#2204=LINE('',#2203,#2202); +#2205=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2206=VECTOR('',#2205,1.9E1); +#2207=CARTESIAN_POINT('',(2.35E1,1.18E1,-5.E-1)); +#2208=LINE('',#2207,#2206); +#2209=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2210=VECTOR('',#2209,4.9E0); +#2211=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.E-1)); +#2212=LINE('',#2211,#2210); +#2213=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2214=VECTOR('',#2213,1.8E0); +#2215=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.4E0)); +#2216=LINE('',#2215,#2214); +#2217=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2218=VECTOR('',#2217,4.9E0); +#2219=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#2220=LINE('',#2219,#2218); +#2221=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#2222=CARTESIAN_POINT('',(2.7E0,1.18E1,-4.582537533845E-1)); +#2223=CARTESIAN_POINT('',(2.699135431825E0,1.179011794054E1, +-3.846810674798E-1)); +#2224=CARTESIAN_POINT('',(2.695366732751E0,1.174704151301E1, +-2.609370535014E-1)); +#2225=CARTESIAN_POINT('',(2.687785097494E0,1.166038302548E1, +-1.391953292943E-1)); +#2226=CARTESIAN_POINT('',(2.677129508047E0,1.153858908078E1, +-5.272327399692E-2)); +#2227=CARTESIAN_POINT('',(2.666315467663E0,1.141498403359E1, +-9.815485926211E-3)); +#2228=CARTESIAN_POINT('',(2.659894093368E0,1.134158738954E1,0.E0)); +#2229=CARTESIAN_POINT('',(2.656255668237E0,1.13E1,0.E0)); +#2231=CARTESIAN_POINT('',(-2.656255668237E0,1.13E1,0.E0)); +#2232=CARTESIAN_POINT('',(-2.659894093368E0,1.134158738954E1,0.E0)); +#2233=CARTESIAN_POINT('',(-2.666315467663E0,1.141498403359E1, +-9.815485926211E-3)); +#2234=CARTESIAN_POINT('',(-2.677129508047E0,1.153858908078E1, +-5.272327399692E-2)); +#2235=CARTESIAN_POINT('',(-2.687785097494E0,1.166038302548E1, +-1.391953292943E-1)); +#2236=CARTESIAN_POINT('',(-2.695366732751E0,1.174704151301E1, +-2.609370535014E-1)); +#2237=CARTESIAN_POINT('',(-2.699135431825E0,1.179011794054E1, +-3.846810674798E-1)); +#2238=CARTESIAN_POINT('',(-2.7E0,1.18E1,-4.582537533845E-1)); +#2239=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.E-1)); +#2241=CARTESIAN_POINT('',(2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#2242=CARTESIAN_POINT('',(2.710160632670E0,1.191613656285E1, +-2.264662975577E-1)); +#2243=CARTESIAN_POINT('',(2.705291299141E0,1.186047982593E1, +-1.507214237123E-1)); +#2244=CARTESIAN_POINT('',(2.696320077438E0,1.175793829264E1, +-6.846648175832E-2)); +#2245=CARTESIAN_POINT('',(2.685824804069E0,1.163797676910E1, +-1.488071967026E-2)); +#2246=CARTESIAN_POINT('',(2.677719936213E0,1.154533770560E1,0.E0)); +#2247=CARTESIAN_POINT('',(2.673271973258E0,1.149449725639E1,0.E0)); +#2249=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2250=VECTOR('',#2249,1.775607112880E0); +#2251=CARTESIAN_POINT('',(4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#2252=LINE('',#2251,#2250); +#2253=DIRECTION('',(3.989075718141E-2,4.559534409803E-1,-8.891092099116E-1)); +#2254=VECTOR('',#2253,4.080666675536E0); +#2255=CARTESIAN_POINT('',(2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#2256=LINE('',#2255,#2254); +#2257=DIRECTION('',(-3.989075718142E-2,4.559534409803E-1,-8.891092099116E-1)); +#2258=VECTOR('',#2257,4.080666675536E0); +#2259=CARTESIAN_POINT('',(4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#2260=LINE('',#2259,#2258); +#2261=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2262=VECTOR('',#2261,1.775607112880E0); +#2263=CARTESIAN_POINT('',(-2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#2264=LINE('',#2263,#2262); +#2265=DIRECTION('',(3.989075718142E-2,4.559534409803E-1,-8.891092099116E-1)); +#2266=VECTOR('',#2265,4.080666675536E0); +#2267=CARTESIAN_POINT('',(-4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#2268=LINE('',#2267,#2266); +#2269=DIRECTION('',(-3.989075718141E-2,4.559534409803E-1,-8.891092099116E-1)); +#2270=VECTOR('',#2269,4.080666675536E0); +#2271=CARTESIAN_POINT('',(-2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#2272=LINE('',#2271,#2270); +#2273=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2274=VECTOR('',#2273,1.5E0); +#2275=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-3.9E0)); +#2276=LINE('',#2275,#2274); +#2277=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2278=VECTOR('',#2277,1.450045345896E0); +#2279=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-5.4E0)); +#2280=LINE('',#2279,#2278); +#2281=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2282=VECTOR('',#2281,1.5E0); +#2283=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-3.9E0)); +#2284=LINE('',#2283,#2282); +#2285=DIRECTION('',(1.E0,0.E0,0.E0)); +#2286=VECTOR('',#2285,1.450045345896E0); +#2287=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-3.9E0)); +#2288=LINE('',#2287,#2286); +#2289=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2290=VECTOR('',#2289,1.5E0); +#2291=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-3.9E0)); +#2292=LINE('',#2291,#2290); +#2293=DIRECTION('',(1.E0,0.E0,0.E0)); +#2294=VECTOR('',#2293,1.450045345896E0); +#2295=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-3.9E0)); +#2296=LINE('',#2295,#2294); +#2297=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2298=VECTOR('',#2297,1.5E0); +#2299=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-3.9E0)); +#2300=LINE('',#2299,#2298); +#2301=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2302=VECTOR('',#2301,1.450045345896E0); +#2303=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-5.4E0)); +#2304=LINE('',#2303,#2302); +#2305=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#2306=VECTOR('',#2305,2.007639675087E0); +#2307=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.4E0)); +#2308=LINE('',#2307,#2306); +#2309=DIRECTION('',(8.715574274766E-2,-9.961946980917E-1,0.E0)); +#2310=VECTOR('',#2309,2.007639675087E0); +#2311=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-5.4E0)); +#2312=LINE('',#2311,#2310); +#2313=CARTESIAN_POINT('',(4.526728026742E0,1.149449725639E1,0.E0)); +#2314=CARTESIAN_POINT('',(4.522280063788E0,1.154533770560E1,0.E0)); +#2315=CARTESIAN_POINT('',(4.514175195931E0,1.163797676910E1, +-1.488071967026E-2)); +#2316=CARTESIAN_POINT('',(4.503679922562E0,1.175793829264E1, +-6.846648175832E-2)); +#2317=CARTESIAN_POINT('',(4.494708700859E0,1.186047982593E1, +-1.507214237123E-1)); +#2318=CARTESIAN_POINT('',(4.489839367330E0,1.191613656285E1, +-2.264662975577E-1)); +#2319=CARTESIAN_POINT('',(4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#2321=CARTESIAN_POINT('',(-2.673271973258E0,1.149449725639E1,0.E0)); +#2322=CARTESIAN_POINT('',(-2.677719936213E0,1.154533770560E1,0.E0)); +#2323=CARTESIAN_POINT('',(-2.685824804069E0,1.163797676910E1, +-1.488071967026E-2)); +#2324=CARTESIAN_POINT('',(-2.696320077438E0,1.175793829264E1, +-6.846648175832E-2)); +#2325=CARTESIAN_POINT('',(-2.705291299141E0,1.186047982593E1, +-1.507214237123E-1)); +#2326=CARTESIAN_POINT('',(-2.710160632670E0,1.191613656285E1, +-2.264662975577E-1)); +#2327=CARTESIAN_POINT('',(-2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#2329=CARTESIAN_POINT('',(-4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#2330=CARTESIAN_POINT('',(-4.489839367330E0,1.191613656285E1, +-2.264662975577E-1)); +#2331=CARTESIAN_POINT('',(-4.494708700859E0,1.186047982593E1, +-1.507214237123E-1)); +#2332=CARTESIAN_POINT('',(-4.503679922562E0,1.175793829264E1, +-6.846648175832E-2)); +#2333=CARTESIAN_POINT('',(-4.514175195931E0,1.163797676910E1, +-1.488071967026E-2)); +#2334=CARTESIAN_POINT('',(-4.522280063787E0,1.154533770560E1,0.E0)); +#2335=CARTESIAN_POINT('',(-4.526728026742E0,1.149449725639E1,0.E0)); +#2337=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#2338=CARTESIAN_POINT('',(-4.5E0,1.18E1,-4.582537533845E-1)); +#2339=CARTESIAN_POINT('',(-4.500864568175E0,1.179011794054E1, +-3.846810674798E-1)); +#2340=CARTESIAN_POINT('',(-4.504633267249E0,1.174704151301E1, +-2.609370535014E-1)); +#2341=CARTESIAN_POINT('',(-4.512214902506E0,1.166038302548E1, +-1.391953292943E-1)); +#2342=CARTESIAN_POINT('',(-4.522870491953E0,1.153858908078E1, +-5.272327399693E-2)); +#2343=CARTESIAN_POINT('',(-4.533684532337E0,1.141498403359E1, +-9.815485926214E-3)); +#2344=CARTESIAN_POINT('',(-4.540105906632E0,1.134158738954E1,0.E0)); +#2345=CARTESIAN_POINT('',(-4.543744331763E0,1.13E1,0.E0)); +#2347=CARTESIAN_POINT('',(-2.35E1,1.13E1,-5.E-1)); +#2348=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2349=DIRECTION('',(0.E0,1.065814103640E-14,1.E0)); +#2350=AXIS2_PLACEMENT_3D('',#2347,#2348,#2349); +#2352=CARTESIAN_POINT('',(-2.92E1,5.6E0,-5.E-1)); +#2353=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2354=DIRECTION('',(0.E0,0.E0,1.E0)); +#2355=AXIS2_PLACEMENT_3D('',#2352,#2353,#2354); +#2357=CARTESIAN_POINT('',(-2.92E1,-5.6E0,-5.E-1)); +#2358=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2359=DIRECTION('',(0.E0,0.E0,1.E0)); +#2360=AXIS2_PLACEMENT_3D('',#2357,#2358,#2359); +#2362=CARTESIAN_POINT('',(-2.35E1,-1.13E1,-5.E-1)); +#2363=DIRECTION('',(1.E0,0.E0,0.E0)); +#2364=DIRECTION('',(0.E0,0.E0,1.E0)); +#2365=AXIS2_PLACEMENT_3D('',#2362,#2363,#2364); +#2367=DIRECTION('',(1.E0,0.E0,0.E0)); +#2368=VECTOR('',#2367,4.7E1); +#2369=CARTESIAN_POINT('',(-2.35E1,-1.18E1,-5.E-1)); +#2370=LINE('',#2369,#2368); +#2371=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2372=VECTOR('',#2371,1.95E1); +#2373=CARTESIAN_POINT('',(2.35E1,-1.18E1,-5.E-1)); +#2374=LINE('',#2373,#2372); +#2375=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2376=VECTOR('',#2375,4.7E1); +#2377=CARTESIAN_POINT('',(2.35E1,-1.18E1,-2.E1)); +#2378=LINE('',#2377,#2376); +#2379=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-5.E-1)); +#2380=DIRECTION('',(0.E0,0.E0,1.E0)); +#2381=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2382=AXIS2_PLACEMENT_3D('',#2379,#2380,#2381); +#2384=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2385=VECTOR('',#2384,1.95E1); +#2386=CARTESIAN_POINT('',(-2.35E1,-1.18E1,-5.E-1)); +#2387=LINE('',#2386,#2385); +#2388=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2389=VECTOR('',#2388,7.25E-1); +#2390=CARTESIAN_POINT('',(-2.723329613077E1,-1.055E1,-1.9275E1)); +#2391=LINE('',#2390,#2389); +#2392=DIRECTION('',(0.E0,0.E0,1.E0)); +#2393=VECTOR('',#2392,7.25E-1); +#2394=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-2.E1)); +#2395=LINE('',#2394,#2393); +#2396=DIRECTION('',(0.E0,0.E0,1.E0)); +#2397=VECTOR('',#2396,7.25E-1); +#2398=CARTESIAN_POINT('',(-3.545E1,-9.645207879912E0,-2.E1)); +#2399=LINE('',#2398,#2397); +#2400=DIRECTION('',(0.E0,0.E0,1.E0)); +#2401=VECTOR('',#2400,7.25E-1); +#2402=CARTESIAN_POINT('',(-3.545E1,-4.954792120088E0,-2.E1)); +#2403=LINE('',#2402,#2401); +#2404=DIRECTION('',(0.E0,0.E0,1.E0)); +#2405=VECTOR('',#2404,7.25E-1); +#2406=CARTESIAN_POINT('',(-3.32E1,-4.05E0,-2.E1)); +#2407=LINE('',#2406,#2405); +#2408=DIRECTION('',(0.E0,0.E0,1.E0)); +#2409=VECTOR('',#2408,7.25E-1); +#2410=CARTESIAN_POINT('',(-3.07E1,-4.05E0,-2.E1)); +#2411=LINE('',#2410,#2409); +#2412=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2413=VECTOR('',#2412,1.12E1); +#2414=CARTESIAN_POINT('',(-2.97E1,5.6E0,-5.E-1)); +#2415=LINE('',#2414,#2413); +#2416=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2417=VECTOR('',#2416,1.8775E1); +#2418=CARTESIAN_POINT('',(-2.97E1,-5.6E0,-5.E-1)); +#2419=LINE('',#2418,#2417); +#2420=DIRECTION('',(0.E0,1.E0,0.E0)); +#2421=VECTOR('',#2420,2.55E0); +#2422=CARTESIAN_POINT('',(-2.97E1,-5.6E0,-1.9275E1)); +#2423=LINE('',#2422,#2421); +#2424=DIRECTION('',(0.E0,0.E0,1.E0)); +#2425=VECTOR('',#2424,7.25E-1); +#2426=CARTESIAN_POINT('',(-2.97E1,-3.05E0,-2.E1)); +#2427=LINE('',#2426,#2425); +#2428=DIRECTION('',(0.E0,1.E0,0.E0)); +#2429=VECTOR('',#2428,8.65E0); +#2430=CARTESIAN_POINT('',(-2.97E1,-3.05E0,-2.E1)); +#2431=LINE('',#2430,#2429); +#2432=CARTESIAN_POINT('',(-3.17E1,1.08E1,-1.9275E1)); +#2433=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2434=DIRECTION('',(1.E0,0.E0,0.E0)); +#2435=AXIS2_PLACEMENT_3D('',#2432,#2433,#2434); +#2437=CARTESIAN_POINT('',(-3.17E1,1.08E1,-1.9275E1)); +#2438=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2439=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2440=AXIS2_PLACEMENT_3D('',#2437,#2438,#2439); +#2442=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-1.9275E1)); +#2443=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2444=DIRECTION('',(1.E0,0.E0,0.E0)); +#2445=AXIS2_PLACEMENT_3D('',#2442,#2443,#2444); +#2447=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-1.9275E1)); +#2448=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2449=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2450=AXIS2_PLACEMENT_3D('',#2447,#2448,#2449); +#2452=CARTESIAN_POINT('',(3.32E1,-7.3E0,-1.9275E1)); +#2453=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2454=DIRECTION('',(1.E0,0.E0,0.E0)); +#2455=AXIS2_PLACEMENT_3D('',#2452,#2453,#2454); +#2457=CARTESIAN_POINT('',(3.32E1,-7.3E0,-1.9275E1)); +#2458=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2459=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2460=AXIS2_PLACEMENT_3D('',#2457,#2458,#2459); +#2462=CARTESIAN_POINT('',(3.17E1,1.08E1,-1.9275E1)); +#2463=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2464=DIRECTION('',(1.E0,0.E0,0.E0)); +#2465=AXIS2_PLACEMENT_3D('',#2462,#2463,#2464); +#2467=CARTESIAN_POINT('',(3.17E1,1.08E1,-1.9275E1)); +#2468=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2469=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2470=AXIS2_PLACEMENT_3D('',#2467,#2468,#2469); +#2472=CARTESIAN_POINT('',(3.07E1,-3.05E0,-1.9275E1)); +#2473=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2474=DIRECTION('',(-1.776356839400E-14,-1.E0,0.E0)); +#2475=AXIS2_PLACEMENT_3D('',#2472,#2473,#2474); +#2477=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2478=VECTOR('',#2477,2.5E0); +#2479=CARTESIAN_POINT('',(3.32E1,-4.05E0,-1.9275E1)); +#2480=LINE('',#2479,#2478); +#2481=CARTESIAN_POINT('',(3.32E1,-7.3E0,-1.9275E1)); +#2482=DIRECTION('',(0.E0,0.E0,1.E0)); +#2483=DIRECTION('',(6.923076923077E-1,7.216024245882E-1,0.E0)); +#2484=AXIS2_PLACEMENT_3D('',#2481,#2482,#2483); +#2486=DIRECTION('',(0.E0,1.E0,0.E0)); +#2487=VECTOR('',#2486,4.690415759823E0); +#2488=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-1.9275E1)); +#2489=LINE('',#2488,#2487); +#2490=CARTESIAN_POINT('',(3.32E1,-7.3E0,-1.9275E1)); +#2491=DIRECTION('',(0.E0,0.E0,1.E0)); +#2492=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2493=AXIS2_PLACEMENT_3D('',#2490,#2491,#2492); +#2495=DIRECTION('',(1.E0,0.E0,0.E0)); +#2496=VECTOR('',#2495,5.966703869233E0); +#2497=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-1.9275E1)); +#2498=LINE('',#2497,#2496); +#2499=CARTESIAN_POINT('',(2.35E1,-5.6E0,-1.9275E1)); +#2500=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2501=DIRECTION('',(1.E0,0.E0,0.E0)); +#2502=AXIS2_PLACEMENT_3D('',#2499,#2500,#2501); +#2504=DIRECTION('',(1.E0,0.E0,0.E0)); +#2505=VECTOR('',#2504,5.966703869233E0); +#2506=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-1.9275E1)); +#2507=LINE('',#2506,#2505); +#2508=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-1.9275E1)); +#2509=DIRECTION('',(0.E0,0.E0,1.E0)); +#2510=DIRECTION('',(-6.923076923077E-1,-7.216024245882E-1,0.E0)); +#2511=AXIS2_PLACEMENT_3D('',#2508,#2509,#2510); +#2513=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2514=VECTOR('',#2513,4.690415759823E0); +#2515=CARTESIAN_POINT('',(-3.545E1,-4.954792120088E0,-1.9275E1)); +#2516=LINE('',#2515,#2514); +#2517=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-1.9275E1)); +#2518=DIRECTION('',(0.E0,0.E0,1.E0)); +#2519=DIRECTION('',(0.E0,1.E0,0.E0)); +#2520=AXIS2_PLACEMENT_3D('',#2517,#2518,#2519); +#2522=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2523=VECTOR('',#2522,2.5E0); +#2524=CARTESIAN_POINT('',(-3.07E1,-4.05E0,-1.9275E1)); +#2525=LINE('',#2524,#2523); +#2526=CARTESIAN_POINT('',(-3.07E1,-3.05E0,-1.9275E1)); +#2527=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2528=DIRECTION('',(1.E0,0.E0,0.E0)); +#2529=AXIS2_PLACEMENT_3D('',#2526,#2527,#2528); +#2531=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-1.9275E1)); +#2532=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2533=DIRECTION('',(-6.021445372205E-1,-7.983870967742E-1,0.E0)); +#2534=AXIS2_PLACEMENT_3D('',#2531,#2532,#2533); +#2536=CARTESIAN_POINT('',(-3.063705121181E1,6.55E0,-1.9275E1)); +#2537=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2538=DIRECTION('',(-1.776356839400E-14,1.E0,0.E0)); +#2539=AXIS2_PLACEMENT_3D('',#2536,#2537,#2538); +#2541=DIRECTION('',(1.E0,0.E0,0.E0)); +#2542=VECTOR('',#2541,1.062948788190E0); +#2543=CARTESIAN_POINT('',(-3.17E1,7.55E0,-1.9275E1)); +#2544=LINE('',#2543,#2542); +#2545=CARTESIAN_POINT('',(-3.17E1,1.08E1,-1.9275E1)); +#2546=DIRECTION('',(0.E0,0.E0,1.E0)); +#2547=DIRECTION('',(-7.216024245883E-1,6.923076923076E-1,0.E0)); +#2548=AXIS2_PLACEMENT_3D('',#2545,#2546,#2547); +#2550=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2551=VECTOR('',#2550,4.690415759824E0); +#2552=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-1.9275E1)); +#2553=LINE('',#2552,#2551); +#2554=CARTESIAN_POINT('',(-3.17E1,1.08E1,-1.9275E1)); +#2555=DIRECTION('',(0.E0,0.E0,1.E0)); +#2556=DIRECTION('',(8.823529411764E-1,4.705882352942E-1,0.E0)); +#2557=AXIS2_PLACEMENT_3D('',#2554,#2555,#2556); +#2559=CARTESIAN_POINT('',(-2.795E1,1.28E1,-1.9275E1)); +#2560=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2561=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2562=AXIS2_PLACEMENT_3D('',#2559,#2560,#2561); +#2564=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2565=VECTOR('',#2564,4.45E0); +#2566=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.9275E1)); +#2567=LINE('',#2566,#2565); +#2568=CARTESIAN_POINT('',(-2.35E1,5.6E0,-1.9275E1)); +#2569=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2570=DIRECTION('',(-9.912571127514E-1,1.319444444442E-1,0.E0)); +#2571=AXIS2_PLACEMENT_3D('',#2568,#2569,#2570); +#2573=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2574=VECTOR('',#2573,4.45E0); +#2575=CARTESIAN_POINT('',(2.795E1,1.18E1,-1.9275E1)); +#2576=LINE('',#2575,#2574); +#2577=CARTESIAN_POINT('',(2.795E1,1.28E1,-1.9275E1)); +#2578=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2579=DIRECTION('',(8.823529411763E-1,-4.705882352945E-1,0.E0)); +#2580=AXIS2_PLACEMENT_3D('',#2577,#2578,#2579); +#2582=CARTESIAN_POINT('',(3.17E1,1.08E1,-1.9275E1)); +#2583=DIRECTION('',(0.E0,0.E0,1.E0)); +#2584=DIRECTION('',(-7.216024245883E-1,6.923076923076E-1,0.E0)); +#2585=AXIS2_PLACEMENT_3D('',#2582,#2583,#2584); +#2587=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2588=VECTOR('',#2587,4.690415759824E0); +#2589=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-1.9275E1)); +#2590=LINE('',#2589,#2588); +#2591=CARTESIAN_POINT('',(3.17E1,1.08E1,-1.9275E1)); +#2592=DIRECTION('',(0.E0,0.E0,1.E0)); +#2593=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2594=AXIS2_PLACEMENT_3D('',#2591,#2592,#2593); +#2596=DIRECTION('',(1.E0,0.E0,0.E0)); +#2597=VECTOR('',#2596,1.062948788190E0); +#2598=CARTESIAN_POINT('',(3.063705121181E1,7.55E0,-1.9275E1)); +#2599=LINE('',#2598,#2597); +#2600=CARTESIAN_POINT('',(3.063705121181E1,6.55E0,-1.9275E1)); +#2601=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2602=DIRECTION('',(-9.912571127512E-1,-1.319444444461E-1,0.E0)); +#2603=AXIS2_PLACEMENT_3D('',#2600,#2601,#2602); +#2605=CARTESIAN_POINT('',(2.35E1,5.6E0,-1.9275E1)); +#2606=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2607=DIRECTION('',(-2.750488009394E-14,1.E0,0.E0)); +#2608=AXIS2_PLACEMENT_3D('',#2605,#2606,#2607); +#2610=DIRECTION('',(0.E0,0.E0,1.E0)); +#2611=VECTOR('',#2610,7.25E-1); +#2612=CARTESIAN_POINT('',(-3.0615E1,1.08E1,-2.E1)); +#2613=LINE('',#2612,#2611); +#2614=DIRECTION('',(0.E0,0.E0,1.E0)); +#2615=VECTOR('',#2614,7.25E-1); +#2616=CARTESIAN_POINT('',(-3.2785E1,1.08E1,-2.E1)); +#2617=LINE('',#2616,#2615); +#2618=DIRECTION('',(0.E0,0.E0,1.E0)); +#2619=VECTOR('',#2618,7.25E-1); +#2620=CARTESIAN_POINT('',(-3.2115E1,-7.3E0,-2.E1)); +#2621=LINE('',#2620,#2619); +#2622=DIRECTION('',(0.E0,0.E0,1.E0)); +#2623=VECTOR('',#2622,7.25E-1); +#2624=CARTESIAN_POINT('',(-3.4285E1,-7.3E0,-2.E1)); +#2625=LINE('',#2624,#2623); +#2626=DIRECTION('',(0.E0,0.E0,1.E0)); +#2627=VECTOR('',#2626,7.25E-1); +#2628=CARTESIAN_POINT('',(3.4285E1,-7.3E0,-2.E1)); +#2629=LINE('',#2628,#2627); +#2630=DIRECTION('',(0.E0,0.E0,1.E0)); +#2631=VECTOR('',#2630,7.25E-1); +#2632=CARTESIAN_POINT('',(3.2115E1,-7.3E0,-2.E1)); +#2633=LINE('',#2632,#2631); +#2634=DIRECTION('',(0.E0,0.E0,1.E0)); +#2635=VECTOR('',#2634,7.25E-1); +#2636=CARTESIAN_POINT('',(3.2785E1,1.08E1,-2.E1)); +#2637=LINE('',#2636,#2635); +#2638=DIRECTION('',(0.E0,0.E0,1.E0)); +#2639=VECTOR('',#2638,7.25E-1); +#2640=CARTESIAN_POINT('',(3.0615E1,1.08E1,-2.E1)); +#2641=LINE('',#2640,#2639); +#2642=DIRECTION('',(0.E0,0.E0,1.E0)); +#2643=VECTOR('',#2642,7.25E-1); +#2644=CARTESIAN_POINT('',(3.07E1,-4.05E0,-2.E1)); +#2645=LINE('',#2644,#2643); +#2646=DIRECTION('',(0.E0,1.E0,0.E0)); +#2647=VECTOR('',#2646,1.12E1); +#2648=CARTESIAN_POINT('',(2.97E1,-5.6E0,-5.E-1)); +#2649=LINE('',#2648,#2647); +#2650=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2651=VECTOR('',#2650,1.95E1); +#2652=CARTESIAN_POINT('',(2.97E1,5.6E0,-5.E-1)); +#2653=LINE('',#2652,#2651); +#2654=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2655=VECTOR('',#2654,8.65E0); +#2656=CARTESIAN_POINT('',(2.97E1,5.6E0,-2.E1)); +#2657=LINE('',#2656,#2655); +#2658=DIRECTION('',(0.E0,-6.186622095842E-14,1.E0)); +#2659=VECTOR('',#2658,7.25E-1); +#2660=CARTESIAN_POINT('',(2.97E1,-3.05E0,-2.E1)); +#2661=LINE('',#2660,#2659); +#2662=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2663=VECTOR('',#2662,2.55E0); +#2664=CARTESIAN_POINT('',(2.97E1,-3.05E0,-1.9275E1)); +#2665=LINE('',#2664,#2663); +#2666=CARTESIAN_POINT('',(2.35E1,5.6E0,-5.E-1)); +#2667=DIRECTION('',(0.E0,0.E0,1.E0)); +#2668=DIRECTION('',(1.E0,0.E0,0.E0)); +#2669=AXIS2_PLACEMENT_3D('',#2666,#2667,#2668); +#2671=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2672=VECTOR('',#2671,1.8775E1); +#2673=CARTESIAN_POINT('',(2.35E1,1.18E1,-5.E-1)); +#2674=LINE('',#2673,#2672); +#2675=DIRECTION('',(-5.390324202318E-14,7.362692830893E-13,-1.E0)); +#2676=VECTOR('',#2675,7.25E-1); +#2677=CARTESIAN_POINT('',(2.964579409906E1,6.418055555555E0,-1.9275E1)); +#2678=LINE('',#2677,#2676); +#2679=DIRECTION('',(0.E0,0.E0,1.E0)); +#2680=VECTOR('',#2679,7.25E-1); +#2681=CARTESIAN_POINT('',(3.063705121181E1,7.55E0,-2.E1)); +#2682=LINE('',#2681,#2680); +#2683=DIRECTION('',(0.E0,0.E0,1.E0)); +#2684=VECTOR('',#2683,7.25E-1); +#2685=CARTESIAN_POINT('',(3.17E1,7.55E0,-2.E1)); +#2686=LINE('',#2685,#2684); +#2687=DIRECTION('',(0.E0,0.E0,1.E0)); +#2688=VECTOR('',#2687,7.25E-1); +#2689=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-2.E1)); +#2690=LINE('',#2689,#2688); +#2691=DIRECTION('',(0.E0,0.E0,1.E0)); +#2692=VECTOR('',#2691,7.25E-1); +#2693=CARTESIAN_POINT('',(2.935479212009E1,1.305E1,-2.E1)); +#2694=LINE('',#2693,#2692); +#2695=DIRECTION('',(-4.410265256442E-14,-8.085486303477E-14,1.E0)); +#2696=VECTOR('',#2695,7.25E-1); +#2697=CARTESIAN_POINT('',(2.883235294118E1,1.232941176471E1,-2.E1)); +#2698=LINE('',#2697,#2696); +#2699=DIRECTION('',(0.E0,0.E0,1.E0)); +#2700=VECTOR('',#2699,7.25E-1); +#2701=CARTESIAN_POINT('',(3.32E1,-4.05E0,-2.E1)); +#2702=LINE('',#2701,#2700); +#2703=DIRECTION('',(0.E0,0.E0,1.E0)); +#2704=VECTOR('',#2703,7.25E-1); +#2705=CARTESIAN_POINT('',(3.545E1,-4.954792120088E0,-2.E1)); +#2706=LINE('',#2705,#2704); +#2707=DIRECTION('',(0.E0,0.E0,1.E0)); +#2708=VECTOR('',#2707,7.25E-1); +#2709=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-2.E1)); +#2710=LINE('',#2709,#2708); +#2711=DIRECTION('',(0.E0,0.E0,1.E0)); +#2712=VECTOR('',#2711,7.25E-1); +#2713=CARTESIAN_POINT('',(3.32E1,-1.055E1,-2.E1)); +#2714=LINE('',#2713,#2712); +#2715=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2716=VECTOR('',#2715,7.25E-1); +#2717=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-1.9275E1)); +#2718=LINE('',#2717,#2716); +#2719=DIRECTION('',(0.E0,0.E0,1.E0)); +#2720=VECTOR('',#2719,7.25E-1); +#2721=CARTESIAN_POINT('',(-3.063705121181E1,7.55E0,-2.E1)); +#2722=LINE('',#2721,#2720); +#2723=CARTESIAN_POINT('',(-2.35E1,5.6E0,-5.E-1)); +#2724=DIRECTION('',(0.E0,0.E0,1.E0)); +#2725=DIRECTION('',(0.E0,1.E0,0.E0)); +#2726=AXIS2_PLACEMENT_3D('',#2723,#2724,#2725); +#2728=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2729=VECTOR('',#2728,1.95E1); +#2730=CARTESIAN_POINT('',(-2.97E1,5.6E0,-5.E-1)); +#2731=LINE('',#2730,#2729); +#2732=DIRECTION('',(6.860412621132E-14,7.913975987949E-13,-1.E0)); +#2733=VECTOR('',#2732,7.25E-1); +#2734=CARTESIAN_POINT('',(-2.964579409906E1,6.418055555555E0,-1.9275E1)); +#2735=LINE('',#2734,#2733); +#2736=DIRECTION('',(0.E0,0.E0,1.E0)); +#2737=VECTOR('',#2736,7.25E-1); +#2738=CARTESIAN_POINT('',(-3.17E1,7.55E0,-2.E1)); +#2739=LINE('',#2738,#2737); +#2740=DIRECTION('',(0.E0,0.E0,1.E0)); +#2741=VECTOR('',#2740,7.25E-1); +#2742=CARTESIAN_POINT('',(-3.404520787991E1,1.305E1,-2.E1)); +#2743=LINE('',#2742,#2741); +#2744=DIRECTION('',(0.E0,0.E0,1.E0)); +#2745=VECTOR('',#2744,7.25E-1); +#2746=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-2.E1)); +#2747=LINE('',#2746,#2745); +#2748=DIRECTION('',(-4.410265256442E-14,8.085486303477E-14,1.E0)); +#2749=VECTOR('',#2748,7.25E-1); +#2750=CARTESIAN_POINT('',(-2.883235294118E1,1.232941176471E1,-2.E1)); +#2751=LINE('',#2750,#2749); +#2752=DIRECTION('',(0.E0,0.E0,1.E0)); +#2753=VECTOR('',#2752,7.25E-1); +#2754=CARTESIAN_POINT('',(-2.795E1,1.18E1,-2.E1)); +#2755=LINE('',#2754,#2753); +#2756=DIRECTION('',(8.715574274766E-2,-9.961946980917E-1,0.E0)); +#2757=VECTOR('',#2756,2.007639675087E0); +#2758=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-5.4E0)); +#2759=LINE('',#2758,#2757); +#2760=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#2761=VECTOR('',#2760,2.007639675087E0); +#2762=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#2763=LINE('',#2762,#2761); +#2764=DIRECTION('',(0.E0,0.E0,1.E0)); +#2765=VECTOR('',#2764,2.E-1); +#2766=CARTESIAN_POINT('',(-2.480967741935E1,3.2E0,-2.E1)); +#2767=LINE('',#2766,#2765); +#2768=DIRECTION('',(0.E0,0.E0,1.E0)); +#2769=VECTOR('',#2768,2.E-1); +#2770=CARTESIAN_POINT('',(-2.480967741935E1,4.859574508667E0,-2.E1)); +#2771=LINE('',#2770,#2769); +#2772=DIRECTION('',(0.E0,0.E0,1.E0)); +#2773=VECTOR('',#2772,2.E-1); +#2774=CARTESIAN_POINT('',(-2.51E1,4.561702084541E0,-2.E1)); +#2775=LINE('',#2774,#2773); +#2776=DIRECTION('',(0.E0,0.E0,1.E0)); +#2777=VECTOR('',#2776,2.E-1); +#2778=CARTESIAN_POINT('',(-2.51E1,4.902127695084E0,-2.E1)); +#2779=LINE('',#2778,#2777); +#2780=DIRECTION('',(0.E0,0.E0,1.E0)); +#2781=VECTOR('',#2780,2.E-1); +#2782=CARTESIAN_POINT('',(-2.480967741935E1,5.2E0,-2.E1)); +#2783=LINE('',#2782,#2781); +#2784=DIRECTION('',(0.E0,0.E0,1.E0)); +#2785=VECTOR('',#2784,2.E-1); +#2786=CARTESIAN_POINT('',(-2.451935483871E1,5.2E0,-2.E1)); +#2787=LINE('',#2786,#2785); +#2788=DIRECTION('',(0.E0,0.E0,1.E0)); +#2789=VECTOR('',#2788,2.E-1); +#2790=CARTESIAN_POINT('',(-2.451935483871E1,3.2E0,-2.E1)); +#2791=LINE('',#2790,#2789); +#2792=DIRECTION('',(0.E0,1.E0,0.E0)); +#2793=VECTOR('',#2792,2.E0); +#2794=CARTESIAN_POINT('',(-2.451935483871E1,3.2E0,-1.98E1)); +#2795=LINE('',#2794,#2793); +#2796=DIRECTION('',(1.E0,0.E0,0.E0)); +#2797=VECTOR('',#2796,2.903225806452E-1); +#2798=CARTESIAN_POINT('',(-2.480967741935E1,3.2E0,-1.98E1)); +#2799=LINE('',#2798,#2797); +#2800=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2801=VECTOR('',#2800,1.659574508667E0); +#2802=CARTESIAN_POINT('',(-2.480967741935E1,4.859574508667E0,-1.98E1)); +#2803=LINE('',#2802,#2801); +#2804=DIRECTION('',(6.979731379535E-1,7.161239408757E-1,0.E0)); +#2805=VECTOR('',#2804,4.159509368747E-1); +#2806=CARTESIAN_POINT('',(-2.51E1,4.561702084541E0,-1.98E1)); +#2807=LINE('',#2806,#2805); +#2808=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2809=VECTOR('',#2808,3.404256105423E-1); +#2810=CARTESIAN_POINT('',(-2.51E1,4.902127695084E0,-1.98E1)); +#2811=LINE('',#2810,#2809); +#2812=DIRECTION('',(-6.979732812036E-1,-7.161238012564E-1,0.E0)); +#2813=VECTOR('',#2812,4.159508515061E-1); +#2814=CARTESIAN_POINT('',(-2.480967741935E1,5.2E0,-1.98E1)); +#2815=LINE('',#2814,#2813); +#2816=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2817=VECTOR('',#2816,2.903225806452E-1); +#2818=CARTESIAN_POINT('',(-2.451935483871E1,5.2E0,-1.98E1)); +#2819=LINE('',#2818,#2817); +#2820=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2821=VECTOR('',#2820,2.127659618855E-1); +#2822=CARTESIAN_POINT('',(-2.5E1,-5.987234038115E0,-1.98E1)); +#2823=LINE('',#2822,#2821); +#2824=DIRECTION('',(-5.131025932281E-1,-8.583272853770E-1,0.E0)); +#2825=VECTOR('',#2824,1.239422033934E0); +#2826=CARTESIAN_POINT('',(-2.436404934028E1,-4.923404288292E0,-1.98E1)); +#2827=LINE('',#2826,#2825); +#2828=DIRECTION('',(-2.578481534210E-1,-9.661854530976E-1,0.E0)); +#2829=VECTOR('',#2828,1.761698492984E-1); +#2830=CARTESIAN_POINT('',(-2.431862426995E1,-4.753191542625E0,-1.98E1)); +#2831=LINE('',#2830,#2829); +#2832=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2833=VECTOR('',#2832,8.510649204254E-2); +#2834=CARTESIAN_POINT('',(-2.431862426995E1,-4.668085050583E0,-1.98E1)); +#2835=LINE('',#2834,#2833); +#2836=DIRECTION('',(3.352390012686E-1,-9.421331179979E-1,0.E0)); +#2837=VECTOR('',#2836,1.355005538083E-1); +#2838=CARTESIAN_POINT('',(-2.436404934028E1,-4.540425491333E0,-1.98E1)); +#2839=LINE('',#2838,#2837); +#2840=DIRECTION('',(6.249884924060E-1,-7.806339631095E-1,0.E0)); +#2841=VECTOR('',#2840,1.090221241390E-1); +#2842=CARTESIAN_POINT('',(-2.443218691329E1,-4.455319118500E0,-1.98E1)); +#2843=LINE('',#2842,#2841); +#2844=DIRECTION('',(9.364180470546E-1,-3.508863650108E-1,0.E0)); +#2845=VECTOR('',#2844,1.212734111664E-1); +#2846=CARTESIAN_POINT('',(-2.454574952413E1,-4.412765932083E0,-1.98E1)); +#2847=LINE('',#2846,#2845); +#2848=DIRECTION('',(1.E0,0.E0,0.E0)); +#2849=VECTOR('',#2848,9.085007567904E-2); +#2850=CARTESIAN_POINT('',(-2.463659959981E1,-4.412765932083E0,-1.98E1)); +#2851=LINE('',#2850,#2849); +#2852=DIRECTION('',(9.364180635474E-1,3.508863209961E-1,0.E0)); +#2853=VECTOR('',#2852,1.212734263787E-1); +#2854=CARTESIAN_POINT('',(-2.475016222690E1,-4.455319118500E0,-1.98E1)); +#2855=LINE('',#2854,#2853); +#2856=DIRECTION('',(6.249884924060E-1,7.806339631095E-1,0.E0)); +#2857=VECTOR('',#2856,1.090221241390E-1); +#2858=CARTESIAN_POINT('',(-2.481829979991E1,-4.540425491333E0,-1.98E1)); +#2859=LINE('',#2858,#2857); +#2860=DIRECTION('',(3.352388948518E-1,9.421331558641E-1,0.E0)); +#2861=VECTOR('',#2860,1.355005483623E-1); +#2862=CARTESIAN_POINT('',(-2.486372485399E1,-4.668085050583E0,-1.98E1)); +#2863=LINE('',#2862,#2861); +#2864=DIRECTION('',(1.E0,0.E0,0.E0)); +#2865=VECTOR('',#2864,1.135626209973E-1); +#2866=CARTESIAN_POINT('',(-2.497728747499E1,-4.668085050583E0,-1.98E1)); +#2867=LINE('',#2866,#2865); +#2868=DIRECTION('',(-1.322638042936E-1,-9.912145509796E-1,0.E0)); +#2869=VECTOR('',#2868,1.717213952300E-1); +#2870=CARTESIAN_POINT('',(-2.495457494998E1,-4.497872304916E0,-1.98E1)); +#2871=LINE('',#2870,#2869); +#2872=DIRECTION('',(-4.708705310514E-1,-8.822023254262E-1,0.E0)); +#2873=VECTOR('',#2872,1.929407129870E-1); +#2874=CARTESIAN_POINT('',(-2.486372485399E1,-4.327659559250E0,-1.98E1)); +#2875=LINE('',#2874,#2873); +#2876=DIRECTION('',(-7.298000386063E-1,-6.836606641092E-1,0.E0)); +#2877=VECTOR('',#2876,1.244862799649E-1); +#2878=CARTESIAN_POINT('',(-2.477287476207E1,-4.242553186417E0,-1.98E1)); +#2879=LINE('',#2878,#2877); +#2880=DIRECTION('',(-9.659978342114E-1,-2.585501581878E-1,0.E0)); +#2881=VECTOR('',#2880,1.645838730670E-1); +#2882=CARTESIAN_POINT('',(-2.461388709714E1,-4.2E0,-1.98E1)); +#2883=LINE('',#2882,#2881); +#2884=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2885=VECTOR('',#2884,9.085010816957E-2); +#2886=CARTESIAN_POINT('',(-2.452303698897E1,-4.2E0,-1.98E1)); +#2887=LINE('',#2886,#2885); +#2888=DIRECTION('',(-9.545453575187E-1,2.980656981935E-1,0.E0)); +#2889=VECTOR('',#2888,1.427644531878E-1); +#2890=CARTESIAN_POINT('',(-2.438676184296E1,-4.242553186417E0,-1.98E1)); +#2891=LINE('',#2890,#2889); +#2892=DIRECTION('',(-7.297999776124E-1,6.836607292195E-1,0.E0)); +#2893=VECTOR('',#2892,1.244862681091E-1); +#2894=CARTESIAN_POINT('',(-2.429591176728E1,-4.327659559250E0,-1.98E1)); +#2895=LINE('',#2894,#2893); +#2896=DIRECTION('',(-4.708707112585E-1,8.822022292416E-1,0.E0)); +#2897=VECTOR('',#2896,1.929407340229E-1); +#2898=CARTESIAN_POINT('',(-2.420506162662E1,-4.497872304916E0,-1.98E1)); +#2899=LINE('',#2898,#2897); +#2900=DIRECTION('',(-1.322636764909E-1,9.912145680331E-1,0.E0)); +#2901=VECTOR('',#2900,1.717213922756E-1); +#2902=CARTESIAN_POINT('',(-2.418234912395E1,-4.668085050583E0,-1.98E1)); +#2903=LINE('',#2902,#2901); +#2904=DIRECTION('',(0.E0,1.E0,0.E0)); +#2905=VECTOR('',#2904,8.510649204254E-2); +#2906=CARTESIAN_POINT('',(-2.418234912395E1,-4.753191542625E0,-1.98E1)); +#2907=LINE('',#2906,#2905); +#2908=DIRECTION('',(1.322636764909E-1,9.912145680331E-1,0.E0)); +#2909=VECTOR('',#2908,1.717213922756E-1); +#2910=CARTESIAN_POINT('',(-2.420506162662E1,-4.923404288292E0,-1.98E1)); +#2911=LINE('',#2910,#2909); +#2912=DIRECTION('',(3.352390012686E-1,9.421331179979E-1,0.E0)); +#2913=VECTOR('',#2912,1.355005538083E-1); +#2914=CARTESIAN_POINT('',(-2.425048669695E1,-5.051063847542E0,-1.98E1)); +#2915=LINE('',#2914,#2913); +#2916=DIRECTION('',(5.185937410258E-1,8.550207785597E-1,0.E0)); +#2917=VECTOR('',#2916,1.094909286473E0); +#2918=CARTESIAN_POINT('',(-2.481829979991E1,-5.987234038115E0,-1.98E1)); +#2919=LINE('',#2918,#2917); +#2920=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2921=VECTOR('',#2920,6.359506759606E-1); +#2922=CARTESIAN_POINT('',(-2.418234912395E1,-5.987234038115E0,-1.98E1)); +#2923=LINE('',#2922,#2921); +#2924=DIRECTION('',(0.E0,1.E0,0.E0)); +#2925=VECTOR('',#2924,2.127659618855E-1); +#2926=CARTESIAN_POINT('',(-2.418234912395E1,-6.2E0,-1.98E1)); +#2927=LINE('',#2926,#2925); +#2928=DIRECTION('',(1.E0,0.E0,0.E0)); +#2929=VECTOR('',#2928,8.176508760545E-1); +#2930=CARTESIAN_POINT('',(-2.5E1,-6.2E0,-1.98E1)); +#2931=LINE('',#2930,#2929); +#2932=DIRECTION('',(-4.286434830844E-1,-9.034737209290E-1,0.E0)); +#2933=VECTOR('',#2932,1.271687405890E0); +#2934=CARTESIAN_POINT('',(-2.345554838855E1,-4.2E0,-1.98E1)); +#2935=LINE('',#2934,#2933); +#2936=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2937=VECTOR('',#2936,1.362751460091E-1); +#2938=CARTESIAN_POINT('',(-2.331927324254E1,-4.2E0,-1.98E1)); +#2939=LINE('',#2938,#2937); +#2940=DIRECTION('',(0.E0,1.E0,0.E0)); +#2941=VECTOR('',#2940,1.148936152458E0); +#2942=CARTESIAN_POINT('',(-2.331927324254E1,-5.348936152458E0,-1.98E1)); +#2943=LINE('',#2942,#2941); +#2944=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2945=VECTOR('',#2944,2.271252866692E-1); +#2946=CARTESIAN_POINT('',(-2.309214795587E1,-5.348936152458E0,-1.98E1)); +#2947=LINE('',#2946,#2945); +#2948=DIRECTION('',(0.E0,1.E0,0.E0)); +#2949=VECTOR('',#2948,2.553191781044E-1); +#2950=CARTESIAN_POINT('',(-2.309214795587E1,-5.604255330563E0,-1.98E1)); +#2951=LINE('',#2950,#2949); +#2952=DIRECTION('',(1.E0,0.E0,0.E0)); +#2953=VECTOR('',#2952,2.271252866692E-1); +#2954=CARTESIAN_POINT('',(-2.331927324254E1,-5.604255330563E0,-1.98E1)); +#2955=LINE('',#2954,#2953); +#2956=DIRECTION('',(0.E0,1.E0,0.E0)); +#2957=VECTOR('',#2956,5.957446694374E-1); +#2958=CARTESIAN_POINT('',(-2.331927324254E1,-6.2E0,-1.98E1)); +#2959=LINE('',#2958,#2957); +#2960=DIRECTION('',(1.E0,0.E0,0.E0)); +#2961=VECTOR('',#2960,1.362751460091E-1); +#2962=CARTESIAN_POINT('',(-2.345554838855E1,-6.2E0,-1.98E1)); +#2963=LINE('',#2962,#2961); +#2964=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2965=VECTOR('',#2964,5.957446694374E-1); +#2966=CARTESIAN_POINT('',(-2.345554838855E1,-5.604255330563E0,-1.98E1)); +#2967=LINE('',#2966,#2965); +#2968=DIRECTION('',(1.E0,0.E0,0.E0)); +#2969=VECTOR('',#2968,5.451005190553E-1); +#2970=CARTESIAN_POINT('',(-2.400064890761E1,-5.604255330563E0,-1.98E1)); +#2971=LINE('',#2970,#2969); +#2972=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2973=VECTOR('',#2972,2.553191781044E-1); +#2974=CARTESIAN_POINT('',(-2.400064890761E1,-5.348936152458E0,-1.98E1)); +#2975=LINE('',#2974,#2973); +#2976=DIRECTION('',(4.309411811097E-1,9.023800188522E-1,0.E0)); +#2977=VECTOR('',#2976,8.959758020280E-1); +#2978=CARTESIAN_POINT('',(-2.384166125892E1,-5.348936152458E0,-1.98E1)); +#2979=LINE('',#2978,#2977); +#2980=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2981=VECTOR('',#2980,3.861128703717E-1); +#2982=CARTESIAN_POINT('',(-2.345554838855E1,-5.348936152458E0,-1.98E1)); +#2983=LINE('',#2982,#2981); +#2984=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2985=VECTOR('',#2984,8.085106611252E-1); +#2986=CARTESIAN_POINT('',(-2.345554838855E1,-4.540425491333E0,-1.98E1)); +#2987=LINE('',#2986,#2985); +#2988=DIRECTION('',(0.E0,1.E0,0.E0)); +#2989=VECTOR('',#2988,2.E0); +#2990=CARTESIAN_POINT('',(-2.311931818182E1,-8.5E-1,-1.98E1)); +#2991=LINE('',#2990,#2989); +#2992=DIRECTION('',(1.E0,0.E0,0.E0)); +#2993=VECTOR('',#2992,1.903409090909E-1); +#2994=CARTESIAN_POINT('',(-2.330965909091E1,-8.5E-1,-1.98E1)); +#2995=LINE('',#2994,#2993); +#2996=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2997=VECTOR('',#2996,1.659574508667E0); +#2998=CARTESIAN_POINT('',(-2.330965909091E1,8.095745086670E-1,-1.98E1)); +#2999=LINE('',#2998,#2997); +#3000=DIRECTION('',(5.384566281667E-1,8.426532261751E-1,0.E0)); +#3001=VECTOR('',#3000,3.534934832894E-1); +#3002=CARTESIAN_POINT('',(-2.35E1,5.117020845413E-1,-1.98E1)); +#3003=LINE('',#3002,#3001); +#3004=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3005=VECTOR('',#3004,3.404256105423E-1); +#3006=CARTESIAN_POINT('',(-2.35E1,8.521276950836E-1,-1.98E1)); +#3007=LINE('',#3006,#3005); +#3008=DIRECTION('',(-5.384567811798E-1,-8.426531283995E-1,0.E0)); +#3009=VECTOR('',#3008,3.534933828373E-1); +#3010=CARTESIAN_POINT('',(-2.330965909091E1,1.15E0,-1.98E1)); +#3011=LINE('',#3010,#3009); +#3012=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3013=VECTOR('',#3012,1.903409090909E-1); +#3014=CARTESIAN_POINT('',(-2.311931818182E1,1.15E0,-1.98E1)); +#3015=LINE('',#3014,#3013); +#3016=DIRECTION('',(4.450647363362E-1,8.954983978042E-1,0.E0)); +#3017=VECTOR('',#3016,1.425569934719E-1); +#3018=CARTESIAN_POINT('',(-2.181865536354E1,-6.372340381145E-1,-1.98E1)); +#3019=LINE('',#3018,#3017); +#3020=DIRECTION('',(7.454208084989E-1,6.665941930866E-1,0.E0)); +#3021=VECTOR('',#3020,1.276734610308E-1); +#3022=CARTESIAN_POINT('',(-2.191382581808E1,-7.223404258490E-1,-1.98E1)); +#3023=LINE('',#3022,#3021); +#3024=DIRECTION('',(8.305051732081E-1,5.570109130659E-1,0.E0)); +#3025=VECTOR('',#3024,1.527912326541E-1); +#3026=CARTESIAN_POINT('',(-2.204071972722E1,-8.074468098581E-1,-1.98E1)); +#3027=LINE('',#3026,#3025); +#3028=DIRECTION('',(9.481096686620E-1,3.179434795521E-1,0.E0)); +#3029=VECTOR('',#3028,1.338388514898E-1); +#3030=CARTESIAN_POINT('',(-2.216761363636E1,-8.5E-1,-1.98E1)); +#3031=LINE('',#3030,#3029); +#3032=DIRECTION('',(1.E0,0.E0,0.E0)); +#3033=VECTOR('',#3032,2.537879090418E-1); +#3034=CARTESIAN_POINT('',(-2.242140154541E1,-8.5E-1,-1.98E1)); +#3035=LINE('',#3034,#3033); +#3036=DIRECTION('',(9.481096686620E-1,-3.179434795521E-1,0.E0)); +#3037=VECTOR('',#3036,1.338388514898E-1); +#3038=CARTESIAN_POINT('',(-2.254829545455E1,-8.074468098581E-1,-1.98E1)); +#3039=LINE('',#3038,#3037); +#3040=DIRECTION('',(8.305051732081E-1,-5.570109130659E-1,0.E0)); +#3041=VECTOR('',#3040,1.527912326541E-1); +#3042=CARTESIAN_POINT('',(-2.267518936369E1,-7.223404258490E-1,-1.98E1)); +#3043=LINE('',#3042,#3041); +#3044=DIRECTION('',(7.454209664398E-1,-6.665940164687E-1,0.E0)); +#3045=VECTOR('',#3044,1.276734948586E-1); +#3046=CARTESIAN_POINT('',(-2.277035986361E1,-6.372340381145E-1,-1.98E1)); +#3047=LINE('',#3046,#3045); +#3048=DIRECTION('',(4.450639705018E-1,-8.954987784253E-1,0.E0)); +#3049=VECTOR('',#3048,1.425569328798E-1); +#3050=CARTESIAN_POINT('',(-2.283380681818E1,-5.095744788647E-1,-1.98E1)); +#3051=LINE('',#3050,#3049); +#3052=DIRECTION('',(2.411659474360E-1,-9.704838926006E-1,0.E0)); +#3053=VECTOR('',#3052,1.315422028387E-1); +#3054=CARTESIAN_POINT('',(-2.286553031816E1,-3.819148898125E-1,-1.98E1)); +#3055=LINE('',#3054,#3053); +#3056=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3057=VECTOR('',#3056,1.586174091155E-1); +#3058=CARTESIAN_POINT('',(-2.270691290904E1,-3.819148898125E-1,-1.98E1)); +#3059=LINE('',#3058,#3057); +#3060=DIRECTION('',(-3.492757888088E-1,9.370199695588E-1,0.E0)); +#3061=VECTOR('',#3060,9.082663720958E-2); +#3062=CARTESIAN_POINT('',(-2.267518936369E1,-4.670212626457E-1,-1.98E1)); +#3063=LINE('',#3062,#3061); +#3064=DIRECTION('',(-5.976890439995E-1,8.017280129090E-1,0.E0)); +#3065=VECTOR('',#3064,1.061537095689E-1); +#3066=CARTESIAN_POINT('',(-2.261174245450E1,-5.521276652813E-1,-1.98E1)); +#3067=LINE('',#3066,#3065); +#3068=DIRECTION('',(-8.305053913472E-1,5.570105878197E-1,0.E0)); +#3069=VECTOR('',#3068,7.639565090350E-2); +#3070=CARTESIAN_POINT('',(-2.254829545455E1,-5.946808516979E-1,-1.98E1)); +#3071=LINE('',#3070,#3069); +#3072=DIRECTION('',(-9.658469762536E-1,2.591131383426E-1,0.E0)); +#3073=VECTOR('',#3072,1.642262784852E-1); +#3074=CARTESIAN_POINT('',(-2.238967800005E1,-6.372340381145E-1,-1.98E1)); +#3075=LINE('',#3074,#3073); +#3076=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3077=VECTOR('',#3076,1.903409090909E-1); +#3078=CARTESIAN_POINT('',(-2.219933709096E1,-6.372340381145E-1,-1.98E1)); +#3079=LINE('',#3078,#3077); +#3080=DIRECTION('',(-9.658469391481E-1,-2.591132766539E-1,0.E0)); +#3081=VECTOR('',#3080,1.642261908233E-1); +#3082=CARTESIAN_POINT('',(-2.204071972722E1,-5.946808516979E-1,-1.98E1)); +#3083=LINE('',#3082,#3081); +#3084=DIRECTION('',(-8.305053913472E-1,-5.570105878197E-1,0.E0)); +#3085=VECTOR('',#3084,7.639565090350E-2); +#3086=CARTESIAN_POINT('',(-2.197727272727E1,-5.521276652813E-1,-1.98E1)); +#3087=LINE('',#3086,#3085); +#3088=DIRECTION('',(-5.976890439995E-1,-8.017280129090E-1,0.E0)); +#3089=VECTOR('',#3088,1.061537095689E-1); +#3090=CARTESIAN_POINT('',(-2.191382581808E1,-4.670212626457E-1,-1.98E1)); +#3091=LINE('',#3090,#3089); +#3092=DIRECTION('',(-3.492757888088E-1,-9.370199695588E-1,0.E0)); +#3093=VECTOR('',#3092,9.082663720958E-2); +#3094=CARTESIAN_POINT('',(-2.188210227273E1,-3.819148898125E-1,-1.98E1)); +#3095=LINE('',#3094,#3093); +#3096=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3097=VECTOR('',#3096,1.702127456665E-1); +#3098=CARTESIAN_POINT('',(-2.188210227273E1,-2.117021441460E-1,-1.98E1)); +#3099=LINE('',#3098,#3097); +#3100=DIRECTION('',(3.492748040431E-1,-9.370203366313E-1,0.E0)); +#3101=VECTOR('',#3100,1.816532668683E-1); +#3102=CARTESIAN_POINT('',(-2.194554918192E1,-4.148933887482E-2,-1.98E1)); +#3103=LINE('',#3102,#3101); +#3104=DIRECTION('',(7.454211823744E-1,-6.665937749991E-1,0.E0)); +#3105=VECTOR('',#3104,1.276735187534E-1); +#3106=CARTESIAN_POINT('',(-2.204071972722E1,4.361703395844E-2,-1.98E1)); +#3107=LINE('',#3106,#3105); +#3108=DIRECTION('',(9.759091726016E-1,-2.181771913655E-1,0.E0)); +#3109=VECTOR('',#3108,1.950395737992E-1); +#3110=CARTESIAN_POINT('',(-2.223106063631E1,8.617022037506E-2,-1.98E1)); +#3111=LINE('',#3110,#3109); +#3112=DIRECTION('',(1.E0,0.E0,0.E0)); +#3113=VECTOR('',#3112,1.268939091401E-1); +#3114=CARTESIAN_POINT('',(-2.235795454545E1,8.617022037506E-2,-1.98E1)); +#3115=LINE('',#3114,#3113); +#3116=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3117=VECTOR('',#3116,1.702127456665E-1); +#3118=CARTESIAN_POINT('',(-2.235795454545E1,2.563829660416E-1,-1.98E1)); +#3119=LINE('',#3118,#3117); +#3120=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3121=VECTOR('',#3120,1.268939091401E-1); +#3122=CARTESIAN_POINT('',(-2.223106063631E1,2.563829660416E-1,-1.98E1)); +#3123=LINE('',#3122,#3121); +#3124=DIRECTION('',(-9.129007220280E-1,-4.081816650962E-1,0.E0)); +#3125=VECTOR('',#3124,2.085012143139E-1); +#3126=CARTESIAN_POINT('',(-2.204071972722E1,3.414893388748E-1,-1.98E1)); +#3127=LINE('',#3126,#3125); +#3128=DIRECTION('',(-5.976897280962E-1,-8.017275029138E-1,0.E0)); +#3129=VECTOR('',#3128,1.061537399228E-1); +#3130=CARTESIAN_POINT('',(-2.197727272727E1,4.265957117081E-1,-1.98E1)); +#3131=LINE('',#3130,#3129); +#3132=DIRECTION('',(-3.492749114305E-1,-9.370202966026E-1,0.E0)); +#3133=VECTOR('',#3132,1.816532110176E-1); +#3134=CARTESIAN_POINT('',(-2.191382581808E1,5.968084573746E-1,-1.98E1)); +#3135=LINE('',#3134,#3133); +#3136=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3137=VECTOR('',#3136,8.510649204254E-2); +#3138=CARTESIAN_POINT('',(-2.191382581808E1,6.819149494171E-1,-1.98E1)); +#3139=LINE('',#3138,#3137); +#3140=DIRECTION('',(4.450637152235E-1,-8.954989052989E-1,0.E0)); +#3141=VECTOR('',#3140,1.425569126824E-1); +#3142=CARTESIAN_POINT('',(-2.197727272727E1,8.095745086670E-1,-1.98E1)); +#3143=LINE('',#3142,#3141); +#3144=DIRECTION('',(7.454208664929E-1,-6.665941282347E-1,0.E0)); +#3145=VECTOR('',#3144,1.276734510978E-1); +#3146=CARTESIAN_POINT('',(-2.207244318182E1,8.946808815002E-1,-1.98E1)); +#3147=LINE('',#3146,#3145); +#3148=DIRECTION('',(9.658469762536E-1,-2.591131383426E-1,0.E0)); +#3149=VECTOR('',#3148,1.642262784852E-1); +#3150=CARTESIAN_POINT('',(-2.223106063631E1,9.372340679169E-1,-1.98E1)); +#3151=LINE('',#3150,#3149); +#3152=DIRECTION('',(1.E0,0.E0,0.E0)); +#3153=VECTOR('',#3152,1.268939091401E-1); +#3154=CARTESIAN_POINT('',(-2.235795454545E1,9.372340679169E-1,-1.98E1)); +#3155=LINE('',#3154,#3153); +#3156=DIRECTION('',(9.658469762536E-1,2.591131383426E-1,0.E0)); +#3157=VECTOR('',#3156,1.642262784852E-1); +#3158=CARTESIAN_POINT('',(-2.251657199995E1,8.946808815002E-1,-1.98E1)); +#3159=LINE('',#3158,#3157); +#3160=DIRECTION('',(7.454208664929E-1,6.665941282347E-1,0.E0)); +#3161=VECTOR('',#3160,1.276734510978E-1); +#3162=CARTESIAN_POINT('',(-2.261174245450E1,8.095745086670E-1,-1.98E1)); +#3163=LINE('',#3162,#3161); +#3164=DIRECTION('',(4.450637152235E-1,8.954989052989E-1,0.E0)); +#3165=VECTOR('',#3164,1.425569126824E-1); +#3166=CARTESIAN_POINT('',(-2.267518936369E1,6.819149494171E-1,-1.98E1)); +#3167=LINE('',#3166,#3165); +#3168=DIRECTION('',(1.E0,0.E0,0.E0)); +#3169=VECTOR('',#3168,1.586174544963E-1); +#3170=CARTESIAN_POINT('',(-2.283380681818E1,6.819149494171E-1,-1.98E1)); +#3171=LINE('',#3170,#3169); +#3172=DIRECTION('',(-1.832205864040E-1,-9.830718268355E-1,0.E0)); +#3173=VECTOR('',#3172,1.731437531013E-1); +#3174=CARTESIAN_POINT('',(-2.280208331821E1,8.521276950836E-1,-1.98E1)); +#3175=LINE('',#3174,#3173); +#3176=DIRECTION('',(-5.976895907045E-1,-8.017276053396E-1,0.E0)); +#3177=VECTOR('',#3176,2.123074527219E-1); +#3178=CARTESIAN_POINT('',(-2.267518936369E1,1.022340440750E0,-1.98E1)); +#3179=LINE('',#3178,#3177); +#3180=DIRECTION('',(-8.305052070449E-1,-5.570108626152E-1,0.E0)); +#3181=VECTOR('',#3180,1.527912264290E-1); +#3182=CARTESIAN_POINT('',(-2.254829545455E1,1.107446813583E0,-1.98E1)); +#3183=LINE('',#3182,#3181); +#3184=DIRECTION('',(-9.821304926347E-1,-1.882012099777E-1,0.E0)); +#3185=VECTOR('',#3184,2.261047440751E-1); +#3186=CARTESIAN_POINT('',(-2.232623109086E1,1.15E0,-1.98E1)); +#3187=LINE('',#3186,#3185); +#3188=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3189=VECTOR('',#3188,6.344699995084E-2); +#3190=CARTESIAN_POINT('',(-2.226278409091E1,1.15E0,-1.98E1)); +#3191=LINE('',#3190,#3189); +#3192=DIRECTION('',(-9.821304926347E-1,1.882012099777E-1,0.E0)); +#3193=VECTOR('',#3192,2.261047440751E-1); +#3194=CARTESIAN_POINT('',(-2.204071972722E1,1.107446813583E0,-1.98E1)); +#3195=LINE('',#3194,#3193); +#3196=DIRECTION('',(-8.305052070449E-1,5.570108626152E-1,0.E0)); +#3197=VECTOR('',#3196,1.527912264290E-1); +#3198=CARTESIAN_POINT('',(-2.191382581808E1,1.022340440750E0,-1.98E1)); +#3199=LINE('',#3198,#3197); +#3200=DIRECTION('',(-5.976897280962E-1,8.017275029138E-1,0.E0)); +#3201=VECTOR('',#3200,2.123074798456E-1); +#3202=CARTESIAN_POINT('',(-2.178693181818E1,8.521276950836E-1,-1.98E1)); +#3203=LINE('',#3202,#3201); +#3204=DIRECTION('',(-1.832208397044E-1,9.830717796265E-1,0.E0)); +#3205=VECTOR('',#3204,1.731437614161E-1); +#3206=CARTESIAN_POINT('',(-2.175520827283E1,6.819149494171E-1,-1.98E1)); +#3207=LINE('',#3206,#3205); +#3208=DIRECTION('',(0.E0,1.E0,0.E0)); +#3209=VECTOR('',#3208,8.510649204254E-2); +#3210=CARTESIAN_POINT('',(-2.175520827283E1,5.968084573746E-1,-1.98E1)); +#3211=LINE('',#3210,#3209); +#3212=DIRECTION('',(1.832208397044E-1,9.830717796265E-1,0.E0)); +#3213=VECTOR('',#3212,1.731437614161E-1); +#3214=CARTESIAN_POINT('',(-2.178693181818E1,4.265957117081E-1,-1.98E1)); +#3215=LINE('',#3214,#3213); +#3216=DIRECTION('',(4.450637152235E-1,8.954989052989E-1,0.E0)); +#3217=VECTOR('',#3216,1.425569126824E-1); +#3218=CARTESIAN_POINT('',(-2.185037872737E1,2.989361524582E-1,-1.98E1)); +#3219=LINE('',#3218,#3217); +#3220=DIRECTION('',(7.049769984727E-1,7.092301682983E-1,0.E0)); +#3221=VECTOR('',#3220,1.799973618666E-1); +#3222=CARTESIAN_POINT('',(-2.197727272727E1,1.712765932083E-1,-1.98E1)); +#3223=LINE('',#3222,#3221); +#3224=DIRECTION('',(-8.811727274958E-1,4.727944842293E-1,0.E0)); +#3225=VECTOR('',#3224,1.800071186786E-1); +#3226=CARTESIAN_POINT('',(-2.181865536354E1,8.617022037506E-2,-1.98E1)); +#3227=LINE('',#3226,#3225); +#3228=DIRECTION('',(-4.450647363362E-1,8.954983978042E-1,0.E0)); +#3229=VECTOR('',#3228,1.425569934719E-1); +#3230=CARTESIAN_POINT('',(-2.175520827283E1,-4.148933887482E-2,-1.98E1)); +#3231=LINE('',#3230,#3229); +#3232=DIRECTION('',(-1.832197644970E-1,9.830719800186E-1,0.E0)); +#3233=VECTOR('',#3232,1.731437867530E-1); +#3234=CARTESIAN_POINT('',(-2.172348490899E1,-2.117021441460E-1,-1.98E1)); +#3235=LINE('',#3234,#3233); +#3236=DIRECTION('',(0.E0,1.E0,0.E0)); +#3237=VECTOR('',#3236,1.702127456665E-1); +#3238=CARTESIAN_POINT('',(-2.172348490899E1,-3.819148898125E-1,-1.98E1)); +#3239=LINE('',#3238,#3237); +#3240=DIRECTION('',(2.411649726592E-1,9.704841348329E-1,0.E0)); +#3241=VECTOR('',#3240,1.315421700059E-1); +#3242=CARTESIAN_POINT('',(-2.175520827283E1,-5.095744788647E-1,-1.98E1)); +#3243=LINE('',#3242,#3241); +#3244=DIRECTION('',(0.E0,0.E0,1.E0)); +#3245=VECTOR('',#3244,2.E-1); +#3246=CARTESIAN_POINT('',(-2.5E1,-5.987234038115E0,-2.E1)); +#3247=LINE('',#3246,#3245); +#3248=DIRECTION('',(0.E0,0.E0,1.E0)); +#3249=VECTOR('',#3248,2.E-1); +#3250=CARTESIAN_POINT('',(-2.436404934028E1,-4.923404288292E0,-2.E1)); +#3251=LINE('',#3250,#3249); +#3252=DIRECTION('',(0.E0,0.E0,1.E0)); +#3253=VECTOR('',#3252,2.E-1); +#3254=CARTESIAN_POINT('',(-2.431862426995E1,-4.753191542625E0,-2.E1)); +#3255=LINE('',#3254,#3253); +#3256=DIRECTION('',(0.E0,0.E0,1.E0)); +#3257=VECTOR('',#3256,2.E-1); +#3258=CARTESIAN_POINT('',(-2.431862426995E1,-4.668085050583E0,-2.E1)); +#3259=LINE('',#3258,#3257); +#3260=DIRECTION('',(0.E0,0.E0,1.E0)); +#3261=VECTOR('',#3260,2.E-1); +#3262=CARTESIAN_POINT('',(-2.436404934028E1,-4.540425491333E0,-2.E1)); +#3263=LINE('',#3262,#3261); +#3264=DIRECTION('',(0.E0,0.E0,1.E0)); +#3265=VECTOR('',#3264,2.E-1); +#3266=CARTESIAN_POINT('',(-2.443218691329E1,-4.455319118500E0,-2.E1)); +#3267=LINE('',#3266,#3265); +#3268=DIRECTION('',(0.E0,0.E0,1.E0)); +#3269=VECTOR('',#3268,2.E-1); +#3270=CARTESIAN_POINT('',(-2.454574952413E1,-4.412765932083E0,-2.E1)); +#3271=LINE('',#3270,#3269); +#3272=DIRECTION('',(0.E0,0.E0,1.E0)); +#3273=VECTOR('',#3272,2.E-1); +#3274=CARTESIAN_POINT('',(-2.463659959981E1,-4.412765932083E0,-2.E1)); +#3275=LINE('',#3274,#3273); +#3276=DIRECTION('',(0.E0,0.E0,1.E0)); +#3277=VECTOR('',#3276,2.E-1); +#3278=CARTESIAN_POINT('',(-2.475016222690E1,-4.455319118500E0,-2.E1)); +#3279=LINE('',#3278,#3277); +#3280=DIRECTION('',(0.E0,0.E0,1.E0)); +#3281=VECTOR('',#3280,2.E-1); +#3282=CARTESIAN_POINT('',(-2.481829979991E1,-4.540425491333E0,-2.E1)); +#3283=LINE('',#3282,#3281); +#3284=DIRECTION('',(0.E0,0.E0,1.E0)); +#3285=VECTOR('',#3284,2.E-1); +#3286=CARTESIAN_POINT('',(-2.486372485399E1,-4.668085050583E0,-2.E1)); +#3287=LINE('',#3286,#3285); +#3288=DIRECTION('',(0.E0,0.E0,1.E0)); +#3289=VECTOR('',#3288,2.E-1); +#3290=CARTESIAN_POINT('',(-2.497728747499E1,-4.668085050583E0,-2.E1)); +#3291=LINE('',#3290,#3289); +#3292=DIRECTION('',(0.E0,0.E0,1.E0)); +#3293=VECTOR('',#3292,2.E-1); +#3294=CARTESIAN_POINT('',(-2.495457494998E1,-4.497872304916E0,-2.E1)); +#3295=LINE('',#3294,#3293); +#3296=DIRECTION('',(0.E0,0.E0,1.E0)); +#3297=VECTOR('',#3296,2.E-1); +#3298=CARTESIAN_POINT('',(-2.486372485399E1,-4.327659559250E0,-2.E1)); +#3299=LINE('',#3298,#3297); +#3300=DIRECTION('',(0.E0,0.E0,1.E0)); +#3301=VECTOR('',#3300,2.E-1); +#3302=CARTESIAN_POINT('',(-2.477287476207E1,-4.242553186417E0,-2.E1)); +#3303=LINE('',#3302,#3301); +#3304=DIRECTION('',(0.E0,0.E0,1.E0)); +#3305=VECTOR('',#3304,2.E-1); +#3306=CARTESIAN_POINT('',(-2.461388709714E1,-4.2E0,-2.E1)); +#3307=LINE('',#3306,#3305); +#3308=DIRECTION('',(0.E0,0.E0,1.E0)); +#3309=VECTOR('',#3308,2.E-1); +#3310=CARTESIAN_POINT('',(-2.452303698897E1,-4.2E0,-2.E1)); +#3311=LINE('',#3310,#3309); +#3312=DIRECTION('',(0.E0,0.E0,1.E0)); +#3313=VECTOR('',#3312,2.E-1); +#3314=CARTESIAN_POINT('',(-2.438676184296E1,-4.242553186417E0,-2.E1)); +#3315=LINE('',#3314,#3313); +#3316=DIRECTION('',(0.E0,0.E0,1.E0)); +#3317=VECTOR('',#3316,2.E-1); +#3318=CARTESIAN_POINT('',(-2.429591176728E1,-4.327659559250E0,-2.E1)); +#3319=LINE('',#3318,#3317); +#3320=DIRECTION('',(0.E0,0.E0,1.E0)); +#3321=VECTOR('',#3320,2.E-1); +#3322=CARTESIAN_POINT('',(-2.420506162662E1,-4.497872304916E0,-2.E1)); +#3323=LINE('',#3322,#3321); +#3324=DIRECTION('',(0.E0,0.E0,1.E0)); +#3325=VECTOR('',#3324,2.E-1); +#3326=CARTESIAN_POINT('',(-2.418234912395E1,-4.668085050583E0,-2.E1)); +#3327=LINE('',#3326,#3325); +#3328=DIRECTION('',(0.E0,0.E0,1.E0)); +#3329=VECTOR('',#3328,2.E-1); +#3330=CARTESIAN_POINT('',(-2.418234912395E1,-4.753191542625E0,-2.E1)); +#3331=LINE('',#3330,#3329); +#3332=DIRECTION('',(0.E0,0.E0,1.E0)); +#3333=VECTOR('',#3332,2.E-1); +#3334=CARTESIAN_POINT('',(-2.420506162662E1,-4.923404288292E0,-2.E1)); +#3335=LINE('',#3334,#3333); +#3336=DIRECTION('',(0.E0,0.E0,1.E0)); +#3337=VECTOR('',#3336,2.E-1); +#3338=CARTESIAN_POINT('',(-2.425048669695E1,-5.051063847542E0,-2.E1)); +#3339=LINE('',#3338,#3337); +#3340=DIRECTION('',(0.E0,0.E0,1.E0)); +#3341=VECTOR('',#3340,2.E-1); +#3342=CARTESIAN_POINT('',(-2.481829979991E1,-5.987234038115E0,-2.E1)); +#3343=LINE('',#3342,#3341); +#3344=DIRECTION('',(0.E0,0.E0,1.E0)); +#3345=VECTOR('',#3344,2.E-1); +#3346=CARTESIAN_POINT('',(-2.418234912395E1,-5.987234038115E0,-2.E1)); +#3347=LINE('',#3346,#3345); +#3348=DIRECTION('',(0.E0,0.E0,1.E0)); +#3349=VECTOR('',#3348,2.E-1); +#3350=CARTESIAN_POINT('',(-2.418234912395E1,-6.2E0,-2.E1)); +#3351=LINE('',#3350,#3349); +#3352=DIRECTION('',(0.E0,0.E0,1.E0)); +#3353=VECTOR('',#3352,2.E-1); +#3354=CARTESIAN_POINT('',(-2.5E1,-6.2E0,-2.E1)); +#3355=LINE('',#3354,#3353); +#3356=DIRECTION('',(0.E0,0.E0,1.E0)); +#3357=VECTOR('',#3356,2.E-1); +#3358=CARTESIAN_POINT('',(-2.345554838855E1,-4.2E0,-2.E1)); +#3359=LINE('',#3358,#3357); +#3360=DIRECTION('',(0.E0,0.E0,1.E0)); +#3361=VECTOR('',#3360,2.E-1); +#3362=CARTESIAN_POINT('',(-2.331927324254E1,-4.2E0,-2.E1)); +#3363=LINE('',#3362,#3361); +#3364=DIRECTION('',(0.E0,0.E0,1.E0)); +#3365=VECTOR('',#3364,2.E-1); +#3366=CARTESIAN_POINT('',(-2.331927324254E1,-5.348936152458E0,-2.E1)); +#3367=LINE('',#3366,#3365); +#3368=DIRECTION('',(0.E0,0.E0,1.E0)); +#3369=VECTOR('',#3368,2.E-1); +#3370=CARTESIAN_POINT('',(-2.309214795587E1,-5.348936152458E0,-2.E1)); +#3371=LINE('',#3370,#3369); +#3372=DIRECTION('',(0.E0,0.E0,1.E0)); +#3373=VECTOR('',#3372,2.E-1); +#3374=CARTESIAN_POINT('',(-2.309214795587E1,-5.604255330563E0,-2.E1)); +#3375=LINE('',#3374,#3373); +#3376=DIRECTION('',(0.E0,0.E0,1.E0)); +#3377=VECTOR('',#3376,2.E-1); +#3378=CARTESIAN_POINT('',(-2.331927324254E1,-5.604255330563E0,-2.E1)); +#3379=LINE('',#3378,#3377); +#3380=DIRECTION('',(0.E0,0.E0,1.E0)); +#3381=VECTOR('',#3380,2.E-1); +#3382=CARTESIAN_POINT('',(-2.331927324254E1,-6.2E0,-2.E1)); +#3383=LINE('',#3382,#3381); +#3384=DIRECTION('',(0.E0,0.E0,1.E0)); +#3385=VECTOR('',#3384,2.E-1); +#3386=CARTESIAN_POINT('',(-2.345554838855E1,-6.2E0,-2.E1)); +#3387=LINE('',#3386,#3385); +#3388=DIRECTION('',(0.E0,0.E0,1.E0)); +#3389=VECTOR('',#3388,2.E-1); +#3390=CARTESIAN_POINT('',(-2.345554838855E1,-5.604255330563E0,-2.E1)); +#3391=LINE('',#3390,#3389); +#3392=DIRECTION('',(0.E0,0.E0,1.E0)); +#3393=VECTOR('',#3392,2.E-1); +#3394=CARTESIAN_POINT('',(-2.400064890761E1,-5.604255330563E0,-2.E1)); +#3395=LINE('',#3394,#3393); +#3396=DIRECTION('',(0.E0,0.E0,1.E0)); +#3397=VECTOR('',#3396,2.E-1); +#3398=CARTESIAN_POINT('',(-2.400064890761E1,-5.348936152458E0,-2.E1)); +#3399=LINE('',#3398,#3397); +#3400=DIRECTION('',(0.E0,0.E0,1.E0)); +#3401=VECTOR('',#3400,2.E-1); +#3402=CARTESIAN_POINT('',(-2.384166125892E1,-5.348936152458E0,-2.E1)); +#3403=LINE('',#3402,#3401); +#3404=DIRECTION('',(0.E0,0.E0,1.E0)); +#3405=VECTOR('',#3404,2.E-1); +#3406=CARTESIAN_POINT('',(-2.345554838855E1,-5.348936152458E0,-2.E1)); +#3407=LINE('',#3406,#3405); +#3408=DIRECTION('',(0.E0,0.E0,1.E0)); +#3409=VECTOR('',#3408,2.E-1); +#3410=CARTESIAN_POINT('',(-2.345554838855E1,-4.540425491333E0,-2.E1)); +#3411=LINE('',#3410,#3409); +#3412=DIRECTION('',(0.E0,0.E0,1.E0)); +#3413=VECTOR('',#3412,2.E-1); +#3414=CARTESIAN_POINT('',(-2.311931818182E1,-8.5E-1,-2.E1)); +#3415=LINE('',#3414,#3413); +#3416=DIRECTION('',(0.E0,0.E0,1.E0)); +#3417=VECTOR('',#3416,2.E-1); +#3418=CARTESIAN_POINT('',(-2.330965909091E1,-8.5E-1,-2.E1)); +#3419=LINE('',#3418,#3417); +#3420=DIRECTION('',(0.E0,0.E0,1.E0)); +#3421=VECTOR('',#3420,2.E-1); +#3422=CARTESIAN_POINT('',(-2.330965909091E1,8.095745086670E-1,-2.E1)); +#3423=LINE('',#3422,#3421); +#3424=DIRECTION('',(0.E0,0.E0,1.E0)); +#3425=VECTOR('',#3424,2.E-1); +#3426=CARTESIAN_POINT('',(-2.35E1,5.117020845413E-1,-2.E1)); +#3427=LINE('',#3426,#3425); +#3428=DIRECTION('',(0.E0,0.E0,1.E0)); +#3429=VECTOR('',#3428,2.E-1); +#3430=CARTESIAN_POINT('',(-2.35E1,8.521276950836E-1,-2.E1)); +#3431=LINE('',#3430,#3429); +#3432=DIRECTION('',(0.E0,0.E0,1.E0)); +#3433=VECTOR('',#3432,2.E-1); +#3434=CARTESIAN_POINT('',(-2.330965909091E1,1.15E0,-2.E1)); +#3435=LINE('',#3434,#3433); +#3436=DIRECTION('',(0.E0,0.E0,1.E0)); +#3437=VECTOR('',#3436,2.E-1); +#3438=CARTESIAN_POINT('',(-2.311931818182E1,1.15E0,-2.E1)); +#3439=LINE('',#3438,#3437); +#3440=DIRECTION('',(0.E0,0.E0,1.E0)); +#3441=VECTOR('',#3440,2.E-1); +#3442=CARTESIAN_POINT('',(-2.181865536354E1,-6.372340381145E-1,-2.E1)); +#3443=LINE('',#3442,#3441); +#3444=DIRECTION('',(0.E0,0.E0,1.E0)); +#3445=VECTOR('',#3444,2.E-1); +#3446=CARTESIAN_POINT('',(-2.191382581808E1,-7.223404258490E-1,-2.E1)); +#3447=LINE('',#3446,#3445); +#3448=DIRECTION('',(0.E0,0.E0,1.E0)); +#3449=VECTOR('',#3448,2.E-1); +#3450=CARTESIAN_POINT('',(-2.204071972722E1,-8.074468098581E-1,-2.E1)); +#3451=LINE('',#3450,#3449); +#3452=DIRECTION('',(0.E0,0.E0,1.E0)); +#3453=VECTOR('',#3452,2.E-1); +#3454=CARTESIAN_POINT('',(-2.216761363636E1,-8.5E-1,-2.E1)); +#3455=LINE('',#3454,#3453); +#3456=DIRECTION('',(0.E0,0.E0,1.E0)); +#3457=VECTOR('',#3456,2.E-1); +#3458=CARTESIAN_POINT('',(-2.242140154541E1,-8.5E-1,-2.E1)); +#3459=LINE('',#3458,#3457); +#3460=DIRECTION('',(0.E0,0.E0,1.E0)); +#3461=VECTOR('',#3460,2.E-1); +#3462=CARTESIAN_POINT('',(-2.254829545455E1,-8.074468098581E-1,-2.E1)); +#3463=LINE('',#3462,#3461); +#3464=DIRECTION('',(0.E0,0.E0,1.E0)); +#3465=VECTOR('',#3464,2.E-1); +#3466=CARTESIAN_POINT('',(-2.267518936369E1,-7.223404258490E-1,-2.E1)); +#3467=LINE('',#3466,#3465); +#3468=DIRECTION('',(0.E0,0.E0,1.E0)); +#3469=VECTOR('',#3468,2.E-1); +#3470=CARTESIAN_POINT('',(-2.277035986361E1,-6.372340381145E-1,-2.E1)); +#3471=LINE('',#3470,#3469); +#3472=DIRECTION('',(0.E0,0.E0,1.E0)); +#3473=VECTOR('',#3472,2.E-1); +#3474=CARTESIAN_POINT('',(-2.283380681818E1,-5.095744788647E-1,-2.E1)); +#3475=LINE('',#3474,#3473); +#3476=DIRECTION('',(0.E0,0.E0,1.E0)); +#3477=VECTOR('',#3476,2.E-1); +#3478=CARTESIAN_POINT('',(-2.286553031816E1,-3.819148898125E-1,-2.E1)); +#3479=LINE('',#3478,#3477); +#3480=DIRECTION('',(0.E0,0.E0,1.E0)); +#3481=VECTOR('',#3480,2.E-1); +#3482=CARTESIAN_POINT('',(-2.270691290904E1,-3.819148898125E-1,-2.E1)); +#3483=LINE('',#3482,#3481); +#3484=DIRECTION('',(0.E0,0.E0,1.E0)); +#3485=VECTOR('',#3484,2.E-1); +#3486=CARTESIAN_POINT('',(-2.267518936369E1,-4.670212626457E-1,-2.E1)); +#3487=LINE('',#3486,#3485); +#3488=DIRECTION('',(0.E0,0.E0,1.E0)); +#3489=VECTOR('',#3488,2.E-1); +#3490=CARTESIAN_POINT('',(-2.261174245450E1,-5.521276652813E-1,-2.E1)); +#3491=LINE('',#3490,#3489); +#3492=DIRECTION('',(0.E0,0.E0,1.E0)); +#3493=VECTOR('',#3492,2.E-1); +#3494=CARTESIAN_POINT('',(-2.254829545455E1,-5.946808516979E-1,-2.E1)); +#3495=LINE('',#3494,#3493); +#3496=DIRECTION('',(0.E0,0.E0,1.E0)); +#3497=VECTOR('',#3496,2.E-1); +#3498=CARTESIAN_POINT('',(-2.238967800005E1,-6.372340381145E-1,-2.E1)); +#3499=LINE('',#3498,#3497); +#3500=DIRECTION('',(0.E0,0.E0,1.E0)); +#3501=VECTOR('',#3500,2.E-1); +#3502=CARTESIAN_POINT('',(-2.219933709096E1,-6.372340381145E-1,-2.E1)); +#3503=LINE('',#3502,#3501); +#3504=DIRECTION('',(0.E0,0.E0,1.E0)); +#3505=VECTOR('',#3504,2.E-1); +#3506=CARTESIAN_POINT('',(-2.204071972722E1,-5.946808516979E-1,-2.E1)); +#3507=LINE('',#3506,#3505); +#3508=DIRECTION('',(0.E0,0.E0,1.E0)); +#3509=VECTOR('',#3508,2.E-1); +#3510=CARTESIAN_POINT('',(-2.197727272727E1,-5.521276652813E-1,-2.E1)); +#3511=LINE('',#3510,#3509); +#3512=DIRECTION('',(0.E0,0.E0,1.E0)); +#3513=VECTOR('',#3512,2.E-1); +#3514=CARTESIAN_POINT('',(-2.191382581808E1,-4.670212626457E-1,-2.E1)); +#3515=LINE('',#3514,#3513); +#3516=DIRECTION('',(0.E0,0.E0,1.E0)); +#3517=VECTOR('',#3516,2.E-1); +#3518=CARTESIAN_POINT('',(-2.188210227273E1,-3.819148898125E-1,-2.E1)); +#3519=LINE('',#3518,#3517); +#3520=DIRECTION('',(0.E0,0.E0,1.E0)); +#3521=VECTOR('',#3520,2.E-1); +#3522=CARTESIAN_POINT('',(-2.188210227273E1,-2.117021441460E-1,-2.E1)); +#3523=LINE('',#3522,#3521); +#3524=DIRECTION('',(0.E0,0.E0,1.E0)); +#3525=VECTOR('',#3524,2.E-1); +#3526=CARTESIAN_POINT('',(-2.194554918192E1,-4.148933887482E-2,-2.E1)); +#3527=LINE('',#3526,#3525); +#3528=DIRECTION('',(0.E0,0.E0,1.E0)); +#3529=VECTOR('',#3528,2.E-1); +#3530=CARTESIAN_POINT('',(-2.204071972722E1,4.361703395843E-2,-2.E1)); +#3531=LINE('',#3530,#3529); +#3532=DIRECTION('',(0.E0,0.E0,1.E0)); +#3533=VECTOR('',#3532,2.E-1); +#3534=CARTESIAN_POINT('',(-2.223106063631E1,8.617022037506E-2,-2.E1)); +#3535=LINE('',#3534,#3533); +#3536=DIRECTION('',(0.E0,0.E0,1.E0)); +#3537=VECTOR('',#3536,2.E-1); +#3538=CARTESIAN_POINT('',(-2.235795454545E1,8.617022037506E-2,-2.E1)); +#3539=LINE('',#3538,#3537); +#3540=DIRECTION('',(0.E0,0.E0,1.E0)); +#3541=VECTOR('',#3540,2.E-1); +#3542=CARTESIAN_POINT('',(-2.235795454545E1,2.563829660416E-1,-2.E1)); +#3543=LINE('',#3542,#3541); +#3544=DIRECTION('',(0.E0,0.E0,1.E0)); +#3545=VECTOR('',#3544,2.E-1); +#3546=CARTESIAN_POINT('',(-2.223106063631E1,2.563829660416E-1,-2.E1)); +#3547=LINE('',#3546,#3545); +#3548=DIRECTION('',(0.E0,0.E0,1.E0)); +#3549=VECTOR('',#3548,2.E-1); +#3550=CARTESIAN_POINT('',(-2.204071972722E1,3.414893388748E-1,-2.E1)); +#3551=LINE('',#3550,#3549); +#3552=DIRECTION('',(0.E0,0.E0,1.E0)); +#3553=VECTOR('',#3552,2.E-1); +#3554=CARTESIAN_POINT('',(-2.197727272727E1,4.265957117081E-1,-2.E1)); +#3555=LINE('',#3554,#3553); +#3556=DIRECTION('',(0.E0,0.E0,1.E0)); +#3557=VECTOR('',#3556,2.E-1); +#3558=CARTESIAN_POINT('',(-2.191382581808E1,5.968084573746E-1,-2.E1)); +#3559=LINE('',#3558,#3557); +#3560=DIRECTION('',(0.E0,0.E0,1.E0)); +#3561=VECTOR('',#3560,2.E-1); +#3562=CARTESIAN_POINT('',(-2.191382581808E1,6.819149494171E-1,-2.E1)); +#3563=LINE('',#3562,#3561); +#3564=DIRECTION('',(0.E0,0.E0,1.E0)); +#3565=VECTOR('',#3564,2.E-1); +#3566=CARTESIAN_POINT('',(-2.197727272727E1,8.095745086670E-1,-2.E1)); +#3567=LINE('',#3566,#3565); +#3568=DIRECTION('',(0.E0,0.E0,1.E0)); +#3569=VECTOR('',#3568,2.E-1); +#3570=CARTESIAN_POINT('',(-2.207244318182E1,8.946808815002E-1,-2.E1)); +#3571=LINE('',#3570,#3569); +#3572=DIRECTION('',(0.E0,0.E0,1.E0)); +#3573=VECTOR('',#3572,2.E-1); +#3574=CARTESIAN_POINT('',(-2.223106063631E1,9.372340679169E-1,-2.E1)); +#3575=LINE('',#3574,#3573); +#3576=DIRECTION('',(0.E0,0.E0,1.E0)); +#3577=VECTOR('',#3576,2.E-1); +#3578=CARTESIAN_POINT('',(-2.235795454545E1,9.372340679169E-1,-2.E1)); +#3579=LINE('',#3578,#3577); +#3580=DIRECTION('',(0.E0,0.E0,1.E0)); +#3581=VECTOR('',#3580,2.E-1); +#3582=CARTESIAN_POINT('',(-2.251657199995E1,8.946808815002E-1,-2.E1)); +#3583=LINE('',#3582,#3581); +#3584=DIRECTION('',(0.E0,0.E0,1.E0)); +#3585=VECTOR('',#3584,2.E-1); +#3586=CARTESIAN_POINT('',(-2.261174245450E1,8.095745086670E-1,-2.E1)); +#3587=LINE('',#3586,#3585); +#3588=DIRECTION('',(0.E0,0.E0,1.E0)); +#3589=VECTOR('',#3588,2.E-1); +#3590=CARTESIAN_POINT('',(-2.267518936369E1,6.819149494171E-1,-2.E1)); +#3591=LINE('',#3590,#3589); +#3592=DIRECTION('',(0.E0,0.E0,1.E0)); +#3593=VECTOR('',#3592,2.E-1); +#3594=CARTESIAN_POINT('',(-2.283380681818E1,6.819149494171E-1,-2.E1)); +#3595=LINE('',#3594,#3593); +#3596=DIRECTION('',(0.E0,0.E0,1.E0)); +#3597=VECTOR('',#3596,2.E-1); +#3598=CARTESIAN_POINT('',(-2.280208331821E1,8.521276950836E-1,-2.E1)); +#3599=LINE('',#3598,#3597); +#3600=DIRECTION('',(-1.776356839400E-14,0.E0,1.E0)); +#3601=VECTOR('',#3600,2.E-1); +#3602=CARTESIAN_POINT('',(-2.267518936369E1,1.022340440750E0,-2.E1)); +#3603=LINE('',#3602,#3601); +#3604=DIRECTION('',(0.E0,0.E0,1.E0)); +#3605=VECTOR('',#3604,2.E-1); +#3606=CARTESIAN_POINT('',(-2.254829545455E1,1.107446813583E0,-2.E1)); +#3607=LINE('',#3606,#3605); +#3608=DIRECTION('',(0.E0,0.E0,1.E0)); +#3609=VECTOR('',#3608,2.E-1); +#3610=CARTESIAN_POINT('',(-2.232623109086E1,1.15E0,-2.E1)); +#3611=LINE('',#3610,#3609); +#3612=DIRECTION('',(0.E0,0.E0,1.E0)); +#3613=VECTOR('',#3612,2.E-1); +#3614=CARTESIAN_POINT('',(-2.226278409091E1,1.15E0,-2.E1)); +#3615=LINE('',#3614,#3613); +#3616=DIRECTION('',(0.E0,0.E0,1.E0)); +#3617=VECTOR('',#3616,2.E-1); +#3618=CARTESIAN_POINT('',(-2.204071972722E1,1.107446813583E0,-2.E1)); +#3619=LINE('',#3618,#3617); +#3620=DIRECTION('',(0.E0,0.E0,1.E0)); +#3621=VECTOR('',#3620,2.E-1); +#3622=CARTESIAN_POINT('',(-2.191382581808E1,1.022340440750E0,-2.E1)); +#3623=LINE('',#3622,#3621); +#3624=DIRECTION('',(0.E0,0.E0,1.E0)); +#3625=VECTOR('',#3624,2.E-1); +#3626=CARTESIAN_POINT('',(-2.178693181818E1,8.521276950836E-1,-2.E1)); +#3627=LINE('',#3626,#3625); +#3628=DIRECTION('',(0.E0,0.E0,1.E0)); +#3629=VECTOR('',#3628,2.E-1); +#3630=CARTESIAN_POINT('',(-2.175520827283E1,6.819149494171E-1,-2.E1)); +#3631=LINE('',#3630,#3629); +#3632=DIRECTION('',(0.E0,0.E0,1.E0)); +#3633=VECTOR('',#3632,2.E-1); +#3634=CARTESIAN_POINT('',(-2.175520827283E1,5.968084573746E-1,-2.E1)); +#3635=LINE('',#3634,#3633); +#3636=DIRECTION('',(0.E0,0.E0,1.E0)); +#3637=VECTOR('',#3636,2.E-1); +#3638=CARTESIAN_POINT('',(-2.178693181818E1,4.265957117081E-1,-2.E1)); +#3639=LINE('',#3638,#3637); +#3640=DIRECTION('',(0.E0,0.E0,1.E0)); +#3641=VECTOR('',#3640,2.E-1); +#3642=CARTESIAN_POINT('',(-2.185037872737E1,2.989361524582E-1,-2.E1)); +#3643=LINE('',#3642,#3641); +#3644=DIRECTION('',(0.E0,0.E0,1.E0)); +#3645=VECTOR('',#3644,2.E-1); +#3646=CARTESIAN_POINT('',(-2.197727272727E1,1.712765932083E-1,-2.E1)); +#3647=LINE('',#3646,#3645); +#3648=DIRECTION('',(0.E0,0.E0,1.E0)); +#3649=VECTOR('',#3648,2.E-1); +#3650=CARTESIAN_POINT('',(-2.181865536354E1,8.617022037506E-2,-2.E1)); +#3651=LINE('',#3650,#3649); +#3652=DIRECTION('',(0.E0,0.E0,1.E0)); +#3653=VECTOR('',#3652,2.E-1); +#3654=CARTESIAN_POINT('',(-2.175520827283E1,-4.148933887482E-2,-2.E1)); +#3655=LINE('',#3654,#3653); +#3656=DIRECTION('',(0.E0,0.E0,1.E0)); +#3657=VECTOR('',#3656,2.E-1); +#3658=CARTESIAN_POINT('',(-2.172348490899E1,-2.117021441460E-1,-2.E1)); +#3659=LINE('',#3658,#3657); +#3660=DIRECTION('',(0.E0,0.E0,1.E0)); +#3661=VECTOR('',#3660,2.E-1); +#3662=CARTESIAN_POINT('',(-2.172348490899E1,-3.819148898125E-1,-2.E1)); +#3663=LINE('',#3662,#3661); +#3664=DIRECTION('',(0.E0,0.E0,1.E0)); +#3665=VECTOR('',#3664,2.E-1); +#3666=CARTESIAN_POINT('',(-2.175520827283E1,-5.095744788647E-1,-2.E1)); +#3667=LINE('',#3666,#3665); +#3668=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3669=VECTOR('',#3668,2.21E1); +#3670=CARTESIAN_POINT('',(3.575E1,1.105E1,-1.98E1)); +#3671=LINE('',#3670,#3669); +#3672=DIRECTION('',(3.552713678800E-14,0.E0,-1.E0)); +#3673=VECTOR('',#3672,2.E-1); +#3674=CARTESIAN_POINT('',(3.345E1,1.335E1,-1.98E1)); +#3675=LINE('',#3674,#3673); +#3676=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.98E1)); +#3677=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3678=DIRECTION('',(0.E0,1.E0,0.E0)); +#3679=AXIS2_PLACEMENT_3D('',#3676,#3677,#3678); +#3681=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3682=VECTOR('',#3681,2.E-1); +#3683=CARTESIAN_POINT('',(3.575E1,1.105E1,-1.98E1)); +#3684=LINE('',#3683,#3682); +#3685=DIRECTION('',(-3.552713678800E-14,0.E0,-1.E0)); +#3686=VECTOR('',#3685,2.E-1); +#3687=CARTESIAN_POINT('',(-3.345E1,1.335E1,-1.98E1)); +#3688=LINE('',#3687,#3686); +#3689=DIRECTION('',(1.E0,0.E0,0.E0)); +#3690=VECTOR('',#3689,6.69E1); +#3691=CARTESIAN_POINT('',(-3.345E1,1.335E1,-1.98E1)); +#3692=LINE('',#3691,#3690); +#3693=DIRECTION('',(3.552713678800E-14,0.E0,-1.E0)); +#3694=VECTOR('',#3693,2.E-1); +#3695=CARTESIAN_POINT('',(-3.575E1,1.105E1,-1.98E1)); +#3696=LINE('',#3695,#3694); +#3697=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.98E1)); +#3698=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3699=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3700=AXIS2_PLACEMENT_3D('',#3697,#3698,#3699); +#3702=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3703=VECTOR('',#3702,2.E-1); +#3704=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-1.98E1)); +#3705=LINE('',#3704,#3703); +#3706=DIRECTION('',(0.E0,1.E0,0.E0)); +#3707=VECTOR('',#3706,2.21E1); +#3708=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-1.98E1)); +#3709=LINE('',#3708,#3707); +#3710=DIRECTION('',(2.486899575160E-13,0.E0,-1.E0)); +#3711=VECTOR('',#3710,2.E-1); +#3712=CARTESIAN_POINT('',(-3.345E1,-1.335E1,-1.98E1)); +#3713=LINE('',#3712,#3711); +#3714=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.98E1)); +#3715=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3716=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3717=AXIS2_PLACEMENT_3D('',#3714,#3715,#3716); +#3719=DIRECTION('',(1.776356839400E-13,0.E0,-1.E0)); +#3720=VECTOR('',#3719,2.E-1); +#3721=CARTESIAN_POINT('',(3.345E1,-1.335E1,-1.98E1)); +#3722=LINE('',#3721,#3720); +#3723=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3724=VECTOR('',#3723,6.69E1); +#3725=CARTESIAN_POINT('',(3.345E1,-1.335E1,-1.98E1)); +#3726=LINE('',#3725,#3724); +#3727=DIRECTION('',(0.E0,2.842170943040E-13,-1.E0)); +#3728=VECTOR('',#3727,2.E-1); +#3729=CARTESIAN_POINT('',(3.575E1,-1.105E1,-1.98E1)); +#3730=LINE('',#3729,#3728); +#3731=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.98E1)); +#3732=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3733=DIRECTION('',(1.E0,0.E0,0.E0)); +#3734=AXIS2_PLACEMENT_3D('',#3731,#3732,#3733); +#3736=CARTESIAN_POINT('',(3.705E1,-1.105E1,-1.98E1)); +#3737=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3738=DIRECTION('',(1.E0,0.E0,0.E0)); +#3739=AXIS2_PLACEMENT_3D('',#3736,#3737,#3738); +#3741=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.98E1)); +#3742=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3743=DIRECTION('',(1.E0,0.E0,0.E0)); +#3744=AXIS2_PLACEMENT_3D('',#3741,#3742,#3743); +#3746=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3747=VECTOR('',#3746,2.21E1); +#3748=CARTESIAN_POINT('',(3.835E1,1.105E1,-1.98E1)); +#3749=LINE('',#3748,#3747); +#3750=CARTESIAN_POINT('',(3.345E1,1.465E1,-1.98E1)); +#3751=DIRECTION('',(1.E0,0.E0,0.E0)); +#3752=DIRECTION('',(0.E0,1.E0,0.E0)); +#3753=AXIS2_PLACEMENT_3D('',#3750,#3751,#3752); +#3755=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.98E1)); +#3756=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3757=DIRECTION('',(0.E0,1.E0,0.E0)); +#3758=AXIS2_PLACEMENT_3D('',#3755,#3756,#3757); +#3760=CARTESIAN_POINT('',(3.705E1,1.105E1,-1.98E1)); +#3761=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3762=DIRECTION('',(1.E0,0.E0,0.E0)); +#3763=AXIS2_PLACEMENT_3D('',#3760,#3761,#3762); +#3765=CARTESIAN_POINT('',(-3.345E1,1.465E1,-1.98E1)); +#3766=DIRECTION('',(1.E0,0.E0,0.E0)); +#3767=DIRECTION('',(0.E0,1.E0,0.E0)); +#3768=AXIS2_PLACEMENT_3D('',#3765,#3766,#3767); +#3770=DIRECTION('',(1.E0,0.E0,0.E0)); +#3771=VECTOR('',#3770,6.69E1); +#3772=CARTESIAN_POINT('',(-3.345E1,1.595E1,-1.98E1)); +#3773=LINE('',#3772,#3771); +#3774=CARTESIAN_POINT('',(-3.705E1,1.105E1,-1.98E1)); +#3775=DIRECTION('',(0.E0,1.E0,0.E0)); +#3776=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3777=AXIS2_PLACEMENT_3D('',#3774,#3775,#3776); +#3779=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.98E1)); +#3780=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3781=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3782=AXIS2_PLACEMENT_3D('',#3779,#3780,#3781); +#3784=CARTESIAN_POINT('',(-3.705E1,-1.105E1,-1.98E1)); +#3785=DIRECTION('',(0.E0,1.E0,0.E0)); +#3786=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3787=AXIS2_PLACEMENT_3D('',#3784,#3785,#3786); +#3789=DIRECTION('',(0.E0,1.E0,0.E0)); +#3790=VECTOR('',#3789,2.21E1); +#3791=CARTESIAN_POINT('',(-3.835E1,-1.105E1,-1.98E1)); +#3792=LINE('',#3791,#3790); +#3793=CARTESIAN_POINT('',(-3.345E1,-1.465E1,-1.98E1)); +#3794=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3795=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3796=AXIS2_PLACEMENT_3D('',#3793,#3794,#3795); +#3798=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.98E1)); +#3799=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3800=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3801=AXIS2_PLACEMENT_3D('',#3798,#3799,#3800); +#3803=CARTESIAN_POINT('',(3.345E1,-1.465E1,-1.98E1)); +#3804=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3805=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3806=AXIS2_PLACEMENT_3D('',#3803,#3804,#3805); +#3808=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3809=VECTOR('',#3808,6.69E1); +#3810=CARTESIAN_POINT('',(3.345E1,-1.595E1,-1.98E1)); +#3811=LINE('',#3810,#3809); +#3812=DIRECTION('',(0.E0,0.E0,1.E0)); +#3813=VECTOR('',#3812,4.E-1); +#3814=CARTESIAN_POINT('',(3.345E1,-1.595E1,-2.02E1)); +#3815=LINE('',#3814,#3813); +#3816=DIRECTION('',(1.E0,0.E0,0.E0)); +#3817=VECTOR('',#3816,6.69E1); +#3818=CARTESIAN_POINT('',(-3.345E1,-1.595E1,-2.02E1)); +#3819=LINE('',#3818,#3817); +#3820=DIRECTION('',(0.E0,0.E0,1.E0)); +#3821=VECTOR('',#3820,4.E-1); +#3822=CARTESIAN_POINT('',(3.835E1,-1.105E1,-2.02E1)); +#3823=LINE('',#3822,#3821); +#3824=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.02E1)); +#3825=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3826=DIRECTION('',(1.E0,0.E0,0.E0)); +#3827=AXIS2_PLACEMENT_3D('',#3824,#3825,#3826); +#3829=DIRECTION('',(0.E0,1.E0,0.E0)); +#3830=VECTOR('',#3829,2.21E1); +#3831=CARTESIAN_POINT('',(3.835E1,-1.105E1,-2.02E1)); +#3832=LINE('',#3831,#3830); +#3833=DIRECTION('',(0.E0,0.E0,1.E0)); +#3834=VECTOR('',#3833,4.E-1); +#3835=CARTESIAN_POINT('',(3.835E1,1.105E1,-2.02E1)); +#3836=LINE('',#3835,#3834); +#3837=DIRECTION('',(0.E0,0.E0,1.E0)); +#3838=VECTOR('',#3837,4.E-1); +#3839=CARTESIAN_POINT('',(3.345E1,1.595E1,-2.02E1)); +#3840=LINE('',#3839,#3838); +#3841=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.02E1)); +#3842=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3843=DIRECTION('',(0.E0,1.E0,0.E0)); +#3844=AXIS2_PLACEMENT_3D('',#3841,#3842,#3843); +#3846=DIRECTION('',(0.E0,0.E0,1.E0)); +#3847=VECTOR('',#3846,4.E-1); +#3848=CARTESIAN_POINT('',(-3.345E1,1.595E1,-2.02E1)); +#3849=LINE('',#3848,#3847); +#3850=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3851=VECTOR('',#3850,6.69E1); +#3852=CARTESIAN_POINT('',(3.345E1,1.595E1,-2.02E1)); +#3853=LINE('',#3852,#3851); +#3854=DIRECTION('',(0.E0,0.E0,1.E0)); +#3855=VECTOR('',#3854,4.E-1); +#3856=CARTESIAN_POINT('',(-3.835E1,1.105E1,-2.02E1)); +#3857=LINE('',#3856,#3855); +#3858=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.02E1)); +#3859=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3860=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3861=AXIS2_PLACEMENT_3D('',#3858,#3859,#3860); +#3863=DIRECTION('',(-1.776356839400E-14,0.E0,1.E0)); +#3864=VECTOR('',#3863,4.E-1); +#3865=CARTESIAN_POINT('',(-3.835E1,-1.105E1,-2.02E1)); +#3866=LINE('',#3865,#3864); +#3867=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3868=VECTOR('',#3867,2.21E1); +#3869=CARTESIAN_POINT('',(-3.835E1,1.105E1,-2.02E1)); +#3870=LINE('',#3869,#3868); +#3871=DIRECTION('',(0.E0,0.E0,1.E0)); +#3872=VECTOR('',#3871,4.E-1); +#3873=CARTESIAN_POINT('',(-3.345E1,-1.595E1,-2.02E1)); +#3874=LINE('',#3873,#3872); +#3875=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.02E1)); +#3876=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3877=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3878=AXIS2_PLACEMENT_3D('',#3875,#3876,#3877); +#3880=CARTESIAN_POINT('',(-3.795E1,-1.105E1,-2.05E1)); +#3881=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3882=DIRECTION('',(-8.E-1,0.E0,6.E-1)); +#3883=AXIS2_PLACEMENT_3D('',#3880,#3881,#3882); +#3885=CARTESIAN_POINT('',(-3.345E1,-1.555E1,-2.05E1)); +#3886=DIRECTION('',(1.E0,0.E0,0.E0)); +#3887=DIRECTION('',(0.E0,-8.E-1,6.E-1)); +#3888=AXIS2_PLACEMENT_3D('',#3885,#3886,#3887); +#3890=CARTESIAN_POINT('',(3.345E1,-1.555E1,-2.05E1)); +#3891=DIRECTION('',(1.E0,0.E0,0.E0)); +#3892=DIRECTION('',(0.E0,-8.E-1,6.E-1)); +#3893=AXIS2_PLACEMENT_3D('',#3890,#3891,#3892); +#3895=CARTESIAN_POINT('',(3.795E1,-1.105E1,-2.05E1)); +#3896=DIRECTION('',(0.E0,1.E0,0.E0)); +#3897=DIRECTION('',(8.E-1,0.E0,6.E-1)); +#3898=AXIS2_PLACEMENT_3D('',#3895,#3896,#3897); +#3900=CARTESIAN_POINT('',(3.795E1,1.105E1,-2.05E1)); +#3901=DIRECTION('',(0.E0,1.E0,0.E0)); +#3902=DIRECTION('',(8.E-1,0.E0,6.E-1)); +#3903=AXIS2_PLACEMENT_3D('',#3900,#3901,#3902); +#3905=CARTESIAN_POINT('',(3.345E1,1.555E1,-2.05E1)); +#3906=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3907=DIRECTION('',(0.E0,8.E-1,6.E-1)); +#3908=AXIS2_PLACEMENT_3D('',#3905,#3906,#3907); +#3910=CARTESIAN_POINT('',(-3.345E1,1.555E1,-2.05E1)); +#3911=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3912=DIRECTION('',(0.E0,8.E-1,6.E-1)); +#3913=AXIS2_PLACEMENT_3D('',#3910,#3911,#3912); +#3915=CARTESIAN_POINT('',(-3.795E1,1.105E1,-2.05E1)); +#3916=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3917=DIRECTION('',(-8.E-1,0.E0,6.E-1)); +#3918=AXIS2_PLACEMENT_3D('',#3915,#3916,#3917); +#3920=CARTESIAN_POINT('',(-3.845E1,-6.55E0,-2.236602540378E1)); +#3921=CARTESIAN_POINT('',(-3.845E1,-6.55E0,-2.234110937866E1)); +#3922=CARTESIAN_POINT('',(-3.845E1,-6.547211109574E0,-2.229009891708E1)); +#3923=CARTESIAN_POINT('',(-3.845E1,-6.533926231701E0,-2.221007508797E1)); +#3924=CARTESIAN_POINT('',(-3.845E1,-6.510587745522E0,-2.212645627582E1)); +#3925=CARTESIAN_POINT('',(-3.845E1,-6.475919979250E0,-2.203890250201E1)); +#3926=CARTESIAN_POINT('',(-3.845E1,-6.427735917213E0,-2.194594789603E1)); +#3927=CARTESIAN_POINT('',(-3.845E1,-6.362281385231E0,-2.184525579648E1)); +#3928=CARTESIAN_POINT('',(-3.845E1,-6.277419922947E0,-2.173746192972E1)); +#3929=CARTESIAN_POINT('',(-3.845E1,-6.172619440284E0,-2.162277761850E1)); +#3930=CARTESIAN_POINT('',(-3.845E1,-6.092213030764E0,-2.154221303076E1)); +#3931=CARTESIAN_POINT('',(-3.845E1,-6.05E0,-2.15E1)); +#3933=DIRECTION('',(0.E0,1.E0,0.E0)); +#3934=VECTOR('',#3933,1.56E1); +#3935=CARTESIAN_POINT('',(-3.845E1,-6.05E0,-2.15E1)); +#3936=LINE('',#3935,#3934); +#3937=CARTESIAN_POINT('',(-3.845E1,9.55E0,-2.15E1)); +#3938=CARTESIAN_POINT('',(-3.845E1,9.592212613740E0,-2.154221261374E1)); +#3939=CARTESIAN_POINT('',(-3.845E1,9.672618587250E0,-2.162277674195E1)); +#3940=CARTESIAN_POINT('',(-3.845E1,9.777419921469E0,-2.173746184863E1)); +#3941=CARTESIAN_POINT('',(-3.845E1,9.862282467573E0,-2.184525725950E1)); +#3942=CARTESIAN_POINT('',(-3.845E1,9.927736615721E0,-2.194594909663E1)); +#3943=CARTESIAN_POINT('',(-3.845E1,9.975920676726E0,-2.203890403407E1)); +#3944=CARTESIAN_POINT('',(-3.845E1,1.001058799786E1,-2.212645709513E1)); +#3945=CARTESIAN_POINT('',(-3.845E1,1.003392636283E1,-2.221007570174E1)); +#3946=CARTESIAN_POINT('',(-3.845E1,1.004721112627E1,-2.229009918836E1)); +#3947=CARTESIAN_POINT('',(-3.845E1,1.005E1,-2.234110946891E1)); +#3948=CARTESIAN_POINT('',(-3.845E1,1.005E1,-2.236602540378E1)); +#3950=DIRECTION('',(0.E0,0.E0,1.E0)); +#3951=VECTOR('',#3950,6.133974596216E0); +#3952=CARTESIAN_POINT('',(-3.845E1,1.005E1,-2.85E1)); +#3953=LINE('',#3952,#3951); +#3954=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3955=VECTOR('',#3954,2.21E1); +#3956=CARTESIAN_POINT('',(-3.845E1,1.105E1,-2.05E1)); +#3957=LINE('',#3956,#3955); +#3958=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3959=VECTOR('',#3958,1.E0); +#3960=CARTESIAN_POINT('',(-3.845E1,-1.105E1,-2.05E1)); +#3961=LINE('',#3960,#3959); +#3962=DIRECTION('',(0.E0,1.E0,0.E0)); +#3963=VECTOR('',#3962,2.5E0); +#3964=CARTESIAN_POINT('',(-3.845E1,-1.105E1,-2.15E1)); +#3965=LINE('',#3964,#3963); +#3966=CARTESIAN_POINT('',(-3.845E1,-8.55E0,-2.15E1)); +#3967=CARTESIAN_POINT('',(-3.845E1,-8.507787386260E0,-2.154221261374E1)); +#3968=CARTESIAN_POINT('',(-3.845E1,-8.427381412750E0,-2.162277674195E1)); +#3969=CARTESIAN_POINT('',(-3.845E1,-8.322580078531E0,-2.173746184863E1)); +#3970=CARTESIAN_POINT('',(-3.845E1,-8.237717532427E0,-2.184525725950E1)); +#3971=CARTESIAN_POINT('',(-3.845E1,-8.172263384279E0,-2.194594909663E1)); +#3972=CARTESIAN_POINT('',(-3.845E1,-8.124079323274E0,-2.203890403407E1)); +#3973=CARTESIAN_POINT('',(-3.845E1,-8.089412002144E0,-2.212645709513E1)); +#3974=CARTESIAN_POINT('',(-3.845E1,-8.066073637173E0,-2.221007570174E1)); +#3975=CARTESIAN_POINT('',(-3.845E1,-8.052788873732E0,-2.229009918836E1)); +#3976=CARTESIAN_POINT('',(-3.845E1,-8.05E0,-2.234110946891E1)); +#3977=CARTESIAN_POINT('',(-3.845E1,-8.05E0,-2.236602540378E1)); +#3979=DIRECTION('',(0.E0,0.E0,1.E0)); +#3980=VECTOR('',#3979,6.133974596216E0); +#3981=CARTESIAN_POINT('',(-3.845E1,-8.05E0,-2.85E1)); +#3982=LINE('',#3981,#3980); +#3983=DIRECTION('',(1.E0,0.E0,-1.170757384172E-13)); +#3984=VECTOR('',#3983,1.153126357516E0); +#3985=CARTESIAN_POINT('',(-3.795E1,-6.55E0,-2.25E1)); +#3986=LINE('',#3985,#3984); +#3987=CARTESIAN_POINT('',(-3.795E1,-6.55E0,-2.15E1)); +#3988=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3989=DIRECTION('',(-5.E-1,0.E0,-8.660254037844E-1)); +#3990=AXIS2_PLACEMENT_3D('',#3987,#3988,#3989); +#3992=DIRECTION('',(0.E0,0.E0,1.E0)); +#3993=VECTOR('',#3992,6.133974596216E0); +#3994=CARTESIAN_POINT('',(-3.845E1,-6.55E0,-2.85E1)); +#3995=LINE('',#3994,#3993); +#3996=CARTESIAN_POINT('',(-3.679687364248E1,-6.55E0,-2.8E1)); +#3997=CARTESIAN_POINT('',(-3.685372004600E1,-6.55E0,-2.804019647741E1)); +#3998=CARTESIAN_POINT('',(-3.696273950843E1,-6.55E0,-2.811719341692E1)); +#3999=CARTESIAN_POINT('',(-3.711571833988E1,-6.55E0,-2.821880398781E1)); +#4000=CARTESIAN_POINT('',(-3.725783044295E1,-6.55E0,-2.830345149662E1)); +#4001=CARTESIAN_POINT('',(-3.739213270343E1,-6.55E0,-2.837204434177E1)); +#4002=CARTESIAN_POINT('',(-3.751487404576E1,-6.55E0,-2.842332669605E1)); +#4003=CARTESIAN_POINT('',(-3.762672633076E1,-6.55E0,-2.845967796875E1)); +#4004=CARTESIAN_POINT('',(-3.772953336386E1,-6.55E0,-2.848361571783E1)); +#4005=CARTESIAN_POINT('',(-3.782510049377E1,-6.55E0,-2.849712054410E1)); +#4006=CARTESIAN_POINT('',(-3.788559711651E1,-6.55E0,-2.85E1)); +#4007=CARTESIAN_POINT('',(-3.791490763123E1,-6.55E0,-2.85E1)); +#4009=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4010=VECTOR('',#4009,5.5E0); +#4011=CARTESIAN_POINT('',(-3.679687364248E1,-6.55E0,-2.25E1)); +#4012=LINE('',#4011,#4010); +#4013=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4014=VECTOR('',#4013,2.653126357515E0); +#4015=CARTESIAN_POINT('',(-3.529687364248E1,9.55E0,-2.2E1)); +#4016=LINE('',#4015,#4014); +#4017=DIRECTION('',(0.E0,1.E0,0.E0)); +#4018=VECTOR('',#4017,1.56E1); +#4019=CARTESIAN_POINT('',(-3.795E1,-6.05E0,-2.2E1)); +#4020=LINE('',#4019,#4018); +#4021=DIRECTION('',(1.E0,0.E0,0.E0)); +#4022=VECTOR('',#4021,1.153126357516E0); +#4023=CARTESIAN_POINT('',(-3.795E1,-6.05E0,-2.2E1)); +#4024=LINE('',#4023,#4022); +#4025=CARTESIAN_POINT('',(-3.679687364248E1,-5.55E0,-2.2E1)); +#4026=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4027=DIRECTION('',(8.993231350921E-1,-4.372846883761E-1,0.E0)); +#4028=AXIS2_PLACEMENT_3D('',#4025,#4026,#4027); +#4030=DIRECTION('',(1.E0,0.E0,0.E0)); +#4031=VECTOR('',#4030,4.108207765149E0); +#4032=CARTESIAN_POINT('',(-3.015820776515E1,-5.568671030682E0,-2.2E1)); +#4033=LINE('',#4032,#4031); +#4034=DIRECTION('',(5.211311565163E-1,-8.534766064211E-1,0.E0)); +#4035=VECTOR('',#4034,3.106504559552E0); +#4036=CARTESIAN_POINT('',(-2.605E1,-5.568671030682E0,-2.2E1)); +#4037=LINE('',#4036,#4035); +#4038=DIRECTION('',(0.E0,1.E0,0.E0)); +#4039=VECTOR('',#4038,1.15E0); +#4040=CARTESIAN_POINT('',(-2.443110368616E1,-9.37E0,-2.2E1)); +#4041=LINE('',#4040,#4039); +#4042=DIRECTION('',(-7.071067811866E-1,-7.071067811865E-1,0.E0)); +#4043=VECTOR('',#4042,4.242640687119E-1); +#4044=CARTESIAN_POINT('',(-2.443110368616E1,-9.37E0,-2.2E1)); +#4045=LINE('',#4044,#4043); +#4046=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4047=VECTOR('',#4046,1.1E0); +#4048=CARTESIAN_POINT('',(-2.473110368616E1,-9.67E0,-2.2E1)); +#4049=LINE('',#4048,#4047); +#4050=DIRECTION('',(7.071067811865E-1,-7.071067811866E-1,0.E0)); +#4051=VECTOR('',#4050,4.242640687119E-1); +#4052=CARTESIAN_POINT('',(-2.473110368616E1,-1.077E1,-2.2E1)); +#4053=LINE('',#4052,#4051); +#4054=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4055=VECTOR('',#4054,6.5E-1); +#4056=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-2.2E1)); +#4057=LINE('',#4056,#4055); +#4058=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4059=VECTOR('',#4058,1.3E0); +#4060=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-2.2E1)); +#4061=LINE('',#4060,#4059); +#4062=DIRECTION('',(-2.732856676E-14,1.E0,0.E0)); +#4063=VECTOR('',#4062,6.5E-1); +#4064=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-2.2E1)); +#4065=LINE('',#4064,#4063); +#4066=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4067=VECTOR('',#4066,5.018896313842E0); +#4068=CARTESIAN_POINT('',(-2.573110368616E1,-1.107E1,-2.2E1)); +#4069=LINE('',#4068,#4067); +#4070=DIRECTION('',(0.E0,1.E0,0.E0)); +#4071=VECTOR('',#4070,2.700000000002E-1); +#4072=CARTESIAN_POINT('',(-3.075E1,-1.107E1,-2.2E1)); +#4073=LINE('',#4072,#4071); +#4074=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4075=VECTOR('',#4074,2.45E0); +#4076=CARTESIAN_POINT('',(-3.075E1,-1.08E1,-2.2E1)); +#4077=LINE('',#4076,#4075); +#4078=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.2E1)); +#4079=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4080=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4081=AXIS2_PLACEMENT_3D('',#4078,#4079,#4080); +#4083=CARTESIAN_POINT('',(-3.679687364248E1,-9.05E0,-2.2E1)); +#4084=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4085=DIRECTION('',(0.E0,1.E0,0.E0)); +#4086=AXIS2_PLACEMENT_3D('',#4083,#4084,#4085); +#4088=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4089=VECTOR('',#4088,1.153126357516E0); +#4090=CARTESIAN_POINT('',(-3.679687364248E1,-8.55E0,-2.2E1)); +#4091=LINE('',#4090,#4089); +#4092=DIRECTION('',(0.E0,1.E0,0.E0)); +#4093=VECTOR('',#4092,2.5E0); +#4094=CARTESIAN_POINT('',(-3.795E1,-1.105E1,-2.2E1)); +#4095=LINE('',#4094,#4093); +#4096=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.2E1)); +#4097=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4098=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4099=AXIS2_PLACEMENT_3D('',#4096,#4097,#4098); +#4101=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4102=VECTOR('',#4101,6.69E1); +#4103=CARTESIAN_POINT('',(3.345E1,-1.555E1,-2.2E1)); +#4104=LINE('',#4103,#4102); +#4105=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.2E1)); +#4106=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4107=DIRECTION('',(1.E0,0.E0,0.E0)); +#4108=AXIS2_PLACEMENT_3D('',#4105,#4106,#4107); +#4110=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4111=VECTOR('',#4110,2.5E0); +#4112=CARTESIAN_POINT('',(3.795E1,-8.55E0,-2.2E1)); +#4113=LINE('',#4112,#4111); +#4114=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4115=VECTOR('',#4114,1.153126357516E0); +#4116=CARTESIAN_POINT('',(3.795E1,-8.55E0,-2.2E1)); +#4117=LINE('',#4116,#4115); +#4118=CARTESIAN_POINT('',(3.679687364248E1,-9.05E0,-2.2E1)); +#4119=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4120=DIRECTION('',(-8.992425228418E-1,4.374504373219E-1,0.E0)); +#4121=AXIS2_PLACEMENT_3D('',#4118,#4119,#4120); +#4123=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.2E1)); +#4124=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4125=DIRECTION('',(8.992149657830E-1,-4.375070802991E-1,0.E0)); +#4126=AXIS2_PLACEMENT_3D('',#4123,#4124,#4125); +#4128=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4129=VECTOR('',#4128,2.45E0); +#4130=CARTESIAN_POINT('',(3.32E1,-1.08E1,-2.2E1)); +#4131=LINE('',#4130,#4129); +#4132=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4133=VECTOR('',#4132,2.700000000002E-1); +#4134=CARTESIAN_POINT('',(3.075E1,-1.08E1,-2.2E1)); +#4135=LINE('',#4134,#4133); +#4136=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4137=VECTOR('',#4136,5.018896313842E0); +#4138=CARTESIAN_POINT('',(3.075E1,-1.107E1,-2.2E1)); +#4139=LINE('',#4138,#4137); +#4140=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4141=VECTOR('',#4140,6.5E-1); +#4142=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-2.2E1)); +#4143=LINE('',#4142,#4141); +#4144=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4145=VECTOR('',#4144,1.3E0); +#4146=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-2.2E1)); +#4147=LINE('',#4146,#4145); +#4148=DIRECTION('',(0.E0,1.E0,0.E0)); +#4149=VECTOR('',#4148,6.5E-1); +#4150=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-2.2E1)); +#4151=LINE('',#4150,#4149); +#4152=DIRECTION('',(7.071067811865E-1,7.071067811866E-1,0.E0)); +#4153=VECTOR('',#4152,4.242640687119E-1); +#4154=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-2.2E1)); +#4155=LINE('',#4154,#4153); +#4156=DIRECTION('',(0.E0,1.E0,0.E0)); +#4157=VECTOR('',#4156,1.1E0); +#4158=CARTESIAN_POINT('',(2.473110368616E1,-1.077E1,-2.2E1)); +#4159=LINE('',#4158,#4157); +#4160=DIRECTION('',(-7.071067811866E-1,7.071067811865E-1,0.E0)); +#4161=VECTOR('',#4160,4.242640687119E-1); +#4162=CARTESIAN_POINT('',(2.473110368616E1,-9.67E0,-2.2E1)); +#4163=LINE('',#4162,#4161); +#4164=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4165=VECTOR('',#4164,1.15E0); +#4166=CARTESIAN_POINT('',(2.443110368616E1,-8.22E0,-2.2E1)); +#4167=LINE('',#4166,#4165); +#4168=DIRECTION('',(5.211311565163E-1,8.534766064211E-1,0.E0)); +#4169=VECTOR('',#4168,3.106504559552E0); +#4170=CARTESIAN_POINT('',(2.443110368616E1,-8.22E0,-2.2E1)); +#4171=LINE('',#4170,#4169); +#4172=DIRECTION('',(1.E0,0.E0,0.E0)); +#4173=VECTOR('',#4172,4.108207765149E0); +#4174=CARTESIAN_POINT('',(2.605E1,-5.568671030682E0,-2.2E1)); +#4175=LINE('',#4174,#4173); +#4176=CARTESIAN_POINT('',(3.679687364248E1,-5.55E0,-2.2E1)); +#4177=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4178=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4179=AXIS2_PLACEMENT_3D('',#4176,#4177,#4178); +#4181=DIRECTION('',(1.E0,0.E0,0.E0)); +#4182=VECTOR('',#4181,1.153126357516E0); +#4183=CARTESIAN_POINT('',(3.679687364248E1,-6.05E0,-2.2E1)); +#4184=LINE('',#4183,#4182); +#4185=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4186=VECTOR('',#4185,1.56E1); +#4187=CARTESIAN_POINT('',(3.795E1,9.55E0,-2.2E1)); +#4188=LINE('',#4187,#4186); +#4189=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4190=VECTOR('',#4189,2.653126357515E0); +#4191=CARTESIAN_POINT('',(3.795E1,9.55E0,-2.2E1)); +#4192=LINE('',#4191,#4190); +#4193=CARTESIAN_POINT('',(3.529687364248E1,9.05E0,-2.2E1)); +#4194=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4195=DIRECTION('',(-8.992237908914E-1,4.374889414544E-1,0.E0)); +#4196=AXIS2_PLACEMENT_3D('',#4193,#4194,#4195); +#4198=CARTESIAN_POINT('',(3.529687364248E1,1.255E1,-2.2E1)); +#4199=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4200=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4201=AXIS2_PLACEMENT_3D('',#4198,#4199,#4200); +#4203=DIRECTION('',(-1.E0,0.E0,-1.822013444581E-8)); +#4204=VECTOR('',#4203,2.540608310227E0); +#4205=CARTESIAN_POINT('',(3.783748195271E1,1.205E1,-2.199999995371E1)); +#4206=LINE('',#4205,#4204); +#4207=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.2E1)); +#4208=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4209=DIRECTION('',(0.E0,1.E0,0.E0)); +#4210=AXIS2_PLACEMENT_3D('',#4207,#4208,#4209); +#4212=DIRECTION('',(1.E0,0.E0,0.E0)); +#4213=VECTOR('',#4212,6.69E1); +#4214=CARTESIAN_POINT('',(-3.345E1,1.555E1,-2.2E1)); +#4215=LINE('',#4214,#4213); +#4216=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.2E1)); +#4217=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4218=DIRECTION('',(-9.749960343981E-1,2.222222601541E-1,0.E0)); +#4219=AXIS2_PLACEMENT_3D('',#4216,#4217,#4218); +#4221=DIRECTION('',(-1.E0,0.E0,2.130072361873E-8)); +#4222=VECTOR('',#4221,2.540608354256E0); +#4223=CARTESIAN_POINT('',(-3.529687364248E1,1.205E1,-2.2E1)); +#4224=LINE('',#4223,#4222); +#4225=CARTESIAN_POINT('',(-3.529687364248E1,1.255E1,-2.2E1)); +#4226=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4227=DIRECTION('',(8.992237908914E-1,-4.374889414544E-1,0.E0)); +#4228=AXIS2_PLACEMENT_3D('',#4225,#4226,#4227); +#4230=CARTESIAN_POINT('',(-3.529687364248E1,9.05E0,-2.2E1)); +#4231=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4232=DIRECTION('',(0.E0,1.E0,0.E0)); +#4233=AXIS2_PLACEMENT_3D('',#4230,#4231,#4232); +#4235=DIRECTION('',(0.E0,1.E0,0.E0)); +#4236=VECTOR('',#4235,4.75E0); +#4237=CARTESIAN_POINT('',(-2.47E1,7.5E-1,-2.2E1)); +#4238=LINE('',#4237,#4236); +#4239=DIRECTION('',(1.E0,0.E0,0.E0)); +#4240=VECTOR('',#4239,4.64E1); +#4241=CARTESIAN_POINT('',(-2.32E1,7.E0,-2.2E1)); +#4242=LINE('',#4241,#4240); +#4243=DIRECTION('',(0.E0,1.E0,0.E0)); +#4244=VECTOR('',#4243,4.75E0); +#4245=CARTESIAN_POINT('',(2.47E1,7.5E-1,-2.2E1)); +#4246=LINE('',#4245,#4244); +#4247=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4248=VECTOR('',#4247,1.4E0); +#4249=CARTESIAN_POINT('',(2.47E1,7.5E-1,-2.2E1)); +#4250=LINE('',#4249,#4248); +#4251=DIRECTION('',(0.E0,1.E0,0.E0)); +#4252=VECTOR('',#4251,1.843154304743E0); +#4253=CARTESIAN_POINT('',(2.47E1,-5.093154304743E0,-2.2E1)); +#4254=LINE('',#4253,#4252); +#4255=DIRECTION('',(5.211311565163E-1,8.534766064211E-1,0.E0)); +#4256=VECTOR('',#4255,5.684200400604E0); +#4257=CARTESIAN_POINT('',(2.173778607136E1,-9.944486372867E0,-2.2E1)); +#4258=LINE('',#4257,#4256); +#4259=DIRECTION('',(1.E0,0.E0,0.E0)); +#4260=VECTOR('',#4259,4.347557214273E1); +#4261=CARTESIAN_POINT('',(-2.173778607136E1,-9.944486372867E0,-2.2E1)); +#4262=LINE('',#4261,#4260); +#4263=DIRECTION('',(5.211311565163E-1,-8.534766064211E-1,0.E0)); +#4264=VECTOR('',#4263,5.684200400604E0); +#4265=CARTESIAN_POINT('',(-2.47E1,-5.093154304743E0,-2.2E1)); +#4266=LINE('',#4265,#4264); +#4267=DIRECTION('',(0.E0,1.E0,0.E0)); +#4268=VECTOR('',#4267,1.843154304743E0); +#4269=CARTESIAN_POINT('',(-2.47E1,-5.093154304743E0,-2.2E1)); +#4270=LINE('',#4269,#4268); +#4271=DIRECTION('',(1.E0,0.E0,0.E0)); +#4272=VECTOR('',#4271,1.4E0); +#4273=CARTESIAN_POINT('',(-2.47E1,-3.25E0,-2.2E1)); +#4274=LINE('',#4273,#4272); +#4275=CARTESIAN_POINT('',(-3.529687364248E1,9.55E0,-2.25E1)); +#4276=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4277=DIRECTION('',(0.E0,0.E0,1.E0)); +#4278=AXIS2_PLACEMENT_3D('',#4275,#4276,#4277); +#4280=DIRECTION('',(-1.E0,0.E0,3.213760552704E-14)); +#4281=VECTOR('',#4280,2.653126357515E0); +#4282=CARTESIAN_POINT('',(-3.529687364248E1,1.005E1,-2.25E1)); +#4283=LINE('',#4282,#4281); +#4284=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4285=VECTOR('',#4284,5.5E0); +#4286=CARTESIAN_POINT('',(-3.529687364248E1,1.005E1,-2.25E1)); +#4287=LINE('',#4286,#4285); +#4288=CARTESIAN_POINT('',(-3.641490763123E1,1.005E1,-2.85E1)); +#4289=CARTESIAN_POINT('',(-3.638559836932E1,1.005E1,-2.85E1)); +#4290=CARTESIAN_POINT('',(-3.632510490886E1,1.005E1,-2.849712078195E1)); +#4291=CARTESIAN_POINT('',(-3.622954491529E1,1.005E1,-2.848361765279E1)); +#4292=CARTESIAN_POINT('',(-3.612674614840E1,1.005E1,-2.845968328105E1)); +#4293=CARTESIAN_POINT('',(-3.601490254699E1,1.005E1,-2.842333702466E1)); +#4294=CARTESIAN_POINT('',(-3.589217201071E1,1.005E1,-2.837206238617E1)); +#4295=CARTESIAN_POINT('',(-3.575786927312E1,1.005E1,-2.830347366256E1)); +#4296=CARTESIAN_POINT('',(-3.561572676619E1,1.005E1,-2.821880989492E1)); +#4297=CARTESIAN_POINT('',(-3.546273951359E1,1.005E1,-2.811719339520E1)); +#4298=CARTESIAN_POINT('',(-3.535371883266E1,1.005E1,-2.804019561945E1)); +#4299=CARTESIAN_POINT('',(-3.529687364248E1,1.005E1,-2.8E1)); +#4301=CARTESIAN_POINT('',(-3.795E1,1.005E1,-2.15E1)); +#4302=DIRECTION('',(0.E0,1.E0,0.E0)); +#4303=DIRECTION('',(-1.421085471520E-14,0.E0,-1.E0)); +#4304=AXIS2_PLACEMENT_3D('',#4301,#4302,#4303); +#4306=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4307=VECTOR('',#4306,5.5E0); +#4308=CARTESIAN_POINT('',(-3.439765523186E1,9.4875E0,-2.25E1)); +#4309=LINE('',#4308,#4307); +#4310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4311=VECTOR('',#4310,5.5E0); +#4312=CARTESIAN_POINT('',(-3.439765523186E1,1.21125E1,-2.25E1)); +#4313=LINE('',#4312,#4311); +#4314=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.85E1)); +#4315=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4316=DIRECTION('',(-8.992175176612E-1,4.375018353462E-1,0.E0)); +#4317=AXIS2_PLACEMENT_3D('',#4314,#4315,#4316); +#4319=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.8E1)); +#4320=DIRECTION('',(0.E0,0.E0,1.E0)); +#4321=DIRECTION('',(-8.992184106211E-1,-4.375E-1,0.E0)); +#4322=AXIS2_PLACEMENT_3D('',#4319,#4320,#4321); +#4324=CARTESIAN_POINT('',(3.529687364248E1,9.05E0,-2.85E1)); +#4325=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4326=DIRECTION('',(-8.992198988798E-1,4.374969410849E-1,0.E0)); +#4327=AXIS2_PLACEMENT_3D('',#4324,#4325,#4326); +#4329=DIRECTION('',(1.E0,0.E0,0.E0)); +#4330=VECTOR('',#4329,2.035092368766E0); +#4331=CARTESIAN_POINT('',(3.641490763123E1,1.005E1,-2.85E1)); +#4332=LINE('',#4331,#4330); +#4333=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4334=VECTOR('',#4333,9.999999999998E-1); +#4335=CARTESIAN_POINT('',(3.845E1,1.105E1,-2.85E1)); +#4336=LINE('',#4335,#4334); +#4337=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.85E1)); +#4338=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4339=DIRECTION('',(9.949874371066E-1,1.E-1,0.E0)); +#4340=AXIS2_PLACEMENT_3D('',#4337,#4338,#4339); +#4342=CARTESIAN_POINT('',(3.529687364248E1,1.255E1,-2.85E1)); +#4343=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4344=DIRECTION('',(7.453559924999E-1,-6.666666666667E-1,0.E0)); +#4345=AXIS2_PLACEMENT_3D('',#4342,#4343,#4344); +#4347=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.85E1)); +#4348=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4349=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4350=AXIS2_PLACEMENT_3D('',#4347,#4348,#4349); +#4352=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4353=VECTOR('',#4352,2.45E0); +#4354=CARTESIAN_POINT('',(-3.075E1,-9.8E0,-2.85E1)); +#4355=LINE('',#4354,#4353); +#4356=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4357=VECTOR('',#4356,3.8E0); +#4358=CARTESIAN_POINT('',(-2.695E1,-1.107E1,-2.85E1)); +#4359=LINE('',#4358,#4357); +#4360=DIRECTION('',(0.E0,1.E0,0.E0)); +#4361=VECTOR('',#4360,3.851328969318E0); +#4362=CARTESIAN_POINT('',(-2.695E1,-1.107E1,-2.85E1)); +#4363=LINE('',#4362,#4361); +#4364=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4365=VECTOR('',#4364,3.8E0); +#4366=CARTESIAN_POINT('',(-2.695E1,-7.218671030682E0,-2.85E1)); +#4367=LINE('',#4366,#4365); +#4368=DIRECTION('',(0.E0,1.E0,0.E0)); +#4369=VECTOR('',#4368,4.161647492351E-1); +#4370=CARTESIAN_POINT('',(-3.075E1,-7.218671030682E0,-2.85E1)); +#4371=LINE('',#4370,#4369); +#4372=CARTESIAN_POINT('',(-3.679687364248E1,-5.55E0,-2.85E1)); +#4373=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4374=DIRECTION('',(8.992184106262E-1,-4.374999999895E-1,0.E0)); +#4375=AXIS2_PLACEMENT_3D('',#4372,#4373,#4374); +#4377=DIRECTION('',(1.E0,0.E0,0.E0)); +#4378=VECTOR('',#4377,5.350923687656E-1); +#4379=CARTESIAN_POINT('',(-3.845E1,-6.55E0,-2.85E1)); +#4380=LINE('',#4379,#4378); +#4381=DIRECTION('',(0.E0,1.E0,0.E0)); +#4382=VECTOR('',#4381,1.5E0); +#4383=CARTESIAN_POINT('',(-3.845E1,-8.05E0,-2.85E1)); +#4384=LINE('',#4383,#4382); +#4385=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4386=VECTOR('',#4385,5.350923687656E-1); +#4387=CARTESIAN_POINT('',(-3.791490763123E1,-8.05E0,-2.85E1)); +#4388=LINE('',#4387,#4386); +#4389=CARTESIAN_POINT('',(-3.679687364248E1,-9.05E0,-2.85E1)); +#4390=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4391=DIRECTION('',(-7.453559924999E-1,6.666666666667E-1,0.E0)); +#4392=AXIS2_PLACEMENT_3D('',#4389,#4390,#4391); +#4394=CARTESIAN_POINT('',(-3.529687364248E1,1.255E1,-2.85E1)); +#4395=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4396=DIRECTION('',(8.992198988791E-1,-4.374969410864E-1,0.E0)); +#4397=AXIS2_PLACEMENT_3D('',#4394,#4395,#4396); +#4399=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.85E1)); +#4400=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4401=DIRECTION('',(-1.E0,-1.065814103640E-14,0.E0)); +#4402=AXIS2_PLACEMENT_3D('',#4399,#4400,#4401); +#4404=DIRECTION('',(0.E0,1.E0,0.E0)); +#4405=VECTOR('',#4404,9.999999999998E-1); +#4406=CARTESIAN_POINT('',(-3.845E1,1.005E1,-2.85E1)); +#4407=LINE('',#4406,#4405); +#4408=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4409=VECTOR('',#4408,2.035092368766E0); +#4410=CARTESIAN_POINT('',(-3.641490763123E1,1.005E1,-2.85E1)); +#4411=LINE('',#4410,#4409); +#4412=CARTESIAN_POINT('',(-3.529687364248E1,9.05E0,-2.85E1)); +#4413=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4414=DIRECTION('',(-7.453559924999E-1,6.666666666667E-1,0.E0)); +#4415=AXIS2_PLACEMENT_3D('',#4412,#4413,#4414); +#4417=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4418=VECTOR('',#4417,2.45E0); +#4419=CARTESIAN_POINT('',(3.32E1,-9.8E0,-2.85E1)); +#4420=LINE('',#4419,#4418); +#4421=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.85E1)); +#4422=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4423=DIRECTION('',(8.992184106176E-1,-4.375000000073E-1,0.E0)); +#4424=AXIS2_PLACEMENT_3D('',#4421,#4422,#4423); +#4426=CARTESIAN_POINT('',(3.679687364248E1,-9.05E0,-2.85E1)); +#4427=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4428=DIRECTION('',(-8.992184106270E-1,4.374999999880E-1,0.E0)); +#4429=AXIS2_PLACEMENT_3D('',#4426,#4427,#4428); +#4431=DIRECTION('',(1.E0,0.E0,0.E0)); +#4432=VECTOR('',#4431,5.350923687656E-1); +#4433=CARTESIAN_POINT('',(3.791490763123E1,-8.05E0,-2.85E1)); +#4434=LINE('',#4433,#4432); +#4435=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4436=VECTOR('',#4435,1.5E0); +#4437=CARTESIAN_POINT('',(3.845E1,-6.55E0,-2.85E1)); +#4438=LINE('',#4437,#4436); +#4439=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4440=VECTOR('',#4439,5.350923687656E-1); +#4441=CARTESIAN_POINT('',(3.845E1,-6.55E0,-2.85E1)); +#4442=LINE('',#4441,#4440); +#4443=CARTESIAN_POINT('',(3.679687364248E1,-5.55E0,-2.85E1)); +#4444=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4445=DIRECTION('',(7.453559924999E-1,-6.666666666667E-1,0.E0)); +#4446=AXIS2_PLACEMENT_3D('',#4443,#4444,#4445); +#4448=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4449=VECTOR('',#4448,4.161647492352E-1); +#4450=CARTESIAN_POINT('',(3.075E1,-6.802506281446E0,-2.85E1)); +#4451=LINE('',#4450,#4449); +#4452=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4453=VECTOR('',#4452,3.8E0); +#4454=CARTESIAN_POINT('',(3.075E1,-7.218671030682E0,-2.85E1)); +#4455=LINE('',#4454,#4453); +#4456=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4457=VECTOR('',#4456,3.851328969318E0); +#4458=CARTESIAN_POINT('',(2.695E1,-7.218671030682E0,-2.85E1)); +#4459=LINE('',#4458,#4457); +#4460=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4461=VECTOR('',#4460,3.8E0); +#4462=CARTESIAN_POINT('',(3.075E1,-1.107E1,-2.85E1)); +#4463=LINE('',#4462,#4461); +#4464=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.85E1)); +#4465=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4466=DIRECTION('',(8.992175176607E-1,-4.375018353471E-1,0.E0)); +#4467=AXIS2_PLACEMENT_3D('',#4464,#4465,#4466); +#4469=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.8E1)); +#4470=DIRECTION('',(0.E0,0.E0,1.E0)); +#4471=DIRECTION('',(8.992184106211E-1,4.375E-1,0.E0)); +#4472=AXIS2_PLACEMENT_3D('',#4469,#4470,#4471); +#4474=CARTESIAN_POINT('',(3.529687364248E1,1.255E1,-2.8E1)); +#4475=DIRECTION('',(0.E0,0.E0,1.E0)); +#4476=DIRECTION('',(-8.992184106211E-1,-4.375E-1,0.E0)); +#4477=AXIS2_PLACEMENT_3D('',#4474,#4475,#4476); +#4479=CARTESIAN_POINT('',(3.394802574490E1,1.189375832887E1,-2.849999999972E1)); +#4480=CARTESIAN_POINT('',(3.396612653749E1,1.190255376572E1,-2.849999999972E1)); +#4481=CARTESIAN_POINT('',(3.400234962542E1,1.192016728360E1,-2.849756759566E1)); +#4482=CARTESIAN_POINT('',(3.405588477832E1,1.194621804878E1,-2.848665951736E1)); +#4483=CARTESIAN_POINT('',(3.410784922044E1,1.197149939557E1,-2.846865523966E1)); +#4484=CARTESIAN_POINT('',(3.415748287164E1,1.199564813148E1,-2.844381605745E1)); +#4485=CARTESIAN_POINT('',(3.420406209925E1,1.201831041318E1,-2.841250478202E1)); +#4486=CARTESIAN_POINT('',(3.424690790670E1,1.203915636073E1,-2.837517768055E1)); +#4487=CARTESIAN_POINT('',(3.428539525646E1,1.205788174515E1,-2.833237928480E1)); +#4488=CARTESIAN_POINT('',(3.431896294733E1,1.207421355725E1,-2.828473367687E1)); +#4489=CARTESIAN_POINT('',(3.434712143883E1,1.208791361001E1,-2.823293567930E1)); +#4490=CARTESIAN_POINT('',(3.436946005689E1,1.209878209911E1,-2.817774075352E1)); +#4491=CARTESIAN_POINT('',(3.438565306925E1,1.210666054422E1,-2.811995374285E1)); +#4492=CARTESIAN_POINT('',(3.439546430609E1,1.211143404093E1,-2.806041740456E1)); +#4493=CARTESIAN_POINT('',(3.439765523186E1,1.21125E1,-2.802013916220E1)); +#4494=CARTESIAN_POINT('',(3.439765523186E1,1.21125E1,-2.8E1)); +#4496=DIRECTION('',(-1.E0,-3.560894847469E-8,-2.656905168057E-14)); +#4497=VECTOR('',#4496,2.540608551211E0); +#4498=CARTESIAN_POINT('',(3.783748219370E1,1.155000009047E1,-2.25E1)); +#4499=LINE('',#4498,#4497); +#4500=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4501=VECTOR('',#4500,5.5E0); +#4502=CARTESIAN_POINT('',(3.529687364248E1,1.155E1,-2.25E1)); +#4503=LINE('',#4502,#4501); +#4504=CARTESIAN_POINT('',(3.641490763123E1,1.155E1,-2.85E1)); +#4505=CARTESIAN_POINT('',(3.638559836932E1,1.155E1,-2.85E1)); +#4506=CARTESIAN_POINT('',(3.632510490886E1,1.155E1,-2.849712078195E1)); +#4507=CARTESIAN_POINT('',(3.622954491529E1,1.155E1,-2.848361765279E1)); +#4508=CARTESIAN_POINT('',(3.612674614840E1,1.155E1,-2.845968328105E1)); +#4509=CARTESIAN_POINT('',(3.601490254699E1,1.155E1,-2.842333702466E1)); +#4510=CARTESIAN_POINT('',(3.589217201071E1,1.155E1,-2.837206238617E1)); +#4511=CARTESIAN_POINT('',(3.575786927312E1,1.155E1,-2.830347366256E1)); +#4512=CARTESIAN_POINT('',(3.561572676619E1,1.155E1,-2.821880989492E1)); +#4513=CARTESIAN_POINT('',(3.546273951359E1,1.155E1,-2.811719339520E1)); +#4514=CARTESIAN_POINT('',(3.535371883266E1,1.155E1,-2.804019561945E1)); +#4515=CARTESIAN_POINT('',(3.529687364248E1,1.155E1,-2.8E1)); +#4517=DIRECTION('',(1.E0,0.E0,0.E0)); +#4518=VECTOR('',#4517,2.010029554299E0); +#4519=CARTESIAN_POINT('',(3.641490763123E1,1.155E1,-2.85E1)); +#4520=LINE('',#4519,#4518); +#4521=CARTESIAN_POINT('',(3.783748219370E1,1.155000009047E1,-2.25E1)); +#4522=CARTESIAN_POINT('',(3.786693689431E1,1.155000024946E1,-2.249999969006E1)); +#4523=CARTESIAN_POINT('',(3.792591102316E1,1.154999988433E1,-2.249754275373E1)); +#4524=CARTESIAN_POINT('',(3.801515067590E1,1.155000003068E1,-2.248617122206E1)); +#4525=CARTESIAN_POINT('',(3.810341642809E1,1.154999999297E1,-2.246705562001E1)); +#4526=CARTESIAN_POINT('',(3.818972511790E1,1.154999999744E1,-2.244033437005E1)); +#4527=CARTESIAN_POINT('',(3.827286771523E1,1.155000001726E1,-2.240640967872E1)); +#4528=CARTESIAN_POINT('',(3.835197831758E1,1.154999993351E1,-2.236577372261E1)); +#4529=CARTESIAN_POINT('',(3.840113903187E1,1.155000014364E1,-2.233491625103E1)); +#4530=CARTESIAN_POINT('',(3.842493718301E1,1.155000004996E1,-2.231854263062E1)); +#4532=CARTESIAN_POINT('',(3.529687364248E1,1.205E1,-2.25E1)); +#4533=DIRECTION('',(1.E0,0.E0,0.E0)); +#4534=DIRECTION('',(0.E0,0.E0,1.E0)); +#4535=AXIS2_PLACEMENT_3D('',#4532,#4533,#4534); +#4537=CARTESIAN_POINT('',(3.783748195271E1,1.205E1,-2.199999995371E1)); +#4538=CARTESIAN_POINT('',(3.785516841237E1,1.204634725597E1,-2.199999984367E1)); +#4539=CARTESIAN_POINT('',(3.789005254756E1,1.203929347162E1,-2.199834850633E1)); +#4540=CARTESIAN_POINT('',(3.793924199370E1,1.202970641697E1,-2.199165921375E1)); +#4541=CARTESIAN_POINT('',(3.798870611847E1,1.202040336651E1,-2.198041780062E1)); +#4542=CARTESIAN_POINT('',(3.803618776504E1,1.201178491810E1,-2.196493612930E1)); +#4543=CARTESIAN_POINT('',(3.808139955217E1,1.200384517378E1,-2.194548400020E1)); +#4544=CARTESIAN_POINT('',(3.812368010403E1,1.199664407089E1,-2.192260916606E1)); +#4545=CARTESIAN_POINT('',(3.816572080824E1,1.198968870626E1,-2.189461283513E1)); +#4546=CARTESIAN_POINT('',(3.820642117409E1,1.198314917273E1,-2.186110535552E1)); +#4547=CARTESIAN_POINT('',(3.824273524019E1,1.197746785947E1,-2.182433727526E1)); +#4548=CARTESIAN_POINT('',(3.827509561345E1,1.197252079391E1,-2.178409012944E1)); +#4549=CARTESIAN_POINT('',(3.830284037810E1,1.196836482579E1,-2.174114060901E1)); +#4550=CARTESIAN_POINT('',(3.832584087535E1,1.196497723111E1,-2.169588247788E1)); +#4551=CARTESIAN_POINT('',(3.834394188919E1,1.196234744625E1,-2.164870163701E1)); +#4552=CARTESIAN_POINT('',(3.835700740646E1,1.196046866989E1,-2.159999445369E1)); +#4553=CARTESIAN_POINT('',(3.836490118956E1,1.195934141547E1,-2.155025057527E1)); +#4554=CARTESIAN_POINT('',(3.836666089827E1,1.195909087226E1,-2.151675111124E1)); +#4555=CARTESIAN_POINT('',(3.836666081507E1,1.195909092003E1,-2.15E1)); +#4557=CARTESIAN_POINT('',(3.783748220633E1,1.205E1,-2.249999962968E1)); +#4558=DIRECTION('',(-1.E0,0.E0,-7.897874260333E-8)); +#4559=DIRECTION('',(5.684343991116E-14,-9.999999999997E-1,-7.406433056257E-7)); +#4560=AXIS2_PLACEMENT_3D('',#4557,#4558,#4559); +#4562=CARTESIAN_POINT('',(3.836666081507E1,1.195909092003E1,-2.15E1)); +#4563=CARTESIAN_POINT('',(3.837423998893E1,1.191810132016E1,-2.154371332683E1)); +#4564=CARTESIAN_POINT('',(3.838710252765E1,1.184281276997E1,-2.162806467643E1)); +#4565=CARTESIAN_POINT('',(3.840071594224E1,1.175182898454E1,-2.174673077596E1)); +#4566=CARTESIAN_POINT('',(3.841002355103E1,1.168196333361E1,-2.185697655328E1)); +#4567=CARTESIAN_POINT('',(3.841630441553E1,1.163005952916E1,-2.195931600828E1)); +#4568=CARTESIAN_POINT('',(3.842051020472E1,1.159253708712E1,-2.205598112303E1)); +#4569=CARTESIAN_POINT('',(3.842317938831E1,1.156730940267E1,-2.214803567240E1)); +#4570=CARTESIAN_POINT('',(3.842463807528E1,1.155299054489E1,-2.223576434147E1)); +#4571=CARTESIAN_POINT('',(3.842493719379E1,1.154999994266E1,-2.229142386200E1)); +#4572=CARTESIAN_POINT('',(3.842493718301E1,1.155000004996E1,-2.231854263062E1)); +#4574=DIRECTION('',(-4.080967672506E-10,8.081658635202E-9,1.E0)); +#4575=VECTOR('',#4574,6.181457369381E0); +#4576=CARTESIAN_POINT('',(3.842493718553E1,1.155E1,-2.85E1)); +#4577=LINE('',#4576,#4575); +#4578=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.05E1)); +#4579=DIRECTION('',(0.E0,0.E0,1.E0)); +#4580=DIRECTION('',(1.E0,0.E0,0.E0)); +#4581=AXIS2_PLACEMENT_3D('',#4578,#4579,#4580); +#4583=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4584=VECTOR('',#4583,1.E0); +#4585=CARTESIAN_POINT('',(3.345E1,1.605E1,-2.05E1)); +#4586=LINE('',#4585,#4584); +#4587=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.15E1)); +#4588=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4589=DIRECTION('',(0.E0,1.E0,0.E0)); +#4590=AXIS2_PLACEMENT_3D('',#4587,#4588,#4589); +#4592=CARTESIAN_POINT('',(3.845E1,-6.05E0,-2.15E1)); +#4593=CARTESIAN_POINT('',(3.845E1,-6.092212613740E0,-2.154221261374E1)); +#4594=CARTESIAN_POINT('',(3.845E1,-6.172618587249E0,-2.162277674195E1)); +#4595=CARTESIAN_POINT('',(3.845E1,-6.277419921469E0,-2.173746184863E1)); +#4596=CARTESIAN_POINT('',(3.845E1,-6.362282467573E0,-2.184525725950E1)); +#4597=CARTESIAN_POINT('',(3.845E1,-6.427736615721E0,-2.194594909663E1)); +#4598=CARTESIAN_POINT('',(3.845E1,-6.475920676726E0,-2.203890403407E1)); +#4599=CARTESIAN_POINT('',(3.845E1,-6.510587997855E0,-2.212645709513E1)); +#4600=CARTESIAN_POINT('',(3.845E1,-6.533926362827E0,-2.221007570174E1)); +#4601=CARTESIAN_POINT('',(3.845E1,-6.547211126268E0,-2.229009918836E1)); +#4602=CARTESIAN_POINT('',(3.845E1,-6.55E0,-2.234110946891E1)); +#4603=CARTESIAN_POINT('',(3.845E1,-6.55E0,-2.236602540378E1)); +#4605=DIRECTION('',(0.E0,0.E0,1.E0)); +#4606=VECTOR('',#4605,6.133974596216E0); +#4607=CARTESIAN_POINT('',(3.845E1,-6.55E0,-2.85E1)); +#4608=LINE('',#4607,#4606); +#4609=CARTESIAN_POINT('',(3.845E1,-8.05E0,-2.236602540378E1)); +#4610=CARTESIAN_POINT('',(3.845E1,-8.05E0,-2.234110937866E1)); +#4611=CARTESIAN_POINT('',(3.845E1,-8.052788890426E0,-2.229009891708E1)); +#4612=CARTESIAN_POINT('',(3.845E1,-8.066073768298E0,-2.221007508797E1)); +#4613=CARTESIAN_POINT('',(3.845E1,-8.089412254478E0,-2.212645627582E1)); +#4614=CARTESIAN_POINT('',(3.845E1,-8.124080020750E0,-2.203890250201E1)); +#4615=CARTESIAN_POINT('',(3.845E1,-8.172264082787E0,-2.194594789603E1)); +#4616=CARTESIAN_POINT('',(3.845E1,-8.237718614769E0,-2.184525579648E1)); +#4617=CARTESIAN_POINT('',(3.845E1,-8.322580077052E0,-2.173746192972E1)); +#4618=CARTESIAN_POINT('',(3.845E1,-8.427380559716E0,-2.162277761850E1)); +#4619=CARTESIAN_POINT('',(3.845E1,-8.507786969236E0,-2.154221303076E1)); +#4620=CARTESIAN_POINT('',(3.845E1,-8.55E0,-2.15E1)); +#4622=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4623=VECTOR('',#4622,2.5E0); +#4624=CARTESIAN_POINT('',(3.845E1,-8.55E0,-2.15E1)); +#4625=LINE('',#4624,#4623); +#4626=DIRECTION('',(0.E0,1.E0,0.E0)); +#4627=VECTOR('',#4626,2.21E1); +#4628=CARTESIAN_POINT('',(3.845E1,-1.105E1,-2.05E1)); +#4629=LINE('',#4628,#4627); +#4630=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4631=VECTOR('',#4630,8.E0); +#4632=CARTESIAN_POINT('',(3.845E1,1.105E1,-2.05E1)); +#4633=LINE('',#4632,#4631); +#4634=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.236602540378E1)); +#4635=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.234110937866E1)); +#4636=CARTESIAN_POINT('',(3.845E1,1.004721110957E1,-2.229009891708E1)); +#4637=CARTESIAN_POINT('',(3.845E1,1.003392623170E1,-2.221007508797E1)); +#4638=CARTESIAN_POINT('',(3.845E1,1.001058774552E1,-2.212645627582E1)); +#4639=CARTESIAN_POINT('',(3.845E1,9.975919979251E0,-2.203890250201E1)); +#4640=CARTESIAN_POINT('',(3.845E1,9.927735917213E0,-2.194594789603E1)); +#4641=CARTESIAN_POINT('',(3.845E1,9.862281385231E0,-2.184525579648E1)); +#4642=CARTESIAN_POINT('',(3.845E1,9.777419922948E0,-2.173746192972E1)); +#4643=CARTESIAN_POINT('',(3.845E1,9.672619440285E0,-2.162277761850E1)); +#4644=CARTESIAN_POINT('',(3.845E1,9.592213030764E0,-2.154221303076E1)); +#4645=CARTESIAN_POINT('',(3.845E1,9.55E0,-2.15E1)); +#4647=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4648=VECTOR('',#4647,1.56E1); +#4649=CARTESIAN_POINT('',(3.845E1,9.55E0,-2.15E1)); +#4650=LINE('',#4649,#4648); +#4651=CARTESIAN_POINT('',(3.795E1,-6.05E0,-2.15E1)); +#4652=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4653=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4654=AXIS2_PLACEMENT_3D('',#4651,#4652,#4653); +#4656=CARTESIAN_POINT('',(3.795E1,-6.05E0,-2.25E1)); +#4657=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4658=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4659=AXIS2_PLACEMENT_3D('',#4656,#4657,#4658); +#4661=DIRECTION('',(1.E0,0.E0,8.010445260121E-14)); +#4662=VECTOR('',#4661,1.153126357516E0); +#4663=CARTESIAN_POINT('',(3.679687364248E1,-6.55E0,-2.25E1)); +#4664=LINE('',#4663,#4662); +#4665=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4666=VECTOR('',#4665,5.5E0); +#4667=CARTESIAN_POINT('',(3.679687364248E1,-6.55E0,-2.25E1)); +#4668=LINE('',#4667,#4666); +#4669=CARTESIAN_POINT('',(3.791490763123E1,-6.55E0,-2.85E1)); +#4670=CARTESIAN_POINT('',(3.788559819386E1,-6.55E0,-2.85E1)); +#4671=CARTESIAN_POINT('',(3.782510436464E1,-6.55E0,-2.849712075155E1)); +#4672=CARTESIAN_POINT('',(3.772954359602E1,-6.55E0,-2.848361742507E1)); +#4673=CARTESIAN_POINT('',(3.762674433707E1,-6.55E0,-2.845968278228E1)); +#4674=CARTESIAN_POINT('',(3.751490056926E1,-6.55E0,-2.842333628665E1)); +#4675=CARTESIAN_POINT('',(3.739217004768E1,-6.55E0,-2.837206147214E1)); +#4676=CARTESIAN_POINT('',(3.725786738292E1,-6.55E0,-2.830347259387E1)); +#4677=CARTESIAN_POINT('',(3.711572586057E1,-6.55E0,-2.821880929957E1)); +#4678=CARTESIAN_POINT('',(3.696273911410E1,-6.55E0,-2.811719311480E1)); +#4679=CARTESIAN_POINT('',(3.685371871873E1,-6.55E0,-2.804019553889E1)); +#4680=CARTESIAN_POINT('',(3.679687364248E1,-6.55E0,-2.8E1)); +#4682=CARTESIAN_POINT('',(3.795E1,-6.55E0,-2.15E1)); +#4683=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4684=DIRECTION('',(1.421085471520E-14,0.E0,-1.E0)); +#4685=AXIS2_PLACEMENT_3D('',#4682,#4683,#4684); +#4687=CARTESIAN_POINT('',(3.679687364248E1,-6.05E0,-2.25E1)); +#4688=DIRECTION('',(1.E0,0.E0,0.E0)); +#4689=DIRECTION('',(0.E0,0.E0,1.E0)); +#4690=AXIS2_PLACEMENT_3D('',#4687,#4688,#4689); +#4692=CARTESIAN_POINT('',(3.634726443717E1,-5.76875E0,-2.25E1)); +#4693=DIRECTION('',(-4.375E-1,8.992184106211E-1,0.E0)); +#4694=DIRECTION('',(-8.992184106211E-1,-4.375E-1,0.E0)); +#4695=AXIS2_PLACEMENT_3D('',#4692,#4693,#4694); +#4697=CARTESIAN_POINT('',(3.679687364248E1,-5.55E0,-2.25E1)); +#4698=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4699=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4700=AXIS2_PLACEMENT_3D('',#4697,#4698,#4699); +#4702=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.25E1)); +#4703=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4704=DIRECTION('',(-8.166666666667E-1,5.771096564394E-1,0.E0)); +#4705=AXIS2_PLACEMENT_3D('',#4702,#4703,#4704); +#4707=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.2E1)); +#4708=DIRECTION('',(0.E0,0.E0,1.E0)); +#4709=DIRECTION('',(8.991902828567E-1,4.375578078565E-1,0.E0)); +#4710=AXIS2_PLACEMENT_3D('',#4707,#4708,#4709); +#4712=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4713=VECTOR('',#4712,5.5E0); +#4714=CARTESIAN_POINT('',(3.589765523186E1,-5.9875E0,-2.25E1)); +#4715=LINE('',#4714,#4713); +#4716=DIRECTION('',(0.E0,0.E0,1.E0)); +#4717=VECTOR('',#4716,5.5E0); +#4718=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-2.8E1)); +#4719=LINE('',#4718,#4717); +#4720=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.85E1)); +#4721=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4722=DIRECTION('',(-9.8E-1,1.989974874213E-1,0.E0)); +#4723=AXIS2_PLACEMENT_3D('',#4720,#4721,#4722); +#4725=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.8E1)); +#4726=DIRECTION('',(0.E0,0.E0,1.E0)); +#4727=DIRECTION('',(8.992184106211E-1,4.375E-1,0.E0)); +#4728=AXIS2_PLACEMENT_3D('',#4725,#4726,#4727); +#4730=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-2.8E1)); +#4731=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-2.801311692504E1)); +#4732=CARTESIAN_POINT('',(3.075E1,-5.570446738825E0,-2.803948577503E1)); +#4733=CARTESIAN_POINT('',(3.075E1,-5.578787856980E0,-2.807968080833E1)); +#4734=CARTESIAN_POINT('',(3.075E1,-5.592984885364E0,-2.811980892520E1)); +#4735=CARTESIAN_POINT('',(3.075E1,-5.613628095080E0,-2.816026345226E1)); +#4736=CARTESIAN_POINT('',(3.075E1,-5.641213466230E0,-2.820061353373E1)); +#4737=CARTESIAN_POINT('',(3.075E1,-5.676603494928E0,-2.824082870088E1)); +#4738=CARTESIAN_POINT('',(3.075E1,-5.720849897377E0,-2.828062507828E1)); +#4739=CARTESIAN_POINT('',(3.075E1,-5.774724035969E0,-2.831922959259E1)); +#4740=CARTESIAN_POINT('',(3.075E1,-5.837978830126E0,-2.835536422935E1)); +#4741=CARTESIAN_POINT('',(3.075E1,-5.911034597205E0,-2.838852766756E1)); +#4742=CARTESIAN_POINT('',(3.075E1,-5.996428167550E0,-2.841876014159E1)); +#4743=CARTESIAN_POINT('',(3.075E1,-6.094975301453E0,-2.844514888108E1)); +#4744=CARTESIAN_POINT('',(3.075E1,-6.209255833325E0,-2.846703024356E1)); +#4745=CARTESIAN_POINT('',(3.075E1,-6.333016471006E0,-2.848282200947E1)); +#4746=CARTESIAN_POINT('',(3.075E1,-6.469121882692E0,-2.849331975992E1)); +#4747=CARTESIAN_POINT('',(3.075E1,-6.621901601967E0,-2.849901704529E1)); +#4748=CARTESIAN_POINT('',(3.075E1,-6.739893770898E0,-2.85E1)); +#4749=CARTESIAN_POINT('',(3.075E1,-6.802506281446E0,-2.85E1)); +#4751=CARTESIAN_POINT('',(3.679687364248E1,-5.55E0,-2.8E1)); +#4752=DIRECTION('',(0.E0,0.E0,1.E0)); +#4753=DIRECTION('',(-8.992184106211E-1,-4.375E-1,0.E0)); +#4754=AXIS2_PLACEMENT_3D('',#4751,#4752,#4753); +#4756=CARTESIAN_POINT('',(3.544804602655E1,-6.20625E0,-2.8E1)); +#4757=DIRECTION('',(4.375E-1,-8.992184106211E-1,0.E0)); +#4758=DIRECTION('',(5.684341886081E-14,3.019806626980E-14,-1.E0)); +#4759=AXIS2_PLACEMENT_3D('',#4756,#4757,#4758); +#4761=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4762=VECTOR('',#4761,5.1E0); +#4763=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-2.8E1)); +#4764=LINE('',#4763,#4762); +#4765=CARTESIAN_POINT('',(3.015820776515E1,-5.568671030682E0,-2.2E1)); +#4766=CARTESIAN_POINT('',(3.018173543884E1,-5.568671030682E0,-2.2E1)); +#4767=CARTESIAN_POINT('',(3.022854960922E1,-5.568671030682E0, +-2.200247697089E1)); +#4768=CARTESIAN_POINT('',(3.029891720904E1,-5.568671030682E0, +-2.201372406190E1)); +#4769=CARTESIAN_POINT('',(3.036682153057E1,-5.568671030682E0, +-2.203212551425E1)); +#4770=CARTESIAN_POINT('',(3.043134823268E1,-5.568671030682E0, +-2.205711192535E1)); +#4771=CARTESIAN_POINT('',(3.049198255981E1,-5.568671030682E0, +-2.208835365227E1)); +#4772=CARTESIAN_POINT('',(3.054697761023E1,-5.568671030682E0, +-2.212471758393E1)); +#4773=CARTESIAN_POINT('',(3.059522551917E1,-5.568671030682E0, +-2.216473718372E1)); +#4774=CARTESIAN_POINT('',(3.063732155750E1,-5.568671030682E0, +-2.220826750487E1)); +#4775=CARTESIAN_POINT('',(3.067272221788E1,-5.568671030682E0, +-2.225432014510E1)); +#4776=CARTESIAN_POINT('',(3.070123586325E1,-5.568671030682E0, +-2.230186042398E1)); +#4777=CARTESIAN_POINT('',(3.072318295295E1,-5.568671030682E0, +-2.235052731396E1)); +#4778=CARTESIAN_POINT('',(3.073884361103E1,-5.568671030682E0, +-2.240045677274E1)); +#4779=CARTESIAN_POINT('',(3.074804214380E1,-5.568671030682E0, +-2.245068570240E1)); +#4780=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-2.248361284128E1)); +#4781=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-2.25E1)); +#4783=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4784=VECTOR('',#4783,1.58E1); +#4785=CARTESIAN_POINT('',(2.605E1,-5.568671030682E0,-2.2E1)); +#4786=LINE('',#4785,#4784); +#4787=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4788=VECTOR('',#4787,1.58E1); +#4789=CARTESIAN_POINT('',(2.443110368616E1,-8.22E0,-2.2E1)); +#4790=LINE('',#4789,#4788); +#4791=DIRECTION('',(0.E0,0.E0,1.E0)); +#4792=VECTOR('',#4791,1.58E1); +#4793=CARTESIAN_POINT('',(2.443110368616E1,-9.37E0,-3.78E1)); +#4794=LINE('',#4793,#4792); +#4795=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4796=VECTOR('',#4795,1.58E1); +#4797=CARTESIAN_POINT('',(2.473110368616E1,-9.67E0,-2.2E1)); +#4798=LINE('',#4797,#4796); +#4799=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4800=VECTOR('',#4799,1.58E1); +#4801=CARTESIAN_POINT('',(2.473110368616E1,-1.077E1,-2.2E1)); +#4802=LINE('',#4801,#4800); +#4803=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4804=VECTOR('',#4803,8.8E0); +#4805=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-2.4E1)); +#4806=LINE('',#4805,#4804); +#4807=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4808=VECTOR('',#4807,5.E0); +#4809=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-3.28E1)); +#4810=LINE('',#4809,#4808); +#4811=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4812=VECTOR('',#4811,2.E0); +#4813=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-2.2E1)); +#4814=LINE('',#4813,#4812); +#4815=DIRECTION('',(1.E0,0.E0,0.E0)); +#4816=VECTOR('',#4815,1.3E0); +#4817=CARTESIAN_POINT('',(-2.573110368616E1,-1.107E1,-3.28E1)); +#4818=LINE('',#4817,#4816); +#4819=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4820=VECTOR('',#4819,5.E0); +#4821=CARTESIAN_POINT('',(-2.573110368616E1,-1.107E1,-3.28E1)); +#4822=LINE('',#4821,#4820); +#4823=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4824=VECTOR('',#4823,2.E0); +#4825=CARTESIAN_POINT('',(-2.573110368616E1,-1.107E1,-2.2E1)); +#4826=LINE('',#4825,#4824); +#4827=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4828=VECTOR('',#4827,1.3E0); +#4829=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-2.4E1)); +#4830=LINE('',#4829,#4828); +#4831=DIRECTION('',(1.E0,0.E0,0.E0)); +#4832=VECTOR('',#4831,1.3E0); +#4833=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-3.28E1)); +#4834=LINE('',#4833,#4832); +#4835=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4836=VECTOR('',#4835,1.3E0); +#4837=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-2.4E1)); +#4838=LINE('',#4837,#4836); +#4839=DIRECTION('',(0.E0,0.E0,1.E0)); +#4840=VECTOR('',#4839,6.5E0); +#4841=CARTESIAN_POINT('',(3.075E1,-1.107E1,-2.85E1)); +#4842=LINE('',#4841,#4840); +#4843=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4844=VECTOR('',#4843,9.3E0); +#4845=CARTESIAN_POINT('',(2.695E1,-1.107E1,-2.85E1)); +#4846=LINE('',#4845,#4844); +#4847=DIRECTION('',(0.E0,-1.E0,1.093142670400E-14)); +#4848=VECTOR('',#4847,6.5E-1); +#4849=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-3.28E1)); +#4850=LINE('',#4849,#4848); +#4851=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4852=VECTOR('',#4851,5.E0); +#4853=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-3.28E1)); +#4854=LINE('',#4853,#4852); +#4855=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4856=VECTOR('',#4855,6.5E-1); +#4857=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-2.4E1)); +#4858=LINE('',#4857,#4856); +#4859=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4860=VECTOR('',#4859,2.E0); +#4861=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-2.2E1)); +#4862=LINE('',#4861,#4860); +#4863=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4864=VECTOR('',#4863,8.8E0); +#4865=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-2.4E1)); +#4866=LINE('',#4865,#4864); +#4867=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4868=VECTOR('',#4867,1.58E1); +#4869=CARTESIAN_POINT('',(-2.473110368616E1,-1.077E1,-2.2E1)); +#4870=LINE('',#4869,#4868); +#4871=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4872=VECTOR('',#4871,1.58E1); +#4873=CARTESIAN_POINT('',(-2.473110368616E1,-9.67E0,-2.2E1)); +#4874=LINE('',#4873,#4872); +#4875=DIRECTION('',(0.E0,0.E0,1.E0)); +#4876=VECTOR('',#4875,1.58E1); +#4877=CARTESIAN_POINT('',(-2.443110368616E1,-9.37E0,-3.78E1)); +#4878=LINE('',#4877,#4876); +#4879=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4880=VECTOR('',#4879,1.58E1); +#4881=CARTESIAN_POINT('',(-2.443110368616E1,-8.22E0,-2.2E1)); +#4882=LINE('',#4881,#4880); +#4883=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4884=VECTOR('',#4883,1.58E1); +#4885=CARTESIAN_POINT('',(-2.605E1,-5.568671030682E0,-2.2E1)); +#4886=LINE('',#4885,#4884); +#4887=CARTESIAN_POINT('',(-3.025E1,-5.568671030682E0,-3.26E1)); +#4888=DIRECTION('',(0.E0,1.E0,0.E0)); +#4889=DIRECTION('',(8.526512829121E-14,0.E0,-1.E0)); +#4890=AXIS2_PLACEMENT_3D('',#4887,#4888,#4889); +#4892=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4893=VECTOR('',#4892,4.7E0); +#4894=CARTESIAN_POINT('',(-2.695E1,-5.568671030682E0,-3.31E1)); +#4895=LINE('',#4894,#4893); +#4896=CARTESIAN_POINT('',(-3.075E1,-5.568671030682E0,-2.25E1)); +#4897=CARTESIAN_POINT('',(-3.075E1,-5.568671030682E0,-2.248361284128E1)); +#4898=CARTESIAN_POINT('',(-3.074804214380E1,-5.568671030682E0, +-2.245068570240E1)); +#4899=CARTESIAN_POINT('',(-3.073884361103E1,-5.568671030682E0, +-2.240045677274E1)); +#4900=CARTESIAN_POINT('',(-3.072318295295E1,-5.568671030682E0, +-2.235052731396E1)); +#4901=CARTESIAN_POINT('',(-3.070123586325E1,-5.568671030682E0, +-2.230186042398E1)); +#4902=CARTESIAN_POINT('',(-3.067272221788E1,-5.568671030682E0, +-2.225432014510E1)); +#4903=CARTESIAN_POINT('',(-3.063732155750E1,-5.568671030682E0, +-2.220826750487E1)); +#4904=CARTESIAN_POINT('',(-3.059522551917E1,-5.568671030682E0, +-2.216473718372E1)); +#4905=CARTESIAN_POINT('',(-3.054697761023E1,-5.568671030682E0, +-2.212471758393E1)); +#4906=CARTESIAN_POINT('',(-3.049198255981E1,-5.568671030682E0, +-2.208835365227E1)); +#4907=CARTESIAN_POINT('',(-3.043134823268E1,-5.568671030682E0, +-2.205711192535E1)); +#4908=CARTESIAN_POINT('',(-3.036682153057E1,-5.568671030682E0, +-2.203212551425E1)); +#4909=CARTESIAN_POINT('',(-3.029891720904E1,-5.568671030682E0, +-2.201372406190E1)); +#4910=CARTESIAN_POINT('',(-3.022854960922E1,-5.568671030682E0, +-2.200247697089E1)); +#4911=CARTESIAN_POINT('',(-3.018173543884E1,-5.568671030682E0,-2.2E1)); +#4912=CARTESIAN_POINT('',(-3.015820776515E1,-5.568671030682E0,-2.2E1)); +#4914=DIRECTION('',(0.E0,0.E0,1.E0)); +#4915=VECTOR('',#4914,5.5E0); +#4916=CARTESIAN_POINT('',(-3.075E1,-5.568671030682E0,-2.8E1)); +#4917=LINE('',#4916,#4915); +#4918=DIRECTION('',(0.E0,0.E0,1.E0)); +#4919=VECTOR('',#4918,4.6E0); +#4920=CARTESIAN_POINT('',(-3.075E1,-5.568671030682E0,-3.26E1)); +#4921=LINE('',#4920,#4919); +#4922=DIRECTION('',(0.E0,0.E0,1.E0)); +#4923=VECTOR('',#4922,4.1E0); +#4924=CARTESIAN_POINT('',(-3.075E1,-7.218671030682E0,-3.26E1)); +#4925=LINE('',#4924,#4923); +#4926=DIRECTION('',(0.E0,1.E0,0.E0)); +#4927=VECTOR('',#4926,1.65E0); +#4928=CARTESIAN_POINT('',(-3.075E1,-7.218671030682E0,-3.26E1)); +#4929=LINE('',#4928,#4927); +#4930=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.85E1)); +#4931=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4932=DIRECTION('',(-8.992184106181E-1,4.375000000063E-1,0.E0)); +#4933=AXIS2_PLACEMENT_3D('',#4930,#4931,#4932); +#4935=CARTESIAN_POINT('',(-3.075E1,-6.802506281447E0,-2.85E1)); +#4936=CARTESIAN_POINT('',(-3.075E1,-6.739890371041E0,-2.85E1)); +#4937=CARTESIAN_POINT('',(-3.075E1,-6.621891459069E0,-2.849901695327E1)); +#4938=CARTESIAN_POINT('',(-3.075E1,-6.469099778645E0,-2.849331871217E1)); +#4939=CARTESIAN_POINT('',(-3.075E1,-6.332986605908E0,-2.848281909794E1)); +#4940=CARTESIAN_POINT('',(-3.075E1,-6.209225637163E0,-2.846702554437E1)); +#4941=CARTESIAN_POINT('',(-3.075E1,-6.094943351536E0,-2.844514174761E1)); +#4942=CARTESIAN_POINT('',(-3.075E1,-5.996393978526E0,-2.841874971150E1)); +#4943=CARTESIAN_POINT('',(-3.075E1,-5.911002020442E0,-2.838851460051E1)); +#4944=CARTESIAN_POINT('',(-3.075E1,-5.837950143878E0,-2.835534959136E1)); +#4945=CARTESIAN_POINT('',(-3.075E1,-5.774700014308E0,-2.831921412859E1)); +#4946=CARTESIAN_POINT('',(-3.075E1,-5.720832057527E0,-2.828061057705E1)); +#4947=CARTESIAN_POINT('',(-3.075E1,-5.676591475269E0,-2.824081633548E1)); +#4948=CARTESIAN_POINT('',(-3.075E1,-5.641206211627E0,-2.820060397400E1)); +#4949=CARTESIAN_POINT('',(-3.075E1,-5.613623856301E0,-2.816025612780E1)); +#4950=CARTESIAN_POINT('',(-3.075E1,-5.592982595453E0,-2.811980339449E1)); +#4951=CARTESIAN_POINT('',(-3.075E1,-5.578786872249E0,-2.807967697011E1)); +#4952=CARTESIAN_POINT('',(-3.075E1,-5.570446571090E0,-2.803948388442E1)); +#4953=CARTESIAN_POINT('',(-3.075E1,-5.568671030682E0,-2.801311629012E1)); +#4954=CARTESIAN_POINT('',(-3.075E1,-5.568671030682E0,-2.8E1)); +#4956=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.8E1)); +#4957=DIRECTION('',(0.E0,0.E0,1.E0)); +#4958=DIRECTION('',(8.166666666667E-1,5.771096564394E-1,0.E0)); +#4959=AXIS2_PLACEMENT_3D('',#4956,#4957,#4958); +#4961=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4962=VECTOR('',#4961,5.5E0); +#4963=CARTESIAN_POINT('',(-3.589765523186E1,-5.9875E0,-2.25E1)); +#4964=LINE('',#4963,#4962); +#4965=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.25E1)); +#4966=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4967=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#4968=AXIS2_PLACEMENT_3D('',#4965,#4966,#4967); +#4970=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.2E1)); +#4971=DIRECTION('',(0.E0,0.E0,1.E0)); +#4972=DIRECTION('',(8.690834956717E-1,4.946654198052E-1,0.E0)); +#4973=AXIS2_PLACEMENT_3D('',#4970,#4971,#4972); +#4975=CARTESIAN_POINT('',(-3.634726443717E1,-5.76875E0,-2.25E1)); +#4976=DIRECTION('',(4.375E-1,8.992184106211E-1,0.E0)); +#4977=DIRECTION('',(0.E0,0.E0,1.E0)); +#4978=AXIS2_PLACEMENT_3D('',#4975,#4976,#4977); +#4980=CARTESIAN_POINT('',(-3.679687364248E1,-6.05E0,-2.25E1)); +#4981=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4982=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4983=AXIS2_PLACEMENT_3D('',#4980,#4981,#4982); +#4985=CARTESIAN_POINT('',(-3.679687364248E1,-5.55E0,-2.25E1)); +#4986=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4987=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#4988=AXIS2_PLACEMENT_3D('',#4985,#4986,#4987); +#4990=CARTESIAN_POINT('',(-3.544804602655E1,-6.20625E0,-2.8E1)); +#4991=DIRECTION('',(-4.375E-1,-8.992184106211E-1,0.E0)); +#4992=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#4993=AXIS2_PLACEMENT_3D('',#4990,#4991,#4992); +#4995=CARTESIAN_POINT('',(-3.679687364248E1,-5.55E0,-2.8E1)); +#4996=DIRECTION('',(0.E0,0.E0,1.E0)); +#4997=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4998=AXIS2_PLACEMENT_3D('',#4995,#4996,#4997); +#5000=CARTESIAN_POINT('',(-2.89E1,-7.218671030682E0,-3.13E1)); +#5001=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5002=DIRECTION('',(-6.6E-1,0.E0,-7.512655988397E-1)); +#5003=AXIS2_PLACEMENT_3D('',#5000,#5001,#5002); +#5005=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5006=VECTOR('',#5005,1.502531197679E0); +#5007=CARTESIAN_POINT('',(-2.956E1,-7.218671030682E0,-3.054873440116E1)); +#5008=LINE('',#5007,#5006); +#5009=CARTESIAN_POINT('',(-3.025E1,-7.218671030682E0,-3.26E1)); +#5010=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5011=DIRECTION('',(-1.E0,0.E0,1.421085471520E-14)); +#5012=AXIS2_PLACEMENT_3D('',#5009,#5010,#5011); +#5014=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5015=VECTOR('',#5014,1.065116279070E0); +#5016=CARTESIAN_POINT('',(-2.956E1,-1.356867103068E1,-3.205126559884E1)); +#5017=LINE('',#5016,#5015); +#5018=DIRECTION('',(0.E0,1.E0,0.E0)); +#5019=VECTOR('',#5018,1.065116279070E0); +#5020=CARTESIAN_POINT('',(-2.956E1,-1.463378730975E1,-3.054873440116E1)); +#5021=LINE('',#5020,#5019); +#5022=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5023=VECTOR('',#5022,6.35E0); +#5024=CARTESIAN_POINT('',(-2.956E1,-7.218671030682E0,-3.205126559884E1)); +#5025=LINE('',#5024,#5023); +#5026=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5027=VECTOR('',#5026,6.E-1); +#5028=CARTESIAN_POINT('',(-3.019830658937E1,-1.356867103068E1, +-3.205126559884E1)); +#5029=LINE('',#5028,#5027); +#5030=CARTESIAN_POINT('',(-2.956E1,-1.463378730975E1,-3.205126559884E1)); +#5031=CARTESIAN_POINT('',(-2.958627226275E1,-1.461765736239E1, +-3.205126559884E1)); +#5032=CARTESIAN_POINT('',(-2.964137239707E1,-1.458272709628E1, +-3.205126559884E1)); +#5033=CARTESIAN_POINT('',(-2.973047271025E1,-1.452283433427E1, +-3.205126559884E1)); +#5034=CARTESIAN_POINT('',(-2.982915767069E1,-1.445306230056E1, +-3.205126559884E1)); +#5035=CARTESIAN_POINT('',(-2.993895403990E1,-1.437190712973E1, +-3.205126559884E1)); +#5036=CARTESIAN_POINT('',(-3.006071682568E1,-1.427839993825E1, +-3.205126559884E1)); +#5037=CARTESIAN_POINT('',(-3.015100303556E1,-1.420675755199E1, +-3.205126559884E1)); +#5038=CARTESIAN_POINT('',(-3.019830658937E1,-1.416867103068E1, +-3.205126559884E1)); +#5040=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5041=VECTOR('',#5040,6.E-1); +#5042=CARTESIAN_POINT('',(-3.019830658937E1,-1.356867103068E1, +-3.054873440116E1)); +#5043=LINE('',#5042,#5041); +#5044=CARTESIAN_POINT('',(-2.89E1,-1.356867103068E1,-3.13E1)); +#5045=DIRECTION('',(0.E0,1.E0,0.E0)); +#5046=DIRECTION('',(-8.655377262463E-1,0.E0,-5.008437325598E-1)); +#5047=AXIS2_PLACEMENT_3D('',#5044,#5045,#5046); +#5049=CARTESIAN_POINT('',(-3.019830658937E1,-1.416867103068E1, +-3.054873440116E1)); +#5050=CARTESIAN_POINT('',(-3.015127346980E1,-1.420653981149E1, +-3.054873440116E1)); +#5051=CARTESIAN_POINT('',(-3.006139428234E1,-1.427786687330E1, +-3.054873440116E1)); +#5052=CARTESIAN_POINT('',(-2.993980431294E1,-1.437126516268E1, +-3.054873440116E1)); +#5053=CARTESIAN_POINT('',(-2.982980061601E1,-1.445260133747E1, +-3.054873440116E1)); +#5054=CARTESIAN_POINT('',(-2.973050593142E1,-1.452281845873E1, +-3.054873440116E1)); +#5055=CARTESIAN_POINT('',(-2.964103110929E1,-1.458295152850E1, +-3.054873440116E1)); +#5056=CARTESIAN_POINT('',(-2.958609908351E1,-1.461776368639E1, +-3.054873440116E1)); +#5057=CARTESIAN_POINT('',(-2.956E1,-1.463378730975E1,-3.054873440116E1)); +#5059=CARTESIAN_POINT('',(-2.89E1,-1.463378730975E1,-3.13E1)); +#5060=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5061=DIRECTION('',(1.E0,0.E0,0.E0)); +#5062=AXIS2_PLACEMENT_3D('',#5059,#5060,#5061); +#5064=DIRECTION('',(-7.321867381631E-1,-6.811039424773E-1,0.E0)); +#5065=VECTOR('',#5064,7.853187855363E-1); +#5066=CARTESIAN_POINT('',(-2.79E1,-1.463378730975E1,-3.13E1)); +#5067=LINE('',#5066,#5065); +#5068=DIRECTION('',(7.321867381631E-1,-6.811039424773E-1,0.E0)); +#5069=VECTOR('',#5068,1.468204686003E0); +#5070=CARTESIAN_POINT('',(-3.04E1,-1.416867103068E1,-3.13E1)); +#5071=LINE('',#5070,#5069); +#5072=CARTESIAN_POINT('',(-2.89E1,-1.416867103068E1,-3.13E1)); +#5073=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5074=DIRECTION('',(-8.655377262463E-1,0.E0,5.008437325598E-1)); +#5075=AXIS2_PLACEMENT_3D('',#5072,#5073,#5074); +#5077=CARTESIAN_POINT('',(-2.89E1,-1.416867103068E1,-3.13E1)); +#5078=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5079=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5080=AXIS2_PLACEMENT_3D('',#5077,#5078,#5079); +#5082=CARTESIAN_POINT('',(-2.89E1,-1.463378730975E1,-3.13E1)); +#5083=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5084=DIRECTION('',(-6.6E-1,0.E0,-7.512655988397E-1)); +#5085=AXIS2_PLACEMENT_3D('',#5082,#5083,#5084); +#5087=CARTESIAN_POINT('',(-2.89E1,-1.516867103068E1,-3.13E1)); +#5088=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5089=DIRECTION('',(1.E0,0.E0,0.E0)); +#5090=AXIS2_PLACEMENT_3D('',#5087,#5088,#5089); +#5092=CARTESIAN_POINT('',(-2.89E1,-1.516867103068E1,-3.13E1)); +#5093=DIRECTION('',(0.E0,1.E0,0.E0)); +#5094=DIRECTION('',(1.E0,0.E0,0.E0)); +#5095=AXIS2_PLACEMENT_3D('',#5092,#5093,#5094); +#5097=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5098=VECTOR('',#5097,6.383065893694E-1); +#5099=CARTESIAN_POINT('',(-2.956E1,-1.356867103068E1,-3.054873440116E1)); +#5100=LINE('',#5099,#5098); +#5101=DIRECTION('',(1.E0,0.E0,-1.113168417174E-14)); +#5102=VECTOR('',#5101,6.383065893694E-1); +#5103=CARTESIAN_POINT('',(-3.019830658937E1,-1.356867103068E1, +-3.205126559884E1)); +#5104=LINE('',#5103,#5102); +#5105=DIRECTION('',(1.E0,0.E0,1.113168417174E-14)); +#5106=VECTOR('',#5105,6.383065893694E-1); +#5107=CARTESIAN_POINT('',(2.956E1,-1.356867103068E1,-3.205126559884E1)); +#5108=LINE('',#5107,#5106); +#5109=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5110=VECTOR('',#5109,6.383065893694E-1); +#5111=CARTESIAN_POINT('',(3.019830658937E1,-1.356867103068E1, +-3.054873440116E1)); +#5112=LINE('',#5111,#5110); +#5113=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5114=VECTOR('',#5113,1.502531197679E0); +#5115=CARTESIAN_POINT('',(-2.956E1,-1.356867103068E1,-3.054873440116E1)); +#5116=LINE('',#5115,#5114); +#5117=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5118=VECTOR('',#5117,6.35E0); +#5119=CARTESIAN_POINT('',(-2.956E1,-7.218671030682E0,-3.054873440116E1)); +#5120=LINE('',#5119,#5118); +#5121=DIRECTION('',(0.E0,0.E0,1.E0)); +#5122=VECTOR('',#5121,1.502531197679E0); +#5123=CARTESIAN_POINT('',(2.956E1,-1.356867103068E1,-3.205126559884E1)); +#5124=LINE('',#5123,#5122); +#5125=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5126=VECTOR('',#5125,6.35E0); +#5127=CARTESIAN_POINT('',(2.956E1,-7.218671030682E0,-3.205126559884E1)); +#5128=LINE('',#5127,#5126); +#5129=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5130=VECTOR('',#5129,1.065116279070E0); +#5131=CARTESIAN_POINT('',(2.956E1,-1.356867103068E1,-3.054873440116E1)); +#5132=LINE('',#5131,#5130); +#5133=DIRECTION('',(0.E0,1.E0,0.E0)); +#5134=VECTOR('',#5133,1.065116279070E0); +#5135=CARTESIAN_POINT('',(2.956E1,-1.463378730975E1,-3.205126559884E1)); +#5136=LINE('',#5135,#5134); +#5137=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5138=VECTOR('',#5137,6.35E0); +#5139=CARTESIAN_POINT('',(2.956E1,-7.218671030682E0,-3.054873440116E1)); +#5140=LINE('',#5139,#5138); +#5141=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5142=VECTOR('',#5141,6.E-1); +#5143=CARTESIAN_POINT('',(3.019830658937E1,-1.356867103068E1, +-3.054873440116E1)); +#5144=LINE('',#5143,#5142); +#5145=CARTESIAN_POINT('',(2.956E1,-1.463378730975E1,-3.054873440116E1)); +#5146=CARTESIAN_POINT('',(2.958627226275E1,-1.461765736239E1, +-3.054873440116E1)); +#5147=CARTESIAN_POINT('',(2.964137239707E1,-1.458272709628E1, +-3.054873440116E1)); +#5148=CARTESIAN_POINT('',(2.973047271025E1,-1.452283433427E1, +-3.054873440116E1)); +#5149=CARTESIAN_POINT('',(2.982915767069E1,-1.445306230056E1, +-3.054873440116E1)); +#5150=CARTESIAN_POINT('',(2.993895403990E1,-1.437190712973E1, +-3.054873440116E1)); +#5151=CARTESIAN_POINT('',(3.006071682568E1,-1.427839993825E1, +-3.054873440116E1)); +#5152=CARTESIAN_POINT('',(3.015100303556E1,-1.420675755199E1, +-3.054873440116E1)); +#5153=CARTESIAN_POINT('',(3.019830658937E1,-1.416867103068E1, +-3.054873440116E1)); +#5155=CARTESIAN_POINT('',(2.89E1,-1.356867103068E1,-3.13E1)); +#5156=DIRECTION('',(0.E0,1.E0,0.E0)); +#5157=DIRECTION('',(8.655377262463E-1,0.E0,5.008437325598E-1)); +#5158=AXIS2_PLACEMENT_3D('',#5155,#5156,#5157); +#5160=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5161=VECTOR('',#5160,6.E-1); +#5162=CARTESIAN_POINT('',(3.019830658937E1,-1.356867103068E1, +-3.205126559884E1)); +#5163=LINE('',#5162,#5161); +#5164=CARTESIAN_POINT('',(2.89E1,-1.463378730975E1,-3.13E1)); +#5165=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5166=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5167=AXIS2_PLACEMENT_3D('',#5164,#5165,#5166); +#5169=CARTESIAN_POINT('',(2.89E1,-1.416867103068E1,-3.13E1)); +#5170=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5171=DIRECTION('',(8.655377262463E-1,0.E0,-5.008437325598E-1)); +#5172=AXIS2_PLACEMENT_3D('',#5169,#5170,#5171); +#5174=CARTESIAN_POINT('',(3.019830658937E1,-1.416867103068E1, +-3.205126559884E1)); +#5175=CARTESIAN_POINT('',(3.015127346980E1,-1.420653981149E1, +-3.205126559884E1)); +#5176=CARTESIAN_POINT('',(3.006139428234E1,-1.427786687330E1, +-3.205126559884E1)); +#5177=CARTESIAN_POINT('',(2.993980431294E1,-1.437126516268E1, +-3.205126559884E1)); +#5178=CARTESIAN_POINT('',(2.982980061601E1,-1.445260133747E1, +-3.205126559884E1)); +#5179=CARTESIAN_POINT('',(2.973050593142E1,-1.452281845873E1, +-3.205126559884E1)); +#5180=CARTESIAN_POINT('',(2.964103110929E1,-1.458295152850E1, +-3.205126559884E1)); +#5181=CARTESIAN_POINT('',(2.958609908351E1,-1.461776368639E1, +-3.205126559884E1)); +#5182=CARTESIAN_POINT('',(2.956E1,-1.463378730975E1,-3.205126559884E1)); +#5184=CARTESIAN_POINT('',(2.89E1,-1.416867103068E1,-3.13E1)); +#5185=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5186=DIRECTION('',(1.E0,0.E0,0.E0)); +#5187=AXIS2_PLACEMENT_3D('',#5184,#5185,#5186); +#5189=DIRECTION('',(-7.321867381631E-1,-6.811039424773E-1,0.E0)); +#5190=VECTOR('',#5189,1.468204686003E0); +#5191=CARTESIAN_POINT('',(3.04E1,-1.416867103068E1,-3.13E1)); +#5192=LINE('',#5191,#5190); +#5193=DIRECTION('',(7.321867381631E-1,-6.811039424773E-1,0.E0)); +#5194=VECTOR('',#5193,7.853187855363E-1); +#5195=CARTESIAN_POINT('',(2.79E1,-1.463378730975E1,-3.13E1)); +#5196=LINE('',#5195,#5194); +#5197=CARTESIAN_POINT('',(2.89E1,-1.463378730975E1,-3.13E1)); +#5198=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5199=DIRECTION('',(6.6E-1,0.E0,7.512655988397E-1)); +#5200=AXIS2_PLACEMENT_3D('',#5197,#5198,#5199); +#5202=CARTESIAN_POINT('',(2.89E1,-1.516867103068E1,-3.13E1)); +#5203=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5204=DIRECTION('',(1.E0,0.E0,0.E0)); +#5205=AXIS2_PLACEMENT_3D('',#5202,#5203,#5204); +#5207=CARTESIAN_POINT('',(2.89E1,-1.516867103068E1,-3.13E1)); +#5208=DIRECTION('',(0.E0,1.E0,0.E0)); +#5209=DIRECTION('',(1.E0,0.E0,0.E0)); +#5210=AXIS2_PLACEMENT_3D('',#5207,#5208,#5209); +#5212=DIRECTION('',(0.E0,0.E0,1.E0)); +#5213=VECTOR('',#5212,4.6E0); +#5214=CARTESIAN_POINT('',(3.075E1,-7.218671030682E0,-3.31E1)); +#5215=LINE('',#5214,#5213); +#5216=DIRECTION('',(0.E0,0.E0,1.E0)); +#5217=VECTOR('',#5216,1.502531197679E0); +#5218=CARTESIAN_POINT('',(2.956E1,-7.218671030682E0,-3.205126559884E1)); +#5219=LINE('',#5218,#5217); +#5220=CARTESIAN_POINT('',(2.89E1,-7.218671030682E0,-3.13E1)); +#5221=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5222=DIRECTION('',(6.6E-1,0.E0,7.512655988397E-1)); +#5223=AXIS2_PLACEMENT_3D('',#5220,#5221,#5222); +#5225=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5226=VECTOR('',#5225,3.8E0); +#5227=CARTESIAN_POINT('',(3.075E1,-7.218671030682E0,-3.31E1)); +#5228=LINE('',#5227,#5226); +#5229=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5230=VECTOR('',#5229,1.65E0); +#5231=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-3.31E1)); +#5232=LINE('',#5231,#5230); +#5233=DIRECTION('',(1.E0,0.E0,0.E0)); +#5234=VECTOR('',#5233,3.8E0); +#5235=CARTESIAN_POINT('',(2.695E1,-5.568671030682E0,-3.31E1)); +#5236=LINE('',#5235,#5234); +#5237=DIRECTION('',(0.E0,1.E0,0.E0)); +#5238=VECTOR('',#5237,1.65E0); +#5239=CARTESIAN_POINT('',(-3.025E1,-7.218671030682E0,-3.31E1)); +#5240=LINE('',#5239,#5238); +#5241=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5242=VECTOR('',#5241,3.3E0); +#5243=CARTESIAN_POINT('',(-2.695E1,-7.218671030682E0,-3.31E1)); +#5244=LINE('',#5243,#5242); +#5245=DIRECTION('',(1.E0,0.E0,0.E0)); +#5246=VECTOR('',#5245,3.3E0); +#5247=CARTESIAN_POINT('',(-3.025E1,-5.568671030682E0,-3.31E1)); +#5248=LINE('',#5247,#5246); +#5249=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5250=VECTOR('',#5249,1.65E0); +#5251=CARTESIAN_POINT('',(2.695E1,-5.568671030682E0,-3.31E1)); +#5252=LINE('',#5251,#5250); +#5253=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5254=VECTOR('',#5253,4.7E0); +#5255=CARTESIAN_POINT('',(2.695E1,-5.568671030682E0,-3.31E1)); +#5256=LINE('',#5255,#5254); +#5257=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5258=VECTOR('',#5257,4.6E0); +#5259=CARTESIAN_POINT('',(2.695E1,-7.218671030682E0,-2.85E1)); +#5260=LINE('',#5259,#5258); +#5261=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5262=VECTOR('',#5261,5.501328969318E0); +#5263=CARTESIAN_POINT('',(2.695E1,-5.568671030682E0,-3.78E1)); +#5264=LINE('',#5263,#5262); +#5265=DIRECTION('',(1.E0,0.E0,0.E0)); +#5266=VECTOR('',#5265,8.999999999998E-1); +#5267=CARTESIAN_POINT('',(2.605E1,-5.568671030682E0,-3.78E1)); +#5268=LINE('',#5267,#5266); +#5269=DIRECTION('',(5.211311565163E-1,8.534766064211E-1,0.E0)); +#5270=VECTOR('',#5269,3.106504559552E0); +#5271=CARTESIAN_POINT('',(2.443110368616E1,-8.22E0,-3.78E1)); +#5272=LINE('',#5271,#5270); +#5273=DIRECTION('',(0.E0,1.E0,0.E0)); +#5274=VECTOR('',#5273,1.15E0); +#5275=CARTESIAN_POINT('',(2.443110368616E1,-9.37E0,-3.78E1)); +#5276=LINE('',#5275,#5274); +#5277=DIRECTION('',(-7.071067811866E-1,7.071067811865E-1,0.E0)); +#5278=VECTOR('',#5277,4.242640687119E-1); +#5279=CARTESIAN_POINT('',(2.473110368616E1,-9.67E0,-3.78E1)); +#5280=LINE('',#5279,#5278); +#5281=DIRECTION('',(0.E0,1.E0,0.E0)); +#5282=VECTOR('',#5281,1.1E0); +#5283=CARTESIAN_POINT('',(2.473110368616E1,-1.077E1,-3.78E1)); +#5284=LINE('',#5283,#5282); +#5285=DIRECTION('',(7.071067811865E-1,7.071067811866E-1,0.E0)); +#5286=VECTOR('',#5285,4.242640687119E-1); +#5287=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-3.78E1)); +#5288=LINE('',#5287,#5286); +#5289=DIRECTION('',(0.E0,1.E0,0.E0)); +#5290=VECTOR('',#5289,6.5E-1); +#5291=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-3.78E1)); +#5292=LINE('',#5291,#5290); +#5293=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5294=VECTOR('',#5293,1.3E0); +#5295=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-3.78E1)); +#5296=LINE('',#5295,#5294); +#5297=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5298=VECTOR('',#5297,6.5E-1); +#5299=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-3.78E1)); +#5300=LINE('',#5299,#5298); +#5301=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5302=VECTOR('',#5301,1.218896313842E0); +#5303=CARTESIAN_POINT('',(2.695E1,-1.107E1,-3.78E1)); +#5304=LINE('',#5303,#5302); +#5305=DIRECTION('',(1.E0,0.E0,0.E0)); +#5306=VECTOR('',#5305,8.999999999998E-1); +#5307=CARTESIAN_POINT('',(-2.695E1,-5.568671030682E0,-3.78E1)); +#5308=LINE('',#5307,#5306); +#5309=DIRECTION('',(0.E0,1.E0,0.E0)); +#5310=VECTOR('',#5309,5.501328969318E0); +#5311=CARTESIAN_POINT('',(-2.695E1,-1.107E1,-3.78E1)); +#5312=LINE('',#5311,#5310); +#5313=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5314=VECTOR('',#5313,1.218896313842E0); +#5315=CARTESIAN_POINT('',(-2.573110368616E1,-1.107E1,-3.78E1)); +#5316=LINE('',#5315,#5314); +#5317=DIRECTION('',(0.E0,1.E0,0.E0)); +#5318=VECTOR('',#5317,6.5E-1); +#5319=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-3.78E1)); +#5320=LINE('',#5319,#5318); +#5321=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5322=VECTOR('',#5321,1.3E0); +#5323=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-3.78E1)); +#5324=LINE('',#5323,#5322); +#5325=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5326=VECTOR('',#5325,6.5E-1); +#5327=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-3.78E1)); +#5328=LINE('',#5327,#5326); +#5329=DIRECTION('',(7.071067811865E-1,-7.071067811866E-1,0.E0)); +#5330=VECTOR('',#5329,4.242640687119E-1); +#5331=CARTESIAN_POINT('',(-2.473110368616E1,-1.077E1,-3.78E1)); +#5332=LINE('',#5331,#5330); +#5333=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5334=VECTOR('',#5333,1.1E0); +#5335=CARTESIAN_POINT('',(-2.473110368616E1,-9.67E0,-3.78E1)); +#5336=LINE('',#5335,#5334); +#5337=DIRECTION('',(-7.071067811866E-1,-7.071067811865E-1,0.E0)); +#5338=VECTOR('',#5337,4.242640687119E-1); +#5339=CARTESIAN_POINT('',(-2.443110368616E1,-9.37E0,-3.78E1)); +#5340=LINE('',#5339,#5338); +#5341=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5342=VECTOR('',#5341,1.15E0); +#5343=CARTESIAN_POINT('',(-2.443110368616E1,-8.22E0,-3.78E1)); +#5344=LINE('',#5343,#5342); +#5345=DIRECTION('',(5.211311565163E-1,-8.534766064211E-1,0.E0)); +#5346=VECTOR('',#5345,3.106504559552E0); +#5347=CARTESIAN_POINT('',(-2.605E1,-5.568671030682E0,-3.78E1)); +#5348=LINE('',#5347,#5346); +#5349=DIRECTION('',(-3.279428011201E-14,1.E0,0.E0)); +#5350=VECTOR('',#5349,6.5E-1); +#5351=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-2.4E1)); +#5352=LINE('',#5351,#5350); +#5353=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5354=VECTOR('',#5353,2.E0); +#5355=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-2.2E1)); +#5356=LINE('',#5355,#5354); +#5357=DIRECTION('',(-2.732856676E-14,1.E0,-1.093142670400E-14)); +#5358=VECTOR('',#5357,6.5E-1); +#5359=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-3.28E1)); +#5360=LINE('',#5359,#5358); +#5361=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5362=VECTOR('',#5361,5.E0); +#5363=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-3.28E1)); +#5364=LINE('',#5363,#5362); +#5365=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5366=VECTOR('',#5365,6.5E-1); +#5367=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-2.4E1)); +#5368=LINE('',#5367,#5366); +#5369=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5370=VECTOR('',#5369,2.E0); +#5371=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-2.2E1)); +#5372=LINE('',#5371,#5370); +#5373=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5374=VECTOR('',#5373,6.5E-1); +#5375=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-3.28E1)); +#5376=LINE('',#5375,#5374); +#5377=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5378=VECTOR('',#5377,5.E0); +#5379=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-3.28E1)); +#5380=LINE('',#5379,#5378); +#5381=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5382=VECTOR('',#5381,1.3E0); +#5383=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-2.4E1)); +#5384=LINE('',#5383,#5382); +#5385=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5386=VECTOR('',#5385,2.E0); +#5387=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-2.2E1)); +#5388=LINE('',#5387,#5386); +#5389=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5390=VECTOR('',#5389,1.3E0); +#5391=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-3.28E1)); +#5392=LINE('',#5391,#5390); +#5393=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5394=VECTOR('',#5393,5.E0); +#5395=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-3.28E1)); +#5396=LINE('',#5395,#5394); +#5397=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5398=VECTOR('',#5397,1.65E0); +#5399=CARTESIAN_POINT('',(-2.695E1,-5.568671030682E0,-3.31E1)); +#5400=LINE('',#5399,#5398); +#5401=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5402=VECTOR('',#5401,4.6E0); +#5403=CARTESIAN_POINT('',(-2.695E1,-7.218671030682E0,-2.85E1)); +#5404=LINE('',#5403,#5402); +#5405=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5406=VECTOR('',#5405,9.3E0); +#5407=CARTESIAN_POINT('',(-2.695E1,-1.107E1,-2.85E1)); +#5408=LINE('',#5407,#5406); +#5409=DIRECTION('',(-2.732856676E-14,1.E0,0.E0)); +#5410=VECTOR('',#5409,6.5E-1); +#5411=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-3.28E1)); +#5412=LINE('',#5411,#5410); +#5413=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5414=VECTOR('',#5413,5.E0); +#5415=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-3.28E1)); +#5416=LINE('',#5415,#5414); +#5417=DIRECTION('',(-2.732856676E-14,1.E0,0.E0)); +#5418=VECTOR('',#5417,6.5E-1); +#5419=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-2.4E1)); +#5420=LINE('',#5419,#5418); +#5421=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5422=VECTOR('',#5421,2.E0); +#5423=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-2.2E1)); +#5424=LINE('',#5423,#5422); +#5425=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5426=VECTOR('',#5425,1.3E0); +#5427=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-3.28E1)); +#5428=LINE('',#5427,#5426); +#5429=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5430=VECTOR('',#5429,5.E0); +#5431=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-3.28E1)); +#5432=LINE('',#5431,#5430); +#5433=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5434=VECTOR('',#5433,1.3E0); +#5435=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-2.4E1)); +#5436=LINE('',#5435,#5434); +#5437=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5438=VECTOR('',#5437,2.E0); +#5439=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-2.2E1)); +#5440=LINE('',#5439,#5438); +#5441=DIRECTION('',(1.118964938205E-14,1.E0,0.E0)); +#5442=VECTOR('',#5441,1.27E0); +#5443=CARTESIAN_POINT('',(-3.075E1,-1.107E1,-2.85E1)); +#5444=LINE('',#5443,#5442); +#5445=CARTESIAN_POINT('',(-3.075E1,-1.08E1,-2.25E1)); +#5446=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5447=DIRECTION('',(0.E0,-2.131628207280E-14,1.E0)); +#5448=AXIS2_PLACEMENT_3D('',#5445,#5446,#5447); +#5450=DIRECTION('',(0.E0,0.E0,1.E0)); +#5451=VECTOR('',#5450,6.5E0); +#5452=CARTESIAN_POINT('',(-3.075E1,-1.107E1,-2.85E1)); +#5453=LINE('',#5452,#5451); +#5454=CARTESIAN_POINT('',(-3.075E1,-9.8E0,-2.8E1)); +#5455=DIRECTION('',(1.E0,0.E0,0.E0)); +#5456=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5457=AXIS2_PLACEMENT_3D('',#5454,#5455,#5456); +#5459=CARTESIAN_POINT('',(-3.32E1,-9.8E0,-2.8E1)); +#5460=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5461=DIRECTION('',(0.E0,2.842170943040E-14,-1.E0)); +#5462=AXIS2_PLACEMENT_3D('',#5459,#5460,#5461); +#5464=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.8E1)); +#5465=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5466=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5467=AXIS2_PLACEMENT_3D('',#5464,#5465,#5466); +#5469=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5470=VECTOR('',#5469,5.5E0); +#5471=CARTESIAN_POINT('',(-3.589765523186E1,-8.6125E0,-2.25E1)); +#5472=LINE('',#5471,#5470); +#5473=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.25E1)); +#5474=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5475=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5476=AXIS2_PLACEMENT_3D('',#5473,#5474,#5475); +#5478=DIRECTION('',(0.E0,0.E0,1.E0)); +#5479=VECTOR('',#5478,5.5E0); +#5480=CARTESIAN_POINT('',(-3.32E1,-1.03E1,-2.8E1)); +#5481=LINE('',#5480,#5479); +#5482=CARTESIAN_POINT('',(-3.679687364248E1,-9.05E0,-2.8E1)); +#5483=DIRECTION('',(0.E0,0.E0,1.E0)); +#5484=DIRECTION('',(8.992184106211E-1,4.375E-1,0.E0)); +#5485=AXIS2_PLACEMENT_3D('',#5482,#5483,#5484); +#5487=CARTESIAN_POINT('',(-3.544804602655E1,-8.39375E0,-2.8E1)); +#5488=DIRECTION('',(-4.375E-1,8.992184106211E-1,0.E0)); +#5489=DIRECTION('',(-5.684341886081E-14,-2.842170943040E-14,-1.E0)); +#5490=AXIS2_PLACEMENT_3D('',#5487,#5488,#5489); +#5492=DIRECTION('',(-1.E0,0.E0,8.010445260122E-14)); +#5493=VECTOR('',#5492,1.153126357516E0); +#5494=CARTESIAN_POINT('',(-3.679687364248E1,-8.05E0,-2.25E1)); +#5495=LINE('',#5494,#5493); +#5496=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5497=VECTOR('',#5496,5.5E0); +#5498=CARTESIAN_POINT('',(-3.679687364248E1,-8.05E0,-2.25E1)); +#5499=LINE('',#5498,#5497); +#5500=CARTESIAN_POINT('',(-3.791490763123E1,-8.05E0,-2.85E1)); +#5501=CARTESIAN_POINT('',(-3.788559819366E1,-8.05E0,-2.85E1)); +#5502=CARTESIAN_POINT('',(-3.782510436401E1,-8.05E0,-2.849712075151E1)); +#5503=CARTESIAN_POINT('',(-3.772954359449E1,-8.05E0,-2.848361742480E1)); +#5504=CARTESIAN_POINT('',(-3.762674433502E1,-8.05E0,-2.845968278171E1)); +#5505=CARTESIAN_POINT('',(-3.751490056711E1,-8.05E0,-2.842333628585E1)); +#5506=CARTESIAN_POINT('',(-3.739217004570E1,-8.05E0,-2.837206147122E1)); +#5507=CARTESIAN_POINT('',(-3.725786738103E1,-8.05E0,-2.830347259281E1)); +#5508=CARTESIAN_POINT('',(-3.711572585953E1,-8.05E0,-2.821880929888E1)); +#5509=CARTESIAN_POINT('',(-3.696273911359E1,-8.05E0,-2.811719311444E1)); +#5510=CARTESIAN_POINT('',(-3.685371871857E1,-8.05E0,-2.804019553878E1)); +#5511=CARTESIAN_POINT('',(-3.679687364248E1,-8.05E0,-2.8E1)); +#5513=CARTESIAN_POINT('',(-3.795E1,-8.05E0,-2.15E1)); +#5514=DIRECTION('',(0.E0,1.E0,0.E0)); +#5515=DIRECTION('',(-1.421085471520E-14,0.E0,-1.E0)); +#5516=AXIS2_PLACEMENT_3D('',#5513,#5514,#5515); +#5518=CARTESIAN_POINT('',(-3.679687364248E1,-8.55E0,-2.25E1)); +#5519=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5520=DIRECTION('',(0.E0,0.E0,1.E0)); +#5521=AXIS2_PLACEMENT_3D('',#5518,#5519,#5520); +#5523=CARTESIAN_POINT('',(-3.795E1,-8.55E0,-2.15E1)); +#5524=DIRECTION('',(0.E0,1.E0,0.E0)); +#5525=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5526=AXIS2_PLACEMENT_3D('',#5523,#5524,#5525); +#5528=CARTESIAN_POINT('',(-3.795E1,-8.55E0,-2.25E1)); +#5529=DIRECTION('',(1.E0,0.E0,0.E0)); +#5530=DIRECTION('',(0.E0,1.E0,0.E0)); +#5531=AXIS2_PLACEMENT_3D('',#5528,#5529,#5530); +#5533=CARTESIAN_POINT('',(-3.795E1,-1.105E1,-2.15E1)); +#5534=DIRECTION('',(0.E0,1.E0,0.E0)); +#5535=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5536=AXIS2_PLACEMENT_3D('',#5533,#5534,#5535); +#5538=CARTESIAN_POINT('',(-3.345E1,-1.555E1,-2.15E1)); +#5539=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5540=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5541=AXIS2_PLACEMENT_3D('',#5538,#5539,#5540); +#5543=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.05E1)); +#5544=DIRECTION('',(0.E0,0.E0,1.E0)); +#5545=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5546=AXIS2_PLACEMENT_3D('',#5543,#5544,#5545); +#5548=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5549=VECTOR('',#5548,1.E0); +#5550=CARTESIAN_POINT('',(-3.345E1,-1.605E1,-2.05E1)); +#5551=LINE('',#5550,#5549); +#5552=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.15E1)); +#5553=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5554=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5555=AXIS2_PLACEMENT_3D('',#5552,#5553,#5554); +#5557=DIRECTION('',(1.E0,0.E0,0.E0)); +#5558=VECTOR('',#5557,6.69E1); +#5559=CARTESIAN_POINT('',(-3.345E1,-1.605E1,-2.05E1)); +#5560=LINE('',#5559,#5558); +#5561=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5562=VECTOR('',#5561,1.E0); +#5563=CARTESIAN_POINT('',(3.345E1,-1.605E1,-2.05E1)); +#5564=LINE('',#5563,#5562); +#5565=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5566=VECTOR('',#5565,6.69E1); +#5567=CARTESIAN_POINT('',(3.345E1,-1.605E1,-2.15E1)); +#5568=LINE('',#5567,#5566); +#5569=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.05E1)); +#5570=DIRECTION('',(0.E0,0.E0,1.E0)); +#5571=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5572=AXIS2_PLACEMENT_3D('',#5569,#5570,#5571); +#5574=DIRECTION('',(0.E0,2.842170943040E-14,-1.E0)); +#5575=VECTOR('',#5574,1.E0); +#5576=CARTESIAN_POINT('',(3.845E1,-1.105E1,-2.05E1)); +#5577=LINE('',#5576,#5575); +#5578=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.15E1)); +#5579=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5580=DIRECTION('',(1.E0,0.E0,0.E0)); +#5581=AXIS2_PLACEMENT_3D('',#5578,#5579,#5580); +#5583=CARTESIAN_POINT('',(3.795E1,-1.105E1,-2.15E1)); +#5584=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5585=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5586=AXIS2_PLACEMENT_3D('',#5583,#5584,#5585); +#5588=CARTESIAN_POINT('',(3.345E1,-1.555E1,-2.15E1)); +#5589=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5590=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5591=AXIS2_PLACEMENT_3D('',#5588,#5589,#5590); +#5593=CARTESIAN_POINT('',(3.795E1,-8.55E0,-2.25E1)); +#5594=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5595=DIRECTION('',(0.E0,0.E0,1.E0)); +#5596=AXIS2_PLACEMENT_3D('',#5593,#5594,#5595); +#5598=CARTESIAN_POINT('',(3.795E1,-8.55E0,-2.15E1)); +#5599=DIRECTION('',(0.E0,1.E0,0.E0)); +#5600=DIRECTION('',(1.E0,0.E0,0.E0)); +#5601=AXIS2_PLACEMENT_3D('',#5598,#5599,#5600); +#5603=DIRECTION('',(-1.E0,0.E0,-1.170757384172E-13)); +#5604=VECTOR('',#5603,1.153126357516E0); +#5605=CARTESIAN_POINT('',(3.795E1,-8.05E0,-2.25E1)); +#5606=LINE('',#5605,#5604); +#5607=CARTESIAN_POINT('',(3.795E1,-8.05E0,-2.15E1)); +#5608=DIRECTION('',(0.E0,1.E0,0.E0)); +#5609=DIRECTION('',(5.E-1,0.E0,-8.660254037844E-1)); +#5610=AXIS2_PLACEMENT_3D('',#5607,#5608,#5609); +#5612=DIRECTION('',(0.E0,0.E0,1.E0)); +#5613=VECTOR('',#5612,6.133974596216E0); +#5614=CARTESIAN_POINT('',(3.845E1,-8.05E0,-2.85E1)); +#5615=LINE('',#5614,#5613); +#5616=CARTESIAN_POINT('',(3.679687364248E1,-8.05E0,-2.8E1)); +#5617=CARTESIAN_POINT('',(3.685372004485E1,-8.05E0,-2.804019647660E1)); +#5618=CARTESIAN_POINT('',(3.696273950481E1,-8.05E0,-2.811719341437E1)); +#5619=CARTESIAN_POINT('',(3.711571833250E1,-8.05E0,-2.821880398299E1)); +#5620=CARTESIAN_POINT('',(3.725783042963E1,-8.05E0,-2.830345148912E1)); +#5621=CARTESIAN_POINT('',(3.739213268946E1,-8.05E0,-2.837204433524E1)); +#5622=CARTESIAN_POINT('',(3.751487403066E1,-8.05E0,-2.842332669039E1)); +#5623=CARTESIAN_POINT('',(3.762672631635E1,-8.05E0,-2.845967796476E1)); +#5624=CARTESIAN_POINT('',(3.772953335311E1,-8.05E0,-2.848361571597E1)); +#5625=CARTESIAN_POINT('',(3.782510048932E1,-8.05E0,-2.849712054385E1)); +#5626=CARTESIAN_POINT('',(3.788559711506E1,-8.05E0,-2.85E1)); +#5627=CARTESIAN_POINT('',(3.791490763123E1,-8.05E0,-2.85E1)); +#5629=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5630=VECTOR('',#5629,5.5E0); +#5631=CARTESIAN_POINT('',(3.679687364248E1,-8.05E0,-2.25E1)); +#5632=LINE('',#5631,#5630); +#5633=CARTESIAN_POINT('',(3.679687364248E1,-8.55E0,-2.25E1)); +#5634=DIRECTION('',(1.E0,0.E0,0.E0)); +#5635=DIRECTION('',(0.E0,1.E0,0.E0)); +#5636=AXIS2_PLACEMENT_3D('',#5633,#5634,#5635); +#5638=CARTESIAN_POINT('',(3.679687364248E1,-9.05E0,-2.25E1)); +#5639=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5640=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#5641=AXIS2_PLACEMENT_3D('',#5638,#5639,#5640); +#5643=CARTESIAN_POINT('',(3.544804602655E1,-8.39375E0,-2.8E1)); +#5644=DIRECTION('',(4.375E-1,8.992184106211E-1,0.E0)); +#5645=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#5646=AXIS2_PLACEMENT_3D('',#5643,#5644,#5645); +#5648=CARTESIAN_POINT('',(3.679687364248E1,-9.05E0,-2.8E1)); +#5649=DIRECTION('',(0.E0,0.E0,1.E0)); +#5650=DIRECTION('',(0.E0,1.E0,0.E0)); +#5651=AXIS2_PLACEMENT_3D('',#5648,#5649,#5650); +#5653=CARTESIAN_POINT('',(3.32E1,-9.8E0,-2.8E1)); +#5654=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5655=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5656=AXIS2_PLACEMENT_3D('',#5653,#5654,#5655); +#5658=CARTESIAN_POINT('',(3.075E1,-9.8E0,-2.8E1)); +#5659=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5660=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5661=AXIS2_PLACEMENT_3D('',#5658,#5659,#5660); +#5663=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5664=VECTOR('',#5663,2.45E0); +#5665=CARTESIAN_POINT('',(3.32E1,-1.03E1,-2.8E1)); +#5666=LINE('',#5665,#5664); +#5667=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5668=VECTOR('',#5667,2.45E0); +#5669=CARTESIAN_POINT('',(3.32E1,-1.03E1,-2.25E1)); +#5670=LINE('',#5669,#5668); +#5671=DIRECTION('',(-2.842170943040E-14,0.E0,1.E0)); +#5672=VECTOR('',#5671,5.5E0); +#5673=CARTESIAN_POINT('',(3.32E1,-1.03E1,-2.8E1)); +#5674=LINE('',#5673,#5672); +#5675=DIRECTION('',(0.E0,0.E0,1.E0)); +#5676=VECTOR('',#5675,5.5E0); +#5677=CARTESIAN_POINT('',(3.075E1,-1.03E1,-2.8E1)); +#5678=LINE('',#5677,#5676); +#5679=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5680=VECTOR('',#5679,1.27E0); +#5681=CARTESIAN_POINT('',(3.075E1,-9.8E0,-2.85E1)); +#5682=LINE('',#5681,#5680); +#5683=CARTESIAN_POINT('',(3.075E1,-1.08E1,-2.25E1)); +#5684=DIRECTION('',(1.E0,0.E0,0.E0)); +#5685=DIRECTION('',(0.E0,1.E0,0.E0)); +#5686=AXIS2_PLACEMENT_3D('',#5683,#5684,#5685); +#5688=CARTESIAN_POINT('',(3.32E1,-1.08E1,-2.25E1)); +#5689=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5690=DIRECTION('',(0.E0,0.E0,1.E0)); +#5691=AXIS2_PLACEMENT_3D('',#5688,#5689,#5690); +#5693=CARTESIAN_POINT('',(3.634726443717E1,-8.83125E0,-2.25E1)); +#5694=DIRECTION('',(-4.375E-1,-8.992184106211E-1,0.E0)); +#5695=DIRECTION('',(0.E0,0.E0,1.E0)); +#5696=AXIS2_PLACEMENT_3D('',#5693,#5694,#5695); +#5698=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.8E1)); +#5699=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5700=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#5701=AXIS2_PLACEMENT_3D('',#5698,#5699,#5700); +#5703=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.25E1)); +#5704=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5705=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#5706=AXIS2_PLACEMENT_3D('',#5703,#5704,#5705); +#5708=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5709=VECTOR('',#5708,5.5E0); +#5710=CARTESIAN_POINT('',(3.589765523186E1,-8.6125E0,-2.25E1)); +#5711=LINE('',#5710,#5709); +#5712=CARTESIAN_POINT('',(-3.634726443717E1,-8.83125E0,-2.25E1)); +#5713=DIRECTION('',(4.375E-1,-8.992184106211E-1,0.E0)); +#5714=DIRECTION('',(8.992184106211E-1,4.375E-1,0.E0)); +#5715=AXIS2_PLACEMENT_3D('',#5712,#5713,#5714); +#5717=CARTESIAN_POINT('',(-3.679687364248E1,-9.05E0,-2.25E1)); +#5718=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5719=DIRECTION('',(0.E0,1.E0,0.E0)); +#5720=AXIS2_PLACEMENT_3D('',#5717,#5718,#5719); +#5722=CARTESIAN_POINT('',(-3.32E1,-1.08E1,-2.25E1)); +#5723=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5724=DIRECTION('',(0.E0,2.486899575160E-14,1.E0)); +#5725=AXIS2_PLACEMENT_3D('',#5722,#5723,#5724); +#5727=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5728=VECTOR('',#5727,2.45E0); +#5729=CARTESIAN_POINT('',(-3.075E1,-1.03E1,-2.8E1)); +#5730=LINE('',#5729,#5728); +#5731=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5732=VECTOR('',#5731,2.45E0); +#5733=CARTESIAN_POINT('',(-3.075E1,-1.03E1,-2.25E1)); +#5734=LINE('',#5733,#5732); +#5735=DIRECTION('',(0.E0,0.E0,1.E0)); +#5736=VECTOR('',#5735,5.5E0); +#5737=CARTESIAN_POINT('',(-3.075E1,-1.03E1,-2.8E1)); +#5738=LINE('',#5737,#5736); +#5739=CARTESIAN_POINT('',(3.795E1,9.55E0,-2.25E1)); +#5740=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5741=DIRECTION('',(0.E0,1.065814103640E-14,1.E0)); +#5742=AXIS2_PLACEMENT_3D('',#5739,#5740,#5741); +#5744=CARTESIAN_POINT('',(3.795E1,9.55E0,-2.15E1)); +#5745=DIRECTION('',(0.E0,1.E0,0.E0)); +#5746=DIRECTION('',(1.E0,0.E0,0.E0)); +#5747=AXIS2_PLACEMENT_3D('',#5744,#5745,#5746); +#5749=DIRECTION('',(-1.E0,0.E0,-5.088454208447E-14)); +#5750=VECTOR('',#5749,2.653126357515E0); +#5751=CARTESIAN_POINT('',(3.795E1,1.005E1,-2.25E1)); +#5752=LINE('',#5751,#5750); +#5753=CARTESIAN_POINT('',(3.795E1,1.005E1,-2.15E1)); +#5754=DIRECTION('',(0.E0,1.E0,0.E0)); +#5755=DIRECTION('',(5.E-1,0.E0,-8.660254037844E-1)); +#5756=AXIS2_PLACEMENT_3D('',#5753,#5754,#5755); +#5758=DIRECTION('',(0.E0,0.E0,1.E0)); +#5759=VECTOR('',#5758,6.133974596216E0); +#5760=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.85E1)); +#5761=LINE('',#5760,#5759); +#5762=CARTESIAN_POINT('',(3.529687364248E1,1.005E1,-2.8E1)); +#5763=CARTESIAN_POINT('',(3.535372003251E1,1.005E1,-2.804019646788E1)); +#5764=CARTESIAN_POINT('',(3.546273947963E1,1.005E1,-2.811719339659E1)); +#5765=CARTESIAN_POINT('',(3.561571831100E1,1.005E1,-2.821880397042E1)); +#5766=CARTESIAN_POINT('',(3.575783047511E1,1.005E1,-2.830345151573E1)); +#5767=CARTESIAN_POINT('',(3.589213273250E1,1.005E1,-2.837204435419E1)); +#5768=CARTESIAN_POINT('',(3.601487402684E1,1.005E1,-2.842332668765E1)); +#5769=CARTESIAN_POINT('',(3.612672628603E1,1.005E1,-2.845967795580E1)); +#5770=CARTESIAN_POINT('',(3.622953331878E1,1.005E1,-2.848361570979E1)); +#5771=CARTESIAN_POINT('',(3.632510047370E1,1.005E1,-2.849712054294E1)); +#5772=CARTESIAN_POINT('',(3.638559710930E1,1.005E1,-2.85E1)); +#5773=CARTESIAN_POINT('',(3.641490763123E1,1.005E1,-2.85E1)); +#5775=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5776=VECTOR('',#5775,5.5E0); +#5777=CARTESIAN_POINT('',(3.529687364248E1,1.005E1,-2.25E1)); +#5778=LINE('',#5777,#5776); +#5779=CARTESIAN_POINT('',(3.529687364248E1,9.55E0,-2.25E1)); +#5780=DIRECTION('',(1.E0,0.E0,0.E0)); +#5781=DIRECTION('',(0.E0,1.E0,0.E0)); +#5782=AXIS2_PLACEMENT_3D('',#5779,#5780,#5781); +#5784=CARTESIAN_POINT('',(3.529687364248E1,9.05E0,-2.25E1)); +#5785=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5786=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#5787=AXIS2_PLACEMENT_3D('',#5784,#5785,#5786); +#5789=CARTESIAN_POINT('',(3.394804602655E1,9.70625E0,-2.8E1)); +#5790=DIRECTION('',(4.375E-1,8.992184106211E-1,0.E0)); +#5791=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#5792=AXIS2_PLACEMENT_3D('',#5789,#5790,#5791); +#5794=CARTESIAN_POINT('',(3.529687364248E1,9.05E0,-2.8E1)); +#5795=DIRECTION('',(0.E0,0.E0,1.E0)); +#5796=DIRECTION('',(0.E0,1.E0,0.E0)); +#5797=AXIS2_PLACEMENT_3D('',#5794,#5795,#5796); +#5799=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5800=VECTOR('',#5799,5.5E0); +#5801=CARTESIAN_POINT('',(3.439765523186E1,1.21125E1,-2.25E1)); +#5802=LINE('',#5801,#5800); +#5803=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5804=VECTOR('',#5803,5.5E0); +#5805=CARTESIAN_POINT('',(3.439765523186E1,9.4875E0,-2.25E1)); +#5806=LINE('',#5805,#5804); +#5807=CARTESIAN_POINT('',(3.484726443717E1,1.233125E1,-2.25E1)); +#5808=DIRECTION('',(-4.375E-1,8.992184106211E-1,0.E0)); +#5809=DIRECTION('',(-8.992184106211E-1,-4.375E-1,0.E0)); +#5810=AXIS2_PLACEMENT_3D('',#5807,#5808,#5809); +#5812=CARTESIAN_POINT('',(3.529687364248E1,1.255E1,-2.25E1)); +#5813=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5814=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5815=AXIS2_PLACEMENT_3D('',#5812,#5813,#5814); +#5817=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.25E1)); +#5818=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5819=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#5820=AXIS2_PLACEMENT_3D('',#5817,#5818,#5819); +#5822=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.2E1)); +#5823=DIRECTION('',(0.E0,0.E0,1.E0)); +#5824=DIRECTION('',(8.992228738700E-1,4.374908263140E-1,0.E0)); +#5825=AXIS2_PLACEMENT_3D('',#5822,#5823,#5824); +#5827=CARTESIAN_POINT('',(3.484726443717E1,9.26875E0,-2.25E1)); +#5828=DIRECTION('',(-4.375E-1,-8.992184106211E-1,0.E0)); +#5829=DIRECTION('',(0.E0,0.E0,1.E0)); +#5830=AXIS2_PLACEMENT_3D('',#5827,#5828,#5829); +#5832=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5833=VECTOR('',#5832,6.69E1); +#5834=CARTESIAN_POINT('',(3.345E1,1.605E1,-2.05E1)); +#5835=LINE('',#5834,#5833); +#5836=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5837=VECTOR('',#5836,1.E0); +#5838=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.05E1)); +#5839=LINE('',#5838,#5837); +#5840=DIRECTION('',(1.E0,0.E0,0.E0)); +#5841=VECTOR('',#5840,6.69E1); +#5842=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.15E1)); +#5843=LINE('',#5842,#5841); +#5844=CARTESIAN_POINT('',(-3.842493718319E1,1.155000004649E1, +-2.231854263082E1)); +#5845=CARTESIAN_POINT('',(-3.842493719086E1,1.154999997011E1, +-2.229142459411E1)); +#5846=CARTESIAN_POINT('',(-3.842463809292E1,1.155299036822E1, +-2.223576675085E1)); +#5847=CARTESIAN_POINT('',(-3.842317954252E1,1.156730789437E1, +-2.214804289481E1)); +#5848=CARTESIAN_POINT('',(-3.842051052953E1,1.159253410751E1, +-2.205599047532E1)); +#5849=CARTESIAN_POINT('',(-3.841630508078E1,1.163005361903E1, +-2.195932999819E1)); +#5850=CARTESIAN_POINT('',(-3.841002514915E1,1.168195087142E1, +-2.185699815952E1)); +#5851=CARTESIAN_POINT('',(-3.840071735727E1,1.175181856392E1, +-2.174674592844E1)); +#5852=CARTESIAN_POINT('',(-3.838710682266E1,1.184278583550E1, +-2.162809785660E1)); +#5853=CARTESIAN_POINT('',(-3.837424238198E1,1.191808832609E1, +-2.154372525415E1)); +#5854=CARTESIAN_POINT('',(-3.836666081835E1,1.195909091780E1,-2.15E1)); +#5856=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.15E1)); +#5857=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5858=DIRECTION('',(-9.833321656460E-1,1.818181839255E-1,0.E0)); +#5859=AXIS2_PLACEMENT_3D('',#5856,#5857,#5858); +#5861=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.05E1)); +#5862=DIRECTION('',(0.E0,0.E0,1.E0)); +#5863=DIRECTION('',(0.E0,1.E0,0.E0)); +#5864=AXIS2_PLACEMENT_3D('',#5861,#5862,#5863); +#5866=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5867=VECTOR('',#5866,8.E0); +#5868=CARTESIAN_POINT('',(-3.845E1,1.105E1,-2.05E1)); +#5869=LINE('',#5868,#5867); +#5870=DIRECTION('',(-1.E0,4.178177960115E-8,3.635764966815E-14)); +#5871=VECTOR('',#5870,2.540608551210E0); +#5872=CARTESIAN_POINT('',(-3.529687364248E1,1.155E1,-2.25E1)); +#5873=LINE('',#5872,#5871); +#5874=CARTESIAN_POINT('',(-3.842493718319E1,1.155000004649E1, +-2.231854263082E1)); +#5875=CARTESIAN_POINT('',(-3.840114295098E1,1.155000011168E1, +-2.233491361664E1)); +#5876=CARTESIAN_POINT('',(-3.835198947398E1,1.154999994837E1, +-2.236576673348E1)); +#5877=CARTESIAN_POINT('',(-3.827289560058E1,1.155000001317E1, +-2.240639669553E1)); +#5878=CARTESIAN_POINT('',(-3.818975362778E1,1.154999999894E1, +-2.244032408697E1)); +#5879=CARTESIAN_POINT('',(-3.810345029959E1,1.154999999107E1, +-2.246704673510E1)); +#5880=CARTESIAN_POINT('',(-3.801517711747E1,1.155000003678E1, +-2.248616699157E1)); +#5881=CARTESIAN_POINT('',(-3.792592630631E1,1.154999986182E1, +-2.249754185189E1)); +#5882=CARTESIAN_POINT('',(-3.786694238785E1,1.155000029791E1, +-2.249999962415E1)); +#5883=CARTESIAN_POINT('',(-3.783748219369E1,1.155000010615E1,-2.25E1)); +#5885=DIRECTION('',(3.798288913987E-10,7.521597034357E-9,1.E0)); +#5886=VECTOR('',#5885,6.181457369179E0); +#5887=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.85E1)); +#5888=LINE('',#5887,#5886); +#5889=DIRECTION('',(1.E0,2.032617242808E-14,0.E0)); +#5890=VECTOR('',#5889,2.010029554299E0); +#5891=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.85E1)); +#5892=LINE('',#5891,#5890); +#5893=CARTESIAN_POINT('',(-3.529687364248E1,1.155E1,-2.8E1)); +#5894=CARTESIAN_POINT('',(-3.535372003251E1,1.155E1,-2.804019646788E1)); +#5895=CARTESIAN_POINT('',(-3.546273947963E1,1.155E1,-2.811719339659E1)); +#5896=CARTESIAN_POINT('',(-3.561571831100E1,1.155E1,-2.821880397042E1)); +#5897=CARTESIAN_POINT('',(-3.575783047511E1,1.155E1,-2.830345151573E1)); +#5898=CARTESIAN_POINT('',(-3.589213273250E1,1.155E1,-2.837204435419E1)); +#5899=CARTESIAN_POINT('',(-3.601487402684E1,1.155E1,-2.842332668765E1)); +#5900=CARTESIAN_POINT('',(-3.612672628603E1,1.155E1,-2.845967795580E1)); +#5901=CARTESIAN_POINT('',(-3.622953331878E1,1.155E1,-2.848361570979E1)); +#5902=CARTESIAN_POINT('',(-3.632510047370E1,1.155E1,-2.849712054294E1)); +#5903=CARTESIAN_POINT('',(-3.638559710930E1,1.155E1,-2.85E1)); +#5904=CARTESIAN_POINT('',(-3.641490763123E1,1.155E1,-2.85E1)); +#5906=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5907=VECTOR('',#5906,5.5E0); +#5908=CARTESIAN_POINT('',(-3.529687364248E1,1.155E1,-2.25E1)); +#5909=LINE('',#5908,#5907); +#5910=CARTESIAN_POINT('',(-3.529687364248E1,1.205E1,-2.25E1)); +#5911=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5912=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5913=AXIS2_PLACEMENT_3D('',#5910,#5911,#5912); +#5915=CARTESIAN_POINT('',(-3.783748220833E1,1.205E1,-2.249999956707E1)); +#5916=DIRECTION('',(1.E0,0.E0,-9.148612702499E-8)); +#5917=DIRECTION('',(9.148612586966E-8,0.E0,1.E0)); +#5918=AXIS2_PLACEMENT_3D('',#5915,#5916,#5917); +#5920=CARTESIAN_POINT('',(-3.836666081835E1,1.195909091780E1,-2.15E1)); +#5921=CARTESIAN_POINT('',(-3.836666089638E1,1.195909087301E1, +-2.151678542271E1)); +#5922=CARTESIAN_POINT('',(-3.836489395922E1,1.195934243406E1, +-2.155035600186E1)); +#5923=CARTESIAN_POINT('',(-3.835696617153E1,1.196047456446E1, +-2.160020511827E1)); +#5924=CARTESIAN_POINT('',(-3.834384214901E1,1.196236180273E1, +-2.164902939927E1)); +#5925=CARTESIAN_POINT('',(-3.832560982145E1,1.196501096713E1, +-2.169642328726E1)); +#5926=CARTESIAN_POINT('',(-3.830238538303E1,1.196843203483E1, +-2.174197037991E1)); +#5927=CARTESIAN_POINT('',(-3.827423675677E1,1.197265084176E1, +-2.178527637188E1)); +#5928=CARTESIAN_POINT('',(-3.824180255955E1,1.197761116982E1, +-2.182542106992E1)); +#5929=CARTESIAN_POINT('',(-3.820457965352E1,1.198344146273E1, +-2.186276898548E1)); +#5930=CARTESIAN_POINT('',(-3.816546078412E1,1.198973522434E1, +-2.189466240990E1)); +#5931=CARTESIAN_POINT('',(-3.812601264485E1,1.199625459329E1, +-2.192115513120E1)); +#5932=CARTESIAN_POINT('',(-3.808356961967E1,1.200346994069E1, +-2.194444251961E1)); +#5933=CARTESIAN_POINT('',(-3.803847995013E1,1.201137541422E1, +-2.196407396275E1)); +#5934=CARTESIAN_POINT('',(-3.799095821735E1,1.201999040777E1, +-2.197975510085E1)); +#5935=CARTESIAN_POINT('',(-3.794328334071E1,1.202893491074E1, +-2.199089755657E1)); +#5936=CARTESIAN_POINT('',(-3.789275868405E1,1.203875150751E1, +-2.199816324281E1)); +#5937=CARTESIAN_POINT('',(-3.785626075617E1,1.204612168718E1, +-2.199999980436E1)); +#5938=CARTESIAN_POINT('',(-3.783748199674E1,1.205E1,-2.199999994588E1)); +#5940=CARTESIAN_POINT('',(-3.345E1,1.555E1,-2.15E1)); +#5941=DIRECTION('',(1.E0,0.E0,0.E0)); +#5942=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5943=AXIS2_PLACEMENT_3D('',#5940,#5941,#5942); +#5945=CARTESIAN_POINT('',(3.345E1,1.555E1,-2.15E1)); +#5946=DIRECTION('',(1.E0,0.E0,0.E0)); +#5947=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5948=AXIS2_PLACEMENT_3D('',#5945,#5946,#5947); +#5950=CARTESIAN_POINT('',(-3.529687364248E1,1.255E1,-2.25E1)); +#5951=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5952=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#5953=AXIS2_PLACEMENT_3D('',#5950,#5951,#5952); +#5955=CARTESIAN_POINT('',(-3.484726443717E1,1.233125E1,-2.25E1)); +#5956=DIRECTION('',(4.375E-1,8.992184106211E-1,0.E0)); +#5957=DIRECTION('',(0.E0,0.E0,1.E0)); +#5958=AXIS2_PLACEMENT_3D('',#5955,#5956,#5957); +#5960=CARTESIAN_POINT('',(-3.394804602655E1,1.189375E1,-2.8E1)); +#5961=DIRECTION('',(-4.375E-1,-8.992184106211E-1,0.E0)); +#5962=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#5963=AXIS2_PLACEMENT_3D('',#5960,#5961,#5962); +#5965=CARTESIAN_POINT('',(-3.529687364248E1,1.255E1,-2.8E1)); +#5966=DIRECTION('',(0.E0,0.E0,1.E0)); +#5967=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5968=AXIS2_PLACEMENT_3D('',#5965,#5966,#5967); +#5970=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.25E1)); +#5971=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5972=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#5973=AXIS2_PLACEMENT_3D('',#5970,#5971,#5972); +#5975=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.2E1)); +#5976=DIRECTION('',(0.E0,0.E0,1.E0)); +#5977=DIRECTION('',(-8.992228738701E-1,-4.374908263139E-1,0.E0)); +#5978=AXIS2_PLACEMENT_3D('',#5975,#5976,#5977); +#5980=CARTESIAN_POINT('',(-3.484726443717E1,9.26875E0,-2.25E1)); +#5981=DIRECTION('',(4.375E-1,-8.992184106211E-1,0.E0)); +#5982=DIRECTION('',(8.992184106211E-1,4.375E-1,0.E0)); +#5983=AXIS2_PLACEMENT_3D('',#5980,#5981,#5982); +#5985=CARTESIAN_POINT('',(-3.529687364248E1,9.05E0,-2.25E1)); +#5986=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5987=DIRECTION('',(0.E0,1.E0,0.E0)); +#5988=AXIS2_PLACEMENT_3D('',#5985,#5986,#5987); +#5990=CARTESIAN_POINT('',(-3.529687364248E1,9.05E0,-2.8E1)); +#5991=DIRECTION('',(0.E0,0.E0,1.E0)); +#5992=DIRECTION('',(8.992184106211E-1,4.375E-1,0.E0)); +#5993=AXIS2_PLACEMENT_3D('',#5990,#5991,#5992); +#5995=CARTESIAN_POINT('',(-3.394802574490E1,9.706241671128E0, +-2.849999999972E1)); +#5996=CARTESIAN_POINT('',(-3.396612653749E1,9.697446234276E0, +-2.849999999972E1)); +#5997=CARTESIAN_POINT('',(-3.400234962542E1,9.679832716399E0, +-2.849756759566E1)); +#5998=CARTESIAN_POINT('',(-3.405588477832E1,9.653781951218E0, +-2.848665951736E1)); +#5999=CARTESIAN_POINT('',(-3.410784922044E1,9.628500604427E0, +-2.846865523966E1)); +#6000=CARTESIAN_POINT('',(-3.415748287164E1,9.604351868517E0, +-2.844381605745E1)); +#6001=CARTESIAN_POINT('',(-3.420406209925E1,9.581689586816E0, +-2.841250478202E1)); +#6002=CARTESIAN_POINT('',(-3.424690790670E1,9.560843639266E0, +-2.837517768055E1)); +#6003=CARTESIAN_POINT('',(-3.428539525646E1,9.542118254851E0, +-2.833237928480E1)); +#6004=CARTESIAN_POINT('',(-3.431896294733E1,9.525786442747E0, +-2.828473367687E1)); +#6005=CARTESIAN_POINT('',(-3.434712143883E1,9.512086389990E0, +-2.823293567930E1)); +#6006=CARTESIAN_POINT('',(-3.436946005689E1,9.501217900886E0, +-2.817774075352E1)); +#6007=CARTESIAN_POINT('',(-3.438565306925E1,9.493339455785E0, +-2.811995374285E1)); +#6008=CARTESIAN_POINT('',(-3.439546430609E1,9.488565959073E0, +-2.806041740456E1)); +#6009=CARTESIAN_POINT('',(-3.439765523186E1,9.4875E0,-2.802013916220E1)); +#6010=CARTESIAN_POINT('',(-3.439765523186E1,9.4875E0,-2.8E1)); +#6012=CARTESIAN_POINT('',(-3.795E1,9.55E0,-2.15E1)); +#6013=DIRECTION('',(0.E0,1.E0,0.E0)); +#6014=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6015=AXIS2_PLACEMENT_3D('',#6012,#6013,#6014); +#6017=CARTESIAN_POINT('',(-3.795E1,9.55E0,-2.25E1)); +#6018=DIRECTION('',(1.E0,0.E0,0.E0)); +#6019=DIRECTION('',(0.E0,1.E0,0.E0)); +#6020=AXIS2_PLACEMENT_3D('',#6017,#6018,#6019); +#6022=CARTESIAN_POINT('',(-3.795E1,-6.05E0,-2.25E1)); +#6023=DIRECTION('',(1.E0,0.E0,0.E0)); +#6024=DIRECTION('',(0.E0,0.E0,1.E0)); +#6025=AXIS2_PLACEMENT_3D('',#6022,#6023,#6024); +#6027=CARTESIAN_POINT('',(-3.795E1,-6.05E0,-2.15E1)); +#6028=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6029=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6030=AXIS2_PLACEMENT_3D('',#6027,#6028,#6029); +#6032=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6033=VECTOR('',#6032,7.690529061079E0); +#6034=CARTESIAN_POINT('',(-2.47E1,-5.093154304743E0,-2.2E1)); +#6035=LINE('',#6034,#6033); +#6036=DIRECTION('',(0.E0,7.943578329772E-1,-6.074501075708E-1)); +#6037=VECTOR('',#6036,2.320307332823E0); +#6038=CARTESIAN_POINT('',(-2.47E1,-5.093154304743E0,-2.969052906108E1)); +#6039=LINE('',#6038,#6037); +#6040=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6041=VECTOR('',#6040,9.1E0); +#6042=CARTESIAN_POINT('',(-2.47E1,-3.25E0,-2.2E1)); +#6043=LINE('',#6042,#6041); +#6044=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6045=VECTOR('',#6044,7.444982324106E0); +#6046=CARTESIAN_POINT('',(-2.47E1,5.5E0,-2.2E1)); +#6047=LINE('',#6046,#6045); +#6048=CARTESIAN_POINT('',(-2.47E1,7.5E-1,-3.32E1)); +#6049=DIRECTION('',(1.E0,0.E0,0.E0)); +#6050=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6051=AXIS2_PLACEMENT_3D('',#6048,#6049,#6050); +#6053=DIRECTION('',(0.E0,3.197439046264E-1,9.475040028698E-1)); +#6054=VECTOR('',#6053,5.076677825732E0); +#6055=CARTESIAN_POINT('',(-2.47E1,3.876763209470E0,-3.425515488527E1)); +#6056=LINE('',#6055,#6054); +#6057=DIRECTION('',(2.919941300220E-1,4.782100553190E-1,-8.282841125028E-1)); +#6058=VECTOR('',#6057,6.157307526508E0); +#6059=CARTESIAN_POINT('',(2.173778607136E1,-9.944486372867E0,-2.2E1)); +#6060=LINE('',#6059,#6058); +#6061=DIRECTION('',(-2.919941300220E-1,4.782100553190E-1,-8.282841125028E-1)); +#6062=VECTOR('',#6061,6.157307526508E0); +#6063=CARTESIAN_POINT('',(-2.173778607136E1,-9.944486372867E0,-2.2E1)); +#6064=LINE('',#6063,#6062); +#6065=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6066=VECTOR('',#6065,7.690529061079E0); +#6067=CARTESIAN_POINT('',(2.47E1,-5.093154304743E0,-2.2E1)); +#6068=LINE('',#6067,#6066); +#6069=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6070=VECTOR('',#6069,9.1E0); +#6071=CARTESIAN_POINT('',(2.47E1,-3.25E0,-2.2E1)); +#6072=LINE('',#6071,#6070); +#6073=DIRECTION('',(0.E0,7.943578329772E-1,-6.074501075708E-1)); +#6074=VECTOR('',#6073,2.320307332823E0); +#6075=CARTESIAN_POINT('',(2.47E1,-5.093154304743E0,-2.969052906108E1)); +#6076=LINE('',#6075,#6074); +#6077=DIRECTION('',(0.E0,0.E0,1.E0)); +#6078=VECTOR('',#6077,7.444982324106E0); +#6079=CARTESIAN_POINT('',(2.47E1,5.5E0,-2.944498232411E1)); +#6080=LINE('',#6079,#6078); +#6081=DIRECTION('',(0.E0,3.197439046264E-1,9.475040028698E-1)); +#6082=VECTOR('',#6081,5.076677825732E0); +#6083=CARTESIAN_POINT('',(2.47E1,3.876763209470E0,-3.425515488527E1)); +#6084=LINE('',#6083,#6082); +#6085=CARTESIAN_POINT('',(2.47E1,7.5E-1,-3.32E1)); +#6086=DIRECTION('',(1.E0,0.E0,0.E0)); +#6087=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6088=AXIS2_PLACEMENT_3D('',#6085,#6086,#6087); +#6090=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6091=VECTOR('',#6090,1.4E0); +#6092=CARTESIAN_POINT('',(2.47E1,-3.25E0,-2.2E1)); +#6093=LINE('',#6092,#6091); +#6094=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6095=VECTOR('',#6094,9.1E0); +#6096=CARTESIAN_POINT('',(2.33E1,-3.25E0,-2.2E1)); +#6097=LINE('',#6096,#6095); +#6098=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6099=VECTOR('',#6098,4.E0); +#6100=CARTESIAN_POINT('',(2.33E1,7.5E-1,-2.2E1)); +#6101=LINE('',#6100,#6099); +#6102=DIRECTION('',(0.E0,0.E0,1.E0)); +#6103=VECTOR('',#6102,1.31E1); +#6104=CARTESIAN_POINT('',(2.33E1,7.5E-1,-3.51E1)); +#6105=LINE('',#6104,#6103); +#6106=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6107=VECTOR('',#6106,1.4E0); +#6108=CARTESIAN_POINT('',(2.33E1,-3.25E0,-3.11E1)); +#6109=LINE('',#6108,#6107); +#6110=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6111=VECTOR('',#6110,1.4E0); +#6112=CARTESIAN_POINT('',(-2.33E1,-3.25E0,-3.11E1)); +#6113=LINE('',#6112,#6111); +#6114=DIRECTION('',(1.E0,0.E0,0.E0)); +#6115=VECTOR('',#6114,4.66E1); +#6116=CARTESIAN_POINT('',(-2.33E1,-3.25E0,-3.11E1)); +#6117=LINE('',#6116,#6115); +#6118=CARTESIAN_POINT('',(-2.E1,-3.25E0,-3.18E1)); +#6119=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6120=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6121=AXIS2_PLACEMENT_3D('',#6118,#6119,#6120); +#6123=CARTESIAN_POINT('',(-2.E1,-3.25E0,-3.18E1)); +#6124=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6125=DIRECTION('',(0.E0,0.E0,1.E0)); +#6126=AXIS2_PLACEMENT_3D('',#6123,#6124,#6125); +#6128=CARTESIAN_POINT('',(-1.6E1,-3.25E0,-3.18E1)); +#6129=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6130=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6131=AXIS2_PLACEMENT_3D('',#6128,#6129,#6130); +#6133=CARTESIAN_POINT('',(-1.6E1,-3.25E0,-3.18E1)); +#6134=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6135=DIRECTION('',(0.E0,0.E0,1.E0)); +#6136=AXIS2_PLACEMENT_3D('',#6133,#6134,#6135); +#6138=CARTESIAN_POINT('',(-1.2E1,-3.25E0,-3.18E1)); +#6139=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6140=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6141=AXIS2_PLACEMENT_3D('',#6138,#6139,#6140); +#6143=CARTESIAN_POINT('',(-1.2E1,-3.25E0,-3.18E1)); +#6144=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6145=DIRECTION('',(0.E0,0.E0,1.E0)); +#6146=AXIS2_PLACEMENT_3D('',#6143,#6144,#6145); +#6148=CARTESIAN_POINT('',(-8.E0,-3.25E0,-3.18E1)); +#6149=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6150=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6151=AXIS2_PLACEMENT_3D('',#6148,#6149,#6150); +#6153=CARTESIAN_POINT('',(-8.E0,-3.25E0,-3.18E1)); +#6154=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6155=DIRECTION('',(0.E0,0.E0,1.E0)); +#6156=AXIS2_PLACEMENT_3D('',#6153,#6154,#6155); +#6158=CARTESIAN_POINT('',(-4.E0,-3.25E0,-3.18E1)); +#6159=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6160=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6161=AXIS2_PLACEMENT_3D('',#6158,#6159,#6160); +#6163=CARTESIAN_POINT('',(-4.E0,-3.25E0,-3.18E1)); +#6164=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6165=DIRECTION('',(0.E0,0.E0,1.E0)); +#6166=AXIS2_PLACEMENT_3D('',#6163,#6164,#6165); +#6168=CARTESIAN_POINT('',(0.E0,-3.25E0,-3.18E1)); +#6169=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6170=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6171=AXIS2_PLACEMENT_3D('',#6168,#6169,#6170); +#6173=CARTESIAN_POINT('',(0.E0,-3.25E0,-3.18E1)); +#6174=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6175=DIRECTION('',(0.E0,0.E0,1.E0)); +#6176=AXIS2_PLACEMENT_3D('',#6173,#6174,#6175); +#6178=CARTESIAN_POINT('',(4.E0,-3.25E0,-3.18E1)); +#6179=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6180=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6181=AXIS2_PLACEMENT_3D('',#6178,#6179,#6180); +#6183=CARTESIAN_POINT('',(4.E0,-3.25E0,-3.18E1)); +#6184=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6185=DIRECTION('',(0.E0,0.E0,1.E0)); +#6186=AXIS2_PLACEMENT_3D('',#6183,#6184,#6185); +#6188=CARTESIAN_POINT('',(8.E0,-3.25E0,-3.18E1)); +#6189=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6190=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6191=AXIS2_PLACEMENT_3D('',#6188,#6189,#6190); +#6193=CARTESIAN_POINT('',(8.E0,-3.25E0,-3.18E1)); +#6194=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6195=DIRECTION('',(0.E0,0.E0,1.E0)); +#6196=AXIS2_PLACEMENT_3D('',#6193,#6194,#6195); +#6198=CARTESIAN_POINT('',(1.2E1,-3.25E0,-3.18E1)); +#6199=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6200=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6201=AXIS2_PLACEMENT_3D('',#6198,#6199,#6200); +#6203=CARTESIAN_POINT('',(1.2E1,-3.25E0,-3.18E1)); +#6204=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6205=DIRECTION('',(0.E0,0.E0,1.E0)); +#6206=AXIS2_PLACEMENT_3D('',#6203,#6204,#6205); +#6208=CARTESIAN_POINT('',(1.6E1,-3.25E0,-3.18E1)); +#6209=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6210=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6211=AXIS2_PLACEMENT_3D('',#6208,#6209,#6210); +#6213=CARTESIAN_POINT('',(1.6E1,-3.25E0,-3.18E1)); +#6214=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6215=DIRECTION('',(0.E0,0.E0,1.E0)); +#6216=AXIS2_PLACEMENT_3D('',#6213,#6214,#6215); +#6218=CARTESIAN_POINT('',(2.E1,-3.25E0,-3.18E1)); +#6219=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6220=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6221=AXIS2_PLACEMENT_3D('',#6218,#6219,#6220); +#6223=CARTESIAN_POINT('',(2.E1,-3.25E0,-3.18E1)); +#6224=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6225=DIRECTION('',(0.E0,0.E0,1.E0)); +#6226=AXIS2_PLACEMENT_3D('',#6223,#6224,#6225); +#6228=DIRECTION('',(0.E0,1.E0,0.E0)); +#6229=VECTOR('',#6228,6.E-1); +#6230=CARTESIAN_POINT('',(2.33E1,-3.25E0,-3.25E1)); +#6231=LINE('',#6230,#6229); +#6232=DIRECTION('',(0.E0,1.E0,0.E0)); +#6233=VECTOR('',#6232,6.E-1); +#6234=CARTESIAN_POINT('',(-2.33E1,-3.25E0,-3.25E1)); +#6235=LINE('',#6234,#6233); +#6236=DIRECTION('',(1.E0,0.E0,0.E0)); +#6237=VECTOR('',#6236,4.66E1); +#6238=CARTESIAN_POINT('',(-2.33E1,-3.25E0,-3.25E1)); +#6239=LINE('',#6238,#6237); +#6240=DIRECTION('',(0.E0,7.943578329772E-1,-6.074501075708E-1)); +#6241=VECTOR('',#6240,4.280186911807E0); +#6242=CARTESIAN_POINT('',(2.33E1,-2.65E0,-3.25E1)); +#6243=LINE('',#6242,#6241); +#6244=DIRECTION('',(0.E0,7.943578329772E-1,-6.074501075708E-1)); +#6245=VECTOR('',#6244,4.280186911807E0); +#6246=CARTESIAN_POINT('',(-2.33E1,-2.65E0,-3.25E1)); +#6247=LINE('',#6246,#6245); +#6248=DIRECTION('',(1.E0,0.E0,0.E0)); +#6249=VECTOR('',#6248,4.66E1); +#6250=CARTESIAN_POINT('',(-2.33E1,-2.65E0,-3.25E1)); +#6251=LINE('',#6250,#6249); +#6252=DIRECTION('',(1.E0,0.E0,0.E0)); +#6253=VECTOR('',#6252,4.66E1); +#6254=CARTESIAN_POINT('',(-2.33E1,7.5E-1,-3.51E1)); +#6255=LINE('',#6254,#6253); +#6256=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6257=VECTOR('',#6256,1.45E1); +#6258=CARTESIAN_POINT('',(2.47E1,7.5E-1,-2.2E1)); +#6259=LINE('',#6258,#6257); +#6260=DIRECTION('',(0.E0,0.E0,1.E0)); +#6261=VECTOR('',#6260,1.45E1); +#6262=CARTESIAN_POINT('',(-2.47E1,7.5E-1,-3.65E1)); +#6263=LINE('',#6262,#6261); +#6264=DIRECTION('',(1.E0,0.E0,0.E0)); +#6265=VECTOR('',#6264,1.4E0); +#6266=CARTESIAN_POINT('',(-2.47E1,7.5E-1,-2.2E1)); +#6267=LINE('',#6266,#6265); +#6268=CARTESIAN_POINT('',(-2.2E1,7.5E-1,-3.58E1)); +#6269=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6270=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6271=AXIS2_PLACEMENT_3D('',#6268,#6269,#6270); +#6273=CARTESIAN_POINT('',(-2.2E1,7.5E-1,-3.58E1)); +#6274=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6275=DIRECTION('',(0.E0,0.E0,1.E0)); +#6276=AXIS2_PLACEMENT_3D('',#6273,#6274,#6275); +#6278=CARTESIAN_POINT('',(-1.8E1,7.5E-1,-3.58E1)); +#6279=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6280=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6281=AXIS2_PLACEMENT_3D('',#6278,#6279,#6280); +#6283=CARTESIAN_POINT('',(-1.8E1,7.5E-1,-3.58E1)); +#6284=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6285=DIRECTION('',(0.E0,0.E0,1.E0)); +#6286=AXIS2_PLACEMENT_3D('',#6283,#6284,#6285); +#6288=CARTESIAN_POINT('',(-1.4E1,7.5E-1,-3.58E1)); +#6289=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6290=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6291=AXIS2_PLACEMENT_3D('',#6288,#6289,#6290); +#6293=CARTESIAN_POINT('',(-1.4E1,7.5E-1,-3.58E1)); +#6294=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6295=DIRECTION('',(0.E0,0.E0,1.E0)); +#6296=AXIS2_PLACEMENT_3D('',#6293,#6294,#6295); +#6298=CARTESIAN_POINT('',(-1.E1,7.5E-1,-3.58E1)); +#6299=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6300=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6301=AXIS2_PLACEMENT_3D('',#6298,#6299,#6300); +#6303=CARTESIAN_POINT('',(-1.E1,7.5E-1,-3.58E1)); +#6304=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6305=DIRECTION('',(0.E0,0.E0,1.E0)); +#6306=AXIS2_PLACEMENT_3D('',#6303,#6304,#6305); +#6308=CARTESIAN_POINT('',(-6.E0,7.5E-1,-3.58E1)); +#6309=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6311=AXIS2_PLACEMENT_3D('',#6308,#6309,#6310); +#6313=CARTESIAN_POINT('',(-6.E0,7.5E-1,-3.58E1)); +#6314=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6315=DIRECTION('',(0.E0,0.E0,1.E0)); +#6316=AXIS2_PLACEMENT_3D('',#6313,#6314,#6315); +#6318=CARTESIAN_POINT('',(-2.E0,7.5E-1,-3.58E1)); +#6319=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6320=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6321=AXIS2_PLACEMENT_3D('',#6318,#6319,#6320); +#6323=CARTESIAN_POINT('',(-2.E0,7.5E-1,-3.58E1)); +#6324=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6325=DIRECTION('',(0.E0,0.E0,1.E0)); +#6326=AXIS2_PLACEMENT_3D('',#6323,#6324,#6325); +#6328=CARTESIAN_POINT('',(2.E0,7.5E-1,-3.58E1)); +#6329=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6330=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6331=AXIS2_PLACEMENT_3D('',#6328,#6329,#6330); +#6333=CARTESIAN_POINT('',(2.E0,7.5E-1,-3.58E1)); +#6334=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6335=DIRECTION('',(0.E0,0.E0,1.E0)); +#6336=AXIS2_PLACEMENT_3D('',#6333,#6334,#6335); +#6338=CARTESIAN_POINT('',(6.E0,7.5E-1,-3.58E1)); +#6339=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6340=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6341=AXIS2_PLACEMENT_3D('',#6338,#6339,#6340); +#6343=CARTESIAN_POINT('',(6.E0,7.5E-1,-3.58E1)); +#6344=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6345=DIRECTION('',(0.E0,0.E0,1.E0)); +#6346=AXIS2_PLACEMENT_3D('',#6343,#6344,#6345); +#6348=CARTESIAN_POINT('',(1.E1,7.5E-1,-3.58E1)); +#6349=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6350=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6351=AXIS2_PLACEMENT_3D('',#6348,#6349,#6350); +#6353=CARTESIAN_POINT('',(1.E1,7.5E-1,-3.58E1)); +#6354=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6355=DIRECTION('',(0.E0,0.E0,1.E0)); +#6356=AXIS2_PLACEMENT_3D('',#6353,#6354,#6355); +#6358=CARTESIAN_POINT('',(1.4E1,7.5E-1,-3.58E1)); +#6359=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6360=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6361=AXIS2_PLACEMENT_3D('',#6358,#6359,#6360); +#6363=CARTESIAN_POINT('',(1.4E1,7.5E-1,-3.58E1)); +#6364=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6365=DIRECTION('',(0.E0,0.E0,1.E0)); +#6366=AXIS2_PLACEMENT_3D('',#6363,#6364,#6365); +#6368=CARTESIAN_POINT('',(1.8E1,7.5E-1,-3.58E1)); +#6369=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6370=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6371=AXIS2_PLACEMENT_3D('',#6368,#6369,#6370); +#6373=CARTESIAN_POINT('',(1.8E1,7.5E-1,-3.58E1)); +#6374=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6375=DIRECTION('',(0.E0,0.E0,1.E0)); +#6376=AXIS2_PLACEMENT_3D('',#6373,#6374,#6375); +#6378=CARTESIAN_POINT('',(2.2E1,7.5E-1,-3.58E1)); +#6379=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6380=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6381=AXIS2_PLACEMENT_3D('',#6378,#6379,#6380); +#6383=CARTESIAN_POINT('',(2.2E1,7.5E-1,-3.58E1)); +#6384=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6385=DIRECTION('',(0.E0,0.E0,1.E0)); +#6386=AXIS2_PLACEMENT_3D('',#6383,#6384,#6385); +#6388=CARTESIAN_POINT('',(2.264098518058E1,3.876763209470E0,-3.425515488527E1)); +#6389=CARTESIAN_POINT('',(2.263981469135E1,3.851127015606E0,-3.433112317099E1)); +#6390=CARTESIAN_POINT('',(2.263705540502E1,3.794332947955E0,-3.448118680195E1)); +#6391=CARTESIAN_POINT('',(2.263129549165E1,3.692568466682E0,-3.470021035134E1)); +#6392=CARTESIAN_POINT('',(2.262361484672E1,3.575956587756E0,-3.490966367157E1)); +#6393=CARTESIAN_POINT('',(2.261363220642E1,3.444921001044E0,-3.510963818622E1)); +#6394=CARTESIAN_POINT('',(2.260068413737E1,3.297540853491E0,-3.530231759692E1)); +#6395=CARTESIAN_POINT('',(2.258451946199E1,3.137790662094E0,-3.548185675522E1)); +#6396=CARTESIAN_POINT('',(2.256565387474E1,2.974761764925E0,-3.564060076009E1)); +#6397=CARTESIAN_POINT('',(2.254454150405E1,2.813741572106E0,-3.577771108788E1)); +#6398=CARTESIAN_POINT('',(2.252190320247E1,2.660106052957E0,-3.589303645359E1)); +#6399=CARTESIAN_POINT('',(2.249858408417E1,2.518016073778E0,-3.598795718220E1)); +#6400=CARTESIAN_POINT('',(2.247505484045E1,2.388241280086E0,-3.606581573877E1)); +#6401=CARTESIAN_POINT('',(2.245158413359E1,2.270329375725E0,-3.612983356346E1)); +#6402=CARTESIAN_POINT('',(2.242824219530E1,2.163041142544E0,-3.618287831452E1)); +#6403=CARTESIAN_POINT('',(2.240516703243E1,2.065710334683E0,-3.622692833540E1)); +#6404=CARTESIAN_POINT('',(2.238241212397E1,1.977430733180E0,-3.626368167645E1)); +#6405=CARTESIAN_POINT('',(2.235990074161E1,1.897013587517E0,-3.629460756497E1)); +#6406=CARTESIAN_POINT('',(2.233745169052E1,1.823185550191E0,-3.632092167630E1)); +#6407=CARTESIAN_POINT('',(2.231466021580E1,1.754319618368E0,-3.634372197151E1)); +#6408=CARTESIAN_POINT('',(2.229110680936E1,1.689294717694E0,-3.636372408835E1)); +#6409=CARTESIAN_POINT('',(2.226722799391E1,1.629494563173E0,-3.638082311535E1)); +#6410=CARTESIAN_POINT('',(2.224366726422E1,1.576240086864E0,-3.639502971824E1)); +#6411=CARTESIAN_POINT('',(2.222047499862E1,1.529145045045E0,-3.640680893556E1)); +#6412=CARTESIAN_POINT('',(2.219741197115E1,1.487370715271E0,-3.641664855172E1)); +#6413=CARTESIAN_POINT('',(2.217413151340E1,1.450181379542E0,-3.642492964334E1)); +#6414=CARTESIAN_POINT('',(2.215057909807E1,1.417553596565E0,-3.643182425054E1)); +#6415=CARTESIAN_POINT('',(2.212701003328E1,1.389831538219E0,-3.643741174643E1)); +#6416=CARTESIAN_POINT('',(2.210356523226E1,1.367056704341E0,-3.644181768553E1)); +#6417=CARTESIAN_POINT('',(2.208814230091E1,1.355159594021E0,-3.644404213865E1)); +#6418=CARTESIAN_POINT('',(2.208049825570E1,1.35E0,-3.644499614792E1)); +#6420=CARTESIAN_POINT('',(2.191950174430E1,1.35E0,-3.644499614792E1)); +#6421=CARTESIAN_POINT('',(2.191144874699E1,1.355435629389E0,-3.644399109969E1)); +#6422=CARTESIAN_POINT('',(2.189544441004E1,1.367877075389E0,-3.644166331568E1)); +#6423=CARTESIAN_POINT('',(2.187152258582E1,1.391405362500E0,-3.643710177870E1)); +#6424=CARTESIAN_POINT('',(2.184824401720E1,1.419117298260E0,-3.643149879849E1)); +#6425=CARTESIAN_POINT('',(2.182573433291E1,1.450461800652E0,-3.642486251069E1)); +#6426=CARTESIAN_POINT('',(2.180351724153E1,1.485855073020E0,-3.641698823412E1)); +#6427=CARTESIAN_POINT('',(2.178117626568E1,1.526029778151E0,-3.640755706359E1)); +#6428=CARTESIAN_POINT('',(2.175849595561E1,1.571660350025E0,-3.639620221654E1)); +#6429=CARTESIAN_POINT('',(2.173539875555E1,1.623311785826E0,-3.638251535226E1)); +#6430=CARTESIAN_POINT('',(2.171186641748E1,1.681537900704E0,-3.636600734275E1)); +#6431=CARTESIAN_POINT('',(2.168836983509E1,1.745618483606E0,-3.634648186135E1)); +#6432=CARTESIAN_POINT('',(2.166561440619E1,1.813585172311E0,-3.632419654264E1)); +#6433=CARTESIAN_POINT('',(2.164331620249E1,1.886069694913E0,-3.629862715774E1)); +#6434=CARTESIAN_POINT('',(2.162098298905E1,1.964889895124E0,-3.626865692922E1)); +#6435=CARTESIAN_POINT('',(2.159841817297E1,2.051326456867E0,-3.623311459469E1)); +#6436=CARTESIAN_POINT('',(2.157551546443E1,2.146637248847E0,-3.619056197304E1)); +#6437=CARTESIAN_POINT('',(2.155230549962E1,2.251804940778E0,-3.613933190426E1)); +#6438=CARTESIAN_POINT('',(2.152892109403E1,2.367510582288E0,-3.607751612806E1)); +#6439=CARTESIAN_POINT('',(2.150541241587E1,2.495076551144E0,-3.600231049775E1)); +#6440=CARTESIAN_POINT('',(2.148198755064E1,2.635334178585E0,-3.591037097598E1)); +#6441=CARTESIAN_POINT('',(2.145904099209E1,2.788191609859E0,-3.579792116094E1)); +#6442=CARTESIAN_POINT('',(2.143738795974E1,2.950225808918E0,-3.566275617697E1)); +#6443=CARTESIAN_POINT('',(2.141784630890E1,3.115988931057E0,-3.550455413805E1)); +#6444=CARTESIAN_POINT('',(2.140093586811E1,3.280292394057E0,-3.532326248796E1)); +#6445=CARTESIAN_POINT('',(2.138734870132E1,3.432821284940E0,-3.512684926195E1)); +#6446=CARTESIAN_POINT('',(2.137694763189E1,3.567912475833E0,-3.492312776666E1)); +#6447=CARTESIAN_POINT('',(2.136898505397E1,3.687858231586E0,-3.470968642178E1)); +#6448=CARTESIAN_POINT('',(2.136304414573E1,3.792325147151E0,-3.448627886933E1)); +#6449=CARTESIAN_POINT('',(2.136021226830E1,3.850536542063E0,-3.433287293397E1)); +#6450=CARTESIAN_POINT('',(2.135901481942E1,3.876763209470E0,-3.425515488527E1)); +#6452=CARTESIAN_POINT('',(1.864098518058E1,3.876763209470E0,-3.425515488527E1)); +#6453=CARTESIAN_POINT('',(1.863981469135E1,3.851127015606E0,-3.433112317099E1)); +#6454=CARTESIAN_POINT('',(1.863705540502E1,3.794332947955E0,-3.448118680195E1)); +#6455=CARTESIAN_POINT('',(1.863129549165E1,3.692568466682E0,-3.470021035134E1)); +#6456=CARTESIAN_POINT('',(1.862361484672E1,3.575956587756E0,-3.490966367157E1)); +#6457=CARTESIAN_POINT('',(1.861363220642E1,3.444921001044E0,-3.510963818622E1)); +#6458=CARTESIAN_POINT('',(1.860068413737E1,3.297540853491E0,-3.530231759692E1)); +#6459=CARTESIAN_POINT('',(1.858451946199E1,3.137790662094E0,-3.548185675522E1)); +#6460=CARTESIAN_POINT('',(1.856565387474E1,2.974761764925E0,-3.564060076009E1)); +#6461=CARTESIAN_POINT('',(1.854454150405E1,2.813741572106E0,-3.577771108788E1)); +#6462=CARTESIAN_POINT('',(1.852190320247E1,2.660106052957E0,-3.589303645359E1)); +#6463=CARTESIAN_POINT('',(1.849858408417E1,2.518016073778E0,-3.598795718220E1)); +#6464=CARTESIAN_POINT('',(1.847505484045E1,2.388241280085E0,-3.606581573877E1)); +#6465=CARTESIAN_POINT('',(1.845158413359E1,2.270329375725E0,-3.612983356346E1)); +#6466=CARTESIAN_POINT('',(1.842824219530E1,2.163041142544E0,-3.618287831452E1)); +#6467=CARTESIAN_POINT('',(1.840516703243E1,2.065710334683E0,-3.622692833540E1)); +#6468=CARTESIAN_POINT('',(1.838241212397E1,1.977430733180E0,-3.626368167645E1)); +#6469=CARTESIAN_POINT('',(1.835990074161E1,1.897013587517E0,-3.629460756497E1)); +#6470=CARTESIAN_POINT('',(1.833745169052E1,1.823185550191E0,-3.632092167630E1)); +#6471=CARTESIAN_POINT('',(1.831466021580E1,1.754319618368E0,-3.634372197151E1)); +#6472=CARTESIAN_POINT('',(1.829110680936E1,1.689294717694E0,-3.636372408835E1)); +#6473=CARTESIAN_POINT('',(1.826722799391E1,1.629494563173E0,-3.638082311535E1)); +#6474=CARTESIAN_POINT('',(1.824366726422E1,1.576240086864E0,-3.639502971824E1)); +#6475=CARTESIAN_POINT('',(1.822047499862E1,1.529145045045E0,-3.640680893556E1)); +#6476=CARTESIAN_POINT('',(1.819741197115E1,1.487370715271E0,-3.641664855172E1)); +#6477=CARTESIAN_POINT('',(1.817413151340E1,1.450181379542E0,-3.642492964334E1)); +#6478=CARTESIAN_POINT('',(1.815057909807E1,1.417553596565E0,-3.643182425054E1)); +#6479=CARTESIAN_POINT('',(1.812701003328E1,1.389831538219E0,-3.643741174643E1)); +#6480=CARTESIAN_POINT('',(1.810356523226E1,1.367056704341E0,-3.644181768553E1)); +#6481=CARTESIAN_POINT('',(1.808814230091E1,1.355159594021E0,-3.644404213865E1)); +#6482=CARTESIAN_POINT('',(1.808049825570E1,1.35E0,-3.644499614792E1)); +#6484=CARTESIAN_POINT('',(1.791950174430E1,1.35E0,-3.644499614792E1)); +#6485=CARTESIAN_POINT('',(1.791144874699E1,1.355435629389E0,-3.644399109969E1)); +#6486=CARTESIAN_POINT('',(1.789544441004E1,1.367877075389E0,-3.644166331568E1)); +#6487=CARTESIAN_POINT('',(1.787152258582E1,1.391405362500E0,-3.643710177870E1)); +#6488=CARTESIAN_POINT('',(1.784824401720E1,1.419117298260E0,-3.643149879849E1)); +#6489=CARTESIAN_POINT('',(1.782573433291E1,1.450461800652E0,-3.642486251069E1)); +#6490=CARTESIAN_POINT('',(1.780351724153E1,1.485855073020E0,-3.641698823412E1)); +#6491=CARTESIAN_POINT('',(1.778117626568E1,1.526029778151E0,-3.640755706359E1)); +#6492=CARTESIAN_POINT('',(1.775849595561E1,1.571660350025E0,-3.639620221654E1)); +#6493=CARTESIAN_POINT('',(1.773539875555E1,1.623311785826E0,-3.638251535226E1)); +#6494=CARTESIAN_POINT('',(1.771186641748E1,1.681537900704E0,-3.636600734275E1)); +#6495=CARTESIAN_POINT('',(1.768836983509E1,1.745618483606E0,-3.634648186135E1)); +#6496=CARTESIAN_POINT('',(1.766561440619E1,1.813585172311E0,-3.632419654264E1)); +#6497=CARTESIAN_POINT('',(1.764331620249E1,1.886069694913E0,-3.629862715774E1)); +#6498=CARTESIAN_POINT('',(1.762098298905E1,1.964889895124E0,-3.626865692922E1)); +#6499=CARTESIAN_POINT('',(1.759841817297E1,2.051326456867E0,-3.623311459469E1)); +#6500=CARTESIAN_POINT('',(1.757551546443E1,2.146637248847E0,-3.619056197304E1)); +#6501=CARTESIAN_POINT('',(1.755230549962E1,2.251804940778E0,-3.613933190426E1)); +#6502=CARTESIAN_POINT('',(1.752892109403E1,2.367510582287E0,-3.607751612806E1)); +#6503=CARTESIAN_POINT('',(1.750541241587E1,2.495076551144E0,-3.600231049775E1)); +#6504=CARTESIAN_POINT('',(1.748198755064E1,2.635334178585E0,-3.591037097598E1)); +#6505=CARTESIAN_POINT('',(1.745904099209E1,2.788191609859E0,-3.579792116094E1)); +#6506=CARTESIAN_POINT('',(1.743738795974E1,2.950225808918E0,-3.566275617697E1)); +#6507=CARTESIAN_POINT('',(1.741784630890E1,3.115988931057E0,-3.550455413805E1)); +#6508=CARTESIAN_POINT('',(1.740093586811E1,3.280292394057E0,-3.532326248796E1)); +#6509=CARTESIAN_POINT('',(1.738734870132E1,3.432821284940E0,-3.512684926195E1)); +#6510=CARTESIAN_POINT('',(1.737694763189E1,3.567912475833E0,-3.492312776666E1)); +#6511=CARTESIAN_POINT('',(1.736898505397E1,3.687858231586E0,-3.470968642178E1)); +#6512=CARTESIAN_POINT('',(1.736304414573E1,3.792325147151E0,-3.448627886933E1)); +#6513=CARTESIAN_POINT('',(1.736021226830E1,3.850536542063E0,-3.433287293397E1)); +#6514=CARTESIAN_POINT('',(1.735901481942E1,3.876763209470E0,-3.425515488527E1)); +#6516=CARTESIAN_POINT('',(1.464098518058E1,3.876763209470E0,-3.425515488527E1)); +#6517=CARTESIAN_POINT('',(1.463981469135E1,3.851127015606E0,-3.433112317099E1)); +#6518=CARTESIAN_POINT('',(1.463705540502E1,3.794332947955E0,-3.448118680195E1)); +#6519=CARTESIAN_POINT('',(1.463129549165E1,3.692568466682E0,-3.470021035134E1)); +#6520=CARTESIAN_POINT('',(1.462361484672E1,3.575956587756E0,-3.490966367157E1)); +#6521=CARTESIAN_POINT('',(1.461363220642E1,3.444921001044E0,-3.510963818622E1)); +#6522=CARTESIAN_POINT('',(1.460068413737E1,3.297540853491E0,-3.530231759692E1)); +#6523=CARTESIAN_POINT('',(1.458451946199E1,3.137790662094E0,-3.548185675522E1)); +#6524=CARTESIAN_POINT('',(1.456565387474E1,2.974761764925E0,-3.564060076009E1)); +#6525=CARTESIAN_POINT('',(1.454454150405E1,2.813741572106E0,-3.577771108788E1)); +#6526=CARTESIAN_POINT('',(1.452190320247E1,2.660106052957E0,-3.589303645359E1)); +#6527=CARTESIAN_POINT('',(1.449858408417E1,2.518016073778E0,-3.598795718220E1)); +#6528=CARTESIAN_POINT('',(1.447505484045E1,2.388241280085E0,-3.606581573877E1)); +#6529=CARTESIAN_POINT('',(1.445158413359E1,2.270329375724E0,-3.612983356346E1)); +#6530=CARTESIAN_POINT('',(1.442824219530E1,2.163041142544E0,-3.618287831452E1)); +#6531=CARTESIAN_POINT('',(1.440516703243E1,2.065710334683E0,-3.622692833540E1)); +#6532=CARTESIAN_POINT('',(1.438241212397E1,1.977430733180E0,-3.626368167645E1)); +#6533=CARTESIAN_POINT('',(1.435990074161E1,1.897013587517E0,-3.629460756497E1)); +#6534=CARTESIAN_POINT('',(1.433745169052E1,1.823185550191E0,-3.632092167630E1)); +#6535=CARTESIAN_POINT('',(1.431466021580E1,1.754319618367E0,-3.634372197151E1)); +#6536=CARTESIAN_POINT('',(1.429110680936E1,1.689294717695E0,-3.636372408835E1)); +#6537=CARTESIAN_POINT('',(1.426722799391E1,1.629494563171E0,-3.638082311535E1)); +#6538=CARTESIAN_POINT('',(1.424366726423E1,1.576240086870E0,-3.639502971824E1)); +#6539=CARTESIAN_POINT('',(1.422047499860E1,1.529145045023E0,-3.640680893556E1)); +#6540=CARTESIAN_POINT('',(1.419741197122E1,1.487370715354E0,-3.641664855171E1)); +#6541=CARTESIAN_POINT('',(1.417413151311E1,1.450181379235E0,-3.642492964339E1)); +#6542=CARTESIAN_POINT('',(1.415057909914E1,1.417553597709E0,-3.643182425036E1)); +#6543=CARTESIAN_POINT('',(1.412701002929E1,1.389831533949E0,-3.643741174711E1)); +#6544=CARTESIAN_POINT('',(1.410356524715E1,1.367056720275E0,-3.644181768298E1)); +#6545=CARTESIAN_POINT('',(1.408814226883E1,1.355159559689E0,-3.644404214413E1)); +#6546=CARTESIAN_POINT('',(1.408049817941E1,1.349999940653E0,-3.644499615793E1)); +#6548=CARTESIAN_POINT('',(1.391950166188E1,1.350000064341E0,-3.644499613709E1)); +#6549=CARTESIAN_POINT('',(1.391144871208E1,1.355435667191E0,-3.644399109365E1)); +#6550=CARTESIAN_POINT('',(1.389544442624E1,1.367877057845E0,-3.644166331849E1)); +#6551=CARTESIAN_POINT('',(1.387152258147E1,1.391405367201E0,-3.643710177795E1)); +#6552=CARTESIAN_POINT('',(1.384824401836E1,1.419117297001E0,-3.643149879869E1)); +#6553=CARTESIAN_POINT('',(1.382573433260E1,1.450461800989E0,-3.642486251064E1)); +#6554=CARTESIAN_POINT('',(1.380351724161E1,1.485855072930E0,-3.641698823413E1)); +#6555=CARTESIAN_POINT('',(1.378117626566E1,1.526029778175E0,-3.640755706358E1)); +#6556=CARTESIAN_POINT('',(1.375849595561E1,1.571660350019E0,-3.639620221654E1)); +#6557=CARTESIAN_POINT('',(1.373539875555E1,1.623311785828E0,-3.638251535226E1)); +#6558=CARTESIAN_POINT('',(1.371186641749E1,1.681537900704E0,-3.636600734275E1)); +#6559=CARTESIAN_POINT('',(1.368836983509E1,1.745618483606E0,-3.634648186135E1)); +#6560=CARTESIAN_POINT('',(1.366561440619E1,1.813585172311E0,-3.632419654264E1)); +#6561=CARTESIAN_POINT('',(1.364331620249E1,1.886069694913E0,-3.629862715774E1)); +#6562=CARTESIAN_POINT('',(1.362098298905E1,1.964889895124E0,-3.626865692922E1)); +#6563=CARTESIAN_POINT('',(1.359841817297E1,2.051326456867E0,-3.623311459469E1)); +#6564=CARTESIAN_POINT('',(1.357551546443E1,2.146637248847E0,-3.619056197304E1)); +#6565=CARTESIAN_POINT('',(1.355230549962E1,2.251804940778E0,-3.613933190426E1)); +#6566=CARTESIAN_POINT('',(1.352892109403E1,2.367510582288E0,-3.607751612806E1)); +#6567=CARTESIAN_POINT('',(1.350541241587E1,2.495076551144E0,-3.600231049775E1)); +#6568=CARTESIAN_POINT('',(1.348198755064E1,2.635334178585E0,-3.591037097598E1)); +#6569=CARTESIAN_POINT('',(1.345904099209E1,2.788191609859E0,-3.579792116094E1)); +#6570=CARTESIAN_POINT('',(1.343738795974E1,2.950225808918E0,-3.566275617697E1)); +#6571=CARTESIAN_POINT('',(1.341784630890E1,3.115988931057E0,-3.550455413805E1)); +#6572=CARTESIAN_POINT('',(1.340093586811E1,3.280292394057E0,-3.532326248796E1)); +#6573=CARTESIAN_POINT('',(1.338734870132E1,3.432821284940E0,-3.512684926195E1)); +#6574=CARTESIAN_POINT('',(1.337694763189E1,3.567912475833E0,-3.492312776666E1)); +#6575=CARTESIAN_POINT('',(1.336898505397E1,3.687858231586E0,-3.470968642178E1)); +#6576=CARTESIAN_POINT('',(1.336304414573E1,3.792325147151E0,-3.448627886933E1)); +#6577=CARTESIAN_POINT('',(1.336021226830E1,3.850536542063E0,-3.433287293397E1)); +#6578=CARTESIAN_POINT('',(1.335901481942E1,3.876763209470E0,-3.425515488527E1)); +#6580=CARTESIAN_POINT('',(1.064098518058E1,3.876763209470E0,-3.425515488527E1)); +#6581=CARTESIAN_POINT('',(1.063981469135E1,3.851127015606E0,-3.433112317099E1)); +#6582=CARTESIAN_POINT('',(1.063705540502E1,3.794332947955E0,-3.448118680195E1)); +#6583=CARTESIAN_POINT('',(1.063129549165E1,3.692568466682E0,-3.470021035134E1)); +#6584=CARTESIAN_POINT('',(1.062361484672E1,3.575956587756E0,-3.490966367157E1)); +#6585=CARTESIAN_POINT('',(1.061363220642E1,3.444921001044E0,-3.510963818622E1)); +#6586=CARTESIAN_POINT('',(1.060068413737E1,3.297540853491E0,-3.530231759692E1)); +#6587=CARTESIAN_POINT('',(1.058451946199E1,3.137790662094E0,-3.548185675522E1)); +#6588=CARTESIAN_POINT('',(1.056565387474E1,2.974761764925E0,-3.564060076009E1)); +#6589=CARTESIAN_POINT('',(1.054454150405E1,2.813741572106E0,-3.577771108788E1)); +#6590=CARTESIAN_POINT('',(1.052190320247E1,2.660106052957E0,-3.589303645359E1)); +#6591=CARTESIAN_POINT('',(1.049858408417E1,2.518016073778E0,-3.598795718220E1)); +#6592=CARTESIAN_POINT('',(1.047505484045E1,2.388241280086E0,-3.606581573877E1)); +#6593=CARTESIAN_POINT('',(1.045158413359E1,2.270329375725E0,-3.612983356346E1)); +#6594=CARTESIAN_POINT('',(1.042824219530E1,2.163041142544E0,-3.618287831452E1)); +#6595=CARTESIAN_POINT('',(1.040516703243E1,2.065710334683E0,-3.622692833540E1)); +#6596=CARTESIAN_POINT('',(1.038241212397E1,1.977430733180E0,-3.626368167645E1)); +#6597=CARTESIAN_POINT('',(1.035990074161E1,1.897013587517E0,-3.629460756497E1)); +#6598=CARTESIAN_POINT('',(1.033745169052E1,1.823185550191E0,-3.632092167630E1)); +#6599=CARTESIAN_POINT('',(1.031466021580E1,1.754319618368E0,-3.634372197151E1)); +#6600=CARTESIAN_POINT('',(1.029110680936E1,1.689294717694E0,-3.636372408835E1)); +#6601=CARTESIAN_POINT('',(1.026722799391E1,1.629494563173E0,-3.638082311535E1)); +#6602=CARTESIAN_POINT('',(1.024366726422E1,1.576240086864E0,-3.639502971824E1)); +#6603=CARTESIAN_POINT('',(1.022047499862E1,1.529145045045E0,-3.640680893556E1)); +#6604=CARTESIAN_POINT('',(1.019741197115E1,1.487370715271E0,-3.641664855172E1)); +#6605=CARTESIAN_POINT('',(1.017413151340E1,1.450181379542E0,-3.642492964334E1)); +#6606=CARTESIAN_POINT('',(1.015057909807E1,1.417553596565E0,-3.643182425054E1)); +#6607=CARTESIAN_POINT('',(1.012701003328E1,1.389831538219E0,-3.643741174643E1)); +#6608=CARTESIAN_POINT('',(1.010356523226E1,1.367056704341E0,-3.644181768553E1)); +#6609=CARTESIAN_POINT('',(1.008814230091E1,1.355159594021E0,-3.644404213865E1)); +#6610=CARTESIAN_POINT('',(1.008049825570E1,1.35E0,-3.644499614792E1)); +#6612=CARTESIAN_POINT('',(9.919501744295E0,1.35E0,-3.644499614792E1)); +#6613=CARTESIAN_POINT('',(9.911448746986E0,1.355435629389E0,-3.644399109969E1)); +#6614=CARTESIAN_POINT('',(9.895444410042E0,1.367877075389E0,-3.644166331568E1)); +#6615=CARTESIAN_POINT('',(9.871522585815E0,1.391405362500E0,-3.643710177870E1)); +#6616=CARTESIAN_POINT('',(9.848244017199E0,1.419117298260E0,-3.643149879849E1)); +#6617=CARTESIAN_POINT('',(9.825734332911E0,1.450461800652E0,-3.642486251069E1)); +#6618=CARTESIAN_POINT('',(9.803517241529E0,1.485855073020E0,-3.641698823412E1)); +#6619=CARTESIAN_POINT('',(9.781176265684E0,1.526029778151E0,-3.640755706359E1)); +#6620=CARTESIAN_POINT('',(9.758495955609E0,1.571660350025E0,-3.639620221654E1)); +#6621=CARTESIAN_POINT('',(9.735398755550E0,1.623311785826E0,-3.638251535226E1)); +#6622=CARTESIAN_POINT('',(9.711866417485E0,1.681537900704E0,-3.636600734275E1)); +#6623=CARTESIAN_POINT('',(9.688369835091E0,1.745618483606E0,-3.634648186135E1)); +#6624=CARTESIAN_POINT('',(9.665614406193E0,1.813585172311E0,-3.632419654264E1)); +#6625=CARTESIAN_POINT('',(9.643316202488E0,1.886069694913E0,-3.629862715774E1)); +#6626=CARTESIAN_POINT('',(9.620982989055E0,1.964889895124E0,-3.626865692922E1)); +#6627=CARTESIAN_POINT('',(9.598418172966E0,2.051326456867E0,-3.623311459469E1)); +#6628=CARTESIAN_POINT('',(9.575515464426E0,2.146637248847E0,-3.619056197304E1)); +#6629=CARTESIAN_POINT('',(9.552305499616E0,2.251804940778E0,-3.613933190426E1)); +#6630=CARTESIAN_POINT('',(9.528921094026E0,2.367510582288E0,-3.607751612806E1)); +#6631=CARTESIAN_POINT('',(9.505412415867E0,2.495076551144E0,-3.600231049775E1)); +#6632=CARTESIAN_POINT('',(9.481987550644E0,2.635334178585E0,-3.591037097598E1)); +#6633=CARTESIAN_POINT('',(9.459040992094E0,2.788191609859E0,-3.579792116094E1)); +#6634=CARTESIAN_POINT('',(9.437387959740E0,2.950225808918E0,-3.566275617697E1)); +#6635=CARTESIAN_POINT('',(9.417846308895E0,3.115988931057E0,-3.550455413805E1)); +#6636=CARTESIAN_POINT('',(9.400935868111E0,3.280292394057E0,-3.532326248796E1)); +#6637=CARTESIAN_POINT('',(9.387348701320E0,3.432821284940E0,-3.512684926195E1)); +#6638=CARTESIAN_POINT('',(9.376947631889E0,3.567912475833E0,-3.492312776666E1)); +#6639=CARTESIAN_POINT('',(9.368985053975E0,3.687858231586E0,-3.470968642178E1)); +#6640=CARTESIAN_POINT('',(9.363044145734E0,3.792325147151E0,-3.448627886933E1)); +#6641=CARTESIAN_POINT('',(9.360212268303E0,3.850536542063E0,-3.433287293397E1)); +#6642=CARTESIAN_POINT('',(9.359014819423E0,3.876763209470E0,-3.425515488527E1)); +#6644=CARTESIAN_POINT('',(6.640985180577E0,3.876763209470E0,-3.425515488527E1)); +#6645=CARTESIAN_POINT('',(6.639814691351E0,3.851127015606E0,-3.433112317099E1)); +#6646=CARTESIAN_POINT('',(6.637055405023E0,3.794332947955E0,-3.448118680195E1)); +#6647=CARTESIAN_POINT('',(6.631295491655E0,3.692568466682E0,-3.470021035134E1)); +#6648=CARTESIAN_POINT('',(6.623614846722E0,3.575956587756E0,-3.490966367157E1)); +#6649=CARTESIAN_POINT('',(6.613632206416E0,3.444921001044E0,-3.510963818622E1)); +#6650=CARTESIAN_POINT('',(6.600684137365E0,3.297540853491E0,-3.530231759692E1)); +#6651=CARTESIAN_POINT('',(6.584519461993E0,3.137790662094E0,-3.548185675522E1)); +#6652=CARTESIAN_POINT('',(6.565653874744E0,2.974761764925E0,-3.564060076009E1)); +#6653=CARTESIAN_POINT('',(6.544541504051E0,2.813741572106E0,-3.577771108788E1)); +#6654=CARTESIAN_POINT('',(6.521903202473E0,2.660106052957E0,-3.589303645359E1)); +#6655=CARTESIAN_POINT('',(6.498584084173E0,2.518016073778E0,-3.598795718220E1)); +#6656=CARTESIAN_POINT('',(6.475054840454E0,2.388241280086E0,-3.606581573877E1)); +#6657=CARTESIAN_POINT('',(6.451584133585E0,2.270329375725E0,-3.612983356346E1)); +#6658=CARTESIAN_POINT('',(6.428242195305E0,2.163041142544E0,-3.618287831452E1)); +#6659=CARTESIAN_POINT('',(6.405167032428E0,2.065710334683E0,-3.622692833540E1)); +#6660=CARTESIAN_POINT('',(6.382412123966E0,1.977430733180E0,-3.626368167645E1)); +#6661=CARTESIAN_POINT('',(6.359900741613E0,1.897013587517E0,-3.629460756497E1)); +#6662=CARTESIAN_POINT('',(6.337451690523E0,1.823185550191E0,-3.632092167630E1)); +#6663=CARTESIAN_POINT('',(6.314660215799E0,1.754319618368E0,-3.634372197151E1)); +#6664=CARTESIAN_POINT('',(6.291106809364E0,1.689294717694E0,-3.636372408835E1)); +#6665=CARTESIAN_POINT('',(6.267227993910E0,1.629494563173E0,-3.638082311535E1)); +#6666=CARTESIAN_POINT('',(6.243667264224E0,1.576240086864E0,-3.639502971824E1)); +#6667=CARTESIAN_POINT('',(6.220474998624E0,1.529145045045E0,-3.640680893556E1)); +#6668=CARTESIAN_POINT('',(6.197411971147E0,1.487370715271E0,-3.641664855172E1)); +#6669=CARTESIAN_POINT('',(6.174131513401E0,1.450181379542E0,-3.642492964334E1)); +#6670=CARTESIAN_POINT('',(6.150579098074E0,1.417553596565E0,-3.643182425054E1)); +#6671=CARTESIAN_POINT('',(6.127010033280E0,1.389831538219E0,-3.643741174643E1)); +#6672=CARTESIAN_POINT('',(6.103565232264E0,1.367056704341E0,-3.644181768553E1)); +#6673=CARTESIAN_POINT('',(6.088142300909E0,1.355159594021E0,-3.644404213865E1)); +#6674=CARTESIAN_POINT('',(6.080498255705E0,1.35E0,-3.644499614792E1)); +#6676=CARTESIAN_POINT('',(5.919501744296E0,1.35E0,-3.644499614792E1)); +#6677=CARTESIAN_POINT('',(5.911448746986E0,1.355435629389E0,-3.644399109969E1)); +#6678=CARTESIAN_POINT('',(5.895444410042E0,1.367877075389E0,-3.644166331568E1)); +#6679=CARTESIAN_POINT('',(5.871522585815E0,1.391405362500E0,-3.643710177870E1)); +#6680=CARTESIAN_POINT('',(5.848244017199E0,1.419117298260E0,-3.643149879849E1)); +#6681=CARTESIAN_POINT('',(5.825734332911E0,1.450461800652E0,-3.642486251069E1)); +#6682=CARTESIAN_POINT('',(5.803517241529E0,1.485855073020E0,-3.641698823412E1)); +#6683=CARTESIAN_POINT('',(5.781176265684E0,1.526029778151E0,-3.640755706359E1)); +#6684=CARTESIAN_POINT('',(5.758495955609E0,1.571660350025E0,-3.639620221654E1)); +#6685=CARTESIAN_POINT('',(5.735398755550E0,1.623311785826E0,-3.638251535226E1)); +#6686=CARTESIAN_POINT('',(5.711866417485E0,1.681537900704E0,-3.636600734275E1)); +#6687=CARTESIAN_POINT('',(5.688369835091E0,1.745618483606E0,-3.634648186135E1)); +#6688=CARTESIAN_POINT('',(5.665614406193E0,1.813585172310E0,-3.632419654264E1)); +#6689=CARTESIAN_POINT('',(5.643316202489E0,1.886069694913E0,-3.629862715774E1)); +#6690=CARTESIAN_POINT('',(5.620982989055E0,1.964889895124E0,-3.626865692922E1)); +#6691=CARTESIAN_POINT('',(5.598418172966E0,2.051326456867E0,-3.623311459469E1)); +#6692=CARTESIAN_POINT('',(5.575515464426E0,2.146637248847E0,-3.619056197304E1)); +#6693=CARTESIAN_POINT('',(5.552305499616E0,2.251804940778E0,-3.613933190426E1)); +#6694=CARTESIAN_POINT('',(5.528921094026E0,2.367510582287E0,-3.607751612806E1)); +#6695=CARTESIAN_POINT('',(5.505412415867E0,2.495076551144E0,-3.600231049775E1)); +#6696=CARTESIAN_POINT('',(5.481987550644E0,2.635334178585E0,-3.591037097598E1)); +#6697=CARTESIAN_POINT('',(5.459040992094E0,2.788191609859E0,-3.579792116094E1)); +#6698=CARTESIAN_POINT('',(5.437387959740E0,2.950225808918E0,-3.566275617697E1)); +#6699=CARTESIAN_POINT('',(5.417846308895E0,3.115988931057E0,-3.550455413805E1)); +#6700=CARTESIAN_POINT('',(5.400935868111E0,3.280292394057E0,-3.532326248796E1)); +#6701=CARTESIAN_POINT('',(5.387348701320E0,3.432821284940E0,-3.512684926195E1)); +#6702=CARTESIAN_POINT('',(5.376947631889E0,3.567912475833E0,-3.492312776666E1)); +#6703=CARTESIAN_POINT('',(5.368985053975E0,3.687858231586E0,-3.470968642178E1)); +#6704=CARTESIAN_POINT('',(5.363044145734E0,3.792325147151E0,-3.448627886933E1)); +#6705=CARTESIAN_POINT('',(5.360212268303E0,3.850536542063E0,-3.433287293397E1)); +#6706=CARTESIAN_POINT('',(5.359014819423E0,3.876763209470E0,-3.425515488527E1)); +#6708=CARTESIAN_POINT('',(2.640985180577E0,3.876763209470E0,-3.425515488527E1)); +#6709=CARTESIAN_POINT('',(2.639814691351E0,3.851127015606E0,-3.433112317099E1)); +#6710=CARTESIAN_POINT('',(2.637055405023E0,3.794332947955E0,-3.448118680195E1)); +#6711=CARTESIAN_POINT('',(2.631295491655E0,3.692568466682E0,-3.470021035134E1)); +#6712=CARTESIAN_POINT('',(2.623614846722E0,3.575956587756E0,-3.490966367157E1)); +#6713=CARTESIAN_POINT('',(2.613632206416E0,3.444921001044E0,-3.510963818622E1)); +#6714=CARTESIAN_POINT('',(2.600684137365E0,3.297540853491E0,-3.530231759692E1)); +#6715=CARTESIAN_POINT('',(2.584519461993E0,3.137790662094E0,-3.548185675522E1)); +#6716=CARTESIAN_POINT('',(2.565653874744E0,2.974761764925E0,-3.564060076009E1)); +#6717=CARTESIAN_POINT('',(2.544541504051E0,2.813741572106E0,-3.577771108788E1)); +#6718=CARTESIAN_POINT('',(2.521903202473E0,2.660106052957E0,-3.589303645359E1)); +#6719=CARTESIAN_POINT('',(2.498584084173E0,2.518016073778E0,-3.598795718220E1)); +#6720=CARTESIAN_POINT('',(2.475054840454E0,2.388241280085E0,-3.606581573877E1)); +#6721=CARTESIAN_POINT('',(2.451584133585E0,2.270329375724E0,-3.612983356346E1)); +#6722=CARTESIAN_POINT('',(2.428242195305E0,2.163041142544E0,-3.618287831452E1)); +#6723=CARTESIAN_POINT('',(2.405167032428E0,2.065710334683E0,-3.622692833540E1)); +#6724=CARTESIAN_POINT('',(2.382412123966E0,1.977430733180E0,-3.626368167645E1)); +#6725=CARTESIAN_POINT('',(2.359900741613E0,1.897013587517E0,-3.629460756497E1)); +#6726=CARTESIAN_POINT('',(2.337451690523E0,1.823185550191E0,-3.632092167630E1)); +#6727=CARTESIAN_POINT('',(2.314660215799E0,1.754319618368E0,-3.634372197151E1)); +#6728=CARTESIAN_POINT('',(2.291106809364E0,1.689294717694E0,-3.636372408835E1)); +#6729=CARTESIAN_POINT('',(2.267227993910E0,1.629494563173E0,-3.638082311535E1)); +#6730=CARTESIAN_POINT('',(2.243667264224E0,1.576240086864E0,-3.639502971824E1)); +#6731=CARTESIAN_POINT('',(2.220474998624E0,1.529145045045E0,-3.640680893556E1)); +#6732=CARTESIAN_POINT('',(2.197411971147E0,1.487370715271E0,-3.641664855172E1)); +#6733=CARTESIAN_POINT('',(2.174131513401E0,1.450181379542E0,-3.642492964334E1)); +#6734=CARTESIAN_POINT('',(2.150579098074E0,1.417553596565E0,-3.643182425054E1)); +#6735=CARTESIAN_POINT('',(2.127010033280E0,1.389831538219E0,-3.643741174643E1)); +#6736=CARTESIAN_POINT('',(2.103565232264E0,1.367056704341E0,-3.644181768553E1)); +#6737=CARTESIAN_POINT('',(2.088142300909E0,1.355159594021E0,-3.644404213865E1)); +#6738=CARTESIAN_POINT('',(2.080498255705E0,1.35E0,-3.644499614792E1)); +#6740=CARTESIAN_POINT('',(1.919501744296E0,1.35E0,-3.644499614792E1)); +#6741=CARTESIAN_POINT('',(1.911448746986E0,1.355435629389E0,-3.644399109969E1)); +#6742=CARTESIAN_POINT('',(1.895444410042E0,1.367877075389E0,-3.644166331568E1)); +#6743=CARTESIAN_POINT('',(1.871522585815E0,1.391405362500E0,-3.643710177870E1)); +#6744=CARTESIAN_POINT('',(1.848244017199E0,1.419117298260E0,-3.643149879849E1)); +#6745=CARTESIAN_POINT('',(1.825734332911E0,1.450461800652E0,-3.642486251069E1)); +#6746=CARTESIAN_POINT('',(1.803517241529E0,1.485855073020E0,-3.641698823412E1)); +#6747=CARTESIAN_POINT('',(1.781176265684E0,1.526029778151E0,-3.640755706359E1)); +#6748=CARTESIAN_POINT('',(1.758495955609E0,1.571660350025E0,-3.639620221654E1)); +#6749=CARTESIAN_POINT('',(1.735398755550E0,1.623311785826E0,-3.638251535226E1)); +#6750=CARTESIAN_POINT('',(1.711866417485E0,1.681537900704E0,-3.636600734275E1)); +#6751=CARTESIAN_POINT('',(1.688369835091E0,1.745618483605E0,-3.634648186135E1)); +#6752=CARTESIAN_POINT('',(1.665614406193E0,1.813585172310E0,-3.632419654264E1)); +#6753=CARTESIAN_POINT('',(1.643316202489E0,1.886069694913E0,-3.629862715774E1)); +#6754=CARTESIAN_POINT('',(1.620982989055E0,1.964889895124E0,-3.626865692922E1)); +#6755=CARTESIAN_POINT('',(1.598418172966E0,2.051326456867E0,-3.623311459469E1)); +#6756=CARTESIAN_POINT('',(1.575515464426E0,2.146637248847E0,-3.619056197304E1)); +#6757=CARTESIAN_POINT('',(1.552305499616E0,2.251804940778E0,-3.613933190426E1)); +#6758=CARTESIAN_POINT('',(1.528921094026E0,2.367510582287E0,-3.607751612806E1)); +#6759=CARTESIAN_POINT('',(1.505412415867E0,2.495076551144E0,-3.600231049775E1)); +#6760=CARTESIAN_POINT('',(1.481987550644E0,2.635334178585E0,-3.591037097598E1)); +#6761=CARTESIAN_POINT('',(1.459040992094E0,2.788191609859E0,-3.579792116094E1)); +#6762=CARTESIAN_POINT('',(1.437387959740E0,2.950225808918E0,-3.566275617697E1)); +#6763=CARTESIAN_POINT('',(1.417846308895E0,3.115988931057E0,-3.550455413805E1)); +#6764=CARTESIAN_POINT('',(1.400935868111E0,3.280292394057E0,-3.532326248796E1)); +#6765=CARTESIAN_POINT('',(1.387348701320E0,3.432821284940E0,-3.512684926195E1)); +#6766=CARTESIAN_POINT('',(1.376947631889E0,3.567912475833E0,-3.492312776666E1)); +#6767=CARTESIAN_POINT('',(1.368985053975E0,3.687858231586E0,-3.470968642178E1)); +#6768=CARTESIAN_POINT('',(1.363044145734E0,3.792325147151E0,-3.448627886933E1)); +#6769=CARTESIAN_POINT('',(1.360212268303E0,3.850536542063E0,-3.433287293397E1)); +#6770=CARTESIAN_POINT('',(1.359014819423E0,3.876763209470E0,-3.425515488527E1)); +#6772=CARTESIAN_POINT('',(-1.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#6773=CARTESIAN_POINT('',(-1.360185308649E0,3.851127015606E0, +-3.433112317099E1)); +#6774=CARTESIAN_POINT('',(-1.362944594977E0,3.794332947955E0, +-3.448118680195E1)); +#6775=CARTESIAN_POINT('',(-1.368704508345E0,3.692568466682E0, +-3.470021035134E1)); +#6776=CARTESIAN_POINT('',(-1.376385153278E0,3.575956587756E0, +-3.490966367157E1)); +#6777=CARTESIAN_POINT('',(-1.386367793584E0,3.444921001044E0, +-3.510963818622E1)); +#6778=CARTESIAN_POINT('',(-1.399315862635E0,3.297540853491E0, +-3.530231759692E1)); +#6779=CARTESIAN_POINT('',(-1.415480538007E0,3.137790662094E0, +-3.548185675522E1)); +#6780=CARTESIAN_POINT('',(-1.434346125256E0,2.974761764925E0, +-3.564060076009E1)); +#6781=CARTESIAN_POINT('',(-1.455458495949E0,2.813741572106E0, +-3.577771108788E1)); +#6782=CARTESIAN_POINT('',(-1.478096797527E0,2.660106052957E0, +-3.589303645359E1)); +#6783=CARTESIAN_POINT('',(-1.501415915827E0,2.518016073778E0, +-3.598795718220E1)); +#6784=CARTESIAN_POINT('',(-1.524945159546E0,2.388241280085E0, +-3.606581573877E1)); +#6785=CARTESIAN_POINT('',(-1.548415866415E0,2.270329375724E0, +-3.612983356346E1)); +#6786=CARTESIAN_POINT('',(-1.571757804695E0,2.163041142544E0, +-3.618287831452E1)); +#6787=CARTESIAN_POINT('',(-1.594832967572E0,2.065710334683E0, +-3.622692833540E1)); +#6788=CARTESIAN_POINT('',(-1.617587876034E0,1.977430733180E0, +-3.626368167645E1)); +#6789=CARTESIAN_POINT('',(-1.640099258387E0,1.897013587517E0, +-3.629460756497E1)); +#6790=CARTESIAN_POINT('',(-1.662548309477E0,1.823185550191E0, +-3.632092167630E1)); +#6791=CARTESIAN_POINT('',(-1.685339784201E0,1.754319618367E0, +-3.634372197151E1)); +#6792=CARTESIAN_POINT('',(-1.708893190636E0,1.689294717694E0, +-3.636372408835E1)); +#6793=CARTESIAN_POINT('',(-1.732772006090E0,1.629494563173E0, +-3.638082311535E1)); +#6794=CARTESIAN_POINT('',(-1.756332735776E0,1.576240086864E0, +-3.639502971824E1)); +#6795=CARTESIAN_POINT('',(-1.779525001376E0,1.529145045045E0, +-3.640680893556E1)); +#6796=CARTESIAN_POINT('',(-1.802588028853E0,1.487370715271E0, +-3.641664855172E1)); +#6797=CARTESIAN_POINT('',(-1.825868486599E0,1.450181379542E0, +-3.642492964334E1)); +#6798=CARTESIAN_POINT('',(-1.849420901926E0,1.417553596565E0, +-3.643182425054E1)); +#6799=CARTESIAN_POINT('',(-1.872989966720E0,1.389831538219E0, +-3.643741174643E1)); +#6800=CARTESIAN_POINT('',(-1.896434767736E0,1.367056704341E0, +-3.644181768553E1)); +#6801=CARTESIAN_POINT('',(-1.911857699091E0,1.355159594021E0, +-3.644404213865E1)); +#6802=CARTESIAN_POINT('',(-1.919501744296E0,1.35E0,-3.644499614792E1)); +#6804=CARTESIAN_POINT('',(-2.080498255704E0,1.35E0,-3.644499614792E1)); +#6805=CARTESIAN_POINT('',(-2.088551253014E0,1.355435629389E0, +-3.644399109969E1)); +#6806=CARTESIAN_POINT('',(-2.104555589958E0,1.367877075389E0, +-3.644166331568E1)); +#6807=CARTESIAN_POINT('',(-2.128477414185E0,1.391405362500E0, +-3.643710177870E1)); +#6808=CARTESIAN_POINT('',(-2.151755982801E0,1.419117298260E0, +-3.643149879849E1)); +#6809=CARTESIAN_POINT('',(-2.174265667089E0,1.450461800652E0, +-3.642486251069E1)); +#6810=CARTESIAN_POINT('',(-2.196482758471E0,1.485855073020E0, +-3.641698823412E1)); +#6811=CARTESIAN_POINT('',(-2.218823734316E0,1.526029778151E0, +-3.640755706359E1)); +#6812=CARTESIAN_POINT('',(-2.241504044391E0,1.571660350025E0, +-3.639620221654E1)); +#6813=CARTESIAN_POINT('',(-2.264601244450E0,1.623311785826E0, +-3.638251535226E1)); +#6814=CARTESIAN_POINT('',(-2.288133582515E0,1.681537900704E0, +-3.636600734275E1)); +#6815=CARTESIAN_POINT('',(-2.311630164909E0,1.745618483606E0, +-3.634648186135E1)); +#6816=CARTESIAN_POINT('',(-2.334385593807E0,1.813585172310E0, +-3.632419654264E1)); +#6817=CARTESIAN_POINT('',(-2.356683797511E0,1.886069694913E0, +-3.629862715774E1)); +#6818=CARTESIAN_POINT('',(-2.379017010945E0,1.964889895124E0, +-3.626865692922E1)); +#6819=CARTESIAN_POINT('',(-2.401581827034E0,2.051326456867E0, +-3.623311459469E1)); +#6820=CARTESIAN_POINT('',(-2.424484535574E0,2.146637248847E0, +-3.619056197304E1)); +#6821=CARTESIAN_POINT('',(-2.447694500384E0,2.251804940778E0, +-3.613933190426E1)); +#6822=CARTESIAN_POINT('',(-2.471078905974E0,2.367510582287E0, +-3.607751612806E1)); +#6823=CARTESIAN_POINT('',(-2.494587584133E0,2.495076551144E0, +-3.600231049775E1)); +#6824=CARTESIAN_POINT('',(-2.518012449356E0,2.635334178585E0, +-3.591037097598E1)); +#6825=CARTESIAN_POINT('',(-2.540959007906E0,2.788191609859E0, +-3.579792116094E1)); +#6826=CARTESIAN_POINT('',(-2.562612040260E0,2.950225808918E0, +-3.566275617697E1)); +#6827=CARTESIAN_POINT('',(-2.582153691105E0,3.115988931057E0, +-3.550455413805E1)); +#6828=CARTESIAN_POINT('',(-2.599064131889E0,3.280292394057E0, +-3.532326248796E1)); +#6829=CARTESIAN_POINT('',(-2.612651298680E0,3.432821284940E0, +-3.512684926195E1)); +#6830=CARTESIAN_POINT('',(-2.623052368111E0,3.567912475833E0, +-3.492312776666E1)); +#6831=CARTESIAN_POINT('',(-2.631014946025E0,3.687858231586E0, +-3.470968642178E1)); +#6832=CARTESIAN_POINT('',(-2.636955854266E0,3.792325147151E0, +-3.448627886933E1)); +#6833=CARTESIAN_POINT('',(-2.639787731697E0,3.850536542063E0, +-3.433287293397E1)); +#6834=CARTESIAN_POINT('',(-2.640985180577E0,3.876763209470E0, +-3.425515488527E1)); +#6836=CARTESIAN_POINT('',(-5.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#6837=CARTESIAN_POINT('',(-5.360185308052E0,3.851127028678E0, +-3.433112313225E1)); +#6838=CARTESIAN_POINT('',(-5.362944592765E0,3.794332992730E0, +-3.448118668786E1)); +#6839=CARTESIAN_POINT('',(-5.368704501903E0,3.692568575236E0, +-3.470021013193E1)); +#6840=CARTESIAN_POINT('',(-5.376385140297E0,3.575956774329E0, +-3.490966335755E1)); +#6841=CARTESIAN_POINT('',(-5.386367770991E0,3.444921281078E0, +-3.510963778570E1)); +#6842=CARTESIAN_POINT('',(-5.399315826374E0,3.297541242266E0, +-3.530231712121E1)); +#6843=CARTESIAN_POINT('',(-5.415480484824E0,3.137791157072E0, +-3.548185623435E1)); +#6844=CARTESIAN_POINT('',(-5.434346054253E0,2.974762344267E0, +-3.564060023042E1)); +#6845=CARTESIAN_POINT('',(-5.455458406753E0,2.813742216160E0, +-3.577771057158E1)); +#6846=CARTESIAN_POINT('',(-5.478096691802E0,2.660106734382E0, +-3.589303597039E1)); +#6847=CARTESIAN_POINT('',(-5.501415796471E0,2.518016766912E0, +-3.598795674303E1)); +#6848=CARTESIAN_POINT('',(-5.524945027974E0,2.388241973710E0, +-3.606581534266E1)); +#6849=CARTESIAN_POINT('',(-5.548415723755E0,2.270330062281E0, +-3.612983320755E1)); +#6850=CARTESIAN_POINT('',(-5.571757651197E0,2.163041819354E0, +-3.618287799424E1)); +#6851=CARTESIAN_POINT('',(-5.594832804598E0,2.065710994792E0, +-3.622692804880E1)); +#6852=CARTESIAN_POINT('',(-5.617587703803E0,1.977431375248E0, +-3.626368141947E1)); +#6853=CARTESIAN_POINT('',(-5.640099076104E0,1.897014213274E0, +-3.629460733323E1)); +#6854=CARTESIAN_POINT('',(-5.662548115276E0,1.823186163554E0, +-3.632092146549E1)); +#6855=CARTESIAN_POINT('',(-5.685339573354E0,1.754320229178E0, +-3.634372177638E1)); +#6856=CARTESIAN_POINT('',(-5.708892959204E0,1.689295327820E0, +-3.636372390734E1)); +#6857=CARTESIAN_POINT('',(-5.732771764111E0,1.629495139794E0, +-3.638082295621E1)); +#6858=CARTESIAN_POINT('',(-5.756332487077E0,1.576240620750E0, +-3.639502958042E1)); +#6859=CARTESIAN_POINT('',(-5.779524743621E0,1.529145540653E0, +-3.640680881533E1)); +#6860=CARTESIAN_POINT('',(-5.802587759378E0,1.487371175315E0, +-3.641664844642E1)); +#6861=CARTESIAN_POINT('',(-5.825868200077E0,1.450181807914E0, +-3.642492955044E1)); +#6862=CARTESIAN_POINT('',(-5.849420600770E0,1.417553982462E0, +-3.643182417109E1)); +#6863=CARTESIAN_POINT('',(-5.872989662497E0,1.389831865495E0, +-3.643741168158E1)); +#6864=CARTESIAN_POINT('',(-5.896434420374E0,1.367057013041E0, +-3.644181762782E1)); +#6865=CARTESIAN_POINT('',(-5.911857435649E0,1.355159768916E0, +-3.644404210431E1)); +#6866=CARTESIAN_POINT('',(-5.919501562437E0,1.350000107829E0, +-3.644499612615E1)); +#6868=CARTESIAN_POINT('',(-6.080498255704E0,1.35E0,-3.644499614792E1)); +#6869=CARTESIAN_POINT('',(-6.088551253014E0,1.355435629389E0, +-3.644399109969E1)); +#6870=CARTESIAN_POINT('',(-6.104555589958E0,1.367877075389E0, +-3.644166331568E1)); +#6871=CARTESIAN_POINT('',(-6.128477414185E0,1.391405362500E0, +-3.643710177870E1)); +#6872=CARTESIAN_POINT('',(-6.151755982801E0,1.419117298260E0, +-3.643149879849E1)); +#6873=CARTESIAN_POINT('',(-6.174265667089E0,1.450461800652E0, +-3.642486251069E1)); +#6874=CARTESIAN_POINT('',(-6.196482758471E0,1.485855073020E0, +-3.641698823412E1)); +#6875=CARTESIAN_POINT('',(-6.218823734316E0,1.526029778151E0, +-3.640755706359E1)); +#6876=CARTESIAN_POINT('',(-6.241504044391E0,1.571660350025E0, +-3.639620221654E1)); +#6877=CARTESIAN_POINT('',(-6.264601244450E0,1.623311785826E0, +-3.638251535226E1)); +#6878=CARTESIAN_POINT('',(-6.288133582515E0,1.681537900704E0, +-3.636600734275E1)); +#6879=CARTESIAN_POINT('',(-6.311630164909E0,1.745618483605E0, +-3.634648186135E1)); +#6880=CARTESIAN_POINT('',(-6.334385593807E0,1.813585172310E0, +-3.632419654264E1)); +#6881=CARTESIAN_POINT('',(-6.356683797511E0,1.886069694913E0, +-3.629862715774E1)); +#6882=CARTESIAN_POINT('',(-6.379017010945E0,1.964889895124E0, +-3.626865692922E1)); +#6883=CARTESIAN_POINT('',(-6.401581827034E0,2.051326456867E0, +-3.623311459469E1)); +#6884=CARTESIAN_POINT('',(-6.424484535574E0,2.146637248847E0, +-3.619056197304E1)); +#6885=CARTESIAN_POINT('',(-6.447694500384E0,2.251804940778E0, +-3.613933190426E1)); +#6886=CARTESIAN_POINT('',(-6.471078905974E0,2.367510582287E0, +-3.607751612806E1)); +#6887=CARTESIAN_POINT('',(-6.494587584133E0,2.495076551144E0, +-3.600231049775E1)); +#6888=CARTESIAN_POINT('',(-6.518012449356E0,2.635334178585E0, +-3.591037097598E1)); +#6889=CARTESIAN_POINT('',(-6.540959007906E0,2.788191609859E0, +-3.579792116094E1)); +#6890=CARTESIAN_POINT('',(-6.562612040260E0,2.950225808918E0, +-3.566275617697E1)); +#6891=CARTESIAN_POINT('',(-6.582153691105E0,3.115988931057E0, +-3.550455413805E1)); +#6892=CARTESIAN_POINT('',(-6.599064131889E0,3.280292394057E0, +-3.532326248796E1)); +#6893=CARTESIAN_POINT('',(-6.612651298680E0,3.432821284940E0, +-3.512684926195E1)); +#6894=CARTESIAN_POINT('',(-6.623052368111E0,3.567912475833E0, +-3.492312776666E1)); +#6895=CARTESIAN_POINT('',(-6.631014946025E0,3.687858231586E0, +-3.470968642178E1)); +#6896=CARTESIAN_POINT('',(-6.636955854266E0,3.792325147151E0, +-3.448627886933E1)); +#6897=CARTESIAN_POINT('',(-6.639787731697E0,3.850536542063E0, +-3.433287293397E1)); +#6898=CARTESIAN_POINT('',(-6.640985180577E0,3.876763209470E0, +-3.425515488527E1)); +#6900=CARTESIAN_POINT('',(-9.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#6901=CARTESIAN_POINT('',(-9.360185308649E0,3.851127015606E0, +-3.433112317099E1)); +#6902=CARTESIAN_POINT('',(-9.362944594977E0,3.794332947955E0, +-3.448118680195E1)); +#6903=CARTESIAN_POINT('',(-9.368704508345E0,3.692568466682E0, +-3.470021035134E1)); +#6904=CARTESIAN_POINT('',(-9.376385153278E0,3.575956587756E0, +-3.490966367157E1)); +#6905=CARTESIAN_POINT('',(-9.386367793584E0,3.444921001044E0, +-3.510963818622E1)); +#6906=CARTESIAN_POINT('',(-9.399315862635E0,3.297540853491E0, +-3.530231759692E1)); +#6907=CARTESIAN_POINT('',(-9.415480538007E0,3.137790662094E0, +-3.548185675522E1)); +#6908=CARTESIAN_POINT('',(-9.434346125256E0,2.974761764925E0, +-3.564060076009E1)); +#6909=CARTESIAN_POINT('',(-9.455458495949E0,2.813741572106E0, +-3.577771108788E1)); +#6910=CARTESIAN_POINT('',(-9.478096797527E0,2.660106052957E0, +-3.589303645359E1)); +#6911=CARTESIAN_POINT('',(-9.501415915827E0,2.518016073778E0, +-3.598795718220E1)); +#6912=CARTESIAN_POINT('',(-9.524945159546E0,2.388241280086E0, +-3.606581573877E1)); +#6913=CARTESIAN_POINT('',(-9.548415866415E0,2.270329375725E0, +-3.612983356346E1)); +#6914=CARTESIAN_POINT('',(-9.571757804695E0,2.163041142544E0, +-3.618287831452E1)); +#6915=CARTESIAN_POINT('',(-9.594832967572E0,2.065710334683E0, +-3.622692833540E1)); +#6916=CARTESIAN_POINT('',(-9.617587876034E0,1.977430733180E0, +-3.626368167645E1)); +#6917=CARTESIAN_POINT('',(-9.640099258387E0,1.897013587517E0, +-3.629460756497E1)); +#6918=CARTESIAN_POINT('',(-9.662548309477E0,1.823185550191E0, +-3.632092167630E1)); +#6919=CARTESIAN_POINT('',(-9.685339784201E0,1.754319618368E0, +-3.634372197151E1)); +#6920=CARTESIAN_POINT('',(-9.708893190636E0,1.689294717695E0, +-3.636372408835E1)); +#6921=CARTESIAN_POINT('',(-9.732772006090E0,1.629494563173E0, +-3.638082311535E1)); +#6922=CARTESIAN_POINT('',(-9.756332735776E0,1.576240086864E0, +-3.639502971824E1)); +#6923=CARTESIAN_POINT('',(-9.779525001376E0,1.529145045045E0, +-3.640680893556E1)); +#6924=CARTESIAN_POINT('',(-9.802588028853E0,1.487370715271E0, +-3.641664855172E1)); +#6925=CARTESIAN_POINT('',(-9.825868486599E0,1.450181379542E0, +-3.642492964334E1)); +#6926=CARTESIAN_POINT('',(-9.849420901926E0,1.417553596565E0, +-3.643182425054E1)); +#6927=CARTESIAN_POINT('',(-9.872989966720E0,1.389831538219E0, +-3.643741174643E1)); +#6928=CARTESIAN_POINT('',(-9.896434767736E0,1.367056704341E0, +-3.644181768553E1)); +#6929=CARTESIAN_POINT('',(-9.911857699091E0,1.355159594021E0, +-3.644404213865E1)); +#6930=CARTESIAN_POINT('',(-9.919501744295E0,1.35E0,-3.644499614792E1)); +#6932=CARTESIAN_POINT('',(-1.008049825570E1,1.35E0,-3.644499614792E1)); +#6933=CARTESIAN_POINT('',(-1.008855125301E1,1.355435629389E0, +-3.644399109969E1)); +#6934=CARTESIAN_POINT('',(-1.010455558996E1,1.367877075389E0, +-3.644166331568E1)); +#6935=CARTESIAN_POINT('',(-1.012847741418E1,1.391405362500E0, +-3.643710177870E1)); +#6936=CARTESIAN_POINT('',(-1.015175598280E1,1.419117298260E0, +-3.643149879849E1)); +#6937=CARTESIAN_POINT('',(-1.017426566709E1,1.450461800652E0, +-3.642486251069E1)); +#6938=CARTESIAN_POINT('',(-1.019648275847E1,1.485855073020E0, +-3.641698823412E1)); +#6939=CARTESIAN_POINT('',(-1.021882373432E1,1.526029778151E0, +-3.640755706359E1)); +#6940=CARTESIAN_POINT('',(-1.024150404439E1,1.571660350025E0, +-3.639620221654E1)); +#6941=CARTESIAN_POINT('',(-1.026460124445E1,1.623311785826E0, +-3.638251535226E1)); +#6942=CARTESIAN_POINT('',(-1.028813358252E1,1.681537900704E0, +-3.636600734275E1)); +#6943=CARTESIAN_POINT('',(-1.031163016491E1,1.745618483606E0, +-3.634648186135E1)); +#6944=CARTESIAN_POINT('',(-1.033438559381E1,1.813585172311E0, +-3.632419654264E1)); +#6945=CARTESIAN_POINT('',(-1.035668379751E1,1.886069694913E0, +-3.629862715774E1)); +#6946=CARTESIAN_POINT('',(-1.037901701095E1,1.964889895124E0, +-3.626865692922E1)); +#6947=CARTESIAN_POINT('',(-1.040158182703E1,2.051326456867E0, +-3.623311459469E1)); +#6948=CARTESIAN_POINT('',(-1.042448453557E1,2.146637248847E0, +-3.619056197304E1)); +#6949=CARTESIAN_POINT('',(-1.044769450038E1,2.251804940778E0, +-3.613933190426E1)); +#6950=CARTESIAN_POINT('',(-1.047107890597E1,2.367510582288E0, +-3.607751612806E1)); +#6951=CARTESIAN_POINT('',(-1.049458758413E1,2.495076551144E0, +-3.600231049775E1)); +#6952=CARTESIAN_POINT('',(-1.051801244936E1,2.635334178585E0, +-3.591037097598E1)); +#6953=CARTESIAN_POINT('',(-1.054095900791E1,2.788191609859E0, +-3.579792116094E1)); +#6954=CARTESIAN_POINT('',(-1.056261204026E1,2.950225808918E0, +-3.566275617697E1)); +#6955=CARTESIAN_POINT('',(-1.058215369110E1,3.115988931057E0, +-3.550455413805E1)); +#6956=CARTESIAN_POINT('',(-1.059906413189E1,3.280292394057E0, +-3.532326248796E1)); +#6957=CARTESIAN_POINT('',(-1.061265129868E1,3.432821284940E0, +-3.512684926195E1)); +#6958=CARTESIAN_POINT('',(-1.062305236811E1,3.567912475833E0, +-3.492312776666E1)); +#6959=CARTESIAN_POINT('',(-1.063101494603E1,3.687858231586E0, +-3.470968642178E1)); +#6960=CARTESIAN_POINT('',(-1.063695585427E1,3.792325147151E0, +-3.448627886933E1)); +#6961=CARTESIAN_POINT('',(-1.063978773170E1,3.850536542063E0, +-3.433287293397E1)); +#6962=CARTESIAN_POINT('',(-1.064098518058E1,3.876763209470E0, +-3.425515488527E1)); +#6964=CARTESIAN_POINT('',(-1.335901481942E1,3.876763209470E0, +-3.425515488527E1)); +#6965=CARTESIAN_POINT('',(-1.336018530865E1,3.851127015606E0, +-3.433112317099E1)); +#6966=CARTESIAN_POINT('',(-1.336294459498E1,3.794332947955E0, +-3.448118680195E1)); +#6967=CARTESIAN_POINT('',(-1.336870450835E1,3.692568466682E0, +-3.470021035134E1)); +#6968=CARTESIAN_POINT('',(-1.337638515328E1,3.575956587756E0, +-3.490966367157E1)); +#6969=CARTESIAN_POINT('',(-1.338636779358E1,3.444921001044E0, +-3.510963818622E1)); +#6970=CARTESIAN_POINT('',(-1.339931586263E1,3.297540853491E0, +-3.530231759692E1)); +#6971=CARTESIAN_POINT('',(-1.341548053801E1,3.137790662094E0, +-3.548185675522E1)); +#6972=CARTESIAN_POINT('',(-1.343434612526E1,2.974761764925E0, +-3.564060076009E1)); +#6973=CARTESIAN_POINT('',(-1.345545849595E1,2.813741572106E0, +-3.577771108788E1)); +#6974=CARTESIAN_POINT('',(-1.347809679753E1,2.660106052957E0, +-3.589303645359E1)); +#6975=CARTESIAN_POINT('',(-1.350141591583E1,2.518016073778E0, +-3.598795718220E1)); +#6976=CARTESIAN_POINT('',(-1.352494515955E1,2.388241280086E0, +-3.606581573877E1)); +#6977=CARTESIAN_POINT('',(-1.354841586641E1,2.270329375725E0, +-3.612983356346E1)); +#6978=CARTESIAN_POINT('',(-1.357175780470E1,2.163041142544E0, +-3.618287831452E1)); +#6979=CARTESIAN_POINT('',(-1.359483296757E1,2.065710334683E0, +-3.622692833540E1)); +#6980=CARTESIAN_POINT('',(-1.361758787603E1,1.977430733180E0, +-3.626368167645E1)); +#6981=CARTESIAN_POINT('',(-1.364009925839E1,1.897013587517E0, +-3.629460756497E1)); +#6982=CARTESIAN_POINT('',(-1.366254830948E1,1.823185550191E0, +-3.632092167630E1)); +#6983=CARTESIAN_POINT('',(-1.368533978420E1,1.754319618368E0, +-3.634372197151E1)); +#6984=CARTESIAN_POINT('',(-1.370889319064E1,1.689294717695E0, +-3.636372408835E1)); +#6985=CARTESIAN_POINT('',(-1.373277200609E1,1.629494563173E0, +-3.638082311535E1)); +#6986=CARTESIAN_POINT('',(-1.375633273578E1,1.576240086864E0, +-3.639502971824E1)); +#6987=CARTESIAN_POINT('',(-1.377952500138E1,1.529145045045E0, +-3.640680893556E1)); +#6988=CARTESIAN_POINT('',(-1.380258802885E1,1.487370715271E0, +-3.641664855172E1)); +#6989=CARTESIAN_POINT('',(-1.382586848660E1,1.450181379542E0, +-3.642492964334E1)); +#6990=CARTESIAN_POINT('',(-1.384942090193E1,1.417553596565E0, +-3.643182425054E1)); +#6991=CARTESIAN_POINT('',(-1.387298996672E1,1.389831538219E0, +-3.643741174643E1)); +#6992=CARTESIAN_POINT('',(-1.389643476774E1,1.367056704341E0, +-3.644181768553E1)); +#6993=CARTESIAN_POINT('',(-1.391185769909E1,1.355159594021E0, +-3.644404213865E1)); +#6994=CARTESIAN_POINT('',(-1.391950174430E1,1.35E0,-3.644499614792E1)); +#6996=CARTESIAN_POINT('',(-1.408049825570E1,1.35E0,-3.644499614792E1)); +#6997=CARTESIAN_POINT('',(-1.408855125301E1,1.355435629389E0, +-3.644399109969E1)); +#6998=CARTESIAN_POINT('',(-1.410455558996E1,1.367877075389E0, +-3.644166331568E1)); +#6999=CARTESIAN_POINT('',(-1.412847741418E1,1.391405362500E0, +-3.643710177870E1)); +#7000=CARTESIAN_POINT('',(-1.415175598280E1,1.419117298260E0, +-3.643149879849E1)); +#7001=CARTESIAN_POINT('',(-1.417426566709E1,1.450461800652E0, +-3.642486251069E1)); +#7002=CARTESIAN_POINT('',(-1.419648275847E1,1.485855073020E0, +-3.641698823412E1)); +#7003=CARTESIAN_POINT('',(-1.421882373432E1,1.526029778151E0, +-3.640755706359E1)); +#7004=CARTESIAN_POINT('',(-1.424150404439E1,1.571660350025E0, +-3.639620221654E1)); +#7005=CARTESIAN_POINT('',(-1.426460124445E1,1.623311785826E0, +-3.638251535226E1)); +#7006=CARTESIAN_POINT('',(-1.428813358252E1,1.681537900704E0, +-3.636600734275E1)); +#7007=CARTESIAN_POINT('',(-1.431163016491E1,1.745618483606E0, +-3.634648186135E1)); +#7008=CARTESIAN_POINT('',(-1.433438559381E1,1.813585172311E0, +-3.632419654264E1)); +#7009=CARTESIAN_POINT('',(-1.435668379751E1,1.886069694913E0, +-3.629862715774E1)); +#7010=CARTESIAN_POINT('',(-1.437901701095E1,1.964889895124E0, +-3.626865692922E1)); +#7011=CARTESIAN_POINT('',(-1.440158182703E1,2.051326456867E0, +-3.623311459469E1)); +#7012=CARTESIAN_POINT('',(-1.442448453557E1,2.146637248847E0, +-3.619056197304E1)); +#7013=CARTESIAN_POINT('',(-1.444769450038E1,2.251804940778E0, +-3.613933190426E1)); +#7014=CARTESIAN_POINT('',(-1.447107890597E1,2.367510582288E0, +-3.607751612806E1)); +#7015=CARTESIAN_POINT('',(-1.449458758413E1,2.495076551144E0, +-3.600231049775E1)); +#7016=CARTESIAN_POINT('',(-1.451801244936E1,2.635334178585E0, +-3.591037097598E1)); +#7017=CARTESIAN_POINT('',(-1.454095900791E1,2.788191609859E0, +-3.579792116094E1)); +#7018=CARTESIAN_POINT('',(-1.456261204026E1,2.950225808918E0, +-3.566275617697E1)); +#7019=CARTESIAN_POINT('',(-1.458215369110E1,3.115988931057E0, +-3.550455413805E1)); +#7020=CARTESIAN_POINT('',(-1.459906413189E1,3.280292394057E0, +-3.532326248796E1)); +#7021=CARTESIAN_POINT('',(-1.461265129868E1,3.432821284940E0, +-3.512684926195E1)); +#7022=CARTESIAN_POINT('',(-1.462305236811E1,3.567912475833E0, +-3.492312776666E1)); +#7023=CARTESIAN_POINT('',(-1.463101494603E1,3.687858231586E0, +-3.470968642178E1)); +#7024=CARTESIAN_POINT('',(-1.463695585427E1,3.792325147151E0, +-3.448627886933E1)); +#7025=CARTESIAN_POINT('',(-1.463978773170E1,3.850536542063E0, +-3.433287293397E1)); +#7026=CARTESIAN_POINT('',(-1.464098518058E1,3.876763209470E0, +-3.425515488527E1)); +#7028=CARTESIAN_POINT('',(-1.735901481942E1,3.876763209470E0, +-3.425515488527E1)); +#7029=CARTESIAN_POINT('',(-1.736018530865E1,3.851127015606E0, +-3.433112317099E1)); +#7030=CARTESIAN_POINT('',(-1.736294459498E1,3.794332947955E0, +-3.448118680195E1)); +#7031=CARTESIAN_POINT('',(-1.736870450835E1,3.692568466682E0, +-3.470021035134E1)); +#7032=CARTESIAN_POINT('',(-1.737638515328E1,3.575956587756E0, +-3.490966367157E1)); +#7033=CARTESIAN_POINT('',(-1.738636779358E1,3.444921001044E0, +-3.510963818622E1)); +#7034=CARTESIAN_POINT('',(-1.739931586263E1,3.297540853491E0, +-3.530231759692E1)); +#7035=CARTESIAN_POINT('',(-1.741548053801E1,3.137790662094E0, +-3.548185675522E1)); +#7036=CARTESIAN_POINT('',(-1.743434612526E1,2.974761764925E0, +-3.564060076009E1)); +#7037=CARTESIAN_POINT('',(-1.745545849595E1,2.813741572106E0, +-3.577771108788E1)); +#7038=CARTESIAN_POINT('',(-1.747809679753E1,2.660106052957E0, +-3.589303645359E1)); +#7039=CARTESIAN_POINT('',(-1.750141591583E1,2.518016073778E0, +-3.598795718220E1)); +#7040=CARTESIAN_POINT('',(-1.752494515955E1,2.388241280086E0, +-3.606581573877E1)); +#7041=CARTESIAN_POINT('',(-1.754841586641E1,2.270329375725E0, +-3.612983356346E1)); +#7042=CARTESIAN_POINT('',(-1.757175780470E1,2.163041142544E0, +-3.618287831452E1)); +#7043=CARTESIAN_POINT('',(-1.759483296757E1,2.065710334683E0, +-3.622692833540E1)); +#7044=CARTESIAN_POINT('',(-1.761758787603E1,1.977430733180E0, +-3.626368167645E1)); +#7045=CARTESIAN_POINT('',(-1.764009925839E1,1.897013587517E0, +-3.629460756497E1)); +#7046=CARTESIAN_POINT('',(-1.766254830948E1,1.823185550191E0, +-3.632092167630E1)); +#7047=CARTESIAN_POINT('',(-1.768533978420E1,1.754319618368E0, +-3.634372197151E1)); +#7048=CARTESIAN_POINT('',(-1.770889319064E1,1.689294717695E0, +-3.636372408835E1)); +#7049=CARTESIAN_POINT('',(-1.773277200609E1,1.629494563173E0, +-3.638082311535E1)); +#7050=CARTESIAN_POINT('',(-1.775633273578E1,1.576240086864E0, +-3.639502971824E1)); +#7051=CARTESIAN_POINT('',(-1.777952500138E1,1.529145045045E0, +-3.640680893556E1)); +#7052=CARTESIAN_POINT('',(-1.780258802885E1,1.487370715271E0, +-3.641664855172E1)); +#7053=CARTESIAN_POINT('',(-1.782586848660E1,1.450181379542E0, +-3.642492964334E1)); +#7054=CARTESIAN_POINT('',(-1.784942090193E1,1.417553596565E0, +-3.643182425054E1)); +#7055=CARTESIAN_POINT('',(-1.787298996672E1,1.389831538219E0, +-3.643741174643E1)); +#7056=CARTESIAN_POINT('',(-1.789643476774E1,1.367056704341E0, +-3.644181768553E1)); +#7057=CARTESIAN_POINT('',(-1.791185769909E1,1.355159594021E0, +-3.644404213865E1)); +#7058=CARTESIAN_POINT('',(-1.791950174430E1,1.35E0,-3.644499614792E1)); +#7060=CARTESIAN_POINT('',(-1.808049825570E1,1.35E0,-3.644499614792E1)); +#7061=CARTESIAN_POINT('',(-1.808855125301E1,1.355435629389E0, +-3.644399109969E1)); +#7062=CARTESIAN_POINT('',(-1.810455558996E1,1.367877075389E0, +-3.644166331568E1)); +#7063=CARTESIAN_POINT('',(-1.812847741418E1,1.391405362500E0, +-3.643710177870E1)); +#7064=CARTESIAN_POINT('',(-1.815175598280E1,1.419117298260E0, +-3.643149879849E1)); +#7065=CARTESIAN_POINT('',(-1.817426566709E1,1.450461800652E0, +-3.642486251069E1)); +#7066=CARTESIAN_POINT('',(-1.819648275847E1,1.485855073020E0, +-3.641698823412E1)); +#7067=CARTESIAN_POINT('',(-1.821882373432E1,1.526029778151E0, +-3.640755706359E1)); +#7068=CARTESIAN_POINT('',(-1.824150404439E1,1.571660350025E0, +-3.639620221654E1)); +#7069=CARTESIAN_POINT('',(-1.826460124445E1,1.623311785826E0, +-3.638251535226E1)); +#7070=CARTESIAN_POINT('',(-1.828813358252E1,1.681537900704E0, +-3.636600734275E1)); +#7071=CARTESIAN_POINT('',(-1.831163016491E1,1.745618483606E0, +-3.634648186135E1)); +#7072=CARTESIAN_POINT('',(-1.833438559381E1,1.813585172311E0, +-3.632419654264E1)); +#7073=CARTESIAN_POINT('',(-1.835668379751E1,1.886069694913E0, +-3.629862715774E1)); +#7074=CARTESIAN_POINT('',(-1.837901701095E1,1.964889895124E0, +-3.626865692922E1)); +#7075=CARTESIAN_POINT('',(-1.840158182703E1,2.051326456867E0, +-3.623311459469E1)); +#7076=CARTESIAN_POINT('',(-1.842448453557E1,2.146637248847E0, +-3.619056197304E1)); +#7077=CARTESIAN_POINT('',(-1.844769450038E1,2.251804940778E0, +-3.613933190426E1)); +#7078=CARTESIAN_POINT('',(-1.847107890597E1,2.367510582288E0, +-3.607751612806E1)); +#7079=CARTESIAN_POINT('',(-1.849458758413E1,2.495076551144E0, +-3.600231049775E1)); +#7080=CARTESIAN_POINT('',(-1.851801244936E1,2.635334178585E0, +-3.591037097598E1)); +#7081=CARTESIAN_POINT('',(-1.854095900791E1,2.788191609859E0, +-3.579792116094E1)); +#7082=CARTESIAN_POINT('',(-1.856261204026E1,2.950225808918E0, +-3.566275617697E1)); +#7083=CARTESIAN_POINT('',(-1.858215369110E1,3.115988931057E0, +-3.550455413805E1)); +#7084=CARTESIAN_POINT('',(-1.859906413189E1,3.280292394057E0, +-3.532326248796E1)); +#7085=CARTESIAN_POINT('',(-1.861265129868E1,3.432821284940E0, +-3.512684926195E1)); +#7086=CARTESIAN_POINT('',(-1.862305236811E1,3.567912475833E0, +-3.492312776666E1)); +#7087=CARTESIAN_POINT('',(-1.863101494603E1,3.687858231586E0, +-3.470968642178E1)); +#7088=CARTESIAN_POINT('',(-1.863695585427E1,3.792325147151E0, +-3.448627886933E1)); +#7089=CARTESIAN_POINT('',(-1.863978773170E1,3.850536542063E0, +-3.433287293397E1)); +#7090=CARTESIAN_POINT('',(-1.864098518058E1,3.876763209470E0, +-3.425515488527E1)); +#7092=CARTESIAN_POINT('',(-2.135901481942E1,3.876763209470E0, +-3.425515488527E1)); +#7093=CARTESIAN_POINT('',(-2.136018530865E1,3.851127015606E0, +-3.433112317099E1)); +#7094=CARTESIAN_POINT('',(-2.136294459498E1,3.794332947955E0, +-3.448118680195E1)); +#7095=CARTESIAN_POINT('',(-2.136870450835E1,3.692568466682E0, +-3.470021035134E1)); +#7096=CARTESIAN_POINT('',(-2.137638515328E1,3.575956587756E0, +-3.490966367157E1)); +#7097=CARTESIAN_POINT('',(-2.138636779358E1,3.444921001044E0, +-3.510963818622E1)); +#7098=CARTESIAN_POINT('',(-2.139931586263E1,3.297540853491E0, +-3.530231759692E1)); +#7099=CARTESIAN_POINT('',(-2.141548053801E1,3.137790662094E0, +-3.548185675522E1)); +#7100=CARTESIAN_POINT('',(-2.143434612526E1,2.974761764925E0, +-3.564060076009E1)); +#7101=CARTESIAN_POINT('',(-2.145545849595E1,2.813741572106E0, +-3.577771108788E1)); +#7102=CARTESIAN_POINT('',(-2.147809679753E1,2.660106052957E0, +-3.589303645359E1)); +#7103=CARTESIAN_POINT('',(-2.150141591583E1,2.518016073778E0, +-3.598795718220E1)); +#7104=CARTESIAN_POINT('',(-2.152494515955E1,2.388241280086E0, +-3.606581573877E1)); +#7105=CARTESIAN_POINT('',(-2.154841586641E1,2.270329375725E0, +-3.612983356346E1)); +#7106=CARTESIAN_POINT('',(-2.157175780470E1,2.163041142544E0, +-3.618287831452E1)); +#7107=CARTESIAN_POINT('',(-2.159483296757E1,2.065710334683E0, +-3.622692833540E1)); +#7108=CARTESIAN_POINT('',(-2.161758787603E1,1.977430733180E0, +-3.626368167645E1)); +#7109=CARTESIAN_POINT('',(-2.164009925839E1,1.897013587517E0, +-3.629460756497E1)); +#7110=CARTESIAN_POINT('',(-2.166254830948E1,1.823185550191E0, +-3.632092167630E1)); +#7111=CARTESIAN_POINT('',(-2.168533978420E1,1.754319618368E0, +-3.634372197151E1)); +#7112=CARTESIAN_POINT('',(-2.170889319064E1,1.689294717695E0, +-3.636372408835E1)); +#7113=CARTESIAN_POINT('',(-2.173277200609E1,1.629494563173E0, +-3.638082311535E1)); +#7114=CARTESIAN_POINT('',(-2.175633273578E1,1.576240086865E0, +-3.639502971824E1)); +#7115=CARTESIAN_POINT('',(-2.177952500138E1,1.529145045045E0, +-3.640680893556E1)); +#7116=CARTESIAN_POINT('',(-2.180258802885E1,1.487370715271E0, +-3.641664855172E1)); +#7117=CARTESIAN_POINT('',(-2.182586848660E1,1.450181379542E0, +-3.642492964334E1)); +#7118=CARTESIAN_POINT('',(-2.184942090193E1,1.417553596565E0, +-3.643182425054E1)); +#7119=CARTESIAN_POINT('',(-2.187298996672E1,1.389831538219E0, +-3.643741174643E1)); +#7120=CARTESIAN_POINT('',(-2.189643476774E1,1.367056704341E0, +-3.644181768553E1)); +#7121=CARTESIAN_POINT('',(-2.191185769909E1,1.355159594021E0, +-3.644404213865E1)); +#7122=CARTESIAN_POINT('',(-2.191950174430E1,1.35E0,-3.644499614792E1)); +#7124=CARTESIAN_POINT('',(-2.208049825570E1,1.35E0,-3.644499614792E1)); +#7125=CARTESIAN_POINT('',(-2.208855125301E1,1.355435629389E0, +-3.644399109969E1)); +#7126=CARTESIAN_POINT('',(-2.210455558996E1,1.367877075389E0, +-3.644166331568E1)); +#7127=CARTESIAN_POINT('',(-2.212847741418E1,1.391405362500E0, +-3.643710177870E1)); +#7128=CARTESIAN_POINT('',(-2.215175598280E1,1.419117298260E0, +-3.643149879849E1)); +#7129=CARTESIAN_POINT('',(-2.217426566709E1,1.450461800652E0, +-3.642486251069E1)); +#7130=CARTESIAN_POINT('',(-2.219648275847E1,1.485855073020E0, +-3.641698823412E1)); +#7131=CARTESIAN_POINT('',(-2.221882373432E1,1.526029778151E0, +-3.640755706359E1)); +#7132=CARTESIAN_POINT('',(-2.224150404439E1,1.571660350025E0, +-3.639620221654E1)); +#7133=CARTESIAN_POINT('',(-2.226460124445E1,1.623311785826E0, +-3.638251535226E1)); +#7134=CARTESIAN_POINT('',(-2.228813358252E1,1.681537900704E0, +-3.636600734275E1)); +#7135=CARTESIAN_POINT('',(-2.231163016491E1,1.745618483606E0, +-3.634648186135E1)); +#7136=CARTESIAN_POINT('',(-2.233438559381E1,1.813585172311E0, +-3.632419654264E1)); +#7137=CARTESIAN_POINT('',(-2.235668379751E1,1.886069694913E0, +-3.629862715774E1)); +#7138=CARTESIAN_POINT('',(-2.237901701095E1,1.964889895124E0, +-3.626865692922E1)); +#7139=CARTESIAN_POINT('',(-2.240158182703E1,2.051326456867E0, +-3.623311459469E1)); +#7140=CARTESIAN_POINT('',(-2.242448453557E1,2.146637248847E0, +-3.619056197304E1)); +#7141=CARTESIAN_POINT('',(-2.244769450038E1,2.251804940778E0, +-3.613933190426E1)); +#7142=CARTESIAN_POINT('',(-2.247107890597E1,2.367510582288E0, +-3.607751612806E1)); +#7143=CARTESIAN_POINT('',(-2.249458758413E1,2.495076551144E0, +-3.600231049775E1)); +#7144=CARTESIAN_POINT('',(-2.251801244936E1,2.635334178585E0, +-3.591037097598E1)); +#7145=CARTESIAN_POINT('',(-2.254095900791E1,2.788191609859E0, +-3.579792116094E1)); +#7146=CARTESIAN_POINT('',(-2.256261204026E1,2.950225808918E0, +-3.566275617697E1)); +#7147=CARTESIAN_POINT('',(-2.258215369110E1,3.115988931057E0, +-3.550455413805E1)); +#7148=CARTESIAN_POINT('',(-2.259906413189E1,3.280292394057E0, +-3.532326248796E1)); +#7149=CARTESIAN_POINT('',(-2.261265129868E1,3.432821284940E0, +-3.512684926195E1)); +#7150=CARTESIAN_POINT('',(-2.262305236811E1,3.567912475833E0, +-3.492312776666E1)); +#7151=CARTESIAN_POINT('',(-2.263101494603E1,3.687858231586E0, +-3.470968642178E1)); +#7152=CARTESIAN_POINT('',(-2.263695585427E1,3.792325147151E0, +-3.448627886933E1)); +#7153=CARTESIAN_POINT('',(-2.263978773170E1,3.850536542063E0, +-3.433287293397E1)); +#7154=CARTESIAN_POINT('',(-2.264098518058E1,3.876763209470E0, +-3.425515488527E1)); +#7156=DIRECTION('',(1.E0,0.E0,0.E0)); +#7157=VECTOR('',#7156,4.94E1); +#7158=CARTESIAN_POINT('',(-2.47E1,7.5E-1,-3.65E1)); +#7159=LINE('',#7158,#7157); +#7160=CARTESIAN_POINT('',(2.2E1,4.E0,-3.315E1)); +#7161=DIRECTION('',(0.E0,0.E0,1.E0)); +#7162=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#7163=AXIS2_PLACEMENT_3D('',#7160,#7161,#7162); +#7165=CARTESIAN_POINT('',(2.260012164638E1,4.249707848397E0,-3.315E1)); +#7166=CARTESIAN_POINT('',(2.258761911208E1,4.279755127711E0,-3.306096024971E1)); +#7167=CARTESIAN_POINT('',(2.256261404348E1,4.328192224127E0,-3.291742555811E1)); +#7168=CARTESIAN_POINT('',(2.252510644058E1,4.384834501295E0,-3.274957627757E1)); +#7169=CARTESIAN_POINT('',(2.248759883768E1,4.431051102353E0,-3.261262162771E1)); +#7170=CARTESIAN_POINT('',(2.243758870048E1,4.482844343698E0,-3.245914159952E1)); +#7171=CARTESIAN_POINT('',(2.237507602898E1,4.533455814871E0,-3.230916353635E1)); +#7172=CARTESIAN_POINT('',(2.230006082319E1,4.578646523472E0,-3.217524893572E1)); +#7173=CARTESIAN_POINT('',(2.222504561739E1,4.611536979772E0,-3.207778393779E1)); +#7174=CARTESIAN_POINT('',(2.212502534299E1,4.641484785470E0,-3.198903895981E1)); +#7175=CARTESIAN_POINT('',(2.2E1,4.655676809687E0,-3.194698342862E1)); +#7176=CARTESIAN_POINT('',(2.187497465701E1,4.641484785470E0,-3.198903895981E1)); +#7177=CARTESIAN_POINT('',(2.177495438261E1,4.611536979772E0,-3.207778393779E1)); +#7178=CARTESIAN_POINT('',(2.169993917681E1,4.578646523472E0,-3.217524893572E1)); +#7179=CARTESIAN_POINT('',(2.162492397102E1,4.533455814871E0,-3.230916353635E1)); +#7180=CARTESIAN_POINT('',(2.156241129952E1,4.482844343698E0,-3.245914159952E1)); +#7181=CARTESIAN_POINT('',(2.151240116232E1,4.431051102353E0,-3.261262162771E1)); +#7182=CARTESIAN_POINT('',(2.147489355942E1,4.384834501295E0,-3.274957627757E1)); +#7183=CARTESIAN_POINT('',(2.143738595652E1,4.328192224127E0,-3.291742555811E1)); +#7184=CARTESIAN_POINT('',(2.141238088792E1,4.279755127711E0,-3.306096024971E1)); +#7185=CARTESIAN_POINT('',(2.139987835362E1,4.249707848397E0,-3.315E1)); +#7187=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7188=VECTOR('',#7187,7.525E0); +#7189=CARTESIAN_POINT('',(2.2E1,3.35E0,-1.2475E1)); +#7190=LINE('',#7189,#7188); +#7191=CARTESIAN_POINT('',(2.260012164638E1,4.249707848397E0,-3.315E1)); +#7192=CARTESIAN_POINT('',(2.260767467777E1,4.231555685116E0,-3.320379069662E1)); +#7193=CARTESIAN_POINT('',(2.262010237556E1,4.194078221433E0,-3.331484847237E1)); +#7194=CARTESIAN_POINT('',(2.263218434419E1,4.133942124225E0,-3.349305106512E1)); +#7195=CARTESIAN_POINT('',(2.263903704922E1,4.071329855963E0,-3.367859134892E1)); +#7196=CARTESIAN_POINT('',(2.264227446857E1,4.006985384941E0,-3.386926470648E1)); +#7197=CARTESIAN_POINT('',(2.264298275701E1,3.941731931639E0,-3.406263167083E1)); +#7198=CARTESIAN_POINT('',(2.264197445888E1,3.898430500192E0,-3.419094773575E1)); +#7199=CARTESIAN_POINT('',(2.264098518058E1,3.876763209470E0,-3.425515488527E1)); +#7201=DIRECTION('',(1.E0,0.E0,2.070532166328E-14)); +#7202=VECTOR('',#7201,2.059014819423E0); +#7203=CARTESIAN_POINT('',(2.264098518058E1,3.876763209470E0,-3.425515488527E1)); +#7204=LINE('',#7203,#7202); +#7205=CARTESIAN_POINT('',(2.32E1,7.E0,-2.5E1)); +#7206=CARTESIAN_POINT('',(2.326449223335E1,7.E0,-2.5E1)); +#7207=CARTESIAN_POINT('',(2.336998119747E1,6.992487456263E0,-2.502226208275E1)); +#7208=CARTESIAN_POINT('',(2.349836414934E1,6.971674127052E0,-2.508393866971E1)); +#7209=CARTESIAN_POINT('',(2.360779762418E1,6.944801818065E0,-2.516356996202E1)); +#7210=CARTESIAN_POINT('',(2.373643373372E1,6.903448435964E0,-2.528611333034E1)); +#7211=CARTESIAN_POINT('',(2.387300394929E1,6.844010937399E0,-2.546224575068E1)); +#7212=CARTESIAN_POINT('',(2.401153490028E1,6.764579373522E0,-2.569762701562E1)); +#7213=CARTESIAN_POINT('',(2.413091642079E1,6.679024895970E0,-2.595115244259E1)); +#7214=CARTESIAN_POINT('',(2.426956319918E1,6.558388370179E0,-2.630863725912E1)); +#7215=CARTESIAN_POINT('',(2.441053929699E1,6.397254633054E0,-2.678612833467E1)); +#7216=CARTESIAN_POINT('',(2.453859414098E1,6.190747406760E0,-2.739807564846E1)); +#7217=CARTESIAN_POINT('',(2.463008395517E1,5.972548735066E0,-2.804466847434E1)); +#7218=CARTESIAN_POINT('',(2.468651118845E1,5.743139016844E0,-2.872448323599E1)); +#7219=CARTESIAN_POINT('',(2.47E1,5.582483994039E0,-2.920055572709E1)); +#7220=CARTESIAN_POINT('',(2.47E1,5.5E0,-2.944498232411E1)); +#7222=CARTESIAN_POINT('',(-2.47E1,5.5E0,-2.944498232411E1)); +#7223=CARTESIAN_POINT('',(-2.47E1,5.582483994039E0,-2.920055572709E1)); +#7224=CARTESIAN_POINT('',(-2.468651118845E1,5.743139016844E0, +-2.872448323599E1)); +#7225=CARTESIAN_POINT('',(-2.463008395517E1,5.972548735066E0, +-2.804466847434E1)); +#7226=CARTESIAN_POINT('',(-2.453859414098E1,6.190747406760E0, +-2.739807564846E1)); +#7227=CARTESIAN_POINT('',(-2.441053929699E1,6.397254633054E0, +-2.678612833467E1)); +#7228=CARTESIAN_POINT('',(-2.426956319918E1,6.558388370179E0, +-2.630863725912E1)); +#7229=CARTESIAN_POINT('',(-2.413091642079E1,6.679024895970E0, +-2.595115244259E1)); +#7230=CARTESIAN_POINT('',(-2.401153490028E1,6.764579373522E0, +-2.569762701562E1)); +#7231=CARTESIAN_POINT('',(-2.387300394929E1,6.844010937399E0, +-2.546224575068E1)); +#7232=CARTESIAN_POINT('',(-2.373643373372E1,6.903448435964E0, +-2.528611333034E1)); +#7233=CARTESIAN_POINT('',(-2.360779762418E1,6.944801818065E0, +-2.516356996202E1)); +#7234=CARTESIAN_POINT('',(-2.349836414934E1,6.971674127052E0, +-2.508393866971E1)); +#7235=CARTESIAN_POINT('',(-2.336998119747E1,6.992487456263E0, +-2.502226208275E1)); +#7236=CARTESIAN_POINT('',(-2.326449223335E1,7.E0,-2.5E1)); +#7237=CARTESIAN_POINT('',(-2.32E1,7.E0,-2.5E1)); +#7239=DIRECTION('',(1.E0,0.E0,-2.070532166328E-14)); +#7240=VECTOR('',#7239,2.059014819423E0); +#7241=CARTESIAN_POINT('',(-2.47E1,3.876763209470E0,-3.425515488527E1)); +#7242=LINE('',#7241,#7240); +#7243=CARTESIAN_POINT('',(-2.264098518058E1,3.876763209470E0, +-3.425515488527E1)); +#7244=CARTESIAN_POINT('',(-2.264197458489E1,3.898433260023E0, +-3.419093955749E1)); +#7245=CARTESIAN_POINT('',(-2.264298409753E1,3.941763413913E0, +-3.406253837873E1)); +#7246=CARTESIAN_POINT('',(-2.264227142300E1,4.007167258605E0, +-3.386872575634E1)); +#7247=CARTESIAN_POINT('',(-2.263901479196E1,4.071656489940E0, +-3.367762342742E1)); +#7248=CARTESIAN_POINT('',(-2.263212575575E1,4.134346074682E0, +-3.349185403003E1)); +#7249=CARTESIAN_POINT('',(-2.262000507749E1,4.194428211800E0, +-3.331381133837E1)); +#7250=CARTESIAN_POINT('',(-2.260761639464E1,4.231695756677E0, +-3.320337561955E1)); +#7251=CARTESIAN_POINT('',(-2.260012164638E1,4.249707848397E0,-3.315E1)); +#7253=CARTESIAN_POINT('',(-2.139987835362E1,4.249707848397E0,-3.315E1)); +#7254=CARTESIAN_POINT('',(-2.139232532223E1,4.231555685116E0, +-3.320379069662E1)); +#7255=CARTESIAN_POINT('',(-2.137989762444E1,4.194078221433E0, +-3.331484847237E1)); +#7256=CARTESIAN_POINT('',(-2.136781565581E1,4.133942124225E0, +-3.349305106512E1)); +#7257=CARTESIAN_POINT('',(-2.136096295078E1,4.071329855963E0, +-3.367859134892E1)); +#7258=CARTESIAN_POINT('',(-2.135772553143E1,4.006985384941E0, +-3.386926470648E1)); +#7259=CARTESIAN_POINT('',(-2.135701724299E1,3.941731931639E0, +-3.406263167083E1)); +#7260=CARTESIAN_POINT('',(-2.135802554112E1,3.898430500192E0, +-3.419094773575E1)); +#7261=CARTESIAN_POINT('',(-2.135901481942E1,3.876763209470E0, +-3.425515488527E1)); +#7263=DIRECTION('',(1.E0,0.E0,0.E0)); +#7264=VECTOR('',#7263,2.718029638846E0); +#7265=CARTESIAN_POINT('',(-2.135901481942E1,3.876763209470E0, +-3.425515488527E1)); +#7266=LINE('',#7265,#7264); +#7267=CARTESIAN_POINT('',(-1.864098518058E1,3.876763209470E0, +-3.425515488527E1)); +#7268=CARTESIAN_POINT('',(-1.864197458489E1,3.898433260023E0, +-3.419093955749E1)); +#7269=CARTESIAN_POINT('',(-1.864298409753E1,3.941763413913E0, +-3.406253837873E1)); +#7270=CARTESIAN_POINT('',(-1.864227142300E1,4.007167258605E0, +-3.386872575634E1)); +#7271=CARTESIAN_POINT('',(-1.863901479196E1,4.071656489940E0, +-3.367762342742E1)); +#7272=CARTESIAN_POINT('',(-1.863212575575E1,4.134346074682E0, +-3.349185403003E1)); +#7273=CARTESIAN_POINT('',(-1.862000507749E1,4.194428211800E0, +-3.331381133837E1)); +#7274=CARTESIAN_POINT('',(-1.860761639464E1,4.231695756677E0, +-3.320337561955E1)); +#7275=CARTESIAN_POINT('',(-1.860012164638E1,4.249707848397E0,-3.315E1)); +#7277=CARTESIAN_POINT('',(-1.739987835362E1,4.249707848397E0,-3.315E1)); +#7278=CARTESIAN_POINT('',(-1.739232532223E1,4.231555685116E0, +-3.320379069662E1)); +#7279=CARTESIAN_POINT('',(-1.737989762444E1,4.194078221433E0, +-3.331484847237E1)); +#7280=CARTESIAN_POINT('',(-1.736781565581E1,4.133942124225E0, +-3.349305106512E1)); +#7281=CARTESIAN_POINT('',(-1.736096295078E1,4.071329855963E0, +-3.367859134892E1)); +#7282=CARTESIAN_POINT('',(-1.735772553143E1,4.006985384941E0, +-3.386926470648E1)); +#7283=CARTESIAN_POINT('',(-1.735701724299E1,3.941731931639E0, +-3.406263167083E1)); +#7284=CARTESIAN_POINT('',(-1.735802554112E1,3.898430500192E0, +-3.419094773575E1)); +#7285=CARTESIAN_POINT('',(-1.735901481942E1,3.876763209470E0, +-3.425515488527E1)); +#7287=DIRECTION('',(1.E0,0.E0,0.E0)); +#7288=VECTOR('',#7287,2.718029638846E0); +#7289=CARTESIAN_POINT('',(-1.735901481942E1,3.876763209470E0, +-3.425515488527E1)); +#7290=LINE('',#7289,#7288); +#7291=CARTESIAN_POINT('',(-1.464098518058E1,3.876763209470E0, +-3.425515488527E1)); +#7292=CARTESIAN_POINT('',(-1.464197458489E1,3.898433260023E0, +-3.419093955749E1)); +#7293=CARTESIAN_POINT('',(-1.464298409753E1,3.941763413913E0, +-3.406253837873E1)); +#7294=CARTESIAN_POINT('',(-1.464227142300E1,4.007167258605E0, +-3.386872575634E1)); +#7295=CARTESIAN_POINT('',(-1.463901479196E1,4.071656489940E0, +-3.367762342742E1)); +#7296=CARTESIAN_POINT('',(-1.463212575575E1,4.134346074682E0, +-3.349185403003E1)); +#7297=CARTESIAN_POINT('',(-1.462000507749E1,4.194428211800E0, +-3.331381133837E1)); +#7298=CARTESIAN_POINT('',(-1.460761639464E1,4.231695756677E0, +-3.320337561955E1)); +#7299=CARTESIAN_POINT('',(-1.460012164638E1,4.249707848397E0,-3.315E1)); +#7301=CARTESIAN_POINT('',(-1.339987834105E1,4.249707819627E0, +-3.315000008159E1)); +#7302=CARTESIAN_POINT('',(-1.339232530733E1,4.231555646807E0, +-3.320379080648E1)); +#7303=CARTESIAN_POINT('',(-1.337989761111E1,4.194078168488E0, +-3.331484863096E1)); +#7304=CARTESIAN_POINT('',(-1.336781565059E1,4.133942086130E0, +-3.349305117755E1)); +#7305=CARTESIAN_POINT('',(-1.336096294855E1,4.071329824800E0, +-3.367859144139E1)); +#7306=CARTESIAN_POINT('',(-1.335772553099E1,4.006985364148E0, +-3.386926476807E1)); +#7307=CARTESIAN_POINT('',(-1.335701724315E1,3.941731921129E0, +-3.406263170198E1)); +#7308=CARTESIAN_POINT('',(-1.335802554128E1,3.898430496676E0, +-3.419094774617E1)); +#7309=CARTESIAN_POINT('',(-1.335901481942E1,3.876763209470E0, +-3.425515488527E1)); +#7311=DIRECTION('',(1.E0,0.E0,-1.045673270968E-14)); +#7312=VECTOR('',#7311,2.718029638846E0); +#7313=CARTESIAN_POINT('',(-1.335901481942E1,3.876763209470E0, +-3.425515488527E1)); +#7314=LINE('',#7313,#7312); +#7315=CARTESIAN_POINT('',(-1.064098518058E1,3.876763209470E0, +-3.425515488527E1)); +#7316=CARTESIAN_POINT('',(-1.064197458489E1,3.898433260023E0, +-3.419093955749E1)); +#7317=CARTESIAN_POINT('',(-1.064298409753E1,3.941763413913E0, +-3.406253837873E1)); +#7318=CARTESIAN_POINT('',(-1.064227142300E1,4.007167258605E0, +-3.386872575634E1)); +#7319=CARTESIAN_POINT('',(-1.063901479196E1,4.071656489940E0, +-3.367762342742E1)); +#7320=CARTESIAN_POINT('',(-1.063212575575E1,4.134346074682E0, +-3.349185403003E1)); +#7321=CARTESIAN_POINT('',(-1.062000507749E1,4.194428211800E0, +-3.331381133837E1)); +#7322=CARTESIAN_POINT('',(-1.060761639464E1,4.231695756677E0, +-3.320337561955E1)); +#7323=CARTESIAN_POINT('',(-1.060012164638E1,4.249707848397E0,-3.315E1)); +#7325=CARTESIAN_POINT('',(-9.399878353624E0,4.249707848397E0,-3.315E1)); +#7326=CARTESIAN_POINT('',(-9.392325322228E0,4.231555685116E0, +-3.320379069662E1)); +#7327=CARTESIAN_POINT('',(-9.379897624440E0,4.194078221433E0, +-3.331484847237E1)); +#7328=CARTESIAN_POINT('',(-9.367815655808E0,4.133942124225E0, +-3.349305106512E1)); +#7329=CARTESIAN_POINT('',(-9.360962950780E0,4.071329855963E0, +-3.367859134892E1)); +#7330=CARTESIAN_POINT('',(-9.357725531428E0,4.006985384941E0, +-3.386926470648E1)); +#7331=CARTESIAN_POINT('',(-9.357017242985E0,3.941731931639E0, +-3.406263167083E1)); +#7332=CARTESIAN_POINT('',(-9.358025541121E0,3.898430500192E0, +-3.419094773575E1)); +#7333=CARTESIAN_POINT('',(-9.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#7335=DIRECTION('',(1.E0,0.E0,0.E0)); +#7336=VECTOR('',#7335,2.718029638846E0); +#7337=CARTESIAN_POINT('',(-9.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#7338=LINE('',#7337,#7336); +#7339=CARTESIAN_POINT('',(-6.640985180577E0,3.876763209470E0, +-3.425515488527E1)); +#7340=CARTESIAN_POINT('',(-6.641974584887E0,3.898433260023E0, +-3.419093955749E1)); +#7341=CARTESIAN_POINT('',(-6.642984097525E0,3.941763413913E0, +-3.406253837873E1)); +#7342=CARTESIAN_POINT('',(-6.642271423E0,4.007167258605E0,-3.386872575634E1)); +#7343=CARTESIAN_POINT('',(-6.639014791959E0,4.071656489940E0, +-3.367762342742E1)); +#7344=CARTESIAN_POINT('',(-6.632125755749E0,4.134346074682E0, +-3.349185403003E1)); +#7345=CARTESIAN_POINT('',(-6.620005077487E0,4.194428211800E0, +-3.331381133837E1)); +#7346=CARTESIAN_POINT('',(-6.607616394642E0,4.231695756677E0, +-3.320337561955E1)); +#7347=CARTESIAN_POINT('',(-6.600121646376E0,4.249707848397E0,-3.315E1)); +#7349=CARTESIAN_POINT('',(-5.399878353624E0,4.249707848397E0,-3.315E1)); +#7350=CARTESIAN_POINT('',(-5.392325322228E0,4.231555685116E0, +-3.320379069662E1)); +#7351=CARTESIAN_POINT('',(-5.379897624440E0,4.194078221433E0, +-3.331484847237E1)); +#7352=CARTESIAN_POINT('',(-5.367815655808E0,4.133942124225E0, +-3.349305106512E1)); +#7353=CARTESIAN_POINT('',(-5.360962950780E0,4.071329855963E0, +-3.367859134892E1)); +#7354=CARTESIAN_POINT('',(-5.357725531428E0,4.006985384941E0, +-3.386926470648E1)); +#7355=CARTESIAN_POINT('',(-5.357017242985E0,3.941731931639E0, +-3.406263167083E1)); +#7356=CARTESIAN_POINT('',(-5.358025541121E0,3.898430500192E0, +-3.419094773575E1)); +#7357=CARTESIAN_POINT('',(-5.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#7359=DIRECTION('',(1.E0,0.E0,0.E0)); +#7360=VECTOR('',#7359,2.718029638846E0); +#7361=CARTESIAN_POINT('',(-5.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#7362=LINE('',#7361,#7360); +#7363=CARTESIAN_POINT('',(-2.640985180577E0,3.876763209470E0, +-3.425515488527E1)); +#7364=CARTESIAN_POINT('',(-2.641974584887E0,3.898433260023E0, +-3.419093955749E1)); +#7365=CARTESIAN_POINT('',(-2.642984097525E0,3.941763413913E0, +-3.406253837873E1)); +#7366=CARTESIAN_POINT('',(-2.642271423E0,4.007167258605E0,-3.386872575634E1)); +#7367=CARTESIAN_POINT('',(-2.639014791959E0,4.071656489940E0, +-3.367762342742E1)); +#7368=CARTESIAN_POINT('',(-2.632125755749E0,4.134346074682E0, +-3.349185403003E1)); +#7369=CARTESIAN_POINT('',(-2.620005077487E0,4.194428211800E0, +-3.331381133837E1)); +#7370=CARTESIAN_POINT('',(-2.607616394642E0,4.231695756677E0, +-3.320337561955E1)); +#7371=CARTESIAN_POINT('',(-2.600121646376E0,4.249707848397E0,-3.315E1)); +#7373=CARTESIAN_POINT('',(-1.399878353624E0,4.249707848397E0,-3.315E1)); +#7374=CARTESIAN_POINT('',(-1.392325322228E0,4.231555685116E0, +-3.320379069662E1)); +#7375=CARTESIAN_POINT('',(-1.379897624440E0,4.194078221433E0, +-3.331484847237E1)); +#7376=CARTESIAN_POINT('',(-1.367815655808E0,4.133942124225E0, +-3.349305106512E1)); +#7377=CARTESIAN_POINT('',(-1.360962950780E0,4.071329855963E0, +-3.367859134892E1)); +#7378=CARTESIAN_POINT('',(-1.357725531428E0,4.006985384941E0, +-3.386926470648E1)); +#7379=CARTESIAN_POINT('',(-1.357017242985E0,3.941731931639E0, +-3.406263167083E1)); +#7380=CARTESIAN_POINT('',(-1.358025541121E0,3.898430500192E0, +-3.419094773575E1)); +#7381=CARTESIAN_POINT('',(-1.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#7383=DIRECTION('',(1.E0,0.E0,0.E0)); +#7384=VECTOR('',#7383,2.718029638846E0); +#7385=CARTESIAN_POINT('',(-1.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#7386=LINE('',#7385,#7384); +#7387=CARTESIAN_POINT('',(1.359014819423E0,3.876763209470E0,-3.425515488527E1)); +#7388=CARTESIAN_POINT('',(1.358025415113E0,3.898433260023E0,-3.419093955749E1)); +#7389=CARTESIAN_POINT('',(1.357015902475E0,3.941763413913E0,-3.406253837873E1)); +#7390=CARTESIAN_POINT('',(1.357728577E0,4.007167258605E0,-3.386872575634E1)); +#7391=CARTESIAN_POINT('',(1.360985208041E0,4.071656489940E0,-3.367762342742E1)); +#7392=CARTESIAN_POINT('',(1.367874244251E0,4.134346074682E0,-3.349185403003E1)); +#7393=CARTESIAN_POINT('',(1.379994922513E0,4.194428211800E0,-3.331381133837E1)); +#7394=CARTESIAN_POINT('',(1.392383605358E0,4.231695756677E0,-3.320337561955E1)); +#7395=CARTESIAN_POINT('',(1.399878353624E0,4.249707848397E0,-3.315E1)); +#7397=CARTESIAN_POINT('',(2.600121646376E0,4.249707848397E0,-3.315E1)); +#7398=CARTESIAN_POINT('',(2.607674677772E0,4.231555685116E0,-3.320379069662E1)); +#7399=CARTESIAN_POINT('',(2.620102375560E0,4.194078221433E0,-3.331484847237E1)); +#7400=CARTESIAN_POINT('',(2.632184344192E0,4.133942124225E0,-3.349305106512E1)); +#7401=CARTESIAN_POINT('',(2.639037049220E0,4.071329855963E0,-3.367859134892E1)); +#7402=CARTESIAN_POINT('',(2.642274468572E0,4.006985384941E0,-3.386926470648E1)); +#7403=CARTESIAN_POINT('',(2.642982757015E0,3.941731931639E0,-3.406263167083E1)); +#7404=CARTESIAN_POINT('',(2.641974458879E0,3.898430500192E0,-3.419094773575E1)); +#7405=CARTESIAN_POINT('',(2.640985180577E0,3.876763209470E0,-3.425515488527E1)); +#7407=DIRECTION('',(1.E0,0.E0,-1.045673270968E-14)); +#7408=VECTOR('',#7407,2.718029638846E0); +#7409=CARTESIAN_POINT('',(2.640985180577E0,3.876763209470E0,-3.425515488527E1)); +#7410=LINE('',#7409,#7408); +#7411=CARTESIAN_POINT('',(5.359014819423E0,3.876763209470E0,-3.425515488527E1)); +#7412=CARTESIAN_POINT('',(5.358025415113E0,3.898433260023E0,-3.419093955749E1)); +#7413=CARTESIAN_POINT('',(5.357015902475E0,3.941763413913E0,-3.406253837873E1)); +#7414=CARTESIAN_POINT('',(5.357728577E0,4.007167258605E0,-3.386872575634E1)); +#7415=CARTESIAN_POINT('',(5.360985208041E0,4.071656489940E0,-3.367762342742E1)); +#7416=CARTESIAN_POINT('',(5.367874244251E0,4.134346074682E0,-3.349185403003E1)); +#7417=CARTESIAN_POINT('',(5.379994922513E0,4.194428211800E0,-3.331381133837E1)); +#7418=CARTESIAN_POINT('',(5.392383605358E0,4.231695756677E0,-3.320337561955E1)); +#7419=CARTESIAN_POINT('',(5.399878353624E0,4.249707848397E0,-3.315E1)); +#7421=CARTESIAN_POINT('',(6.600121646376E0,4.249707848397E0,-3.315E1)); +#7422=CARTESIAN_POINT('',(6.607674677772E0,4.231555685116E0,-3.320379069662E1)); +#7423=CARTESIAN_POINT('',(6.620102375560E0,4.194078221433E0,-3.331484847237E1)); +#7424=CARTESIAN_POINT('',(6.632184344192E0,4.133942124225E0,-3.349305106512E1)); +#7425=CARTESIAN_POINT('',(6.639037049220E0,4.071329855963E0,-3.367859134892E1)); +#7426=CARTESIAN_POINT('',(6.642274468572E0,4.006985384941E0,-3.386926470648E1)); +#7427=CARTESIAN_POINT('',(6.642982757015E0,3.941731931639E0,-3.406263167083E1)); +#7428=CARTESIAN_POINT('',(6.641974458879E0,3.898430500192E0,-3.419094773575E1)); +#7429=CARTESIAN_POINT('',(6.640985180577E0,3.876763209470E0,-3.425515488527E1)); +#7431=DIRECTION('',(1.E0,0.E0,0.E0)); +#7432=VECTOR('',#7431,2.718029638846E0); +#7433=CARTESIAN_POINT('',(6.640985180577E0,3.876763209470E0,-3.425515488527E1)); +#7434=LINE('',#7433,#7432); +#7435=CARTESIAN_POINT('',(9.359014819423E0,3.876763209470E0,-3.425515488527E1)); +#7436=CARTESIAN_POINT('',(9.358025415113E0,3.898433260023E0,-3.419093955749E1)); +#7437=CARTESIAN_POINT('',(9.357015902475E0,3.941763413913E0,-3.406253837873E1)); +#7438=CARTESIAN_POINT('',(9.357728577E0,4.007167258605E0,-3.386872575634E1)); +#7439=CARTESIAN_POINT('',(9.360985208041E0,4.071656489940E0,-3.367762342742E1)); +#7440=CARTESIAN_POINT('',(9.367874244251E0,4.134346074682E0,-3.349185403003E1)); +#7441=CARTESIAN_POINT('',(9.379994922513E0,4.194428211800E0,-3.331381133837E1)); +#7442=CARTESIAN_POINT('',(9.392383605358E0,4.231695756677E0,-3.320337561955E1)); +#7443=CARTESIAN_POINT('',(9.399878353624E0,4.249707848397E0,-3.315E1)); +#7445=CARTESIAN_POINT('',(1.060012164638E1,4.249707848397E0,-3.315E1)); +#7446=CARTESIAN_POINT('',(1.060767467777E1,4.231555685116E0,-3.320379069662E1)); +#7447=CARTESIAN_POINT('',(1.062010237556E1,4.194078221433E0,-3.331484847237E1)); +#7448=CARTESIAN_POINT('',(1.063218434419E1,4.133942124225E0,-3.349305106512E1)); +#7449=CARTESIAN_POINT('',(1.063903704922E1,4.071329855963E0,-3.367859134892E1)); +#7450=CARTESIAN_POINT('',(1.064227446857E1,4.006985384941E0,-3.386926470648E1)); +#7451=CARTESIAN_POINT('',(1.064298275701E1,3.941731931639E0,-3.406263167083E1)); +#7452=CARTESIAN_POINT('',(1.064197445888E1,3.898430500192E0,-3.419094773575E1)); +#7453=CARTESIAN_POINT('',(1.064098518058E1,3.876763209470E0,-3.425515488527E1)); +#7455=DIRECTION('',(1.E0,0.E0,0.E0)); +#7456=VECTOR('',#7455,2.718029638846E0); +#7457=CARTESIAN_POINT('',(1.064098518058E1,3.876763209470E0,-3.425515488527E1)); +#7458=LINE('',#7457,#7456); +#7459=CARTESIAN_POINT('',(1.335901481942E1,3.876763209470E0,-3.425515488527E1)); +#7460=CARTESIAN_POINT('',(1.335802541511E1,3.898433260023E0,-3.419093955749E1)); +#7461=CARTESIAN_POINT('',(1.335701590247E1,3.941763413913E0,-3.406253837873E1)); +#7462=CARTESIAN_POINT('',(1.335772857700E1,4.007167258605E0,-3.386872575634E1)); +#7463=CARTESIAN_POINT('',(1.336098520804E1,4.071656489940E0,-3.367762342742E1)); +#7464=CARTESIAN_POINT('',(1.336787424425E1,4.134346074682E0,-3.349185403003E1)); +#7465=CARTESIAN_POINT('',(1.337999492251E1,4.194428211800E0,-3.331381133837E1)); +#7466=CARTESIAN_POINT('',(1.339238360536E1,4.231695756677E0,-3.320337561955E1)); +#7467=CARTESIAN_POINT('',(1.339987835362E1,4.249707848397E0,-3.315E1)); +#7469=CARTESIAN_POINT('',(1.460012164638E1,4.249707848397E0,-3.315E1)); +#7470=CARTESIAN_POINT('',(1.460767467777E1,4.231555685116E0,-3.320379069662E1)); +#7471=CARTESIAN_POINT('',(1.462010237556E1,4.194078221433E0,-3.331484847237E1)); +#7472=CARTESIAN_POINT('',(1.463218434419E1,4.133942124225E0,-3.349305106512E1)); +#7473=CARTESIAN_POINT('',(1.463903704922E1,4.071329855963E0,-3.367859134892E1)); +#7474=CARTESIAN_POINT('',(1.464227446857E1,4.006985384941E0,-3.386926470648E1)); +#7475=CARTESIAN_POINT('',(1.464298275701E1,3.941731931639E0,-3.406263167083E1)); +#7476=CARTESIAN_POINT('',(1.464197445888E1,3.898430500192E0,-3.419094773575E1)); +#7477=CARTESIAN_POINT('',(1.464098518058E1,3.876763209470E0,-3.425515488527E1)); +#7479=DIRECTION('',(1.E0,0.E0,0.E0)); +#7480=VECTOR('',#7479,2.718029638846E0); +#7481=CARTESIAN_POINT('',(1.464098518058E1,3.876763209470E0,-3.425515488527E1)); +#7482=LINE('',#7481,#7480); +#7483=CARTESIAN_POINT('',(1.735901481942E1,3.876763209470E0,-3.425515488527E1)); +#7484=CARTESIAN_POINT('',(1.735802541511E1,3.898433260023E0,-3.419093955749E1)); +#7485=CARTESIAN_POINT('',(1.735701590247E1,3.941763413913E0,-3.406253837873E1)); +#7486=CARTESIAN_POINT('',(1.735772857700E1,4.007167258605E0,-3.386872575634E1)); +#7487=CARTESIAN_POINT('',(1.736098520804E1,4.071656489940E0,-3.367762342742E1)); +#7488=CARTESIAN_POINT('',(1.736787424425E1,4.134346074682E0,-3.349185403003E1)); +#7489=CARTESIAN_POINT('',(1.737999492251E1,4.194428211800E0,-3.331381133837E1)); +#7490=CARTESIAN_POINT('',(1.739238360536E1,4.231695756677E0,-3.320337561955E1)); +#7491=CARTESIAN_POINT('',(1.739987835362E1,4.249707848397E0,-3.315E1)); +#7493=CARTESIAN_POINT('',(1.860012164638E1,4.249707848397E0,-3.315E1)); +#7494=CARTESIAN_POINT('',(1.860767467777E1,4.231555685116E0,-3.320379069662E1)); +#7495=CARTESIAN_POINT('',(1.862010237556E1,4.194078221433E0,-3.331484847237E1)); +#7496=CARTESIAN_POINT('',(1.863218434419E1,4.133942124225E0,-3.349305106512E1)); +#7497=CARTESIAN_POINT('',(1.863903704922E1,4.071329855963E0,-3.367859134892E1)); +#7498=CARTESIAN_POINT('',(1.864227446857E1,4.006985384941E0,-3.386926470648E1)); +#7499=CARTESIAN_POINT('',(1.864298275701E1,3.941731931639E0,-3.406263167083E1)); +#7500=CARTESIAN_POINT('',(1.864197445888E1,3.898430500192E0,-3.419094773575E1)); +#7501=CARTESIAN_POINT('',(1.864098518058E1,3.876763209470E0,-3.425515488527E1)); +#7503=DIRECTION('',(1.E0,0.E0,0.E0)); +#7504=VECTOR('',#7503,2.718029638846E0); +#7505=CARTESIAN_POINT('',(1.864098518058E1,3.876763209470E0,-3.425515488527E1)); +#7506=LINE('',#7505,#7504); +#7507=CARTESIAN_POINT('',(2.135901481942E1,3.876763209470E0,-3.425515488527E1)); +#7508=CARTESIAN_POINT('',(2.135802541511E1,3.898433260023E0,-3.419093955749E1)); +#7509=CARTESIAN_POINT('',(2.135701590247E1,3.941763413913E0,-3.406253837873E1)); +#7510=CARTESIAN_POINT('',(2.135772857700E1,4.007167258605E0,-3.386872575634E1)); +#7511=CARTESIAN_POINT('',(2.136098520804E1,4.071656489940E0,-3.367762342742E1)); +#7512=CARTESIAN_POINT('',(2.136787424425E1,4.134346074682E0,-3.349185403003E1)); +#7513=CARTESIAN_POINT('',(2.137999492251E1,4.194428211800E0,-3.331381133837E1)); +#7514=CARTESIAN_POINT('',(2.139238360536E1,4.231695756677E0,-3.320337561955E1)); +#7515=CARTESIAN_POINT('',(2.139987835362E1,4.249707848397E0,-3.315E1)); +#7517=CARTESIAN_POINT('',(2.32E1,5.5E0,-2.2E1)); +#7518=DIRECTION('',(0.E0,0.E0,1.E0)); +#7519=DIRECTION('',(1.E0,0.E0,0.E0)); +#7520=AXIS2_PLACEMENT_3D('',#7517,#7518,#7519); +#7522=DIRECTION('',(0.E0,0.E0,1.E0)); +#7523=VECTOR('',#7522,3.E0); +#7524=CARTESIAN_POINT('',(2.32E1,7.E0,-2.5E1)); +#7525=LINE('',#7524,#7523); +#7526=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7527=VECTOR('',#7526,3.E0); +#7528=CARTESIAN_POINT('',(-2.32E1,7.E0,-2.2E1)); +#7529=LINE('',#7528,#7527); +#7530=DIRECTION('',(1.E0,0.E0,0.E0)); +#7531=VECTOR('',#7530,4.64E1); +#7532=CARTESIAN_POINT('',(-2.32E1,7.E0,-2.5E1)); +#7533=LINE('',#7532,#7531); +#7534=CARTESIAN_POINT('',(-2.32E1,5.5E0,-2.2E1)); +#7535=DIRECTION('',(0.E0,0.E0,1.E0)); +#7536=DIRECTION('',(0.E0,1.E0,0.E0)); +#7537=AXIS2_PLACEMENT_3D('',#7534,#7535,#7536); +#7539=CARTESIAN_POINT('',(-2.2E1,4.E0,-3.315E1)); +#7540=DIRECTION('',(0.E0,0.E0,1.E0)); +#7541=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#7542=AXIS2_PLACEMENT_3D('',#7539,#7540,#7541); +#7544=CARTESIAN_POINT('',(-2.139987835362E1,4.249707848397E0,-3.315E1)); +#7545=CARTESIAN_POINT('',(-2.141238088792E1,4.279755127711E0, +-3.306096024971E1)); +#7546=CARTESIAN_POINT('',(-2.143738595652E1,4.328192224127E0, +-3.291742555811E1)); +#7547=CARTESIAN_POINT('',(-2.147489355942E1,4.384834501295E0, +-3.274957627757E1)); +#7548=CARTESIAN_POINT('',(-2.151240116232E1,4.431051102353E0, +-3.261262162771E1)); +#7549=CARTESIAN_POINT('',(-2.156241129952E1,4.482844343698E0, +-3.245914159952E1)); +#7550=CARTESIAN_POINT('',(-2.162492397102E1,4.533455814871E0, +-3.230916353635E1)); +#7551=CARTESIAN_POINT('',(-2.169993917681E1,4.578646523472E0, +-3.217524893572E1)); +#7552=CARTESIAN_POINT('',(-2.177495438261E1,4.611536979772E0, +-3.207778393779E1)); +#7553=CARTESIAN_POINT('',(-2.187497465701E1,4.641484785470E0, +-3.198903895981E1)); +#7554=CARTESIAN_POINT('',(-2.2E1,4.655676809687E0,-3.194698342862E1)); +#7555=CARTESIAN_POINT('',(-2.212502534299E1,4.641484785470E0, +-3.198903895981E1)); +#7556=CARTESIAN_POINT('',(-2.222504561739E1,4.611536979772E0, +-3.207778393779E1)); +#7557=CARTESIAN_POINT('',(-2.230006082319E1,4.578646523472E0, +-3.217524893572E1)); +#7558=CARTESIAN_POINT('',(-2.237507602898E1,4.533455814871E0, +-3.230916353635E1)); +#7559=CARTESIAN_POINT('',(-2.243758870048E1,4.482844343698E0, +-3.245914159952E1)); +#7560=CARTESIAN_POINT('',(-2.248759883768E1,4.431051102353E0, +-3.261262162771E1)); +#7561=CARTESIAN_POINT('',(-2.252510644058E1,4.384834501295E0, +-3.274957627757E1)); +#7562=CARTESIAN_POINT('',(-2.256261404348E1,4.328192224127E0, +-3.291742555811E1)); +#7563=CARTESIAN_POINT('',(-2.258761911208E1,4.279755127711E0, +-3.306096024971E1)); +#7564=CARTESIAN_POINT('',(-2.260012164638E1,4.249707848397E0,-3.315E1)); +#7566=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7567=VECTOR('',#7566,7.525E0); +#7568=CARTESIAN_POINT('',(-2.2E1,3.35E0,-1.2475E1)); +#7569=LINE('',#7568,#7567); +#7570=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7571=VECTOR('',#7570,7.525E0); +#7572=CARTESIAN_POINT('',(-2.2E1,4.65E0,-1.2475E1)); +#7573=LINE('',#7572,#7571); +#7574=CARTESIAN_POINT('',(-2.2E1,4.E0,-1.2475E1)); +#7575=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7576=DIRECTION('',(0.E0,1.E0,0.E0)); +#7577=AXIS2_PLACEMENT_3D('',#7574,#7575,#7576); +#7579=CARTESIAN_POINT('',(-2.2E1,4.E0,-1.2475E1)); +#7580=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7581=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7582=AXIS2_PLACEMENT_3D('',#7579,#7580,#7581); +#7584=CARTESIAN_POINT('',(-2.2E1,1.35E0,-3.58E1)); +#7585=DIRECTION('',(0.E0,1.E0,0.E0)); +#7586=DIRECTION('',(1.238434703147E-1,0.E0,-9.923017660271E-1)); +#7587=AXIS2_PLACEMENT_3D('',#7584,#7585,#7586); +#7589=CARTESIAN_POINT('',(-2.208049825570E1,1.35E0,-3.644499614792E1)); +#7590=CARTESIAN_POINT('',(-2.207304575930E1,1.344969698001E0, +-3.644592625109E1)); +#7591=CARTESIAN_POINT('',(-2.205846179505E1,1.336417174804E0, +-3.644748405439E1)); +#7592=CARTESIAN_POINT('',(-2.203771836735E1,1.327895581573E0, +-3.644900758478E1)); +#7593=CARTESIAN_POINT('',(-2.201845553490E1,1.323256836482E0, +-3.644982753238E1)); +#7594=CARTESIAN_POINT('',(-2.200002913105E1,1.321786632137E0, +-3.645008608930E1)); +#7595=CARTESIAN_POINT('',(-2.198153329997E1,1.323253585731E0, +-3.644982810898E1)); +#7596=CARTESIAN_POINT('',(-2.196220526292E1,1.327918761548E0, +-3.644900348148E1)); +#7597=CARTESIAN_POINT('',(-2.194146575180E1,1.336455654123E0, +-3.644747710624E1)); +#7598=CARTESIAN_POINT('',(-2.192692518486E1,1.344989310171E0, +-3.644592262479E1)); +#7599=CARTESIAN_POINT('',(-2.191950174430E1,1.35E0,-3.644499614792E1)); +#7601=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7602=VECTOR('',#7601,1.597E1); +#7603=CARTESIAN_POINT('',(-2.2E1,7.5E-1,-3.515E1)); +#7604=LINE('',#7603,#7602); +#7605=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7606=VECTOR('',#7605,1.597E1); +#7607=CARTESIAN_POINT('',(-2.2E1,7.5E-1,-3.645E1)); +#7608=LINE('',#7607,#7606); +#7609=CARTESIAN_POINT('',(-2.2E1,-1.522E1,-3.58E1)); +#7610=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7611=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7612=AXIS2_PLACEMENT_3D('',#7609,#7610,#7611); +#7614=CARTESIAN_POINT('',(-2.2E1,-1.522E1,-3.58E1)); +#7615=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7616=DIRECTION('',(0.E0,0.E0,1.E0)); +#7617=AXIS2_PLACEMENT_3D('',#7614,#7615,#7616); +#7619=CARTESIAN_POINT('',(-1.8E1,4.E0,-3.315E1)); +#7620=DIRECTION('',(0.E0,0.E0,1.E0)); +#7621=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#7622=AXIS2_PLACEMENT_3D('',#7619,#7620,#7621); +#7624=CARTESIAN_POINT('',(-1.739987835362E1,4.249707848397E0,-3.315E1)); +#7625=CARTESIAN_POINT('',(-1.741238088792E1,4.279755127711E0, +-3.306096024971E1)); +#7626=CARTESIAN_POINT('',(-1.743738595652E1,4.328192224127E0, +-3.291742555811E1)); +#7627=CARTESIAN_POINT('',(-1.747489355942E1,4.384834501295E0, +-3.274957627757E1)); +#7628=CARTESIAN_POINT('',(-1.751240116232E1,4.431051102353E0, +-3.261262162771E1)); +#7629=CARTESIAN_POINT('',(-1.756241129952E1,4.482844343698E0, +-3.245914159952E1)); +#7630=CARTESIAN_POINT('',(-1.762492397102E1,4.533455814871E0, +-3.230916353635E1)); +#7631=CARTESIAN_POINT('',(-1.769993917681E1,4.578646523472E0, +-3.217524893572E1)); +#7632=CARTESIAN_POINT('',(-1.777495438261E1,4.611536979772E0, +-3.207778393779E1)); +#7633=CARTESIAN_POINT('',(-1.787497465701E1,4.641484785470E0, +-3.198903895981E1)); +#7634=CARTESIAN_POINT('',(-1.8E1,4.655676809687E0,-3.194698342862E1)); +#7635=CARTESIAN_POINT('',(-1.812502534299E1,4.641484785470E0, +-3.198903895981E1)); +#7636=CARTESIAN_POINT('',(-1.822504561739E1,4.611536979772E0, +-3.207778393779E1)); +#7637=CARTESIAN_POINT('',(-1.830006082319E1,4.578646523472E0, +-3.217524893572E1)); +#7638=CARTESIAN_POINT('',(-1.837507602898E1,4.533455814871E0, +-3.230916353635E1)); +#7639=CARTESIAN_POINT('',(-1.843758870048E1,4.482844343698E0, +-3.245914159952E1)); +#7640=CARTESIAN_POINT('',(-1.848759883768E1,4.431051102353E0, +-3.261262162771E1)); +#7641=CARTESIAN_POINT('',(-1.852510644058E1,4.384834501295E0, +-3.274957627757E1)); +#7642=CARTESIAN_POINT('',(-1.856261404348E1,4.328192224127E0, +-3.291742555811E1)); +#7643=CARTESIAN_POINT('',(-1.858761911208E1,4.279755127711E0, +-3.306096024971E1)); +#7644=CARTESIAN_POINT('',(-1.860012164638E1,4.249707848397E0,-3.315E1)); +#7646=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7647=VECTOR('',#7646,7.525E0); +#7648=CARTESIAN_POINT('',(-1.8E1,3.35E0,-1.2475E1)); +#7649=LINE('',#7648,#7647); +#7650=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7651=VECTOR('',#7650,7.525E0); +#7652=CARTESIAN_POINT('',(-1.8E1,4.65E0,-1.2475E1)); +#7653=LINE('',#7652,#7651); +#7654=CARTESIAN_POINT('',(-1.8E1,4.E0,-1.2475E1)); +#7655=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7656=DIRECTION('',(0.E0,1.E0,0.E0)); +#7657=AXIS2_PLACEMENT_3D('',#7654,#7655,#7656); +#7659=CARTESIAN_POINT('',(-1.8E1,4.E0,-1.2475E1)); +#7660=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7661=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7662=AXIS2_PLACEMENT_3D('',#7659,#7660,#7661); +#7664=CARTESIAN_POINT('',(-1.8E1,1.35E0,-3.58E1)); +#7665=DIRECTION('',(0.E0,1.E0,0.E0)); +#7666=DIRECTION('',(1.238434703146E-1,0.E0,-9.923017660271E-1)); +#7667=AXIS2_PLACEMENT_3D('',#7664,#7665,#7666); +#7669=CARTESIAN_POINT('',(-1.808049825570E1,1.35E0,-3.644499614792E1)); +#7670=CARTESIAN_POINT('',(-1.807304575930E1,1.344969698001E0, +-3.644592625109E1)); +#7671=CARTESIAN_POINT('',(-1.805846179505E1,1.336417174804E0, +-3.644748405439E1)); +#7672=CARTESIAN_POINT('',(-1.803771836735E1,1.327895581573E0, +-3.644900758478E1)); +#7673=CARTESIAN_POINT('',(-1.801845553490E1,1.323256836482E0, +-3.644982753238E1)); +#7674=CARTESIAN_POINT('',(-1.800002913105E1,1.321786632137E0, +-3.645008608930E1)); +#7675=CARTESIAN_POINT('',(-1.798153329997E1,1.323253585731E0, +-3.644982810898E1)); +#7676=CARTESIAN_POINT('',(-1.796220526292E1,1.327918761548E0, +-3.644900348148E1)); +#7677=CARTESIAN_POINT('',(-1.794146575180E1,1.336455654123E0, +-3.644747710624E1)); +#7678=CARTESIAN_POINT('',(-1.792692518486E1,1.344989310171E0, +-3.644592262479E1)); +#7679=CARTESIAN_POINT('',(-1.791950174430E1,1.35E0,-3.644499614792E1)); +#7681=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7682=VECTOR('',#7681,1.597E1); +#7683=CARTESIAN_POINT('',(-1.8E1,7.5E-1,-3.515E1)); +#7684=LINE('',#7683,#7682); +#7685=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7686=VECTOR('',#7685,1.597E1); +#7687=CARTESIAN_POINT('',(-1.8E1,7.5E-1,-3.645E1)); +#7688=LINE('',#7687,#7686); +#7689=CARTESIAN_POINT('',(-1.8E1,-1.522E1,-3.58E1)); +#7690=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7691=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7692=AXIS2_PLACEMENT_3D('',#7689,#7690,#7691); +#7694=CARTESIAN_POINT('',(-1.8E1,-1.522E1,-3.58E1)); +#7695=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7696=DIRECTION('',(0.E0,0.E0,1.E0)); +#7697=AXIS2_PLACEMENT_3D('',#7694,#7695,#7696); +#7699=CARTESIAN_POINT('',(-1.4E1,4.E0,-3.315E1)); +#7700=DIRECTION('',(0.E0,0.E0,1.E0)); +#7701=DIRECTION('',(9.232640885685E-1,3.841658792238E-1,0.E0)); +#7702=AXIS2_PLACEMENT_3D('',#7699,#7700,#7701); +#7704=CARTESIAN_POINT('',(-1.339987834105E1,4.249707819627E0, +-3.315000008159E1)); +#7705=CARTESIAN_POINT('',(-1.340855042272E1,4.270549393590E0, +-3.308823979634E1)); +#7706=CARTESIAN_POINT('',(-1.342753906301E1,4.310047898330E0, +-3.297119303144E1)); +#7707=CARTESIAN_POINT('',(-1.345938537525E1,4.362512638429E0, +-3.281572313437E1)); +#7708=CARTESIAN_POINT('',(-1.349385321886E1,4.409115639770E0, +-3.267762345680E1)); +#7709=CARTESIAN_POINT('',(-1.353026206575E1,4.450339220251E0, +-3.255546473227E1)); +#7710=CARTESIAN_POINT('',(-1.356811898295E1,4.486678994849E0, +-3.244777829514E1)); +#7711=CARTESIAN_POINT('',(-1.360702662664E1,4.518537484174E0, +-3.235337134718E1)); +#7712=CARTESIAN_POINT('',(-1.364666431054E1,4.546264807830E0, +-3.227120637149E1)); +#7713=CARTESIAN_POINT('',(-1.368675548122E1,4.570156887193E0, +-3.220040645785E1)); +#7714=CARTESIAN_POINT('',(-1.372703763262E1,4.590463524760E0, +-3.214023136115E1)); +#7715=CARTESIAN_POINT('',(-1.376723170024E1,4.607396750278E0, +-3.209005276907E1)); +#7716=CARTESIAN_POINT('',(-1.380698812877E1,4.621138628358E0, +-3.204933116563E1)); +#7717=CARTESIAN_POINT('',(-1.384588078010E1,4.631873336768E0, +-3.201752077287E1)); +#7718=CARTESIAN_POINT('',(-1.388329289410E1,4.639792662191E0, +-3.199405326519E1)); +#7719=CARTESIAN_POINT('',(-1.391854880986E1,4.645182790673E0, +-3.197808058131E1)); +#7720=CARTESIAN_POINT('',(-1.395187504458E1,4.648490973360E0, +-3.196827737226E1)); +#7721=CARTESIAN_POINT('',(-1.398408254441E1,4.650066124618E0, +-3.196360969259E1)); +#7722=CARTESIAN_POINT('',(-1.401592368473E1,4.650065982596E0, +-3.196361011345E1)); +#7723=CARTESIAN_POINT('',(-1.404813232858E1,4.648490452067E0, +-3.196827891702E1)); +#7724=CARTESIAN_POINT('',(-1.408146026704E1,4.645181678698E0, +-3.197808387645E1)); +#7725=CARTESIAN_POINT('',(-1.411671671805E1,4.639790872841E0, +-3.199405856761E1)); +#7726=CARTESIAN_POINT('',(-1.415412518913E1,4.631871811077E0, +-3.201752529399E1)); +#7727=CARTESIAN_POINT('',(-1.419301566077E1,4.621137406392E0, +-3.204933478671E1)); +#7728=CARTESIAN_POINT('',(-1.423276984278E1,4.607396108242E0, +-3.209005467163E1)); +#7729=CARTESIAN_POINT('',(-1.427296232364E1,4.590463509580E0, +-3.214023140614E1)); +#7730=CARTESIAN_POINT('',(-1.431324339688E1,4.570157476040E0, +-3.220040471291E1)); +#7731=CARTESIAN_POINT('',(-1.435333395019E1,4.546265915950E0, +-3.227120308777E1)); +#7732=CARTESIAN_POINT('',(-1.439297141010E1,4.518538964564E0, +-3.235336696031E1)); +#7733=CARTESIAN_POINT('',(-1.443187911026E1,4.486680688622E0, +-3.244777327594E1)); +#7734=CARTESIAN_POINT('',(-1.446973629555E1,4.450340940772E0, +-3.255545963384E1)); +#7735=CARTESIAN_POINT('',(-1.450614553355E1,4.409117204443E0, +-3.267761882005E1)); +#7736=CARTESIAN_POINT('',(-1.454061382732E1,4.362513861376E0, +-3.281571951084E1)); +#7737=CARTESIAN_POINT('',(-1.457246058389E1,4.310048599336E0, +-3.297119095244E1)); +#7738=CARTESIAN_POINT('',(-1.459144946392E1,4.270549661917E0, +-3.308823900487E1)); +#7739=CARTESIAN_POINT('',(-1.460012164638E1,4.249707848397E0,-3.315E1)); +#7741=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7742=VECTOR('',#7741,7.525E0); +#7743=CARTESIAN_POINT('',(-1.4E1,3.35E0,-1.2475E1)); +#7744=LINE('',#7743,#7742); +#7745=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7746=VECTOR('',#7745,7.525E0); +#7747=CARTESIAN_POINT('',(-1.4E1,4.65E0,-1.2475E1)); +#7748=LINE('',#7747,#7746); +#7749=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.2475E1)); +#7750=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7751=DIRECTION('',(0.E0,1.E0,0.E0)); +#7752=AXIS2_PLACEMENT_3D('',#7749,#7750,#7751); +#7754=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.2475E1)); +#7755=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7756=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7757=AXIS2_PLACEMENT_3D('',#7754,#7755,#7756); +#7759=CARTESIAN_POINT('',(-1.4E1,1.35E0,-3.58E1)); +#7760=DIRECTION('',(0.E0,1.E0,0.E0)); +#7761=DIRECTION('',(1.238434703146E-1,0.E0,-9.923017660271E-1)); +#7762=AXIS2_PLACEMENT_3D('',#7759,#7760,#7761); +#7764=CARTESIAN_POINT('',(-1.408049825570E1,1.35E0,-3.644499614792E1)); +#7765=CARTESIAN_POINT('',(-1.407304575930E1,1.344969698E0,-3.644592625109E1)); +#7766=CARTESIAN_POINT('',(-1.405846179505E1,1.336417174804E0, +-3.644748405439E1)); +#7767=CARTESIAN_POINT('',(-1.403771836735E1,1.327895581573E0, +-3.644900758478E1)); +#7768=CARTESIAN_POINT('',(-1.401845553490E1,1.323256836482E0, +-3.644982753238E1)); +#7769=CARTESIAN_POINT('',(-1.400002913105E1,1.321786632137E0, +-3.645008608930E1)); +#7770=CARTESIAN_POINT('',(-1.398153329997E1,1.323253585731E0, +-3.644982810898E1)); +#7771=CARTESIAN_POINT('',(-1.396220526292E1,1.327918761548E0, +-3.644900348148E1)); +#7772=CARTESIAN_POINT('',(-1.394146575180E1,1.336455654123E0, +-3.644747710624E1)); +#7773=CARTESIAN_POINT('',(-1.392692518486E1,1.344989310171E0, +-3.644592262479E1)); +#7774=CARTESIAN_POINT('',(-1.391950174430E1,1.35E0,-3.644499614792E1)); +#7776=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7777=VECTOR('',#7776,1.597E1); +#7778=CARTESIAN_POINT('',(-1.4E1,7.5E-1,-3.515E1)); +#7779=LINE('',#7778,#7777); +#7780=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7781=VECTOR('',#7780,1.597E1); +#7782=CARTESIAN_POINT('',(-1.4E1,7.5E-1,-3.645E1)); +#7783=LINE('',#7782,#7781); +#7784=CARTESIAN_POINT('',(-1.4E1,-1.522E1,-3.58E1)); +#7785=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7786=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7787=AXIS2_PLACEMENT_3D('',#7784,#7785,#7786); +#7789=CARTESIAN_POINT('',(-1.4E1,-1.522E1,-3.58E1)); +#7790=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7791=DIRECTION('',(0.E0,0.E0,1.E0)); +#7792=AXIS2_PLACEMENT_3D('',#7789,#7790,#7791); +#7794=CARTESIAN_POINT('',(-1.E1,4.E0,-3.315E1)); +#7795=DIRECTION('',(0.E0,0.E0,1.E0)); +#7796=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#7797=AXIS2_PLACEMENT_3D('',#7794,#7795,#7796); +#7799=CARTESIAN_POINT('',(-9.399878353624E0,4.249707848397E0,-3.315E1)); +#7800=CARTESIAN_POINT('',(-9.412380887924E0,4.279755127711E0, +-3.306096024971E1)); +#7801=CARTESIAN_POINT('',(-9.437385956523E0,4.328192224127E0, +-3.291742555811E1)); +#7802=CARTESIAN_POINT('',(-9.474893559421E0,4.384834501295E0, +-3.274957627757E1)); +#7803=CARTESIAN_POINT('',(-9.512401162320E0,4.431051102353E0, +-3.261262162771E1)); +#7804=CARTESIAN_POINT('',(-9.562411299518E0,4.482844343698E0, +-3.245914159952E1)); +#7805=CARTESIAN_POINT('',(-9.624923971015E0,4.533455814871E0, +-3.230916353635E1)); +#7806=CARTESIAN_POINT('',(-9.699939176812E0,4.578646523472E0, +-3.217524893572E1)); +#7807=CARTESIAN_POINT('',(-9.774954382609E0,4.611536979772E0, +-3.207778393779E1)); +#7808=CARTESIAN_POINT('',(-9.874974657005E0,4.641484785470E0, +-3.198903895981E1)); +#7809=CARTESIAN_POINT('',(-1.E1,4.655676809687E0,-3.194698342862E1)); +#7810=CARTESIAN_POINT('',(-1.012502534299E1,4.641484785470E0, +-3.198903895981E1)); +#7811=CARTESIAN_POINT('',(-1.022504561739E1,4.611536979772E0, +-3.207778393779E1)); +#7812=CARTESIAN_POINT('',(-1.030006082319E1,4.578646523472E0, +-3.217524893572E1)); +#7813=CARTESIAN_POINT('',(-1.037507602898E1,4.533455814871E0, +-3.230916353635E1)); +#7814=CARTESIAN_POINT('',(-1.043758870048E1,4.482844343698E0, +-3.245914159952E1)); +#7815=CARTESIAN_POINT('',(-1.048759883768E1,4.431051102353E0, +-3.261262162771E1)); +#7816=CARTESIAN_POINT('',(-1.052510644058E1,4.384834501295E0, +-3.274957627757E1)); +#7817=CARTESIAN_POINT('',(-1.056261404348E1,4.328192224127E0, +-3.291742555811E1)); +#7818=CARTESIAN_POINT('',(-1.058761911208E1,4.279755127711E0, +-3.306096024971E1)); +#7819=CARTESIAN_POINT('',(-1.060012164638E1,4.249707848397E0,-3.315E1)); +#7821=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7822=VECTOR('',#7821,7.525E0); +#7823=CARTESIAN_POINT('',(-1.E1,3.35E0,-1.2475E1)); +#7824=LINE('',#7823,#7822); +#7825=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7826=VECTOR('',#7825,7.525E0); +#7827=CARTESIAN_POINT('',(-1.E1,4.65E0,-1.2475E1)); +#7828=LINE('',#7827,#7826); +#7829=CARTESIAN_POINT('',(-1.E1,4.E0,-1.2475E1)); +#7830=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7831=DIRECTION('',(0.E0,1.E0,0.E0)); +#7832=AXIS2_PLACEMENT_3D('',#7829,#7830,#7831); +#7834=CARTESIAN_POINT('',(-1.E1,4.E0,-1.2475E1)); +#7835=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7836=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7837=AXIS2_PLACEMENT_3D('',#7834,#7835,#7836); +#7839=CARTESIAN_POINT('',(-1.E1,1.35E0,-3.58E1)); +#7840=DIRECTION('',(0.E0,1.E0,0.E0)); +#7841=DIRECTION('',(1.238434703146E-1,0.E0,-9.923017660271E-1)); +#7842=AXIS2_PLACEMENT_3D('',#7839,#7840,#7841); +#7844=CARTESIAN_POINT('',(-1.008049825570E1,1.35E0,-3.644499614792E1)); +#7845=CARTESIAN_POINT('',(-1.007304575930E1,1.344969698E0,-3.644592625109E1)); +#7846=CARTESIAN_POINT('',(-1.005846179505E1,1.336417174804E0, +-3.644748405439E1)); +#7847=CARTESIAN_POINT('',(-1.003771836735E1,1.327895581573E0, +-3.644900758478E1)); +#7848=CARTESIAN_POINT('',(-1.001845553490E1,1.323256836482E0, +-3.644982753238E1)); +#7849=CARTESIAN_POINT('',(-1.000002913105E1,1.321786632137E0, +-3.645008608930E1)); +#7850=CARTESIAN_POINT('',(-9.981533299969E0,1.323253585731E0, +-3.644982810898E1)); +#7851=CARTESIAN_POINT('',(-9.962205262924E0,1.327918761548E0, +-3.644900348148E1)); +#7852=CARTESIAN_POINT('',(-9.941465751797E0,1.336455654123E0, +-3.644747710624E1)); +#7853=CARTESIAN_POINT('',(-9.926925184861E0,1.344989310171E0, +-3.644592262479E1)); +#7854=CARTESIAN_POINT('',(-9.919501744295E0,1.35E0,-3.644499614792E1)); +#7856=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7857=VECTOR('',#7856,1.597E1); +#7858=CARTESIAN_POINT('',(-1.E1,7.5E-1,-3.515E1)); +#7859=LINE('',#7858,#7857); +#7860=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7861=VECTOR('',#7860,1.597E1); +#7862=CARTESIAN_POINT('',(-1.E1,7.5E-1,-3.645E1)); +#7863=LINE('',#7862,#7861); +#7864=CARTESIAN_POINT('',(-1.E1,-1.522E1,-3.58E1)); +#7865=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7866=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7867=AXIS2_PLACEMENT_3D('',#7864,#7865,#7866); +#7869=CARTESIAN_POINT('',(-1.E1,-1.522E1,-3.58E1)); +#7870=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7871=DIRECTION('',(0.E0,0.E0,1.E0)); +#7872=AXIS2_PLACEMENT_3D('',#7869,#7870,#7871); +#7874=CARTESIAN_POINT('',(-6.E0,4.E0,-3.315E1)); +#7875=DIRECTION('',(0.E0,0.E0,1.E0)); +#7876=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#7877=AXIS2_PLACEMENT_3D('',#7874,#7875,#7876); +#7879=CARTESIAN_POINT('',(-5.399878353624E0,4.249707848397E0,-3.315E1)); +#7880=CARTESIAN_POINT('',(-5.412380887924E0,4.279755127711E0, +-3.306096024971E1)); +#7881=CARTESIAN_POINT('',(-5.437385956523E0,4.328192224127E0, +-3.291742555811E1)); +#7882=CARTESIAN_POINT('',(-5.474893559421E0,4.384834501295E0, +-3.274957627757E1)); +#7883=CARTESIAN_POINT('',(-5.512401162320E0,4.431051102353E0, +-3.261262162771E1)); +#7884=CARTESIAN_POINT('',(-5.562411299518E0,4.482844343698E0, +-3.245914159952E1)); +#7885=CARTESIAN_POINT('',(-5.624923971015E0,4.533455814871E0, +-3.230916353635E1)); +#7886=CARTESIAN_POINT('',(-5.699939176812E0,4.578646523472E0, +-3.217524893572E1)); +#7887=CARTESIAN_POINT('',(-5.774954382609E0,4.611536979772E0, +-3.207778393779E1)); +#7888=CARTESIAN_POINT('',(-5.874974657005E0,4.641484785470E0, +-3.198903895981E1)); +#7889=CARTESIAN_POINT('',(-6.E0,4.655676809687E0,-3.194698342862E1)); +#7890=CARTESIAN_POINT('',(-6.125025342995E0,4.641484785470E0, +-3.198903895981E1)); +#7891=CARTESIAN_POINT('',(-6.225045617391E0,4.611536979772E0, +-3.207778393779E1)); +#7892=CARTESIAN_POINT('',(-6.300060823188E0,4.578646523472E0, +-3.217524893572E1)); +#7893=CARTESIAN_POINT('',(-6.375076028985E0,4.533455814871E0, +-3.230916353635E1)); +#7894=CARTESIAN_POINT('',(-6.437588700482E0,4.482844343698E0, +-3.245914159952E1)); +#7895=CARTESIAN_POINT('',(-6.487598837680E0,4.431051102353E0, +-3.261262162771E1)); +#7896=CARTESIAN_POINT('',(-6.525106440579E0,4.384834501295E0, +-3.274957627757E1)); +#7897=CARTESIAN_POINT('',(-6.562614043477E0,4.328192224127E0, +-3.291742555811E1)); +#7898=CARTESIAN_POINT('',(-6.587619112076E0,4.279755127711E0, +-3.306096024971E1)); +#7899=CARTESIAN_POINT('',(-6.600121646376E0,4.249707848397E0,-3.315E1)); +#7901=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7902=VECTOR('',#7901,7.525E0); +#7903=CARTESIAN_POINT('',(-6.E0,3.35E0,-1.2475E1)); +#7904=LINE('',#7903,#7902); +#7905=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7906=VECTOR('',#7905,7.525E0); +#7907=CARTESIAN_POINT('',(-6.E0,4.65E0,-1.2475E1)); +#7908=LINE('',#7907,#7906); +#7909=CARTESIAN_POINT('',(-6.E0,4.E0,-1.2475E1)); +#7910=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7911=DIRECTION('',(0.E0,1.E0,0.E0)); +#7912=AXIS2_PLACEMENT_3D('',#7909,#7910,#7911); +#7914=CARTESIAN_POINT('',(-6.E0,4.E0,-1.2475E1)); +#7915=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7916=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7917=AXIS2_PLACEMENT_3D('',#7914,#7915,#7916); +#7919=CARTESIAN_POINT('',(-6.E0,1.35E0,-3.58E1)); +#7920=DIRECTION('',(0.E0,1.E0,0.E0)); +#7921=DIRECTION('',(1.238437578863E-1,0.E0,-9.923017301369E-1)); +#7922=AXIS2_PLACEMENT_3D('',#7919,#7920,#7921); +#7924=CARTESIAN_POINT('',(-6.080498255704E0,1.35E0,-3.644499614792E1)); +#7925=CARTESIAN_POINT('',(-6.073045759303E0,1.344969698E0,-3.644592625109E1)); +#7926=CARTESIAN_POINT('',(-6.058461795068E0,1.336417174797E0, +-3.644748405439E1)); +#7927=CARTESIAN_POINT('',(-6.037718367290E0,1.327895581599E0, +-3.644900758477E1)); +#7928=CARTESIAN_POINT('',(-6.018455535138E0,1.323256836387E0, +-3.644982753240E1)); +#7929=CARTESIAN_POINT('',(-6.000029130186E0,1.321786632492E0, +-3.645008608922E1)); +#7930=CARTESIAN_POINT('',(-5.981533303208E0,1.323253584406E0, +-3.644982810928E1)); +#7931=CARTESIAN_POINT('',(-5.962205250835E0,1.327918766493E0, +-3.644900348036E1)); +#7932=CARTESIAN_POINT('',(-5.941465796913E0,1.336455635668E0, +-3.644747711043E1)); +#7933=CARTESIAN_POINT('',(-5.926925087649E0,1.344989349937E0, +-3.644592261577E1)); +#7934=CARTESIAN_POINT('',(-5.919501562437E0,1.350000107829E0, +-3.644499612615E1)); +#7936=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7937=VECTOR('',#7936,1.597E1); +#7938=CARTESIAN_POINT('',(-6.E0,7.5E-1,-3.515E1)); +#7939=LINE('',#7938,#7937); +#7940=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7941=VECTOR('',#7940,1.597E1); +#7942=CARTESIAN_POINT('',(-6.E0,7.5E-1,-3.645E1)); +#7943=LINE('',#7942,#7941); +#7944=CARTESIAN_POINT('',(-6.E0,-1.522E1,-3.58E1)); +#7945=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7946=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7947=AXIS2_PLACEMENT_3D('',#7944,#7945,#7946); +#7949=CARTESIAN_POINT('',(-6.E0,-1.522E1,-3.58E1)); +#7950=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7951=DIRECTION('',(0.E0,0.E0,1.E0)); +#7952=AXIS2_PLACEMENT_3D('',#7949,#7950,#7951); +#7954=CARTESIAN_POINT('',(-2.E0,4.E0,-3.315E1)); +#7955=DIRECTION('',(0.E0,0.E0,1.E0)); +#7956=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#7957=AXIS2_PLACEMENT_3D('',#7954,#7955,#7956); +#7959=CARTESIAN_POINT('',(-1.399878353624E0,4.249707848397E0,-3.315E1)); +#7960=CARTESIAN_POINT('',(-1.412380887924E0,4.279755127711E0, +-3.306096024971E1)); +#7961=CARTESIAN_POINT('',(-1.437385956523E0,4.328192224127E0, +-3.291742555811E1)); +#7962=CARTESIAN_POINT('',(-1.474893559421E0,4.384834501295E0, +-3.274957627757E1)); +#7963=CARTESIAN_POINT('',(-1.512401162320E0,4.431051102353E0, +-3.261262162771E1)); +#7964=CARTESIAN_POINT('',(-1.562411299518E0,4.482844343698E0, +-3.245914159952E1)); +#7965=CARTESIAN_POINT('',(-1.624923971015E0,4.533455814871E0, +-3.230916353635E1)); +#7966=CARTESIAN_POINT('',(-1.699939176812E0,4.578646523472E0, +-3.217524893572E1)); +#7967=CARTESIAN_POINT('',(-1.774954382609E0,4.611536979772E0, +-3.207778393779E1)); +#7968=CARTESIAN_POINT('',(-1.874974657005E0,4.641484785470E0, +-3.198903895981E1)); +#7969=CARTESIAN_POINT('',(-2.E0,4.655676809687E0,-3.194698342862E1)); +#7970=CARTESIAN_POINT('',(-2.125025342995E0,4.641484785470E0, +-3.198903895981E1)); +#7971=CARTESIAN_POINT('',(-2.225045617391E0,4.611536979772E0, +-3.207778393779E1)); +#7972=CARTESIAN_POINT('',(-2.300060823188E0,4.578646523472E0, +-3.217524893572E1)); +#7973=CARTESIAN_POINT('',(-2.375076028985E0,4.533455814871E0, +-3.230916353635E1)); +#7974=CARTESIAN_POINT('',(-2.437588700482E0,4.482844343698E0, +-3.245914159952E1)); +#7975=CARTESIAN_POINT('',(-2.487598837680E0,4.431051102353E0, +-3.261262162771E1)); +#7976=CARTESIAN_POINT('',(-2.525106440579E0,4.384834501295E0, +-3.274957627757E1)); +#7977=CARTESIAN_POINT('',(-2.562614043477E0,4.328192224127E0, +-3.291742555811E1)); +#7978=CARTESIAN_POINT('',(-2.587619112076E0,4.279755127711E0, +-3.306096024971E1)); +#7979=CARTESIAN_POINT('',(-2.600121646376E0,4.249707848397E0,-3.315E1)); +#7981=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7982=VECTOR('',#7981,7.525E0); +#7983=CARTESIAN_POINT('',(-2.E0,3.35E0,-1.2475E1)); +#7984=LINE('',#7983,#7982); +#7985=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7986=VECTOR('',#7985,7.525E0); +#7987=CARTESIAN_POINT('',(-2.E0,4.65E0,-1.2475E1)); +#7988=LINE('',#7987,#7986); +#7989=CARTESIAN_POINT('',(-2.E0,4.E0,-1.2475E1)); +#7990=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7991=DIRECTION('',(0.E0,1.E0,0.E0)); +#7992=AXIS2_PLACEMENT_3D('',#7989,#7990,#7991); +#7994=CARTESIAN_POINT('',(-2.E0,4.E0,-1.2475E1)); +#7995=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7996=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7997=AXIS2_PLACEMENT_3D('',#7994,#7995,#7996); +#7999=CARTESIAN_POINT('',(-2.E0,1.35E0,-3.58E1)); +#8000=DIRECTION('',(0.E0,1.E0,0.E0)); +#8001=DIRECTION('',(1.238434703147E-1,0.E0,-9.923017660271E-1)); +#8002=AXIS2_PLACEMENT_3D('',#7999,#8000,#8001); +#8004=CARTESIAN_POINT('',(-2.080498255704E0,1.35E0,-3.644499614792E1)); +#8005=CARTESIAN_POINT('',(-2.073045759303E0,1.344969698E0,-3.644592625109E1)); +#8006=CARTESIAN_POINT('',(-2.058461795050E0,1.336417174804E0, +-3.644748405439E1)); +#8007=CARTESIAN_POINT('',(-2.037718367353E0,1.327895581573E0, +-3.644900758478E1)); +#8008=CARTESIAN_POINT('',(-2.018455534905E0,1.323256836482E0, +-3.644982753238E1)); +#8009=CARTESIAN_POINT('',(-2.000029131054E0,1.321786632137E0, +-3.645008608930E1)); +#8010=CARTESIAN_POINT('',(-1.981533299969E0,1.323253585731E0, +-3.644982810898E1)); +#8011=CARTESIAN_POINT('',(-1.962205262924E0,1.327918761548E0, +-3.644900348148E1)); +#8012=CARTESIAN_POINT('',(-1.941465751797E0,1.336455654124E0, +-3.644747710624E1)); +#8013=CARTESIAN_POINT('',(-1.926925184861E0,1.344989310171E0, +-3.644592262479E1)); +#8014=CARTESIAN_POINT('',(-1.919501744296E0,1.35E0,-3.644499614792E1)); +#8016=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8017=VECTOR('',#8016,1.597E1); +#8018=CARTESIAN_POINT('',(-2.E0,7.5E-1,-3.515E1)); +#8019=LINE('',#8018,#8017); +#8020=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8021=VECTOR('',#8020,1.597E1); +#8022=CARTESIAN_POINT('',(-2.E0,7.5E-1,-3.645E1)); +#8023=LINE('',#8022,#8021); +#8024=CARTESIAN_POINT('',(-2.E0,-1.522E1,-3.58E1)); +#8025=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8026=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8027=AXIS2_PLACEMENT_3D('',#8024,#8025,#8026); +#8029=CARTESIAN_POINT('',(-2.E0,-1.522E1,-3.58E1)); +#8030=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8031=DIRECTION('',(0.E0,0.E0,1.E0)); +#8032=AXIS2_PLACEMENT_3D('',#8029,#8030,#8031); +#8034=CARTESIAN_POINT('',(2.E0,4.E0,-3.315E1)); +#8035=DIRECTION('',(0.E0,0.E0,1.E0)); +#8036=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#8037=AXIS2_PLACEMENT_3D('',#8034,#8035,#8036); +#8039=CARTESIAN_POINT('',(2.600121646376E0,4.249707848397E0,-3.315E1)); +#8040=CARTESIAN_POINT('',(2.587619112076E0,4.279755127711E0,-3.306096024971E1)); +#8041=CARTESIAN_POINT('',(2.562614043477E0,4.328192224127E0,-3.291742555811E1)); +#8042=CARTESIAN_POINT('',(2.525106440579E0,4.384834501295E0,-3.274957627757E1)); +#8043=CARTESIAN_POINT('',(2.487598837680E0,4.431051102353E0,-3.261262162771E1)); +#8044=CARTESIAN_POINT('',(2.437588700482E0,4.482844343698E0,-3.245914159952E1)); +#8045=CARTESIAN_POINT('',(2.375076028985E0,4.533455814871E0,-3.230916353635E1)); +#8046=CARTESIAN_POINT('',(2.300060823188E0,4.578646523472E0,-3.217524893572E1)); +#8047=CARTESIAN_POINT('',(2.225045617391E0,4.611536979772E0,-3.207778393779E1)); +#8048=CARTESIAN_POINT('',(2.125025342995E0,4.641484785470E0,-3.198903895981E1)); +#8049=CARTESIAN_POINT('',(2.E0,4.655676809687E0,-3.194698342862E1)); +#8050=CARTESIAN_POINT('',(1.874974657005E0,4.641484785470E0,-3.198903895981E1)); +#8051=CARTESIAN_POINT('',(1.774954382609E0,4.611536979772E0,-3.207778393779E1)); +#8052=CARTESIAN_POINT('',(1.699939176812E0,4.578646523472E0,-3.217524893572E1)); +#8053=CARTESIAN_POINT('',(1.624923971015E0,4.533455814871E0,-3.230916353635E1)); +#8054=CARTESIAN_POINT('',(1.562411299518E0,4.482844343698E0,-3.245914159952E1)); +#8055=CARTESIAN_POINT('',(1.512401162320E0,4.431051102353E0,-3.261262162771E1)); +#8056=CARTESIAN_POINT('',(1.474893559421E0,4.384834501295E0,-3.274957627757E1)); +#8057=CARTESIAN_POINT('',(1.437385956523E0,4.328192224127E0,-3.291742555811E1)); +#8058=CARTESIAN_POINT('',(1.412380887924E0,4.279755127711E0,-3.306096024971E1)); +#8059=CARTESIAN_POINT('',(1.399878353624E0,4.249707848397E0,-3.315E1)); +#8061=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8062=VECTOR('',#8061,7.525E0); +#8063=CARTESIAN_POINT('',(2.E0,3.35E0,-1.2475E1)); +#8064=LINE('',#8063,#8062); +#8065=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8066=VECTOR('',#8065,7.525E0); +#8067=CARTESIAN_POINT('',(2.E0,4.65E0,-1.2475E1)); +#8068=LINE('',#8067,#8066); +#8069=CARTESIAN_POINT('',(2.E0,4.E0,-1.2475E1)); +#8070=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8071=DIRECTION('',(0.E0,1.E0,0.E0)); +#8072=AXIS2_PLACEMENT_3D('',#8069,#8070,#8071); +#8074=CARTESIAN_POINT('',(2.E0,4.E0,-1.2475E1)); +#8075=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8076=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8077=AXIS2_PLACEMENT_3D('',#8074,#8075,#8076); +#8079=CARTESIAN_POINT('',(2.E0,1.35E0,-3.58E1)); +#8080=DIRECTION('',(0.E0,1.E0,0.E0)); +#8081=DIRECTION('',(1.238434703146E-1,0.E0,-9.923017660271E-1)); +#8082=AXIS2_PLACEMENT_3D('',#8079,#8080,#8081); +#8084=CARTESIAN_POINT('',(1.919501744296E0,1.35E0,-3.644499614792E1)); +#8085=CARTESIAN_POINT('',(1.926954240697E0,1.344969698E0,-3.644592625109E1)); +#8086=CARTESIAN_POINT('',(1.941538204950E0,1.336417174804E0,-3.644748405439E1)); +#8087=CARTESIAN_POINT('',(1.962281632647E0,1.327895581573E0,-3.644900758478E1)); +#8088=CARTESIAN_POINT('',(1.981544465095E0,1.323256836482E0,-3.644982753238E1)); +#8089=CARTESIAN_POINT('',(1.999970868946E0,1.321786632137E0,-3.645008608930E1)); +#8090=CARTESIAN_POINT('',(2.018466700031E0,1.323253585731E0,-3.644982810898E1)); +#8091=CARTESIAN_POINT('',(2.037794737076E0,1.327918761548E0,-3.644900348148E1)); +#8092=CARTESIAN_POINT('',(2.058534248203E0,1.336455654123E0,-3.644747710624E1)); +#8093=CARTESIAN_POINT('',(2.073074815139E0,1.344989310171E0,-3.644592262479E1)); +#8094=CARTESIAN_POINT('',(2.080498255705E0,1.35E0,-3.644499614792E1)); +#8096=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8097=VECTOR('',#8096,1.597E1); +#8098=CARTESIAN_POINT('',(2.E0,7.5E-1,-3.515E1)); +#8099=LINE('',#8098,#8097); +#8100=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8101=VECTOR('',#8100,1.597E1); +#8102=CARTESIAN_POINT('',(2.E0,7.5E-1,-3.645E1)); +#8103=LINE('',#8102,#8101); +#8104=CARTESIAN_POINT('',(2.E0,-1.522E1,-3.58E1)); +#8105=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8106=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8107=AXIS2_PLACEMENT_3D('',#8104,#8105,#8106); +#8109=CARTESIAN_POINT('',(2.E0,-1.522E1,-3.58E1)); +#8110=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8111=DIRECTION('',(0.E0,0.E0,1.E0)); +#8112=AXIS2_PLACEMENT_3D('',#8109,#8110,#8111); +#8114=CARTESIAN_POINT('',(6.E0,4.E0,-3.315E1)); +#8115=DIRECTION('',(0.E0,0.E0,1.E0)); +#8116=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#8117=AXIS2_PLACEMENT_3D('',#8114,#8115,#8116); +#8119=CARTESIAN_POINT('',(6.600121646376E0,4.249707848397E0,-3.315E1)); +#8120=CARTESIAN_POINT('',(6.587619112076E0,4.279755127711E0,-3.306096024971E1)); +#8121=CARTESIAN_POINT('',(6.562614043477E0,4.328192224127E0,-3.291742555811E1)); +#8122=CARTESIAN_POINT('',(6.525106440579E0,4.384834501295E0,-3.274957627757E1)); +#8123=CARTESIAN_POINT('',(6.487598837680E0,4.431051102353E0,-3.261262162771E1)); +#8124=CARTESIAN_POINT('',(6.437588700482E0,4.482844343698E0,-3.245914159952E1)); +#8125=CARTESIAN_POINT('',(6.375076028985E0,4.533455814871E0,-3.230916353635E1)); +#8126=CARTESIAN_POINT('',(6.300060823188E0,4.578646523472E0,-3.217524893572E1)); +#8127=CARTESIAN_POINT('',(6.225045617391E0,4.611536979772E0,-3.207778393779E1)); +#8128=CARTESIAN_POINT('',(6.125025342995E0,4.641484785470E0,-3.198903895981E1)); +#8129=CARTESIAN_POINT('',(6.E0,4.655676809687E0,-3.194698342862E1)); +#8130=CARTESIAN_POINT('',(5.874974657005E0,4.641484785470E0,-3.198903895981E1)); +#8131=CARTESIAN_POINT('',(5.774954382609E0,4.611536979772E0,-3.207778393779E1)); +#8132=CARTESIAN_POINT('',(5.699939176812E0,4.578646523472E0,-3.217524893572E1)); +#8133=CARTESIAN_POINT('',(5.624923971015E0,4.533455814871E0,-3.230916353635E1)); +#8134=CARTESIAN_POINT('',(5.562411299518E0,4.482844343698E0,-3.245914159952E1)); +#8135=CARTESIAN_POINT('',(5.512401162320E0,4.431051102353E0,-3.261262162771E1)); +#8136=CARTESIAN_POINT('',(5.474893559421E0,4.384834501295E0,-3.274957627757E1)); +#8137=CARTESIAN_POINT('',(5.437385956523E0,4.328192224127E0,-3.291742555811E1)); +#8138=CARTESIAN_POINT('',(5.412380887924E0,4.279755127711E0,-3.306096024971E1)); +#8139=CARTESIAN_POINT('',(5.399878353624E0,4.249707848397E0,-3.315E1)); +#8141=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8142=VECTOR('',#8141,7.525E0); +#8143=CARTESIAN_POINT('',(6.E0,3.35E0,-1.2475E1)); +#8144=LINE('',#8143,#8142); +#8145=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8146=VECTOR('',#8145,7.525E0); +#8147=CARTESIAN_POINT('',(6.E0,4.65E0,-1.2475E1)); +#8148=LINE('',#8147,#8146); +#8149=CARTESIAN_POINT('',(6.E0,4.E0,-1.2475E1)); +#8150=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8151=DIRECTION('',(0.E0,1.E0,0.E0)); +#8152=AXIS2_PLACEMENT_3D('',#8149,#8150,#8151); +#8154=CARTESIAN_POINT('',(6.E0,4.E0,-1.2475E1)); +#8155=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8156=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8157=AXIS2_PLACEMENT_3D('',#8154,#8155,#8156); +#8159=CARTESIAN_POINT('',(6.E0,1.35E0,-3.58E1)); +#8160=DIRECTION('',(0.E0,1.E0,0.E0)); +#8161=DIRECTION('',(1.238434703147E-1,0.E0,-9.923017660271E-1)); +#8162=AXIS2_PLACEMENT_3D('',#8159,#8160,#8161); +#8164=CARTESIAN_POINT('',(5.919501744296E0,1.35E0,-3.644499614792E1)); +#8165=CARTESIAN_POINT('',(5.926954240697E0,1.344969698E0,-3.644592625109E1)); +#8166=CARTESIAN_POINT('',(5.941538204950E0,1.336417174804E0,-3.644748405439E1)); +#8167=CARTESIAN_POINT('',(5.962281632647E0,1.327895581573E0,-3.644900758478E1)); +#8168=CARTESIAN_POINT('',(5.981544465095E0,1.323256836482E0,-3.644982753238E1)); +#8169=CARTESIAN_POINT('',(5.999970868946E0,1.321786632137E0,-3.645008608930E1)); +#8170=CARTESIAN_POINT('',(6.018466700031E0,1.323253585731E0,-3.644982810898E1)); +#8171=CARTESIAN_POINT('',(6.037794737076E0,1.327918761548E0,-3.644900348148E1)); +#8172=CARTESIAN_POINT('',(6.058534248203E0,1.336455654124E0,-3.644747710624E1)); +#8173=CARTESIAN_POINT('',(6.073074815139E0,1.344989310171E0,-3.644592262479E1)); +#8174=CARTESIAN_POINT('',(6.080498255705E0,1.35E0,-3.644499614792E1)); +#8176=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8177=VECTOR('',#8176,1.597E1); +#8178=CARTESIAN_POINT('',(6.E0,7.5E-1,-3.515E1)); +#8179=LINE('',#8178,#8177); +#8180=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8181=VECTOR('',#8180,1.597E1); +#8182=CARTESIAN_POINT('',(6.E0,7.5E-1,-3.645E1)); +#8183=LINE('',#8182,#8181); +#8184=CARTESIAN_POINT('',(6.E0,-1.522E1,-3.58E1)); +#8185=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8186=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8187=AXIS2_PLACEMENT_3D('',#8184,#8185,#8186); +#8189=CARTESIAN_POINT('',(6.E0,-1.522E1,-3.58E1)); +#8190=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8191=DIRECTION('',(0.E0,0.E0,1.E0)); +#8192=AXIS2_PLACEMENT_3D('',#8189,#8190,#8191); +#8194=CARTESIAN_POINT('',(1.E1,4.E0,-3.315E1)); +#8195=DIRECTION('',(0.E0,0.E0,1.E0)); +#8196=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#8197=AXIS2_PLACEMENT_3D('',#8194,#8195,#8196); +#8199=CARTESIAN_POINT('',(1.060012164638E1,4.249707848397E0,-3.315E1)); +#8200=CARTESIAN_POINT('',(1.058761911208E1,4.279755127711E0,-3.306096024971E1)); +#8201=CARTESIAN_POINT('',(1.056261404348E1,4.328192224127E0,-3.291742555811E1)); +#8202=CARTESIAN_POINT('',(1.052510644058E1,4.384834501295E0,-3.274957627757E1)); +#8203=CARTESIAN_POINT('',(1.048759883768E1,4.431051102353E0,-3.261262162771E1)); +#8204=CARTESIAN_POINT('',(1.043758870048E1,4.482844343698E0,-3.245914159952E1)); +#8205=CARTESIAN_POINT('',(1.037507602898E1,4.533455814871E0,-3.230916353635E1)); +#8206=CARTESIAN_POINT('',(1.030006082319E1,4.578646523472E0,-3.217524893572E1)); +#8207=CARTESIAN_POINT('',(1.022504561739E1,4.611536979772E0,-3.207778393779E1)); +#8208=CARTESIAN_POINT('',(1.012502534299E1,4.641484785470E0,-3.198903895981E1)); +#8209=CARTESIAN_POINT('',(1.E1,4.655676809687E0,-3.194698342862E1)); +#8210=CARTESIAN_POINT('',(9.874974657005E0,4.641484785470E0,-3.198903895981E1)); +#8211=CARTESIAN_POINT('',(9.774954382609E0,4.611536979772E0,-3.207778393779E1)); +#8212=CARTESIAN_POINT('',(9.699939176812E0,4.578646523472E0,-3.217524893572E1)); +#8213=CARTESIAN_POINT('',(9.624923971015E0,4.533455814871E0,-3.230916353635E1)); +#8214=CARTESIAN_POINT('',(9.562411299518E0,4.482844343698E0,-3.245914159952E1)); +#8215=CARTESIAN_POINT('',(9.512401162320E0,4.431051102353E0,-3.261262162771E1)); +#8216=CARTESIAN_POINT('',(9.474893559421E0,4.384834501295E0,-3.274957627757E1)); +#8217=CARTESIAN_POINT('',(9.437385956523E0,4.328192224127E0,-3.291742555811E1)); +#8218=CARTESIAN_POINT('',(9.412380887924E0,4.279755127711E0,-3.306096024971E1)); +#8219=CARTESIAN_POINT('',(9.399878353624E0,4.249707848397E0,-3.315E1)); +#8221=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8222=VECTOR('',#8221,7.525E0); +#8223=CARTESIAN_POINT('',(1.E1,3.35E0,-1.2475E1)); +#8224=LINE('',#8223,#8222); +#8225=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8226=VECTOR('',#8225,7.525E0); +#8227=CARTESIAN_POINT('',(1.E1,4.65E0,-1.2475E1)); +#8228=LINE('',#8227,#8226); +#8229=CARTESIAN_POINT('',(1.E1,4.E0,-1.2475E1)); +#8230=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8231=DIRECTION('',(0.E0,1.E0,0.E0)); +#8232=AXIS2_PLACEMENT_3D('',#8229,#8230,#8231); +#8234=CARTESIAN_POINT('',(1.E1,4.E0,-1.2475E1)); +#8235=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8236=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8237=AXIS2_PLACEMENT_3D('',#8234,#8235,#8236); +#8239=CARTESIAN_POINT('',(1.E1,1.35E0,-3.58E1)); +#8240=DIRECTION('',(0.E0,1.E0,0.E0)); +#8241=DIRECTION('',(1.238434703146E-1,0.E0,-9.923017660271E-1)); +#8242=AXIS2_PLACEMENT_3D('',#8239,#8240,#8241); +#8244=CARTESIAN_POINT('',(9.919501744295E0,1.35E0,-3.644499614792E1)); +#8245=CARTESIAN_POINT('',(9.926954240697E0,1.344969698001E0,-3.644592625109E1)); +#8246=CARTESIAN_POINT('',(9.941538204950E0,1.336417174804E0,-3.644748405439E1)); +#8247=CARTESIAN_POINT('',(9.962281632647E0,1.327895581573E0,-3.644900758478E1)); +#8248=CARTESIAN_POINT('',(9.981544465095E0,1.323256836482E0,-3.644982753238E1)); +#8249=CARTESIAN_POINT('',(9.999970868946E0,1.321786632137E0,-3.645008608930E1)); +#8250=CARTESIAN_POINT('',(1.001846670003E1,1.323253585731E0,-3.644982810898E1)); +#8251=CARTESIAN_POINT('',(1.003779473708E1,1.327918761548E0,-3.644900348148E1)); +#8252=CARTESIAN_POINT('',(1.005853424820E1,1.336455654124E0,-3.644747710624E1)); +#8253=CARTESIAN_POINT('',(1.007307481514E1,1.344989310171E0,-3.644592262479E1)); +#8254=CARTESIAN_POINT('',(1.008049825570E1,1.35E0,-3.644499614792E1)); +#8256=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8257=VECTOR('',#8256,1.597E1); +#8258=CARTESIAN_POINT('',(1.E1,7.5E-1,-3.515E1)); +#8259=LINE('',#8258,#8257); +#8260=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8261=VECTOR('',#8260,1.597E1); +#8262=CARTESIAN_POINT('',(1.E1,7.5E-1,-3.645E1)); +#8263=LINE('',#8262,#8261); +#8264=CARTESIAN_POINT('',(1.E1,-1.522E1,-3.58E1)); +#8265=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8266=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8267=AXIS2_PLACEMENT_3D('',#8264,#8265,#8266); +#8269=CARTESIAN_POINT('',(1.E1,-1.522E1,-3.58E1)); +#8270=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8271=DIRECTION('',(0.E0,0.E0,1.E0)); +#8272=AXIS2_PLACEMENT_3D('',#8269,#8270,#8271); +#8274=CARTESIAN_POINT('',(1.4E1,4.E0,-3.315E1)); +#8275=DIRECTION('',(0.E0,0.E0,1.E0)); +#8276=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#8277=AXIS2_PLACEMENT_3D('',#8274,#8275,#8276); +#8279=CARTESIAN_POINT('',(1.460012164638E1,4.249707848397E0,-3.315E1)); +#8280=CARTESIAN_POINT('',(1.458761911208E1,4.279755127711E0,-3.306096024971E1)); +#8281=CARTESIAN_POINT('',(1.456261404348E1,4.328192224127E0,-3.291742555811E1)); +#8282=CARTESIAN_POINT('',(1.452510644058E1,4.384834501295E0,-3.274957627757E1)); +#8283=CARTESIAN_POINT('',(1.448759883768E1,4.431051102353E0,-3.261262162771E1)); +#8284=CARTESIAN_POINT('',(1.443758870048E1,4.482844343698E0,-3.245914159952E1)); +#8285=CARTESIAN_POINT('',(1.437507602898E1,4.533455814871E0,-3.230916353635E1)); +#8286=CARTESIAN_POINT('',(1.430006082319E1,4.578646523472E0,-3.217524893572E1)); +#8287=CARTESIAN_POINT('',(1.422504561739E1,4.611536979772E0,-3.207778393779E1)); +#8288=CARTESIAN_POINT('',(1.412502534299E1,4.641484785470E0,-3.198903895981E1)); +#8289=CARTESIAN_POINT('',(1.4E1,4.655676809687E0,-3.194698342862E1)); +#8290=CARTESIAN_POINT('',(1.387497465701E1,4.641484785470E0,-3.198903895981E1)); +#8291=CARTESIAN_POINT('',(1.377495438261E1,4.611536979772E0,-3.207778393779E1)); +#8292=CARTESIAN_POINT('',(1.369993917681E1,4.578646523472E0,-3.217524893572E1)); +#8293=CARTESIAN_POINT('',(1.362492397102E1,4.533455814871E0,-3.230916353635E1)); +#8294=CARTESIAN_POINT('',(1.356241129952E1,4.482844343698E0,-3.245914159952E1)); +#8295=CARTESIAN_POINT('',(1.351240116232E1,4.431051102353E0,-3.261262162771E1)); +#8296=CARTESIAN_POINT('',(1.347489355942E1,4.384834501295E0,-3.274957627757E1)); +#8297=CARTESIAN_POINT('',(1.343738595652E1,4.328192224127E0,-3.291742555811E1)); +#8298=CARTESIAN_POINT('',(1.341238088792E1,4.279755127711E0,-3.306096024971E1)); +#8299=CARTESIAN_POINT('',(1.339987835362E1,4.249707848397E0,-3.315E1)); +#8301=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8302=VECTOR('',#8301,7.525E0); +#8303=CARTESIAN_POINT('',(1.4E1,3.35E0,-1.2475E1)); +#8304=LINE('',#8303,#8302); +#8305=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8306=VECTOR('',#8305,7.525E0); +#8307=CARTESIAN_POINT('',(1.4E1,4.65E0,-1.2475E1)); +#8308=LINE('',#8307,#8306); +#8309=CARTESIAN_POINT('',(1.4E1,4.E0,-1.2475E1)); +#8310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8311=DIRECTION('',(0.E0,1.E0,0.E0)); +#8312=AXIS2_PLACEMENT_3D('',#8309,#8310,#8311); +#8314=CARTESIAN_POINT('',(1.4E1,4.E0,-1.2475E1)); +#8315=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8316=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8317=AXIS2_PLACEMENT_3D('',#8314,#8315,#8316); +#8319=CARTESIAN_POINT('',(1.4E1,1.35E0,-3.58E1)); +#8320=DIRECTION('',(0.E0,1.E0,0.E0)); +#8321=DIRECTION('',(1.238433570355E-1,0.E0,-9.923017801648E-1)); +#8322=AXIS2_PLACEMENT_3D('',#8319,#8320,#8321); +#8324=CARTESIAN_POINT('',(1.391950166188E1,1.350000064341E0,-3.644499613709E1)); +#8325=CARTESIAN_POINT('',(1.392695411236E1,1.344969788828E0,-3.644592623547E1)); +#8326=CARTESIAN_POINT('',(1.394153799976E1,1.336417274547E0,-3.644748403592E1)); +#8327=CARTESIAN_POINT('',(1.396228147362E1,1.327895632265E0,-3.644900757592E1)); +#8328=CARTESIAN_POINT('',(1.398154431020E1,1.323256861289E0,-3.644982752797E1)); +#8329=CARTESIAN_POINT('',(1.399997072305E1,1.321786632072E0,-3.645008608932E1)); +#8330=CARTESIAN_POINT('',(1.401846654890E1,1.323253561319E0,-3.644982811331E1)); +#8331=CARTESIAN_POINT('',(1.403779458592E1,1.327918713039E0,-3.644900348996E1)); +#8332=CARTESIAN_POINT('',(1.405853405725E1,1.336455561010E0,-3.644747712347E1)); +#8333=CARTESIAN_POINT('',(1.407307469574E1,1.344989225849E0,-3.644592263932E1)); +#8334=CARTESIAN_POINT('',(1.408049817941E1,1.349999940653E0,-3.644499615793E1)); +#8336=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8337=VECTOR('',#8336,1.597E1); +#8338=CARTESIAN_POINT('',(1.4E1,7.5E-1,-3.515E1)); +#8339=LINE('',#8338,#8337); +#8340=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8341=VECTOR('',#8340,1.597E1); +#8342=CARTESIAN_POINT('',(1.4E1,7.5E-1,-3.645E1)); +#8343=LINE('',#8342,#8341); +#8344=CARTESIAN_POINT('',(1.4E1,-1.522E1,-3.58E1)); +#8345=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8346=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8347=AXIS2_PLACEMENT_3D('',#8344,#8345,#8346); +#8349=CARTESIAN_POINT('',(1.4E1,-1.522E1,-3.58E1)); +#8350=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8351=DIRECTION('',(0.E0,0.E0,1.E0)); +#8352=AXIS2_PLACEMENT_3D('',#8349,#8350,#8351); +#8354=CARTESIAN_POINT('',(1.8E1,4.E0,-3.315E1)); +#8355=DIRECTION('',(0.E0,0.E0,1.E0)); +#8356=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#8357=AXIS2_PLACEMENT_3D('',#8354,#8355,#8356); +#8359=CARTESIAN_POINT('',(1.860012164638E1,4.249707848397E0,-3.315E1)); +#8360=CARTESIAN_POINT('',(1.858761911208E1,4.279755127711E0,-3.306096024971E1)); +#8361=CARTESIAN_POINT('',(1.856261404348E1,4.328192224127E0,-3.291742555811E1)); +#8362=CARTESIAN_POINT('',(1.852510644058E1,4.384834501295E0,-3.274957627757E1)); +#8363=CARTESIAN_POINT('',(1.848759883768E1,4.431051102353E0,-3.261262162771E1)); +#8364=CARTESIAN_POINT('',(1.843758870048E1,4.482844343698E0,-3.245914159952E1)); +#8365=CARTESIAN_POINT('',(1.837507602898E1,4.533455814871E0,-3.230916353635E1)); +#8366=CARTESIAN_POINT('',(1.830006082319E1,4.578646523472E0,-3.217524893572E1)); +#8367=CARTESIAN_POINT('',(1.822504561739E1,4.611536979772E0,-3.207778393779E1)); +#8368=CARTESIAN_POINT('',(1.812502534299E1,4.641484785470E0,-3.198903895981E1)); +#8369=CARTESIAN_POINT('',(1.8E1,4.655676809687E0,-3.194698342862E1)); +#8370=CARTESIAN_POINT('',(1.787497465701E1,4.641484785470E0,-3.198903895981E1)); +#8371=CARTESIAN_POINT('',(1.777495438261E1,4.611536979772E0,-3.207778393779E1)); +#8372=CARTESIAN_POINT('',(1.769993917681E1,4.578646523472E0,-3.217524893572E1)); +#8373=CARTESIAN_POINT('',(1.762492397102E1,4.533455814871E0,-3.230916353635E1)); +#8374=CARTESIAN_POINT('',(1.756241129952E1,4.482844343698E0,-3.245914159952E1)); +#8375=CARTESIAN_POINT('',(1.751240116232E1,4.431051102353E0,-3.261262162771E1)); +#8376=CARTESIAN_POINT('',(1.747489355942E1,4.384834501295E0,-3.274957627757E1)); +#8377=CARTESIAN_POINT('',(1.743738595652E1,4.328192224127E0,-3.291742555811E1)); +#8378=CARTESIAN_POINT('',(1.741238088792E1,4.279755127711E0,-3.306096024971E1)); +#8379=CARTESIAN_POINT('',(1.739987835362E1,4.249707848397E0,-3.315E1)); +#8381=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8382=VECTOR('',#8381,7.525E0); +#8383=CARTESIAN_POINT('',(1.8E1,3.35E0,-1.2475E1)); +#8384=LINE('',#8383,#8382); +#8385=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8386=VECTOR('',#8385,7.525E0); +#8387=CARTESIAN_POINT('',(1.8E1,4.65E0,-1.2475E1)); +#8388=LINE('',#8387,#8386); +#8389=CARTESIAN_POINT('',(1.8E1,4.E0,-1.2475E1)); +#8390=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8391=DIRECTION('',(0.E0,1.E0,0.E0)); +#8392=AXIS2_PLACEMENT_3D('',#8389,#8390,#8391); +#8394=CARTESIAN_POINT('',(1.8E1,4.E0,-1.2475E1)); +#8395=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8396=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8397=AXIS2_PLACEMENT_3D('',#8394,#8395,#8396); +#8399=CARTESIAN_POINT('',(1.8E1,1.35E0,-3.58E1)); +#8400=DIRECTION('',(0.E0,1.E0,0.E0)); +#8401=DIRECTION('',(1.238434703146E-1,0.E0,-9.923017660271E-1)); +#8402=AXIS2_PLACEMENT_3D('',#8399,#8400,#8401); +#8404=CARTESIAN_POINT('',(1.791950174430E1,1.35E0,-3.644499614792E1)); +#8405=CARTESIAN_POINT('',(1.792695424070E1,1.344969698001E0,-3.644592625109E1)); +#8406=CARTESIAN_POINT('',(1.794153820495E1,1.336417174804E0,-3.644748405439E1)); +#8407=CARTESIAN_POINT('',(1.796228163265E1,1.327895581573E0,-3.644900758478E1)); +#8408=CARTESIAN_POINT('',(1.798154446510E1,1.323256836482E0,-3.644982753238E1)); +#8409=CARTESIAN_POINT('',(1.799997086895E1,1.321786632137E0,-3.645008608930E1)); +#8410=CARTESIAN_POINT('',(1.801846670003E1,1.323253585731E0,-3.644982810898E1)); +#8411=CARTESIAN_POINT('',(1.803779473708E1,1.327918761548E0,-3.644900348148E1)); +#8412=CARTESIAN_POINT('',(1.805853424820E1,1.336455654123E0,-3.644747710624E1)); +#8413=CARTESIAN_POINT('',(1.807307481514E1,1.344989310171E0,-3.644592262479E1)); +#8414=CARTESIAN_POINT('',(1.808049825570E1,1.35E0,-3.644499614792E1)); +#8416=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8417=VECTOR('',#8416,1.597E1); +#8418=CARTESIAN_POINT('',(1.8E1,7.5E-1,-3.515E1)); +#8419=LINE('',#8418,#8417); +#8420=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8421=VECTOR('',#8420,1.597E1); +#8422=CARTESIAN_POINT('',(1.8E1,7.5E-1,-3.645E1)); +#8423=LINE('',#8422,#8421); +#8424=CARTESIAN_POINT('',(1.8E1,-1.522E1,-3.58E1)); +#8425=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8426=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8427=AXIS2_PLACEMENT_3D('',#8424,#8425,#8426); +#8429=CARTESIAN_POINT('',(1.8E1,-1.522E1,-3.58E1)); +#8430=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8431=DIRECTION('',(0.E0,0.E0,1.E0)); +#8432=AXIS2_PLACEMENT_3D('',#8429,#8430,#8431); +#8434=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8435=VECTOR('',#8434,7.525E0); +#8436=CARTESIAN_POINT('',(2.2E1,4.65E0,-1.2475E1)); +#8437=LINE('',#8436,#8435); +#8438=CARTESIAN_POINT('',(2.2E1,4.E0,-1.2475E1)); +#8439=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8440=DIRECTION('',(0.E0,1.E0,0.E0)); +#8441=AXIS2_PLACEMENT_3D('',#8438,#8439,#8440); +#8443=CARTESIAN_POINT('',(2.2E1,4.E0,-1.2475E1)); +#8444=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8445=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8446=AXIS2_PLACEMENT_3D('',#8443,#8444,#8445); +#8448=CARTESIAN_POINT('',(2.2E1,1.35E0,-3.58E1)); +#8449=DIRECTION('',(0.E0,1.E0,0.E0)); +#8450=DIRECTION('',(1.238434703146E-1,0.E0,-9.923017660271E-1)); +#8451=AXIS2_PLACEMENT_3D('',#8448,#8449,#8450); +#8453=CARTESIAN_POINT('',(2.191950174430E1,1.35E0,-3.644499614792E1)); +#8454=CARTESIAN_POINT('',(2.192695424070E1,1.344969698E0,-3.644592625109E1)); +#8455=CARTESIAN_POINT('',(2.194153820495E1,1.336417174804E0,-3.644748405439E1)); +#8456=CARTESIAN_POINT('',(2.196228163265E1,1.327895581573E0,-3.644900758478E1)); +#8457=CARTESIAN_POINT('',(2.198154446510E1,1.323256836482E0,-3.644982753238E1)); +#8458=CARTESIAN_POINT('',(2.199997086895E1,1.321786632137E0,-3.645008608930E1)); +#8459=CARTESIAN_POINT('',(2.201846670003E1,1.323253585731E0,-3.644982810898E1)); +#8460=CARTESIAN_POINT('',(2.203779473708E1,1.327918761548E0,-3.644900348148E1)); +#8461=CARTESIAN_POINT('',(2.205853424820E1,1.336455654123E0,-3.644747710624E1)); +#8462=CARTESIAN_POINT('',(2.207307481514E1,1.344989310171E0,-3.644592262479E1)); +#8463=CARTESIAN_POINT('',(2.208049825570E1,1.35E0,-3.644499614792E1)); +#8465=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8466=VECTOR('',#8465,1.597E1); +#8467=CARTESIAN_POINT('',(2.2E1,7.5E-1,-3.515E1)); +#8468=LINE('',#8467,#8466); +#8469=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8470=VECTOR('',#8469,1.597E1); +#8471=CARTESIAN_POINT('',(2.2E1,7.5E-1,-3.645E1)); +#8472=LINE('',#8471,#8470); +#8473=CARTESIAN_POINT('',(2.2E1,-1.522E1,-3.58E1)); +#8474=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8475=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8476=AXIS2_PLACEMENT_3D('',#8473,#8474,#8475); +#8478=CARTESIAN_POINT('',(2.2E1,-1.522E1,-3.58E1)); +#8479=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8480=DIRECTION('',(0.E0,0.E0,1.E0)); +#8481=AXIS2_PLACEMENT_3D('',#8478,#8479,#8480); +#8483=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8484=VECTOR('',#8483,1.31E1); +#8485=CARTESIAN_POINT('',(-2.33E1,7.5E-1,-2.2E1)); +#8486=LINE('',#8485,#8484); +#8487=DIRECTION('',(0.E0,1.E0,0.E0)); +#8488=VECTOR('',#8487,4.E0); +#8489=CARTESIAN_POINT('',(-2.33E1,-3.25E0,-2.2E1)); +#8490=LINE('',#8489,#8488); +#8491=DIRECTION('',(0.E0,0.E0,1.E0)); +#8492=VECTOR('',#8491,9.1E0); +#8493=CARTESIAN_POINT('',(-2.33E1,-3.25E0,-3.11E1)); +#8494=LINE('',#8493,#8492); +#8495=DIRECTION('',(4.364081738927E-1,7.147225081652E-1,-5.465525062440E-1)); +#8496=VECTOR('',#8495,2.178251947394E0); +#8497=CARTESIAN_POINT('',(2.374939304536E1,-6.65E0,-2.85E1)); +#8498=LINE('',#8497,#8496); +#8499=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8500=VECTOR('',#8499,1.4E0); +#8501=CARTESIAN_POINT('',(2.47E1,-3.25E0,-3.11E1)); +#8502=LINE('',#8501,#8500); +#8503=DIRECTION('',(1.E0,0.E0,0.E0)); +#8504=VECTOR('',#8503,1.4E0); +#8505=CARTESIAN_POINT('',(-2.47E1,-3.25E0,-3.11E1)); +#8506=LINE('',#8505,#8504); +#8507=DIRECTION('',(-4.364081738927E-1,7.147225081652E-1,-5.465525062440E-1)); +#8508=VECTOR('',#8507,2.178251947394E0); +#8509=CARTESIAN_POINT('',(-2.374939304536E1,-6.65E0,-2.85E1)); +#8510=LINE('',#8509,#8508); +#8511=DIRECTION('',(1.E0,0.E0,0.E0)); +#8512=VECTOR('',#8511,4.749878609072E1); +#8513=CARTESIAN_POINT('',(-2.374939304536E1,-6.65E0,-2.85E1)); +#8514=LINE('',#8513,#8512); +#8515=DIRECTION('',(5.211311565163E-1,8.534766064211E-1,0.E0)); +#8516=VECTOR('',#8515,4.100873970848E-1); +#8517=CARTESIAN_POINT('',(2.353568372584E1,-7.E0,-2.85E1)); +#8518=LINE('',#8517,#8516); +#8519=DIRECTION('',(-5.211311565163E-1,8.534766064211E-1,0.E0)); +#8520=VECTOR('',#8519,4.100873970848E-1); +#8521=CARTESIAN_POINT('',(-2.353568372584E1,-7.E0,-2.85E1)); +#8522=LINE('',#8521,#8520); +#8523=DIRECTION('',(1.E0,0.E0,0.E0)); +#8524=VECTOR('',#8523,4.707136745169E1); +#8525=CARTESIAN_POINT('',(-2.353568372584E1,-7.E0,-2.85E1)); +#8526=LINE('',#8525,#8524); +#8527=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8528=VECTOR('',#8527,1.4E0); +#8529=CARTESIAN_POINT('',(2.353568372584E1,-7.E0,-2.71E1)); +#8530=LINE('',#8529,#8528); +#8531=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8532=VECTOR('',#8531,1.4E0); +#8533=CARTESIAN_POINT('',(-2.353568372584E1,-7.E0,-2.71E1)); +#8534=LINE('',#8533,#8532); +#8535=DIRECTION('',(1.E0,0.E0,0.E0)); +#8536=VECTOR('',#8535,4.707136745169E1); +#8537=CARTESIAN_POINT('',(-2.353568372584E1,-7.E0,-2.71E1)); +#8538=LINE('',#8537,#8536); +#8539=CARTESIAN_POINT('',(-2.2E1,-7.E0,-2.78E1)); +#8540=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8541=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8542=AXIS2_PLACEMENT_3D('',#8539,#8540,#8541); +#8544=CARTESIAN_POINT('',(-2.2E1,-7.E0,-2.78E1)); +#8545=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8546=DIRECTION('',(0.E0,0.E0,1.E0)); +#8547=AXIS2_PLACEMENT_3D('',#8544,#8545,#8546); +#8549=CARTESIAN_POINT('',(-1.8E1,-7.E0,-2.78E1)); +#8550=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8551=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8552=AXIS2_PLACEMENT_3D('',#8549,#8550,#8551); +#8554=CARTESIAN_POINT('',(-1.8E1,-7.E0,-2.78E1)); +#8555=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8556=DIRECTION('',(0.E0,0.E0,1.E0)); +#8557=AXIS2_PLACEMENT_3D('',#8554,#8555,#8556); +#8559=CARTESIAN_POINT('',(-1.4E1,-7.E0,-2.78E1)); +#8560=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8561=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8562=AXIS2_PLACEMENT_3D('',#8559,#8560,#8561); +#8564=CARTESIAN_POINT('',(-1.4E1,-7.E0,-2.78E1)); +#8565=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8566=DIRECTION('',(0.E0,0.E0,1.E0)); +#8567=AXIS2_PLACEMENT_3D('',#8564,#8565,#8566); +#8569=CARTESIAN_POINT('',(-1.E1,-7.E0,-2.78E1)); +#8570=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8571=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8572=AXIS2_PLACEMENT_3D('',#8569,#8570,#8571); +#8574=CARTESIAN_POINT('',(-1.E1,-7.E0,-2.78E1)); +#8575=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8576=DIRECTION('',(0.E0,0.E0,1.E0)); +#8577=AXIS2_PLACEMENT_3D('',#8574,#8575,#8576); +#8579=CARTESIAN_POINT('',(-6.E0,-7.E0,-2.78E1)); +#8580=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8581=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8582=AXIS2_PLACEMENT_3D('',#8579,#8580,#8581); +#8584=CARTESIAN_POINT('',(-6.E0,-7.E0,-2.78E1)); +#8585=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8586=DIRECTION('',(0.E0,0.E0,1.E0)); +#8587=AXIS2_PLACEMENT_3D('',#8584,#8585,#8586); +#8589=CARTESIAN_POINT('',(-2.E0,-7.E0,-2.78E1)); +#8590=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8591=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8592=AXIS2_PLACEMENT_3D('',#8589,#8590,#8591); +#8594=CARTESIAN_POINT('',(-2.E0,-7.E0,-2.78E1)); +#8595=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8596=DIRECTION('',(0.E0,0.E0,1.E0)); +#8597=AXIS2_PLACEMENT_3D('',#8594,#8595,#8596); +#8599=CARTESIAN_POINT('',(2.E0,-7.E0,-2.78E1)); +#8600=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8601=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8602=AXIS2_PLACEMENT_3D('',#8599,#8600,#8601); +#8604=CARTESIAN_POINT('',(2.E0,-7.E0,-2.78E1)); +#8605=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8606=DIRECTION('',(0.E0,0.E0,1.E0)); +#8607=AXIS2_PLACEMENT_3D('',#8604,#8605,#8606); +#8609=CARTESIAN_POINT('',(6.E0,-7.E0,-2.78E1)); +#8610=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8611=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8612=AXIS2_PLACEMENT_3D('',#8609,#8610,#8611); +#8614=CARTESIAN_POINT('',(6.E0,-7.E0,-2.78E1)); +#8615=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8616=DIRECTION('',(0.E0,0.E0,1.E0)); +#8617=AXIS2_PLACEMENT_3D('',#8614,#8615,#8616); +#8619=CARTESIAN_POINT('',(1.E1,-7.E0,-2.78E1)); +#8620=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8621=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8622=AXIS2_PLACEMENT_3D('',#8619,#8620,#8621); +#8624=CARTESIAN_POINT('',(1.E1,-7.E0,-2.78E1)); +#8625=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8626=DIRECTION('',(0.E0,0.E0,1.E0)); +#8627=AXIS2_PLACEMENT_3D('',#8624,#8625,#8626); +#8629=CARTESIAN_POINT('',(1.4E1,-7.E0,-2.78E1)); +#8630=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8631=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8632=AXIS2_PLACEMENT_3D('',#8629,#8630,#8631); +#8634=CARTESIAN_POINT('',(1.4E1,-7.E0,-2.78E1)); +#8635=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8636=DIRECTION('',(0.E0,0.E0,1.E0)); +#8637=AXIS2_PLACEMENT_3D('',#8634,#8635,#8636); +#8639=CARTESIAN_POINT('',(1.8E1,-7.E0,-2.78E1)); +#8640=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8641=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8642=AXIS2_PLACEMENT_3D('',#8639,#8640,#8641); +#8644=CARTESIAN_POINT('',(1.8E1,-7.E0,-2.78E1)); +#8645=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8646=DIRECTION('',(0.E0,0.E0,1.E0)); +#8647=AXIS2_PLACEMENT_3D('',#8644,#8645,#8646); +#8649=CARTESIAN_POINT('',(2.2E1,-7.E0,-2.78E1)); +#8650=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8651=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8652=AXIS2_PLACEMENT_3D('',#8649,#8650,#8651); +#8654=CARTESIAN_POINT('',(2.2E1,-7.E0,-2.78E1)); +#8655=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8656=DIRECTION('',(0.E0,0.E0,1.E0)); +#8657=AXIS2_PLACEMENT_3D('',#8654,#8655,#8656); +#8659=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8660=VECTOR('',#8659,8.22E0); +#8661=CARTESIAN_POINT('',(-2.2E1,-7.E0,-2.845E1)); +#8662=LINE('',#8661,#8660); +#8663=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8664=VECTOR('',#8663,8.22E0); +#8665=CARTESIAN_POINT('',(-2.2E1,-7.E0,-2.715E1)); +#8666=LINE('',#8665,#8664); +#8667=CARTESIAN_POINT('',(-2.2E1,-1.522E1,-2.78E1)); +#8668=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8669=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8670=AXIS2_PLACEMENT_3D('',#8667,#8668,#8669); +#8672=CARTESIAN_POINT('',(-2.2E1,-1.522E1,-2.78E1)); +#8673=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8674=DIRECTION('',(0.E0,0.E0,1.E0)); +#8675=AXIS2_PLACEMENT_3D('',#8672,#8673,#8674); +#8677=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8678=VECTOR('',#8677,8.22E0); +#8679=CARTESIAN_POINT('',(-1.8E1,-7.E0,-2.845E1)); +#8680=LINE('',#8679,#8678); +#8681=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8682=VECTOR('',#8681,8.22E0); +#8683=CARTESIAN_POINT('',(-1.8E1,-7.E0,-2.715E1)); +#8684=LINE('',#8683,#8682); +#8685=CARTESIAN_POINT('',(-1.8E1,-1.522E1,-2.78E1)); +#8686=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8687=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8688=AXIS2_PLACEMENT_3D('',#8685,#8686,#8687); +#8690=CARTESIAN_POINT('',(-1.8E1,-1.522E1,-2.78E1)); +#8691=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8692=DIRECTION('',(0.E0,0.E0,1.E0)); +#8693=AXIS2_PLACEMENT_3D('',#8690,#8691,#8692); +#8695=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8696=VECTOR('',#8695,8.22E0); +#8697=CARTESIAN_POINT('',(-1.4E1,-7.E0,-2.845E1)); +#8698=LINE('',#8697,#8696); +#8699=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8700=VECTOR('',#8699,8.22E0); +#8701=CARTESIAN_POINT('',(-1.4E1,-7.E0,-2.715E1)); +#8702=LINE('',#8701,#8700); +#8703=CARTESIAN_POINT('',(-1.4E1,-1.522E1,-2.78E1)); +#8704=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8705=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8706=AXIS2_PLACEMENT_3D('',#8703,#8704,#8705); +#8708=CARTESIAN_POINT('',(-1.4E1,-1.522E1,-2.78E1)); +#8709=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8710=DIRECTION('',(0.E0,0.E0,1.E0)); +#8711=AXIS2_PLACEMENT_3D('',#8708,#8709,#8710); +#8713=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8714=VECTOR('',#8713,8.22E0); +#8715=CARTESIAN_POINT('',(-1.E1,-7.E0,-2.845E1)); +#8716=LINE('',#8715,#8714); +#8717=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8718=VECTOR('',#8717,8.22E0); +#8719=CARTESIAN_POINT('',(-1.E1,-7.E0,-2.715E1)); +#8720=LINE('',#8719,#8718); +#8721=CARTESIAN_POINT('',(-1.E1,-1.522E1,-2.78E1)); +#8722=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8723=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8724=AXIS2_PLACEMENT_3D('',#8721,#8722,#8723); +#8726=CARTESIAN_POINT('',(-1.E1,-1.522E1,-2.78E1)); +#8727=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8728=DIRECTION('',(0.E0,0.E0,1.E0)); +#8729=AXIS2_PLACEMENT_3D('',#8726,#8727,#8728); +#8731=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8732=VECTOR('',#8731,8.22E0); +#8733=CARTESIAN_POINT('',(-6.E0,-7.E0,-2.845E1)); +#8734=LINE('',#8733,#8732); +#8735=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8736=VECTOR('',#8735,8.22E0); +#8737=CARTESIAN_POINT('',(-6.E0,-7.E0,-2.715E1)); +#8738=LINE('',#8737,#8736); +#8739=CARTESIAN_POINT('',(-6.E0,-1.522E1,-2.78E1)); +#8740=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8741=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8742=AXIS2_PLACEMENT_3D('',#8739,#8740,#8741); +#8744=CARTESIAN_POINT('',(-6.E0,-1.522E1,-2.78E1)); +#8745=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8746=DIRECTION('',(0.E0,0.E0,1.E0)); +#8747=AXIS2_PLACEMENT_3D('',#8744,#8745,#8746); +#8749=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8750=VECTOR('',#8749,8.22E0); +#8751=CARTESIAN_POINT('',(-2.E0,-7.E0,-2.845E1)); +#8752=LINE('',#8751,#8750); +#8753=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8754=VECTOR('',#8753,8.22E0); +#8755=CARTESIAN_POINT('',(-2.E0,-7.E0,-2.715E1)); +#8756=LINE('',#8755,#8754); +#8757=CARTESIAN_POINT('',(-2.E0,-1.522E1,-2.78E1)); +#8758=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8759=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8760=AXIS2_PLACEMENT_3D('',#8757,#8758,#8759); +#8762=CARTESIAN_POINT('',(-2.E0,-1.522E1,-2.78E1)); +#8763=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8764=DIRECTION('',(0.E0,0.E0,1.E0)); +#8765=AXIS2_PLACEMENT_3D('',#8762,#8763,#8764); +#8767=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8768=VECTOR('',#8767,8.22E0); +#8769=CARTESIAN_POINT('',(2.E0,-7.E0,-2.845E1)); +#8770=LINE('',#8769,#8768); +#8771=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8772=VECTOR('',#8771,8.22E0); +#8773=CARTESIAN_POINT('',(2.E0,-7.E0,-2.715E1)); +#8774=LINE('',#8773,#8772); +#8775=CARTESIAN_POINT('',(2.E0,-1.522E1,-2.78E1)); +#8776=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8777=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8778=AXIS2_PLACEMENT_3D('',#8775,#8776,#8777); +#8780=CARTESIAN_POINT('',(2.E0,-1.522E1,-2.78E1)); +#8781=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8782=DIRECTION('',(0.E0,0.E0,1.E0)); +#8783=AXIS2_PLACEMENT_3D('',#8780,#8781,#8782); +#8785=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8786=VECTOR('',#8785,8.22E0); +#8787=CARTESIAN_POINT('',(6.E0,-7.E0,-2.845E1)); +#8788=LINE('',#8787,#8786); +#8789=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8790=VECTOR('',#8789,8.22E0); +#8791=CARTESIAN_POINT('',(6.E0,-7.E0,-2.715E1)); +#8792=LINE('',#8791,#8790); +#8793=CARTESIAN_POINT('',(6.E0,-1.522E1,-2.78E1)); +#8794=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8795=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8796=AXIS2_PLACEMENT_3D('',#8793,#8794,#8795); +#8798=CARTESIAN_POINT('',(6.E0,-1.522E1,-2.78E1)); +#8799=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8800=DIRECTION('',(0.E0,0.E0,1.E0)); +#8801=AXIS2_PLACEMENT_3D('',#8798,#8799,#8800); +#8803=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8804=VECTOR('',#8803,8.22E0); +#8805=CARTESIAN_POINT('',(1.E1,-7.E0,-2.845E1)); +#8806=LINE('',#8805,#8804); +#8807=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8808=VECTOR('',#8807,8.22E0); +#8809=CARTESIAN_POINT('',(1.E1,-7.E0,-2.715E1)); +#8810=LINE('',#8809,#8808); +#8811=CARTESIAN_POINT('',(1.E1,-1.522E1,-2.78E1)); +#8812=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8813=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8814=AXIS2_PLACEMENT_3D('',#8811,#8812,#8813); +#8816=CARTESIAN_POINT('',(1.E1,-1.522E1,-2.78E1)); +#8817=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8818=DIRECTION('',(0.E0,0.E0,1.E0)); +#8819=AXIS2_PLACEMENT_3D('',#8816,#8817,#8818); +#8821=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8822=VECTOR('',#8821,8.22E0); +#8823=CARTESIAN_POINT('',(1.4E1,-7.E0,-2.845E1)); +#8824=LINE('',#8823,#8822); +#8825=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8826=VECTOR('',#8825,8.22E0); +#8827=CARTESIAN_POINT('',(1.4E1,-7.E0,-2.715E1)); +#8828=LINE('',#8827,#8826); +#8829=CARTESIAN_POINT('',(1.4E1,-1.522E1,-2.78E1)); +#8830=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8831=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8832=AXIS2_PLACEMENT_3D('',#8829,#8830,#8831); +#8834=CARTESIAN_POINT('',(1.4E1,-1.522E1,-2.78E1)); +#8835=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8836=DIRECTION('',(0.E0,0.E0,1.E0)); +#8837=AXIS2_PLACEMENT_3D('',#8834,#8835,#8836); +#8839=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8840=VECTOR('',#8839,8.22E0); +#8841=CARTESIAN_POINT('',(1.8E1,-7.E0,-2.845E1)); +#8842=LINE('',#8841,#8840); +#8843=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8844=VECTOR('',#8843,8.22E0); +#8845=CARTESIAN_POINT('',(1.8E1,-7.E0,-2.715E1)); +#8846=LINE('',#8845,#8844); +#8847=CARTESIAN_POINT('',(1.8E1,-1.522E1,-2.78E1)); +#8848=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8849=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8850=AXIS2_PLACEMENT_3D('',#8847,#8848,#8849); +#8852=CARTESIAN_POINT('',(1.8E1,-1.522E1,-2.78E1)); +#8853=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8854=DIRECTION('',(0.E0,0.E0,1.E0)); +#8855=AXIS2_PLACEMENT_3D('',#8852,#8853,#8854); +#8857=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8858=VECTOR('',#8857,8.22E0); +#8859=CARTESIAN_POINT('',(2.2E1,-7.E0,-2.845E1)); +#8860=LINE('',#8859,#8858); +#8861=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8862=VECTOR('',#8861,8.22E0); +#8863=CARTESIAN_POINT('',(2.2E1,-7.E0,-2.715E1)); +#8864=LINE('',#8863,#8862); +#8865=CARTESIAN_POINT('',(2.2E1,-1.522E1,-2.78E1)); +#8866=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8867=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8868=AXIS2_PLACEMENT_3D('',#8865,#8866,#8867); +#8870=CARTESIAN_POINT('',(2.2E1,-1.522E1,-2.78E1)); +#8871=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8872=DIRECTION('',(0.E0,0.E0,1.E0)); +#8873=AXIS2_PLACEMENT_3D('',#8870,#8871,#8872); +#8875=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8876=VECTOR('',#8875,1.197E1); +#8877=CARTESIAN_POINT('',(-2.E1,-3.25E0,-3.245E1)); +#8878=LINE('',#8877,#8876); +#8879=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8880=VECTOR('',#8879,1.197E1); +#8881=CARTESIAN_POINT('',(-2.E1,-3.25E0,-3.115E1)); +#8882=LINE('',#8881,#8880); +#8883=CARTESIAN_POINT('',(-2.E1,-1.522E1,-3.18E1)); +#8884=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8885=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8886=AXIS2_PLACEMENT_3D('',#8883,#8884,#8885); +#8888=CARTESIAN_POINT('',(-2.E1,-1.522E1,-3.18E1)); +#8889=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8890=DIRECTION('',(0.E0,0.E0,1.E0)); +#8891=AXIS2_PLACEMENT_3D('',#8888,#8889,#8890); +#8893=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8894=VECTOR('',#8893,1.197E1); +#8895=CARTESIAN_POINT('',(-1.6E1,-3.25E0,-3.245E1)); +#8896=LINE('',#8895,#8894); +#8897=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8898=VECTOR('',#8897,1.197E1); +#8899=CARTESIAN_POINT('',(-1.6E1,-3.25E0,-3.115E1)); +#8900=LINE('',#8899,#8898); +#8901=CARTESIAN_POINT('',(-1.6E1,-1.522E1,-3.18E1)); +#8902=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8903=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8904=AXIS2_PLACEMENT_3D('',#8901,#8902,#8903); +#8906=CARTESIAN_POINT('',(-1.6E1,-1.522E1,-3.18E1)); +#8907=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8908=DIRECTION('',(0.E0,0.E0,1.E0)); +#8909=AXIS2_PLACEMENT_3D('',#8906,#8907,#8908); +#8911=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8912=VECTOR('',#8911,1.197E1); +#8913=CARTESIAN_POINT('',(-1.2E1,-3.25E0,-3.245E1)); +#8914=LINE('',#8913,#8912); +#8915=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8916=VECTOR('',#8915,1.197E1); +#8917=CARTESIAN_POINT('',(-1.2E1,-3.25E0,-3.115E1)); +#8918=LINE('',#8917,#8916); +#8919=CARTESIAN_POINT('',(-1.2E1,-1.522E1,-3.18E1)); +#8920=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8921=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8922=AXIS2_PLACEMENT_3D('',#8919,#8920,#8921); +#8924=CARTESIAN_POINT('',(-1.2E1,-1.522E1,-3.18E1)); +#8925=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8926=DIRECTION('',(0.E0,0.E0,1.E0)); +#8927=AXIS2_PLACEMENT_3D('',#8924,#8925,#8926); +#8929=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8930=VECTOR('',#8929,1.197E1); +#8931=CARTESIAN_POINT('',(-8.E0,-3.25E0,-3.245E1)); +#8932=LINE('',#8931,#8930); +#8933=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8934=VECTOR('',#8933,1.197E1); +#8935=CARTESIAN_POINT('',(-8.E0,-3.25E0,-3.115E1)); +#8936=LINE('',#8935,#8934); +#8937=CARTESIAN_POINT('',(-8.E0,-1.522E1,-3.18E1)); +#8938=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8939=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8940=AXIS2_PLACEMENT_3D('',#8937,#8938,#8939); +#8942=CARTESIAN_POINT('',(-8.E0,-1.522E1,-3.18E1)); +#8943=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8944=DIRECTION('',(0.E0,0.E0,1.E0)); +#8945=AXIS2_PLACEMENT_3D('',#8942,#8943,#8944); +#8947=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8948=VECTOR('',#8947,1.197E1); +#8949=CARTESIAN_POINT('',(-4.E0,-3.25E0,-3.245E1)); +#8950=LINE('',#8949,#8948); +#8951=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8952=VECTOR('',#8951,1.197E1); +#8953=CARTESIAN_POINT('',(-4.E0,-3.25E0,-3.115E1)); +#8954=LINE('',#8953,#8952); +#8955=CARTESIAN_POINT('',(-4.E0,-1.522E1,-3.18E1)); +#8956=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8957=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8958=AXIS2_PLACEMENT_3D('',#8955,#8956,#8957); +#8960=CARTESIAN_POINT('',(-4.E0,-1.522E1,-3.18E1)); +#8961=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8962=DIRECTION('',(0.E0,0.E0,1.E0)); +#8963=AXIS2_PLACEMENT_3D('',#8960,#8961,#8962); +#8965=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8966=VECTOR('',#8965,1.197E1); +#8967=CARTESIAN_POINT('',(0.E0,-3.25E0,-3.245E1)); +#8968=LINE('',#8967,#8966); +#8969=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8970=VECTOR('',#8969,1.197E1); +#8971=CARTESIAN_POINT('',(0.E0,-3.25E0,-3.115E1)); +#8972=LINE('',#8971,#8970); +#8973=CARTESIAN_POINT('',(0.E0,-1.522E1,-3.18E1)); +#8974=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8975=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8976=AXIS2_PLACEMENT_3D('',#8973,#8974,#8975); +#8978=CARTESIAN_POINT('',(0.E0,-1.522E1,-3.18E1)); +#8979=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8980=DIRECTION('',(0.E0,0.E0,1.E0)); +#8981=AXIS2_PLACEMENT_3D('',#8978,#8979,#8980); +#8983=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8984=VECTOR('',#8983,1.197E1); +#8985=CARTESIAN_POINT('',(4.E0,-3.25E0,-3.245E1)); +#8986=LINE('',#8985,#8984); +#8987=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8988=VECTOR('',#8987,1.197E1); +#8989=CARTESIAN_POINT('',(4.E0,-3.25E0,-3.115E1)); +#8990=LINE('',#8989,#8988); +#8991=CARTESIAN_POINT('',(4.E0,-1.522E1,-3.18E1)); +#8992=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8993=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8994=AXIS2_PLACEMENT_3D('',#8991,#8992,#8993); +#8996=CARTESIAN_POINT('',(4.E0,-1.522E1,-3.18E1)); +#8997=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8998=DIRECTION('',(0.E0,0.E0,1.E0)); +#8999=AXIS2_PLACEMENT_3D('',#8996,#8997,#8998); +#9001=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9002=VECTOR('',#9001,1.197E1); +#9003=CARTESIAN_POINT('',(8.E0,-3.25E0,-3.245E1)); +#9004=LINE('',#9003,#9002); +#9005=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9006=VECTOR('',#9005,1.197E1); +#9007=CARTESIAN_POINT('',(8.E0,-3.25E0,-3.115E1)); +#9008=LINE('',#9007,#9006); +#9009=CARTESIAN_POINT('',(8.E0,-1.522E1,-3.18E1)); +#9010=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9011=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9012=AXIS2_PLACEMENT_3D('',#9009,#9010,#9011); +#9014=CARTESIAN_POINT('',(8.E0,-1.522E1,-3.18E1)); +#9015=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9016=DIRECTION('',(0.E0,0.E0,1.E0)); +#9017=AXIS2_PLACEMENT_3D('',#9014,#9015,#9016); +#9019=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9020=VECTOR('',#9019,1.197E1); +#9021=CARTESIAN_POINT('',(1.2E1,-3.25E0,-3.245E1)); +#9022=LINE('',#9021,#9020); +#9023=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9024=VECTOR('',#9023,1.197E1); +#9025=CARTESIAN_POINT('',(1.2E1,-3.25E0,-3.115E1)); +#9026=LINE('',#9025,#9024); +#9027=CARTESIAN_POINT('',(1.2E1,-1.522E1,-3.18E1)); +#9028=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9029=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9030=AXIS2_PLACEMENT_3D('',#9027,#9028,#9029); +#9032=CARTESIAN_POINT('',(1.2E1,-1.522E1,-3.18E1)); +#9033=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9034=DIRECTION('',(0.E0,0.E0,1.E0)); +#9035=AXIS2_PLACEMENT_3D('',#9032,#9033,#9034); +#9037=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9038=VECTOR('',#9037,1.197E1); +#9039=CARTESIAN_POINT('',(1.6E1,-3.25E0,-3.245E1)); +#9040=LINE('',#9039,#9038); +#9041=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9042=VECTOR('',#9041,1.197E1); +#9043=CARTESIAN_POINT('',(1.6E1,-3.25E0,-3.115E1)); +#9044=LINE('',#9043,#9042); +#9045=CARTESIAN_POINT('',(1.6E1,-1.522E1,-3.18E1)); +#9046=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9047=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9048=AXIS2_PLACEMENT_3D('',#9045,#9046,#9047); +#9050=CARTESIAN_POINT('',(1.6E1,-1.522E1,-3.18E1)); +#9051=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9052=DIRECTION('',(0.E0,0.E0,1.E0)); +#9053=AXIS2_PLACEMENT_3D('',#9050,#9051,#9052); +#9055=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9056=VECTOR('',#9055,1.197E1); +#9057=CARTESIAN_POINT('',(2.E1,-3.25E0,-3.245E1)); +#9058=LINE('',#9057,#9056); +#9059=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9060=VECTOR('',#9059,1.197E1); +#9061=CARTESIAN_POINT('',(2.E1,-3.25E0,-3.115E1)); +#9062=LINE('',#9061,#9060); +#9063=CARTESIAN_POINT('',(2.E1,-1.522E1,-3.18E1)); +#9064=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9065=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9066=AXIS2_PLACEMENT_3D('',#9063,#9064,#9065); +#9068=CARTESIAN_POINT('',(2.E1,-1.522E1,-3.18E1)); +#9069=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9070=DIRECTION('',(0.E0,0.E0,1.E0)); +#9071=AXIS2_PLACEMENT_3D('',#9068,#9069,#9070); +#9073=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9074=VECTOR('',#9073,7.525E0); +#9075=CARTESIAN_POINT('',(-2.2E1,-3.35E0,-1.2475E1)); +#9076=LINE('',#9075,#9074); +#9077=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9078=VECTOR('',#9077,7.525E0); +#9079=CARTESIAN_POINT('',(-2.2E1,-4.65E0,-1.2475E1)); +#9080=LINE('',#9079,#9078); +#9081=CARTESIAN_POINT('',(-2.2E1,-4.E0,-1.2475E1)); +#9082=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9083=DIRECTION('',(0.E0,1.E0,0.E0)); +#9084=AXIS2_PLACEMENT_3D('',#9081,#9082,#9083); +#9086=CARTESIAN_POINT('',(-2.2E1,-4.E0,-1.2475E1)); +#9087=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9088=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9089=AXIS2_PLACEMENT_3D('',#9086,#9087,#9088); +#9091=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9092=VECTOR('',#9091,7.525E0); +#9093=CARTESIAN_POINT('',(-1.8E1,-3.35E0,-1.2475E1)); +#9094=LINE('',#9093,#9092); +#9095=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9096=VECTOR('',#9095,7.525E0); +#9097=CARTESIAN_POINT('',(-1.8E1,-4.65E0,-1.2475E1)); +#9098=LINE('',#9097,#9096); +#9099=CARTESIAN_POINT('',(-1.8E1,-4.E0,-1.2475E1)); +#9100=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9101=DIRECTION('',(0.E0,1.E0,0.E0)); +#9102=AXIS2_PLACEMENT_3D('',#9099,#9100,#9101); +#9104=CARTESIAN_POINT('',(-1.8E1,-4.E0,-1.2475E1)); +#9105=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9106=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9107=AXIS2_PLACEMENT_3D('',#9104,#9105,#9106); +#9109=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9110=VECTOR('',#9109,7.525E0); +#9111=CARTESIAN_POINT('',(-1.4E1,-3.35E0,-1.2475E1)); +#9112=LINE('',#9111,#9110); +#9113=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9114=VECTOR('',#9113,7.525E0); +#9115=CARTESIAN_POINT('',(-1.4E1,-4.65E0,-1.2475E1)); +#9116=LINE('',#9115,#9114); +#9117=CARTESIAN_POINT('',(-1.4E1,-4.E0,-1.2475E1)); +#9118=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9119=DIRECTION('',(0.E0,1.E0,0.E0)); +#9120=AXIS2_PLACEMENT_3D('',#9117,#9118,#9119); +#9122=CARTESIAN_POINT('',(-1.4E1,-4.E0,-1.2475E1)); +#9123=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9124=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9125=AXIS2_PLACEMENT_3D('',#9122,#9123,#9124); +#9127=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9128=VECTOR('',#9127,7.525E0); +#9129=CARTESIAN_POINT('',(-1.E1,-3.35E0,-1.2475E1)); +#9130=LINE('',#9129,#9128); +#9131=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9132=VECTOR('',#9131,7.525E0); +#9133=CARTESIAN_POINT('',(-1.E1,-4.65E0,-1.2475E1)); +#9134=LINE('',#9133,#9132); +#9135=CARTESIAN_POINT('',(-1.E1,-4.E0,-1.2475E1)); +#9136=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9137=DIRECTION('',(0.E0,1.E0,0.E0)); +#9138=AXIS2_PLACEMENT_3D('',#9135,#9136,#9137); +#9140=CARTESIAN_POINT('',(-1.E1,-4.E0,-1.2475E1)); +#9141=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9142=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9143=AXIS2_PLACEMENT_3D('',#9140,#9141,#9142); +#9145=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9146=VECTOR('',#9145,7.525E0); +#9147=CARTESIAN_POINT('',(-6.E0,-3.35E0,-1.2475E1)); +#9148=LINE('',#9147,#9146); +#9149=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9150=VECTOR('',#9149,7.525E0); +#9151=CARTESIAN_POINT('',(-6.E0,-4.65E0,-1.2475E1)); +#9152=LINE('',#9151,#9150); +#9153=CARTESIAN_POINT('',(-6.E0,-4.E0,-1.2475E1)); +#9154=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9155=DIRECTION('',(0.E0,1.E0,0.E0)); +#9156=AXIS2_PLACEMENT_3D('',#9153,#9154,#9155); +#9158=CARTESIAN_POINT('',(-6.E0,-4.E0,-1.2475E1)); +#9159=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9160=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9161=AXIS2_PLACEMENT_3D('',#9158,#9159,#9160); +#9163=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9164=VECTOR('',#9163,7.525E0); +#9165=CARTESIAN_POINT('',(-2.E0,-3.35E0,-1.2475E1)); +#9166=LINE('',#9165,#9164); +#9167=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9168=VECTOR('',#9167,7.525E0); +#9169=CARTESIAN_POINT('',(-2.E0,-4.65E0,-1.2475E1)); +#9170=LINE('',#9169,#9168); +#9171=CARTESIAN_POINT('',(-2.E0,-4.E0,-1.2475E1)); +#9172=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9173=DIRECTION('',(0.E0,1.E0,0.E0)); +#9174=AXIS2_PLACEMENT_3D('',#9171,#9172,#9173); +#9176=CARTESIAN_POINT('',(-2.E0,-4.E0,-1.2475E1)); +#9177=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9178=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9179=AXIS2_PLACEMENT_3D('',#9176,#9177,#9178); +#9181=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9182=VECTOR('',#9181,7.525E0); +#9183=CARTESIAN_POINT('',(2.E0,-3.35E0,-1.2475E1)); +#9184=LINE('',#9183,#9182); +#9185=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9186=VECTOR('',#9185,7.525E0); +#9187=CARTESIAN_POINT('',(2.E0,-4.65E0,-1.2475E1)); +#9188=LINE('',#9187,#9186); +#9189=CARTESIAN_POINT('',(2.E0,-4.E0,-1.2475E1)); +#9190=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9191=DIRECTION('',(0.E0,1.E0,0.E0)); +#9192=AXIS2_PLACEMENT_3D('',#9189,#9190,#9191); +#9194=CARTESIAN_POINT('',(2.E0,-4.E0,-1.2475E1)); +#9195=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9196=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9197=AXIS2_PLACEMENT_3D('',#9194,#9195,#9196); +#9199=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9200=VECTOR('',#9199,7.525E0); +#9201=CARTESIAN_POINT('',(6.E0,-3.35E0,-1.2475E1)); +#9202=LINE('',#9201,#9200); +#9203=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9204=VECTOR('',#9203,7.525E0); +#9205=CARTESIAN_POINT('',(6.E0,-4.65E0,-1.2475E1)); +#9206=LINE('',#9205,#9204); +#9207=CARTESIAN_POINT('',(6.E0,-4.E0,-1.2475E1)); +#9208=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9209=DIRECTION('',(0.E0,1.E0,0.E0)); +#9210=AXIS2_PLACEMENT_3D('',#9207,#9208,#9209); +#9212=CARTESIAN_POINT('',(6.E0,-4.E0,-1.2475E1)); +#9213=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9214=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9215=AXIS2_PLACEMENT_3D('',#9212,#9213,#9214); +#9217=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9218=VECTOR('',#9217,7.525E0); +#9219=CARTESIAN_POINT('',(1.E1,-3.35E0,-1.2475E1)); +#9220=LINE('',#9219,#9218); +#9221=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9222=VECTOR('',#9221,7.525E0); +#9223=CARTESIAN_POINT('',(1.E1,-4.65E0,-1.2475E1)); +#9224=LINE('',#9223,#9222); +#9225=CARTESIAN_POINT('',(1.E1,-4.E0,-1.2475E1)); +#9226=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9227=DIRECTION('',(0.E0,1.E0,0.E0)); +#9228=AXIS2_PLACEMENT_3D('',#9225,#9226,#9227); +#9230=CARTESIAN_POINT('',(1.E1,-4.E0,-1.2475E1)); +#9231=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9232=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9233=AXIS2_PLACEMENT_3D('',#9230,#9231,#9232); +#9235=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9236=VECTOR('',#9235,7.525E0); +#9237=CARTESIAN_POINT('',(1.4E1,-3.35E0,-1.2475E1)); +#9238=LINE('',#9237,#9236); +#9239=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9240=VECTOR('',#9239,7.525E0); +#9241=CARTESIAN_POINT('',(1.4E1,-4.65E0,-1.2475E1)); +#9242=LINE('',#9241,#9240); +#9243=CARTESIAN_POINT('',(1.4E1,-4.E0,-1.2475E1)); +#9244=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9245=DIRECTION('',(0.E0,1.E0,0.E0)); +#9246=AXIS2_PLACEMENT_3D('',#9243,#9244,#9245); +#9248=CARTESIAN_POINT('',(1.4E1,-4.E0,-1.2475E1)); +#9249=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9250=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9251=AXIS2_PLACEMENT_3D('',#9248,#9249,#9250); +#9253=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9254=VECTOR('',#9253,7.525E0); +#9255=CARTESIAN_POINT('',(1.8E1,-3.35E0,-1.2475E1)); +#9256=LINE('',#9255,#9254); +#9257=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9258=VECTOR('',#9257,7.525E0); +#9259=CARTESIAN_POINT('',(1.8E1,-4.65E0,-1.2475E1)); +#9260=LINE('',#9259,#9258); +#9261=CARTESIAN_POINT('',(1.8E1,-4.E0,-1.2475E1)); +#9262=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9263=DIRECTION('',(0.E0,1.E0,0.E0)); +#9264=AXIS2_PLACEMENT_3D('',#9261,#9262,#9263); +#9266=CARTESIAN_POINT('',(1.8E1,-4.E0,-1.2475E1)); +#9267=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9268=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9269=AXIS2_PLACEMENT_3D('',#9266,#9267,#9268); +#9271=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9272=VECTOR('',#9271,7.525E0); +#9273=CARTESIAN_POINT('',(2.2E1,-3.35E0,-1.2475E1)); +#9274=LINE('',#9273,#9272); +#9275=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9276=VECTOR('',#9275,7.525E0); +#9277=CARTESIAN_POINT('',(2.2E1,-4.65E0,-1.2475E1)); +#9278=LINE('',#9277,#9276); +#9279=CARTESIAN_POINT('',(2.2E1,-4.E0,-1.2475E1)); +#9280=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9281=DIRECTION('',(0.E0,1.E0,0.E0)); +#9282=AXIS2_PLACEMENT_3D('',#9279,#9280,#9281); +#9284=CARTESIAN_POINT('',(2.2E1,-4.E0,-1.2475E1)); +#9285=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9286=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9287=AXIS2_PLACEMENT_3D('',#9284,#9285,#9286); +#9289=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9290=VECTOR('',#9289,7.525E0); +#9291=CARTESIAN_POINT('',(-2.E1,6.5E-1,-1.2475E1)); +#9292=LINE('',#9291,#9290); +#9293=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9294=VECTOR('',#9293,7.525E0); +#9295=CARTESIAN_POINT('',(-2.E1,-6.5E-1,-1.2475E1)); +#9296=LINE('',#9295,#9294); +#9297=CARTESIAN_POINT('',(-2.E1,0.E0,-1.2475E1)); +#9298=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9299=DIRECTION('',(0.E0,1.E0,0.E0)); +#9300=AXIS2_PLACEMENT_3D('',#9297,#9298,#9299); +#9302=CARTESIAN_POINT('',(-2.E1,0.E0,-1.2475E1)); +#9303=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9304=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9305=AXIS2_PLACEMENT_3D('',#9302,#9303,#9304); +#9307=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9308=VECTOR('',#9307,7.525E0); +#9309=CARTESIAN_POINT('',(-1.6E1,6.5E-1,-1.2475E1)); +#9310=LINE('',#9309,#9308); +#9311=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9312=VECTOR('',#9311,7.525E0); +#9313=CARTESIAN_POINT('',(-1.6E1,-6.5E-1,-1.2475E1)); +#9314=LINE('',#9313,#9312); +#9315=CARTESIAN_POINT('',(-1.6E1,0.E0,-1.2475E1)); +#9316=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9317=DIRECTION('',(0.E0,1.E0,0.E0)); +#9318=AXIS2_PLACEMENT_3D('',#9315,#9316,#9317); +#9320=CARTESIAN_POINT('',(-1.6E1,0.E0,-1.2475E1)); +#9321=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9322=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9323=AXIS2_PLACEMENT_3D('',#9320,#9321,#9322); +#9325=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9326=VECTOR('',#9325,7.525E0); +#9327=CARTESIAN_POINT('',(-1.2E1,6.5E-1,-1.2475E1)); +#9328=LINE('',#9327,#9326); +#9329=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9330=VECTOR('',#9329,7.525E0); +#9331=CARTESIAN_POINT('',(-1.2E1,-6.5E-1,-1.2475E1)); +#9332=LINE('',#9331,#9330); +#9333=CARTESIAN_POINT('',(-1.2E1,0.E0,-1.2475E1)); +#9334=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9335=DIRECTION('',(0.E0,1.E0,0.E0)); +#9336=AXIS2_PLACEMENT_3D('',#9333,#9334,#9335); +#9338=CARTESIAN_POINT('',(-1.2E1,0.E0,-1.2475E1)); +#9339=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9340=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9341=AXIS2_PLACEMENT_3D('',#9338,#9339,#9340); +#9343=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9344=VECTOR('',#9343,7.525E0); +#9345=CARTESIAN_POINT('',(-8.E0,6.5E-1,-1.2475E1)); +#9346=LINE('',#9345,#9344); +#9347=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9348=VECTOR('',#9347,7.525E0); +#9349=CARTESIAN_POINT('',(-8.E0,-6.5E-1,-1.2475E1)); +#9350=LINE('',#9349,#9348); +#9351=CARTESIAN_POINT('',(-8.E0,0.E0,-1.2475E1)); +#9352=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9353=DIRECTION('',(0.E0,1.E0,0.E0)); +#9354=AXIS2_PLACEMENT_3D('',#9351,#9352,#9353); +#9356=CARTESIAN_POINT('',(-8.E0,0.E0,-1.2475E1)); +#9357=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9358=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9359=AXIS2_PLACEMENT_3D('',#9356,#9357,#9358); +#9361=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9362=VECTOR('',#9361,7.525E0); +#9363=CARTESIAN_POINT('',(-4.E0,6.5E-1,-1.2475E1)); +#9364=LINE('',#9363,#9362); +#9365=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9366=VECTOR('',#9365,7.525E0); +#9367=CARTESIAN_POINT('',(-4.E0,-6.5E-1,-1.2475E1)); +#9368=LINE('',#9367,#9366); +#9369=CARTESIAN_POINT('',(-4.E0,0.E0,-1.2475E1)); +#9370=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9371=DIRECTION('',(0.E0,1.E0,0.E0)); +#9372=AXIS2_PLACEMENT_3D('',#9369,#9370,#9371); +#9374=CARTESIAN_POINT('',(-4.E0,0.E0,-1.2475E1)); +#9375=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9376=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9377=AXIS2_PLACEMENT_3D('',#9374,#9375,#9376); +#9379=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9380=VECTOR('',#9379,7.525E0); +#9381=CARTESIAN_POINT('',(0.E0,6.5E-1,-1.2475E1)); +#9382=LINE('',#9381,#9380); +#9383=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9384=VECTOR('',#9383,7.525E0); +#9385=CARTESIAN_POINT('',(0.E0,-6.5E-1,-1.2475E1)); +#9386=LINE('',#9385,#9384); +#9387=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#9388=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9389=DIRECTION('',(0.E0,1.E0,0.E0)); +#9390=AXIS2_PLACEMENT_3D('',#9387,#9388,#9389); +#9392=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#9393=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9394=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9395=AXIS2_PLACEMENT_3D('',#9392,#9393,#9394); +#9397=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9398=VECTOR('',#9397,7.525E0); +#9399=CARTESIAN_POINT('',(4.E0,6.5E-1,-1.2475E1)); +#9400=LINE('',#9399,#9398); +#9401=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9402=VECTOR('',#9401,7.525E0); +#9403=CARTESIAN_POINT('',(4.E0,-6.5E-1,-1.2475E1)); +#9404=LINE('',#9403,#9402); +#9405=CARTESIAN_POINT('',(4.E0,0.E0,-1.2475E1)); +#9406=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9407=DIRECTION('',(0.E0,1.E0,0.E0)); +#9408=AXIS2_PLACEMENT_3D('',#9405,#9406,#9407); +#9410=CARTESIAN_POINT('',(4.E0,0.E0,-1.2475E1)); +#9411=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9412=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9413=AXIS2_PLACEMENT_3D('',#9410,#9411,#9412); +#9415=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9416=VECTOR('',#9415,7.525E0); +#9417=CARTESIAN_POINT('',(8.E0,6.5E-1,-1.2475E1)); +#9418=LINE('',#9417,#9416); +#9419=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9420=VECTOR('',#9419,7.525E0); +#9421=CARTESIAN_POINT('',(8.E0,-6.5E-1,-1.2475E1)); +#9422=LINE('',#9421,#9420); +#9423=CARTESIAN_POINT('',(8.E0,0.E0,-1.2475E1)); +#9424=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9425=DIRECTION('',(0.E0,1.E0,0.E0)); +#9426=AXIS2_PLACEMENT_3D('',#9423,#9424,#9425); +#9428=CARTESIAN_POINT('',(8.E0,0.E0,-1.2475E1)); +#9429=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9430=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9431=AXIS2_PLACEMENT_3D('',#9428,#9429,#9430); +#9433=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9434=VECTOR('',#9433,7.525E0); +#9435=CARTESIAN_POINT('',(1.2E1,6.5E-1,-1.2475E1)); +#9436=LINE('',#9435,#9434); +#9437=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9438=VECTOR('',#9437,7.525E0); +#9439=CARTESIAN_POINT('',(1.2E1,-6.5E-1,-1.2475E1)); +#9440=LINE('',#9439,#9438); +#9441=CARTESIAN_POINT('',(1.2E1,0.E0,-1.2475E1)); +#9442=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9443=DIRECTION('',(0.E0,1.E0,0.E0)); +#9444=AXIS2_PLACEMENT_3D('',#9441,#9442,#9443); +#9446=CARTESIAN_POINT('',(1.2E1,0.E0,-1.2475E1)); +#9447=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9448=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9449=AXIS2_PLACEMENT_3D('',#9446,#9447,#9448); +#9451=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9452=VECTOR('',#9451,7.525E0); +#9453=CARTESIAN_POINT('',(1.6E1,6.5E-1,-1.2475E1)); +#9454=LINE('',#9453,#9452); +#9455=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9456=VECTOR('',#9455,7.525E0); +#9457=CARTESIAN_POINT('',(1.6E1,-6.5E-1,-1.2475E1)); +#9458=LINE('',#9457,#9456); +#9459=CARTESIAN_POINT('',(1.6E1,0.E0,-1.2475E1)); +#9460=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9461=DIRECTION('',(0.E0,1.E0,0.E0)); +#9462=AXIS2_PLACEMENT_3D('',#9459,#9460,#9461); +#9464=CARTESIAN_POINT('',(1.6E1,0.E0,-1.2475E1)); +#9465=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9466=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9467=AXIS2_PLACEMENT_3D('',#9464,#9465,#9466); +#9469=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9470=VECTOR('',#9469,7.525E0); +#9471=CARTESIAN_POINT('',(2.E1,6.5E-1,-1.2475E1)); +#9472=LINE('',#9471,#9470); +#9473=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9474=VECTOR('',#9473,7.525E0); +#9475=CARTESIAN_POINT('',(2.E1,-6.5E-1,-1.2475E1)); +#9476=LINE('',#9475,#9474); +#9477=CARTESIAN_POINT('',(2.E1,0.E0,-1.2475E1)); +#9478=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9479=DIRECTION('',(0.E0,1.E0,0.E0)); +#9480=AXIS2_PLACEMENT_3D('',#9477,#9478,#9479); +#9482=CARTESIAN_POINT('',(2.E1,0.E0,-1.2475E1)); +#9483=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9484=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9485=AXIS2_PLACEMENT_3D('',#9482,#9483,#9484); +#9487=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9488=VECTOR('',#9487,1.E1); +#9489=CARTESIAN_POINT('',(-1.075E0,8.075E0,-1.E1)); +#9490=LINE('',#9489,#9488); +#9491=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9492=VECTOR('',#9491,1.E1); +#9493=CARTESIAN_POINT('',(-2.425E0,8.075E0,-1.E1)); +#9494=LINE('',#9493,#9492); +#9495=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9496=VECTOR('',#9495,1.E1); +#9497=CARTESIAN_POINT('',(1.25E0,9.1E0,-1.E1)); +#9498=LINE('',#9497,#9496); +#9499=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9500=VECTOR('',#9499,1.E1); +#9501=CARTESIAN_POINT('',(2.25E0,9.1E0,-1.E1)); +#9502=LINE('',#9501,#9500); +#9503=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9504=VECTOR('',#9503,1.E1); +#9505=CARTESIAN_POINT('',(4.75E0,9.1E0,-1.E1)); +#9506=LINE('',#9505,#9504); +#9507=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9508=VECTOR('',#9507,1.E1); +#9509=CARTESIAN_POINT('',(5.75E0,9.1E0,-1.E1)); +#9510=LINE('',#9509,#9508); +#9511=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9512=VECTOR('',#9511,1.E1); +#9513=CARTESIAN_POINT('',(8.25E0,9.1E0,-1.E1)); +#9514=LINE('',#9513,#9512); +#9515=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9516=VECTOR('',#9515,1.E1); +#9517=CARTESIAN_POINT('',(9.25E0,9.1E0,-1.E1)); +#9518=LINE('',#9517,#9516); +#9519=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9520=VECTOR('',#9519,1.E1); +#9521=CARTESIAN_POINT('',(1.175E1,9.1E0,-1.E1)); +#9522=LINE('',#9521,#9520); +#9523=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9524=VECTOR('',#9523,1.E1); +#9525=CARTESIAN_POINT('',(1.275E1,9.1E0,-1.E1)); +#9526=LINE('',#9525,#9524); +#9527=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9528=VECTOR('',#9527,1.E1); +#9529=CARTESIAN_POINT('',(1.525E1,9.1E0,-1.E1)); +#9530=LINE('',#9529,#9528); +#9531=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9532=VECTOR('',#9531,1.E1); +#9533=CARTESIAN_POINT('',(1.625E1,9.1E0,-1.E1)); +#9534=LINE('',#9533,#9532); +#9535=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9536=VECTOR('',#9535,1.E1); +#9537=CARTESIAN_POINT('',(1.875E1,9.1E0,-1.E1)); +#9538=LINE('',#9537,#9536); +#9539=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9540=VECTOR('',#9539,1.E1); +#9541=CARTESIAN_POINT('',(1.975E1,9.1E0,-1.E1)); +#9542=LINE('',#9541,#9540); +#9543=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9544=VECTOR('',#9543,1.8E1); +#9545=CARTESIAN_POINT('',(2.82E1,5.6E0,-2.E0)); +#9546=LINE('',#9545,#9544); +#9547=DIRECTION('',(0.E0,1.E0,0.E0)); +#9548=VECTOR('',#9547,1.E0); +#9549=CARTESIAN_POINT('',(2.82E1,-5.2E0,-1.E1)); +#9550=LINE('',#9549,#9548); +#9551=DIRECTION('',(0.E0,0.E0,1.E0)); +#9552=VECTOR('',#9551,1.E1); +#9553=CARTESIAN_POINT('',(2.82E1,-4.2E0,-2.E1)); +#9554=LINE('',#9553,#9552); +#9555=DIRECTION('',(0.E0,1.E0,0.E0)); +#9556=VECTOR('',#9555,8.4E0); +#9557=CARTESIAN_POINT('',(2.82E1,-4.2E0,-2.E1)); +#9558=LINE('',#9557,#9556); +#9559=DIRECTION('',(0.E0,0.E0,1.E0)); +#9560=VECTOR('',#9559,1.E1); +#9561=CARTESIAN_POINT('',(2.82E1,4.2E0,-2.E1)); +#9562=LINE('',#9561,#9560); +#9563=DIRECTION('',(0.E0,1.E0,0.E0)); +#9564=VECTOR('',#9563,1.E0); +#9565=CARTESIAN_POINT('',(2.82E1,4.2E0,-1.E1)); +#9566=LINE('',#9565,#9564); +#9567=DIRECTION('',(0.E0,0.E0,1.E0)); +#9568=VECTOR('',#9567,1.E1); +#9569=CARTESIAN_POINT('',(2.82E1,5.2E0,-2.E1)); +#9570=LINE('',#9569,#9568); +#9571=DIRECTION('',(0.E0,1.E0,0.E0)); +#9572=VECTOR('',#9571,4.000000000002E-1); +#9573=CARTESIAN_POINT('',(2.82E1,5.2E0,-2.E1)); +#9574=LINE('',#9573,#9572); +#9575=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9576=VECTOR('',#9575,1.8E1); +#9577=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E0)); +#9578=LINE('',#9577,#9576); +#9579=DIRECTION('',(0.E0,1.E0,0.E0)); +#9580=VECTOR('',#9579,4.000000000002E-1); +#9581=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E1)); +#9582=LINE('',#9581,#9580); +#9583=DIRECTION('',(0.E0,0.E0,1.E0)); +#9584=VECTOR('',#9583,1.E1); +#9585=CARTESIAN_POINT('',(2.82E1,-5.2E0,-2.E1)); +#9586=LINE('',#9585,#9584); +#9587=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9588=VECTOR('',#9587,1.E1); +#9589=CARTESIAN_POINT('',(2.7E1,-4.2E0,-1.E1)); +#9590=LINE('',#9589,#9588); +#9591=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9592=VECTOR('',#9591,1.E1); +#9593=CARTESIAN_POINT('',(2.7E1,-5.2E0,-1.E1)); +#9594=LINE('',#9593,#9592); +#9595=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9596=VECTOR('',#9595,1.E1); +#9597=CARTESIAN_POINT('',(2.7E1,4.2E0,-1.E1)); +#9598=LINE('',#9597,#9596); +#9599=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9600=VECTOR('',#9599,1.E1); +#9601=CARTESIAN_POINT('',(2.7E1,5.2E0,-1.E1)); +#9602=LINE('',#9601,#9600); +#9603=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9604=VECTOR('',#9603,1.8E1); +#9605=CARTESIAN_POINT('',(2.35E1,-1.03E1,-2.E0)); +#9606=LINE('',#9605,#9604); +#9607=DIRECTION('',(0.E0,0.E0,1.E0)); +#9608=VECTOR('',#9607,1.E1); +#9609=CARTESIAN_POINT('',(5.925E0,-1.03E1,-2.E1)); +#9610=LINE('',#9609,#9608); +#9611=DIRECTION('',(1.E0,0.E0,0.E0)); +#9612=VECTOR('',#9611,2.325E0); +#9613=CARTESIAN_POINT('',(5.925E0,-1.03E1,-2.E1)); +#9614=LINE('',#9613,#9612); +#9615=DIRECTION('',(0.E0,0.E0,1.E0)); +#9616=VECTOR('',#9615,1.E1); +#9617=CARTESIAN_POINT('',(8.25E0,-1.03E1,-2.E1)); +#9618=LINE('',#9617,#9616); +#9619=DIRECTION('',(1.E0,0.E0,0.E0)); +#9620=VECTOR('',#9619,1.E0); +#9621=CARTESIAN_POINT('',(8.25E0,-1.03E1,-1.E1)); +#9622=LINE('',#9621,#9620); +#9623=DIRECTION('',(0.E0,0.E0,1.E0)); +#9624=VECTOR('',#9623,1.E1); +#9625=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#9626=LINE('',#9625,#9624); +#9627=DIRECTION('',(1.E0,0.E0,0.E0)); +#9628=VECTOR('',#9627,2.5E0); +#9629=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#9630=LINE('',#9629,#9628); +#9631=DIRECTION('',(0.E0,0.E0,1.E0)); +#9632=VECTOR('',#9631,1.E1); +#9633=CARTESIAN_POINT('',(1.175E1,-1.03E1,-2.E1)); +#9634=LINE('',#9633,#9632); +#9635=DIRECTION('',(1.E0,0.E0,0.E0)); +#9636=VECTOR('',#9635,1.E0); +#9637=CARTESIAN_POINT('',(1.175E1,-1.03E1,-1.E1)); +#9638=LINE('',#9637,#9636); +#9639=DIRECTION('',(0.E0,0.E0,1.E0)); +#9640=VECTOR('',#9639,1.E1); +#9641=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#9642=LINE('',#9641,#9640); +#9643=DIRECTION('',(1.E0,0.E0,0.E0)); +#9644=VECTOR('',#9643,2.5E0); +#9645=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#9646=LINE('',#9645,#9644); +#9647=DIRECTION('',(0.E0,0.E0,1.E0)); +#9648=VECTOR('',#9647,1.E1); +#9649=CARTESIAN_POINT('',(1.525E1,-1.03E1,-2.E1)); +#9650=LINE('',#9649,#9648); +#9651=DIRECTION('',(1.E0,0.E0,0.E0)); +#9652=VECTOR('',#9651,1.E0); +#9653=CARTESIAN_POINT('',(1.525E1,-1.03E1,-1.E1)); +#9654=LINE('',#9653,#9652); +#9655=DIRECTION('',(0.E0,0.E0,1.E0)); +#9656=VECTOR('',#9655,1.E1); +#9657=CARTESIAN_POINT('',(1.625E1,-1.03E1,-2.E1)); +#9658=LINE('',#9657,#9656); +#9659=DIRECTION('',(1.E0,0.E0,0.E0)); +#9660=VECTOR('',#9659,2.5E0); +#9661=CARTESIAN_POINT('',(1.625E1,-1.03E1,-2.E1)); +#9662=LINE('',#9661,#9660); +#9663=DIRECTION('',(0.E0,0.E0,1.E0)); +#9664=VECTOR('',#9663,1.E1); +#9665=CARTESIAN_POINT('',(1.875E1,-1.03E1,-2.E1)); +#9666=LINE('',#9665,#9664); +#9667=DIRECTION('',(1.E0,0.E0,0.E0)); +#9668=VECTOR('',#9667,1.E0); +#9669=CARTESIAN_POINT('',(1.875E1,-1.03E1,-1.E1)); +#9670=LINE('',#9669,#9668); +#9671=DIRECTION('',(0.E0,0.E0,1.E0)); +#9672=VECTOR('',#9671,1.E1); +#9673=CARTESIAN_POINT('',(1.975E1,-1.03E1,-2.E1)); +#9674=LINE('',#9673,#9672); +#9675=DIRECTION('',(1.E0,0.E0,0.E0)); +#9676=VECTOR('',#9675,3.75E0); +#9677=CARTESIAN_POINT('',(1.975E1,-1.03E1,-2.E1)); +#9678=LINE('',#9677,#9676); +#9679=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9680=VECTOR('',#9679,1.8E1); +#9681=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E0)); +#9682=LINE('',#9681,#9680); +#9683=DIRECTION('',(1.E0,0.E0,0.E0)); +#9684=VECTOR('',#9683,3.75E0); +#9685=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E1)); +#9686=LINE('',#9685,#9684); +#9687=DIRECTION('',(0.E0,0.E0,1.E0)); +#9688=VECTOR('',#9687,1.E1); +#9689=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-2.E1)); +#9690=LINE('',#9689,#9688); +#9691=DIRECTION('',(1.E0,0.E0,0.E0)); +#9692=VECTOR('',#9691,1.E0); +#9693=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-1.E1)); +#9694=LINE('',#9693,#9692); +#9695=DIRECTION('',(0.E0,0.E0,1.E0)); +#9696=VECTOR('',#9695,1.E1); +#9697=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-2.E1)); +#9698=LINE('',#9697,#9696); +#9699=DIRECTION('',(1.E0,0.E0,0.E0)); +#9700=VECTOR('',#9699,2.5E0); +#9701=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-2.E1)); +#9702=LINE('',#9701,#9700); +#9703=DIRECTION('',(0.E0,0.E0,1.E0)); +#9704=VECTOR('',#9703,1.E1); +#9705=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-2.E1)); +#9706=LINE('',#9705,#9704); +#9707=DIRECTION('',(1.E0,0.E0,0.E0)); +#9708=VECTOR('',#9707,1.E0); +#9709=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-1.E1)); +#9710=LINE('',#9709,#9708); +#9711=DIRECTION('',(0.E0,0.E0,1.E0)); +#9712=VECTOR('',#9711,1.E1); +#9713=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-2.E1)); +#9714=LINE('',#9713,#9712); +#9715=DIRECTION('',(1.E0,0.E0,0.E0)); +#9716=VECTOR('',#9715,2.5E0); +#9717=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-2.E1)); +#9718=LINE('',#9717,#9716); +#9719=DIRECTION('',(0.E0,0.E0,1.E0)); +#9720=VECTOR('',#9719,1.E1); +#9721=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-2.E1)); +#9722=LINE('',#9721,#9720); +#9723=DIRECTION('',(1.E0,0.E0,0.E0)); +#9724=VECTOR('',#9723,1.E0); +#9725=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-1.E1)); +#9726=LINE('',#9725,#9724); +#9727=DIRECTION('',(0.E0,0.E0,1.E0)); +#9728=VECTOR('',#9727,1.E1); +#9729=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#9730=LINE('',#9729,#9728); +#9731=DIRECTION('',(1.E0,0.E0,0.E0)); +#9732=VECTOR('',#9731,2.5E0); +#9733=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#9734=LINE('',#9733,#9732); +#9735=DIRECTION('',(0.E0,0.E0,1.E0)); +#9736=VECTOR('',#9735,1.E1); +#9737=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-2.E1)); +#9738=LINE('',#9737,#9736); +#9739=DIRECTION('',(1.E0,0.E0,0.E0)); +#9740=VECTOR('',#9739,1.E0); +#9741=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-1.E1)); +#9742=LINE('',#9741,#9740); +#9743=DIRECTION('',(0.E0,0.E0,1.E0)); +#9744=VECTOR('',#9743,1.E1); +#9745=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#9746=LINE('',#9745,#9744); +#9747=DIRECTION('',(1.E0,0.E0,0.E0)); +#9748=VECTOR('',#9747,2.5E0); +#9749=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#9750=LINE('',#9749,#9748); +#9751=DIRECTION('',(0.E0,0.E0,1.E0)); +#9752=VECTOR('',#9751,1.E1); +#9753=CARTESIAN_POINT('',(-5.75E0,-1.03E1,-2.E1)); +#9754=LINE('',#9753,#9752); +#9755=DIRECTION('',(1.E0,0.E0,0.E0)); +#9756=VECTOR('',#9755,1.E0); +#9757=CARTESIAN_POINT('',(-5.75E0,-1.03E1,-1.E1)); +#9758=LINE('',#9757,#9756); +#9759=DIRECTION('',(0.E0,0.E0,1.E0)); +#9760=VECTOR('',#9759,1.E1); +#9761=CARTESIAN_POINT('',(-4.75E0,-1.03E1,-2.E1)); +#9762=LINE('',#9761,#9760); +#9763=DIRECTION('',(1.E0,0.E0,0.E0)); +#9764=VECTOR('',#9763,2.5E0); +#9765=CARTESIAN_POINT('',(-4.75E0,-1.03E1,-2.E1)); +#9766=LINE('',#9765,#9764); +#9767=DIRECTION('',(0.E0,0.E0,1.E0)); +#9768=VECTOR('',#9767,1.E1); +#9769=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-2.E1)); +#9770=LINE('',#9769,#9768); +#9771=DIRECTION('',(1.E0,0.E0,0.E0)); +#9772=VECTOR('',#9771,1.E0); +#9773=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-1.E1)); +#9774=LINE('',#9773,#9772); +#9775=DIRECTION('',(0.E0,0.E0,1.E0)); +#9776=VECTOR('',#9775,1.E1); +#9777=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#9778=LINE('',#9777,#9776); +#9779=DIRECTION('',(1.E0,0.E0,0.E0)); +#9780=VECTOR('',#9779,2.5E0); +#9781=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#9782=LINE('',#9781,#9780); +#9783=DIRECTION('',(0.E0,0.E0,1.E0)); +#9784=VECTOR('',#9783,1.E1); +#9785=CARTESIAN_POINT('',(1.25E0,-1.03E1,-2.E1)); +#9786=LINE('',#9785,#9784); +#9787=DIRECTION('',(1.E0,0.E0,0.E0)); +#9788=VECTOR('',#9787,1.E0); +#9789=CARTESIAN_POINT('',(1.25E0,-1.03E1,-1.E1)); +#9790=LINE('',#9789,#9788); +#9791=DIRECTION('',(0.E0,0.E0,1.E0)); +#9792=VECTOR('',#9791,1.E1); +#9793=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#9794=LINE('',#9793,#9792); +#9795=DIRECTION('',(1.E0,0.E0,0.E0)); +#9796=VECTOR('',#9795,2.325E0); +#9797=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#9798=LINE('',#9797,#9796); +#9799=DIRECTION('',(0.E0,0.E0,1.E0)); +#9800=VECTOR('',#9799,1.E1); +#9801=CARTESIAN_POINT('',(4.575E0,-1.03E1,-2.E1)); +#9802=LINE('',#9801,#9800); +#9803=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9804=VECTOR('',#9803,1.E1); +#9805=CARTESIAN_POINT('',(5.925E0,-8.075E0,-1.E1)); +#9806=LINE('',#9805,#9804); +#9807=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9808=VECTOR('',#9807,1.E1); +#9809=CARTESIAN_POINT('',(4.575E0,-8.075E0,-1.E1)); +#9810=LINE('',#9809,#9808); +#9811=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9812=VECTOR('',#9811,1.E1); +#9813=CARTESIAN_POINT('',(8.25E0,-9.1E0,-1.E1)); +#9814=LINE('',#9813,#9812); +#9815=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9816=VECTOR('',#9815,1.E1); +#9817=CARTESIAN_POINT('',(9.25E0,-9.1E0,-1.E1)); +#9818=LINE('',#9817,#9816); +#9819=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9820=VECTOR('',#9819,1.E1); +#9821=CARTESIAN_POINT('',(1.175E1,-9.1E0,-1.E1)); +#9822=LINE('',#9821,#9820); +#9823=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9824=VECTOR('',#9823,1.E1); +#9825=CARTESIAN_POINT('',(1.275E1,-9.1E0,-1.E1)); +#9826=LINE('',#9825,#9824); +#9827=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9828=VECTOR('',#9827,1.E1); +#9829=CARTESIAN_POINT('',(1.525E1,-9.1E0,-1.E1)); +#9830=LINE('',#9829,#9828); +#9831=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9832=VECTOR('',#9831,1.E1); +#9833=CARTESIAN_POINT('',(1.625E1,-9.1E0,-1.E1)); +#9834=LINE('',#9833,#9832); +#9835=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9836=VECTOR('',#9835,1.E1); +#9837=CARTESIAN_POINT('',(1.875E1,-9.1E0,-1.E1)); +#9838=LINE('',#9837,#9836); +#9839=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9840=VECTOR('',#9839,1.E1); +#9841=CARTESIAN_POINT('',(1.975E1,-9.1E0,-1.E1)); +#9842=LINE('',#9841,#9840); +#9843=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9844=VECTOR('',#9843,1.8E1); +#9845=CARTESIAN_POINT('',(-2.82E1,-5.6E0,-2.E0)); +#9846=LINE('',#9845,#9844); +#9847=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9848=VECTOR('',#9847,1.E0); +#9849=CARTESIAN_POINT('',(-2.82E1,5.2E0,-1.E1)); +#9850=LINE('',#9849,#9848); +#9851=DIRECTION('',(0.E0,0.E0,1.E0)); +#9852=VECTOR('',#9851,1.E1); +#9853=CARTESIAN_POINT('',(-2.82E1,4.2E0,-2.E1)); +#9854=LINE('',#9853,#9852); +#9855=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9856=VECTOR('',#9855,8.4E0); +#9857=CARTESIAN_POINT('',(-2.82E1,4.2E0,-2.E1)); +#9858=LINE('',#9857,#9856); +#9859=DIRECTION('',(0.E0,0.E0,1.E0)); +#9860=VECTOR('',#9859,1.E1); +#9861=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-2.E1)); +#9862=LINE('',#9861,#9860); +#9863=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9864=VECTOR('',#9863,1.E0); +#9865=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-1.E1)); +#9866=LINE('',#9865,#9864); +#9867=DIRECTION('',(0.E0,0.E0,1.E0)); +#9868=VECTOR('',#9867,1.E1); +#9869=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-2.E1)); +#9870=LINE('',#9869,#9868); +#9871=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9872=VECTOR('',#9871,4.000000000002E-1); +#9873=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-2.E1)); +#9874=LINE('',#9873,#9872); +#9875=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9876=VECTOR('',#9875,1.8E1); +#9877=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E0)); +#9878=LINE('',#9877,#9876); +#9879=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9880=VECTOR('',#9879,4.000000000002E-1); +#9881=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E1)); +#9882=LINE('',#9881,#9880); +#9883=DIRECTION('',(0.E0,0.E0,1.E0)); +#9884=VECTOR('',#9883,1.E1); +#9885=CARTESIAN_POINT('',(-2.82E1,5.2E0,-2.E1)); +#9886=LINE('',#9885,#9884); +#9887=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9888=VECTOR('',#9887,1.E1); +#9889=CARTESIAN_POINT('',(-2.7E1,4.2E0,-1.E1)); +#9890=LINE('',#9889,#9888); +#9891=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9892=VECTOR('',#9891,1.E1); +#9893=CARTESIAN_POINT('',(-2.7E1,5.2E0,-1.E1)); +#9894=LINE('',#9893,#9892); +#9895=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9896=VECTOR('',#9895,1.E1); +#9897=CARTESIAN_POINT('',(-2.7E1,-4.2E0,-1.E1)); +#9898=LINE('',#9897,#9896); +#9899=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9900=VECTOR('',#9899,1.E1); +#9901=CARTESIAN_POINT('',(-2.7E1,-5.2E0,-1.E1)); +#9902=LINE('',#9901,#9900); +#9903=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9904=VECTOR('',#9903,1.8E1); +#9905=CARTESIAN_POINT('',(-2.35E1,1.03E1,-2.E0)); +#9906=LINE('',#9905,#9904); +#9907=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9908=VECTOR('',#9907,1.E1); +#9909=CARTESIAN_POINT('',(-1.975E1,-9.1E0,-1.E1)); +#9910=LINE('',#9909,#9908); +#9911=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9912=VECTOR('',#9911,1.E1); +#9913=CARTESIAN_POINT('',(-1.875E1,-9.1E0,-1.E1)); +#9914=LINE('',#9913,#9912); +#9915=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9916=VECTOR('',#9915,1.E1); +#9917=CARTESIAN_POINT('',(-1.625E1,-9.1E0,-1.E1)); +#9918=LINE('',#9917,#9916); +#9919=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9920=VECTOR('',#9919,1.E1); +#9921=CARTESIAN_POINT('',(-1.525E1,-9.1E0,-1.E1)); +#9922=LINE('',#9921,#9920); +#9923=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9924=VECTOR('',#9923,1.E1); +#9925=CARTESIAN_POINT('',(-1.275E1,-9.1E0,-1.E1)); +#9926=LINE('',#9925,#9924); +#9927=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9928=VECTOR('',#9927,1.E1); +#9929=CARTESIAN_POINT('',(-1.175E1,-9.1E0,-1.E1)); +#9930=LINE('',#9929,#9928); +#9931=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9932=VECTOR('',#9931,1.E1); +#9933=CARTESIAN_POINT('',(-9.25E0,-9.1E0,-1.E1)); +#9934=LINE('',#9933,#9932); +#9935=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9936=VECTOR('',#9935,1.E1); +#9937=CARTESIAN_POINT('',(-8.25E0,-9.1E0,-1.E1)); +#9938=LINE('',#9937,#9936); +#9939=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9940=VECTOR('',#9939,1.E1); +#9941=CARTESIAN_POINT('',(-5.75E0,-9.1E0,-1.E1)); +#9942=LINE('',#9941,#9940); +#9943=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9944=VECTOR('',#9943,1.E1); +#9945=CARTESIAN_POINT('',(-4.75E0,-9.1E0,-1.E1)); +#9946=LINE('',#9945,#9944); +#9947=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9948=VECTOR('',#9947,1.E1); +#9949=CARTESIAN_POINT('',(-2.25E0,-9.1E0,-1.E1)); +#9950=LINE('',#9949,#9948); +#9951=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9952=VECTOR('',#9951,1.E1); +#9953=CARTESIAN_POINT('',(-1.25E0,-9.1E0,-1.E1)); +#9954=LINE('',#9953,#9952); +#9955=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9956=VECTOR('',#9955,1.E1); +#9957=CARTESIAN_POINT('',(1.25E0,-9.1E0,-1.E1)); +#9958=LINE('',#9957,#9956); +#9959=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9960=VECTOR('',#9959,1.E1); +#9961=CARTESIAN_POINT('',(2.25E0,-9.1E0,-1.E1)); +#9962=LINE('',#9961,#9960); +#9963=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9964=VECTOR('',#9963,1.E1); +#9965=CARTESIAN_POINT('',(-1.975E1,9.1E0,-1.E1)); +#9966=LINE('',#9965,#9964); +#9967=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9968=VECTOR('',#9967,1.E1); +#9969=CARTESIAN_POINT('',(-1.875E1,9.1E0,-1.E1)); +#9970=LINE('',#9969,#9968); +#9971=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9972=VECTOR('',#9971,1.E1); +#9973=CARTESIAN_POINT('',(-1.625E1,9.1E0,-1.E1)); +#9974=LINE('',#9973,#9972); +#9975=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9976=VECTOR('',#9975,1.E1); +#9977=CARTESIAN_POINT('',(-1.525E1,9.1E0,-1.E1)); +#9978=LINE('',#9977,#9976); +#9979=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9980=VECTOR('',#9979,1.E1); +#9981=CARTESIAN_POINT('',(-1.275E1,9.1E0,-1.E1)); +#9982=LINE('',#9981,#9980); +#9983=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9984=VECTOR('',#9983,1.E1); +#9985=CARTESIAN_POINT('',(-1.175E1,9.1E0,-1.E1)); +#9986=LINE('',#9985,#9984); +#9987=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9988=VECTOR('',#9987,1.E1); +#9989=CARTESIAN_POINT('',(-9.25E0,9.1E0,-1.E1)); +#9990=LINE('',#9989,#9988); +#9991=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9992=VECTOR('',#9991,1.E1); +#9993=CARTESIAN_POINT('',(-8.25E0,9.1E0,-1.E1)); +#9994=LINE('',#9993,#9992); +#9995=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9996=VECTOR('',#9995,1.E1); +#9997=CARTESIAN_POINT('',(-5.75E0,9.1E0,-1.E1)); +#9998=LINE('',#9997,#9996); +#9999=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10000=VECTOR('',#9999,1.E1); +#10001=CARTESIAN_POINT('',(-4.75E0,9.1E0,-1.E1)); +#10002=LINE('',#10001,#10000); +#10003=CARTESIAN_POINT('',(2.35E1,-1.18E1,-2.E1)); +#10004=CARTESIAN_POINT('',(-2.35E1,-1.18E1,-2.E1)); +#10005=VERTEX_POINT('',#10003); +#10006=VERTEX_POINT('',#10004); +#10007=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E1)); +#10008=CARTESIAN_POINT('',(2.82E1,5.6E0,-2.E1)); +#10009=VERTEX_POINT('',#10007); +#10010=VERTEX_POINT('',#10008); +#10011=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E1)); +#10012=CARTESIAN_POINT('',(-2.35E1,1.03E1,-2.E1)); +#10013=VERTEX_POINT('',#10011); +#10014=VERTEX_POINT('',#10012); +#10015=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E1)); +#10016=CARTESIAN_POINT('',(-2.82E1,-5.6E0,-2.E1)); +#10017=VERTEX_POINT('',#10015); +#10018=VERTEX_POINT('',#10016); +#10019=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E1)); +#10020=CARTESIAN_POINT('',(2.35E1,-1.03E1,-2.E1)); +#10021=VERTEX_POINT('',#10019); +#10022=VERTEX_POINT('',#10020); +#10023=CARTESIAN_POINT('',(2.97E1,-5.6E0,-1.9275E1)); +#10024=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-1.9275E1)); +#10025=VERTEX_POINT('',#10023); +#10026=VERTEX_POINT('',#10024); +#10027=CARTESIAN_POINT('',(-2.723329613077E1,-1.055E1,-1.9275E1)); +#10028=CARTESIAN_POINT('',(-2.97E1,-5.6E0,-1.9275E1)); +#10029=VERTEX_POINT('',#10027); +#10030=VERTEX_POINT('',#10028); +#10031=CARTESIAN_POINT('',(-2.964579409906E1,6.418055555554E0,-1.9275E1)); +#10032=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.9275E1)); +#10033=VERTEX_POINT('',#10031); +#10034=VERTEX_POINT('',#10032); +#10035=CARTESIAN_POINT('',(2.35E1,1.18E1,-1.9275E1)); +#10036=CARTESIAN_POINT('',(2.964579409906E1,6.418055555554E0,-1.9275E1)); +#10037=VERTEX_POINT('',#10035); +#10038=VERTEX_POINT('',#10036); +#10039=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-2.E1)); +#10040=VERTEX_POINT('',#10039); +#10041=CARTESIAN_POINT('',(-2.723329613077E1,-1.055E1,-2.E1)); +#10042=VERTEX_POINT('',#10041); +#10043=CARTESIAN_POINT('',(-2.97E1,5.6E0,-2.E1)); +#10044=CARTESIAN_POINT('',(-2.964579409906E1,6.418055555556E0,-2.E1)); +#10045=VERTEX_POINT('',#10043); +#10046=VERTEX_POINT('',#10044); +#10047=CARTESIAN_POINT('',(2.964579409906E1,6.418055555556E0,-2.E1)); +#10048=CARTESIAN_POINT('',(2.97E1,5.6E0,-2.E1)); +#10049=VERTEX_POINT('',#10047); +#10050=VERTEX_POINT('',#10048); +#10051=CARTESIAN_POINT('',(-3.17E1,7.55E0,-1.9275E1)); +#10052=CARTESIAN_POINT('',(-3.063705121181E1,7.55E0,-1.9275E1)); +#10053=VERTEX_POINT('',#10051); +#10054=VERTEX_POINT('',#10052); +#10055=CARTESIAN_POINT('',(-2.97E1,-3.05E0,-1.9275E1)); +#10056=VERTEX_POINT('',#10055); +#10057=CARTESIAN_POINT('',(-3.17E1,7.55E0,-2.E1)); +#10058=CARTESIAN_POINT('',(-3.063705121181E1,7.55E0,-2.E1)); +#10059=VERTEX_POINT('',#10057); +#10060=VERTEX_POINT('',#10058); +#10061=CARTESIAN_POINT('',(-2.97E1,-3.05E0,-2.E1)); +#10062=VERTEX_POINT('',#10061); +#10063=CARTESIAN_POINT('',(-2.795E1,1.18E1,-1.9275E1)); +#10064=CARTESIAN_POINT('',(-2.883235294118E1,1.232941176471E1,-1.9275E1)); +#10065=VERTEX_POINT('',#10063); +#10066=VERTEX_POINT('',#10064); +#10067=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-1.9275E1)); +#10068=VERTEX_POINT('',#10067); +#10069=CARTESIAN_POINT('',(-3.404520787991E1,1.305E1,-1.9275E1)); +#10070=VERTEX_POINT('',#10069); +#10071=CARTESIAN_POINT('',(3.17E1,7.55E0,-1.9275E1)); +#10072=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-1.9275E1)); +#10073=VERTEX_POINT('',#10071); +#10074=VERTEX_POINT('',#10072); +#10075=CARTESIAN_POINT('',(2.97E1,-3.05E0,-1.9275E1)); +#10076=VERTEX_POINT('',#10075); +#10077=CARTESIAN_POINT('',(-2.883235294118E1,1.232941176471E1,-2.E1)); +#10078=CARTESIAN_POINT('',(-2.795E1,1.18E1,-2.E1)); +#10079=VERTEX_POINT('',#10077); +#10080=VERTEX_POINT('',#10078); +#10081=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-2.E1)); +#10082=VERTEX_POINT('',#10081); +#10083=CARTESIAN_POINT('',(-3.404520787991E1,1.305E1,-2.E1)); +#10084=VERTEX_POINT('',#10083); +#10085=CARTESIAN_POINT('',(3.17E1,7.55E0,-2.E1)); +#10086=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-2.E1)); +#10087=VERTEX_POINT('',#10085); +#10088=VERTEX_POINT('',#10086); +#10089=CARTESIAN_POINT('',(2.97E1,-3.05E0,-2.E1)); +#10090=VERTEX_POINT('',#10089); +#10091=CARTESIAN_POINT('',(2.935479212009E1,1.305E1,-1.9275E1)); +#10092=VERTEX_POINT('',#10091); +#10093=CARTESIAN_POINT('',(2.883235294118E1,1.232941176471E1,-1.9275E1)); +#10094=VERTEX_POINT('',#10093); +#10095=CARTESIAN_POINT('',(2.795E1,1.18E1,-1.9275E1)); +#10096=VERTEX_POINT('',#10095); +#10097=CARTESIAN_POINT('',(3.063705121181E1,7.55E0,-1.9275E1)); +#10098=VERTEX_POINT('',#10097); +#10099=CARTESIAN_POINT('',(2.935479212009E1,1.305E1,-2.E1)); +#10100=VERTEX_POINT('',#10099); +#10101=CARTESIAN_POINT('',(2.883235294118E1,1.232941176471E1,-2.E1)); +#10102=VERTEX_POINT('',#10101); +#10103=CARTESIAN_POINT('',(2.795E1,1.18E1,-2.E1)); +#10104=VERTEX_POINT('',#10103); +#10105=CARTESIAN_POINT('',(3.063705121181E1,7.55E0,-2.E1)); +#10106=VERTEX_POINT('',#10105); +#10107=CARTESIAN_POINT('',(-3.07E1,-4.05E0,-1.9275E1)); +#10108=CARTESIAN_POINT('',(-3.32E1,-4.05E0,-1.9275E1)); +#10109=VERTEX_POINT('',#10107); +#10110=VERTEX_POINT('',#10108); +#10111=CARTESIAN_POINT('',(-3.545E1,-4.954792120088E0,-1.9275E1)); +#10112=VERTEX_POINT('',#10111); +#10113=CARTESIAN_POINT('',(-3.545E1,-9.645207879912E0,-1.9275E1)); +#10114=VERTEX_POINT('',#10113); +#10115=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-1.9275E1)); +#10116=VERTEX_POINT('',#10115); +#10117=CARTESIAN_POINT('',(3.32E1,-1.055E1,-1.9275E1)); +#10118=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-1.9275E1)); +#10119=VERTEX_POINT('',#10117); +#10120=VERTEX_POINT('',#10118); +#10121=CARTESIAN_POINT('',(3.545E1,-4.954792120088E0,-1.9275E1)); +#10122=VERTEX_POINT('',#10121); +#10123=CARTESIAN_POINT('',(3.32E1,-4.05E0,-1.9275E1)); +#10124=VERTEX_POINT('',#10123); +#10125=CARTESIAN_POINT('',(3.07E1,-4.05E0,-1.9275E1)); +#10126=VERTEX_POINT('',#10125); +#10127=CARTESIAN_POINT('',(-3.07E1,-4.05E0,-2.E1)); +#10128=CARTESIAN_POINT('',(-3.32E1,-4.05E0,-2.E1)); +#10129=VERTEX_POINT('',#10127); +#10130=VERTEX_POINT('',#10128); +#10131=CARTESIAN_POINT('',(-3.545E1,-4.954792120088E0,-2.E1)); +#10132=VERTEX_POINT('',#10131); +#10133=CARTESIAN_POINT('',(-3.545E1,-9.645207879912E0,-2.E1)); +#10134=VERTEX_POINT('',#10133); +#10135=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-2.E1)); +#10136=VERTEX_POINT('',#10135); +#10137=CARTESIAN_POINT('',(3.32E1,-1.055E1,-2.E1)); +#10138=VERTEX_POINT('',#10137); +#10139=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-2.E1)); +#10140=VERTEX_POINT('',#10139); +#10141=CARTESIAN_POINT('',(3.545E1,-4.954792120088E0,-2.E1)); +#10142=VERTEX_POINT('',#10141); +#10143=CARTESIAN_POINT('',(3.32E1,-4.05E0,-2.E1)); +#10144=VERTEX_POINT('',#10143); +#10145=CARTESIAN_POINT('',(3.07E1,-4.05E0,-2.E1)); +#10146=VERTEX_POINT('',#10145); +#10147=CARTESIAN_POINT('',(-2.7E1,5.2E0,-1.E1)); +#10148=CARTESIAN_POINT('',(-2.7E1,4.2E0,-1.E1)); +#10149=VERTEX_POINT('',#10147); +#10150=VERTEX_POINT('',#10148); +#10151=CARTESIAN_POINT('',(1.975E1,1.03E1,-1.E1)); +#10152=CARTESIAN_POINT('',(1.875E1,1.03E1,-1.E1)); +#10153=VERTEX_POINT('',#10151); +#10154=VERTEX_POINT('',#10152); +#10155=CARTESIAN_POINT('',(1.625E1,1.03E1,-1.E1)); +#10156=CARTESIAN_POINT('',(1.525E1,1.03E1,-1.E1)); +#10157=VERTEX_POINT('',#10155); +#10158=VERTEX_POINT('',#10156); +#10159=CARTESIAN_POINT('',(1.275E1,1.03E1,-1.E1)); +#10160=CARTESIAN_POINT('',(1.175E1,1.03E1,-1.E1)); +#10161=VERTEX_POINT('',#10159); +#10162=VERTEX_POINT('',#10160); +#10163=CARTESIAN_POINT('',(9.25E0,1.03E1,-1.E1)); +#10164=CARTESIAN_POINT('',(8.25E0,1.03E1,-1.E1)); +#10165=VERTEX_POINT('',#10163); +#10166=VERTEX_POINT('',#10164); +#10167=CARTESIAN_POINT('',(5.75E0,1.03E1,-1.E1)); +#10168=CARTESIAN_POINT('',(4.75E0,1.03E1,-1.E1)); +#10169=VERTEX_POINT('',#10167); +#10170=VERTEX_POINT('',#10168); +#10171=CARTESIAN_POINT('',(2.25E0,1.03E1,-1.E1)); +#10172=CARTESIAN_POINT('',(1.25E0,1.03E1,-1.E1)); +#10173=VERTEX_POINT('',#10171); +#10174=VERTEX_POINT('',#10172); +#10175=CARTESIAN_POINT('',(-4.75E0,1.03E1,-1.E1)); +#10176=CARTESIAN_POINT('',(-5.75E0,1.03E1,-1.E1)); +#10177=VERTEX_POINT('',#10175); +#10178=VERTEX_POINT('',#10176); +#10179=CARTESIAN_POINT('',(-8.25E0,1.03E1,-1.E1)); +#10180=CARTESIAN_POINT('',(-9.25E0,1.03E1,-1.E1)); +#10181=VERTEX_POINT('',#10179); +#10182=VERTEX_POINT('',#10180); +#10183=CARTESIAN_POINT('',(-1.175E1,1.03E1,-1.E1)); +#10184=CARTESIAN_POINT('',(-1.275E1,1.03E1,-1.E1)); +#10185=VERTEX_POINT('',#10183); +#10186=VERTEX_POINT('',#10184); +#10187=CARTESIAN_POINT('',(-1.525E1,1.03E1,-1.E1)); +#10188=CARTESIAN_POINT('',(-1.625E1,1.03E1,-1.E1)); +#10189=VERTEX_POINT('',#10187); +#10190=VERTEX_POINT('',#10188); +#10191=CARTESIAN_POINT('',(-1.875E1,1.03E1,-1.E1)); +#10192=CARTESIAN_POINT('',(-1.975E1,1.03E1,-1.E1)); +#10193=VERTEX_POINT('',#10191); +#10194=VERTEX_POINT('',#10192); +#10195=CARTESIAN_POINT('',(-2.82E1,5.2E0,-1.E1)); +#10196=CARTESIAN_POINT('',(-2.82E1,4.2E0,-1.E1)); +#10197=VERTEX_POINT('',#10195); +#10198=VERTEX_POINT('',#10196); +#10199=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-1.E1)); +#10200=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-1.E1)); +#10201=VERTEX_POINT('',#10199); +#10202=VERTEX_POINT('',#10200); +#10203=CARTESIAN_POINT('',(-2.82E1,4.2E0,-2.E1)); +#10204=VERTEX_POINT('',#10203); +#10205=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-1.E1)); +#10206=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-1.E1)); +#10207=VERTEX_POINT('',#10205); +#10208=VERTEX_POINT('',#10206); +#10209=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-1.E1)); +#10210=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-1.E1)); +#10211=VERTEX_POINT('',#10209); +#10212=VERTEX_POINT('',#10210); +#10213=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-1.E1)); +#10214=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-1.E1)); +#10215=VERTEX_POINT('',#10213); +#10216=VERTEX_POINT('',#10214); +#10217=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-1.E1)); +#10218=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-1.E1)); +#10219=VERTEX_POINT('',#10217); +#10220=VERTEX_POINT('',#10218); +#10221=CARTESIAN_POINT('',(-5.75E0,-1.03E1,-1.E1)); +#10222=CARTESIAN_POINT('',(-4.75E0,-1.03E1,-1.E1)); +#10223=VERTEX_POINT('',#10221); +#10224=VERTEX_POINT('',#10222); +#10225=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-1.E1)); +#10226=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-1.E1)); +#10227=VERTEX_POINT('',#10225); +#10228=VERTEX_POINT('',#10226); +#10229=CARTESIAN_POINT('',(1.25E0,-1.03E1,-1.E1)); +#10230=CARTESIAN_POINT('',(2.25E0,-1.03E1,-1.E1)); +#10231=VERTEX_POINT('',#10229); +#10232=VERTEX_POINT('',#10230); +#10233=CARTESIAN_POINT('',(8.25E0,-1.03E1,-1.E1)); +#10234=CARTESIAN_POINT('',(9.25E0,-1.03E1,-1.E1)); +#10235=VERTEX_POINT('',#10233); +#10236=VERTEX_POINT('',#10234); +#10237=CARTESIAN_POINT('',(1.175E1,-1.03E1,-1.E1)); +#10238=CARTESIAN_POINT('',(1.275E1,-1.03E1,-1.E1)); +#10239=VERTEX_POINT('',#10237); +#10240=VERTEX_POINT('',#10238); +#10241=CARTESIAN_POINT('',(1.525E1,-1.03E1,-1.E1)); +#10242=CARTESIAN_POINT('',(1.625E1,-1.03E1,-1.E1)); +#10243=VERTEX_POINT('',#10241); +#10244=VERTEX_POINT('',#10242); +#10245=CARTESIAN_POINT('',(1.875E1,-1.03E1,-1.E1)); +#10246=CARTESIAN_POINT('',(1.975E1,-1.03E1,-1.E1)); +#10247=VERTEX_POINT('',#10245); +#10248=VERTEX_POINT('',#10246); +#10249=CARTESIAN_POINT('',(2.82E1,-5.2E0,-1.E1)); +#10250=CARTESIAN_POINT('',(2.82E1,-4.2E0,-1.E1)); +#10251=VERTEX_POINT('',#10249); +#10252=VERTEX_POINT('',#10250); +#10253=CARTESIAN_POINT('',(2.82E1,4.2E0,-1.E1)); +#10254=CARTESIAN_POINT('',(2.82E1,5.2E0,-1.E1)); +#10255=VERTEX_POINT('',#10253); +#10256=VERTEX_POINT('',#10254); +#10257=CARTESIAN_POINT('',(-2.82E1,5.2E0,-2.E1)); +#10258=CARTESIAN_POINT('',(-2.7E1,5.2E0,-2.E1)); +#10259=VERTEX_POINT('',#10257); +#10260=VERTEX_POINT('',#10258); +#10261=CARTESIAN_POINT('',(-2.7E1,4.2E0,-2.E1)); +#10262=VERTEX_POINT('',#10261); +#10263=CARTESIAN_POINT('',(1.975E1,1.03E1,-2.E1)); +#10264=VERTEX_POINT('',#10263); +#10265=CARTESIAN_POINT('',(1.875E1,1.03E1,-2.E1)); +#10266=CARTESIAN_POINT('',(1.625E1,1.03E1,-2.E1)); +#10267=VERTEX_POINT('',#10265); +#10268=VERTEX_POINT('',#10266); +#10269=CARTESIAN_POINT('',(1.525E1,1.03E1,-2.E1)); +#10270=CARTESIAN_POINT('',(1.275E1,1.03E1,-2.E1)); +#10271=VERTEX_POINT('',#10269); +#10272=VERTEX_POINT('',#10270); +#10273=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#10274=CARTESIAN_POINT('',(9.25E0,1.03E1,-2.E1)); +#10275=VERTEX_POINT('',#10273); +#10276=VERTEX_POINT('',#10274); +#10277=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#10278=CARTESIAN_POINT('',(5.75E0,1.03E1,-2.E1)); +#10279=VERTEX_POINT('',#10277); +#10280=VERTEX_POINT('',#10278); +#10281=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#10282=CARTESIAN_POINT('',(2.25E0,1.03E1,-2.E1)); +#10283=VERTEX_POINT('',#10281); +#10284=VERTEX_POINT('',#10282); +#10285=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#10286=CARTESIAN_POINT('',(-8.25E0,1.03E1,-2.E1)); +#10287=VERTEX_POINT('',#10285); +#10288=VERTEX_POINT('',#10286); +#10289=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#10290=CARTESIAN_POINT('',(-1.175E1,1.03E1,-2.E1)); +#10291=VERTEX_POINT('',#10289); +#10292=VERTEX_POINT('',#10290); +#10293=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#10294=CARTESIAN_POINT('',(-1.525E1,1.03E1,-2.E1)); +#10295=VERTEX_POINT('',#10293); +#10296=VERTEX_POINT('',#10294); +#10297=CARTESIAN_POINT('',(-1.625E1,1.03E1,-2.E1)); +#10298=CARTESIAN_POINT('',(-1.875E1,1.03E1,-2.E1)); +#10299=VERTEX_POINT('',#10297); +#10300=VERTEX_POINT('',#10298); +#10301=CARTESIAN_POINT('',(-1.975E1,1.03E1,-2.E1)); +#10302=VERTEX_POINT('',#10301); +#10303=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-2.E1)); +#10304=VERTEX_POINT('',#10303); +#10305=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-2.E1)); +#10306=VERTEX_POINT('',#10305); +#10307=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-2.E1)); +#10308=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-2.E1)); +#10309=VERTEX_POINT('',#10307); +#10310=VERTEX_POINT('',#10308); +#10311=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-2.E1)); +#10312=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-2.E1)); +#10313=VERTEX_POINT('',#10311); +#10314=VERTEX_POINT('',#10312); +#10315=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#10316=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-2.E1)); +#10317=VERTEX_POINT('',#10315); +#10318=VERTEX_POINT('',#10316); +#10319=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#10320=CARTESIAN_POINT('',(-5.75E0,-1.03E1,-2.E1)); +#10321=VERTEX_POINT('',#10319); +#10322=VERTEX_POINT('',#10320); +#10323=CARTESIAN_POINT('',(-4.75E0,-1.03E1,-2.E1)); +#10324=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-2.E1)); +#10325=VERTEX_POINT('',#10323); +#10326=VERTEX_POINT('',#10324); +#10327=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#10328=CARTESIAN_POINT('',(1.25E0,-1.03E1,-2.E1)); +#10329=VERTEX_POINT('',#10327); +#10330=VERTEX_POINT('',#10328); +#10331=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#10332=CARTESIAN_POINT('',(1.175E1,-1.03E1,-2.E1)); +#10333=VERTEX_POINT('',#10331); +#10334=VERTEX_POINT('',#10332); +#10335=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#10336=CARTESIAN_POINT('',(1.525E1,-1.03E1,-2.E1)); +#10337=VERTEX_POINT('',#10335); +#10338=VERTEX_POINT('',#10336); +#10339=CARTESIAN_POINT('',(1.625E1,-1.03E1,-2.E1)); +#10340=CARTESIAN_POINT('',(1.875E1,-1.03E1,-2.E1)); +#10341=VERTEX_POINT('',#10339); +#10342=VERTEX_POINT('',#10340); +#10343=CARTESIAN_POINT('',(1.975E1,-1.03E1,-2.E1)); +#10344=VERTEX_POINT('',#10343); +#10345=CARTESIAN_POINT('',(2.82E1,-5.2E0,-2.E1)); +#10346=VERTEX_POINT('',#10345); +#10347=CARTESIAN_POINT('',(2.82E1,-4.2E0,-2.E1)); +#10348=CARTESIAN_POINT('',(2.82E1,4.2E0,-2.E1)); +#10349=VERTEX_POINT('',#10347); +#10350=VERTEX_POINT('',#10348); +#10351=CARTESIAN_POINT('',(-1.075E0,8.075E0,-1.E1)); +#10352=CARTESIAN_POINT('',(-2.425E0,8.075E0,-1.E1)); +#10353=VERTEX_POINT('',#10351); +#10354=VERTEX_POINT('',#10352); +#10355=CARTESIAN_POINT('',(4.575E0,-8.075E0,-1.E1)); +#10356=CARTESIAN_POINT('',(5.925E0,-8.075E0,-1.E1)); +#10357=VERTEX_POINT('',#10355); +#10358=VERTEX_POINT('',#10356); +#10359=CARTESIAN_POINT('',(-1.075E0,1.03E1,-2.E1)); +#10360=CARTESIAN_POINT('',(-1.075E0,1.03E1,-1.E1)); +#10361=VERTEX_POINT('',#10359); +#10362=VERTEX_POINT('',#10360); +#10363=CARTESIAN_POINT('',(-2.425E0,1.03E1,-2.E1)); +#10364=CARTESIAN_POINT('',(-2.425E0,1.03E1,-1.E1)); +#10365=VERTEX_POINT('',#10363); +#10366=VERTEX_POINT('',#10364); +#10367=CARTESIAN_POINT('',(4.575E0,-1.03E1,-2.E1)); +#10368=CARTESIAN_POINT('',(4.575E0,-1.03E1,-1.E1)); +#10369=VERTEX_POINT('',#10367); +#10370=VERTEX_POINT('',#10368); +#10371=CARTESIAN_POINT('',(5.925E0,-1.03E1,-2.E1)); +#10372=CARTESIAN_POINT('',(5.925E0,-1.03E1,-1.E1)); +#10373=VERTEX_POINT('',#10371); +#10374=VERTEX_POINT('',#10372); +#10375=CARTESIAN_POINT('',(-1.075E0,8.075E0,-2.E1)); +#10376=VERTEX_POINT('',#10375); +#10377=CARTESIAN_POINT('',(-2.425E0,8.075E0,-2.E1)); +#10378=VERTEX_POINT('',#10377); +#10379=CARTESIAN_POINT('',(4.575E0,-8.075E0,-2.E1)); +#10380=VERTEX_POINT('',#10379); +#10381=CARTESIAN_POINT('',(5.925E0,-8.075E0,-2.E1)); +#10382=VERTEX_POINT('',#10381); +#10383=CARTESIAN_POINT('',(1.25E0,1.03E1,-2.E1)); +#10384=VERTEX_POINT('',#10383); +#10385=CARTESIAN_POINT('',(-4.75E0,1.03E1,-2.E1)); +#10386=VERTEX_POINT('',#10385); +#10387=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#10388=VERTEX_POINT('',#10387); +#10389=CARTESIAN_POINT('',(8.25E0,-1.03E1,-2.E1)); +#10390=VERTEX_POINT('',#10389); +#10391=CARTESIAN_POINT('',(-2.35E1,-1.083589838486E1,0.E0)); +#10392=CARTESIAN_POINT('',(2.35E1,-1.083589838486E1,0.E0)); +#10393=VERTEX_POINT('',#10391); +#10394=VERTEX_POINT('',#10392); +#10395=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E0)); +#10396=CARTESIAN_POINT('',(2.35E1,-1.03E1,-2.E0)); +#10397=VERTEX_POINT('',#10395); +#10398=VERTEX_POINT('',#10396); +#10399=CARTESIAN_POINT('',(2.873589838486E1,-5.6E0,0.E0)); +#10400=VERTEX_POINT('',#10399); +#10401=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E0)); +#10402=VERTEX_POINT('',#10401); +#10403=CARTESIAN_POINT('',(2.873589838486E1,5.6E0,0.E0)); +#10404=VERTEX_POINT('',#10403); +#10405=CARTESIAN_POINT('',(2.82E1,5.6E0,-2.E0)); +#10406=VERTEX_POINT('',#10405); +#10407=CARTESIAN_POINT('',(2.35E1,1.083589838486E1,0.E0)); +#10408=VERTEX_POINT('',#10407); +#10409=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E0)); +#10410=VERTEX_POINT('',#10409); +#10411=CARTESIAN_POINT('',(-2.35E1,1.083589838486E1,0.E0)); +#10412=VERTEX_POINT('',#10411); +#10413=CARTESIAN_POINT('',(-2.35E1,1.03E1,-2.E0)); +#10414=VERTEX_POINT('',#10413); +#10415=CARTESIAN_POINT('',(-2.873589838486E1,5.6E0,0.E0)); +#10416=VERTEX_POINT('',#10415); +#10417=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E0)); +#10418=VERTEX_POINT('',#10417); +#10419=CARTESIAN_POINT('',(-2.873589838486E1,-5.6E0,0.E0)); +#10420=VERTEX_POINT('',#10419); +#10421=CARTESIAN_POINT('',(-2.82E1,-5.6E0,-2.E0)); +#10422=VERTEX_POINT('',#10421); +#10423=CARTESIAN_POINT('',(-3.0615E1,1.08E1,-1.9275E1)); +#10424=CARTESIAN_POINT('',(-3.2785E1,1.08E1,-1.9275E1)); +#10425=VERTEX_POINT('',#10423); +#10426=VERTEX_POINT('',#10424); +#10427=CARTESIAN_POINT('',(-3.2115E1,-7.3E0,-1.9275E1)); +#10428=CARTESIAN_POINT('',(-3.4285E1,-7.3E0,-1.9275E1)); +#10429=VERTEX_POINT('',#10427); +#10430=VERTEX_POINT('',#10428); +#10431=CARTESIAN_POINT('',(3.4285E1,-7.3E0,-1.9275E1)); +#10432=CARTESIAN_POINT('',(3.2115E1,-7.3E0,-1.9275E1)); +#10433=VERTEX_POINT('',#10431); +#10434=VERTEX_POINT('',#10432); +#10435=CARTESIAN_POINT('',(3.2785E1,1.08E1,-1.9275E1)); +#10436=CARTESIAN_POINT('',(3.0615E1,1.08E1,-1.9275E1)); +#10437=VERTEX_POINT('',#10435); +#10438=VERTEX_POINT('',#10436); +#10439=CARTESIAN_POINT('',(-3.2785E1,1.08E1,-2.E1)); +#10440=CARTESIAN_POINT('',(-3.0615E1,1.08E1,-2.E1)); +#10441=VERTEX_POINT('',#10439); +#10442=VERTEX_POINT('',#10440); +#10443=CARTESIAN_POINT('',(-3.4285E1,-7.3E0,-2.E1)); +#10444=CARTESIAN_POINT('',(-3.2115E1,-7.3E0,-2.E1)); +#10445=VERTEX_POINT('',#10443); +#10446=VERTEX_POINT('',#10444); +#10447=CARTESIAN_POINT('',(3.2115E1,-7.3E0,-2.E1)); +#10448=CARTESIAN_POINT('',(3.4285E1,-7.3E0,-2.E1)); +#10449=VERTEX_POINT('',#10447); +#10450=VERTEX_POINT('',#10448); +#10451=CARTESIAN_POINT('',(3.0615E1,1.08E1,-2.E1)); +#10452=CARTESIAN_POINT('',(3.2785E1,1.08E1,-2.E1)); +#10453=VERTEX_POINT('',#10451); +#10454=VERTEX_POINT('',#10452); +#10455=CARTESIAN_POINT('',(-2.47E1,7.5E-1,-3.65E1)); +#10456=CARTESIAN_POINT('',(-2.47E1,3.876763209470E0,-3.425515488527E1)); +#10457=VERTEX_POINT('',#10455); +#10458=VERTEX_POINT('',#10456); +#10459=CARTESIAN_POINT('',(2.47E1,7.5E-1,-3.65E1)); +#10460=CARTESIAN_POINT('',(2.47E1,3.876763209470E0,-3.425515488527E1)); +#10461=VERTEX_POINT('',#10459); +#10462=VERTEX_POINT('',#10460); +#10463=CARTESIAN_POINT('',(-2.33E1,-3.25E0,-2.2E1)); +#10464=CARTESIAN_POINT('',(-2.33E1,7.5E-1,-2.2E1)); +#10465=VERTEX_POINT('',#10463); +#10466=VERTEX_POINT('',#10464); +#10467=CARTESIAN_POINT('',(-2.47E1,-3.25E0,-2.2E1)); +#10468=CARTESIAN_POINT('',(-2.47E1,-3.25E0,-3.11E1)); +#10469=VERTEX_POINT('',#10467); +#10470=VERTEX_POINT('',#10468); +#10471=CARTESIAN_POINT('',(-2.33E1,-3.25E0,-3.11E1)); +#10472=VERTEX_POINT('',#10471); +#10473=CARTESIAN_POINT('',(-2.33E1,-3.25E0,-3.25E1)); +#10474=VERTEX_POINT('',#10473); +#10475=CARTESIAN_POINT('',(-2.33E1,-2.65E0,-3.25E1)); +#10476=VERTEX_POINT('',#10475); +#10477=CARTESIAN_POINT('',(-2.33E1,7.5E-1,-3.51E1)); +#10478=VERTEX_POINT('',#10477); +#10479=CARTESIAN_POINT('',(-2.47E1,7.5E-1,-2.2E1)); +#10480=VERTEX_POINT('',#10479); +#10481=CARTESIAN_POINT('',(3.075E1,-1.107E1,-2.2E1)); +#10482=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-2.2E1)); +#10483=VERTEX_POINT('',#10481); +#10484=VERTEX_POINT('',#10482); +#10485=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-2.2E1)); +#10486=VERTEX_POINT('',#10485); +#10487=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-2.2E1)); +#10488=VERTEX_POINT('',#10487); +#10489=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-2.2E1)); +#10490=VERTEX_POINT('',#10489); +#10491=CARTESIAN_POINT('',(2.473110368616E1,-1.077E1,-2.2E1)); +#10492=VERTEX_POINT('',#10491); +#10493=CARTESIAN_POINT('',(2.473110368616E1,-9.67E0,-2.2E1)); +#10494=VERTEX_POINT('',#10493); +#10495=CARTESIAN_POINT('',(3.075E1,-1.107E1,-2.85E1)); +#10496=VERTEX_POINT('',#10495); +#10497=CARTESIAN_POINT('',(2.695E1,-5.568671030682E0,-3.78E1)); +#10498=CARTESIAN_POINT('',(2.695E1,-1.107E1,-3.78E1)); +#10499=VERTEX_POINT('',#10497); +#10500=VERTEX_POINT('',#10498); +#10501=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-3.78E1)); +#10502=VERTEX_POINT('',#10501); +#10503=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-3.78E1)); +#10504=VERTEX_POINT('',#10503); +#10505=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-3.78E1)); +#10506=VERTEX_POINT('',#10505); +#10507=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-3.78E1)); +#10508=VERTEX_POINT('',#10507); +#10509=CARTESIAN_POINT('',(2.473110368616E1,-1.077E1,-3.78E1)); +#10510=VERTEX_POINT('',#10509); +#10511=CARTESIAN_POINT('',(2.473110368616E1,-9.67E0,-3.78E1)); +#10512=VERTEX_POINT('',#10511); +#10513=CARTESIAN_POINT('',(2.443110368616E1,-9.37E0,-3.78E1)); +#10514=VERTEX_POINT('',#10513); +#10515=CARTESIAN_POINT('',(2.443110368616E1,-8.22E0,-3.78E1)); +#10516=VERTEX_POINT('',#10515); +#10517=CARTESIAN_POINT('',(2.605E1,-5.568671030682E0,-3.78E1)); +#10518=VERTEX_POINT('',#10517); +#10519=CARTESIAN_POINT('',(2.695E1,-1.107E1,-2.85E1)); +#10520=VERTEX_POINT('',#10519); +#10521=CARTESIAN_POINT('',(2.605E1,-5.568671030682E0,-2.2E1)); +#10522=VERTEX_POINT('',#10521); +#10523=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-3.31E1)); +#10524=CARTESIAN_POINT('',(3.075E1,-7.218671030682E0,-3.31E1)); +#10525=VERTEX_POINT('',#10523); +#10526=VERTEX_POINT('',#10524); +#10527=CARTESIAN_POINT('',(3.075E1,-7.218671030682E0,-2.85E1)); +#10528=CARTESIAN_POINT('',(2.695E1,-7.218671030682E0,-2.85E1)); +#10529=VERTEX_POINT('',#10527); +#10530=VERTEX_POINT('',#10528); +#10531=CARTESIAN_POINT('',(-2.695E1,-7.218671030682E0,-2.85E1)); +#10532=CARTESIAN_POINT('',(-3.075E1,-7.218671030682E0,-2.85E1)); +#10533=VERTEX_POINT('',#10531); +#10534=VERTEX_POINT('',#10532); +#10535=CARTESIAN_POINT('',(2.695E1,-5.568671030682E0,-3.31E1)); +#10536=CARTESIAN_POINT('',(2.695E1,-7.218671030682E0,-3.31E1)); +#10537=VERTEX_POINT('',#10535); +#10538=VERTEX_POINT('',#10536); +#10539=CARTESIAN_POINT('',(2.956E1,-7.218671030682E0,-3.205126559884E1)); +#10540=CARTESIAN_POINT('',(2.956E1,-7.218671030682E0,-3.054873440116E1)); +#10541=VERTEX_POINT('',#10539); +#10542=VERTEX_POINT('',#10540); +#10543=CARTESIAN_POINT('',(-2.956E1,-7.218671030682E0,-3.205126559884E1)); +#10544=CARTESIAN_POINT('',(-2.956E1,-7.218671030682E0,-3.054873440116E1)); +#10545=VERTEX_POINT('',#10543); +#10546=VERTEX_POINT('',#10544); +#10547=CARTESIAN_POINT('',(2.9325E1,-1.516867103068E1,-3.13E1)); +#10548=CARTESIAN_POINT('',(2.8475E1,-1.516867103068E1,-3.13E1)); +#10549=VERTEX_POINT('',#10547); +#10550=VERTEX_POINT('',#10548); +#10551=CARTESIAN_POINT('',(-2.956E1,-1.356867103068E1,-3.054873440116E1)); +#10552=CARTESIAN_POINT('',(-2.956E1,-1.356867103068E1,-3.205126559884E1)); +#10553=VERTEX_POINT('',#10551); +#10554=VERTEX_POINT('',#10552); +#10555=CARTESIAN_POINT('',(2.956E1,-1.356867103068E1,-3.205126559884E1)); +#10556=CARTESIAN_POINT('',(2.956E1,-1.356867103068E1,-3.054873440116E1)); +#10557=VERTEX_POINT('',#10555); +#10558=VERTEX_POINT('',#10556); +#10559=CARTESIAN_POINT('',(3.04E1,-1.416867103068E1,-3.13E1)); +#10560=VERTEX_POINT('',#10559); +#10561=CARTESIAN_POINT('',(3.019830658937E1,-1.356867103068E1, +-3.205126559884E1)); +#10562=VERTEX_POINT('',#10561); +#10563=CARTESIAN_POINT('',(3.019830658937E1,-1.356867103068E1, +-3.054873440116E1)); +#10564=VERTEX_POINT('',#10563); +#10565=CARTESIAN_POINT('',(-3.019830658937E1,-1.356867103068E1, +-3.054873440116E1)); +#10566=VERTEX_POINT('',#10565); +#10567=CARTESIAN_POINT('',(-3.019830658937E1,-1.356867103068E1, +-3.205126559884E1)); +#10568=VERTEX_POINT('',#10567); +#10569=VERTEX_POINT('',#5174); +#10570=VERTEX_POINT('',#5182); +#10571=CARTESIAN_POINT('',(2.79E1,-1.463378730975E1,-3.13E1)); +#10572=VERTEX_POINT('',#10571); +#10573=VERTEX_POINT('',#5145); +#10574=VERTEX_POINT('',#5153); +#10575=CARTESIAN_POINT('',(-3.019830658937E1,-1.416867103068E1, +-3.054873440116E1)); +#10576=VERTEX_POINT('',#10575); +#10577=CARTESIAN_POINT('',(-3.019830658937E1,-1.416867103068E1, +-3.205126559884E1)); +#10578=VERTEX_POINT('',#10577); +#10579=CARTESIAN_POINT('',(-2.956E1,-1.463378730975E1,-3.205126559884E1)); +#10580=VERTEX_POINT('',#10579); +#10581=CARTESIAN_POINT('',(-2.956E1,-1.463378730975E1,-3.054873440116E1)); +#10582=VERTEX_POINT('',#10581); +#10583=CARTESIAN_POINT('',(-2.2E1,4.65E0,-1.2475E1)); +#10584=CARTESIAN_POINT('',(-2.2E1,3.35E0,-1.2475E1)); +#10585=VERTEX_POINT('',#10583); +#10586=VERTEX_POINT('',#10584); +#10587=CARTESIAN_POINT('',(-2.2E1,-1.522E1,-3.645E1)); +#10588=CARTESIAN_POINT('',(-2.2E1,-1.522E1,-3.515E1)); +#10589=VERTEX_POINT('',#10587); +#10590=VERTEX_POINT('',#10588); +#10591=CARTESIAN_POINT('',(-2.2E1,4.65E0,-2.E1)); +#10592=CARTESIAN_POINT('',(-2.2E1,3.35E0,-2.E1)); +#10593=VERTEX_POINT('',#10591); +#10594=VERTEX_POINT('',#10592); +#10595=CARTESIAN_POINT('',(-1.8E1,4.65E0,-1.2475E1)); +#10596=CARTESIAN_POINT('',(-1.8E1,3.35E0,-1.2475E1)); +#10597=VERTEX_POINT('',#10595); +#10598=VERTEX_POINT('',#10596); +#10599=CARTESIAN_POINT('',(-1.8E1,-1.522E1,-3.645E1)); +#10600=CARTESIAN_POINT('',(-1.8E1,-1.522E1,-3.515E1)); +#10601=VERTEX_POINT('',#10599); +#10602=VERTEX_POINT('',#10600); +#10603=CARTESIAN_POINT('',(-1.8E1,4.65E0,-2.E1)); +#10604=CARTESIAN_POINT('',(-1.8E1,3.35E0,-2.E1)); +#10605=VERTEX_POINT('',#10603); +#10606=VERTEX_POINT('',#10604); +#10607=CARTESIAN_POINT('',(-1.4E1,4.65E0,-1.2475E1)); +#10608=CARTESIAN_POINT('',(-1.4E1,3.35E0,-1.2475E1)); +#10609=VERTEX_POINT('',#10607); +#10610=VERTEX_POINT('',#10608); +#10611=CARTESIAN_POINT('',(-1.4E1,-1.522E1,-3.645E1)); +#10612=CARTESIAN_POINT('',(-1.4E1,-1.522E1,-3.515E1)); +#10613=VERTEX_POINT('',#10611); +#10614=VERTEX_POINT('',#10612); +#10615=CARTESIAN_POINT('',(-1.4E1,4.65E0,-2.E1)); +#10616=CARTESIAN_POINT('',(-1.4E1,3.35E0,-2.E1)); +#10617=VERTEX_POINT('',#10615); +#10618=VERTEX_POINT('',#10616); +#10619=CARTESIAN_POINT('',(-1.E1,4.65E0,-1.2475E1)); +#10620=CARTESIAN_POINT('',(-1.E1,3.35E0,-1.2475E1)); +#10621=VERTEX_POINT('',#10619); +#10622=VERTEX_POINT('',#10620); +#10623=CARTESIAN_POINT('',(-1.E1,-1.522E1,-3.645E1)); +#10624=CARTESIAN_POINT('',(-1.E1,-1.522E1,-3.515E1)); +#10625=VERTEX_POINT('',#10623); +#10626=VERTEX_POINT('',#10624); +#10627=CARTESIAN_POINT('',(-1.E1,4.65E0,-2.E1)); +#10628=CARTESIAN_POINT('',(-1.E1,3.35E0,-2.E1)); +#10629=VERTEX_POINT('',#10627); +#10630=VERTEX_POINT('',#10628); +#10631=CARTESIAN_POINT('',(-6.E0,4.65E0,-1.2475E1)); +#10632=CARTESIAN_POINT('',(-6.E0,3.35E0,-1.2475E1)); +#10633=VERTEX_POINT('',#10631); +#10634=VERTEX_POINT('',#10632); +#10635=CARTESIAN_POINT('',(-6.E0,-1.522E1,-3.645E1)); +#10636=CARTESIAN_POINT('',(-6.E0,-1.522E1,-3.515E1)); +#10637=VERTEX_POINT('',#10635); +#10638=VERTEX_POINT('',#10636); +#10639=CARTESIAN_POINT('',(-6.E0,4.65E0,-2.E1)); +#10640=CARTESIAN_POINT('',(-6.E0,3.35E0,-2.E1)); +#10641=VERTEX_POINT('',#10639); +#10642=VERTEX_POINT('',#10640); +#10643=CARTESIAN_POINT('',(-2.E0,4.65E0,-1.2475E1)); +#10644=CARTESIAN_POINT('',(-2.E0,3.35E0,-1.2475E1)); +#10645=VERTEX_POINT('',#10643); +#10646=VERTEX_POINT('',#10644); +#10647=CARTESIAN_POINT('',(-2.E0,-1.522E1,-3.645E1)); +#10648=CARTESIAN_POINT('',(-2.E0,-1.522E1,-3.515E1)); +#10649=VERTEX_POINT('',#10647); +#10650=VERTEX_POINT('',#10648); +#10651=CARTESIAN_POINT('',(-2.E0,4.65E0,-2.E1)); +#10652=CARTESIAN_POINT('',(-2.E0,3.35E0,-2.E1)); +#10653=VERTEX_POINT('',#10651); +#10654=VERTEX_POINT('',#10652); +#10655=CARTESIAN_POINT('',(2.E0,4.65E0,-1.2475E1)); +#10656=CARTESIAN_POINT('',(2.E0,3.35E0,-1.2475E1)); +#10657=VERTEX_POINT('',#10655); +#10658=VERTEX_POINT('',#10656); +#10659=CARTESIAN_POINT('',(2.E0,-1.522E1,-3.645E1)); +#10660=CARTESIAN_POINT('',(2.E0,-1.522E1,-3.515E1)); +#10661=VERTEX_POINT('',#10659); +#10662=VERTEX_POINT('',#10660); +#10663=CARTESIAN_POINT('',(2.E0,4.65E0,-2.E1)); +#10664=CARTESIAN_POINT('',(2.E0,3.35E0,-2.E1)); +#10665=VERTEX_POINT('',#10663); +#10666=VERTEX_POINT('',#10664); +#10667=CARTESIAN_POINT('',(6.E0,4.65E0,-1.2475E1)); +#10668=CARTESIAN_POINT('',(6.E0,3.35E0,-1.2475E1)); +#10669=VERTEX_POINT('',#10667); +#10670=VERTEX_POINT('',#10668); +#10671=CARTESIAN_POINT('',(6.E0,-1.522E1,-3.645E1)); +#10672=CARTESIAN_POINT('',(6.E0,-1.522E1,-3.515E1)); +#10673=VERTEX_POINT('',#10671); +#10674=VERTEX_POINT('',#10672); +#10675=CARTESIAN_POINT('',(6.E0,4.65E0,-2.E1)); +#10676=CARTESIAN_POINT('',(6.E0,3.35E0,-2.E1)); +#10677=VERTEX_POINT('',#10675); +#10678=VERTEX_POINT('',#10676); +#10679=CARTESIAN_POINT('',(1.E1,4.65E0,-1.2475E1)); +#10680=CARTESIAN_POINT('',(1.E1,3.35E0,-1.2475E1)); +#10681=VERTEX_POINT('',#10679); +#10682=VERTEX_POINT('',#10680); +#10683=CARTESIAN_POINT('',(1.E1,-1.522E1,-3.645E1)); +#10684=CARTESIAN_POINT('',(1.E1,-1.522E1,-3.515E1)); +#10685=VERTEX_POINT('',#10683); +#10686=VERTEX_POINT('',#10684); +#10687=CARTESIAN_POINT('',(1.E1,4.65E0,-2.E1)); +#10688=CARTESIAN_POINT('',(1.E1,3.35E0,-2.E1)); +#10689=VERTEX_POINT('',#10687); +#10690=VERTEX_POINT('',#10688); +#10691=CARTESIAN_POINT('',(1.4E1,4.65E0,-1.2475E1)); +#10692=CARTESIAN_POINT('',(1.4E1,3.35E0,-1.2475E1)); +#10693=VERTEX_POINT('',#10691); +#10694=VERTEX_POINT('',#10692); +#10695=CARTESIAN_POINT('',(1.4E1,-1.522E1,-3.645E1)); +#10696=CARTESIAN_POINT('',(1.4E1,-1.522E1,-3.515E1)); +#10697=VERTEX_POINT('',#10695); +#10698=VERTEX_POINT('',#10696); +#10699=CARTESIAN_POINT('',(1.4E1,4.65E0,-2.E1)); +#10700=CARTESIAN_POINT('',(1.4E1,3.35E0,-2.E1)); +#10701=VERTEX_POINT('',#10699); +#10702=VERTEX_POINT('',#10700); +#10703=CARTESIAN_POINT('',(1.8E1,4.65E0,-1.2475E1)); +#10704=CARTESIAN_POINT('',(1.8E1,3.35E0,-1.2475E1)); +#10705=VERTEX_POINT('',#10703); +#10706=VERTEX_POINT('',#10704); +#10707=CARTESIAN_POINT('',(1.8E1,-1.522E1,-3.645E1)); +#10708=CARTESIAN_POINT('',(1.8E1,-1.522E1,-3.515E1)); +#10709=VERTEX_POINT('',#10707); +#10710=VERTEX_POINT('',#10708); +#10711=CARTESIAN_POINT('',(1.8E1,4.65E0,-2.E1)); +#10712=CARTESIAN_POINT('',(1.8E1,3.35E0,-2.E1)); +#10713=VERTEX_POINT('',#10711); +#10714=VERTEX_POINT('',#10712); +#10715=CARTESIAN_POINT('',(2.2E1,4.65E0,-1.2475E1)); +#10716=CARTESIAN_POINT('',(2.2E1,3.35E0,-1.2475E1)); +#10717=VERTEX_POINT('',#10715); +#10718=VERTEX_POINT('',#10716); +#10719=CARTESIAN_POINT('',(2.2E1,-1.522E1,-3.645E1)); +#10720=CARTESIAN_POINT('',(2.2E1,-1.522E1,-3.515E1)); +#10721=VERTEX_POINT('',#10719); +#10722=VERTEX_POINT('',#10720); +#10723=CARTESIAN_POINT('',(2.2E1,4.65E0,-2.E1)); +#10724=CARTESIAN_POINT('',(2.2E1,3.35E0,-2.E1)); +#10725=VERTEX_POINT('',#10723); +#10726=VERTEX_POINT('',#10724); +#10727=CARTESIAN_POINT('',(-2.2E1,-3.35E0,-1.2475E1)); +#10728=CARTESIAN_POINT('',(-2.2E1,-4.65E0,-1.2475E1)); +#10729=VERTEX_POINT('',#10727); +#10730=VERTEX_POINT('',#10728); +#10731=CARTESIAN_POINT('',(-2.2E1,-1.522E1,-2.845E1)); +#10732=CARTESIAN_POINT('',(-2.2E1,-1.522E1,-2.715E1)); +#10733=VERTEX_POINT('',#10731); +#10734=VERTEX_POINT('',#10732); +#10735=CARTESIAN_POINT('',(-2.2E1,-3.35E0,-2.E1)); +#10736=CARTESIAN_POINT('',(-2.2E1,-4.65E0,-2.E1)); +#10737=VERTEX_POINT('',#10735); +#10738=VERTEX_POINT('',#10736); +#10739=CARTESIAN_POINT('',(-1.8E1,-3.35E0,-1.2475E1)); +#10740=CARTESIAN_POINT('',(-1.8E1,-4.65E0,-1.2475E1)); +#10741=VERTEX_POINT('',#10739); +#10742=VERTEX_POINT('',#10740); +#10743=CARTESIAN_POINT('',(-1.8E1,-1.522E1,-2.845E1)); +#10744=CARTESIAN_POINT('',(-1.8E1,-1.522E1,-2.715E1)); +#10745=VERTEX_POINT('',#10743); +#10746=VERTEX_POINT('',#10744); +#10747=CARTESIAN_POINT('',(-1.8E1,-3.35E0,-2.E1)); +#10748=CARTESIAN_POINT('',(-1.8E1,-4.65E0,-2.E1)); +#10749=VERTEX_POINT('',#10747); +#10750=VERTEX_POINT('',#10748); +#10751=CARTESIAN_POINT('',(-1.4E1,-3.35E0,-1.2475E1)); +#10752=CARTESIAN_POINT('',(-1.4E1,-4.65E0,-1.2475E1)); +#10753=VERTEX_POINT('',#10751); +#10754=VERTEX_POINT('',#10752); +#10755=CARTESIAN_POINT('',(-1.4E1,-1.522E1,-2.845E1)); +#10756=CARTESIAN_POINT('',(-1.4E1,-1.522E1,-2.715E1)); +#10757=VERTEX_POINT('',#10755); +#10758=VERTEX_POINT('',#10756); +#10759=CARTESIAN_POINT('',(-1.4E1,-3.35E0,-2.E1)); +#10760=CARTESIAN_POINT('',(-1.4E1,-4.65E0,-2.E1)); +#10761=VERTEX_POINT('',#10759); +#10762=VERTEX_POINT('',#10760); +#10763=CARTESIAN_POINT('',(-1.E1,-3.35E0,-1.2475E1)); +#10764=CARTESIAN_POINT('',(-1.E1,-4.65E0,-1.2475E1)); +#10765=VERTEX_POINT('',#10763); +#10766=VERTEX_POINT('',#10764); +#10767=CARTESIAN_POINT('',(-1.E1,-1.522E1,-2.845E1)); +#10768=CARTESIAN_POINT('',(-1.E1,-1.522E1,-2.715E1)); +#10769=VERTEX_POINT('',#10767); +#10770=VERTEX_POINT('',#10768); +#10771=CARTESIAN_POINT('',(-1.E1,-3.35E0,-2.E1)); +#10772=CARTESIAN_POINT('',(-1.E1,-4.65E0,-2.E1)); +#10773=VERTEX_POINT('',#10771); +#10774=VERTEX_POINT('',#10772); +#10775=CARTESIAN_POINT('',(-6.E0,-3.35E0,-1.2475E1)); +#10776=CARTESIAN_POINT('',(-6.E0,-4.65E0,-1.2475E1)); +#10777=VERTEX_POINT('',#10775); +#10778=VERTEX_POINT('',#10776); +#10779=CARTESIAN_POINT('',(-6.E0,-1.522E1,-2.845E1)); +#10780=CARTESIAN_POINT('',(-6.E0,-1.522E1,-2.715E1)); +#10781=VERTEX_POINT('',#10779); +#10782=VERTEX_POINT('',#10780); +#10783=CARTESIAN_POINT('',(-6.E0,-3.35E0,-2.E1)); +#10784=CARTESIAN_POINT('',(-6.E0,-4.65E0,-2.E1)); +#10785=VERTEX_POINT('',#10783); +#10786=VERTEX_POINT('',#10784); +#10787=CARTESIAN_POINT('',(-2.E0,-3.35E0,-1.2475E1)); +#10788=CARTESIAN_POINT('',(-2.E0,-4.65E0,-1.2475E1)); +#10789=VERTEX_POINT('',#10787); +#10790=VERTEX_POINT('',#10788); +#10791=CARTESIAN_POINT('',(-2.E0,-1.522E1,-2.845E1)); +#10792=CARTESIAN_POINT('',(-2.E0,-1.522E1,-2.715E1)); +#10793=VERTEX_POINT('',#10791); +#10794=VERTEX_POINT('',#10792); +#10795=CARTESIAN_POINT('',(-2.E0,-3.35E0,-2.E1)); +#10796=CARTESIAN_POINT('',(-2.E0,-4.65E0,-2.E1)); +#10797=VERTEX_POINT('',#10795); +#10798=VERTEX_POINT('',#10796); +#10799=CARTESIAN_POINT('',(2.E0,-3.35E0,-1.2475E1)); +#10800=CARTESIAN_POINT('',(2.E0,-4.65E0,-1.2475E1)); +#10801=VERTEX_POINT('',#10799); +#10802=VERTEX_POINT('',#10800); +#10803=CARTESIAN_POINT('',(2.E0,-1.522E1,-2.845E1)); +#10804=CARTESIAN_POINT('',(2.E0,-1.522E1,-2.715E1)); +#10805=VERTEX_POINT('',#10803); +#10806=VERTEX_POINT('',#10804); +#10807=CARTESIAN_POINT('',(2.E0,-3.35E0,-2.E1)); +#10808=CARTESIAN_POINT('',(2.E0,-4.65E0,-2.E1)); +#10809=VERTEX_POINT('',#10807); +#10810=VERTEX_POINT('',#10808); +#10811=CARTESIAN_POINT('',(6.E0,-3.35E0,-1.2475E1)); +#10812=CARTESIAN_POINT('',(6.E0,-4.65E0,-1.2475E1)); +#10813=VERTEX_POINT('',#10811); +#10814=VERTEX_POINT('',#10812); +#10815=CARTESIAN_POINT('',(6.E0,-1.522E1,-2.845E1)); +#10816=CARTESIAN_POINT('',(6.E0,-1.522E1,-2.715E1)); +#10817=VERTEX_POINT('',#10815); +#10818=VERTEX_POINT('',#10816); +#10819=CARTESIAN_POINT('',(6.E0,-3.35E0,-2.E1)); +#10820=CARTESIAN_POINT('',(6.E0,-4.65E0,-2.E1)); +#10821=VERTEX_POINT('',#10819); +#10822=VERTEX_POINT('',#10820); +#10823=CARTESIAN_POINT('',(1.E1,-3.35E0,-1.2475E1)); +#10824=CARTESIAN_POINT('',(1.E1,-4.65E0,-1.2475E1)); +#10825=VERTEX_POINT('',#10823); +#10826=VERTEX_POINT('',#10824); +#10827=CARTESIAN_POINT('',(1.E1,-1.522E1,-2.845E1)); +#10828=CARTESIAN_POINT('',(1.E1,-1.522E1,-2.715E1)); +#10829=VERTEX_POINT('',#10827); +#10830=VERTEX_POINT('',#10828); +#10831=CARTESIAN_POINT('',(1.E1,-3.35E0,-2.E1)); +#10832=CARTESIAN_POINT('',(1.E1,-4.65E0,-2.E1)); +#10833=VERTEX_POINT('',#10831); +#10834=VERTEX_POINT('',#10832); +#10835=CARTESIAN_POINT('',(1.4E1,-3.35E0,-1.2475E1)); +#10836=CARTESIAN_POINT('',(1.4E1,-4.65E0,-1.2475E1)); +#10837=VERTEX_POINT('',#10835); +#10838=VERTEX_POINT('',#10836); +#10839=CARTESIAN_POINT('',(1.4E1,-1.522E1,-2.845E1)); +#10840=CARTESIAN_POINT('',(1.4E1,-1.522E1,-2.715E1)); +#10841=VERTEX_POINT('',#10839); +#10842=VERTEX_POINT('',#10840); +#10843=CARTESIAN_POINT('',(1.4E1,-3.35E0,-2.E1)); +#10844=CARTESIAN_POINT('',(1.4E1,-4.65E0,-2.E1)); +#10845=VERTEX_POINT('',#10843); +#10846=VERTEX_POINT('',#10844); +#10847=CARTESIAN_POINT('',(1.8E1,-3.35E0,-1.2475E1)); +#10848=CARTESIAN_POINT('',(1.8E1,-4.65E0,-1.2475E1)); +#10849=VERTEX_POINT('',#10847); +#10850=VERTEX_POINT('',#10848); +#10851=CARTESIAN_POINT('',(1.8E1,-1.522E1,-2.845E1)); +#10852=CARTESIAN_POINT('',(1.8E1,-1.522E1,-2.715E1)); +#10853=VERTEX_POINT('',#10851); +#10854=VERTEX_POINT('',#10852); +#10855=CARTESIAN_POINT('',(1.8E1,-3.35E0,-2.E1)); +#10856=CARTESIAN_POINT('',(1.8E1,-4.65E0,-2.E1)); +#10857=VERTEX_POINT('',#10855); +#10858=VERTEX_POINT('',#10856); +#10859=CARTESIAN_POINT('',(2.2E1,-3.35E0,-1.2475E1)); +#10860=CARTESIAN_POINT('',(2.2E1,-4.65E0,-1.2475E1)); +#10861=VERTEX_POINT('',#10859); +#10862=VERTEX_POINT('',#10860); +#10863=CARTESIAN_POINT('',(2.2E1,-1.522E1,-2.845E1)); +#10864=CARTESIAN_POINT('',(2.2E1,-1.522E1,-2.715E1)); +#10865=VERTEX_POINT('',#10863); +#10866=VERTEX_POINT('',#10864); +#10867=CARTESIAN_POINT('',(2.2E1,-3.35E0,-2.E1)); +#10868=CARTESIAN_POINT('',(2.2E1,-4.65E0,-2.E1)); +#10869=VERTEX_POINT('',#10867); +#10870=VERTEX_POINT('',#10868); +#10871=CARTESIAN_POINT('',(-2.E1,6.5E-1,-1.2475E1)); +#10872=CARTESIAN_POINT('',(-2.E1,-6.5E-1,-1.2475E1)); +#10873=VERTEX_POINT('',#10871); +#10874=VERTEX_POINT('',#10872); +#10875=CARTESIAN_POINT('',(-2.E1,-1.522E1,-3.245E1)); +#10876=CARTESIAN_POINT('',(-2.E1,-1.522E1,-3.115E1)); +#10877=VERTEX_POINT('',#10875); +#10878=VERTEX_POINT('',#10876); +#10879=CARTESIAN_POINT('',(-2.E1,6.5E-1,-2.E1)); +#10880=CARTESIAN_POINT('',(-2.E1,-6.5E-1,-2.E1)); +#10881=VERTEX_POINT('',#10879); +#10882=VERTEX_POINT('',#10880); +#10883=CARTESIAN_POINT('',(-1.6E1,6.5E-1,-1.2475E1)); +#10884=CARTESIAN_POINT('',(-1.6E1,-6.5E-1,-1.2475E1)); +#10885=VERTEX_POINT('',#10883); +#10886=VERTEX_POINT('',#10884); +#10887=CARTESIAN_POINT('',(-1.6E1,-1.522E1,-3.245E1)); +#10888=CARTESIAN_POINT('',(-1.6E1,-1.522E1,-3.115E1)); +#10889=VERTEX_POINT('',#10887); +#10890=VERTEX_POINT('',#10888); +#10891=CARTESIAN_POINT('',(-1.6E1,6.5E-1,-2.E1)); +#10892=CARTESIAN_POINT('',(-1.6E1,-6.5E-1,-2.E1)); +#10893=VERTEX_POINT('',#10891); +#10894=VERTEX_POINT('',#10892); +#10895=CARTESIAN_POINT('',(-1.2E1,6.5E-1,-1.2475E1)); +#10896=CARTESIAN_POINT('',(-1.2E1,-6.5E-1,-1.2475E1)); +#10897=VERTEX_POINT('',#10895); +#10898=VERTEX_POINT('',#10896); +#10899=CARTESIAN_POINT('',(-1.2E1,-1.522E1,-3.245E1)); +#10900=CARTESIAN_POINT('',(-1.2E1,-1.522E1,-3.115E1)); +#10901=VERTEX_POINT('',#10899); +#10902=VERTEX_POINT('',#10900); +#10903=CARTESIAN_POINT('',(-1.2E1,6.5E-1,-2.E1)); +#10904=CARTESIAN_POINT('',(-1.2E1,-6.5E-1,-2.E1)); +#10905=VERTEX_POINT('',#10903); +#10906=VERTEX_POINT('',#10904); +#10907=CARTESIAN_POINT('',(-8.E0,6.5E-1,-1.2475E1)); +#10908=CARTESIAN_POINT('',(-8.E0,-6.5E-1,-1.2475E1)); +#10909=VERTEX_POINT('',#10907); +#10910=VERTEX_POINT('',#10908); +#10911=CARTESIAN_POINT('',(-8.E0,-1.522E1,-3.245E1)); +#10912=CARTESIAN_POINT('',(-8.E0,-1.522E1,-3.115E1)); +#10913=VERTEX_POINT('',#10911); +#10914=VERTEX_POINT('',#10912); +#10915=CARTESIAN_POINT('',(-8.E0,6.5E-1,-2.E1)); +#10916=CARTESIAN_POINT('',(-8.E0,-6.5E-1,-2.E1)); +#10917=VERTEX_POINT('',#10915); +#10918=VERTEX_POINT('',#10916); +#10919=CARTESIAN_POINT('',(-4.E0,6.5E-1,-1.2475E1)); +#10920=CARTESIAN_POINT('',(-4.E0,-6.5E-1,-1.2475E1)); +#10921=VERTEX_POINT('',#10919); +#10922=VERTEX_POINT('',#10920); +#10923=CARTESIAN_POINT('',(-4.E0,-1.522E1,-3.245E1)); +#10924=CARTESIAN_POINT('',(-4.E0,-1.522E1,-3.115E1)); +#10925=VERTEX_POINT('',#10923); +#10926=VERTEX_POINT('',#10924); +#10927=CARTESIAN_POINT('',(-4.E0,6.5E-1,-2.E1)); +#10928=CARTESIAN_POINT('',(-4.E0,-6.5E-1,-2.E1)); +#10929=VERTEX_POINT('',#10927); +#10930=VERTEX_POINT('',#10928); +#10931=CARTESIAN_POINT('',(0.E0,6.5E-1,-1.2475E1)); +#10932=CARTESIAN_POINT('',(0.E0,-6.5E-1,-1.2475E1)); +#10933=VERTEX_POINT('',#10931); +#10934=VERTEX_POINT('',#10932); +#10935=CARTESIAN_POINT('',(0.E0,-1.522E1,-3.245E1)); +#10936=CARTESIAN_POINT('',(0.E0,-1.522E1,-3.115E1)); +#10937=VERTEX_POINT('',#10935); +#10938=VERTEX_POINT('',#10936); +#10939=CARTESIAN_POINT('',(0.E0,6.5E-1,-2.E1)); +#10940=CARTESIAN_POINT('',(0.E0,-6.5E-1,-2.E1)); +#10941=VERTEX_POINT('',#10939); +#10942=VERTEX_POINT('',#10940); +#10943=CARTESIAN_POINT('',(4.E0,6.5E-1,-1.2475E1)); +#10944=CARTESIAN_POINT('',(4.E0,-6.5E-1,-1.2475E1)); +#10945=VERTEX_POINT('',#10943); +#10946=VERTEX_POINT('',#10944); +#10947=CARTESIAN_POINT('',(4.E0,-1.522E1,-3.245E1)); +#10948=CARTESIAN_POINT('',(4.E0,-1.522E1,-3.115E1)); +#10949=VERTEX_POINT('',#10947); +#10950=VERTEX_POINT('',#10948); +#10951=CARTESIAN_POINT('',(4.E0,6.5E-1,-2.E1)); +#10952=CARTESIAN_POINT('',(4.E0,-6.5E-1,-2.E1)); +#10953=VERTEX_POINT('',#10951); +#10954=VERTEX_POINT('',#10952); +#10955=CARTESIAN_POINT('',(8.E0,6.5E-1,-1.2475E1)); +#10956=CARTESIAN_POINT('',(8.E0,-6.5E-1,-1.2475E1)); +#10957=VERTEX_POINT('',#10955); +#10958=VERTEX_POINT('',#10956); +#10959=CARTESIAN_POINT('',(8.E0,-1.522E1,-3.245E1)); +#10960=CARTESIAN_POINT('',(8.E0,-1.522E1,-3.115E1)); +#10961=VERTEX_POINT('',#10959); +#10962=VERTEX_POINT('',#10960); +#10963=CARTESIAN_POINT('',(8.E0,6.5E-1,-2.E1)); +#10964=CARTESIAN_POINT('',(8.E0,-6.5E-1,-2.E1)); +#10965=VERTEX_POINT('',#10963); +#10966=VERTEX_POINT('',#10964); +#10967=CARTESIAN_POINT('',(1.2E1,6.5E-1,-1.2475E1)); +#10968=CARTESIAN_POINT('',(1.2E1,-6.5E-1,-1.2475E1)); +#10969=VERTEX_POINT('',#10967); +#10970=VERTEX_POINT('',#10968); +#10971=CARTESIAN_POINT('',(1.2E1,-1.522E1,-3.245E1)); +#10972=CARTESIAN_POINT('',(1.2E1,-1.522E1,-3.115E1)); +#10973=VERTEX_POINT('',#10971); +#10974=VERTEX_POINT('',#10972); +#10975=CARTESIAN_POINT('',(1.2E1,6.5E-1,-2.E1)); +#10976=CARTESIAN_POINT('',(1.2E1,-6.5E-1,-2.E1)); +#10977=VERTEX_POINT('',#10975); +#10978=VERTEX_POINT('',#10976); +#10979=CARTESIAN_POINT('',(1.6E1,6.5E-1,-1.2475E1)); +#10980=CARTESIAN_POINT('',(1.6E1,-6.5E-1,-1.2475E1)); +#10981=VERTEX_POINT('',#10979); +#10982=VERTEX_POINT('',#10980); +#10983=CARTESIAN_POINT('',(1.6E1,-1.522E1,-3.245E1)); +#10984=CARTESIAN_POINT('',(1.6E1,-1.522E1,-3.115E1)); +#10985=VERTEX_POINT('',#10983); +#10986=VERTEX_POINT('',#10984); +#10987=CARTESIAN_POINT('',(1.6E1,6.5E-1,-2.E1)); +#10988=CARTESIAN_POINT('',(1.6E1,-6.5E-1,-2.E1)); +#10989=VERTEX_POINT('',#10987); +#10990=VERTEX_POINT('',#10988); +#10991=CARTESIAN_POINT('',(2.E1,6.5E-1,-1.2475E1)); +#10992=CARTESIAN_POINT('',(2.E1,-6.5E-1,-1.2475E1)); +#10993=VERTEX_POINT('',#10991); +#10994=VERTEX_POINT('',#10992); +#10995=CARTESIAN_POINT('',(2.E1,-1.522E1,-3.245E1)); +#10996=CARTESIAN_POINT('',(2.E1,-1.522E1,-3.115E1)); +#10997=VERTEX_POINT('',#10995); +#10998=VERTEX_POINT('',#10996); +#10999=CARTESIAN_POINT('',(2.E1,6.5E-1,-2.E1)); +#11000=CARTESIAN_POINT('',(2.E1,-6.5E-1,-2.E1)); +#11001=VERTEX_POINT('',#10999); +#11002=VERTEX_POINT('',#11000); +#11003=CARTESIAN_POINT('',(-2.480967741935E1,3.2E0,-1.98E1)); +#11004=CARTESIAN_POINT('',(-2.451935483871E1,3.2E0,-1.98E1)); +#11005=VERTEX_POINT('',#11003); +#11006=VERTEX_POINT('',#11004); +#11007=CARTESIAN_POINT('',(-2.480967741935E1,4.859574508667E0,-1.98E1)); +#11008=VERTEX_POINT('',#11007); +#11009=CARTESIAN_POINT('',(-2.51E1,4.561702084541E0,-1.98E1)); +#11010=VERTEX_POINT('',#11009); +#11011=CARTESIAN_POINT('',(-2.51E1,4.902127695084E0,-1.98E1)); +#11012=VERTEX_POINT('',#11011); +#11013=CARTESIAN_POINT('',(-2.480967741935E1,5.2E0,-1.98E1)); +#11014=VERTEX_POINT('',#11013); +#11015=CARTESIAN_POINT('',(-2.451935483871E1,5.2E0,-1.98E1)); +#11016=VERTEX_POINT('',#11015); +#11017=CARTESIAN_POINT('',(-2.436404934028E1,-4.923404288292E0,-1.98E1)); +#11018=CARTESIAN_POINT('',(-2.5E1,-5.987234038115E0,-1.98E1)); +#11019=VERTEX_POINT('',#11017); +#11020=VERTEX_POINT('',#11018); +#11021=CARTESIAN_POINT('',(-2.431862426995E1,-4.753191542625E0,-1.98E1)); +#11022=VERTEX_POINT('',#11021); +#11023=CARTESIAN_POINT('',(-2.431862426995E1,-4.668085050583E0,-1.98E1)); +#11024=VERTEX_POINT('',#11023); +#11025=CARTESIAN_POINT('',(-2.436404934028E1,-4.540425491333E0,-1.98E1)); +#11026=VERTEX_POINT('',#11025); +#11027=CARTESIAN_POINT('',(-2.443218691329E1,-4.455319118500E0,-1.98E1)); +#11028=VERTEX_POINT('',#11027); +#11029=CARTESIAN_POINT('',(-2.454574952413E1,-4.412765932083E0,-1.98E1)); +#11030=VERTEX_POINT('',#11029); +#11031=CARTESIAN_POINT('',(-2.463659959981E1,-4.412765932083E0,-1.98E1)); +#11032=VERTEX_POINT('',#11031); +#11033=CARTESIAN_POINT('',(-2.475016222690E1,-4.455319118500E0,-1.98E1)); +#11034=VERTEX_POINT('',#11033); +#11035=CARTESIAN_POINT('',(-2.481829979991E1,-4.540425491333E0,-1.98E1)); +#11036=VERTEX_POINT('',#11035); +#11037=CARTESIAN_POINT('',(-2.486372485399E1,-4.668085050583E0,-1.98E1)); +#11038=VERTEX_POINT('',#11037); +#11039=CARTESIAN_POINT('',(-2.497728747499E1,-4.668085050583E0,-1.98E1)); +#11040=VERTEX_POINT('',#11039); +#11041=CARTESIAN_POINT('',(-2.495457494998E1,-4.497872304916E0,-1.98E1)); +#11042=VERTEX_POINT('',#11041); +#11043=CARTESIAN_POINT('',(-2.486372485399E1,-4.327659559250E0,-1.98E1)); +#11044=VERTEX_POINT('',#11043); +#11045=CARTESIAN_POINT('',(-2.477287476207E1,-4.242553186417E0,-1.98E1)); +#11046=VERTEX_POINT('',#11045); +#11047=CARTESIAN_POINT('',(-2.461388709714E1,-4.2E0,-1.98E1)); +#11048=VERTEX_POINT('',#11047); +#11049=CARTESIAN_POINT('',(-2.452303698897E1,-4.2E0,-1.98E1)); +#11050=VERTEX_POINT('',#11049); +#11051=CARTESIAN_POINT('',(-2.438676184296E1,-4.242553186417E0,-1.98E1)); +#11052=VERTEX_POINT('',#11051); +#11053=CARTESIAN_POINT('',(-2.429591176728E1,-4.327659559250E0,-1.98E1)); +#11054=VERTEX_POINT('',#11053); +#11055=CARTESIAN_POINT('',(-2.420506162662E1,-4.497872304916E0,-1.98E1)); +#11056=VERTEX_POINT('',#11055); +#11057=CARTESIAN_POINT('',(-2.418234912395E1,-4.668085050583E0,-1.98E1)); +#11058=VERTEX_POINT('',#11057); +#11059=CARTESIAN_POINT('',(-2.418234912395E1,-4.753191542625E0,-1.98E1)); +#11060=VERTEX_POINT('',#11059); +#11061=CARTESIAN_POINT('',(-2.420506162662E1,-4.923404288292E0,-1.98E1)); +#11062=VERTEX_POINT('',#11061); +#11063=CARTESIAN_POINT('',(-2.425048669695E1,-5.051063847542E0,-1.98E1)); +#11064=VERTEX_POINT('',#11063); +#11065=CARTESIAN_POINT('',(-2.481829979991E1,-5.987234038115E0,-1.98E1)); +#11066=VERTEX_POINT('',#11065); +#11067=CARTESIAN_POINT('',(-2.418234912395E1,-5.987234038115E0,-1.98E1)); +#11068=VERTEX_POINT('',#11067); +#11069=CARTESIAN_POINT('',(-2.418234912395E1,-6.2E0,-1.98E1)); +#11070=VERTEX_POINT('',#11069); +#11071=CARTESIAN_POINT('',(-2.5E1,-6.2E0,-1.98E1)); +#11072=VERTEX_POINT('',#11071); +#11073=CARTESIAN_POINT('',(-2.331927324254E1,-4.2E0,-1.98E1)); +#11074=CARTESIAN_POINT('',(-2.345554838855E1,-4.2E0,-1.98E1)); +#11075=VERTEX_POINT('',#11073); +#11076=VERTEX_POINT('',#11074); +#11077=CARTESIAN_POINT('',(-2.331927324254E1,-5.348936152458E0,-1.98E1)); +#11078=VERTEX_POINT('',#11077); +#11079=CARTESIAN_POINT('',(-2.309214795587E1,-5.348936152458E0,-1.98E1)); +#11080=VERTEX_POINT('',#11079); +#11081=CARTESIAN_POINT('',(-2.309214795587E1,-5.604255330563E0,-1.98E1)); +#11082=VERTEX_POINT('',#11081); +#11083=CARTESIAN_POINT('',(-2.331927324254E1,-5.604255330563E0,-1.98E1)); +#11084=VERTEX_POINT('',#11083); +#11085=CARTESIAN_POINT('',(-2.331927324254E1,-6.2E0,-1.98E1)); +#11086=VERTEX_POINT('',#11085); +#11087=CARTESIAN_POINT('',(-2.345554838855E1,-6.2E0,-1.98E1)); +#11088=VERTEX_POINT('',#11087); +#11089=CARTESIAN_POINT('',(-2.345554838855E1,-5.604255330563E0,-1.98E1)); +#11090=VERTEX_POINT('',#11089); +#11091=CARTESIAN_POINT('',(-2.400064890761E1,-5.604255330563E0,-1.98E1)); +#11092=VERTEX_POINT('',#11091); +#11093=CARTESIAN_POINT('',(-2.400064890761E1,-5.348936152458E0,-1.98E1)); +#11094=VERTEX_POINT('',#11093); +#11095=CARTESIAN_POINT('',(-2.345554838855E1,-5.348936152458E0,-1.98E1)); +#11096=CARTESIAN_POINT('',(-2.384166125892E1,-5.348936152458E0,-1.98E1)); +#11097=VERTEX_POINT('',#11095); +#11098=VERTEX_POINT('',#11096); +#11099=CARTESIAN_POINT('',(-2.345554838855E1,-4.540425491333E0,-1.98E1)); +#11100=VERTEX_POINT('',#11099); +#11101=CARTESIAN_POINT('',(-2.330965909091E1,-8.5E-1,-1.98E1)); +#11102=CARTESIAN_POINT('',(-2.311931818182E1,-8.5E-1,-1.98E1)); +#11103=VERTEX_POINT('',#11101); +#11104=VERTEX_POINT('',#11102); +#11105=CARTESIAN_POINT('',(-2.330965909091E1,8.095745086670E-1,-1.98E1)); +#11106=VERTEX_POINT('',#11105); +#11107=CARTESIAN_POINT('',(-2.35E1,5.117020845413E-1,-1.98E1)); +#11108=VERTEX_POINT('',#11107); +#11109=CARTESIAN_POINT('',(-2.35E1,8.521276950836E-1,-1.98E1)); +#11110=VERTEX_POINT('',#11109); +#11111=CARTESIAN_POINT('',(-2.330965909091E1,1.15E0,-1.98E1)); +#11112=VERTEX_POINT('',#11111); +#11113=CARTESIAN_POINT('',(-2.311931818182E1,1.15E0,-1.98E1)); +#11114=VERTEX_POINT('',#11113); +#11115=CARTESIAN_POINT('',(-2.191382581808E1,-7.223404258490E-1,-1.98E1)); +#11116=CARTESIAN_POINT('',(-2.181865536354E1,-6.372340381145E-1,-1.98E1)); +#11117=VERTEX_POINT('',#11115); +#11118=VERTEX_POINT('',#11116); +#11119=CARTESIAN_POINT('',(-2.204071972722E1,-8.074468098581E-1,-1.98E1)); +#11120=VERTEX_POINT('',#11119); +#11121=CARTESIAN_POINT('',(-2.216761363636E1,-8.5E-1,-1.98E1)); +#11122=VERTEX_POINT('',#11121); +#11123=CARTESIAN_POINT('',(-2.242140154541E1,-8.5E-1,-1.98E1)); +#11124=VERTEX_POINT('',#11123); +#11125=CARTESIAN_POINT('',(-2.254829545455E1,-8.074468098581E-1,-1.98E1)); +#11126=VERTEX_POINT('',#11125); +#11127=CARTESIAN_POINT('',(-2.267518936369E1,-7.223404258490E-1,-1.98E1)); +#11128=VERTEX_POINT('',#11127); +#11129=CARTESIAN_POINT('',(-2.277035986361E1,-6.372340381145E-1,-1.98E1)); +#11130=VERTEX_POINT('',#11129); +#11131=CARTESIAN_POINT('',(-2.283380681818E1,-5.095744788647E-1,-1.98E1)); +#11132=VERTEX_POINT('',#11131); +#11133=CARTESIAN_POINT('',(-2.286553031816E1,-3.819148898125E-1,-1.98E1)); +#11134=VERTEX_POINT('',#11133); +#11135=CARTESIAN_POINT('',(-2.270691290904E1,-3.819148898125E-1,-1.98E1)); +#11136=VERTEX_POINT('',#11135); +#11137=CARTESIAN_POINT('',(-2.267518936369E1,-4.670212626457E-1,-1.98E1)); +#11138=VERTEX_POINT('',#11137); +#11139=CARTESIAN_POINT('',(-2.261174245450E1,-5.521276652813E-1,-1.98E1)); +#11140=VERTEX_POINT('',#11139); +#11141=CARTESIAN_POINT('',(-2.254829545455E1,-5.946808516979E-1,-1.98E1)); +#11142=VERTEX_POINT('',#11141); +#11143=CARTESIAN_POINT('',(-2.238967800005E1,-6.372340381145E-1,-1.98E1)); +#11144=VERTEX_POINT('',#11143); +#11145=CARTESIAN_POINT('',(-2.219933709096E1,-6.372340381145E-1,-1.98E1)); +#11146=VERTEX_POINT('',#11145); +#11147=CARTESIAN_POINT('',(-2.204071972722E1,-5.946808516979E-1,-1.98E1)); +#11148=VERTEX_POINT('',#11147); +#11149=CARTESIAN_POINT('',(-2.197727272727E1,-5.521276652813E-1,-1.98E1)); +#11150=VERTEX_POINT('',#11149); +#11151=CARTESIAN_POINT('',(-2.191382581808E1,-4.670212626457E-1,-1.98E1)); +#11152=VERTEX_POINT('',#11151); +#11153=CARTESIAN_POINT('',(-2.188210227273E1,-3.819148898125E-1,-1.98E1)); +#11154=VERTEX_POINT('',#11153); +#11155=CARTESIAN_POINT('',(-2.188210227273E1,-2.117021441460E-1,-1.98E1)); +#11156=VERTEX_POINT('',#11155); +#11157=CARTESIAN_POINT('',(-2.194554918192E1,-4.148933887482E-2,-1.98E1)); +#11158=VERTEX_POINT('',#11157); +#11159=CARTESIAN_POINT('',(-2.204071972722E1,4.361703395844E-2,-1.98E1)); +#11160=VERTEX_POINT('',#11159); +#11161=CARTESIAN_POINT('',(-2.223106063631E1,8.617022037506E-2,-1.98E1)); +#11162=VERTEX_POINT('',#11161); +#11163=CARTESIAN_POINT('',(-2.235795454545E1,8.617022037506E-2,-1.98E1)); +#11164=VERTEX_POINT('',#11163); +#11165=CARTESIAN_POINT('',(-2.235795454545E1,2.563829660416E-1,-1.98E1)); +#11166=VERTEX_POINT('',#11165); +#11167=CARTESIAN_POINT('',(-2.223106063631E1,2.563829660416E-1,-1.98E1)); +#11168=VERTEX_POINT('',#11167); +#11169=CARTESIAN_POINT('',(-2.204071972722E1,3.414893388748E-1,-1.98E1)); +#11170=VERTEX_POINT('',#11169); +#11171=CARTESIAN_POINT('',(-2.197727272727E1,4.265957117081E-1,-1.98E1)); +#11172=VERTEX_POINT('',#11171); +#11173=CARTESIAN_POINT('',(-2.191382581808E1,5.968084573746E-1,-1.98E1)); +#11174=VERTEX_POINT('',#11173); +#11175=CARTESIAN_POINT('',(-2.191382581808E1,6.819149494171E-1,-1.98E1)); +#11176=VERTEX_POINT('',#11175); +#11177=CARTESIAN_POINT('',(-2.197727272727E1,8.095745086670E-1,-1.98E1)); +#11178=VERTEX_POINT('',#11177); +#11179=CARTESIAN_POINT('',(-2.207244318182E1,8.946808815002E-1,-1.98E1)); +#11180=VERTEX_POINT('',#11179); +#11181=CARTESIAN_POINT('',(-2.223106063631E1,9.372340679169E-1,-1.98E1)); +#11182=VERTEX_POINT('',#11181); +#11183=CARTESIAN_POINT('',(-2.235795454545E1,9.372340679169E-1,-1.98E1)); +#11184=VERTEX_POINT('',#11183); +#11185=CARTESIAN_POINT('',(-2.251657199995E1,8.946808815002E-1,-1.98E1)); +#11186=VERTEX_POINT('',#11185); +#11187=CARTESIAN_POINT('',(-2.261174245450E1,8.095745086670E-1,-1.98E1)); +#11188=VERTEX_POINT('',#11187); +#11189=CARTESIAN_POINT('',(-2.267518936369E1,6.819149494171E-1,-1.98E1)); +#11190=VERTEX_POINT('',#11189); +#11191=CARTESIAN_POINT('',(-2.283380681818E1,6.819149494171E-1,-1.98E1)); +#11192=VERTEX_POINT('',#11191); +#11193=CARTESIAN_POINT('',(-2.280208331821E1,8.521276950836E-1,-1.98E1)); +#11194=VERTEX_POINT('',#11193); +#11195=CARTESIAN_POINT('',(-2.267518936369E1,1.022340440750E0,-1.98E1)); +#11196=VERTEX_POINT('',#11195); +#11197=CARTESIAN_POINT('',(-2.254829545455E1,1.107446813583E0,-1.98E1)); +#11198=VERTEX_POINT('',#11197); +#11199=CARTESIAN_POINT('',(-2.232623109086E1,1.15E0,-1.98E1)); +#11200=VERTEX_POINT('',#11199); +#11201=CARTESIAN_POINT('',(-2.226278409091E1,1.15E0,-1.98E1)); +#11202=VERTEX_POINT('',#11201); +#11203=CARTESIAN_POINT('',(-2.204071972722E1,1.107446813583E0,-1.98E1)); +#11204=VERTEX_POINT('',#11203); +#11205=CARTESIAN_POINT('',(-2.191382581808E1,1.022340440750E0,-1.98E1)); +#11206=VERTEX_POINT('',#11205); +#11207=CARTESIAN_POINT('',(-2.178693181818E1,8.521276950836E-1,-1.98E1)); +#11208=VERTEX_POINT('',#11207); +#11209=CARTESIAN_POINT('',(-2.175520827283E1,6.819149494171E-1,-1.98E1)); +#11210=VERTEX_POINT('',#11209); +#11211=CARTESIAN_POINT('',(-2.175520827283E1,5.968084573746E-1,-1.98E1)); +#11212=VERTEX_POINT('',#11211); +#11213=CARTESIAN_POINT('',(-2.178693181818E1,4.265957117081E-1,-1.98E1)); +#11214=VERTEX_POINT('',#11213); +#11215=CARTESIAN_POINT('',(-2.185037872737E1,2.989361524582E-1,-1.98E1)); +#11216=VERTEX_POINT('',#11215); +#11217=CARTESIAN_POINT('',(-2.197727272727E1,1.712765932083E-1,-1.98E1)); +#11218=VERTEX_POINT('',#11217); +#11219=CARTESIAN_POINT('',(-2.181865536354E1,8.617022037506E-2,-1.98E1)); +#11220=VERTEX_POINT('',#11219); +#11221=CARTESIAN_POINT('',(-2.175520827283E1,-4.148933887482E-2,-1.98E1)); +#11222=VERTEX_POINT('',#11221); +#11223=CARTESIAN_POINT('',(-2.172348490899E1,-2.117021441460E-1,-1.98E1)); +#11224=VERTEX_POINT('',#11223); +#11225=CARTESIAN_POINT('',(-2.172348490899E1,-3.819148898125E-1,-1.98E1)); +#11226=VERTEX_POINT('',#11225); +#11227=CARTESIAN_POINT('',(-2.175520827283E1,-5.095744788647E-1,-1.98E1)); +#11228=VERTEX_POINT('',#11227); +#11229=CARTESIAN_POINT('',(-2.480967741935E1,3.2E0,-2.E1)); +#11230=CARTESIAN_POINT('',(-2.451935483871E1,3.2E0,-2.E1)); +#11231=VERTEX_POINT('',#11229); +#11232=VERTEX_POINT('',#11230); +#11233=CARTESIAN_POINT('',(-2.480967741935E1,4.859574508667E0,-2.E1)); +#11234=VERTEX_POINT('',#11233); +#11235=CARTESIAN_POINT('',(-2.51E1,4.561702084541E0,-2.E1)); +#11236=VERTEX_POINT('',#11235); +#11237=CARTESIAN_POINT('',(-2.51E1,4.902127695084E0,-2.E1)); +#11238=VERTEX_POINT('',#11237); +#11239=CARTESIAN_POINT('',(-2.480967741935E1,5.2E0,-2.E1)); +#11240=VERTEX_POINT('',#11239); +#11241=CARTESIAN_POINT('',(-2.451935483871E1,5.2E0,-2.E1)); +#11242=VERTEX_POINT('',#11241); +#11243=CARTESIAN_POINT('',(-2.436404934028E1,-4.923404288292E0,-2.E1)); +#11244=CARTESIAN_POINT('',(-2.5E1,-5.987234038115E0,-2.E1)); +#11245=VERTEX_POINT('',#11243); +#11246=VERTEX_POINT('',#11244); +#11247=CARTESIAN_POINT('',(-2.431862426995E1,-4.753191542625E0,-2.E1)); +#11248=VERTEX_POINT('',#11247); +#11249=CARTESIAN_POINT('',(-2.431862426995E1,-4.668085050583E0,-2.E1)); +#11250=VERTEX_POINT('',#11249); +#11251=CARTESIAN_POINT('',(-2.436404934028E1,-4.540425491333E0,-2.E1)); +#11252=VERTEX_POINT('',#11251); +#11253=CARTESIAN_POINT('',(-2.443218691329E1,-4.455319118500E0,-2.E1)); +#11254=VERTEX_POINT('',#11253); +#11255=CARTESIAN_POINT('',(-2.454574952413E1,-4.412765932083E0,-2.E1)); +#11256=VERTEX_POINT('',#11255); +#11257=CARTESIAN_POINT('',(-2.463659959981E1,-4.412765932083E0,-2.E1)); +#11258=VERTEX_POINT('',#11257); +#11259=CARTESIAN_POINT('',(-2.475016222690E1,-4.455319118500E0,-2.E1)); +#11260=VERTEX_POINT('',#11259); +#11261=CARTESIAN_POINT('',(-2.481829979991E1,-4.540425491333E0,-2.E1)); +#11262=VERTEX_POINT('',#11261); +#11263=CARTESIAN_POINT('',(-2.486372485399E1,-4.668085050583E0,-2.E1)); +#11264=VERTEX_POINT('',#11263); +#11265=CARTESIAN_POINT('',(-2.497728747499E1,-4.668085050583E0,-2.E1)); +#11266=VERTEX_POINT('',#11265); +#11267=CARTESIAN_POINT('',(-2.495457494998E1,-4.497872304916E0,-2.E1)); +#11268=VERTEX_POINT('',#11267); +#11269=CARTESIAN_POINT('',(-2.486372485399E1,-4.327659559250E0,-2.E1)); +#11270=VERTEX_POINT('',#11269); +#11271=CARTESIAN_POINT('',(-2.477287476207E1,-4.242553186417E0,-2.E1)); +#11272=VERTEX_POINT('',#11271); +#11273=CARTESIAN_POINT('',(-2.461388709714E1,-4.2E0,-2.E1)); +#11274=VERTEX_POINT('',#11273); +#11275=CARTESIAN_POINT('',(-2.452303698897E1,-4.2E0,-2.E1)); +#11276=VERTEX_POINT('',#11275); +#11277=CARTESIAN_POINT('',(-2.438676184296E1,-4.242553186417E0,-2.E1)); +#11278=VERTEX_POINT('',#11277); +#11279=CARTESIAN_POINT('',(-2.429591176728E1,-4.327659559250E0,-2.E1)); +#11280=VERTEX_POINT('',#11279); +#11281=CARTESIAN_POINT('',(-2.420506162662E1,-4.497872304916E0,-2.E1)); +#11282=VERTEX_POINT('',#11281); +#11283=CARTESIAN_POINT('',(-2.418234912395E1,-4.668085050583E0,-2.E1)); +#11284=VERTEX_POINT('',#11283); +#11285=CARTESIAN_POINT('',(-2.418234912395E1,-4.753191542625E0,-2.E1)); +#11286=VERTEX_POINT('',#11285); +#11287=CARTESIAN_POINT('',(-2.420506162662E1,-4.923404288292E0,-2.E1)); +#11288=VERTEX_POINT('',#11287); +#11289=CARTESIAN_POINT('',(-2.425048669695E1,-5.051063847542E0,-2.E1)); +#11290=VERTEX_POINT('',#11289); +#11291=CARTESIAN_POINT('',(-2.481829979991E1,-5.987234038115E0,-2.E1)); +#11292=VERTEX_POINT('',#11291); +#11293=CARTESIAN_POINT('',(-2.418234912395E1,-5.987234038115E0,-2.E1)); +#11294=VERTEX_POINT('',#11293); +#11295=CARTESIAN_POINT('',(-2.418234912395E1,-6.2E0,-2.E1)); +#11296=VERTEX_POINT('',#11295); +#11297=CARTESIAN_POINT('',(-2.5E1,-6.2E0,-2.E1)); +#11298=VERTEX_POINT('',#11297); +#11299=CARTESIAN_POINT('',(-2.331927324254E1,-4.2E0,-2.E1)); +#11300=CARTESIAN_POINT('',(-2.345554838855E1,-4.2E0,-2.E1)); +#11301=VERTEX_POINT('',#11299); +#11302=VERTEX_POINT('',#11300); +#11303=CARTESIAN_POINT('',(-2.331927324254E1,-5.348936152458E0,-2.E1)); +#11304=VERTEX_POINT('',#11303); +#11305=CARTESIAN_POINT('',(-2.309214795587E1,-5.348936152458E0,-2.E1)); +#11306=VERTEX_POINT('',#11305); +#11307=CARTESIAN_POINT('',(-2.309214795587E1,-5.604255330563E0,-2.E1)); +#11308=VERTEX_POINT('',#11307); +#11309=CARTESIAN_POINT('',(-2.331927324254E1,-5.604255330563E0,-2.E1)); +#11310=VERTEX_POINT('',#11309); +#11311=CARTESIAN_POINT('',(-2.331927324254E1,-6.2E0,-2.E1)); +#11312=VERTEX_POINT('',#11311); +#11313=CARTESIAN_POINT('',(-2.345554838855E1,-6.2E0,-2.E1)); +#11314=VERTEX_POINT('',#11313); +#11315=CARTESIAN_POINT('',(-2.345554838855E1,-5.604255330563E0,-2.E1)); +#11316=VERTEX_POINT('',#11315); +#11317=CARTESIAN_POINT('',(-2.400064890761E1,-5.604255330563E0,-2.E1)); +#11318=VERTEX_POINT('',#11317); +#11319=CARTESIAN_POINT('',(-2.400064890761E1,-5.348936152458E0,-2.E1)); +#11320=VERTEX_POINT('',#11319); +#11321=CARTESIAN_POINT('',(-2.345554838855E1,-5.348936152458E0,-2.E1)); +#11322=CARTESIAN_POINT('',(-2.384166125892E1,-5.348936152458E0,-2.E1)); +#11323=VERTEX_POINT('',#11321); +#11324=VERTEX_POINT('',#11322); +#11325=CARTESIAN_POINT('',(-2.345554838855E1,-4.540425491333E0,-2.E1)); +#11326=VERTEX_POINT('',#11325); +#11327=CARTESIAN_POINT('',(-2.330965909091E1,-8.5E-1,-2.E1)); +#11328=CARTESIAN_POINT('',(-2.311931818182E1,-8.5E-1,-2.E1)); +#11329=VERTEX_POINT('',#11327); +#11330=VERTEX_POINT('',#11328); +#11331=CARTESIAN_POINT('',(-2.330965909091E1,8.095745086670E-1,-2.E1)); +#11332=VERTEX_POINT('',#11331); +#11333=CARTESIAN_POINT('',(-2.35E1,5.117020845413E-1,-2.E1)); +#11334=VERTEX_POINT('',#11333); +#11335=CARTESIAN_POINT('',(-2.35E1,8.521276950836E-1,-2.E1)); +#11336=VERTEX_POINT('',#11335); +#11337=CARTESIAN_POINT('',(-2.330965909091E1,1.15E0,-2.E1)); +#11338=VERTEX_POINT('',#11337); +#11339=CARTESIAN_POINT('',(-2.311931818182E1,1.15E0,-2.E1)); +#11340=VERTEX_POINT('',#11339); +#11341=CARTESIAN_POINT('',(-2.191382581808E1,-7.223404258490E-1,-2.E1)); +#11342=CARTESIAN_POINT('',(-2.181865536354E1,-6.372340381145E-1,-2.E1)); +#11343=VERTEX_POINT('',#11341); +#11344=VERTEX_POINT('',#11342); +#11345=CARTESIAN_POINT('',(-2.204071972722E1,-8.074468098581E-1,-2.E1)); +#11346=VERTEX_POINT('',#11345); +#11347=CARTESIAN_POINT('',(-2.216761363636E1,-8.5E-1,-2.E1)); +#11348=VERTEX_POINT('',#11347); +#11349=CARTESIAN_POINT('',(-2.242140154541E1,-8.5E-1,-2.E1)); +#11350=VERTEX_POINT('',#11349); +#11351=CARTESIAN_POINT('',(-2.254829545455E1,-8.074468098581E-1,-2.E1)); +#11352=VERTEX_POINT('',#11351); +#11353=CARTESIAN_POINT('',(-2.267518936369E1,-7.223404258490E-1,-2.E1)); +#11354=VERTEX_POINT('',#11353); +#11355=CARTESIAN_POINT('',(-2.277035986361E1,-6.372340381145E-1,-2.E1)); +#11356=VERTEX_POINT('',#11355); +#11357=CARTESIAN_POINT('',(-2.283380681818E1,-5.095744788647E-1,-2.E1)); +#11358=VERTEX_POINT('',#11357); +#11359=CARTESIAN_POINT('',(-2.286553031816E1,-3.819148898125E-1,-2.E1)); +#11360=VERTEX_POINT('',#11359); +#11361=CARTESIAN_POINT('',(-2.270691290904E1,-3.819148898125E-1,-2.E1)); +#11362=VERTEX_POINT('',#11361); +#11363=CARTESIAN_POINT('',(-2.267518936369E1,-4.670212626457E-1,-2.E1)); +#11364=VERTEX_POINT('',#11363); +#11365=CARTESIAN_POINT('',(-2.261174245450E1,-5.521276652813E-1,-2.E1)); +#11366=VERTEX_POINT('',#11365); +#11367=CARTESIAN_POINT('',(-2.254829545455E1,-5.946808516979E-1,-2.E1)); +#11368=VERTEX_POINT('',#11367); +#11369=CARTESIAN_POINT('',(-2.238967800005E1,-6.372340381145E-1,-2.E1)); +#11370=VERTEX_POINT('',#11369); +#11371=CARTESIAN_POINT('',(-2.219933709096E1,-6.372340381145E-1,-2.E1)); +#11372=VERTEX_POINT('',#11371); +#11373=CARTESIAN_POINT('',(-2.204071972722E1,-5.946808516979E-1,-2.E1)); +#11374=VERTEX_POINT('',#11373); +#11375=CARTESIAN_POINT('',(-2.197727272727E1,-5.521276652813E-1,-2.E1)); +#11376=VERTEX_POINT('',#11375); +#11377=CARTESIAN_POINT('',(-2.191382581808E1,-4.670212626457E-1,-2.E1)); +#11378=VERTEX_POINT('',#11377); +#11379=CARTESIAN_POINT('',(-2.188210227273E1,-3.819148898125E-1,-2.E1)); +#11380=VERTEX_POINT('',#11379); +#11381=CARTESIAN_POINT('',(-2.188210227273E1,-2.117021441460E-1,-2.E1)); +#11382=VERTEX_POINT('',#11381); +#11383=CARTESIAN_POINT('',(-2.194554918192E1,-4.148933887482E-2,-2.E1)); +#11384=VERTEX_POINT('',#11383); +#11385=CARTESIAN_POINT('',(-2.204071972722E1,4.361703395843E-2,-2.E1)); +#11386=VERTEX_POINT('',#11385); +#11387=CARTESIAN_POINT('',(-2.223106063631E1,8.617022037506E-2,-2.E1)); +#11388=VERTEX_POINT('',#11387); +#11389=CARTESIAN_POINT('',(-2.235795454545E1,8.617022037506E-2,-2.E1)); +#11390=VERTEX_POINT('',#11389); +#11391=CARTESIAN_POINT('',(-2.235795454545E1,2.563829660416E-1,-2.E1)); +#11392=VERTEX_POINT('',#11391); +#11393=CARTESIAN_POINT('',(-2.223106063631E1,2.563829660416E-1,-2.E1)); +#11394=VERTEX_POINT('',#11393); +#11395=CARTESIAN_POINT('',(-2.204071972722E1,3.414893388748E-1,-2.E1)); +#11396=VERTEX_POINT('',#11395); +#11397=CARTESIAN_POINT('',(-2.197727272727E1,4.265957117081E-1,-2.E1)); +#11398=VERTEX_POINT('',#11397); +#11399=CARTESIAN_POINT('',(-2.191382581808E1,5.968084573746E-1,-2.E1)); +#11400=VERTEX_POINT('',#11399); +#11401=CARTESIAN_POINT('',(-2.191382581808E1,6.819149494171E-1,-2.E1)); +#11402=VERTEX_POINT('',#11401); +#11403=CARTESIAN_POINT('',(-2.197727272727E1,8.095745086670E-1,-2.E1)); +#11404=VERTEX_POINT('',#11403); +#11405=CARTESIAN_POINT('',(-2.207244318182E1,8.946808815002E-1,-2.E1)); +#11406=VERTEX_POINT('',#11405); +#11407=CARTESIAN_POINT('',(-2.223106063631E1,9.372340679169E-1,-2.E1)); +#11408=VERTEX_POINT('',#11407); +#11409=CARTESIAN_POINT('',(-2.235795454545E1,9.372340679169E-1,-2.E1)); +#11410=VERTEX_POINT('',#11409); +#11411=CARTESIAN_POINT('',(-2.251657199995E1,8.946808815002E-1,-2.E1)); +#11412=VERTEX_POINT('',#11411); +#11413=CARTESIAN_POINT('',(-2.261174245450E1,8.095745086670E-1,-2.E1)); +#11414=VERTEX_POINT('',#11413); +#11415=CARTESIAN_POINT('',(-2.267518936369E1,6.819149494171E-1,-2.E1)); +#11416=VERTEX_POINT('',#11415); +#11417=CARTESIAN_POINT('',(-2.283380681818E1,6.819149494171E-1,-2.E1)); +#11418=VERTEX_POINT('',#11417); +#11419=CARTESIAN_POINT('',(-2.280208331821E1,8.521276950836E-1,-2.E1)); +#11420=VERTEX_POINT('',#11419); +#11421=CARTESIAN_POINT('',(-2.267518936369E1,1.022340440750E0,-2.E1)); +#11422=VERTEX_POINT('',#11421); +#11423=CARTESIAN_POINT('',(-2.254829545455E1,1.107446813583E0,-2.E1)); +#11424=VERTEX_POINT('',#11423); +#11425=CARTESIAN_POINT('',(-2.232623109086E1,1.15E0,-2.E1)); +#11426=VERTEX_POINT('',#11425); +#11427=CARTESIAN_POINT('',(-2.226278409091E1,1.15E0,-2.E1)); +#11428=VERTEX_POINT('',#11427); +#11429=CARTESIAN_POINT('',(-2.204071972722E1,1.107446813583E0,-2.E1)); +#11430=VERTEX_POINT('',#11429); +#11431=CARTESIAN_POINT('',(-2.191382581808E1,1.022340440750E0,-2.E1)); +#11432=VERTEX_POINT('',#11431); +#11433=CARTESIAN_POINT('',(-2.178693181818E1,8.521276950836E-1,-2.E1)); +#11434=VERTEX_POINT('',#11433); +#11435=CARTESIAN_POINT('',(-2.175520827283E1,6.819149494171E-1,-2.E1)); +#11436=VERTEX_POINT('',#11435); +#11437=CARTESIAN_POINT('',(-2.175520827283E1,5.968084573746E-1,-2.E1)); +#11438=VERTEX_POINT('',#11437); +#11439=CARTESIAN_POINT('',(-2.178693181818E1,4.265957117081E-1,-2.E1)); +#11440=VERTEX_POINT('',#11439); +#11441=CARTESIAN_POINT('',(-2.185037872737E1,2.989361524582E-1,-2.E1)); +#11442=VERTEX_POINT('',#11441); +#11443=CARTESIAN_POINT('',(-2.197727272727E1,1.712765932083E-1,-2.E1)); +#11444=VERTEX_POINT('',#11443); +#11445=CARTESIAN_POINT('',(-2.181865536354E1,8.617022037506E-2,-2.E1)); +#11446=VERTEX_POINT('',#11445); +#11447=CARTESIAN_POINT('',(-2.175520827283E1,-4.148933887482E-2,-2.E1)); +#11448=VERTEX_POINT('',#11447); +#11449=CARTESIAN_POINT('',(-2.172348490899E1,-2.117021441460E-1,-2.E1)); +#11450=VERTEX_POINT('',#11449); +#11451=CARTESIAN_POINT('',(-2.172348490899E1,-3.819148898125E-1,-2.E1)); +#11452=VERTEX_POINT('',#11451); +#11453=CARTESIAN_POINT('',(-2.175520827283E1,-5.095744788647E-1,-2.E1)); +#11454=VERTEX_POINT('',#11453); +#11455=CARTESIAN_POINT('',(-2.2E1,7.5E-1,-3.645E1)); +#11456=CARTESIAN_POINT('',(-2.2E1,7.5E-1,-3.515E1)); +#11457=VERTEX_POINT('',#11455); +#11458=VERTEX_POINT('',#11456); +#11459=VERTEX_POINT('',#7092); +#11460=VERTEX_POINT('',#7122); +#11461=VERTEX_POINT('',#7124); +#11462=VERTEX_POINT('',#7154); +#11463=VERTEX_POINT('',#7253); +#11464=VERTEX_POINT('',#7251); +#11465=CARTESIAN_POINT('',(-1.8E1,7.5E-1,-3.645E1)); +#11466=CARTESIAN_POINT('',(-1.8E1,7.5E-1,-3.515E1)); +#11467=VERTEX_POINT('',#11465); +#11468=VERTEX_POINT('',#11466); +#11469=VERTEX_POINT('',#7028); +#11470=VERTEX_POINT('',#7058); +#11471=VERTEX_POINT('',#7060); +#11472=VERTEX_POINT('',#7090); +#11473=VERTEX_POINT('',#7277); +#11474=VERTEX_POINT('',#7275); +#11475=CARTESIAN_POINT('',(-1.4E1,7.5E-1,-3.645E1)); +#11476=CARTESIAN_POINT('',(-1.4E1,7.5E-1,-3.515E1)); +#11477=VERTEX_POINT('',#11475); +#11478=VERTEX_POINT('',#11476); +#11479=VERTEX_POINT('',#6964); +#11480=VERTEX_POINT('',#6994); +#11481=VERTEX_POINT('',#6996); +#11482=VERTEX_POINT('',#7026); +#11483=VERTEX_POINT('',#7301); +#11484=VERTEX_POINT('',#7299); +#11485=CARTESIAN_POINT('',(-1.E1,7.5E-1,-3.645E1)); +#11486=CARTESIAN_POINT('',(-1.E1,7.5E-1,-3.515E1)); +#11487=VERTEX_POINT('',#11485); +#11488=VERTEX_POINT('',#11486); +#11489=VERTEX_POINT('',#6900); +#11490=VERTEX_POINT('',#6930); +#11491=VERTEX_POINT('',#6932); +#11492=VERTEX_POINT('',#6962); +#11493=VERTEX_POINT('',#7325); +#11494=VERTEX_POINT('',#7323); +#11495=CARTESIAN_POINT('',(-6.E0,7.5E-1,-3.645E1)); +#11496=CARTESIAN_POINT('',(-6.E0,7.5E-1,-3.515E1)); +#11497=VERTEX_POINT('',#11495); +#11498=VERTEX_POINT('',#11496); +#11499=VERTEX_POINT('',#6836); +#11500=VERTEX_POINT('',#6866); +#11501=VERTEX_POINT('',#6868); +#11502=VERTEX_POINT('',#6898); +#11503=VERTEX_POINT('',#7349); +#11504=VERTEX_POINT('',#7347); +#11505=CARTESIAN_POINT('',(-2.E0,7.5E-1,-3.645E1)); +#11506=CARTESIAN_POINT('',(-2.E0,7.5E-1,-3.515E1)); +#11507=VERTEX_POINT('',#11505); +#11508=VERTEX_POINT('',#11506); +#11509=VERTEX_POINT('',#6772); +#11510=VERTEX_POINT('',#6802); +#11511=VERTEX_POINT('',#6804); +#11512=VERTEX_POINT('',#6834); +#11513=VERTEX_POINT('',#7373); +#11514=VERTEX_POINT('',#7371); +#11515=CARTESIAN_POINT('',(2.E0,7.5E-1,-3.645E1)); +#11516=CARTESIAN_POINT('',(2.E0,7.5E-1,-3.515E1)); +#11517=VERTEX_POINT('',#11515); +#11518=VERTEX_POINT('',#11516); +#11519=VERTEX_POINT('',#6708); +#11520=VERTEX_POINT('',#6738); +#11521=VERTEX_POINT('',#6740); +#11522=VERTEX_POINT('',#6770); +#11523=VERTEX_POINT('',#7397); +#11524=VERTEX_POINT('',#7395); +#11525=CARTESIAN_POINT('',(6.E0,7.5E-1,-3.645E1)); +#11526=CARTESIAN_POINT('',(6.E0,7.5E-1,-3.515E1)); +#11527=VERTEX_POINT('',#11525); +#11528=VERTEX_POINT('',#11526); +#11529=VERTEX_POINT('',#6644); +#11530=VERTEX_POINT('',#6674); +#11531=VERTEX_POINT('',#6676); +#11532=VERTEX_POINT('',#6706); +#11533=VERTEX_POINT('',#7421); +#11534=VERTEX_POINT('',#7419); +#11535=CARTESIAN_POINT('',(1.E1,7.5E-1,-3.645E1)); +#11536=CARTESIAN_POINT('',(1.E1,7.5E-1,-3.515E1)); +#11537=VERTEX_POINT('',#11535); +#11538=VERTEX_POINT('',#11536); +#11539=VERTEX_POINT('',#6580); +#11540=VERTEX_POINT('',#6610); +#11541=VERTEX_POINT('',#6612); +#11542=VERTEX_POINT('',#6642); +#11543=VERTEX_POINT('',#7445); +#11544=VERTEX_POINT('',#7443); +#11545=CARTESIAN_POINT('',(1.4E1,7.5E-1,-3.645E1)); +#11546=CARTESIAN_POINT('',(1.4E1,7.5E-1,-3.515E1)); +#11547=VERTEX_POINT('',#11545); +#11548=VERTEX_POINT('',#11546); +#11549=VERTEX_POINT('',#6516); +#11550=VERTEX_POINT('',#6546); +#11551=VERTEX_POINT('',#6548); +#11552=VERTEX_POINT('',#6578); +#11553=VERTEX_POINT('',#7469); +#11554=VERTEX_POINT('',#7467); +#11555=CARTESIAN_POINT('',(1.8E1,7.5E-1,-3.645E1)); +#11556=CARTESIAN_POINT('',(1.8E1,7.5E-1,-3.515E1)); +#11557=VERTEX_POINT('',#11555); +#11558=VERTEX_POINT('',#11556); +#11559=VERTEX_POINT('',#6452); +#11560=VERTEX_POINT('',#6482); +#11561=VERTEX_POINT('',#6484); +#11562=VERTEX_POINT('',#6514); +#11563=VERTEX_POINT('',#7493); +#11564=VERTEX_POINT('',#7491); +#11565=CARTESIAN_POINT('',(2.2E1,7.5E-1,-3.645E1)); +#11566=CARTESIAN_POINT('',(2.2E1,7.5E-1,-3.515E1)); +#11567=VERTEX_POINT('',#11565); +#11568=VERTEX_POINT('',#11566); +#11569=VERTEX_POINT('',#6388); +#11570=VERTEX_POINT('',#6418); +#11571=VERTEX_POINT('',#6420); +#11572=VERTEX_POINT('',#6450); +#11573=VERTEX_POINT('',#7191); +#11574=VERTEX_POINT('',#7515); +#11575=CARTESIAN_POINT('',(-2.2E1,-7.E0,-2.845E1)); +#11576=CARTESIAN_POINT('',(-2.2E1,-7.E0,-2.715E1)); +#11577=VERTEX_POINT('',#11575); +#11578=VERTEX_POINT('',#11576); +#11579=CARTESIAN_POINT('',(-1.8E1,-7.E0,-2.845E1)); +#11580=CARTESIAN_POINT('',(-1.8E1,-7.E0,-2.715E1)); +#11581=VERTEX_POINT('',#11579); +#11582=VERTEX_POINT('',#11580); +#11583=CARTESIAN_POINT('',(-1.4E1,-7.E0,-2.845E1)); +#11584=CARTESIAN_POINT('',(-1.4E1,-7.E0,-2.715E1)); +#11585=VERTEX_POINT('',#11583); +#11586=VERTEX_POINT('',#11584); +#11587=CARTESIAN_POINT('',(-1.E1,-7.E0,-2.845E1)); +#11588=CARTESIAN_POINT('',(-1.E1,-7.E0,-2.715E1)); +#11589=VERTEX_POINT('',#11587); +#11590=VERTEX_POINT('',#11588); +#11591=CARTESIAN_POINT('',(-6.E0,-7.E0,-2.845E1)); +#11592=CARTESIAN_POINT('',(-6.E0,-7.E0,-2.715E1)); +#11593=VERTEX_POINT('',#11591); +#11594=VERTEX_POINT('',#11592); +#11595=CARTESIAN_POINT('',(-2.E0,-7.E0,-2.845E1)); +#11596=CARTESIAN_POINT('',(-2.E0,-7.E0,-2.715E1)); +#11597=VERTEX_POINT('',#11595); +#11598=VERTEX_POINT('',#11596); +#11599=CARTESIAN_POINT('',(2.E0,-7.E0,-2.845E1)); +#11600=CARTESIAN_POINT('',(2.E0,-7.E0,-2.715E1)); +#11601=VERTEX_POINT('',#11599); +#11602=VERTEX_POINT('',#11600); +#11603=CARTESIAN_POINT('',(6.E0,-7.E0,-2.845E1)); +#11604=CARTESIAN_POINT('',(6.E0,-7.E0,-2.715E1)); +#11605=VERTEX_POINT('',#11603); +#11606=VERTEX_POINT('',#11604); +#11607=CARTESIAN_POINT('',(1.E1,-7.E0,-2.845E1)); +#11608=CARTESIAN_POINT('',(1.E1,-7.E0,-2.715E1)); +#11609=VERTEX_POINT('',#11607); +#11610=VERTEX_POINT('',#11608); +#11611=CARTESIAN_POINT('',(1.4E1,-7.E0,-2.845E1)); +#11612=CARTESIAN_POINT('',(1.4E1,-7.E0,-2.715E1)); +#11613=VERTEX_POINT('',#11611); +#11614=VERTEX_POINT('',#11612); +#11615=CARTESIAN_POINT('',(1.8E1,-7.E0,-2.845E1)); +#11616=CARTESIAN_POINT('',(1.8E1,-7.E0,-2.715E1)); +#11617=VERTEX_POINT('',#11615); +#11618=VERTEX_POINT('',#11616); +#11619=CARTESIAN_POINT('',(2.2E1,-7.E0,-2.845E1)); +#11620=CARTESIAN_POINT('',(2.2E1,-7.E0,-2.715E1)); +#11621=VERTEX_POINT('',#11619); +#11622=VERTEX_POINT('',#11620); +#11623=CARTESIAN_POINT('',(-2.E1,-3.25E0,-3.245E1)); +#11624=CARTESIAN_POINT('',(-2.E1,-3.25E0,-3.115E1)); +#11625=VERTEX_POINT('',#11623); +#11626=VERTEX_POINT('',#11624); +#11627=CARTESIAN_POINT('',(-1.6E1,-3.25E0,-3.245E1)); +#11628=CARTESIAN_POINT('',(-1.6E1,-3.25E0,-3.115E1)); +#11629=VERTEX_POINT('',#11627); +#11630=VERTEX_POINT('',#11628); +#11631=CARTESIAN_POINT('',(-1.2E1,-3.25E0,-3.245E1)); +#11632=CARTESIAN_POINT('',(-1.2E1,-3.25E0,-3.115E1)); +#11633=VERTEX_POINT('',#11631); +#11634=VERTEX_POINT('',#11632); +#11635=CARTESIAN_POINT('',(-8.E0,-3.25E0,-3.245E1)); +#11636=CARTESIAN_POINT('',(-8.E0,-3.25E0,-3.115E1)); +#11637=VERTEX_POINT('',#11635); +#11638=VERTEX_POINT('',#11636); +#11639=CARTESIAN_POINT('',(-4.E0,-3.25E0,-3.245E1)); +#11640=CARTESIAN_POINT('',(-4.E0,-3.25E0,-3.115E1)); +#11641=VERTEX_POINT('',#11639); +#11642=VERTEX_POINT('',#11640); +#11643=CARTESIAN_POINT('',(0.E0,-3.25E0,-3.245E1)); +#11644=CARTESIAN_POINT('',(0.E0,-3.25E0,-3.115E1)); +#11645=VERTEX_POINT('',#11643); +#11646=VERTEX_POINT('',#11644); +#11647=CARTESIAN_POINT('',(4.E0,-3.25E0,-3.245E1)); +#11648=CARTESIAN_POINT('',(4.E0,-3.25E0,-3.115E1)); +#11649=VERTEX_POINT('',#11647); +#11650=VERTEX_POINT('',#11648); +#11651=CARTESIAN_POINT('',(8.E0,-3.25E0,-3.245E1)); +#11652=CARTESIAN_POINT('',(8.E0,-3.25E0,-3.115E1)); +#11653=VERTEX_POINT('',#11651); +#11654=VERTEX_POINT('',#11652); +#11655=CARTESIAN_POINT('',(1.2E1,-3.25E0,-3.245E1)); +#11656=CARTESIAN_POINT('',(1.2E1,-3.25E0,-3.115E1)); +#11657=VERTEX_POINT('',#11655); +#11658=VERTEX_POINT('',#11656); +#11659=CARTESIAN_POINT('',(1.6E1,-3.25E0,-3.245E1)); +#11660=CARTESIAN_POINT('',(1.6E1,-3.25E0,-3.115E1)); +#11661=VERTEX_POINT('',#11659); +#11662=VERTEX_POINT('',#11660); +#11663=CARTESIAN_POINT('',(2.E1,-3.25E0,-3.245E1)); +#11664=CARTESIAN_POINT('',(2.E1,-3.25E0,-3.115E1)); +#11665=VERTEX_POINT('',#11663); +#11666=VERTEX_POINT('',#11664); +#11667=CARTESIAN_POINT('',(-2.7E1,-4.2E0,-1.E1)); +#11668=CARTESIAN_POINT('',(-2.7E1,-5.2E0,-1.E1)); +#11669=VERTEX_POINT('',#11667); +#11670=VERTEX_POINT('',#11668); +#11671=CARTESIAN_POINT('',(2.7E1,-5.2E0,-1.E1)); +#11672=CARTESIAN_POINT('',(2.7E1,-4.2E0,-1.E1)); +#11673=VERTEX_POINT('',#11671); +#11674=VERTEX_POINT('',#11672); +#11675=CARTESIAN_POINT('',(2.7E1,4.2E0,-1.E1)); +#11676=CARTESIAN_POINT('',(2.7E1,5.2E0,-1.E1)); +#11677=VERTEX_POINT('',#11675); +#11678=VERTEX_POINT('',#11676); +#11679=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-2.E1)); +#11680=VERTEX_POINT('',#11679); +#11681=CARTESIAN_POINT('',(2.82E1,5.2E0,-2.E1)); +#11682=VERTEX_POINT('',#11681); +#11683=CARTESIAN_POINT('',(-2.7E1,-4.2E0,-2.E1)); +#11684=VERTEX_POINT('',#11683); +#11685=CARTESIAN_POINT('',(-2.7E1,-5.2E0,-2.E1)); +#11686=VERTEX_POINT('',#11685); +#11687=CARTESIAN_POINT('',(2.7E1,-5.2E0,-2.E1)); +#11688=VERTEX_POINT('',#11687); +#11689=CARTESIAN_POINT('',(2.7E1,-4.2E0,-2.E1)); +#11690=VERTEX_POINT('',#11689); +#11691=CARTESIAN_POINT('',(2.7E1,4.2E0,-2.E1)); +#11692=VERTEX_POINT('',#11691); +#11693=CARTESIAN_POINT('',(2.7E1,5.2E0,-2.E1)); +#11694=VERTEX_POINT('',#11693); +#11695=CARTESIAN_POINT('',(2.25E0,9.1E0,-1.E1)); +#11696=CARTESIAN_POINT('',(1.25E0,9.1E0,-1.E1)); +#11697=VERTEX_POINT('',#11695); +#11698=VERTEX_POINT('',#11696); +#11699=CARTESIAN_POINT('',(5.75E0,9.1E0,-1.E1)); +#11700=CARTESIAN_POINT('',(4.75E0,9.1E0,-1.E1)); +#11701=VERTEX_POINT('',#11699); +#11702=VERTEX_POINT('',#11700); +#11703=CARTESIAN_POINT('',(9.25E0,9.1E0,-1.E1)); +#11704=CARTESIAN_POINT('',(8.25E0,9.1E0,-1.E1)); +#11705=VERTEX_POINT('',#11703); +#11706=VERTEX_POINT('',#11704); +#11707=CARTESIAN_POINT('',(1.625E1,9.1E0,-1.E1)); +#11708=CARTESIAN_POINT('',(1.525E1,9.1E0,-1.E1)); +#11709=VERTEX_POINT('',#11707); +#11710=VERTEX_POINT('',#11708); +#11711=CARTESIAN_POINT('',(1.275E1,9.1E0,-1.E1)); +#11712=CARTESIAN_POINT('',(1.175E1,9.1E0,-1.E1)); +#11713=VERTEX_POINT('',#11711); +#11714=VERTEX_POINT('',#11712); +#11715=CARTESIAN_POINT('',(1.975E1,9.1E0,-1.E1)); +#11716=CARTESIAN_POINT('',(1.875E1,9.1E0,-1.E1)); +#11717=VERTEX_POINT('',#11715); +#11718=VERTEX_POINT('',#11716); +#11719=CARTESIAN_POINT('',(1.875E1,-9.1E0,-1.E1)); +#11720=CARTESIAN_POINT('',(1.975E1,-9.1E0,-1.E1)); +#11721=VERTEX_POINT('',#11719); +#11722=VERTEX_POINT('',#11720); +#11723=CARTESIAN_POINT('',(1.175E1,-9.1E0,-1.E1)); +#11724=CARTESIAN_POINT('',(1.275E1,-9.1E0,-1.E1)); +#11725=VERTEX_POINT('',#11723); +#11726=VERTEX_POINT('',#11724); +#11727=CARTESIAN_POINT('',(1.525E1,-9.1E0,-1.E1)); +#11728=CARTESIAN_POINT('',(1.625E1,-9.1E0,-1.E1)); +#11729=VERTEX_POINT('',#11727); +#11730=VERTEX_POINT('',#11728); +#11731=CARTESIAN_POINT('',(8.25E0,-9.1E0,-1.E1)); +#11732=CARTESIAN_POINT('',(9.25E0,-9.1E0,-1.E1)); +#11733=VERTEX_POINT('',#11731); +#11734=VERTEX_POINT('',#11732); +#11735=CARTESIAN_POINT('',(1.25E0,-9.1E0,-1.E1)); +#11736=CARTESIAN_POINT('',(2.25E0,-9.1E0,-1.E1)); +#11737=VERTEX_POINT('',#11735); +#11738=VERTEX_POINT('',#11736); +#11739=CARTESIAN_POINT('',(-4.75E0,9.1E0,-1.E1)); +#11740=CARTESIAN_POINT('',(-5.75E0,9.1E0,-1.E1)); +#11741=VERTEX_POINT('',#11739); +#11742=VERTEX_POINT('',#11740); +#11743=CARTESIAN_POINT('',(-8.25E0,9.1E0,-1.E1)); +#11744=CARTESIAN_POINT('',(-9.25E0,9.1E0,-1.E1)); +#11745=VERTEX_POINT('',#11743); +#11746=VERTEX_POINT('',#11744); +#11747=CARTESIAN_POINT('',(-1.525E1,9.1E0,-1.E1)); +#11748=CARTESIAN_POINT('',(-1.625E1,9.1E0,-1.E1)); +#11749=VERTEX_POINT('',#11747); +#11750=VERTEX_POINT('',#11748); +#11751=CARTESIAN_POINT('',(-1.175E1,9.1E0,-1.E1)); +#11752=CARTESIAN_POINT('',(-1.275E1,9.1E0,-1.E1)); +#11753=VERTEX_POINT('',#11751); +#11754=VERTEX_POINT('',#11752); +#11755=CARTESIAN_POINT('',(-1.875E1,9.1E0,-1.E1)); +#11756=CARTESIAN_POINT('',(-1.975E1,9.1E0,-1.E1)); +#11757=VERTEX_POINT('',#11755); +#11758=VERTEX_POINT('',#11756); +#11759=CARTESIAN_POINT('',(-1.975E1,-9.1E0,-1.E1)); +#11760=CARTESIAN_POINT('',(-1.875E1,-9.1E0,-1.E1)); +#11761=VERTEX_POINT('',#11759); +#11762=VERTEX_POINT('',#11760); +#11763=CARTESIAN_POINT('',(-1.275E1,-9.1E0,-1.E1)); +#11764=CARTESIAN_POINT('',(-1.175E1,-9.1E0,-1.E1)); +#11765=VERTEX_POINT('',#11763); +#11766=VERTEX_POINT('',#11764); +#11767=CARTESIAN_POINT('',(-1.625E1,-9.1E0,-1.E1)); +#11768=CARTESIAN_POINT('',(-1.525E1,-9.1E0,-1.E1)); +#11769=VERTEX_POINT('',#11767); +#11770=VERTEX_POINT('',#11768); +#11771=CARTESIAN_POINT('',(-9.25E0,-9.1E0,-1.E1)); +#11772=CARTESIAN_POINT('',(-8.25E0,-9.099999999999E0,-1.E1)); +#11773=VERTEX_POINT('',#11771); +#11774=VERTEX_POINT('',#11772); +#11775=CARTESIAN_POINT('',(-2.25E0,-9.1E0,-1.E1)); +#11776=CARTESIAN_POINT('',(-1.25E0,-9.1E0,-1.E1)); +#11777=VERTEX_POINT('',#11775); +#11778=VERTEX_POINT('',#11776); +#11779=CARTESIAN_POINT('',(-5.75E0,-9.1E0,-1.E1)); +#11780=CARTESIAN_POINT('',(-4.75E0,-9.1E0,-1.E1)); +#11781=VERTEX_POINT('',#11779); +#11782=VERTEX_POINT('',#11780); +#11783=CARTESIAN_POINT('',(2.25E0,9.1E0,-2.E1)); +#11784=VERTEX_POINT('',#11783); +#11785=CARTESIAN_POINT('',(1.25E0,9.1E0,-2.E1)); +#11786=VERTEX_POINT('',#11785); +#11787=CARTESIAN_POINT('',(5.75E0,9.1E0,-2.E1)); +#11788=VERTEX_POINT('',#11787); +#11789=CARTESIAN_POINT('',(4.75E0,9.1E0,-2.E1)); +#11790=VERTEX_POINT('',#11789); +#11791=CARTESIAN_POINT('',(9.25E0,9.1E0,-2.E1)); +#11792=VERTEX_POINT('',#11791); +#11793=CARTESIAN_POINT('',(8.25E0,9.1E0,-2.E1)); +#11794=VERTEX_POINT('',#11793); +#11795=CARTESIAN_POINT('',(1.625E1,9.1E0,-2.E1)); +#11796=VERTEX_POINT('',#11795); +#11797=CARTESIAN_POINT('',(1.525E1,9.1E0,-2.E1)); +#11798=VERTEX_POINT('',#11797); +#11799=CARTESIAN_POINT('',(1.275E1,9.1E0,-2.E1)); +#11800=VERTEX_POINT('',#11799); +#11801=CARTESIAN_POINT('',(1.175E1,9.1E0,-2.E1)); +#11802=VERTEX_POINT('',#11801); +#11803=CARTESIAN_POINT('',(1.975E1,9.1E0,-2.E1)); +#11804=VERTEX_POINT('',#11803); +#11805=CARTESIAN_POINT('',(1.875E1,9.1E0,-2.E1)); +#11806=VERTEX_POINT('',#11805); +#11807=CARTESIAN_POINT('',(1.875E1,-9.1E0,-2.E1)); +#11808=CARTESIAN_POINT('',(1.975E1,-9.1E0,-2.E1)); +#11809=VERTEX_POINT('',#11807); +#11810=VERTEX_POINT('',#11808); +#11811=CARTESIAN_POINT('',(1.175E1,-9.1E0,-2.E1)); +#11812=CARTESIAN_POINT('',(1.275E1,-9.1E0,-2.E1)); +#11813=VERTEX_POINT('',#11811); +#11814=VERTEX_POINT('',#11812); +#11815=CARTESIAN_POINT('',(1.525E1,-9.1E0,-2.E1)); +#11816=CARTESIAN_POINT('',(1.625E1,-9.1E0,-2.E1)); +#11817=VERTEX_POINT('',#11815); +#11818=VERTEX_POINT('',#11816); +#11819=CARTESIAN_POINT('',(8.25E0,-9.1E0,-2.E1)); +#11820=CARTESIAN_POINT('',(9.25E0,-9.1E0,-2.E1)); +#11821=VERTEX_POINT('',#11819); +#11822=VERTEX_POINT('',#11820); +#11823=CARTESIAN_POINT('',(1.25E0,-9.1E0,-2.E1)); +#11824=CARTESIAN_POINT('',(2.25E0,-9.1E0,-2.E1)); +#11825=VERTEX_POINT('',#11823); +#11826=VERTEX_POINT('',#11824); +#11827=CARTESIAN_POINT('',(-4.75E0,9.1E0,-2.E1)); +#11828=VERTEX_POINT('',#11827); +#11829=CARTESIAN_POINT('',(-5.75E0,9.1E0,-2.E1)); +#11830=VERTEX_POINT('',#11829); +#11831=CARTESIAN_POINT('',(-8.25E0,9.1E0,-2.E1)); +#11832=VERTEX_POINT('',#11831); +#11833=CARTESIAN_POINT('',(-9.25E0,9.1E0,-2.E1)); +#11834=VERTEX_POINT('',#11833); +#11835=CARTESIAN_POINT('',(-1.525E1,9.1E0,-2.E1)); +#11836=VERTEX_POINT('',#11835); +#11837=CARTESIAN_POINT('',(-1.625E1,9.1E0,-2.E1)); +#11838=VERTEX_POINT('',#11837); +#11839=CARTESIAN_POINT('',(-1.175E1,9.1E0,-2.E1)); +#11840=VERTEX_POINT('',#11839); +#11841=CARTESIAN_POINT('',(-1.275E1,9.1E0,-2.E1)); +#11842=VERTEX_POINT('',#11841); +#11843=CARTESIAN_POINT('',(-1.875E1,9.1E0,-2.E1)); +#11844=VERTEX_POINT('',#11843); +#11845=CARTESIAN_POINT('',(-1.975E1,9.1E0,-2.E1)); +#11846=VERTEX_POINT('',#11845); +#11847=CARTESIAN_POINT('',(-1.975E1,-9.1E0,-2.E1)); +#11848=CARTESIAN_POINT('',(-1.875E1,-9.1E0,-2.E1)); +#11849=VERTEX_POINT('',#11847); +#11850=VERTEX_POINT('',#11848); +#11851=CARTESIAN_POINT('',(-1.275E1,-9.1E0,-2.E1)); +#11852=CARTESIAN_POINT('',(-1.175E1,-9.1E0,-2.E1)); +#11853=VERTEX_POINT('',#11851); +#11854=VERTEX_POINT('',#11852); +#11855=CARTESIAN_POINT('',(-1.625E1,-9.1E0,-2.E1)); +#11856=CARTESIAN_POINT('',(-1.525E1,-9.1E0,-2.E1)); +#11857=VERTEX_POINT('',#11855); +#11858=VERTEX_POINT('',#11856); +#11859=CARTESIAN_POINT('',(-9.25E0,-9.1E0,-2.E1)); +#11860=CARTESIAN_POINT('',(-8.25E0,-9.099999999999E0,-2.E1)); +#11861=VERTEX_POINT('',#11859); +#11862=VERTEX_POINT('',#11860); +#11863=CARTESIAN_POINT('',(-2.25E0,-9.1E0,-2.E1)); +#11864=CARTESIAN_POINT('',(-1.25E0,-9.1E0,-2.E1)); +#11865=VERTEX_POINT('',#11863); +#11866=VERTEX_POINT('',#11864); +#11867=CARTESIAN_POINT('',(-5.75E0,-9.1E0,-2.E1)); +#11868=VERTEX_POINT('',#11867); +#11869=CARTESIAN_POINT('',(-4.75E0,-9.1E0,-2.E1)); +#11870=VERTEX_POINT('',#11869); +#11871=CARTESIAN_POINT('',(2.33E1,7.5E-1,-2.2E1)); +#11872=CARTESIAN_POINT('',(2.33E1,-3.25E0,-2.2E1)); +#11873=VERTEX_POINT('',#11871); +#11874=VERTEX_POINT('',#11872); +#11875=CARTESIAN_POINT('',(2.47E1,-3.25E0,-2.2E1)); +#11876=CARTESIAN_POINT('',(2.47E1,-3.25E0,-3.11E1)); +#11877=VERTEX_POINT('',#11875); +#11878=VERTEX_POINT('',#11876); +#11879=CARTESIAN_POINT('',(2.33E1,-3.25E0,-3.11E1)); +#11880=VERTEX_POINT('',#11879); +#11881=CARTESIAN_POINT('',(2.33E1,-3.25E0,-3.25E1)); +#11882=VERTEX_POINT('',#11881); +#11883=CARTESIAN_POINT('',(2.33E1,-2.65E0,-3.25E1)); +#11884=VERTEX_POINT('',#11883); +#11885=CARTESIAN_POINT('',(2.33E1,7.5E-1,-3.51E1)); +#11886=VERTEX_POINT('',#11885); +#11887=CARTESIAN_POINT('',(2.47E1,7.5E-1,-2.2E1)); +#11888=VERTEX_POINT('',#11887); +#11889=CARTESIAN_POINT('',(3.842493718553E1,1.155E1,-2.85E1)); +#11890=CARTESIAN_POINT('',(3.845E1,1.105E1,-2.85E1)); +#11891=VERTEX_POINT('',#11889); +#11892=VERTEX_POINT('',#11890); +#11893=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.85E1)); +#11894=VERTEX_POINT('',#11893); +#11895=CARTESIAN_POINT('',(3.845E1,-6.55E0,-2.85E1)); +#11896=CARTESIAN_POINT('',(3.845E1,-8.05E0,-2.85E1)); +#11897=VERTEX_POINT('',#11895); +#11898=VERTEX_POINT('',#11896); +#11899=CARTESIAN_POINT('',(-3.845E1,1.005E1,-2.85E1)); +#11900=CARTESIAN_POINT('',(-3.845E1,1.105E1,-2.85E1)); +#11901=VERTEX_POINT('',#11899); +#11902=VERTEX_POINT('',#11900); +#11903=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.85E1)); +#11904=VERTEX_POINT('',#11903); +#11905=CARTESIAN_POINT('',(-3.845E1,-8.05E0,-2.85E1)); +#11906=CARTESIAN_POINT('',(-3.845E1,-6.55E0,-2.85E1)); +#11907=VERTEX_POINT('',#11905); +#11908=VERTEX_POINT('',#11906); +#11909=CARTESIAN_POINT('',(-2.473110368616E1,-9.67E0,-2.2E1)); +#11910=CARTESIAN_POINT('',(-2.473110368616E1,-1.077E1,-2.2E1)); +#11911=VERTEX_POINT('',#11909); +#11912=VERTEX_POINT('',#11910); +#11913=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-2.2E1)); +#11914=VERTEX_POINT('',#11913); +#11915=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-2.2E1)); +#11916=VERTEX_POINT('',#11915); +#11917=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-2.2E1)); +#11918=VERTEX_POINT('',#11917); +#11919=CARTESIAN_POINT('',(-2.573110368616E1,-1.107E1,-2.2E1)); +#11920=VERTEX_POINT('',#11919); +#11921=CARTESIAN_POINT('',(-3.075E1,-1.107E1,-2.2E1)); +#11922=VERTEX_POINT('',#11921); +#11923=CARTESIAN_POINT('',(-3.075E1,-1.107E1,-2.85E1)); +#11924=VERTEX_POINT('',#11923); +#11925=CARTESIAN_POINT('',(-2.695E1,-1.107E1,-2.85E1)); +#11926=VERTEX_POINT('',#11925); +#11927=CARTESIAN_POINT('',(2.443110368616E1,-8.22E0,-2.2E1)); +#11928=CARTESIAN_POINT('',(2.443110368616E1,-9.37E0,-2.2E1)); +#11929=VERTEX_POINT('',#11927); +#11930=VERTEX_POINT('',#11928); +#11931=CARTESIAN_POINT('',(-2.443110368616E1,-9.37E0,-2.2E1)); +#11932=CARTESIAN_POINT('',(-2.443110368616E1,-8.22E0,-2.2E1)); +#11933=VERTEX_POINT('',#11931); +#11934=VERTEX_POINT('',#11932); +#11935=CARTESIAN_POINT('',(-2.47E1,-5.093154304743E0,-2.2E1)); +#11936=CARTESIAN_POINT('',(-2.47E1,-5.093154304743E0,-2.969052906108E1)); +#11937=VERTEX_POINT('',#11935); +#11938=VERTEX_POINT('',#11936); +#11939=CARTESIAN_POINT('',(2.47E1,-5.093154304743E0,-2.2E1)); +#11940=CARTESIAN_POINT('',(2.47E1,-5.093154304743E0,-2.969052906108E1)); +#11941=VERTEX_POINT('',#11939); +#11942=VERTEX_POINT('',#11940); +#11943=CARTESIAN_POINT('',(2.173778607136E1,-9.944486372867E0,-2.2E1)); +#11944=CARTESIAN_POINT('',(2.353568372584E1,-7.E0,-2.71E1)); +#11945=VERTEX_POINT('',#11943); +#11946=VERTEX_POINT('',#11944); +#11947=CARTESIAN_POINT('',(-2.173778607136E1,-9.944486372867E0,-2.2E1)); +#11948=CARTESIAN_POINT('',(-2.353568372584E1,-7.E0,-2.71E1)); +#11949=VERTEX_POINT('',#11947); +#11950=VERTEX_POINT('',#11948); +#11951=CARTESIAN_POINT('',(2.353568372584E1,-7.E0,-2.85E1)); +#11952=VERTEX_POINT('',#11951); +#11953=CARTESIAN_POINT('',(-2.353568372584E1,-7.E0,-2.85E1)); +#11954=VERTEX_POINT('',#11953); +#11955=CARTESIAN_POINT('',(2.374939304536E1,-6.65E0,-2.85E1)); +#11956=VERTEX_POINT('',#11955); +#11957=CARTESIAN_POINT('',(-2.374939304536E1,-6.65E0,-2.85E1)); +#11958=VERTEX_POINT('',#11957); +#11959=CARTESIAN_POINT('',(-2.8475E1,-1.516867103068E1,-3.13E1)); +#11960=CARTESIAN_POINT('',(-2.9325E1,-1.516867103068E1,-3.13E1)); +#11961=VERTEX_POINT('',#11959); +#11962=VERTEX_POINT('',#11960); +#11963=CARTESIAN_POINT('',(-3.04E1,-1.416867103068E1,-3.13E1)); +#11964=VERTEX_POINT('',#11963); +#11965=CARTESIAN_POINT('',(-2.79E1,-1.463378730975E1,-3.13E1)); +#11966=VERTEX_POINT('',#11965); +#11967=CARTESIAN_POINT('',(-2.695E1,-5.568671030682E0,-3.78E1)); +#11968=CARTESIAN_POINT('',(-2.605E1,-5.568671030682E0,-3.78E1)); +#11969=VERTEX_POINT('',#11967); +#11970=VERTEX_POINT('',#11968); +#11971=CARTESIAN_POINT('',(-2.443110368616E1,-8.22E0,-3.78E1)); +#11972=VERTEX_POINT('',#11971); +#11973=CARTESIAN_POINT('',(-2.443110368616E1,-9.37E0,-3.78E1)); +#11974=VERTEX_POINT('',#11973); +#11975=CARTESIAN_POINT('',(-2.473110368616E1,-9.67E0,-3.78E1)); +#11976=VERTEX_POINT('',#11975); +#11977=CARTESIAN_POINT('',(-2.473110368616E1,-1.077E1,-3.78E1)); +#11978=VERTEX_POINT('',#11977); +#11979=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-3.78E1)); +#11980=VERTEX_POINT('',#11979); +#11981=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-3.78E1)); +#11982=VERTEX_POINT('',#11981); +#11983=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-3.78E1)); +#11984=VERTEX_POINT('',#11983); +#11985=CARTESIAN_POINT('',(-2.573110368616E1,-1.107E1,-3.78E1)); +#11986=VERTEX_POINT('',#11985); +#11987=CARTESIAN_POINT('',(-2.695E1,-1.107E1,-3.78E1)); +#11988=VERTEX_POINT('',#11987); +#11989=CARTESIAN_POINT('',(-2.605E1,-5.568671030682E0,-2.2E1)); +#11990=VERTEX_POINT('',#11989); +#11991=CARTESIAN_POINT('',(-2.695E1,-5.568671030682E0,-3.31E1)); +#11992=CARTESIAN_POINT('',(-2.695E1,-7.218671030682E0,-3.31E1)); +#11993=VERTEX_POINT('',#11991); +#11994=VERTEX_POINT('',#11992); +#11995=CARTESIAN_POINT('',(3.835E1,1.105E1,-1.98E1)); +#11996=CARTESIAN_POINT('',(3.575E1,1.105E1,-1.98E1)); +#11997=VERTEX_POINT('',#11995); +#11998=VERTEX_POINT('',#11996); +#11999=CARTESIAN_POINT('',(3.835E1,-1.105E1,-1.98E1)); +#12000=CARTESIAN_POINT('',(3.575E1,-1.105E1,-1.98E1)); +#12001=VERTEX_POINT('',#11999); +#12002=VERTEX_POINT('',#12000); +#12003=CARTESIAN_POINT('',(3.345E1,-1.595E1,-1.98E1)); +#12004=CARTESIAN_POINT('',(3.345E1,-1.335E1,-1.98E1)); +#12005=VERTEX_POINT('',#12003); +#12006=VERTEX_POINT('',#12004); +#12007=CARTESIAN_POINT('',(-3.345E1,-1.595E1,-1.98E1)); +#12008=CARTESIAN_POINT('',(-3.345E1,-1.335E1,-1.98E1)); +#12009=VERTEX_POINT('',#12007); +#12010=VERTEX_POINT('',#12008); +#12011=CARTESIAN_POINT('',(-3.835E1,-1.105E1,-1.98E1)); +#12012=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-1.98E1)); +#12013=VERTEX_POINT('',#12011); +#12014=VERTEX_POINT('',#12012); +#12015=CARTESIAN_POINT('',(-3.835E1,1.105E1,-1.98E1)); +#12016=CARTESIAN_POINT('',(-3.575E1,1.105E1,-1.98E1)); +#12017=VERTEX_POINT('',#12015); +#12018=VERTEX_POINT('',#12016); +#12019=CARTESIAN_POINT('',(-3.345E1,1.595E1,-1.98E1)); +#12020=CARTESIAN_POINT('',(-3.345E1,1.335E1,-1.98E1)); +#12021=VERTEX_POINT('',#12019); +#12022=VERTEX_POINT('',#12020); +#12023=CARTESIAN_POINT('',(3.345E1,1.595E1,-1.98E1)); +#12024=CARTESIAN_POINT('',(3.345E1,1.335E1,-1.98E1)); +#12025=VERTEX_POINT('',#12023); +#12026=VERTEX_POINT('',#12024); +#12027=CARTESIAN_POINT('',(3.575E1,1.105E1,-2.E1)); +#12028=CARTESIAN_POINT('',(3.575E1,-1.105E1,-2.E1)); +#12029=VERTEX_POINT('',#12027); +#12030=VERTEX_POINT('',#12028); +#12031=CARTESIAN_POINT('',(3.345E1,-1.335E1,-2.E1)); +#12032=VERTEX_POINT('',#12031); +#12033=CARTESIAN_POINT('',(-3.345E1,-1.335E1,-2.E1)); +#12034=VERTEX_POINT('',#12033); +#12035=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-2.E1)); +#12036=VERTEX_POINT('',#12035); +#12037=CARTESIAN_POINT('',(-3.575E1,1.105E1,-2.E1)); +#12038=VERTEX_POINT('',#12037); +#12039=CARTESIAN_POINT('',(-3.345E1,1.335E1,-2.E1)); +#12040=VERTEX_POINT('',#12039); +#12041=CARTESIAN_POINT('',(3.345E1,1.335E1,-2.E1)); +#12042=VERTEX_POINT('',#12041); +#12043=CARTESIAN_POINT('',(3.835E1,1.105E1,-2.02E1)); +#12044=VERTEX_POINT('',#12043); +#12045=CARTESIAN_POINT('',(3.835E1,-1.105E1,-2.02E1)); +#12046=VERTEX_POINT('',#12045); +#12047=CARTESIAN_POINT('',(3.345E1,-1.595E1,-2.02E1)); +#12048=VERTEX_POINT('',#12047); +#12049=CARTESIAN_POINT('',(-3.345E1,-1.595E1,-2.02E1)); +#12050=VERTEX_POINT('',#12049); +#12051=CARTESIAN_POINT('',(-3.835E1,-1.105E1,-2.02E1)); +#12052=VERTEX_POINT('',#12051); +#12053=CARTESIAN_POINT('',(-3.835E1,1.105E1,-2.02E1)); +#12054=VERTEX_POINT('',#12053); +#12055=CARTESIAN_POINT('',(-3.345E1,1.595E1,-2.02E1)); +#12056=VERTEX_POINT('',#12055); +#12057=CARTESIAN_POINT('',(3.345E1,1.595E1,-2.02E1)); +#12058=VERTEX_POINT('',#12057); +#12059=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-3.9E0)); +#12060=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-5.4E0)); +#12061=VERTEX_POINT('',#12059); +#12062=VERTEX_POINT('',#12060); +#12063=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-3.9E0)); +#12064=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-5.4E0)); +#12065=VERTEX_POINT('',#12063); +#12066=VERTEX_POINT('',#12064); +#12067=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-3.9E0)); +#12068=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-5.4E0)); +#12069=VERTEX_POINT('',#12067); +#12070=VERTEX_POINT('',#12068); +#12071=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-3.9E0)); +#12072=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-5.4E0)); +#12073=VERTEX_POINT('',#12071); +#12074=VERTEX_POINT('',#12072); +#12075=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.4E0)); +#12076=VERTEX_POINT('',#12075); +#12077=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.4E0)); +#12078=VERTEX_POINT('',#12077); +#12079=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.4E0)); +#12080=VERTEX_POINT('',#12079); +#12081=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#12082=VERTEX_POINT('',#12081); +#12083=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-2.4E1)); +#12084=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-2.4E1)); +#12085=VERTEX_POINT('',#12083); +#12086=VERTEX_POINT('',#12084); +#12087=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-3.28E1)); +#12088=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-3.28E1)); +#12089=VERTEX_POINT('',#12087); +#12090=VERTEX_POINT('',#12088); +#12091=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-2.4E1)); +#12092=VERTEX_POINT('',#12091); +#12093=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-3.28E1)); +#12094=VERTEX_POINT('',#12093); +#12095=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-2.4E1)); +#12096=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-3.28E1)); +#12097=VERTEX_POINT('',#12095); +#12098=VERTEX_POINT('',#12096); +#12099=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-2.4E1)); +#12100=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-3.28E1)); +#12101=VERTEX_POINT('',#12099); +#12102=VERTEX_POINT('',#12100); +#12103=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-3.28E1)); +#12104=VERTEX_POINT('',#12103); +#12105=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-2.4E1)); +#12106=VERTEX_POINT('',#12105); +#12107=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-3.28E1)); +#12108=VERTEX_POINT('',#12107); +#12109=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-2.4E1)); +#12110=VERTEX_POINT('',#12109); +#12111=CARTESIAN_POINT('',(-2.573110368616E1,-1.107E1,-3.28E1)); +#12112=VERTEX_POINT('',#12111); +#12113=CARTESIAN_POINT('',(-2.573110368616E1,-1.107E1,-2.4E1)); +#12114=VERTEX_POINT('',#12113); +#12115=CARTESIAN_POINT('',(4.526728026742E0,1.149449725639E1,0.E0)); +#12116=CARTESIAN_POINT('',(2.673271973258E0,1.149449725639E1,0.E0)); +#12117=VERTEX_POINT('',#12115); +#12118=VERTEX_POINT('',#12116); +#12119=CARTESIAN_POINT('',(4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#12120=CARTESIAN_POINT('',(2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#12121=VERTEX_POINT('',#12119); +#12122=VERTEX_POINT('',#12120); +#12123=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#12124=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.E-1)); +#12125=VERTEX_POINT('',#12123); +#12126=VERTEX_POINT('',#12124); +#12127=CARTESIAN_POINT('',(2.656255668237E0,1.13E1,0.E0)); +#12128=CARTESIAN_POINT('',(-2.656255668237E0,1.13E1,0.E0)); +#12129=VERTEX_POINT('',#12127); +#12130=VERTEX_POINT('',#12128); +#12131=CARTESIAN_POINT('',(-2.673271973258E0,1.149449725639E1,0.E0)); +#12132=VERTEX_POINT('',#12131); +#12133=CARTESIAN_POINT('',(-4.526728026742E0,1.149449725639E1,0.E0)); +#12134=VERTEX_POINT('',#12133); +#12135=CARTESIAN_POINT('',(-2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#12136=CARTESIAN_POINT('',(-4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#12137=VERTEX_POINT('',#12135); +#12138=VERTEX_POINT('',#12136); +#12139=CARTESIAN_POINT('',(2.35E1,1.18E1,-5.E-1)); +#12140=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.E-1)); +#12141=VERTEX_POINT('',#12139); +#12142=VERTEX_POINT('',#12140); +#12143=CARTESIAN_POINT('',(2.35E1,1.13E1,0.E0)); +#12144=CARTESIAN_POINT('',(4.543744331763E0,1.13E1,0.E0)); +#12145=VERTEX_POINT('',#12143); +#12146=VERTEX_POINT('',#12144); +#12147=CARTESIAN_POINT('',(2.92E1,5.6E0,0.E0)); +#12148=VERTEX_POINT('',#12147); +#12149=CARTESIAN_POINT('',(2.97E1,5.6E0,-5.E-1)); +#12150=VERTEX_POINT('',#12149); +#12151=CARTESIAN_POINT('',(2.92E1,-5.6E0,0.E0)); +#12152=VERTEX_POINT('',#12151); +#12153=CARTESIAN_POINT('',(2.97E1,-5.6E0,-5.E-1)); +#12154=VERTEX_POINT('',#12153); +#12155=CARTESIAN_POINT('',(2.35E1,-1.13E1,0.E0)); +#12156=VERTEX_POINT('',#12155); +#12157=CARTESIAN_POINT('',(2.35E1,-1.18E1,-5.E-1)); +#12158=VERTEX_POINT('',#12157); +#12159=CARTESIAN_POINT('',(-2.35E1,-1.13E1,0.E0)); +#12160=VERTEX_POINT('',#12159); +#12161=CARTESIAN_POINT('',(-2.35E1,-1.18E1,-5.E-1)); +#12162=VERTEX_POINT('',#12161); +#12163=CARTESIAN_POINT('',(-2.92E1,-5.6E0,0.E0)); +#12164=VERTEX_POINT('',#12163); +#12165=CARTESIAN_POINT('',(-2.97E1,-5.6E0,-5.E-1)); +#12166=VERTEX_POINT('',#12165); +#12167=CARTESIAN_POINT('',(-2.92E1,5.6E0,0.E0)); +#12168=VERTEX_POINT('',#12167); +#12169=CARTESIAN_POINT('',(-2.97E1,5.6E0,-5.E-1)); +#12170=VERTEX_POINT('',#12169); +#12171=CARTESIAN_POINT('',(-2.35E1,1.13E1,0.E0)); +#12172=VERTEX_POINT('',#12171); +#12173=CARTESIAN_POINT('',(-2.35E1,1.18E1,-5.E-1)); +#12174=VERTEX_POINT('',#12173); +#12175=CARTESIAN_POINT('',(-4.543744331763E0,1.13E1,0.E0)); +#12176=VERTEX_POINT('',#12175); +#12177=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#12178=VERTEX_POINT('',#12177); +#12179=CARTESIAN_POINT('',(3.345E1,1.605E1,-2.05E1)); +#12180=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.05E1)); +#12181=VERTEX_POINT('',#12179); +#12182=VERTEX_POINT('',#12180); +#12183=CARTESIAN_POINT('',(-3.845E1,1.105E1,-2.05E1)); +#12184=VERTEX_POINT('',#12183); +#12185=CARTESIAN_POINT('',(-3.845E1,-1.105E1,-2.05E1)); +#12186=VERTEX_POINT('',#12185); +#12187=CARTESIAN_POINT('',(-3.345E1,-1.605E1,-2.05E1)); +#12188=VERTEX_POINT('',#12187); +#12189=CARTESIAN_POINT('',(3.345E1,-1.605E1,-2.05E1)); +#12190=VERTEX_POINT('',#12189); +#12191=CARTESIAN_POINT('',(3.845E1,-1.105E1,-2.05E1)); +#12192=VERTEX_POINT('',#12191); +#12193=CARTESIAN_POINT('',(3.845E1,1.105E1,-2.05E1)); +#12194=VERTEX_POINT('',#12193); +#12195=CARTESIAN_POINT('',(-3.529687364248E1,1.005E1,-2.25E1)); +#12196=CARTESIAN_POINT('',(-3.795E1,1.005E1,-2.25E1)); +#12197=VERTEX_POINT('',#12195); +#12198=VERTEX_POINT('',#12196); +#12199=CARTESIAN_POINT('',(-3.529687364248E1,9.55E0,-2.2E1)); +#12200=CARTESIAN_POINT('',(-3.795E1,9.55E0,-2.2E1)); +#12201=VERTEX_POINT('',#12199); +#12202=VERTEX_POINT('',#12200); +#12203=CARTESIAN_POINT('',(-3.795E1,-1.105E1,-2.2E1)); +#12204=CARTESIAN_POINT('',(-3.795E1,-8.55E0,-2.2E1)); +#12205=VERTEX_POINT('',#12203); +#12206=VERTEX_POINT('',#12204); +#12207=CARTESIAN_POINT('',(-3.845E1,-1.105E1,-2.15E1)); +#12208=CARTESIAN_POINT('',(-3.845E1,-8.55E0,-2.15E1)); +#12209=VERTEX_POINT('',#12207); +#12210=VERTEX_POINT('',#12208); +#12211=CARTESIAN_POINT('',(-3.795E1,-6.05E0,-2.2E1)); +#12212=CARTESIAN_POINT('',(-3.679687364248E1,-6.05E0,-2.2E1)); +#12213=VERTEX_POINT('',#12211); +#12214=VERTEX_POINT('',#12212); +#12215=CARTESIAN_POINT('',(-3.795E1,-6.55E0,-2.25E1)); +#12216=CARTESIAN_POINT('',(-3.679687364248E1,-6.55E0,-2.25E1)); +#12217=VERTEX_POINT('',#12215); +#12218=VERTEX_POINT('',#12216); +#12219=CARTESIAN_POINT('',(3.679687364248E1,-6.55E0,-2.25E1)); +#12220=CARTESIAN_POINT('',(3.795E1,-6.55E0,-2.25E1)); +#12221=VERTEX_POINT('',#12219); +#12222=VERTEX_POINT('',#12220); +#12223=CARTESIAN_POINT('',(3.679687364248E1,-6.05E0,-2.2E1)); +#12224=CARTESIAN_POINT('',(3.795E1,-6.05E0,-2.2E1)); +#12225=VERTEX_POINT('',#12223); +#12226=VERTEX_POINT('',#12224); +#12227=CARTESIAN_POINT('',(3.845E1,-8.55E0,-2.15E1)); +#12228=CARTESIAN_POINT('',(3.845E1,-1.105E1,-2.15E1)); +#12229=VERTEX_POINT('',#12227); +#12230=VERTEX_POINT('',#12228); +#12231=CARTESIAN_POINT('',(3.795E1,-8.55E0,-2.2E1)); +#12232=CARTESIAN_POINT('',(3.795E1,-1.105E1,-2.2E1)); +#12233=VERTEX_POINT('',#12231); +#12234=VERTEX_POINT('',#12232); +#12235=CARTESIAN_POINT('',(3.795E1,9.55E0,-2.2E1)); +#12236=CARTESIAN_POINT('',(3.529687364248E1,9.55E0,-2.2E1)); +#12237=VERTEX_POINT('',#12235); +#12238=VERTEX_POINT('',#12236); +#12239=CARTESIAN_POINT('',(3.795E1,1.005E1,-2.25E1)); +#12240=CARTESIAN_POINT('',(3.529687364248E1,1.005E1,-2.25E1)); +#12241=VERTEX_POINT('',#12239); +#12242=VERTEX_POINT('',#12240); +#12243=CARTESIAN_POINT('',(-3.679687364248E1,-8.05E0,-2.25E1)); +#12244=CARTESIAN_POINT('',(-3.795E1,-8.05E0,-2.25E1)); +#12245=VERTEX_POINT('',#12243); +#12246=VERTEX_POINT('',#12244); +#12247=CARTESIAN_POINT('',(-3.679687364248E1,-8.55E0,-2.2E1)); +#12248=VERTEX_POINT('',#12247); +#12249=CARTESIAN_POINT('',(-3.845E1,-6.05E0,-2.15E1)); +#12250=CARTESIAN_POINT('',(-3.845E1,9.55E0,-2.15E1)); +#12251=VERTEX_POINT('',#12249); +#12252=VERTEX_POINT('',#12250); +#12253=CARTESIAN_POINT('',(-3.345E1,-1.555E1,-2.2E1)); +#12254=VERTEX_POINT('',#12253); +#12255=CARTESIAN_POINT('',(-3.345E1,-1.605E1,-2.15E1)); +#12256=VERTEX_POINT('',#12255); +#12257=CARTESIAN_POINT('',(3.345E1,-1.555E1,-2.2E1)); +#12258=VERTEX_POINT('',#12257); +#12259=CARTESIAN_POINT('',(3.345E1,-1.605E1,-2.15E1)); +#12260=VERTEX_POINT('',#12259); +#12261=CARTESIAN_POINT('',(3.845E1,9.55E0,-2.15E1)); +#12262=CARTESIAN_POINT('',(3.845E1,-6.05E0,-2.15E1)); +#12263=VERTEX_POINT('',#12261); +#12264=VERTEX_POINT('',#12262); +#12265=CARTESIAN_POINT('',(3.679687364248E1,-8.55E0,-2.2E1)); +#12266=VERTEX_POINT('',#12265); +#12267=CARTESIAN_POINT('',(3.795E1,-8.05E0,-2.25E1)); +#12268=CARTESIAN_POINT('',(3.679687364248E1,-8.05E0,-2.25E1)); +#12269=VERTEX_POINT('',#12267); +#12270=VERTEX_POINT('',#12268); +#12271=CARTESIAN_POINT('',(3.783748219370E1,1.155000009047E1,-2.25E1)); +#12272=CARTESIAN_POINT('',(3.529687364248E1,1.155E1,-2.25E1)); +#12273=VERTEX_POINT('',#12271); +#12274=VERTEX_POINT('',#12272); +#12275=CARTESIAN_POINT('',(3.783748195271E1,1.205E1,-2.199999995371E1)); +#12276=CARTESIAN_POINT('',(3.529687364248E1,1.205E1,-2.2E1)); +#12277=VERTEX_POINT('',#12275); +#12278=VERTEX_POINT('',#12276); +#12279=CARTESIAN_POINT('',(3.345E1,1.605E1,-2.15E1)); +#12280=CARTESIAN_POINT('',(3.836666082772E1,1.195909092237E1,-2.15E1)); +#12281=VERTEX_POINT('',#12279); +#12282=VERTEX_POINT('',#12280); +#12283=CARTESIAN_POINT('',(3.345E1,1.555E1,-2.2E1)); +#12284=VERTEX_POINT('',#12283); +#12285=CARTESIAN_POINT('',(-3.345E1,1.555E1,-2.2E1)); +#12286=VERTEX_POINT('',#12285); +#12287=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.15E1)); +#12288=VERTEX_POINT('',#12287); +#12289=CARTESIAN_POINT('',(-3.783748215479E1,1.205000017069E1,-2.2E1)); +#12290=VERTEX_POINT('',#12289); +#12291=CARTESIAN_POINT('',(-3.836666082823E1,1.195909091963E1,-2.15E1)); +#12292=VERTEX_POINT('',#12291); +#12293=CARTESIAN_POINT('',(-3.529687364248E1,1.205E1,-2.2E1)); +#12294=VERTEX_POINT('',#12293); +#12295=CARTESIAN_POINT('',(-3.529687364248E1,1.155E1,-2.25E1)); +#12296=CARTESIAN_POINT('',(-3.783748219369E1,1.155000010615E1,-2.25E1)); +#12297=VERTEX_POINT('',#12295); +#12298=VERTEX_POINT('',#12296); +#12299=VERTEX_POINT('',#5874); +#12300=VERTEX_POINT('',#4530); +#12301=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.236602540378E1)); +#12302=VERTEX_POINT('',#12301); +#12303=CARTESIAN_POINT('',(3.845E1,-6.55E0,-2.236602540378E1)); +#12304=VERTEX_POINT('',#12303); +#12305=CARTESIAN_POINT('',(3.845E1,-8.05E0,-2.236602540378E1)); +#12306=VERTEX_POINT('',#12305); +#12307=CARTESIAN_POINT('',(-3.845E1,1.005E1,-2.236602540378E1)); +#12308=VERTEX_POINT('',#12307); +#12309=CARTESIAN_POINT('',(-3.845E1,-8.05E0,-2.236602540378E1)); +#12310=VERTEX_POINT('',#12309); +#12311=CARTESIAN_POINT('',(-3.845E1,-6.55E0,-2.236602540378E1)); +#12312=VERTEX_POINT('',#12311); +#12313=CARTESIAN_POINT('',(3.641490763123E1,1.005E1,-2.85E1)); +#12314=VERTEX_POINT('',#12313); +#12315=CARTESIAN_POINT('',(3.641490763123E1,1.155E1,-2.85E1)); +#12316=VERTEX_POINT('',#12315); +#12317=CARTESIAN_POINT('',(3.394804379415E1,9.706245411632E0,-2.85E1)); +#12318=CARTESIAN_POINT('',(3.394804173044E1,1.189375883E1,-2.85E1)); +#12319=VERTEX_POINT('',#12317); +#12320=VERTEX_POINT('',#12318); +#12321=CARTESIAN_POINT('',(3.439765523186E1,1.21125E1,-2.8E1)); +#12322=CARTESIAN_POINT('',(3.439765523186E1,9.4875E0,-2.8E1)); +#12323=VERTEX_POINT('',#12321); +#12324=VERTEX_POINT('',#12322); +#12325=CARTESIAN_POINT('',(-3.32E1,-1.03E1,-2.8E1)); +#12326=CARTESIAN_POINT('',(-3.589765523186E1,-8.6125E0,-2.8E1)); +#12327=VERTEX_POINT('',#12325); +#12328=VERTEX_POINT('',#12326); +#12329=CARTESIAN_POINT('',(-3.32E1,-9.8E0,-2.85E1)); +#12330=CARTESIAN_POINT('',(-3.544804602654E1,-8.393750000019E0,-2.85E1)); +#12331=VERTEX_POINT('',#12329); +#12332=VERTEX_POINT('',#12330); +#12333=CARTESIAN_POINT('',(-3.075E1,-9.8E0,-2.85E1)); +#12334=VERTEX_POINT('',#12333); +#12335=CARTESIAN_POINT('',(-3.075E1,-1.03E1,-2.8E1)); +#12336=VERTEX_POINT('',#12335); +#12337=CARTESIAN_POINT('',(3.32E1,-1.03E1,-2.8E1)); +#12338=CARTESIAN_POINT('',(3.075E1,-1.03E1,-2.8E1)); +#12339=VERTEX_POINT('',#12337); +#12340=VERTEX_POINT('',#12338); +#12341=CARTESIAN_POINT('',(3.32E1,-9.8E0,-2.85E1)); +#12342=CARTESIAN_POINT('',(3.075E1,-9.8E0,-2.85E1)); +#12343=VERTEX_POINT('',#12341); +#12344=VERTEX_POINT('',#12342); +#12345=CARTESIAN_POINT('',(3.544804602654E1,-8.393750000018E0,-2.85E1)); +#12346=VERTEX_POINT('',#12345); +#12347=CARTESIAN_POINT('',(3.589765523186E1,-8.6125E0,-2.8E1)); +#12348=VERTEX_POINT('',#12347); +#12349=CARTESIAN_POINT('',(3.791490763123E1,-8.05E0,-2.85E1)); +#12350=VERTEX_POINT('',#12349); +#12351=CARTESIAN_POINT('',(3.791490763123E1,-6.55E0,-2.85E1)); +#12352=VERTEX_POINT('',#12351); +#12353=CARTESIAN_POINT('',(3.32E1,-1.03E1,-2.25E1)); +#12354=VERTEX_POINT('',#12353); +#12355=CARTESIAN_POINT('',(3.075E1,-1.03E1,-2.25E1)); +#12356=VERTEX_POINT('',#12355); +#12357=CARTESIAN_POINT('',(3.075E1,-6.802506281446E0,-2.85E1)); +#12358=VERTEX_POINT('',#12357); +#12359=CARTESIAN_POINT('',(-3.075E1,-6.802506281447E0,-2.85E1)); +#12360=VERTEX_POINT('',#12359); +#12361=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-2.8E1)); +#12362=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-2.25E1)); +#12363=VERTEX_POINT('',#12361); +#12364=VERTEX_POINT('',#12362); +#12365=CARTESIAN_POINT('',(-3.641490763123E1,1.005E1,-2.85E1)); +#12366=VERTEX_POINT('',#12365); +#12367=CARTESIAN_POINT('',(-3.791490763123E1,-8.05E0,-2.85E1)); +#12368=VERTEX_POINT('',#12367); +#12369=CARTESIAN_POINT('',(-3.791490763123E1,-6.55E0,-2.85E1)); +#12370=VERTEX_POINT('',#12369); +#12371=CARTESIAN_POINT('',(-3.075E1,-1.03E1,-2.25E1)); +#12372=VERTEX_POINT('',#12371); +#12373=CARTESIAN_POINT('',(-3.32E1,-1.03E1,-2.25E1)); +#12374=VERTEX_POINT('',#12373); +#12375=CARTESIAN_POINT('',(-3.641490763123E1,1.155E1,-2.85E1)); +#12376=VERTEX_POINT('',#12375); +#12377=CARTESIAN_POINT('',(-3.075E1,-5.568671030682E0,-2.8E1)); +#12378=CARTESIAN_POINT('',(-3.075E1,-5.568671030682E0,-2.25E1)); +#12379=VERTEX_POINT('',#12377); +#12380=VERTEX_POINT('',#12378); +#12381=CARTESIAN_POINT('',(3.544804602655E1,-6.206249999985E0,-2.85E1)); +#12382=VERTEX_POINT('',#12381); +#12383=CARTESIAN_POINT('',(3.589765523186E1,-5.9875E0,-2.8E1)); +#12384=VERTEX_POINT('',#12383); +#12385=CARTESIAN_POINT('',(-3.544804602655E1,-6.206249999984E0,-2.85E1)); +#12386=VERTEX_POINT('',#12385); +#12387=CARTESIAN_POINT('',(-3.589765523186E1,-5.9875E0,-2.8E1)); +#12388=VERTEX_POINT('',#12387); +#12389=CARTESIAN_POINT('',(-3.394804379415E1,1.189375458837E1,-2.85E1)); +#12390=CARTESIAN_POINT('',(-3.394804173044E1,9.70624117E0,-2.85E1)); +#12391=VERTEX_POINT('',#12389); +#12392=VERTEX_POINT('',#12390); +#12393=CARTESIAN_POINT('',(-3.439765523186E1,9.4875E0,-2.8E1)); +#12394=CARTESIAN_POINT('',(-3.439765523186E1,1.21125E1,-2.8E1)); +#12395=VERTEX_POINT('',#12393); +#12396=VERTEX_POINT('',#12394); +#12397=CARTESIAN_POINT('',(-3.075E1,-5.568671030682E0,-3.26E1)); +#12398=VERTEX_POINT('',#12397); +#12399=VERTEX_POINT('',#4765); +#12400=VERTEX_POINT('',#4912); +#12401=CARTESIAN_POINT('',(-3.075E1,-1.08E1,-2.2E1)); +#12402=VERTEX_POINT('',#12401); +#12403=CARTESIAN_POINT('',(-3.32E1,-1.08E1,-2.2E1)); +#12404=VERTEX_POINT('',#12403); +#12405=CARTESIAN_POINT('',(-3.634727983448E1,-8.831218352643E0,-2.2E1)); +#12406=VERTEX_POINT('',#12405); +#12407=CARTESIAN_POINT('',(-3.589765523186E1,-8.6125E0,-2.25E1)); +#12408=VERTEX_POINT('',#12407); +#12409=CARTESIAN_POINT('',(3.075E1,-1.08E1,-2.2E1)); +#12410=VERTEX_POINT('',#12409); +#12411=CARTESIAN_POINT('',(3.32E1,-1.08E1,-2.2E1)); +#12412=VERTEX_POINT('',#12411); +#12413=CARTESIAN_POINT('',(3.589765523186E1,-8.6125E0,-2.25E1)); +#12414=VERTEX_POINT('',#12413); +#12415=CARTESIAN_POINT('',(3.634725238024E1,-8.831274781046E0,-2.2E1)); +#12416=VERTEX_POINT('',#12415); +#12417=CARTESIAN_POINT('',(-3.589765523186E1,-5.9875E0,-2.25E1)); +#12418=VERTEX_POINT('',#12417); +#12419=CARTESIAN_POINT('',(-3.634721205941E1,-5.768642349705E0,-2.2E1)); +#12420=VERTEX_POINT('',#12419); +#12421=CARTESIAN_POINT('',(-3.439765523186E1,1.21125E1,-2.25E1)); +#12422=CARTESIAN_POINT('',(-3.439765523186E1,9.4875E0,-2.25E1)); +#12423=VERTEX_POINT('',#12421); +#12424=VERTEX_POINT('',#12422); +#12425=CARTESIAN_POINT('',(-3.484728005855E1,9.268782107902E0,-2.2E1)); +#12426=CARTESIAN_POINT('',(-3.484726174698E1,1.233125552929E1,-2.2E1)); +#12427=VERTEX_POINT('',#12425); +#12428=VERTEX_POINT('',#12426); +#12429=CARTESIAN_POINT('',(3.439765523186E1,9.4875E0,-2.25E1)); +#12430=CARTESIAN_POINT('',(3.439765523186E1,1.21125E1,-2.25E1)); +#12431=VERTEX_POINT('',#12429); +#12432=VERTEX_POINT('',#12430); +#12433=CARTESIAN_POINT('',(3.484728005855E1,1.233121789210E1,-2.2E1)); +#12434=CARTESIAN_POINT('',(3.484726174698E1,9.268744470707E0,-2.2E1)); +#12435=VERTEX_POINT('',#12433); +#12436=VERTEX_POINT('',#12434); +#12437=CARTESIAN_POINT('',(3.589765523186E1,-5.9875E0,-2.25E1)); +#12438=VERTEX_POINT('',#12437); +#12439=CARTESIAN_POINT('',(3.634716599E1,-5.768547672502E0,-2.2E1)); +#12440=VERTEX_POINT('',#12439); +#12441=CARTESIAN_POINT('',(-3.025E1,-5.568671030682E0,-3.31E1)); +#12442=VERTEX_POINT('',#12441); +#12443=CARTESIAN_POINT('',(-3.075E1,-7.218671030682E0,-3.26E1)); +#12444=VERTEX_POINT('',#12443); +#12445=CARTESIAN_POINT('',(-3.025E1,-7.218671030682E0,-3.31E1)); +#12446=VERTEX_POINT('',#12445); +#12447=CARTESIAN_POINT('',(-3.679687364248E1,-8.05E0,-2.8E1)); +#12448=VERTEX_POINT('',#12447); +#12449=CARTESIAN_POINT('',(3.679687364248E1,-8.05E0,-2.8E1)); +#12450=VERTEX_POINT('',#12449); +#12451=CARTESIAN_POINT('',(3.679687364248E1,-6.55E0,-2.8E1)); +#12452=VERTEX_POINT('',#12451); +#12453=CARTESIAN_POINT('',(3.529687364248E1,1.005E1,-2.8E1)); +#12454=VERTEX_POINT('',#12453); +#12455=CARTESIAN_POINT('',(3.529687364248E1,1.155E1,-2.8E1)); +#12456=VERTEX_POINT('',#12455); +#12457=CARTESIAN_POINT('',(-3.529687364248E1,1.155E1,-2.8E1)); +#12458=VERTEX_POINT('',#12457); +#12459=CARTESIAN_POINT('',(-3.529687364248E1,1.005E1,-2.8E1)); +#12460=VERTEX_POINT('',#12459); +#12461=CARTESIAN_POINT('',(-3.679687364248E1,-6.55E0,-2.8E1)); +#12462=VERTEX_POINT('',#12461); +#12463=CARTESIAN_POINT('',(2.32E1,7.E0,-2.5E1)); +#12464=CARTESIAN_POINT('',(2.32E1,7.E0,-2.2E1)); +#12465=VERTEX_POINT('',#12463); +#12466=VERTEX_POINT('',#12464); +#12467=VERTEX_POINT('',#7220); +#12468=CARTESIAN_POINT('',(2.47E1,5.5E0,-2.2E1)); +#12469=VERTEX_POINT('',#12468); +#12470=VERTEX_POINT('',#7222); +#12471=VERTEX_POINT('',#7237); +#12472=CARTESIAN_POINT('',(-2.32E1,7.E0,-2.2E1)); +#12473=VERTEX_POINT('',#12472); +#12474=CARTESIAN_POINT('',(-2.47E1,5.5E0,-2.2E1)); +#12475=VERTEX_POINT('',#12474); +#12476=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#12477=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12478=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12479=AXIS2_PLACEMENT_3D('',#12476,#12477,#12478); +#12480=PLANE('',#12479); +#12482=ORIENTED_EDGE('',*,*,#12481,.F.); +#12484=ORIENTED_EDGE('',*,*,#12483,.F.); +#12486=ORIENTED_EDGE('',*,*,#12485,.F.); +#12488=ORIENTED_EDGE('',*,*,#12487,.F.); +#12490=ORIENTED_EDGE('',*,*,#12489,.F.); +#12492=ORIENTED_EDGE('',*,*,#12491,.F.); +#12494=ORIENTED_EDGE('',*,*,#12493,.F.); +#12496=ORIENTED_EDGE('',*,*,#12495,.F.); +#12498=ORIENTED_EDGE('',*,*,#12497,.F.); +#12500=ORIENTED_EDGE('',*,*,#12499,.F.); +#12502=ORIENTED_EDGE('',*,*,#12501,.F.); +#12504=ORIENTED_EDGE('',*,*,#12503,.T.); +#12506=ORIENTED_EDGE('',*,*,#12505,.F.); +#12508=ORIENTED_EDGE('',*,*,#12507,.F.); +#12510=ORIENTED_EDGE('',*,*,#12509,.F.); +#12512=ORIENTED_EDGE('',*,*,#12511,.T.); +#12513=EDGE_LOOP('',(#12482,#12484,#12486,#12488,#12490,#12492,#12494,#12496, +#12498,#12500,#12502,#12504,#12506,#12508,#12510,#12512)); +#12514=FACE_OUTER_BOUND('',#12513,.F.); +#12516=ORIENTED_EDGE('',*,*,#12515,.T.); +#12518=ORIENTED_EDGE('',*,*,#12517,.T.); +#12520=ORIENTED_EDGE('',*,*,#12519,.T.); +#12522=ORIENTED_EDGE('',*,*,#12521,.T.); +#12524=ORIENTED_EDGE('',*,*,#12523,.T.); +#12526=ORIENTED_EDGE('',*,*,#12525,.T.); +#12528=ORIENTED_EDGE('',*,*,#12527,.T.); +#12530=ORIENTED_EDGE('',*,*,#12529,.T.); +#12531=EDGE_LOOP('',(#12516,#12518,#12520,#12522,#12524,#12526,#12528,#12530)); +#12532=FACE_BOUND('',#12531,.F.); +#12534=CARTESIAN_POINT('',(2.35E1,5.6E0,-1.E0)); +#12535=DIRECTION('',(0.E0,0.E0,1.E0)); +#12536=DIRECTION('',(1.E0,0.E0,0.E0)); +#12537=AXIS2_PLACEMENT_3D('',#12534,#12535,#12536); +#12538=CONICAL_SURFACE('',#12537,4.967949192431E0,1.5E1); +#12539=ORIENTED_EDGE('',*,*,#12515,.F.); +#12541=ORIENTED_EDGE('',*,*,#12540,.T.); +#12543=ORIENTED_EDGE('',*,*,#12542,.T.); +#12545=ORIENTED_EDGE('',*,*,#12544,.F.); +#12546=EDGE_LOOP('',(#12539,#12541,#12543,#12545)); +#12547=FACE_OUTER_BOUND('',#12546,.F.); +#12549=CARTESIAN_POINT('',(2.873589838486E1,-5.6E0,0.E0)); +#12550=DIRECTION('',(-9.659258262891E-1,0.E0,2.588190451025E-1)); +#12551=DIRECTION('',(0.E0,1.E0,0.E0)); +#12552=AXIS2_PLACEMENT_3D('',#12549,#12550,#12551); +#12553=PLANE('',#12552); +#12554=ORIENTED_EDGE('',*,*,#12529,.F.); +#12556=ORIENTED_EDGE('',*,*,#12555,.T.); +#12558=ORIENTED_EDGE('',*,*,#12557,.T.); +#12559=ORIENTED_EDGE('',*,*,#12540,.F.); +#12560=EDGE_LOOP('',(#12554,#12556,#12558,#12559)); +#12561=FACE_OUTER_BOUND('',#12560,.F.); +#12563=CARTESIAN_POINT('',(2.35E1,-5.6E0,-1.E0)); +#12564=DIRECTION('',(0.E0,0.E0,1.E0)); +#12565=DIRECTION('',(1.E0,0.E0,0.E0)); +#12566=AXIS2_PLACEMENT_3D('',#12563,#12564,#12565); +#12567=CONICAL_SURFACE('',#12566,4.967949192431E0,1.5E1); +#12568=ORIENTED_EDGE('',*,*,#12527,.F.); +#12570=ORIENTED_EDGE('',*,*,#12569,.T.); +#12572=ORIENTED_EDGE('',*,*,#12571,.T.); +#12573=ORIENTED_EDGE('',*,*,#12555,.F.); +#12574=EDGE_LOOP('',(#12568,#12570,#12572,#12573)); +#12575=FACE_OUTER_BOUND('',#12574,.F.); +#12577=CARTESIAN_POINT('',(-2.35E1,-1.083589838486E1,0.E0)); +#12578=DIRECTION('',(0.E0,9.659258262891E-1,2.588190451025E-1)); +#12579=DIRECTION('',(1.E0,0.E0,0.E0)); +#12580=AXIS2_PLACEMENT_3D('',#12577,#12578,#12579); +#12581=PLANE('',#12580); +#12582=ORIENTED_EDGE('',*,*,#12525,.F.); +#12584=ORIENTED_EDGE('',*,*,#12583,.T.); +#12586=ORIENTED_EDGE('',*,*,#12585,.T.); +#12587=ORIENTED_EDGE('',*,*,#12569,.F.); +#12588=EDGE_LOOP('',(#12582,#12584,#12586,#12587)); +#12589=FACE_OUTER_BOUND('',#12588,.F.); +#12591=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-1.E0)); +#12592=DIRECTION('',(0.E0,0.E0,1.E0)); +#12593=DIRECTION('',(1.E0,0.E0,0.E0)); +#12594=AXIS2_PLACEMENT_3D('',#12591,#12592,#12593); +#12595=CONICAL_SURFACE('',#12594,4.967949192431E0,1.5E1); +#12596=ORIENTED_EDGE('',*,*,#12523,.F.); +#12598=ORIENTED_EDGE('',*,*,#12597,.T.); +#12600=ORIENTED_EDGE('',*,*,#12599,.T.); +#12601=ORIENTED_EDGE('',*,*,#12583,.F.); +#12602=EDGE_LOOP('',(#12596,#12598,#12600,#12601)); +#12603=FACE_OUTER_BOUND('',#12602,.F.); +#12605=CARTESIAN_POINT('',(-2.873589838486E1,5.6E0,0.E0)); +#12606=DIRECTION('',(9.659258262891E-1,0.E0,2.588190451025E-1)); +#12607=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12608=AXIS2_PLACEMENT_3D('',#12605,#12606,#12607); +#12609=PLANE('',#12608); +#12610=ORIENTED_EDGE('',*,*,#12521,.F.); +#12612=ORIENTED_EDGE('',*,*,#12611,.T.); +#12614=ORIENTED_EDGE('',*,*,#12613,.T.); +#12615=ORIENTED_EDGE('',*,*,#12597,.F.); +#12616=EDGE_LOOP('',(#12610,#12612,#12614,#12615)); +#12617=FACE_OUTER_BOUND('',#12616,.F.); +#12619=CARTESIAN_POINT('',(-2.35E1,5.6E0,-1.E0)); +#12620=DIRECTION('',(0.E0,0.E0,1.E0)); +#12621=DIRECTION('',(1.E0,0.E0,0.E0)); +#12622=AXIS2_PLACEMENT_3D('',#12619,#12620,#12621); +#12623=CONICAL_SURFACE('',#12622,4.967949192431E0,1.5E1); +#12624=ORIENTED_EDGE('',*,*,#12519,.F.); +#12626=ORIENTED_EDGE('',*,*,#12625,.T.); +#12628=ORIENTED_EDGE('',*,*,#12627,.T.); +#12629=ORIENTED_EDGE('',*,*,#12611,.F.); +#12630=EDGE_LOOP('',(#12624,#12626,#12628,#12629)); +#12631=FACE_OUTER_BOUND('',#12630,.F.); +#12633=CARTESIAN_POINT('',(2.35E1,1.083589838486E1,0.E0)); +#12634=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); +#12635=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12636=AXIS2_PLACEMENT_3D('',#12633,#12634,#12635); +#12637=PLANE('',#12636); +#12638=ORIENTED_EDGE('',*,*,#12517,.F.); +#12639=ORIENTED_EDGE('',*,*,#12544,.T.); +#12641=ORIENTED_EDGE('',*,*,#12640,.T.); +#12642=ORIENTED_EDGE('',*,*,#12625,.F.); +#12643=EDGE_LOOP('',(#12638,#12639,#12641,#12642)); +#12644=FACE_OUTER_BOUND('',#12643,.F.); +#12646=CARTESIAN_POINT('',(2.35E1,1.03E1,0.E0)); +#12647=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12648=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12649=AXIS2_PLACEMENT_3D('',#12646,#12647,#12648); +#12650=PLANE('',#12649); +#12652=ORIENTED_EDGE('',*,*,#12651,.F.); +#12654=ORIENTED_EDGE('',*,*,#12653,.F.); +#12656=ORIENTED_EDGE('',*,*,#12655,.T.); +#12658=ORIENTED_EDGE('',*,*,#12657,.T.); +#12660=ORIENTED_EDGE('',*,*,#12659,.T.); +#12662=ORIENTED_EDGE('',*,*,#12661,.F.); +#12664=ORIENTED_EDGE('',*,*,#12663,.T.); +#12666=ORIENTED_EDGE('',*,*,#12665,.T.); +#12668=ORIENTED_EDGE('',*,*,#12667,.T.); +#12670=ORIENTED_EDGE('',*,*,#12669,.F.); +#12672=ORIENTED_EDGE('',*,*,#12671,.T.); +#12674=ORIENTED_EDGE('',*,*,#12673,.T.); +#12676=ORIENTED_EDGE('',*,*,#12675,.T.); +#12678=ORIENTED_EDGE('',*,*,#12677,.F.); +#12680=ORIENTED_EDGE('',*,*,#12679,.T.); +#12682=ORIENTED_EDGE('',*,*,#12681,.T.); +#12684=ORIENTED_EDGE('',*,*,#12683,.T.); +#12686=ORIENTED_EDGE('',*,*,#12685,.F.); +#12688=ORIENTED_EDGE('',*,*,#12687,.T.); +#12690=ORIENTED_EDGE('',*,*,#12689,.T.); +#12692=ORIENTED_EDGE('',*,*,#12691,.T.); +#12694=ORIENTED_EDGE('',*,*,#12693,.F.); +#12696=ORIENTED_EDGE('',*,*,#12695,.T.); +#12698=ORIENTED_EDGE('',*,*,#12697,.F.); +#12699=ORIENTED_EDGE('',*,*,#12640,.F.); +#12701=ORIENTED_EDGE('',*,*,#12700,.T.); +#12703=ORIENTED_EDGE('',*,*,#12702,.T.); +#12705=ORIENTED_EDGE('',*,*,#12704,.T.); +#12707=ORIENTED_EDGE('',*,*,#12706,.T.); +#12709=ORIENTED_EDGE('',*,*,#12708,.F.); +#12711=ORIENTED_EDGE('',*,*,#12710,.T.); +#12713=ORIENTED_EDGE('',*,*,#12712,.T.); +#12715=ORIENTED_EDGE('',*,*,#12714,.T.); +#12717=ORIENTED_EDGE('',*,*,#12716,.F.); +#12719=ORIENTED_EDGE('',*,*,#12718,.T.); +#12721=ORIENTED_EDGE('',*,*,#12720,.T.); +#12723=ORIENTED_EDGE('',*,*,#12722,.T.); +#12725=ORIENTED_EDGE('',*,*,#12724,.F.); +#12727=ORIENTED_EDGE('',*,*,#12726,.T.); +#12729=ORIENTED_EDGE('',*,*,#12728,.T.); +#12731=ORIENTED_EDGE('',*,*,#12730,.T.); +#12733=ORIENTED_EDGE('',*,*,#12732,.F.); +#12735=ORIENTED_EDGE('',*,*,#12734,.T.); +#12737=ORIENTED_EDGE('',*,*,#12736,.T.); +#12739=ORIENTED_EDGE('',*,*,#12738,.T.); +#12741=ORIENTED_EDGE('',*,*,#12740,.F.); +#12743=ORIENTED_EDGE('',*,*,#12742,.T.); +#12745=ORIENTED_EDGE('',*,*,#12744,.T.); +#12747=ORIENTED_EDGE('',*,*,#12746,.T.); +#12749=ORIENTED_EDGE('',*,*,#12748,.F.); +#12751=ORIENTED_EDGE('',*,*,#12750,.T.); +#12753=ORIENTED_EDGE('',*,*,#12752,.T.); +#12754=EDGE_LOOP('',(#12652,#12654,#12656,#12658,#12660,#12662,#12664,#12666, +#12668,#12670,#12672,#12674,#12676,#12678,#12680,#12682,#12684,#12686,#12688, +#12690,#12692,#12694,#12696,#12698,#12699,#12701,#12703,#12705,#12707,#12709, +#12711,#12713,#12715,#12717,#12719,#12721,#12723,#12725,#12727,#12729,#12731, +#12733,#12735,#12737,#12739,#12741,#12743,#12745,#12747,#12749,#12751,#12753)); +#12755=FACE_OUTER_BOUND('',#12754,.F.); +#12757=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12758=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12759=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12760=AXIS2_PLACEMENT_3D('',#12757,#12758,#12759); +#12761=PLANE('',#12760); +#12762=ORIENTED_EDGE('',*,*,#12706,.F.); +#12764=ORIENTED_EDGE('',*,*,#12763,.T.); +#12766=ORIENTED_EDGE('',*,*,#12765,.T.); +#12768=ORIENTED_EDGE('',*,*,#12767,.T.); +#12769=EDGE_LOOP('',(#12762,#12764,#12766,#12768)); +#12770=FACE_OUTER_BOUND('',#12769,.F.); +#12772=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12773=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12774=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12775=AXIS2_PLACEMENT_3D('',#12772,#12773,#12774); +#12776=PLANE('',#12775); +#12777=ORIENTED_EDGE('',*,*,#12714,.F.); +#12779=ORIENTED_EDGE('',*,*,#12778,.T.); +#12781=ORIENTED_EDGE('',*,*,#12780,.T.); +#12783=ORIENTED_EDGE('',*,*,#12782,.T.); +#12784=EDGE_LOOP('',(#12777,#12779,#12781,#12783)); +#12785=FACE_OUTER_BOUND('',#12784,.F.); +#12787=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12788=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12789=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12790=AXIS2_PLACEMENT_3D('',#12787,#12788,#12789); +#12791=PLANE('',#12790); +#12792=ORIENTED_EDGE('',*,*,#12722,.F.); +#12794=ORIENTED_EDGE('',*,*,#12793,.T.); +#12796=ORIENTED_EDGE('',*,*,#12795,.T.); +#12798=ORIENTED_EDGE('',*,*,#12797,.T.); +#12799=EDGE_LOOP('',(#12792,#12794,#12796,#12798)); +#12800=FACE_OUTER_BOUND('',#12799,.F.); +#12802=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12803=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12804=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12805=AXIS2_PLACEMENT_3D('',#12802,#12803,#12804); +#12806=PLANE('',#12805); +#12807=ORIENTED_EDGE('',*,*,#12730,.F.); +#12809=ORIENTED_EDGE('',*,*,#12808,.T.); +#12811=ORIENTED_EDGE('',*,*,#12810,.T.); +#12813=ORIENTED_EDGE('',*,*,#12812,.T.); +#12814=EDGE_LOOP('',(#12807,#12809,#12811,#12813)); +#12815=FACE_OUTER_BOUND('',#12814,.F.); +#12817=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12818=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12819=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12820=AXIS2_PLACEMENT_3D('',#12817,#12818,#12819); +#12821=PLANE('',#12820); +#12822=ORIENTED_EDGE('',*,*,#12738,.F.); +#12824=ORIENTED_EDGE('',*,*,#12823,.T.); +#12826=ORIENTED_EDGE('',*,*,#12825,.T.); +#12828=ORIENTED_EDGE('',*,*,#12827,.T.); +#12829=EDGE_LOOP('',(#12822,#12824,#12826,#12828)); +#12830=FACE_OUTER_BOUND('',#12829,.F.); +#12832=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12833=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12834=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12835=AXIS2_PLACEMENT_3D('',#12832,#12833,#12834); +#12836=PLANE('',#12835); +#12837=ORIENTED_EDGE('',*,*,#12746,.F.); +#12839=ORIENTED_EDGE('',*,*,#12838,.T.); +#12841=ORIENTED_EDGE('',*,*,#12840,.T.); +#12843=ORIENTED_EDGE('',*,*,#12842,.T.); +#12844=EDGE_LOOP('',(#12837,#12839,#12841,#12843)); +#12845=FACE_OUTER_BOUND('',#12844,.F.); +#12847=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12848=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12849=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12850=AXIS2_PLACEMENT_3D('',#12847,#12848,#12849); +#12851=PLANE('',#12850); +#12852=ORIENTED_EDGE('',*,*,#12659,.F.); +#12854=ORIENTED_EDGE('',*,*,#12853,.T.); +#12856=ORIENTED_EDGE('',*,*,#12855,.T.); +#12858=ORIENTED_EDGE('',*,*,#12857,.T.); +#12859=EDGE_LOOP('',(#12852,#12854,#12856,#12858)); +#12860=FACE_OUTER_BOUND('',#12859,.F.); +#12862=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12863=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12864=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12865=AXIS2_PLACEMENT_3D('',#12862,#12863,#12864); +#12866=PLANE('',#12865); +#12867=ORIENTED_EDGE('',*,*,#12667,.F.); +#12869=ORIENTED_EDGE('',*,*,#12868,.T.); +#12871=ORIENTED_EDGE('',*,*,#12870,.T.); +#12873=ORIENTED_EDGE('',*,*,#12872,.T.); +#12874=EDGE_LOOP('',(#12867,#12869,#12871,#12873)); +#12875=FACE_OUTER_BOUND('',#12874,.F.); +#12877=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12878=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12879=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12880=AXIS2_PLACEMENT_3D('',#12877,#12878,#12879); +#12881=PLANE('',#12880); +#12882=ORIENTED_EDGE('',*,*,#12675,.F.); +#12884=ORIENTED_EDGE('',*,*,#12883,.T.); +#12886=ORIENTED_EDGE('',*,*,#12885,.T.); +#12888=ORIENTED_EDGE('',*,*,#12887,.T.); +#12889=EDGE_LOOP('',(#12882,#12884,#12886,#12888)); +#12890=FACE_OUTER_BOUND('',#12889,.F.); +#12892=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12893=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12894=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12895=AXIS2_PLACEMENT_3D('',#12892,#12893,#12894); +#12896=PLANE('',#12895); +#12897=ORIENTED_EDGE('',*,*,#12683,.F.); +#12899=ORIENTED_EDGE('',*,*,#12898,.T.); +#12901=ORIENTED_EDGE('',*,*,#12900,.T.); +#12903=ORIENTED_EDGE('',*,*,#12902,.T.); +#12904=EDGE_LOOP('',(#12897,#12899,#12901,#12903)); +#12905=FACE_OUTER_BOUND('',#12904,.F.); +#12907=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12908=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12909=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12910=AXIS2_PLACEMENT_3D('',#12907,#12908,#12909); +#12911=PLANE('',#12910); +#12912=ORIENTED_EDGE('',*,*,#12691,.F.); +#12914=ORIENTED_EDGE('',*,*,#12913,.T.); +#12916=ORIENTED_EDGE('',*,*,#12915,.T.); +#12918=ORIENTED_EDGE('',*,*,#12917,.T.); +#12919=EDGE_LOOP('',(#12912,#12914,#12916,#12918)); +#12920=FACE_OUTER_BOUND('',#12919,.F.); +#12922=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12923=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12924=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12925=AXIS2_PLACEMENT_3D('',#12922,#12923,#12924); +#12926=PLANE('',#12925); +#12928=ORIENTED_EDGE('',*,*,#12927,.F.); +#12930=ORIENTED_EDGE('',*,*,#12929,.T.); +#12932=ORIENTED_EDGE('',*,*,#12931,.T.); +#12934=ORIENTED_EDGE('',*,*,#12933,.T.); +#12935=EDGE_LOOP('',(#12928,#12930,#12932,#12934)); +#12936=FACE_OUTER_BOUND('',#12935,.F.); +#12938=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12939=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12940=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12941=AXIS2_PLACEMENT_3D('',#12938,#12939,#12940); +#12942=PLANE('',#12941); +#12944=ORIENTED_EDGE('',*,*,#12943,.F.); +#12946=ORIENTED_EDGE('',*,*,#12945,.T.); +#12948=ORIENTED_EDGE('',*,*,#12947,.T.); +#12950=ORIENTED_EDGE('',*,*,#12949,.T.); +#12951=EDGE_LOOP('',(#12944,#12946,#12948,#12950)); +#12952=FACE_OUTER_BOUND('',#12951,.F.); +#12954=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12955=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12956=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12957=AXIS2_PLACEMENT_3D('',#12954,#12955,#12956); +#12958=PLANE('',#12957); +#12960=ORIENTED_EDGE('',*,*,#12959,.F.); +#12962=ORIENTED_EDGE('',*,*,#12961,.T.); +#12964=ORIENTED_EDGE('',*,*,#12963,.T.); +#12966=ORIENTED_EDGE('',*,*,#12965,.T.); +#12967=EDGE_LOOP('',(#12960,#12962,#12964,#12966)); +#12968=FACE_OUTER_BOUND('',#12967,.F.); +#12970=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12971=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12972=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12973=AXIS2_PLACEMENT_3D('',#12970,#12971,#12972); +#12974=PLANE('',#12973); +#12976=ORIENTED_EDGE('',*,*,#12975,.F.); +#12978=ORIENTED_EDGE('',*,*,#12977,.T.); +#12980=ORIENTED_EDGE('',*,*,#12979,.T.); +#12982=ORIENTED_EDGE('',*,*,#12981,.T.); +#12983=EDGE_LOOP('',(#12976,#12978,#12980,#12982)); +#12984=FACE_OUTER_BOUND('',#12983,.F.); +#12986=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12987=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12988=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12989=AXIS2_PLACEMENT_3D('',#12986,#12987,#12988); +#12990=PLANE('',#12989); +#12992=ORIENTED_EDGE('',*,*,#12991,.F.); +#12994=ORIENTED_EDGE('',*,*,#12993,.T.); +#12996=ORIENTED_EDGE('',*,*,#12995,.T.); +#12998=ORIENTED_EDGE('',*,*,#12997,.T.); +#12999=EDGE_LOOP('',(#12992,#12994,#12996,#12998)); +#13000=FACE_OUTER_BOUND('',#12999,.F.); +#13002=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13003=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13004=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13005=AXIS2_PLACEMENT_3D('',#13002,#13003,#13004); +#13006=PLANE('',#13005); +#13008=ORIENTED_EDGE('',*,*,#13007,.F.); +#13010=ORIENTED_EDGE('',*,*,#13009,.T.); +#13012=ORIENTED_EDGE('',*,*,#13011,.T.); +#13014=ORIENTED_EDGE('',*,*,#13013,.T.); +#13015=EDGE_LOOP('',(#13008,#13010,#13012,#13014)); +#13016=FACE_OUTER_BOUND('',#13015,.F.); +#13018=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13019=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13020=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13021=AXIS2_PLACEMENT_3D('',#13018,#13019,#13020); +#13022=PLANE('',#13021); +#13024=ORIENTED_EDGE('',*,*,#13023,.F.); +#13026=ORIENTED_EDGE('',*,*,#13025,.T.); +#13028=ORIENTED_EDGE('',*,*,#13027,.T.); +#13030=ORIENTED_EDGE('',*,*,#13029,.T.); +#13031=EDGE_LOOP('',(#13024,#13026,#13028,#13030)); +#13032=FACE_OUTER_BOUND('',#13031,.F.); +#13034=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13035=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13036=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13037=AXIS2_PLACEMENT_3D('',#13034,#13035,#13036); +#13038=PLANE('',#13037); +#13040=ORIENTED_EDGE('',*,*,#13039,.F.); +#13042=ORIENTED_EDGE('',*,*,#13041,.T.); +#13044=ORIENTED_EDGE('',*,*,#13043,.T.); +#13046=ORIENTED_EDGE('',*,*,#13045,.T.); +#13047=EDGE_LOOP('',(#13040,#13042,#13044,#13046)); +#13048=FACE_OUTER_BOUND('',#13047,.F.); +#13050=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13051=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13052=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13053=AXIS2_PLACEMENT_3D('',#13050,#13051,#13052); +#13054=PLANE('',#13053); +#13056=ORIENTED_EDGE('',*,*,#13055,.F.); +#13058=ORIENTED_EDGE('',*,*,#13057,.T.); +#13060=ORIENTED_EDGE('',*,*,#13059,.T.); +#13062=ORIENTED_EDGE('',*,*,#13061,.T.); +#13063=EDGE_LOOP('',(#13056,#13058,#13060,#13062)); +#13064=FACE_OUTER_BOUND('',#13063,.F.); +#13066=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13067=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13068=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13069=AXIS2_PLACEMENT_3D('',#13066,#13067,#13068); +#13070=PLANE('',#13069); +#13072=ORIENTED_EDGE('',*,*,#13071,.F.); +#13074=ORIENTED_EDGE('',*,*,#13073,.T.); +#13076=ORIENTED_EDGE('',*,*,#13075,.T.); +#13078=ORIENTED_EDGE('',*,*,#13077,.T.); +#13079=EDGE_LOOP('',(#13072,#13074,#13076,#13078)); +#13080=FACE_OUTER_BOUND('',#13079,.F.); +#13082=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13083=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13084=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13085=AXIS2_PLACEMENT_3D('',#13082,#13083,#13084); +#13086=PLANE('',#13085); +#13088=ORIENTED_EDGE('',*,*,#13087,.F.); +#13090=ORIENTED_EDGE('',*,*,#13089,.T.); +#13092=ORIENTED_EDGE('',*,*,#13091,.T.); +#13094=ORIENTED_EDGE('',*,*,#13093,.T.); +#13095=EDGE_LOOP('',(#13088,#13090,#13092,#13094)); +#13096=FACE_OUTER_BOUND('',#13095,.F.); +#13098=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13099=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13100=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13101=AXIS2_PLACEMENT_3D('',#13098,#13099,#13100); +#13102=PLANE('',#13101); +#13104=ORIENTED_EDGE('',*,*,#13103,.F.); +#13106=ORIENTED_EDGE('',*,*,#13105,.T.); +#13108=ORIENTED_EDGE('',*,*,#13107,.T.); +#13110=ORIENTED_EDGE('',*,*,#13109,.T.); +#13111=EDGE_LOOP('',(#13104,#13106,#13108,#13110)); +#13112=FACE_OUTER_BOUND('',#13111,.F.); +#13114=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13115=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13116=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13117=AXIS2_PLACEMENT_3D('',#13114,#13115,#13116); +#13118=PLANE('',#13117); +#13120=ORIENTED_EDGE('',*,*,#13119,.F.); +#13122=ORIENTED_EDGE('',*,*,#13121,.T.); +#13124=ORIENTED_EDGE('',*,*,#13123,.T.); +#13126=ORIENTED_EDGE('',*,*,#13125,.T.); +#13127=EDGE_LOOP('',(#13120,#13122,#13124,#13126)); +#13128=FACE_OUTER_BOUND('',#13127,.F.); +#13130=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13131=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13132=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13133=AXIS2_PLACEMENT_3D('',#13130,#13131,#13132); +#13134=PLANE('',#13133); +#13136=ORIENTED_EDGE('',*,*,#13135,.F.); +#13138=ORIENTED_EDGE('',*,*,#13137,.T.); +#13140=ORIENTED_EDGE('',*,*,#13139,.T.); +#13142=ORIENTED_EDGE('',*,*,#13141,.T.); +#13143=EDGE_LOOP('',(#13136,#13138,#13140,#13142)); +#13144=FACE_OUTER_BOUND('',#13143,.F.); +#13146=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13147=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13148=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13149=AXIS2_PLACEMENT_3D('',#13146,#13147,#13148); +#13150=PLANE('',#13149); +#13152=ORIENTED_EDGE('',*,*,#13151,.F.); +#13154=ORIENTED_EDGE('',*,*,#13153,.T.); +#13156=ORIENTED_EDGE('',*,*,#13155,.T.); +#13158=ORIENTED_EDGE('',*,*,#13157,.T.); +#13159=EDGE_LOOP('',(#13152,#13154,#13156,#13158)); +#13160=FACE_OUTER_BOUND('',#13159,.F.); +#13162=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13163=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13164=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13165=AXIS2_PLACEMENT_3D('',#13162,#13163,#13164); +#13166=PLANE('',#13165); +#13167=ORIENTED_EDGE('',*,*,#12651,.T.); +#13169=ORIENTED_EDGE('',*,*,#13168,.T.); +#13171=ORIENTED_EDGE('',*,*,#13170,.T.); +#13173=ORIENTED_EDGE('',*,*,#13172,.T.); +#13174=EDGE_LOOP('',(#13167,#13169,#13171,#13173)); +#13175=FACE_OUTER_BOUND('',#13174,.F.); +#13177=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13178=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13179=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13180=AXIS2_PLACEMENT_3D('',#13177,#13178,#13179); +#13181=PLANE('',#13180); +#13183=ORIENTED_EDGE('',*,*,#13182,.T.); +#13185=ORIENTED_EDGE('',*,*,#13184,.T.); +#13187=ORIENTED_EDGE('',*,*,#13186,.T.); +#13189=ORIENTED_EDGE('',*,*,#13188,.T.); +#13190=EDGE_LOOP('',(#13183,#13185,#13187,#13189)); +#13191=FACE_OUTER_BOUND('',#13190,.F.); +#13193=CARTESIAN_POINT('',(1.975E1,1.03E1,-1.E1)); +#13194=DIRECTION('',(1.E0,0.E0,0.E0)); +#13195=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13196=AXIS2_PLACEMENT_3D('',#13193,#13194,#13195); +#13197=PLANE('',#13196); +#13198=ORIENTED_EDGE('',*,*,#12704,.F.); +#13200=ORIENTED_EDGE('',*,*,#13199,.T.); +#13202=ORIENTED_EDGE('',*,*,#13201,.F.); +#13203=ORIENTED_EDGE('',*,*,#12763,.F.); +#13204=EDGE_LOOP('',(#13198,#13200,#13202,#13203)); +#13205=FACE_OUTER_BOUND('',#13204,.F.); +#13207=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#13208=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13209=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13210=AXIS2_PLACEMENT_3D('',#13207,#13208,#13209); +#13211=PLANE('',#13210); +#13213=ORIENTED_EDGE('',*,*,#13212,.F.); +#13215=ORIENTED_EDGE('',*,*,#13214,.F.); +#13216=EDGE_LOOP('',(#13213,#13215)); +#13217=FACE_OUTER_BOUND('',#13216,.F.); +#13219=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#13220=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13221=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13222=AXIS2_PLACEMENT_3D('',#13219,#13220,#13221); +#13223=PLANE('',#13222); +#13225=ORIENTED_EDGE('',*,*,#13224,.F.); +#13227=ORIENTED_EDGE('',*,*,#13226,.F.); +#13228=EDGE_LOOP('',(#13225,#13227)); +#13229=FACE_OUTER_BOUND('',#13228,.F.); +#13231=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#13232=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13233=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13234=AXIS2_PLACEMENT_3D('',#13231,#13232,#13233); +#13235=PLANE('',#13234); +#13237=ORIENTED_EDGE('',*,*,#13236,.F.); +#13239=ORIENTED_EDGE('',*,*,#13238,.F.); +#13240=EDGE_LOOP('',(#13237,#13239)); +#13241=FACE_OUTER_BOUND('',#13240,.F.); +#13243=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#13244=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13245=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13246=AXIS2_PLACEMENT_3D('',#13243,#13244,#13245); +#13247=PLANE('',#13246); +#13249=ORIENTED_EDGE('',*,*,#13248,.F.); +#13251=ORIENTED_EDGE('',*,*,#13250,.F.); +#13252=EDGE_LOOP('',(#13249,#13251)); +#13253=FACE_OUTER_BOUND('',#13252,.F.); +#13255=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#13256=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13257=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13258=AXIS2_PLACEMENT_3D('',#13255,#13256,#13257); +#13259=PLANE('',#13258); +#13261=ORIENTED_EDGE('',*,*,#13260,.T.); +#13263=ORIENTED_EDGE('',*,*,#13262,.T.); +#13265=ORIENTED_EDGE('',*,*,#13264,.T.); +#13266=EDGE_LOOP('',(#13261,#13263,#13265)); +#13267=FACE_OUTER_BOUND('',#13266,.F.); +#13269=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#13270=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13271=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13272=AXIS2_PLACEMENT_3D('',#13269,#13270,#13271); +#13273=PLANE('',#13272); +#13275=ORIENTED_EDGE('',*,*,#13274,.T.); +#13277=ORIENTED_EDGE('',*,*,#13276,.T.); +#13279=ORIENTED_EDGE('',*,*,#13278,.T.); +#13281=ORIENTED_EDGE('',*,*,#13280,.T.); +#13283=ORIENTED_EDGE('',*,*,#13282,.T.); +#13285=ORIENTED_EDGE('',*,*,#13284,.T.); +#13287=ORIENTED_EDGE('',*,*,#13286,.T.); +#13289=ORIENTED_EDGE('',*,*,#13288,.T.); +#13290=EDGE_LOOP('',(#13275,#13277,#13279,#13281,#13283,#13285,#13287,#13289)); +#13291=FACE_OUTER_BOUND('',#13290,.F.); +#13293=ORIENTED_EDGE('',*,*,#13292,.F.); +#13295=ORIENTED_EDGE('',*,*,#13294,.T.); +#13297=ORIENTED_EDGE('',*,*,#13296,.T.); +#13299=ORIENTED_EDGE('',*,*,#13298,.T.); +#13301=ORIENTED_EDGE('',*,*,#13300,.T.); +#13303=ORIENTED_EDGE('',*,*,#13302,.T.); +#13305=ORIENTED_EDGE('',*,*,#13304,.F.); +#13307=ORIENTED_EDGE('',*,*,#13306,.F.); +#13309=ORIENTED_EDGE('',*,*,#13308,.F.); +#13311=ORIENTED_EDGE('',*,*,#13310,.F.); +#13313=ORIENTED_EDGE('',*,*,#13312,.T.); +#13315=ORIENTED_EDGE('',*,*,#13314,.T.); +#13317=ORIENTED_EDGE('',*,*,#13316,.T.); +#13319=ORIENTED_EDGE('',*,*,#13318,.T.); +#13321=ORIENTED_EDGE('',*,*,#13320,.F.); +#13323=ORIENTED_EDGE('',*,*,#13322,.F.); +#13325=ORIENTED_EDGE('',*,*,#13324,.F.); +#13327=ORIENTED_EDGE('',*,*,#13326,.T.); +#13329=ORIENTED_EDGE('',*,*,#13328,.T.); +#13331=ORIENTED_EDGE('',*,*,#13330,.T.); +#13333=ORIENTED_EDGE('',*,*,#13332,.T.); +#13335=ORIENTED_EDGE('',*,*,#13334,.F.); +#13337=ORIENTED_EDGE('',*,*,#13336,.F.); +#13339=ORIENTED_EDGE('',*,*,#13338,.F.); +#13341=ORIENTED_EDGE('',*,*,#13340,.F.); +#13343=ORIENTED_EDGE('',*,*,#13342,.T.); +#13345=ORIENTED_EDGE('',*,*,#13344,.T.); +#13347=ORIENTED_EDGE('',*,*,#13346,.T.); +#13349=ORIENTED_EDGE('',*,*,#13348,.T.); +#13351=ORIENTED_EDGE('',*,*,#13350,.T.); +#13353=ORIENTED_EDGE('',*,*,#13352,.F.); +#13355=ORIENTED_EDGE('',*,*,#13354,.F.); +#13356=EDGE_LOOP('',(#13293,#13295,#13297,#13299,#13301,#13303,#13305,#13307, +#13309,#13311,#13313,#13315,#13317,#13319,#13321,#13323,#13325,#13327,#13329, +#13331,#13333,#13335,#13337,#13339,#13341,#13343,#13345,#13347,#13349,#13351, +#13353,#13355)); +#13357=FACE_BOUND('',#13356,.F.); +#13359=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#13360=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13361=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13362=AXIS2_PLACEMENT_3D('',#13359,#13360,#13361); +#13363=PLANE('',#13362); +#13365=ORIENTED_EDGE('',*,*,#13364,.F.); +#13366=ORIENTED_EDGE('',*,*,#12750,.F.); +#13368=ORIENTED_EDGE('',*,*,#13367,.F.); +#13370=ORIENTED_EDGE('',*,*,#13369,.F.); +#13372=ORIENTED_EDGE('',*,*,#13371,.F.); +#13373=ORIENTED_EDGE('',*,*,#12742,.F.); +#13375=ORIENTED_EDGE('',*,*,#13374,.F.); +#13377=ORIENTED_EDGE('',*,*,#13376,.F.); +#13379=ORIENTED_EDGE('',*,*,#13378,.F.); +#13380=ORIENTED_EDGE('',*,*,#12734,.F.); +#13382=ORIENTED_EDGE('',*,*,#13381,.F.); +#13384=ORIENTED_EDGE('',*,*,#13383,.F.); +#13386=ORIENTED_EDGE('',*,*,#13385,.F.); +#13387=ORIENTED_EDGE('',*,*,#12726,.F.); +#13389=ORIENTED_EDGE('',*,*,#13388,.F.); +#13391=ORIENTED_EDGE('',*,*,#13390,.F.); +#13393=ORIENTED_EDGE('',*,*,#13392,.F.); +#13394=ORIENTED_EDGE('',*,*,#12718,.F.); +#13396=ORIENTED_EDGE('',*,*,#13395,.F.); +#13398=ORIENTED_EDGE('',*,*,#13397,.F.); +#13400=ORIENTED_EDGE('',*,*,#13399,.F.); +#13401=ORIENTED_EDGE('',*,*,#12710,.F.); +#13403=ORIENTED_EDGE('',*,*,#13402,.F.); +#13405=ORIENTED_EDGE('',*,*,#13404,.F.); +#13406=ORIENTED_EDGE('',*,*,#13199,.F.); +#13407=ORIENTED_EDGE('',*,*,#12702,.F.); +#13409=ORIENTED_EDGE('',*,*,#13408,.T.); +#13411=ORIENTED_EDGE('',*,*,#13410,.F.); +#13413=ORIENTED_EDGE('',*,*,#13412,.F.); +#13415=ORIENTED_EDGE('',*,*,#13414,.F.); +#13417=ORIENTED_EDGE('',*,*,#13416,.F.); +#13419=ORIENTED_EDGE('',*,*,#13418,.F.); +#13421=ORIENTED_EDGE('',*,*,#13420,.F.); +#13423=ORIENTED_EDGE('',*,*,#13422,.F.); +#13425=ORIENTED_EDGE('',*,*,#13424,.F.); +#13427=ORIENTED_EDGE('',*,*,#13426,.F.); +#13429=ORIENTED_EDGE('',*,*,#13428,.T.); +#13431=ORIENTED_EDGE('',*,*,#13430,.F.); +#13433=ORIENTED_EDGE('',*,*,#13432,.F.); +#13435=ORIENTED_EDGE('',*,*,#13434,.F.); +#13437=ORIENTED_EDGE('',*,*,#13436,.F.); +#13439=ORIENTED_EDGE('',*,*,#13438,.F.); +#13441=ORIENTED_EDGE('',*,*,#13440,.F.); +#13443=ORIENTED_EDGE('',*,*,#13442,.F.); +#13445=ORIENTED_EDGE('',*,*,#13444,.F.); +#13447=ORIENTED_EDGE('',*,*,#13446,.F.); +#13449=ORIENTED_EDGE('',*,*,#13448,.F.); +#13451=ORIENTED_EDGE('',*,*,#13450,.F.); +#13453=ORIENTED_EDGE('',*,*,#13452,.F.); +#13455=ORIENTED_EDGE('',*,*,#13454,.F.); +#13457=ORIENTED_EDGE('',*,*,#13456,.F.); +#13459=ORIENTED_EDGE('',*,*,#13458,.F.); +#13461=ORIENTED_EDGE('',*,*,#13460,.F.); +#13463=ORIENTED_EDGE('',*,*,#13462,.F.); +#13465=ORIENTED_EDGE('',*,*,#13464,.F.); +#13467=ORIENTED_EDGE('',*,*,#13466,.F.); +#13469=ORIENTED_EDGE('',*,*,#13468,.F.); +#13471=ORIENTED_EDGE('',*,*,#13470,.F.); +#13473=ORIENTED_EDGE('',*,*,#13472,.F.); +#13475=ORIENTED_EDGE('',*,*,#13474,.F.); +#13477=ORIENTED_EDGE('',*,*,#13476,.F.); +#13479=ORIENTED_EDGE('',*,*,#13478,.F.); +#13481=ORIENTED_EDGE('',*,*,#13480,.F.); +#13483=ORIENTED_EDGE('',*,*,#13482,.F.); +#13485=ORIENTED_EDGE('',*,*,#13484,.F.); +#13487=ORIENTED_EDGE('',*,*,#13486,.F.); +#13489=ORIENTED_EDGE('',*,*,#13488,.F.); +#13491=ORIENTED_EDGE('',*,*,#13490,.F.); +#13493=ORIENTED_EDGE('',*,*,#13492,.F.); +#13495=ORIENTED_EDGE('',*,*,#13494,.F.); +#13497=ORIENTED_EDGE('',*,*,#13496,.F.); +#13499=ORIENTED_EDGE('',*,*,#13498,.F.); +#13501=ORIENTED_EDGE('',*,*,#13500,.F.); +#13503=ORIENTED_EDGE('',*,*,#13502,.F.); +#13505=ORIENTED_EDGE('',*,*,#13504,.F.); +#13507=ORIENTED_EDGE('',*,*,#13506,.F.); +#13509=ORIENTED_EDGE('',*,*,#13508,.F.); +#13511=ORIENTED_EDGE('',*,*,#13510,.F.); +#13513=ORIENTED_EDGE('',*,*,#13512,.F.); +#13515=ORIENTED_EDGE('',*,*,#13514,.F.); +#13517=ORIENTED_EDGE('',*,*,#13516,.F.); +#13519=ORIENTED_EDGE('',*,*,#13518,.F.); +#13521=ORIENTED_EDGE('',*,*,#13520,.F.); +#13523=ORIENTED_EDGE('',*,*,#13522,.F.); +#13525=ORIENTED_EDGE('',*,*,#13524,.F.); +#13527=ORIENTED_EDGE('',*,*,#13526,.F.); +#13529=ORIENTED_EDGE('',*,*,#13528,.T.); +#13531=ORIENTED_EDGE('',*,*,#13530,.F.); +#13533=ORIENTED_EDGE('',*,*,#13532,.F.); +#13535=ORIENTED_EDGE('',*,*,#13534,.F.); +#13537=ORIENTED_EDGE('',*,*,#13536,.F.); +#13539=ORIENTED_EDGE('',*,*,#13538,.F.); +#13541=ORIENTED_EDGE('',*,*,#13540,.F.); +#13543=ORIENTED_EDGE('',*,*,#13542,.F.); +#13545=ORIENTED_EDGE('',*,*,#13544,.F.); +#13547=ORIENTED_EDGE('',*,*,#13546,.F.); +#13549=ORIENTED_EDGE('',*,*,#13548,.T.); +#13550=ORIENTED_EDGE('',*,*,#12695,.F.); +#13552=ORIENTED_EDGE('',*,*,#13551,.F.); +#13554=ORIENTED_EDGE('',*,*,#13553,.F.); +#13556=ORIENTED_EDGE('',*,*,#13555,.F.); +#13557=ORIENTED_EDGE('',*,*,#12687,.F.); +#13559=ORIENTED_EDGE('',*,*,#13558,.F.); +#13561=ORIENTED_EDGE('',*,*,#13560,.F.); +#13563=ORIENTED_EDGE('',*,*,#13562,.F.); +#13564=ORIENTED_EDGE('',*,*,#12679,.F.); +#13566=ORIENTED_EDGE('',*,*,#13565,.F.); +#13568=ORIENTED_EDGE('',*,*,#13567,.F.); +#13570=ORIENTED_EDGE('',*,*,#13569,.F.); +#13571=ORIENTED_EDGE('',*,*,#12671,.F.); +#13573=ORIENTED_EDGE('',*,*,#13572,.F.); +#13575=ORIENTED_EDGE('',*,*,#13574,.F.); +#13577=ORIENTED_EDGE('',*,*,#13576,.F.); +#13578=ORIENTED_EDGE('',*,*,#12663,.F.); +#13580=ORIENTED_EDGE('',*,*,#13579,.F.); +#13582=ORIENTED_EDGE('',*,*,#13581,.F.); +#13584=ORIENTED_EDGE('',*,*,#13583,.F.); +#13585=ORIENTED_EDGE('',*,*,#12655,.F.); +#13587=ORIENTED_EDGE('',*,*,#13586,.F.); +#13589=ORIENTED_EDGE('',*,*,#13588,.F.); +#13590=EDGE_LOOP('',(#13365,#13366,#13368,#13370,#13372,#13373,#13375,#13377, +#13379,#13380,#13382,#13384,#13386,#13387,#13389,#13391,#13393,#13394,#13396, +#13398,#13400,#13401,#13403,#13405,#13406,#13407,#13409,#13411,#13413,#13415, +#13417,#13419,#13421,#13423,#13425,#13427,#13429,#13431,#13433,#13435,#13437, +#13439,#13441,#13443,#13445,#13447,#13449,#13451,#13453,#13455,#13457,#13459, +#13461,#13463,#13465,#13467,#13469,#13471,#13473,#13475,#13477,#13479,#13481, +#13483,#13485,#13487,#13489,#13491,#13493,#13495,#13497,#13499,#13501,#13503, +#13505,#13507,#13509,#13511,#13513,#13515,#13517,#13519,#13521,#13523,#13525, +#13527,#13529,#13531,#13533,#13535,#13537,#13539,#13541,#13543,#13545,#13547, +#13549,#13550,#13552,#13554,#13556,#13557,#13559,#13561,#13563,#13564,#13566, +#13568,#13570,#13571,#13573,#13575,#13577,#13578,#13580,#13582,#13584,#13585, +#13587,#13589)); +#13591=FACE_OUTER_BOUND('',#13590,.F.); +#13593=ORIENTED_EDGE('',*,*,#13592,.T.); +#13595=ORIENTED_EDGE('',*,*,#13594,.T.); +#13597=ORIENTED_EDGE('',*,*,#13596,.T.); +#13599=ORIENTED_EDGE('',*,*,#13598,.T.); +#13601=ORIENTED_EDGE('',*,*,#13600,.T.); +#13603=ORIENTED_EDGE('',*,*,#13602,.T.); +#13605=ORIENTED_EDGE('',*,*,#13604,.T.); +#13606=EDGE_LOOP('',(#13593,#13595,#13597,#13599,#13601,#13603,#13605)); +#13607=FACE_BOUND('',#13606,.F.); +#13609=ORIENTED_EDGE('',*,*,#13608,.T.); +#13611=ORIENTED_EDGE('',*,*,#13610,.T.); +#13613=ORIENTED_EDGE('',*,*,#13612,.T.); +#13615=ORIENTED_EDGE('',*,*,#13614,.T.); +#13617=ORIENTED_EDGE('',*,*,#13616,.T.); +#13619=ORIENTED_EDGE('',*,*,#13618,.T.); +#13621=ORIENTED_EDGE('',*,*,#13620,.T.); +#13623=ORIENTED_EDGE('',*,*,#13622,.T.); +#13625=ORIENTED_EDGE('',*,*,#13624,.T.); +#13627=ORIENTED_EDGE('',*,*,#13626,.T.); +#13629=ORIENTED_EDGE('',*,*,#13628,.T.); +#13631=ORIENTED_EDGE('',*,*,#13630,.T.); +#13633=ORIENTED_EDGE('',*,*,#13632,.T.); +#13635=ORIENTED_EDGE('',*,*,#13634,.T.); +#13637=ORIENTED_EDGE('',*,*,#13636,.T.); +#13639=ORIENTED_EDGE('',*,*,#13638,.T.); +#13641=ORIENTED_EDGE('',*,*,#13640,.T.); +#13643=ORIENTED_EDGE('',*,*,#13642,.T.); +#13645=ORIENTED_EDGE('',*,*,#13644,.T.); +#13647=ORIENTED_EDGE('',*,*,#13646,.T.); +#13649=ORIENTED_EDGE('',*,*,#13648,.T.); +#13651=ORIENTED_EDGE('',*,*,#13650,.T.); +#13653=ORIENTED_EDGE('',*,*,#13652,.T.); +#13655=ORIENTED_EDGE('',*,*,#13654,.T.); +#13657=ORIENTED_EDGE('',*,*,#13656,.T.); +#13659=ORIENTED_EDGE('',*,*,#13658,.T.); +#13661=ORIENTED_EDGE('',*,*,#13660,.T.); +#13663=ORIENTED_EDGE('',*,*,#13662,.T.); +#13664=EDGE_LOOP('',(#13609,#13611,#13613,#13615,#13617,#13619,#13621,#13623, +#13625,#13627,#13629,#13631,#13633,#13635,#13637,#13639,#13641,#13643,#13645, +#13647,#13649,#13651,#13653,#13655,#13657,#13659,#13661,#13663)); +#13665=FACE_BOUND('',#13664,.F.); +#13667=ORIENTED_EDGE('',*,*,#13666,.T.); +#13669=ORIENTED_EDGE('',*,*,#13668,.T.); +#13671=ORIENTED_EDGE('',*,*,#13670,.T.); +#13673=ORIENTED_EDGE('',*,*,#13672,.T.); +#13675=ORIENTED_EDGE('',*,*,#13674,.T.); +#13677=ORIENTED_EDGE('',*,*,#13676,.T.); +#13679=ORIENTED_EDGE('',*,*,#13678,.T.); +#13681=ORIENTED_EDGE('',*,*,#13680,.T.); +#13683=ORIENTED_EDGE('',*,*,#13682,.T.); +#13685=ORIENTED_EDGE('',*,*,#13684,.T.); +#13687=ORIENTED_EDGE('',*,*,#13686,.T.); +#13688=EDGE_LOOP('',(#13667,#13669,#13671,#13673,#13675,#13677,#13679,#13681, +#13683,#13685,#13687)); +#13689=FACE_BOUND('',#13688,.F.); +#13691=ORIENTED_EDGE('',*,*,#13690,.T.); +#13693=ORIENTED_EDGE('',*,*,#13692,.T.); +#13695=ORIENTED_EDGE('',*,*,#13694,.T.); +#13697=ORIENTED_EDGE('',*,*,#13696,.T.); +#13699=ORIENTED_EDGE('',*,*,#13698,.T.); +#13701=ORIENTED_EDGE('',*,*,#13700,.T.); +#13703=ORIENTED_EDGE('',*,*,#13702,.T.); +#13704=EDGE_LOOP('',(#13691,#13693,#13695,#13697,#13699,#13701,#13703)); +#13705=FACE_BOUND('',#13704,.F.); +#13707=ORIENTED_EDGE('',*,*,#13706,.T.); +#13709=ORIENTED_EDGE('',*,*,#13708,.T.); +#13711=ORIENTED_EDGE('',*,*,#13710,.T.); +#13713=ORIENTED_EDGE('',*,*,#13712,.T.); +#13715=ORIENTED_EDGE('',*,*,#13714,.T.); +#13717=ORIENTED_EDGE('',*,*,#13716,.T.); +#13719=ORIENTED_EDGE('',*,*,#13718,.T.); +#13721=ORIENTED_EDGE('',*,*,#13720,.T.); +#13723=ORIENTED_EDGE('',*,*,#13722,.T.); +#13725=ORIENTED_EDGE('',*,*,#13724,.T.); +#13727=ORIENTED_EDGE('',*,*,#13726,.T.); +#13729=ORIENTED_EDGE('',*,*,#13728,.T.); +#13731=ORIENTED_EDGE('',*,*,#13730,.T.); +#13733=ORIENTED_EDGE('',*,*,#13732,.T.); +#13735=ORIENTED_EDGE('',*,*,#13734,.T.); +#13737=ORIENTED_EDGE('',*,*,#13736,.T.); +#13739=ORIENTED_EDGE('',*,*,#13738,.T.); +#13741=ORIENTED_EDGE('',*,*,#13740,.T.); +#13743=ORIENTED_EDGE('',*,*,#13742,.T.); +#13745=ORIENTED_EDGE('',*,*,#13744,.T.); +#13747=ORIENTED_EDGE('',*,*,#13746,.T.); +#13749=ORIENTED_EDGE('',*,*,#13748,.T.); +#13751=ORIENTED_EDGE('',*,*,#13750,.T.); +#13753=ORIENTED_EDGE('',*,*,#13752,.T.); +#13755=ORIENTED_EDGE('',*,*,#13754,.T.); +#13757=ORIENTED_EDGE('',*,*,#13756,.T.); +#13759=ORIENTED_EDGE('',*,*,#13758,.T.); +#13761=ORIENTED_EDGE('',*,*,#13760,.T.); +#13763=ORIENTED_EDGE('',*,*,#13762,.T.); +#13765=ORIENTED_EDGE('',*,*,#13764,.T.); +#13767=ORIENTED_EDGE('',*,*,#13766,.T.); +#13769=ORIENTED_EDGE('',*,*,#13768,.T.); +#13771=ORIENTED_EDGE('',*,*,#13770,.T.); +#13773=ORIENTED_EDGE('',*,*,#13772,.T.); +#13775=ORIENTED_EDGE('',*,*,#13774,.T.); +#13777=ORIENTED_EDGE('',*,*,#13776,.T.); +#13779=ORIENTED_EDGE('',*,*,#13778,.T.); +#13781=ORIENTED_EDGE('',*,*,#13780,.T.); +#13783=ORIENTED_EDGE('',*,*,#13782,.T.); +#13785=ORIENTED_EDGE('',*,*,#13784,.T.); +#13787=ORIENTED_EDGE('',*,*,#13786,.T.); +#13789=ORIENTED_EDGE('',*,*,#13788,.T.); +#13791=ORIENTED_EDGE('',*,*,#13790,.T.); +#13793=ORIENTED_EDGE('',*,*,#13792,.T.); +#13795=ORIENTED_EDGE('',*,*,#13794,.T.); +#13797=ORIENTED_EDGE('',*,*,#13796,.T.); +#13799=ORIENTED_EDGE('',*,*,#13798,.T.); +#13801=ORIENTED_EDGE('',*,*,#13800,.T.); +#13803=ORIENTED_EDGE('',*,*,#13802,.T.); +#13805=ORIENTED_EDGE('',*,*,#13804,.T.); +#13807=ORIENTED_EDGE('',*,*,#13806,.T.); +#13809=ORIENTED_EDGE('',*,*,#13808,.T.); +#13811=ORIENTED_EDGE('',*,*,#13810,.T.); +#13813=ORIENTED_EDGE('',*,*,#13812,.T.); +#13815=ORIENTED_EDGE('',*,*,#13814,.T.); +#13817=ORIENTED_EDGE('',*,*,#13816,.T.); +#13819=ORIENTED_EDGE('',*,*,#13818,.T.); +#13820=EDGE_LOOP('',(#13707,#13709,#13711,#13713,#13715,#13717,#13719,#13721, +#13723,#13725,#13727,#13729,#13731,#13733,#13735,#13737,#13739,#13741,#13743, +#13745,#13747,#13749,#13751,#13753,#13755,#13757,#13759,#13761,#13763,#13765, +#13767,#13769,#13771,#13773,#13775,#13777,#13779,#13781,#13783,#13785,#13787, +#13789,#13791,#13793,#13795,#13797,#13799,#13801,#13803,#13805,#13807,#13809, +#13811,#13813,#13815,#13817,#13819)); +#13821=FACE_BOUND('',#13820,.F.); +#13823=ORIENTED_EDGE('',*,*,#13822,.F.); +#13825=ORIENTED_EDGE('',*,*,#13824,.F.); +#13826=EDGE_LOOP('',(#13823,#13825)); +#13827=FACE_BOUND('',#13826,.F.); +#13829=ORIENTED_EDGE('',*,*,#13828,.F.); +#13831=ORIENTED_EDGE('',*,*,#13830,.F.); +#13832=EDGE_LOOP('',(#13829,#13831)); +#13833=FACE_BOUND('',#13832,.F.); +#13835=ORIENTED_EDGE('',*,*,#13834,.F.); +#13837=ORIENTED_EDGE('',*,*,#13836,.F.); +#13838=EDGE_LOOP('',(#13835,#13837)); +#13839=FACE_BOUND('',#13838,.F.); +#13841=ORIENTED_EDGE('',*,*,#13840,.F.); +#13843=ORIENTED_EDGE('',*,*,#13842,.F.); +#13844=EDGE_LOOP('',(#13841,#13843)); +#13845=FACE_BOUND('',#13844,.F.); +#13847=ORIENTED_EDGE('',*,*,#13846,.F.); +#13849=ORIENTED_EDGE('',*,*,#13848,.F.); +#13850=EDGE_LOOP('',(#13847,#13849)); +#13851=FACE_BOUND('',#13850,.F.); +#13853=ORIENTED_EDGE('',*,*,#13852,.F.); +#13855=ORIENTED_EDGE('',*,*,#13854,.F.); +#13856=EDGE_LOOP('',(#13853,#13855)); +#13857=FACE_BOUND('',#13856,.F.); +#13859=ORIENTED_EDGE('',*,*,#13858,.F.); +#13861=ORIENTED_EDGE('',*,*,#13860,.F.); +#13862=EDGE_LOOP('',(#13859,#13861)); +#13863=FACE_BOUND('',#13862,.F.); +#13865=ORIENTED_EDGE('',*,*,#13864,.F.); +#13867=ORIENTED_EDGE('',*,*,#13866,.F.); +#13868=EDGE_LOOP('',(#13865,#13867)); +#13869=FACE_BOUND('',#13868,.F.); +#13871=ORIENTED_EDGE('',*,*,#13870,.F.); +#13873=ORIENTED_EDGE('',*,*,#13872,.F.); +#13874=EDGE_LOOP('',(#13871,#13873)); +#13875=FACE_BOUND('',#13874,.F.); +#13877=ORIENTED_EDGE('',*,*,#13876,.F.); +#13879=ORIENTED_EDGE('',*,*,#13878,.F.); +#13880=EDGE_LOOP('',(#13877,#13879)); +#13881=FACE_BOUND('',#13880,.F.); +#13883=ORIENTED_EDGE('',*,*,#13882,.F.); +#13885=ORIENTED_EDGE('',*,*,#13884,.F.); +#13886=EDGE_LOOP('',(#13883,#13885)); +#13887=FACE_BOUND('',#13886,.F.); +#13889=ORIENTED_EDGE('',*,*,#13888,.F.); +#13891=ORIENTED_EDGE('',*,*,#13890,.F.); +#13892=EDGE_LOOP('',(#13889,#13891)); +#13893=FACE_BOUND('',#13892,.F.); +#13895=ORIENTED_EDGE('',*,*,#13894,.F.); +#13897=ORIENTED_EDGE('',*,*,#13896,.F.); +#13898=EDGE_LOOP('',(#13895,#13897)); +#13899=FACE_BOUND('',#13898,.F.); +#13901=ORIENTED_EDGE('',*,*,#13900,.F.); +#13903=ORIENTED_EDGE('',*,*,#13902,.F.); +#13904=EDGE_LOOP('',(#13901,#13903)); +#13905=FACE_BOUND('',#13904,.F.); +#13907=ORIENTED_EDGE('',*,*,#13906,.F.); +#13909=ORIENTED_EDGE('',*,*,#13908,.F.); +#13910=EDGE_LOOP('',(#13907,#13909)); +#13911=FACE_BOUND('',#13910,.F.); +#13913=ORIENTED_EDGE('',*,*,#13912,.F.); +#13915=ORIENTED_EDGE('',*,*,#13914,.F.); +#13916=EDGE_LOOP('',(#13913,#13915)); +#13917=FACE_BOUND('',#13916,.F.); +#13919=ORIENTED_EDGE('',*,*,#13918,.F.); +#13921=ORIENTED_EDGE('',*,*,#13920,.F.); +#13922=EDGE_LOOP('',(#13919,#13921)); +#13923=FACE_BOUND('',#13922,.F.); +#13925=ORIENTED_EDGE('',*,*,#13924,.F.); +#13927=ORIENTED_EDGE('',*,*,#13926,.F.); +#13928=EDGE_LOOP('',(#13925,#13927)); +#13929=FACE_BOUND('',#13928,.F.); +#13931=ORIENTED_EDGE('',*,*,#13930,.F.); +#13933=ORIENTED_EDGE('',*,*,#13932,.F.); +#13934=EDGE_LOOP('',(#13931,#13933)); +#13935=FACE_BOUND('',#13934,.F.); +#13937=ORIENTED_EDGE('',*,*,#13936,.F.); +#13939=ORIENTED_EDGE('',*,*,#13938,.F.); +#13940=EDGE_LOOP('',(#13937,#13939)); +#13941=FACE_BOUND('',#13940,.F.); +#13943=ORIENTED_EDGE('',*,*,#13942,.F.); +#13945=ORIENTED_EDGE('',*,*,#13944,.F.); +#13946=EDGE_LOOP('',(#13943,#13945)); +#13947=FACE_BOUND('',#13946,.F.); +#13949=ORIENTED_EDGE('',*,*,#13948,.F.); +#13951=ORIENTED_EDGE('',*,*,#13950,.F.); +#13952=EDGE_LOOP('',(#13949,#13951)); +#13953=FACE_BOUND('',#13952,.F.); +#13955=ORIENTED_EDGE('',*,*,#13954,.F.); +#13957=ORIENTED_EDGE('',*,*,#13956,.F.); +#13958=EDGE_LOOP('',(#13955,#13957)); +#13959=FACE_BOUND('',#13958,.F.); +#13961=ORIENTED_EDGE('',*,*,#13960,.F.); +#13963=ORIENTED_EDGE('',*,*,#13962,.F.); +#13964=EDGE_LOOP('',(#13961,#13963)); +#13965=FACE_BOUND('',#13964,.F.); +#13967=ORIENTED_EDGE('',*,*,#13966,.F.); +#13969=ORIENTED_EDGE('',*,*,#13968,.F.); +#13970=EDGE_LOOP('',(#13967,#13969)); +#13971=FACE_BOUND('',#13970,.F.); +#13973=ORIENTED_EDGE('',*,*,#13972,.F.); +#13975=ORIENTED_EDGE('',*,*,#13974,.F.); +#13976=EDGE_LOOP('',(#13973,#13975)); +#13977=FACE_BOUND('',#13976,.F.); +#13979=ORIENTED_EDGE('',*,*,#13978,.F.); +#13981=ORIENTED_EDGE('',*,*,#13980,.F.); +#13982=EDGE_LOOP('',(#13979,#13981)); +#13983=FACE_BOUND('',#13982,.F.); +#13985=ORIENTED_EDGE('',*,*,#13984,.F.); +#13987=ORIENTED_EDGE('',*,*,#13986,.F.); +#13988=EDGE_LOOP('',(#13985,#13987)); +#13989=FACE_BOUND('',#13988,.F.); +#13991=ORIENTED_EDGE('',*,*,#13990,.F.); +#13993=ORIENTED_EDGE('',*,*,#13992,.F.); +#13994=EDGE_LOOP('',(#13991,#13993)); +#13995=FACE_BOUND('',#13994,.F.); +#13997=ORIENTED_EDGE('',*,*,#13996,.F.); +#13999=ORIENTED_EDGE('',*,*,#13998,.F.); +#14000=EDGE_LOOP('',(#13997,#13999)); +#14001=FACE_BOUND('',#14000,.F.); +#14003=ORIENTED_EDGE('',*,*,#14002,.F.); +#14005=ORIENTED_EDGE('',*,*,#14004,.F.); +#14006=EDGE_LOOP('',(#14003,#14005)); +#14007=FACE_BOUND('',#14006,.F.); +#14009=ORIENTED_EDGE('',*,*,#14008,.F.); +#14011=ORIENTED_EDGE('',*,*,#14010,.F.); +#14012=EDGE_LOOP('',(#14009,#14011)); +#14013=FACE_BOUND('',#14012,.F.); +#14015=ORIENTED_EDGE('',*,*,#14014,.F.); +#14017=ORIENTED_EDGE('',*,*,#14016,.F.); +#14018=EDGE_LOOP('',(#14015,#14017)); +#14019=FACE_BOUND('',#14018,.F.); +#14021=ORIENTED_EDGE('',*,*,#14020,.F.); +#14023=ORIENTED_EDGE('',*,*,#14022,.F.); +#14024=EDGE_LOOP('',(#14021,#14023)); +#14025=FACE_BOUND('',#14024,.F.); +#14027=ORIENTED_EDGE('',*,*,#14026,.F.); +#14029=ORIENTED_EDGE('',*,*,#14028,.F.); +#14030=EDGE_LOOP('',(#14027,#14029)); +#14031=FACE_BOUND('',#14030,.F.); +#14033=CARTESIAN_POINT('',(2.35E1,-5.6E0,0.E0)); +#14034=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14035=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14036=AXIS2_PLACEMENT_3D('',#14033,#14034,#14035); +#14037=CYLINDRICAL_SURFACE('',#14036,6.2E0); +#14039=ORIENTED_EDGE('',*,*,#14038,.T.); +#14041=ORIENTED_EDGE('',*,*,#14040,.T.); +#14043=ORIENTED_EDGE('',*,*,#14042,.T.); +#14045=ORIENTED_EDGE('',*,*,#14044,.T.); +#14046=ORIENTED_EDGE('',*,*,#13292,.T.); +#14048=ORIENTED_EDGE('',*,*,#14047,.F.); +#14049=EDGE_LOOP('',(#14039,#14041,#14043,#14045,#14046,#14048)); +#14050=FACE_OUTER_BOUND('',#14049,.F.); +#14052=CARTESIAN_POINT('',(2.35E1,-5.6E0,-5.E-1)); +#14053=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14054=DIRECTION('',(-3.223675481338E-3,-9.999948039447E-1,0.E0)); +#14055=AXIS2_PLACEMENT_3D('',#14052,#14053,#14054); +#14056=TOROIDAL_SURFACE('',#14055,5.7E0,5.E-1); +#14057=ORIENTED_EDGE('',*,*,#12491,.T.); +#14059=ORIENTED_EDGE('',*,*,#14058,.T.); +#14060=ORIENTED_EDGE('',*,*,#14038,.F.); +#14062=ORIENTED_EDGE('',*,*,#14061,.F.); +#14063=EDGE_LOOP('',(#14057,#14059,#14060,#14062)); +#14064=FACE_OUTER_BOUND('',#14063,.F.); +#14066=CARTESIAN_POINT('',(2.92E1,-5.619986822602E0,-5.E-1)); +#14067=DIRECTION('',(0.E0,1.E0,0.E0)); +#14068=DIRECTION('',(0.E0,0.E0,1.E0)); +#14069=AXIS2_PLACEMENT_3D('',#14066,#14067,#14068); +#14070=CYLINDRICAL_SURFACE('',#14069,5.E-1); +#14071=ORIENTED_EDGE('',*,*,#12489,.T.); +#14073=ORIENTED_EDGE('',*,*,#14072,.T.); +#14075=ORIENTED_EDGE('',*,*,#14074,.F.); +#14076=ORIENTED_EDGE('',*,*,#14058,.F.); +#14077=EDGE_LOOP('',(#14071,#14073,#14075,#14076)); +#14078=FACE_OUTER_BOUND('',#14077,.F.); +#14080=CARTESIAN_POINT('',(2.35E1,5.6E0,-5.E-1)); +#14081=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14082=DIRECTION('',(9.999948039447E-1,-3.223675481338E-3,0.E0)); +#14083=AXIS2_PLACEMENT_3D('',#14080,#14081,#14082); +#14084=TOROIDAL_SURFACE('',#14083,5.7E0,5.E-1); +#14085=ORIENTED_EDGE('',*,*,#12487,.T.); +#14087=ORIENTED_EDGE('',*,*,#14086,.T.); +#14089=ORIENTED_EDGE('',*,*,#14088,.F.); +#14090=ORIENTED_EDGE('',*,*,#14072,.F.); +#14091=EDGE_LOOP('',(#14085,#14087,#14089,#14090)); +#14092=FACE_OUTER_BOUND('',#14091,.F.); +#14094=CARTESIAN_POINT('',(2.796998682260E1,1.13E1,-5.E-1)); +#14095=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14096=DIRECTION('',(0.E0,0.E0,1.E0)); +#14097=AXIS2_PLACEMENT_3D('',#14094,#14095,#14096); +#14098=CYLINDRICAL_SURFACE('',#14097,5.E-1); +#14100=ORIENTED_EDGE('',*,*,#14099,.F.); +#14101=ORIENTED_EDGE('',*,*,#14086,.F.); +#14102=ORIENTED_EDGE('',*,*,#12485,.T.); +#14104=ORIENTED_EDGE('',*,*,#14103,.T.); +#14105=EDGE_LOOP('',(#14100,#14101,#14102,#14104)); +#14106=FACE_OUTER_BOUND('',#14105,.F.); +#14108=CARTESIAN_POINT('',(-2.35E1,1.18E1,0.E0)); +#14109=DIRECTION('',(0.E0,1.E0,0.E0)); +#14110=DIRECTION('',(1.E0,0.E0,0.E0)); +#14111=AXIS2_PLACEMENT_3D('',#14108,#14109,#14110); +#14112=PLANE('',#14111); +#14114=ORIENTED_EDGE('',*,*,#14113,.T.); +#14116=ORIENTED_EDGE('',*,*,#14115,.T.); +#14118=ORIENTED_EDGE('',*,*,#14117,.T.); +#14120=ORIENTED_EDGE('',*,*,#14119,.F.); +#14122=ORIENTED_EDGE('',*,*,#14121,.T.); +#14124=ORIENTED_EDGE('',*,*,#14123,.T.); +#14126=ORIENTED_EDGE('',*,*,#14125,.T.); +#14128=ORIENTED_EDGE('',*,*,#14127,.F.); +#14129=ORIENTED_EDGE('',*,*,#13322,.T.); +#14131=ORIENTED_EDGE('',*,*,#14130,.T.); +#14133=ORIENTED_EDGE('',*,*,#14132,.T.); +#14135=ORIENTED_EDGE('',*,*,#14134,.F.); +#14136=ORIENTED_EDGE('',*,*,#14099,.T.); +#14138=ORIENTED_EDGE('',*,*,#14137,.T.); +#14140=ORIENTED_EDGE('',*,*,#14139,.T.); +#14142=ORIENTED_EDGE('',*,*,#14141,.F.); +#14143=EDGE_LOOP('',(#14114,#14116,#14118,#14120,#14122,#14124,#14126,#14128, +#14129,#14131,#14133,#14135,#14136,#14138,#14140,#14142)); +#14144=FACE_OUTER_BOUND('',#14143,.F.); +#14146=CARTESIAN_POINT('',(1.3869684E2,1.13E1,-5.E-1)); +#14147=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14148=DIRECTION('',(0.E0,0.E0,1.E0)); +#14149=AXIS2_PLACEMENT_3D('',#14146,#14147,#14148); +#14150=CYLINDRICAL_SURFACE('',#14149,5.E-1); +#14151=ORIENTED_EDGE('',*,*,#14113,.F.); +#14153=ORIENTED_EDGE('',*,*,#14152,.T.); +#14154=ORIENTED_EDGE('',*,*,#12509,.T.); +#14156=ORIENTED_EDGE('',*,*,#14155,.T.); +#14157=EDGE_LOOP('',(#14151,#14153,#14154,#14156)); +#14158=FACE_OUTER_BOUND('',#14157,.F.); +#14160=CARTESIAN_POINT('',(2.7E0,1.18E1,0.E0)); +#14161=DIRECTION('',(9.961946980917E-1,-8.715574274766E-2,0.E0)); +#14162=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#14163=AXIS2_PLACEMENT_3D('',#14160,#14161,#14162); +#14164=PLANE('',#14163); +#14166=ORIENTED_EDGE('',*,*,#14165,.T.); +#14167=ORIENTED_EDGE('',*,*,#12511,.F.); +#14168=ORIENTED_EDGE('',*,*,#14152,.F.); +#14169=ORIENTED_EDGE('',*,*,#14141,.T.); +#14171=ORIENTED_EDGE('',*,*,#14170,.T.); +#14173=ORIENTED_EDGE('',*,*,#14172,.F.); +#14175=ORIENTED_EDGE('',*,*,#14174,.F.); +#14176=EDGE_LOOP('',(#14166,#14167,#14168,#14169,#14171,#14173,#14175)); +#14177=FACE_OUTER_BOUND('',#14176,.F.); +#14179=CARTESIAN_POINT('',(1.338503588518E2,1.149449725639E1,-5.E-1)); +#14180=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14181=DIRECTION('',(0.E0,0.E0,1.E0)); +#14182=AXIS2_PLACEMENT_3D('',#14179,#14180,#14181); +#14183=CYLINDRICAL_SURFACE('',#14182,5.E-1); +#14184=ORIENTED_EDGE('',*,*,#12481,.T.); +#14185=ORIENTED_EDGE('',*,*,#14165,.F.); +#14187=ORIENTED_EDGE('',*,*,#14186,.F.); +#14189=ORIENTED_EDGE('',*,*,#14188,.F.); +#14190=EDGE_LOOP('',(#14184,#14185,#14187,#14189)); +#14191=FACE_OUTER_BOUND('',#14190,.F.); +#14193=CARTESIAN_POINT('',(-4.5E0,1.18E1,0.E0)); +#14194=DIRECTION('',(0.E0,-8.898174628127E-1,-4.563166475963E-1)); +#14195=DIRECTION('',(0.E0,4.563166475963E-1,-8.898174628127E-1)); +#14196=AXIS2_PLACEMENT_3D('',#14193,#14194,#14195); +#14197=PLANE('',#14196); +#14198=ORIENTED_EDGE('',*,*,#14186,.T.); +#14199=ORIENTED_EDGE('',*,*,#14174,.T.); +#14201=ORIENTED_EDGE('',*,*,#14200,.T.); +#14203=ORIENTED_EDGE('',*,*,#14202,.F.); +#14204=EDGE_LOOP('',(#14198,#14199,#14201,#14203)); +#14205=FACE_OUTER_BOUND('',#14204,.F.); +#14207=CARTESIAN_POINT('',(-4.5E0,1.18E1,0.E0)); +#14208=DIRECTION('',(0.E0,-8.898174628127E-1,-4.563166475963E-1)); +#14209=DIRECTION('',(0.E0,4.563166475963E-1,-8.898174628127E-1)); +#14210=AXIS2_PLACEMENT_3D('',#14207,#14208,#14209); +#14211=PLANE('',#14210); +#14213=ORIENTED_EDGE('',*,*,#14212,.T.); +#14215=ORIENTED_EDGE('',*,*,#14214,.T.); +#14217=ORIENTED_EDGE('',*,*,#14216,.T.); +#14219=ORIENTED_EDGE('',*,*,#14218,.F.); +#14220=EDGE_LOOP('',(#14213,#14215,#14217,#14219)); +#14221=FACE_OUTER_BOUND('',#14220,.F.); +#14223=CARTESIAN_POINT('',(0.E0,1.38E1,0.E0)); +#14224=DIRECTION('',(0.E0,1.E0,0.E0)); +#14225=DIRECTION('',(1.E0,0.E0,0.E0)); +#14226=AXIS2_PLACEMENT_3D('',#14223,#14224,#14225); +#14227=PLANE('',#14226); +#14228=ORIENTED_EDGE('',*,*,#14172,.T.); +#14230=ORIENTED_EDGE('',*,*,#14229,.F.); +#14232=ORIENTED_EDGE('',*,*,#14231,.F.); +#14233=ORIENTED_EDGE('',*,*,#14200,.F.); +#14234=EDGE_LOOP('',(#14228,#14230,#14232,#14233)); +#14235=FACE_OUTER_BOUND('',#14234,.F.); +#14237=CARTESIAN_POINT('',(0.E0,1.38E1,0.E0)); +#14238=DIRECTION('',(0.E0,1.E0,0.E0)); +#14239=DIRECTION('',(1.E0,0.E0,0.E0)); +#14240=AXIS2_PLACEMENT_3D('',#14237,#14238,#14239); +#14241=PLANE('',#14240); +#14243=ORIENTED_EDGE('',*,*,#14242,.F.); +#14244=ORIENTED_EDGE('',*,*,#14216,.F.); +#14246=ORIENTED_EDGE('',*,*,#14245,.T.); +#14248=ORIENTED_EDGE('',*,*,#14247,.F.); +#14249=EDGE_LOOP('',(#14243,#14244,#14246,#14248)); +#14250=FACE_OUTER_BOUND('',#14249,.F.); +#14252=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.4E0)); +#14253=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14254=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14255=AXIS2_PLACEMENT_3D('',#14252,#14253,#14254); +#14256=PLANE('',#14255); +#14257=ORIENTED_EDGE('',*,*,#14170,.F.); +#14258=ORIENTED_EDGE('',*,*,#14139,.F.); +#14260=ORIENTED_EDGE('',*,*,#14259,.F.); +#14261=ORIENTED_EDGE('',*,*,#14229,.T.); +#14262=EDGE_LOOP('',(#14257,#14258,#14260,#14261)); +#14263=FACE_OUTER_BOUND('',#14262,.F.); +#14265=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,0.E0)); +#14266=DIRECTION('',(-9.961946980917E-1,-8.715574274766E-2,0.E0)); +#14267=DIRECTION('',(8.715574274766E-2,-9.961946980917E-1,0.E0)); +#14268=AXIS2_PLACEMENT_3D('',#14265,#14266,#14267); +#14269=PLANE('',#14268); +#14270=ORIENTED_EDGE('',*,*,#14137,.F.); +#14271=ORIENTED_EDGE('',*,*,#14103,.F.); +#14272=ORIENTED_EDGE('',*,*,#12483,.T.); +#14273=ORIENTED_EDGE('',*,*,#14188,.T.); +#14274=ORIENTED_EDGE('',*,*,#14202,.T.); +#14275=ORIENTED_EDGE('',*,*,#14231,.T.); +#14276=ORIENTED_EDGE('',*,*,#14259,.T.); +#14277=EDGE_LOOP('',(#14270,#14271,#14272,#14273,#14274,#14275,#14276)); +#14278=FACE_OUTER_BOUND('',#14277,.F.); +#14280=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,0.E0)); +#14281=DIRECTION('',(-9.961946980917E-1,-8.715574274766E-2,0.E0)); +#14282=DIRECTION('',(8.715574274766E-2,-9.961946980917E-1,0.E0)); +#14283=AXIS2_PLACEMENT_3D('',#14280,#14281,#14282); +#14284=PLANE('',#14283); +#14285=ORIENTED_EDGE('',*,*,#14115,.F.); +#14286=ORIENTED_EDGE('',*,*,#14155,.F.); +#14287=ORIENTED_EDGE('',*,*,#12507,.T.); +#14289=ORIENTED_EDGE('',*,*,#14288,.T.); +#14290=ORIENTED_EDGE('',*,*,#14218,.T.); +#14291=ORIENTED_EDGE('',*,*,#14242,.T.); +#14293=ORIENTED_EDGE('',*,*,#14292,.T.); +#14294=EDGE_LOOP('',(#14285,#14286,#14287,#14289,#14290,#14291,#14293)); +#14295=FACE_OUTER_BOUND('',#14294,.F.); +#14297=CARTESIAN_POINT('',(1.338503588518E2,1.149449725639E1,-5.E-1)); +#14298=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14299=DIRECTION('',(0.E0,0.E0,1.E0)); +#14300=AXIS2_PLACEMENT_3D('',#14297,#14298,#14299); +#14301=CYLINDRICAL_SURFACE('',#14300,5.E-1); +#14302=ORIENTED_EDGE('',*,*,#12505,.T.); +#14304=ORIENTED_EDGE('',*,*,#14303,.F.); +#14305=ORIENTED_EDGE('',*,*,#14212,.F.); +#14306=ORIENTED_EDGE('',*,*,#14288,.F.); +#14307=EDGE_LOOP('',(#14302,#14304,#14305,#14306)); +#14308=FACE_OUTER_BOUND('',#14307,.F.); +#14310=CARTESIAN_POINT('',(-4.5E0,1.18E1,0.E0)); +#14311=DIRECTION('',(9.961946980917E-1,-8.715574274766E-2,0.E0)); +#14312=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#14313=AXIS2_PLACEMENT_3D('',#14310,#14311,#14312); +#14314=PLANE('',#14313); +#14315=ORIENTED_EDGE('',*,*,#14303,.T.); +#14316=ORIENTED_EDGE('',*,*,#12503,.F.); +#14318=ORIENTED_EDGE('',*,*,#14317,.F.); +#14319=ORIENTED_EDGE('',*,*,#14119,.T.); +#14321=ORIENTED_EDGE('',*,*,#14320,.T.); +#14322=ORIENTED_EDGE('',*,*,#14245,.F.); +#14323=ORIENTED_EDGE('',*,*,#14214,.F.); +#14324=EDGE_LOOP('',(#14315,#14316,#14318,#14319,#14321,#14322,#14323)); +#14325=FACE_OUTER_BOUND('',#14324,.F.); +#14327=CARTESIAN_POINT('',(1.3869684E2,1.13E1,-5.E-1)); +#14328=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14329=DIRECTION('',(0.E0,0.E0,1.E0)); +#14330=AXIS2_PLACEMENT_3D('',#14327,#14328,#14329); +#14331=CYLINDRICAL_SURFACE('',#14330,5.E-1); +#14332=ORIENTED_EDGE('',*,*,#12501,.T.); +#14334=ORIENTED_EDGE('',*,*,#14333,.T.); +#14335=ORIENTED_EDGE('',*,*,#14121,.F.); +#14336=ORIENTED_EDGE('',*,*,#14317,.T.); +#14337=EDGE_LOOP('',(#14332,#14334,#14335,#14336)); +#14338=FACE_OUTER_BOUND('',#14337,.F.); +#14340=CARTESIAN_POINT('',(-2.35E1,5.6E0,-5.E-1)); +#14341=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14342=DIRECTION('',(3.223675481338E-3,9.999948039447E-1,0.E0)); +#14343=AXIS2_PLACEMENT_3D('',#14340,#14341,#14342); +#14344=TOROIDAL_SURFACE('',#14343,5.7E0,5.E-1); +#14345=ORIENTED_EDGE('',*,*,#12499,.T.); +#14347=ORIENTED_EDGE('',*,*,#14346,.T.); +#14349=ORIENTED_EDGE('',*,*,#14348,.F.); +#14350=ORIENTED_EDGE('',*,*,#14333,.F.); +#14351=EDGE_LOOP('',(#14345,#14347,#14349,#14350)); +#14352=FACE_OUTER_BOUND('',#14351,.F.); +#14354=CARTESIAN_POINT('',(-2.92E1,5.619986822602E0,-5.E-1)); +#14355=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14356=DIRECTION('',(0.E0,0.E0,1.E0)); +#14357=AXIS2_PLACEMENT_3D('',#14354,#14355,#14356); +#14358=CYLINDRICAL_SURFACE('',#14357,5.E-1); +#14359=ORIENTED_EDGE('',*,*,#12497,.T.); +#14361=ORIENTED_EDGE('',*,*,#14360,.T.); +#14363=ORIENTED_EDGE('',*,*,#14362,.F.); +#14364=ORIENTED_EDGE('',*,*,#14346,.F.); +#14365=EDGE_LOOP('',(#14359,#14361,#14363,#14364)); +#14366=FACE_OUTER_BOUND('',#14365,.F.); +#14368=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-5.E-1)); +#14369=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14370=DIRECTION('',(-9.999948039447E-1,3.223675481338E-3,0.E0)); +#14371=AXIS2_PLACEMENT_3D('',#14368,#14369,#14370); +#14372=TOROIDAL_SURFACE('',#14371,5.7E0,5.E-1); +#14373=ORIENTED_EDGE('',*,*,#12495,.T.); +#14375=ORIENTED_EDGE('',*,*,#14374,.T.); +#14377=ORIENTED_EDGE('',*,*,#14376,.F.); +#14378=ORIENTED_EDGE('',*,*,#14360,.F.); +#14379=EDGE_LOOP('',(#14373,#14375,#14377,#14378)); +#14380=FACE_OUTER_BOUND('',#14379,.F.); +#14382=CARTESIAN_POINT('',(-2.351998682260E1,-1.13E1,-5.E-1)); +#14383=DIRECTION('',(1.E0,0.E0,0.E0)); +#14384=DIRECTION('',(0.E0,0.E0,1.E0)); +#14385=AXIS2_PLACEMENT_3D('',#14382,#14383,#14384); +#14386=CYLINDRICAL_SURFACE('',#14385,5.E-1); +#14387=ORIENTED_EDGE('',*,*,#12493,.T.); +#14388=ORIENTED_EDGE('',*,*,#14061,.T.); +#14390=ORIENTED_EDGE('',*,*,#14389,.F.); +#14391=ORIENTED_EDGE('',*,*,#14374,.F.); +#14392=EDGE_LOOP('',(#14387,#14388,#14390,#14391)); +#14393=FACE_OUTER_BOUND('',#14392,.F.); +#14395=CARTESIAN_POINT('',(2.35E1,-1.18E1,0.E0)); +#14396=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14397=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14398=AXIS2_PLACEMENT_3D('',#14395,#14396,#14397); +#14399=PLANE('',#14398); +#14400=ORIENTED_EDGE('',*,*,#14389,.T.); +#14401=ORIENTED_EDGE('',*,*,#14047,.T.); +#14402=ORIENTED_EDGE('',*,*,#13354,.T.); +#14404=ORIENTED_EDGE('',*,*,#14403,.F.); +#14405=EDGE_LOOP('',(#14400,#14401,#14402,#14404)); +#14406=FACE_OUTER_BOUND('',#14405,.F.); +#14408=CARTESIAN_POINT('',(-2.35E1,-5.6E0,0.E0)); +#14409=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14410=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14411=AXIS2_PLACEMENT_3D('',#14408,#14409,#14410); +#14412=CYLINDRICAL_SURFACE('',#14411,6.2E0); +#14413=ORIENTED_EDGE('',*,*,#14376,.T.); +#14414=ORIENTED_EDGE('',*,*,#14403,.T.); +#14415=ORIENTED_EDGE('',*,*,#13352,.T.); +#14417=ORIENTED_EDGE('',*,*,#14416,.F.); +#14419=ORIENTED_EDGE('',*,*,#14418,.T.); +#14421=ORIENTED_EDGE('',*,*,#14420,.F.); +#14422=EDGE_LOOP('',(#14413,#14414,#14415,#14417,#14419,#14421)); +#14423=FACE_OUTER_BOUND('',#14422,.F.); +#14425=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-2.E1)); +#14426=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14427=DIRECTION('',(1.E0,0.E0,0.E0)); +#14428=AXIS2_PLACEMENT_3D('',#14425,#14426,#14427); +#14429=PLANE('',#14428); +#14430=ORIENTED_EDGE('',*,*,#14416,.T.); +#14431=ORIENTED_EDGE('',*,*,#13350,.F.); +#14433=ORIENTED_EDGE('',*,*,#14432,.T.); +#14435=ORIENTED_EDGE('',*,*,#14434,.T.); +#14436=EDGE_LOOP('',(#14430,#14431,#14433,#14435)); +#14437=FACE_OUTER_BOUND('',#14436,.F.); +#14439=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.E1)); +#14440=DIRECTION('',(0.E0,0.E0,1.E0)); +#14441=DIRECTION('',(1.E0,0.E0,0.E0)); +#14442=AXIS2_PLACEMENT_3D('',#14439,#14440,#14441); +#14443=CYLINDRICAL_SURFACE('',#14442,3.25E0); +#14444=ORIENTED_EDGE('',*,*,#13348,.F.); +#14446=ORIENTED_EDGE('',*,*,#14445,.T.); +#14448=ORIENTED_EDGE('',*,*,#14447,.T.); +#14449=ORIENTED_EDGE('',*,*,#14432,.F.); +#14450=EDGE_LOOP('',(#14444,#14446,#14448,#14449)); +#14451=FACE_OUTER_BOUND('',#14450,.F.); +#14453=CARTESIAN_POINT('',(-3.545E1,-4.954792120088E0,-2.E1)); +#14454=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14455=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14456=AXIS2_PLACEMENT_3D('',#14453,#14454,#14455); +#14457=PLANE('',#14456); +#14458=ORIENTED_EDGE('',*,*,#13346,.F.); +#14460=ORIENTED_EDGE('',*,*,#14459,.T.); +#14462=ORIENTED_EDGE('',*,*,#14461,.T.); +#14463=ORIENTED_EDGE('',*,*,#14445,.F.); +#14464=EDGE_LOOP('',(#14458,#14460,#14462,#14463)); +#14465=FACE_OUTER_BOUND('',#14464,.F.); +#14467=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.E1)); +#14468=DIRECTION('',(0.E0,0.E0,1.E0)); +#14469=DIRECTION('',(1.E0,0.E0,0.E0)); +#14470=AXIS2_PLACEMENT_3D('',#14467,#14468,#14469); +#14471=CYLINDRICAL_SURFACE('',#14470,3.25E0); +#14472=ORIENTED_EDGE('',*,*,#13344,.F.); +#14474=ORIENTED_EDGE('',*,*,#14473,.T.); +#14476=ORIENTED_EDGE('',*,*,#14475,.T.); +#14477=ORIENTED_EDGE('',*,*,#14459,.F.); +#14478=EDGE_LOOP('',(#14472,#14474,#14476,#14477)); +#14479=FACE_OUTER_BOUND('',#14478,.F.); +#14481=CARTESIAN_POINT('',(-3.07E1,-4.05E0,-2.E1)); +#14482=DIRECTION('',(0.E0,1.E0,0.E0)); +#14483=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14484=AXIS2_PLACEMENT_3D('',#14481,#14482,#14483); +#14485=PLANE('',#14484); +#14486=ORIENTED_EDGE('',*,*,#13342,.F.); +#14488=ORIENTED_EDGE('',*,*,#14487,.T.); +#14490=ORIENTED_EDGE('',*,*,#14489,.T.); +#14491=ORIENTED_EDGE('',*,*,#14473,.F.); +#14492=EDGE_LOOP('',(#14486,#14488,#14490,#14491)); +#14493=FACE_OUTER_BOUND('',#14492,.F.); +#14495=CARTESIAN_POINT('',(-3.07E1,-3.05E0,-2.E1)); +#14496=DIRECTION('',(0.E0,0.E0,1.E0)); +#14497=DIRECTION('',(1.E0,0.E0,0.E0)); +#14498=AXIS2_PLACEMENT_3D('',#14495,#14496,#14497); +#14499=CYLINDRICAL_SURFACE('',#14498,1.E0); +#14501=ORIENTED_EDGE('',*,*,#14500,.T.); +#14503=ORIENTED_EDGE('',*,*,#14502,.T.); +#14504=ORIENTED_EDGE('',*,*,#14487,.F.); +#14505=ORIENTED_EDGE('',*,*,#13340,.T.); +#14506=EDGE_LOOP('',(#14501,#14503,#14504,#14505)); +#14507=FACE_OUTER_BOUND('',#14506,.F.); +#14509=CARTESIAN_POINT('',(-2.97E1,-5.6E0,0.E0)); +#14510=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14511=DIRECTION('',(0.E0,1.E0,0.E0)); +#14512=AXIS2_PLACEMENT_3D('',#14509,#14510,#14511); +#14513=PLANE('',#14512); +#14514=ORIENTED_EDGE('',*,*,#14362,.T.); +#14515=ORIENTED_EDGE('',*,*,#14420,.T.); +#14517=ORIENTED_EDGE('',*,*,#14516,.T.); +#14518=ORIENTED_EDGE('',*,*,#14500,.F.); +#14519=ORIENTED_EDGE('',*,*,#13338,.T.); +#14521=ORIENTED_EDGE('',*,*,#14520,.F.); +#14522=EDGE_LOOP('',(#14514,#14515,#14517,#14518,#14519,#14521)); +#14523=FACE_OUTER_BOUND('',#14522,.F.); +#14525=CARTESIAN_POINT('',(0.E0,0.E0,-1.9275E1)); +#14526=DIRECTION('',(0.E0,0.E0,1.E0)); +#14527=DIRECTION('',(1.E0,0.E0,0.E0)); +#14528=AXIS2_PLACEMENT_3D('',#14525,#14526,#14527); +#14529=PLANE('',#14528); +#14531=ORIENTED_EDGE('',*,*,#14530,.F.); +#14533=ORIENTED_EDGE('',*,*,#14532,.F.); +#14535=ORIENTED_EDGE('',*,*,#14534,.F.); +#14537=ORIENTED_EDGE('',*,*,#14536,.F.); +#14539=ORIENTED_EDGE('',*,*,#14538,.F.); +#14541=ORIENTED_EDGE('',*,*,#14540,.F.); +#14542=ORIENTED_EDGE('',*,*,#14042,.F.); +#14544=ORIENTED_EDGE('',*,*,#14543,.F.); +#14545=EDGE_LOOP('',(#14531,#14533,#14535,#14537,#14539,#14541,#14542,#14544)); +#14546=FACE_OUTER_BOUND('',#14545,.F.); +#14548=ORIENTED_EDGE('',*,*,#14547,.F.); +#14550=ORIENTED_EDGE('',*,*,#14549,.F.); +#14551=EDGE_LOOP('',(#14548,#14550)); +#14552=FACE_BOUND('',#14551,.F.); +#14554=CARTESIAN_POINT('',(0.E0,0.E0,-1.9275E1)); +#14555=DIRECTION('',(0.E0,0.E0,1.E0)); +#14556=DIRECTION('',(1.E0,0.E0,0.E0)); +#14557=AXIS2_PLACEMENT_3D('',#14554,#14555,#14556); +#14558=PLANE('',#14557); +#14559=ORIENTED_EDGE('',*,*,#14434,.F.); +#14560=ORIENTED_EDGE('',*,*,#14447,.F.); +#14561=ORIENTED_EDGE('',*,*,#14461,.F.); +#14562=ORIENTED_EDGE('',*,*,#14475,.F.); +#14563=ORIENTED_EDGE('',*,*,#14489,.F.); +#14564=ORIENTED_EDGE('',*,*,#14502,.F.); +#14565=ORIENTED_EDGE('',*,*,#14516,.F.); +#14566=ORIENTED_EDGE('',*,*,#14418,.F.); +#14567=EDGE_LOOP('',(#14559,#14560,#14561,#14562,#14563,#14564,#14565,#14566)); +#14568=FACE_OUTER_BOUND('',#14567,.F.); +#14570=ORIENTED_EDGE('',*,*,#14569,.F.); +#14572=ORIENTED_EDGE('',*,*,#14571,.F.); +#14573=EDGE_LOOP('',(#14570,#14572)); +#14574=FACE_BOUND('',#14573,.F.); +#14576=CARTESIAN_POINT('',(0.E0,0.E0,-1.9275E1)); +#14577=DIRECTION('',(0.E0,0.E0,1.E0)); +#14578=DIRECTION('',(1.E0,0.E0,0.E0)); +#14579=AXIS2_PLACEMENT_3D('',#14576,#14577,#14578); +#14580=PLANE('',#14579); +#14582=ORIENTED_EDGE('',*,*,#14581,.F.); +#14584=ORIENTED_EDGE('',*,*,#14583,.F.); +#14586=ORIENTED_EDGE('',*,*,#14585,.F.); +#14588=ORIENTED_EDGE('',*,*,#14587,.F.); +#14590=ORIENTED_EDGE('',*,*,#14589,.F.); +#14592=ORIENTED_EDGE('',*,*,#14591,.F.); +#14593=ORIENTED_EDGE('',*,*,#14125,.F.); +#14595=ORIENTED_EDGE('',*,*,#14594,.F.); +#14596=EDGE_LOOP('',(#14582,#14584,#14586,#14588,#14590,#14592,#14593,#14595)); +#14597=FACE_OUTER_BOUND('',#14596,.F.); +#14599=ORIENTED_EDGE('',*,*,#14598,.F.); +#14601=ORIENTED_EDGE('',*,*,#14600,.F.); +#14602=EDGE_LOOP('',(#14599,#14601)); +#14603=FACE_BOUND('',#14602,.F.); +#14605=CARTESIAN_POINT('',(0.E0,0.E0,-1.9275E1)); +#14606=DIRECTION('',(0.E0,0.E0,1.E0)); +#14607=DIRECTION('',(1.E0,0.E0,0.E0)); +#14608=AXIS2_PLACEMENT_3D('',#14605,#14606,#14607); +#14609=PLANE('',#14608); +#14610=ORIENTED_EDGE('',*,*,#14132,.F.); +#14612=ORIENTED_EDGE('',*,*,#14611,.F.); +#14614=ORIENTED_EDGE('',*,*,#14613,.F.); +#14616=ORIENTED_EDGE('',*,*,#14615,.F.); +#14618=ORIENTED_EDGE('',*,*,#14617,.F.); +#14620=ORIENTED_EDGE('',*,*,#14619,.F.); +#14622=ORIENTED_EDGE('',*,*,#14621,.F.); +#14624=ORIENTED_EDGE('',*,*,#14623,.F.); +#14625=EDGE_LOOP('',(#14610,#14612,#14614,#14616,#14618,#14620,#14622,#14624)); +#14626=FACE_OUTER_BOUND('',#14625,.F.); +#14628=ORIENTED_EDGE('',*,*,#14627,.F.); +#14630=ORIENTED_EDGE('',*,*,#14629,.F.); +#14631=EDGE_LOOP('',(#14628,#14630)); +#14632=FACE_BOUND('',#14631,.F.); +#14634=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#14635=DIRECTION('',(0.E0,0.E0,1.E0)); +#14636=DIRECTION('',(1.E0,0.E0,0.E0)); +#14637=AXIS2_PLACEMENT_3D('',#14634,#14635,#14636); +#14638=CYLINDRICAL_SURFACE('',#14637,1.085E0); +#14639=ORIENTED_EDGE('',*,*,#13212,.T.); +#14641=ORIENTED_EDGE('',*,*,#14640,.T.); +#14642=ORIENTED_EDGE('',*,*,#14598,.T.); +#14644=ORIENTED_EDGE('',*,*,#14643,.F.); +#14645=EDGE_LOOP('',(#14639,#14641,#14642,#14644)); +#14646=FACE_OUTER_BOUND('',#14645,.F.); +#14648=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#14649=DIRECTION('',(0.E0,0.E0,1.E0)); +#14650=DIRECTION('',(1.E0,0.E0,0.E0)); +#14651=AXIS2_PLACEMENT_3D('',#14648,#14649,#14650); +#14652=CYLINDRICAL_SURFACE('',#14651,1.085E0); +#14653=ORIENTED_EDGE('',*,*,#13214,.T.); +#14654=ORIENTED_EDGE('',*,*,#14643,.T.); +#14655=ORIENTED_EDGE('',*,*,#14600,.T.); +#14656=ORIENTED_EDGE('',*,*,#14640,.F.); +#14657=EDGE_LOOP('',(#14653,#14654,#14655,#14656)); +#14658=FACE_OUTER_BOUND('',#14657,.F.); +#14660=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.E1)); +#14661=DIRECTION('',(0.E0,0.E0,1.E0)); +#14662=DIRECTION('',(1.E0,0.E0,0.E0)); +#14663=AXIS2_PLACEMENT_3D('',#14660,#14661,#14662); +#14664=CYLINDRICAL_SURFACE('',#14663,1.085E0); +#14665=ORIENTED_EDGE('',*,*,#13224,.T.); +#14667=ORIENTED_EDGE('',*,*,#14666,.T.); +#14668=ORIENTED_EDGE('',*,*,#14569,.T.); +#14670=ORIENTED_EDGE('',*,*,#14669,.F.); +#14671=EDGE_LOOP('',(#14665,#14667,#14668,#14670)); +#14672=FACE_OUTER_BOUND('',#14671,.F.); +#14674=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.E1)); +#14675=DIRECTION('',(0.E0,0.E0,1.E0)); +#14676=DIRECTION('',(1.E0,0.E0,0.E0)); +#14677=AXIS2_PLACEMENT_3D('',#14674,#14675,#14676); +#14678=CYLINDRICAL_SURFACE('',#14677,1.085E0); +#14679=ORIENTED_EDGE('',*,*,#13226,.T.); +#14680=ORIENTED_EDGE('',*,*,#14669,.T.); +#14681=ORIENTED_EDGE('',*,*,#14571,.T.); +#14682=ORIENTED_EDGE('',*,*,#14666,.F.); +#14683=EDGE_LOOP('',(#14679,#14680,#14681,#14682)); +#14684=FACE_OUTER_BOUND('',#14683,.F.); +#14686=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.E1)); +#14687=DIRECTION('',(0.E0,0.E0,1.E0)); +#14688=DIRECTION('',(1.E0,0.E0,0.E0)); +#14689=AXIS2_PLACEMENT_3D('',#14686,#14687,#14688); +#14690=CYLINDRICAL_SURFACE('',#14689,1.085E0); +#14691=ORIENTED_EDGE('',*,*,#13236,.T.); +#14693=ORIENTED_EDGE('',*,*,#14692,.T.); +#14694=ORIENTED_EDGE('',*,*,#14547,.T.); +#14696=ORIENTED_EDGE('',*,*,#14695,.F.); +#14697=EDGE_LOOP('',(#14691,#14693,#14694,#14696)); +#14698=FACE_OUTER_BOUND('',#14697,.F.); +#14700=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.E1)); +#14701=DIRECTION('',(0.E0,0.E0,1.E0)); +#14702=DIRECTION('',(1.E0,0.E0,0.E0)); +#14703=AXIS2_PLACEMENT_3D('',#14700,#14701,#14702); +#14704=CYLINDRICAL_SURFACE('',#14703,1.085E0); +#14705=ORIENTED_EDGE('',*,*,#13238,.T.); +#14706=ORIENTED_EDGE('',*,*,#14695,.T.); +#14707=ORIENTED_EDGE('',*,*,#14549,.T.); +#14708=ORIENTED_EDGE('',*,*,#14692,.F.); +#14709=EDGE_LOOP('',(#14705,#14706,#14707,#14708)); +#14710=FACE_OUTER_BOUND('',#14709,.F.); +#14712=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#14713=DIRECTION('',(0.E0,0.E0,1.E0)); +#14714=DIRECTION('',(1.E0,0.E0,0.E0)); +#14715=AXIS2_PLACEMENT_3D('',#14712,#14713,#14714); +#14716=CYLINDRICAL_SURFACE('',#14715,1.085E0); +#14717=ORIENTED_EDGE('',*,*,#13248,.T.); +#14719=ORIENTED_EDGE('',*,*,#14718,.T.); +#14720=ORIENTED_EDGE('',*,*,#14627,.T.); +#14722=ORIENTED_EDGE('',*,*,#14721,.F.); +#14723=EDGE_LOOP('',(#14717,#14719,#14720,#14722)); +#14724=FACE_OUTER_BOUND('',#14723,.F.); +#14726=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#14727=DIRECTION('',(0.E0,0.E0,1.E0)); +#14728=DIRECTION('',(1.E0,0.E0,0.E0)); +#14729=AXIS2_PLACEMENT_3D('',#14726,#14727,#14728); +#14730=CYLINDRICAL_SURFACE('',#14729,1.085E0); +#14731=ORIENTED_EDGE('',*,*,#13250,.T.); +#14732=ORIENTED_EDGE('',*,*,#14721,.T.); +#14733=ORIENTED_EDGE('',*,*,#14629,.T.); +#14734=ORIENTED_EDGE('',*,*,#14718,.F.); +#14735=EDGE_LOOP('',(#14731,#14732,#14733,#14734)); +#14736=FACE_OUTER_BOUND('',#14735,.F.); +#14738=CARTESIAN_POINT('',(3.07E1,-3.05E0,-2.E1)); +#14739=DIRECTION('',(0.E0,0.E0,1.E0)); +#14740=DIRECTION('',(1.E0,0.E0,0.E0)); +#14741=AXIS2_PLACEMENT_3D('',#14738,#14739,#14740); +#14742=CYLINDRICAL_SURFACE('',#14741,1.E0); +#14744=ORIENTED_EDGE('',*,*,#14743,.F.); +#14745=ORIENTED_EDGE('',*,*,#13304,.T.); +#14747=ORIENTED_EDGE('',*,*,#14746,.T.); +#14748=ORIENTED_EDGE('',*,*,#14530,.T.); +#14749=EDGE_LOOP('',(#14744,#14745,#14747,#14748)); +#14750=FACE_OUTER_BOUND('',#14749,.F.); +#14752=CARTESIAN_POINT('',(2.97E1,5.6E0,0.E0)); +#14753=DIRECTION('',(1.E0,0.E0,0.E0)); +#14754=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14755=AXIS2_PLACEMENT_3D('',#14752,#14753,#14754); +#14756=PLANE('',#14755); +#14757=ORIENTED_EDGE('',*,*,#14074,.T.); +#14759=ORIENTED_EDGE('',*,*,#14758,.T.); +#14760=ORIENTED_EDGE('',*,*,#13306,.T.); +#14761=ORIENTED_EDGE('',*,*,#14743,.T.); +#14762=ORIENTED_EDGE('',*,*,#14543,.T.); +#14763=ORIENTED_EDGE('',*,*,#14040,.F.); +#14764=EDGE_LOOP('',(#14757,#14759,#14760,#14761,#14762,#14763)); +#14765=FACE_OUTER_BOUND('',#14764,.F.); +#14767=CARTESIAN_POINT('',(2.35E1,5.6E0,0.E0)); +#14768=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14769=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14770=AXIS2_PLACEMENT_3D('',#14767,#14768,#14769); +#14771=CYLINDRICAL_SURFACE('',#14770,6.2E0); +#14772=ORIENTED_EDGE('',*,*,#14088,.T.); +#14773=ORIENTED_EDGE('',*,*,#14134,.T.); +#14774=ORIENTED_EDGE('',*,*,#14623,.T.); +#14776=ORIENTED_EDGE('',*,*,#14775,.T.); +#14777=ORIENTED_EDGE('',*,*,#13308,.T.); +#14778=ORIENTED_EDGE('',*,*,#14758,.F.); +#14779=EDGE_LOOP('',(#14772,#14773,#14774,#14776,#14777,#14778)); +#14780=FACE_OUTER_BOUND('',#14779,.F.); +#14782=CARTESIAN_POINT('',(3.063705121181E1,6.55E0,-2.E1)); +#14783=DIRECTION('',(0.E0,0.E0,1.E0)); +#14784=DIRECTION('',(1.E0,0.E0,0.E0)); +#14785=AXIS2_PLACEMENT_3D('',#14782,#14783,#14784); +#14786=CYLINDRICAL_SURFACE('',#14785,1.E0); +#14787=ORIENTED_EDGE('',*,*,#14775,.F.); +#14788=ORIENTED_EDGE('',*,*,#14621,.T.); +#14790=ORIENTED_EDGE('',*,*,#14789,.F.); +#14791=ORIENTED_EDGE('',*,*,#13310,.T.); +#14792=EDGE_LOOP('',(#14787,#14788,#14790,#14791)); +#14793=FACE_OUTER_BOUND('',#14792,.F.); +#14795=CARTESIAN_POINT('',(3.063705121181E1,7.55E0,-2.E1)); +#14796=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14797=DIRECTION('',(1.E0,0.E0,0.E0)); +#14798=AXIS2_PLACEMENT_3D('',#14795,#14796,#14797); +#14799=PLANE('',#14798); +#14800=ORIENTED_EDGE('',*,*,#13312,.F.); +#14801=ORIENTED_EDGE('',*,*,#14789,.T.); +#14802=ORIENTED_EDGE('',*,*,#14619,.T.); +#14804=ORIENTED_EDGE('',*,*,#14803,.F.); +#14805=EDGE_LOOP('',(#14800,#14801,#14802,#14804)); +#14806=FACE_OUTER_BOUND('',#14805,.F.); +#14808=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#14809=DIRECTION('',(0.E0,0.E0,1.E0)); +#14810=DIRECTION('',(1.E0,0.E0,0.E0)); +#14811=AXIS2_PLACEMENT_3D('',#14808,#14809,#14810); +#14812=CYLINDRICAL_SURFACE('',#14811,3.25E0); +#14813=ORIENTED_EDGE('',*,*,#13314,.F.); +#14814=ORIENTED_EDGE('',*,*,#14803,.T.); +#14815=ORIENTED_EDGE('',*,*,#14617,.T.); +#14817=ORIENTED_EDGE('',*,*,#14816,.F.); +#14818=EDGE_LOOP('',(#14813,#14814,#14815,#14817)); +#14819=FACE_OUTER_BOUND('',#14818,.F.); +#14821=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-2.E1)); +#14822=DIRECTION('',(0.E0,1.E0,0.E0)); +#14823=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14824=AXIS2_PLACEMENT_3D('',#14821,#14822,#14823); +#14825=PLANE('',#14824); +#14826=ORIENTED_EDGE('',*,*,#13316,.F.); +#14827=ORIENTED_EDGE('',*,*,#14816,.T.); +#14828=ORIENTED_EDGE('',*,*,#14615,.T.); +#14830=ORIENTED_EDGE('',*,*,#14829,.F.); +#14831=EDGE_LOOP('',(#14826,#14827,#14828,#14830)); +#14832=FACE_OUTER_BOUND('',#14831,.F.); +#14834=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#14835=DIRECTION('',(0.E0,0.E0,1.E0)); +#14836=DIRECTION('',(1.E0,0.E0,0.E0)); +#14837=AXIS2_PLACEMENT_3D('',#14834,#14835,#14836); +#14838=CYLINDRICAL_SURFACE('',#14837,3.25E0); +#14839=ORIENTED_EDGE('',*,*,#13318,.F.); +#14840=ORIENTED_EDGE('',*,*,#14829,.T.); +#14841=ORIENTED_EDGE('',*,*,#14613,.T.); +#14843=ORIENTED_EDGE('',*,*,#14842,.F.); +#14844=EDGE_LOOP('',(#14839,#14840,#14841,#14843)); +#14845=FACE_OUTER_BOUND('',#14844,.F.); +#14847=CARTESIAN_POINT('',(2.795E1,1.28E1,-2.E1)); +#14848=DIRECTION('',(0.E0,0.E0,1.E0)); +#14849=DIRECTION('',(1.E0,0.E0,0.E0)); +#14850=AXIS2_PLACEMENT_3D('',#14847,#14848,#14849); +#14851=CYLINDRICAL_SURFACE('',#14850,1.E0); +#14852=ORIENTED_EDGE('',*,*,#13320,.T.); +#14853=ORIENTED_EDGE('',*,*,#14842,.T.); +#14854=ORIENTED_EDGE('',*,*,#14611,.T.); +#14855=ORIENTED_EDGE('',*,*,#14130,.F.); +#14856=EDGE_LOOP('',(#14852,#14853,#14854,#14855)); +#14857=FACE_OUTER_BOUND('',#14856,.F.); +#14859=CARTESIAN_POINT('',(3.32E1,-4.05E0,-2.E1)); +#14860=DIRECTION('',(0.E0,1.E0,0.E0)); +#14861=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14862=AXIS2_PLACEMENT_3D('',#14859,#14860,#14861); +#14863=PLANE('',#14862); +#14864=ORIENTED_EDGE('',*,*,#13302,.F.); +#14866=ORIENTED_EDGE('',*,*,#14865,.T.); +#14867=ORIENTED_EDGE('',*,*,#14532,.T.); +#14868=ORIENTED_EDGE('',*,*,#14746,.F.); +#14869=EDGE_LOOP('',(#14864,#14866,#14867,#14868)); +#14870=FACE_OUTER_BOUND('',#14869,.F.); +#14872=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.E1)); +#14873=DIRECTION('',(0.E0,0.E0,1.E0)); +#14874=DIRECTION('',(1.E0,0.E0,0.E0)); +#14875=AXIS2_PLACEMENT_3D('',#14872,#14873,#14874); +#14876=CYLINDRICAL_SURFACE('',#14875,3.25E0); +#14877=ORIENTED_EDGE('',*,*,#13300,.F.); +#14879=ORIENTED_EDGE('',*,*,#14878,.T.); +#14880=ORIENTED_EDGE('',*,*,#14534,.T.); +#14881=ORIENTED_EDGE('',*,*,#14865,.F.); +#14882=EDGE_LOOP('',(#14877,#14879,#14880,#14881)); +#14883=FACE_OUTER_BOUND('',#14882,.F.); +#14885=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-2.E1)); +#14886=DIRECTION('',(1.E0,0.E0,0.E0)); +#14887=DIRECTION('',(0.E0,1.E0,0.E0)); +#14888=AXIS2_PLACEMENT_3D('',#14885,#14886,#14887); +#14889=PLANE('',#14888); +#14890=ORIENTED_EDGE('',*,*,#13298,.F.); +#14892=ORIENTED_EDGE('',*,*,#14891,.T.); +#14893=ORIENTED_EDGE('',*,*,#14536,.T.); +#14894=ORIENTED_EDGE('',*,*,#14878,.F.); +#14895=EDGE_LOOP('',(#14890,#14892,#14893,#14894)); +#14896=FACE_OUTER_BOUND('',#14895,.F.); +#14898=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.E1)); +#14899=DIRECTION('',(0.E0,0.E0,1.E0)); +#14900=DIRECTION('',(1.E0,0.E0,0.E0)); +#14901=AXIS2_PLACEMENT_3D('',#14898,#14899,#14900); +#14902=CYLINDRICAL_SURFACE('',#14901,3.25E0); +#14903=ORIENTED_EDGE('',*,*,#13296,.F.); +#14905=ORIENTED_EDGE('',*,*,#14904,.T.); +#14906=ORIENTED_EDGE('',*,*,#14538,.T.); +#14907=ORIENTED_EDGE('',*,*,#14891,.F.); +#14908=EDGE_LOOP('',(#14903,#14905,#14906,#14907)); +#14909=FACE_OUTER_BOUND('',#14908,.F.); +#14911=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-2.E1)); +#14912=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14913=DIRECTION('',(1.E0,0.E0,0.E0)); +#14914=AXIS2_PLACEMENT_3D('',#14911,#14912,#14913); +#14915=PLANE('',#14914); +#14916=ORIENTED_EDGE('',*,*,#14044,.F.); +#14917=ORIENTED_EDGE('',*,*,#14540,.T.); +#14918=ORIENTED_EDGE('',*,*,#14904,.F.); +#14919=ORIENTED_EDGE('',*,*,#13294,.F.); +#14920=EDGE_LOOP('',(#14916,#14917,#14918,#14919)); +#14921=FACE_OUTER_BOUND('',#14920,.F.); +#14923=CARTESIAN_POINT('',(-3.063705121181E1,6.55E0,-2.E1)); +#14924=DIRECTION('',(0.E0,0.E0,1.E0)); +#14925=DIRECTION('',(1.E0,0.E0,0.E0)); +#14926=AXIS2_PLACEMENT_3D('',#14923,#14924,#14925); +#14927=CYLINDRICAL_SURFACE('',#14926,1.E0); +#14929=ORIENTED_EDGE('',*,*,#14928,.T.); +#14930=ORIENTED_EDGE('',*,*,#13334,.T.); +#14932=ORIENTED_EDGE('',*,*,#14931,.T.); +#14933=ORIENTED_EDGE('',*,*,#14581,.T.); +#14934=EDGE_LOOP('',(#14929,#14930,#14932,#14933)); +#14935=FACE_OUTER_BOUND('',#14934,.F.); +#14937=CARTESIAN_POINT('',(-2.35E1,5.6E0,0.E0)); +#14938=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14939=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14940=AXIS2_PLACEMENT_3D('',#14937,#14938,#14939); +#14941=CYLINDRICAL_SURFACE('',#14940,6.2E0); +#14942=ORIENTED_EDGE('',*,*,#14348,.T.); +#14943=ORIENTED_EDGE('',*,*,#14520,.T.); +#14944=ORIENTED_EDGE('',*,*,#13336,.T.); +#14945=ORIENTED_EDGE('',*,*,#14928,.F.); +#14946=ORIENTED_EDGE('',*,*,#14594,.T.); +#14947=ORIENTED_EDGE('',*,*,#14123,.F.); +#14948=EDGE_LOOP('',(#14942,#14943,#14944,#14945,#14946,#14947)); +#14949=FACE_OUTER_BOUND('',#14948,.F.); +#14951=CARTESIAN_POINT('',(-3.17E1,7.55E0,-2.E1)); +#14952=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14953=DIRECTION('',(1.E0,0.E0,0.E0)); +#14954=AXIS2_PLACEMENT_3D('',#14951,#14952,#14953); +#14955=PLANE('',#14954); +#14956=ORIENTED_EDGE('',*,*,#13332,.F.); +#14958=ORIENTED_EDGE('',*,*,#14957,.T.); +#14959=ORIENTED_EDGE('',*,*,#14583,.T.); +#14960=ORIENTED_EDGE('',*,*,#14931,.F.); +#14961=EDGE_LOOP('',(#14956,#14958,#14959,#14960)); +#14962=FACE_OUTER_BOUND('',#14961,.F.); +#14964=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#14965=DIRECTION('',(0.E0,0.E0,1.E0)); +#14966=DIRECTION('',(1.E0,0.E0,0.E0)); +#14967=AXIS2_PLACEMENT_3D('',#14964,#14965,#14966); +#14968=CYLINDRICAL_SURFACE('',#14967,3.25E0); +#14969=ORIENTED_EDGE('',*,*,#13330,.F.); +#14971=ORIENTED_EDGE('',*,*,#14970,.T.); +#14972=ORIENTED_EDGE('',*,*,#14585,.T.); +#14973=ORIENTED_EDGE('',*,*,#14957,.F.); +#14974=EDGE_LOOP('',(#14969,#14971,#14972,#14973)); +#14975=FACE_OUTER_BOUND('',#14974,.F.); +#14977=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-2.E1)); +#14978=DIRECTION('',(0.E0,1.E0,0.E0)); +#14979=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14980=AXIS2_PLACEMENT_3D('',#14977,#14978,#14979); +#14981=PLANE('',#14980); +#14982=ORIENTED_EDGE('',*,*,#13328,.F.); +#14984=ORIENTED_EDGE('',*,*,#14983,.T.); +#14985=ORIENTED_EDGE('',*,*,#14587,.T.); +#14986=ORIENTED_EDGE('',*,*,#14970,.F.); +#14987=EDGE_LOOP('',(#14982,#14984,#14985,#14986)); +#14988=FACE_OUTER_BOUND('',#14987,.F.); +#14990=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#14991=DIRECTION('',(0.E0,0.E0,1.E0)); +#14992=DIRECTION('',(1.E0,0.E0,0.E0)); +#14993=AXIS2_PLACEMENT_3D('',#14990,#14991,#14992); +#14994=CYLINDRICAL_SURFACE('',#14993,3.25E0); +#14995=ORIENTED_EDGE('',*,*,#13326,.F.); +#14997=ORIENTED_EDGE('',*,*,#14996,.T.); +#14998=ORIENTED_EDGE('',*,*,#14589,.T.); +#14999=ORIENTED_EDGE('',*,*,#14983,.F.); +#15000=EDGE_LOOP('',(#14995,#14997,#14998,#14999)); +#15001=FACE_OUTER_BOUND('',#15000,.F.); +#15003=CARTESIAN_POINT('',(-2.795E1,1.28E1,-2.E1)); +#15004=DIRECTION('',(0.E0,0.E0,1.E0)); +#15005=DIRECTION('',(1.E0,0.E0,0.E0)); +#15006=AXIS2_PLACEMENT_3D('',#15003,#15004,#15005); +#15007=CYLINDRICAL_SURFACE('',#15006,1.E0); +#15008=ORIENTED_EDGE('',*,*,#13324,.T.); +#15009=ORIENTED_EDGE('',*,*,#14127,.T.); +#15010=ORIENTED_EDGE('',*,*,#14591,.T.); +#15011=ORIENTED_EDGE('',*,*,#14996,.F.); +#15012=EDGE_LOOP('',(#15008,#15009,#15010,#15011)); +#15013=FACE_OUTER_BOUND('',#15012,.F.); +#15015=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.4E0)); +#15016=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15017=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15018=AXIS2_PLACEMENT_3D('',#15015,#15016,#15017); +#15019=PLANE('',#15018); +#15020=ORIENTED_EDGE('',*,*,#14292,.F.); +#15021=ORIENTED_EDGE('',*,*,#14247,.T.); +#15022=ORIENTED_EDGE('',*,*,#14320,.F.); +#15023=ORIENTED_EDGE('',*,*,#14117,.F.); +#15024=EDGE_LOOP('',(#15020,#15021,#15022,#15023)); +#15025=FACE_OUTER_BOUND('',#15024,.F.); +#15027=CARTESIAN_POINT('',(-2.480967741935E1,3.2E0,-2.E1)); +#15028=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15029=DIRECTION('',(1.E0,0.E0,0.E0)); +#15030=AXIS2_PLACEMENT_3D('',#15027,#15028,#15029); +#15031=PLANE('',#15030); +#15032=ORIENTED_EDGE('',*,*,#13592,.F.); +#15034=ORIENTED_EDGE('',*,*,#15033,.T.); +#15036=ORIENTED_EDGE('',*,*,#15035,.T.); +#15038=ORIENTED_EDGE('',*,*,#15037,.F.); +#15039=EDGE_LOOP('',(#15032,#15034,#15036,#15038)); +#15040=FACE_OUTER_BOUND('',#15039,.F.); +#15042=CARTESIAN_POINT('',(-2.480967741935E1,4.859574508667E0,-2.E1)); +#15043=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15044=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15045=AXIS2_PLACEMENT_3D('',#15042,#15043,#15044); +#15046=PLANE('',#15045); +#15047=ORIENTED_EDGE('',*,*,#13604,.F.); +#15049=ORIENTED_EDGE('',*,*,#15048,.T.); +#15051=ORIENTED_EDGE('',*,*,#15050,.T.); +#15052=ORIENTED_EDGE('',*,*,#15033,.F.); +#15053=EDGE_LOOP('',(#15047,#15049,#15051,#15052)); +#15054=FACE_OUTER_BOUND('',#15053,.F.); +#15056=CARTESIAN_POINT('',(-2.51E1,4.561702084541E0,-2.E1)); +#15057=DIRECTION('',(7.161239408757E-1,-6.979731379535E-1,0.E0)); +#15058=DIRECTION('',(6.979731379535E-1,7.161239408757E-1,0.E0)); +#15059=AXIS2_PLACEMENT_3D('',#15056,#15057,#15058); +#15060=PLANE('',#15059); +#15061=ORIENTED_EDGE('',*,*,#13602,.F.); +#15063=ORIENTED_EDGE('',*,*,#15062,.T.); +#15065=ORIENTED_EDGE('',*,*,#15064,.T.); +#15066=ORIENTED_EDGE('',*,*,#15048,.F.); +#15067=EDGE_LOOP('',(#15061,#15063,#15065,#15066)); +#15068=FACE_OUTER_BOUND('',#15067,.F.); +#15070=CARTESIAN_POINT('',(-2.51E1,4.902127695084E0,-2.E1)); +#15071=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15072=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15073=AXIS2_PLACEMENT_3D('',#15070,#15071,#15072); +#15074=PLANE('',#15073); +#15075=ORIENTED_EDGE('',*,*,#13600,.F.); +#15077=ORIENTED_EDGE('',*,*,#15076,.T.); +#15079=ORIENTED_EDGE('',*,*,#15078,.T.); +#15080=ORIENTED_EDGE('',*,*,#15062,.F.); +#15081=EDGE_LOOP('',(#15075,#15077,#15079,#15080)); +#15082=FACE_OUTER_BOUND('',#15081,.F.); +#15084=CARTESIAN_POINT('',(-2.480967741935E1,5.2E0,-2.E1)); +#15085=DIRECTION('',(-7.161238012564E-1,6.979732812036E-1,0.E0)); +#15086=DIRECTION('',(-6.979732812036E-1,-7.161238012564E-1,0.E0)); +#15087=AXIS2_PLACEMENT_3D('',#15084,#15085,#15086); +#15088=PLANE('',#15087); +#15089=ORIENTED_EDGE('',*,*,#13598,.F.); +#15091=ORIENTED_EDGE('',*,*,#15090,.T.); +#15093=ORIENTED_EDGE('',*,*,#15092,.T.); +#15094=ORIENTED_EDGE('',*,*,#15076,.F.); +#15095=EDGE_LOOP('',(#15089,#15091,#15093,#15094)); +#15096=FACE_OUTER_BOUND('',#15095,.F.); +#15098=CARTESIAN_POINT('',(-2.451935483871E1,5.2E0,-2.E1)); +#15099=DIRECTION('',(0.E0,1.E0,0.E0)); +#15100=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15101=AXIS2_PLACEMENT_3D('',#15098,#15099,#15100); +#15102=PLANE('',#15101); +#15103=ORIENTED_EDGE('',*,*,#13596,.F.); +#15105=ORIENTED_EDGE('',*,*,#15104,.T.); +#15107=ORIENTED_EDGE('',*,*,#15106,.T.); +#15108=ORIENTED_EDGE('',*,*,#15090,.F.); +#15109=EDGE_LOOP('',(#15103,#15105,#15107,#15108)); +#15110=FACE_OUTER_BOUND('',#15109,.F.); +#15112=CARTESIAN_POINT('',(-2.451935483871E1,3.2E0,-2.E1)); +#15113=DIRECTION('',(1.E0,0.E0,0.E0)); +#15114=DIRECTION('',(0.E0,1.E0,0.E0)); +#15115=AXIS2_PLACEMENT_3D('',#15112,#15113,#15114); +#15116=PLANE('',#15115); +#15117=ORIENTED_EDGE('',*,*,#13594,.F.); +#15118=ORIENTED_EDGE('',*,*,#15037,.T.); +#15120=ORIENTED_EDGE('',*,*,#15119,.T.); +#15121=ORIENTED_EDGE('',*,*,#15104,.F.); +#15122=EDGE_LOOP('',(#15117,#15118,#15120,#15121)); +#15123=FACE_OUTER_BOUND('',#15122,.F.); +#15125=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#15126=DIRECTION('',(0.E0,0.E0,1.E0)); +#15127=DIRECTION('',(1.E0,0.E0,0.E0)); +#15128=AXIS2_PLACEMENT_3D('',#15125,#15126,#15127); +#15129=PLANE('',#15128); +#15130=ORIENTED_EDGE('',*,*,#15119,.F.); +#15131=ORIENTED_EDGE('',*,*,#15035,.F.); +#15132=ORIENTED_EDGE('',*,*,#15050,.F.); +#15133=ORIENTED_EDGE('',*,*,#15064,.F.); +#15134=ORIENTED_EDGE('',*,*,#15078,.F.); +#15135=ORIENTED_EDGE('',*,*,#15092,.F.); +#15136=ORIENTED_EDGE('',*,*,#15106,.F.); +#15137=EDGE_LOOP('',(#15130,#15131,#15132,#15133,#15134,#15135,#15136)); +#15138=FACE_OUTER_BOUND('',#15137,.F.); +#15140=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#15141=DIRECTION('',(0.E0,0.E0,1.E0)); +#15142=DIRECTION('',(1.E0,0.E0,0.E0)); +#15143=AXIS2_PLACEMENT_3D('',#15140,#15141,#15142); +#15144=PLANE('',#15143); +#15146=ORIENTED_EDGE('',*,*,#15145,.F.); +#15148=ORIENTED_EDGE('',*,*,#15147,.F.); +#15150=ORIENTED_EDGE('',*,*,#15149,.F.); +#15152=ORIENTED_EDGE('',*,*,#15151,.F.); +#15154=ORIENTED_EDGE('',*,*,#15153,.F.); +#15156=ORIENTED_EDGE('',*,*,#15155,.F.); +#15158=ORIENTED_EDGE('',*,*,#15157,.F.); +#15160=ORIENTED_EDGE('',*,*,#15159,.F.); +#15162=ORIENTED_EDGE('',*,*,#15161,.F.); +#15164=ORIENTED_EDGE('',*,*,#15163,.F.); +#15166=ORIENTED_EDGE('',*,*,#15165,.F.); +#15168=ORIENTED_EDGE('',*,*,#15167,.F.); +#15170=ORIENTED_EDGE('',*,*,#15169,.F.); +#15172=ORIENTED_EDGE('',*,*,#15171,.F.); +#15174=ORIENTED_EDGE('',*,*,#15173,.F.); +#15176=ORIENTED_EDGE('',*,*,#15175,.F.); +#15178=ORIENTED_EDGE('',*,*,#15177,.F.); +#15180=ORIENTED_EDGE('',*,*,#15179,.F.); +#15182=ORIENTED_EDGE('',*,*,#15181,.F.); +#15184=ORIENTED_EDGE('',*,*,#15183,.F.); +#15186=ORIENTED_EDGE('',*,*,#15185,.F.); +#15188=ORIENTED_EDGE('',*,*,#15187,.F.); +#15190=ORIENTED_EDGE('',*,*,#15189,.F.); +#15192=ORIENTED_EDGE('',*,*,#15191,.F.); +#15194=ORIENTED_EDGE('',*,*,#15193,.F.); +#15196=ORIENTED_EDGE('',*,*,#15195,.F.); +#15198=ORIENTED_EDGE('',*,*,#15197,.F.); +#15200=ORIENTED_EDGE('',*,*,#15199,.F.); +#15201=EDGE_LOOP('',(#15146,#15148,#15150,#15152,#15154,#15156,#15158,#15160, +#15162,#15164,#15166,#15168,#15170,#15172,#15174,#15176,#15178,#15180,#15182, +#15184,#15186,#15188,#15190,#15192,#15194,#15196,#15198,#15200)); +#15202=FACE_OUTER_BOUND('',#15201,.F.); +#15204=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#15205=DIRECTION('',(0.E0,0.E0,1.E0)); +#15206=DIRECTION('',(1.E0,0.E0,0.E0)); +#15207=AXIS2_PLACEMENT_3D('',#15204,#15205,#15206); +#15208=PLANE('',#15207); +#15210=ORIENTED_EDGE('',*,*,#15209,.F.); +#15212=ORIENTED_EDGE('',*,*,#15211,.F.); +#15214=ORIENTED_EDGE('',*,*,#15213,.F.); +#15216=ORIENTED_EDGE('',*,*,#15215,.F.); +#15218=ORIENTED_EDGE('',*,*,#15217,.F.); +#15220=ORIENTED_EDGE('',*,*,#15219,.F.); +#15222=ORIENTED_EDGE('',*,*,#15221,.F.); +#15224=ORIENTED_EDGE('',*,*,#15223,.F.); +#15226=ORIENTED_EDGE('',*,*,#15225,.F.); +#15228=ORIENTED_EDGE('',*,*,#15227,.F.); +#15230=ORIENTED_EDGE('',*,*,#15229,.F.); +#15231=EDGE_LOOP('',(#15210,#15212,#15214,#15216,#15218,#15220,#15222,#15224, +#15226,#15228,#15230)); +#15232=FACE_OUTER_BOUND('',#15231,.F.); +#15234=ORIENTED_EDGE('',*,*,#15233,.F.); +#15236=ORIENTED_EDGE('',*,*,#15235,.F.); +#15238=ORIENTED_EDGE('',*,*,#15237,.F.); +#15239=EDGE_LOOP('',(#15234,#15236,#15238)); +#15240=FACE_BOUND('',#15239,.F.); +#15242=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#15243=DIRECTION('',(0.E0,0.E0,1.E0)); +#15244=DIRECTION('',(1.E0,0.E0,0.E0)); +#15245=AXIS2_PLACEMENT_3D('',#15242,#15243,#15244); +#15246=PLANE('',#15245); +#15248=ORIENTED_EDGE('',*,*,#15247,.F.); +#15250=ORIENTED_EDGE('',*,*,#15249,.F.); +#15252=ORIENTED_EDGE('',*,*,#15251,.F.); +#15254=ORIENTED_EDGE('',*,*,#15253,.F.); +#15256=ORIENTED_EDGE('',*,*,#15255,.F.); +#15258=ORIENTED_EDGE('',*,*,#15257,.F.); +#15260=ORIENTED_EDGE('',*,*,#15259,.F.); +#15261=EDGE_LOOP('',(#15248,#15250,#15252,#15254,#15256,#15258,#15260)); +#15262=FACE_OUTER_BOUND('',#15261,.F.); +#15264=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#15265=DIRECTION('',(0.E0,0.E0,1.E0)); +#15266=DIRECTION('',(1.E0,0.E0,0.E0)); +#15267=AXIS2_PLACEMENT_3D('',#15264,#15265,#15266); +#15268=PLANE('',#15267); +#15270=ORIENTED_EDGE('',*,*,#15269,.F.); +#15272=ORIENTED_EDGE('',*,*,#15271,.F.); +#15274=ORIENTED_EDGE('',*,*,#15273,.F.); +#15276=ORIENTED_EDGE('',*,*,#15275,.F.); +#15278=ORIENTED_EDGE('',*,*,#15277,.F.); +#15280=ORIENTED_EDGE('',*,*,#15279,.F.); +#15282=ORIENTED_EDGE('',*,*,#15281,.F.); +#15284=ORIENTED_EDGE('',*,*,#15283,.F.); +#15286=ORIENTED_EDGE('',*,*,#15285,.F.); +#15288=ORIENTED_EDGE('',*,*,#15287,.F.); +#15290=ORIENTED_EDGE('',*,*,#15289,.F.); +#15292=ORIENTED_EDGE('',*,*,#15291,.F.); +#15294=ORIENTED_EDGE('',*,*,#15293,.F.); +#15296=ORIENTED_EDGE('',*,*,#15295,.F.); +#15298=ORIENTED_EDGE('',*,*,#15297,.F.); +#15300=ORIENTED_EDGE('',*,*,#15299,.F.); +#15302=ORIENTED_EDGE('',*,*,#15301,.F.); +#15304=ORIENTED_EDGE('',*,*,#15303,.F.); +#15306=ORIENTED_EDGE('',*,*,#15305,.F.); +#15308=ORIENTED_EDGE('',*,*,#15307,.F.); +#15310=ORIENTED_EDGE('',*,*,#15309,.F.); +#15312=ORIENTED_EDGE('',*,*,#15311,.F.); +#15314=ORIENTED_EDGE('',*,*,#15313,.F.); +#15316=ORIENTED_EDGE('',*,*,#15315,.F.); +#15318=ORIENTED_EDGE('',*,*,#15317,.F.); +#15320=ORIENTED_EDGE('',*,*,#15319,.F.); +#15322=ORIENTED_EDGE('',*,*,#15321,.F.); +#15324=ORIENTED_EDGE('',*,*,#15323,.F.); +#15326=ORIENTED_EDGE('',*,*,#15325,.F.); +#15328=ORIENTED_EDGE('',*,*,#15327,.F.); +#15330=ORIENTED_EDGE('',*,*,#15329,.F.); +#15332=ORIENTED_EDGE('',*,*,#15331,.F.); +#15334=ORIENTED_EDGE('',*,*,#15333,.F.); +#15336=ORIENTED_EDGE('',*,*,#15335,.F.); +#15338=ORIENTED_EDGE('',*,*,#15337,.F.); +#15340=ORIENTED_EDGE('',*,*,#15339,.F.); +#15342=ORIENTED_EDGE('',*,*,#15341,.F.); +#15344=ORIENTED_EDGE('',*,*,#15343,.F.); +#15346=ORIENTED_EDGE('',*,*,#15345,.F.); +#15348=ORIENTED_EDGE('',*,*,#15347,.F.); +#15350=ORIENTED_EDGE('',*,*,#15349,.F.); +#15352=ORIENTED_EDGE('',*,*,#15351,.F.); +#15354=ORIENTED_EDGE('',*,*,#15353,.F.); +#15356=ORIENTED_EDGE('',*,*,#15355,.F.); +#15358=ORIENTED_EDGE('',*,*,#15357,.F.); +#15360=ORIENTED_EDGE('',*,*,#15359,.F.); +#15362=ORIENTED_EDGE('',*,*,#15361,.F.); +#15364=ORIENTED_EDGE('',*,*,#15363,.F.); +#15366=ORIENTED_EDGE('',*,*,#15365,.F.); +#15368=ORIENTED_EDGE('',*,*,#15367,.F.); +#15370=ORIENTED_EDGE('',*,*,#15369,.F.); +#15372=ORIENTED_EDGE('',*,*,#15371,.F.); +#15374=ORIENTED_EDGE('',*,*,#15373,.F.); +#15376=ORIENTED_EDGE('',*,*,#15375,.F.); +#15378=ORIENTED_EDGE('',*,*,#15377,.F.); +#15380=ORIENTED_EDGE('',*,*,#15379,.F.); +#15382=ORIENTED_EDGE('',*,*,#15381,.F.); +#15383=EDGE_LOOP('',(#15270,#15272,#15274,#15276,#15278,#15280,#15282,#15284, +#15286,#15288,#15290,#15292,#15294,#15296,#15298,#15300,#15302,#15304,#15306, +#15308,#15310,#15312,#15314,#15316,#15318,#15320,#15322,#15324,#15326,#15328, +#15330,#15332,#15334,#15336,#15338,#15340,#15342,#15344,#15346,#15348,#15350, +#15352,#15354,#15356,#15358,#15360,#15362,#15364,#15366,#15368,#15370,#15372, +#15374,#15376,#15378,#15380,#15382)); +#15384=FACE_OUTER_BOUND('',#15383,.F.); +#15386=CARTESIAN_POINT('',(-2.5E1,-5.987234038115E0,-2.E1)); +#15387=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15388=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15389=AXIS2_PLACEMENT_3D('',#15386,#15387,#15388); +#15390=PLANE('',#15389); +#15391=ORIENTED_EDGE('',*,*,#13610,.F.); +#15393=ORIENTED_EDGE('',*,*,#15392,.T.); +#15394=ORIENTED_EDGE('',*,*,#15145,.T.); +#15396=ORIENTED_EDGE('',*,*,#15395,.F.); +#15397=EDGE_LOOP('',(#15391,#15393,#15394,#15396)); +#15398=FACE_OUTER_BOUND('',#15397,.F.); +#15400=CARTESIAN_POINT('',(-2.436404934028E1,-4.923404288292E0,-2.E1)); +#15401=DIRECTION('',(-8.583272853770E-1,5.131025932281E-1,0.E0)); +#15402=DIRECTION('',(-5.131025932281E-1,-8.583272853770E-1,0.E0)); +#15403=AXIS2_PLACEMENT_3D('',#15400,#15401,#15402); +#15404=PLANE('',#15403); +#15405=ORIENTED_EDGE('',*,*,#13608,.F.); +#15407=ORIENTED_EDGE('',*,*,#15406,.T.); +#15408=ORIENTED_EDGE('',*,*,#15147,.T.); +#15409=ORIENTED_EDGE('',*,*,#15392,.F.); +#15410=EDGE_LOOP('',(#15405,#15407,#15408,#15409)); +#15411=FACE_OUTER_BOUND('',#15410,.F.); +#15413=CARTESIAN_POINT('',(-2.431862426995E1,-4.753191542625E0,-2.E1)); +#15414=DIRECTION('',(-9.661854530976E-1,2.578481534210E-1,0.E0)); +#15415=DIRECTION('',(-2.578481534210E-1,-9.661854530976E-1,0.E0)); +#15416=AXIS2_PLACEMENT_3D('',#15413,#15414,#15415); +#15417=PLANE('',#15416); +#15418=ORIENTED_EDGE('',*,*,#13662,.F.); +#15420=ORIENTED_EDGE('',*,*,#15419,.T.); +#15421=ORIENTED_EDGE('',*,*,#15149,.T.); +#15422=ORIENTED_EDGE('',*,*,#15406,.F.); +#15423=EDGE_LOOP('',(#15418,#15420,#15421,#15422)); +#15424=FACE_OUTER_BOUND('',#15423,.F.); +#15426=CARTESIAN_POINT('',(-2.431862426995E1,-4.668085050583E0,-2.E1)); +#15427=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15428=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15429=AXIS2_PLACEMENT_3D('',#15426,#15427,#15428); +#15430=PLANE('',#15429); +#15431=ORIENTED_EDGE('',*,*,#13660,.F.); +#15433=ORIENTED_EDGE('',*,*,#15432,.T.); +#15434=ORIENTED_EDGE('',*,*,#15151,.T.); +#15435=ORIENTED_EDGE('',*,*,#15419,.F.); +#15436=EDGE_LOOP('',(#15431,#15433,#15434,#15435)); +#15437=FACE_OUTER_BOUND('',#15436,.F.); +#15439=CARTESIAN_POINT('',(-2.436404934028E1,-4.540425491333E0,-2.E1)); +#15440=DIRECTION('',(-9.421331179979E-1,-3.352390012686E-1,0.E0)); +#15441=DIRECTION('',(3.352390012686E-1,-9.421331179979E-1,0.E0)); +#15442=AXIS2_PLACEMENT_3D('',#15439,#15440,#15441); +#15443=PLANE('',#15442); +#15444=ORIENTED_EDGE('',*,*,#13658,.F.); +#15446=ORIENTED_EDGE('',*,*,#15445,.T.); +#15447=ORIENTED_EDGE('',*,*,#15153,.T.); +#15448=ORIENTED_EDGE('',*,*,#15432,.F.); +#15449=EDGE_LOOP('',(#15444,#15446,#15447,#15448)); +#15450=FACE_OUTER_BOUND('',#15449,.F.); +#15452=CARTESIAN_POINT('',(-2.443218691329E1,-4.455319118500E0,-2.E1)); +#15453=DIRECTION('',(-7.806339631095E-1,-6.249884924060E-1,0.E0)); +#15454=DIRECTION('',(6.249884924060E-1,-7.806339631095E-1,0.E0)); +#15455=AXIS2_PLACEMENT_3D('',#15452,#15453,#15454); +#15456=PLANE('',#15455); +#15457=ORIENTED_EDGE('',*,*,#13656,.F.); +#15459=ORIENTED_EDGE('',*,*,#15458,.T.); +#15460=ORIENTED_EDGE('',*,*,#15155,.T.); +#15461=ORIENTED_EDGE('',*,*,#15445,.F.); +#15462=EDGE_LOOP('',(#15457,#15459,#15460,#15461)); +#15463=FACE_OUTER_BOUND('',#15462,.F.); +#15465=CARTESIAN_POINT('',(-2.454574952413E1,-4.412765932083E0,-2.E1)); +#15466=DIRECTION('',(-3.508863650108E-1,-9.364180470546E-1,0.E0)); +#15467=DIRECTION('',(9.364180470546E-1,-3.508863650108E-1,0.E0)); +#15468=AXIS2_PLACEMENT_3D('',#15465,#15466,#15467); +#15469=PLANE('',#15468); +#15470=ORIENTED_EDGE('',*,*,#13654,.F.); +#15472=ORIENTED_EDGE('',*,*,#15471,.T.); +#15473=ORIENTED_EDGE('',*,*,#15157,.T.); +#15474=ORIENTED_EDGE('',*,*,#15458,.F.); +#15475=EDGE_LOOP('',(#15470,#15472,#15473,#15474)); +#15476=FACE_OUTER_BOUND('',#15475,.F.); +#15478=CARTESIAN_POINT('',(-2.463659959981E1,-4.412765932083E0,-2.E1)); +#15479=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15480=DIRECTION('',(1.E0,0.E0,0.E0)); +#15481=AXIS2_PLACEMENT_3D('',#15478,#15479,#15480); +#15482=PLANE('',#15481); +#15483=ORIENTED_EDGE('',*,*,#13652,.F.); +#15485=ORIENTED_EDGE('',*,*,#15484,.T.); +#15486=ORIENTED_EDGE('',*,*,#15159,.T.); +#15487=ORIENTED_EDGE('',*,*,#15471,.F.); +#15488=EDGE_LOOP('',(#15483,#15485,#15486,#15487)); +#15489=FACE_OUTER_BOUND('',#15488,.F.); +#15491=CARTESIAN_POINT('',(-2.475016222690E1,-4.455319118500E0,-2.E1)); +#15492=DIRECTION('',(3.508863209961E-1,-9.364180635474E-1,0.E0)); +#15493=DIRECTION('',(9.364180635474E-1,3.508863209961E-1,0.E0)); +#15494=AXIS2_PLACEMENT_3D('',#15491,#15492,#15493); +#15495=PLANE('',#15494); +#15496=ORIENTED_EDGE('',*,*,#13650,.F.); +#15498=ORIENTED_EDGE('',*,*,#15497,.T.); +#15499=ORIENTED_EDGE('',*,*,#15161,.T.); +#15500=ORIENTED_EDGE('',*,*,#15484,.F.); +#15501=EDGE_LOOP('',(#15496,#15498,#15499,#15500)); +#15502=FACE_OUTER_BOUND('',#15501,.F.); +#15504=CARTESIAN_POINT('',(-2.481829979991E1,-4.540425491333E0,-2.E1)); +#15505=DIRECTION('',(7.806339631095E-1,-6.249884924060E-1,0.E0)); +#15506=DIRECTION('',(6.249884924060E-1,7.806339631095E-1,0.E0)); +#15507=AXIS2_PLACEMENT_3D('',#15504,#15505,#15506); +#15508=PLANE('',#15507); +#15509=ORIENTED_EDGE('',*,*,#13648,.F.); +#15511=ORIENTED_EDGE('',*,*,#15510,.T.); +#15512=ORIENTED_EDGE('',*,*,#15163,.T.); +#15513=ORIENTED_EDGE('',*,*,#15497,.F.); +#15514=EDGE_LOOP('',(#15509,#15511,#15512,#15513)); +#15515=FACE_OUTER_BOUND('',#15514,.F.); +#15517=CARTESIAN_POINT('',(-2.486372485399E1,-4.668085050583E0,-2.E1)); +#15518=DIRECTION('',(9.421331558641E-1,-3.352388948518E-1,0.E0)); +#15519=DIRECTION('',(3.352388948518E-1,9.421331558641E-1,0.E0)); +#15520=AXIS2_PLACEMENT_3D('',#15517,#15518,#15519); +#15521=PLANE('',#15520); +#15522=ORIENTED_EDGE('',*,*,#13646,.F.); +#15524=ORIENTED_EDGE('',*,*,#15523,.T.); +#15525=ORIENTED_EDGE('',*,*,#15165,.T.); +#15526=ORIENTED_EDGE('',*,*,#15510,.F.); +#15527=EDGE_LOOP('',(#15522,#15524,#15525,#15526)); +#15528=FACE_OUTER_BOUND('',#15527,.F.); +#15530=CARTESIAN_POINT('',(-2.497728747499E1,-4.668085050583E0,-2.E1)); +#15531=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15532=DIRECTION('',(1.E0,0.E0,0.E0)); +#15533=AXIS2_PLACEMENT_3D('',#15530,#15531,#15532); +#15534=PLANE('',#15533); +#15535=ORIENTED_EDGE('',*,*,#13644,.F.); +#15537=ORIENTED_EDGE('',*,*,#15536,.T.); +#15538=ORIENTED_EDGE('',*,*,#15167,.T.); +#15539=ORIENTED_EDGE('',*,*,#15523,.F.); +#15540=EDGE_LOOP('',(#15535,#15537,#15538,#15539)); +#15541=FACE_OUTER_BOUND('',#15540,.F.); +#15543=CARTESIAN_POINT('',(-2.495457494998E1,-4.497872304916E0,-2.E1)); +#15544=DIRECTION('',(-9.912145509796E-1,1.322638042937E-1,0.E0)); +#15545=DIRECTION('',(-1.322638042937E-1,-9.912145509796E-1,0.E0)); +#15546=AXIS2_PLACEMENT_3D('',#15543,#15544,#15545); +#15547=PLANE('',#15546); +#15548=ORIENTED_EDGE('',*,*,#13642,.F.); +#15550=ORIENTED_EDGE('',*,*,#15549,.T.); +#15551=ORIENTED_EDGE('',*,*,#15169,.T.); +#15552=ORIENTED_EDGE('',*,*,#15536,.F.); +#15553=EDGE_LOOP('',(#15548,#15550,#15551,#15552)); +#15554=FACE_OUTER_BOUND('',#15553,.F.); +#15556=CARTESIAN_POINT('',(-2.486372485399E1,-4.327659559250E0,-2.E1)); +#15557=DIRECTION('',(-8.822023254262E-1,4.708705310514E-1,0.E0)); +#15558=DIRECTION('',(-4.708705310514E-1,-8.822023254262E-1,0.E0)); +#15559=AXIS2_PLACEMENT_3D('',#15556,#15557,#15558); +#15560=PLANE('',#15559); +#15561=ORIENTED_EDGE('',*,*,#13640,.F.); +#15563=ORIENTED_EDGE('',*,*,#15562,.T.); +#15564=ORIENTED_EDGE('',*,*,#15171,.T.); +#15565=ORIENTED_EDGE('',*,*,#15549,.F.); +#15566=EDGE_LOOP('',(#15561,#15563,#15564,#15565)); +#15567=FACE_OUTER_BOUND('',#15566,.F.); +#15569=CARTESIAN_POINT('',(-2.477287476207E1,-4.242553186417E0,-2.E1)); +#15570=DIRECTION('',(-6.836606641092E-1,7.298000386063E-1,0.E0)); +#15571=DIRECTION('',(-7.298000386063E-1,-6.836606641092E-1,0.E0)); +#15572=AXIS2_PLACEMENT_3D('',#15569,#15570,#15571); +#15573=PLANE('',#15572); +#15574=ORIENTED_EDGE('',*,*,#13638,.F.); +#15576=ORIENTED_EDGE('',*,*,#15575,.T.); +#15577=ORIENTED_EDGE('',*,*,#15173,.T.); +#15578=ORIENTED_EDGE('',*,*,#15562,.F.); +#15579=EDGE_LOOP('',(#15574,#15576,#15577,#15578)); +#15580=FACE_OUTER_BOUND('',#15579,.F.); +#15582=CARTESIAN_POINT('',(-2.461388709714E1,-4.2E0,-2.E1)); +#15583=DIRECTION('',(-2.585501581877E-1,9.659978342114E-1,0.E0)); +#15584=DIRECTION('',(-9.659978342114E-1,-2.585501581877E-1,0.E0)); +#15585=AXIS2_PLACEMENT_3D('',#15582,#15583,#15584); +#15586=PLANE('',#15585); +#15587=ORIENTED_EDGE('',*,*,#13636,.F.); +#15589=ORIENTED_EDGE('',*,*,#15588,.T.); +#15590=ORIENTED_EDGE('',*,*,#15175,.T.); +#15591=ORIENTED_EDGE('',*,*,#15575,.F.); +#15592=EDGE_LOOP('',(#15587,#15589,#15590,#15591)); +#15593=FACE_OUTER_BOUND('',#15592,.F.); +#15595=CARTESIAN_POINT('',(-2.452303698897E1,-4.2E0,-2.E1)); +#15596=DIRECTION('',(0.E0,1.E0,0.E0)); +#15597=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15598=AXIS2_PLACEMENT_3D('',#15595,#15596,#15597); +#15599=PLANE('',#15598); +#15600=ORIENTED_EDGE('',*,*,#13634,.F.); +#15602=ORIENTED_EDGE('',*,*,#15601,.T.); +#15603=ORIENTED_EDGE('',*,*,#15177,.T.); +#15604=ORIENTED_EDGE('',*,*,#15588,.F.); +#15605=EDGE_LOOP('',(#15600,#15602,#15603,#15604)); +#15606=FACE_OUTER_BOUND('',#15605,.F.); +#15608=CARTESIAN_POINT('',(-2.438676184296E1,-4.242553186417E0,-2.E1)); +#15609=DIRECTION('',(2.980656981935E-1,9.545453575187E-1,0.E0)); +#15610=DIRECTION('',(-9.545453575187E-1,2.980656981935E-1,0.E0)); +#15611=AXIS2_PLACEMENT_3D('',#15608,#15609,#15610); +#15612=PLANE('',#15611); +#15613=ORIENTED_EDGE('',*,*,#13632,.F.); +#15615=ORIENTED_EDGE('',*,*,#15614,.T.); +#15616=ORIENTED_EDGE('',*,*,#15179,.T.); +#15617=ORIENTED_EDGE('',*,*,#15601,.F.); +#15618=EDGE_LOOP('',(#15613,#15615,#15616,#15617)); +#15619=FACE_OUTER_BOUND('',#15618,.F.); +#15621=CARTESIAN_POINT('',(-2.429591176728E1,-4.327659559250E0,-2.E1)); +#15622=DIRECTION('',(6.836607292195E-1,7.297999776124E-1,0.E0)); +#15623=DIRECTION('',(-7.297999776124E-1,6.836607292195E-1,0.E0)); +#15624=AXIS2_PLACEMENT_3D('',#15621,#15622,#15623); +#15625=PLANE('',#15624); +#15626=ORIENTED_EDGE('',*,*,#13630,.F.); +#15628=ORIENTED_EDGE('',*,*,#15627,.T.); +#15629=ORIENTED_EDGE('',*,*,#15181,.T.); +#15630=ORIENTED_EDGE('',*,*,#15614,.F.); +#15631=EDGE_LOOP('',(#15626,#15628,#15629,#15630)); +#15632=FACE_OUTER_BOUND('',#15631,.F.); +#15634=CARTESIAN_POINT('',(-2.420506162662E1,-4.497872304916E0,-2.E1)); +#15635=DIRECTION('',(8.822022292416E-1,4.708707112585E-1,0.E0)); +#15636=DIRECTION('',(-4.708707112585E-1,8.822022292416E-1,0.E0)); +#15637=AXIS2_PLACEMENT_3D('',#15634,#15635,#15636); +#15638=PLANE('',#15637); +#15639=ORIENTED_EDGE('',*,*,#13628,.F.); +#15641=ORIENTED_EDGE('',*,*,#15640,.T.); +#15642=ORIENTED_EDGE('',*,*,#15183,.T.); +#15643=ORIENTED_EDGE('',*,*,#15627,.F.); +#15644=EDGE_LOOP('',(#15639,#15641,#15642,#15643)); +#15645=FACE_OUTER_BOUND('',#15644,.F.); +#15647=CARTESIAN_POINT('',(-2.418234912395E1,-4.668085050583E0,-2.E1)); +#15648=DIRECTION('',(9.912145680331E-1,1.322636764909E-1,0.E0)); +#15649=DIRECTION('',(-1.322636764909E-1,9.912145680331E-1,0.E0)); +#15650=AXIS2_PLACEMENT_3D('',#15647,#15648,#15649); +#15651=PLANE('',#15650); +#15652=ORIENTED_EDGE('',*,*,#13626,.F.); +#15654=ORIENTED_EDGE('',*,*,#15653,.T.); +#15655=ORIENTED_EDGE('',*,*,#15185,.T.); +#15656=ORIENTED_EDGE('',*,*,#15640,.F.); +#15657=EDGE_LOOP('',(#15652,#15654,#15655,#15656)); +#15658=FACE_OUTER_BOUND('',#15657,.F.); +#15660=CARTESIAN_POINT('',(-2.418234912395E1,-4.753191542625E0,-2.E1)); +#15661=DIRECTION('',(1.E0,0.E0,0.E0)); +#15662=DIRECTION('',(0.E0,1.E0,0.E0)); +#15663=AXIS2_PLACEMENT_3D('',#15660,#15661,#15662); +#15664=PLANE('',#15663); +#15665=ORIENTED_EDGE('',*,*,#13624,.F.); +#15667=ORIENTED_EDGE('',*,*,#15666,.T.); +#15668=ORIENTED_EDGE('',*,*,#15187,.T.); +#15669=ORIENTED_EDGE('',*,*,#15653,.F.); +#15670=EDGE_LOOP('',(#15665,#15667,#15668,#15669)); +#15671=FACE_OUTER_BOUND('',#15670,.F.); +#15673=CARTESIAN_POINT('',(-2.420506162662E1,-4.923404288292E0,-2.E1)); +#15674=DIRECTION('',(9.912145680331E-1,-1.322636764909E-1,0.E0)); +#15675=DIRECTION('',(1.322636764909E-1,9.912145680331E-1,0.E0)); +#15676=AXIS2_PLACEMENT_3D('',#15673,#15674,#15675); +#15677=PLANE('',#15676); +#15678=ORIENTED_EDGE('',*,*,#13622,.F.); +#15680=ORIENTED_EDGE('',*,*,#15679,.T.); +#15681=ORIENTED_EDGE('',*,*,#15189,.T.); +#15682=ORIENTED_EDGE('',*,*,#15666,.F.); +#15683=EDGE_LOOP('',(#15678,#15680,#15681,#15682)); +#15684=FACE_OUTER_BOUND('',#15683,.F.); +#15686=CARTESIAN_POINT('',(-2.425048669695E1,-5.051063847542E0,-2.E1)); +#15687=DIRECTION('',(9.421331179979E-1,-3.352390012686E-1,0.E0)); +#15688=DIRECTION('',(3.352390012686E-1,9.421331179979E-1,0.E0)); +#15689=AXIS2_PLACEMENT_3D('',#15686,#15687,#15688); +#15690=PLANE('',#15689); +#15691=ORIENTED_EDGE('',*,*,#13620,.F.); +#15693=ORIENTED_EDGE('',*,*,#15692,.T.); +#15694=ORIENTED_EDGE('',*,*,#15191,.T.); +#15695=ORIENTED_EDGE('',*,*,#15679,.F.); +#15696=EDGE_LOOP('',(#15691,#15693,#15694,#15695)); +#15697=FACE_OUTER_BOUND('',#15696,.F.); +#15699=CARTESIAN_POINT('',(-2.481829979991E1,-5.987234038115E0,-2.E1)); +#15700=DIRECTION('',(8.550207785597E-1,-5.185937410258E-1,0.E0)); +#15701=DIRECTION('',(5.185937410258E-1,8.550207785597E-1,0.E0)); +#15702=AXIS2_PLACEMENT_3D('',#15699,#15700,#15701); +#15703=PLANE('',#15702); +#15704=ORIENTED_EDGE('',*,*,#13618,.F.); +#15706=ORIENTED_EDGE('',*,*,#15705,.T.); +#15707=ORIENTED_EDGE('',*,*,#15193,.T.); +#15708=ORIENTED_EDGE('',*,*,#15692,.F.); +#15709=EDGE_LOOP('',(#15704,#15706,#15707,#15708)); +#15710=FACE_OUTER_BOUND('',#15709,.F.); +#15712=CARTESIAN_POINT('',(-2.418234912395E1,-5.987234038115E0,-2.E1)); +#15713=DIRECTION('',(0.E0,1.E0,0.E0)); +#15714=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15715=AXIS2_PLACEMENT_3D('',#15712,#15713,#15714); +#15716=PLANE('',#15715); +#15717=ORIENTED_EDGE('',*,*,#13616,.F.); +#15719=ORIENTED_EDGE('',*,*,#15718,.T.); +#15720=ORIENTED_EDGE('',*,*,#15195,.T.); +#15721=ORIENTED_EDGE('',*,*,#15705,.F.); +#15722=EDGE_LOOP('',(#15717,#15719,#15720,#15721)); +#15723=FACE_OUTER_BOUND('',#15722,.F.); +#15725=CARTESIAN_POINT('',(-2.418234912395E1,-6.2E0,-2.E1)); +#15726=DIRECTION('',(1.E0,0.E0,0.E0)); +#15727=DIRECTION('',(0.E0,1.E0,0.E0)); +#15728=AXIS2_PLACEMENT_3D('',#15725,#15726,#15727); +#15729=PLANE('',#15728); +#15730=ORIENTED_EDGE('',*,*,#13614,.F.); +#15732=ORIENTED_EDGE('',*,*,#15731,.T.); +#15733=ORIENTED_EDGE('',*,*,#15197,.T.); +#15734=ORIENTED_EDGE('',*,*,#15718,.F.); +#15735=EDGE_LOOP('',(#15730,#15732,#15733,#15734)); +#15736=FACE_OUTER_BOUND('',#15735,.F.); +#15738=CARTESIAN_POINT('',(-2.5E1,-6.2E0,-2.E1)); +#15739=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15740=DIRECTION('',(1.E0,0.E0,0.E0)); +#15741=AXIS2_PLACEMENT_3D('',#15738,#15739,#15740); +#15742=PLANE('',#15741); +#15743=ORIENTED_EDGE('',*,*,#13612,.F.); +#15744=ORIENTED_EDGE('',*,*,#15395,.T.); +#15745=ORIENTED_EDGE('',*,*,#15199,.T.); +#15746=ORIENTED_EDGE('',*,*,#15731,.F.); +#15747=EDGE_LOOP('',(#15743,#15744,#15745,#15746)); +#15748=FACE_OUTER_BOUND('',#15747,.F.); +#15750=CARTESIAN_POINT('',(-2.345554838855E1,-4.2E0,-2.E1)); +#15751=DIRECTION('',(-9.034737209290E-1,4.286434830844E-1,0.E0)); +#15752=DIRECTION('',(-4.286434830844E-1,-9.034737209290E-1,0.E0)); +#15753=AXIS2_PLACEMENT_3D('',#15750,#15751,#15752); +#15754=PLANE('',#15753); +#15755=ORIENTED_EDGE('',*,*,#13668,.F.); +#15757=ORIENTED_EDGE('',*,*,#15756,.T.); +#15758=ORIENTED_EDGE('',*,*,#15209,.T.); +#15760=ORIENTED_EDGE('',*,*,#15759,.F.); +#15761=EDGE_LOOP('',(#15755,#15757,#15758,#15760)); +#15762=FACE_OUTER_BOUND('',#15761,.F.); +#15764=CARTESIAN_POINT('',(-2.331927324254E1,-4.2E0,-2.E1)); +#15765=DIRECTION('',(0.E0,1.E0,0.E0)); +#15766=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15767=AXIS2_PLACEMENT_3D('',#15764,#15765,#15766); +#15768=PLANE('',#15767); +#15769=ORIENTED_EDGE('',*,*,#13666,.F.); +#15771=ORIENTED_EDGE('',*,*,#15770,.T.); +#15772=ORIENTED_EDGE('',*,*,#15211,.T.); +#15773=ORIENTED_EDGE('',*,*,#15756,.F.); +#15774=EDGE_LOOP('',(#15769,#15771,#15772,#15773)); +#15775=FACE_OUTER_BOUND('',#15774,.F.); +#15777=CARTESIAN_POINT('',(-2.331927324254E1,-5.348936152458E0,-2.E1)); +#15778=DIRECTION('',(1.E0,0.E0,0.E0)); +#15779=DIRECTION('',(0.E0,1.E0,0.E0)); +#15780=AXIS2_PLACEMENT_3D('',#15777,#15778,#15779); +#15781=PLANE('',#15780); +#15782=ORIENTED_EDGE('',*,*,#13686,.F.); +#15784=ORIENTED_EDGE('',*,*,#15783,.T.); +#15785=ORIENTED_EDGE('',*,*,#15213,.T.); +#15786=ORIENTED_EDGE('',*,*,#15770,.F.); +#15787=EDGE_LOOP('',(#15782,#15784,#15785,#15786)); +#15788=FACE_OUTER_BOUND('',#15787,.F.); +#15790=CARTESIAN_POINT('',(-2.309214795587E1,-5.348936152458E0,-2.E1)); +#15791=DIRECTION('',(0.E0,1.E0,0.E0)); +#15792=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15793=AXIS2_PLACEMENT_3D('',#15790,#15791,#15792); +#15794=PLANE('',#15793); +#15795=ORIENTED_EDGE('',*,*,#13684,.F.); +#15797=ORIENTED_EDGE('',*,*,#15796,.T.); +#15798=ORIENTED_EDGE('',*,*,#15215,.T.); +#15799=ORIENTED_EDGE('',*,*,#15783,.F.); +#15800=EDGE_LOOP('',(#15795,#15797,#15798,#15799)); +#15801=FACE_OUTER_BOUND('',#15800,.F.); +#15803=CARTESIAN_POINT('',(-2.309214795587E1,-5.604255330563E0,-2.E1)); +#15804=DIRECTION('',(1.E0,0.E0,0.E0)); +#15805=DIRECTION('',(0.E0,1.E0,0.E0)); +#15806=AXIS2_PLACEMENT_3D('',#15803,#15804,#15805); +#15807=PLANE('',#15806); +#15808=ORIENTED_EDGE('',*,*,#13682,.F.); +#15810=ORIENTED_EDGE('',*,*,#15809,.T.); +#15811=ORIENTED_EDGE('',*,*,#15217,.T.); +#15812=ORIENTED_EDGE('',*,*,#15796,.F.); +#15813=EDGE_LOOP('',(#15808,#15810,#15811,#15812)); +#15814=FACE_OUTER_BOUND('',#15813,.F.); +#15816=CARTESIAN_POINT('',(-2.331927324254E1,-5.604255330563E0,-2.E1)); +#15817=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15818=DIRECTION('',(1.E0,0.E0,0.E0)); +#15819=AXIS2_PLACEMENT_3D('',#15816,#15817,#15818); +#15820=PLANE('',#15819); +#15821=ORIENTED_EDGE('',*,*,#13680,.F.); +#15823=ORIENTED_EDGE('',*,*,#15822,.T.); +#15824=ORIENTED_EDGE('',*,*,#15219,.T.); +#15825=ORIENTED_EDGE('',*,*,#15809,.F.); +#15826=EDGE_LOOP('',(#15821,#15823,#15824,#15825)); +#15827=FACE_OUTER_BOUND('',#15826,.F.); +#15829=CARTESIAN_POINT('',(-2.331927324254E1,-6.2E0,-2.E1)); +#15830=DIRECTION('',(1.E0,0.E0,0.E0)); +#15831=DIRECTION('',(0.E0,1.E0,0.E0)); +#15832=AXIS2_PLACEMENT_3D('',#15829,#15830,#15831); +#15833=PLANE('',#15832); +#15834=ORIENTED_EDGE('',*,*,#13678,.F.); +#15836=ORIENTED_EDGE('',*,*,#15835,.T.); +#15837=ORIENTED_EDGE('',*,*,#15221,.T.); +#15838=ORIENTED_EDGE('',*,*,#15822,.F.); +#15839=EDGE_LOOP('',(#15834,#15836,#15837,#15838)); +#15840=FACE_OUTER_BOUND('',#15839,.F.); +#15842=CARTESIAN_POINT('',(-2.345554838855E1,-6.2E0,-2.E1)); +#15843=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15844=DIRECTION('',(1.E0,0.E0,0.E0)); +#15845=AXIS2_PLACEMENT_3D('',#15842,#15843,#15844); +#15846=PLANE('',#15845); +#15847=ORIENTED_EDGE('',*,*,#13676,.F.); +#15849=ORIENTED_EDGE('',*,*,#15848,.T.); +#15850=ORIENTED_EDGE('',*,*,#15223,.T.); +#15851=ORIENTED_EDGE('',*,*,#15835,.F.); +#15852=EDGE_LOOP('',(#15847,#15849,#15850,#15851)); +#15853=FACE_OUTER_BOUND('',#15852,.F.); +#15855=CARTESIAN_POINT('',(-2.345554838855E1,-5.604255330563E0,-2.E1)); +#15856=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15857=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15858=AXIS2_PLACEMENT_3D('',#15855,#15856,#15857); +#15859=PLANE('',#15858); +#15860=ORIENTED_EDGE('',*,*,#13674,.F.); +#15862=ORIENTED_EDGE('',*,*,#15861,.T.); +#15863=ORIENTED_EDGE('',*,*,#15225,.T.); +#15864=ORIENTED_EDGE('',*,*,#15848,.F.); +#15865=EDGE_LOOP('',(#15860,#15862,#15863,#15864)); +#15866=FACE_OUTER_BOUND('',#15865,.F.); +#15868=CARTESIAN_POINT('',(-2.400064890761E1,-5.604255330563E0,-2.E1)); +#15869=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15870=DIRECTION('',(1.E0,0.E0,0.E0)); +#15871=AXIS2_PLACEMENT_3D('',#15868,#15869,#15870); +#15872=PLANE('',#15871); +#15873=ORIENTED_EDGE('',*,*,#13672,.F.); +#15875=ORIENTED_EDGE('',*,*,#15874,.T.); +#15876=ORIENTED_EDGE('',*,*,#15227,.T.); +#15877=ORIENTED_EDGE('',*,*,#15861,.F.); +#15878=EDGE_LOOP('',(#15873,#15875,#15876,#15877)); +#15879=FACE_OUTER_BOUND('',#15878,.F.); +#15881=CARTESIAN_POINT('',(-2.400064890761E1,-5.348936152458E0,-2.E1)); +#15882=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15883=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15884=AXIS2_PLACEMENT_3D('',#15881,#15882,#15883); +#15885=PLANE('',#15884); +#15886=ORIENTED_EDGE('',*,*,#13670,.F.); +#15887=ORIENTED_EDGE('',*,*,#15759,.T.); +#15888=ORIENTED_EDGE('',*,*,#15229,.T.); +#15889=ORIENTED_EDGE('',*,*,#15874,.F.); +#15890=EDGE_LOOP('',(#15886,#15887,#15888,#15889)); +#15891=FACE_OUTER_BOUND('',#15890,.F.); +#15893=CARTESIAN_POINT('',(-2.384166125892E1,-5.348936152458E0,-2.E1)); +#15894=DIRECTION('',(9.023800188522E-1,-4.309411811097E-1,0.E0)); +#15895=DIRECTION('',(4.309411811097E-1,9.023800188522E-1,0.E0)); +#15896=AXIS2_PLACEMENT_3D('',#15893,#15894,#15895); +#15897=PLANE('',#15896); +#15898=ORIENTED_EDGE('',*,*,#13262,.F.); +#15900=ORIENTED_EDGE('',*,*,#15899,.T.); +#15901=ORIENTED_EDGE('',*,*,#15233,.T.); +#15903=ORIENTED_EDGE('',*,*,#15902,.F.); +#15904=EDGE_LOOP('',(#15898,#15900,#15901,#15903)); +#15905=FACE_OUTER_BOUND('',#15904,.F.); +#15907=CARTESIAN_POINT('',(-2.345554838855E1,-5.348936152458E0,-2.E1)); +#15908=DIRECTION('',(0.E0,1.E0,0.E0)); +#15909=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15910=AXIS2_PLACEMENT_3D('',#15907,#15908,#15909); +#15911=PLANE('',#15910); +#15912=ORIENTED_EDGE('',*,*,#13260,.F.); +#15914=ORIENTED_EDGE('',*,*,#15913,.T.); +#15915=ORIENTED_EDGE('',*,*,#15235,.T.); +#15916=ORIENTED_EDGE('',*,*,#15899,.F.); +#15917=EDGE_LOOP('',(#15912,#15914,#15915,#15916)); +#15918=FACE_OUTER_BOUND('',#15917,.F.); +#15920=CARTESIAN_POINT('',(-2.345554838855E1,-4.540425491333E0,-2.E1)); +#15921=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15922=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15923=AXIS2_PLACEMENT_3D('',#15920,#15921,#15922); +#15924=PLANE('',#15923); +#15925=ORIENTED_EDGE('',*,*,#13264,.F.); +#15926=ORIENTED_EDGE('',*,*,#15902,.T.); +#15927=ORIENTED_EDGE('',*,*,#15237,.T.); +#15928=ORIENTED_EDGE('',*,*,#15913,.F.); +#15929=EDGE_LOOP('',(#15925,#15926,#15927,#15928)); +#15930=FACE_OUTER_BOUND('',#15929,.F.); +#15932=CARTESIAN_POINT('',(-2.311931818182E1,-8.5E-1,-2.E1)); +#15933=DIRECTION('',(1.E0,0.E0,0.E0)); +#15934=DIRECTION('',(0.E0,1.E0,0.E0)); +#15935=AXIS2_PLACEMENT_3D('',#15932,#15933,#15934); +#15936=PLANE('',#15935); +#15937=ORIENTED_EDGE('',*,*,#13692,.F.); +#15939=ORIENTED_EDGE('',*,*,#15938,.T.); +#15940=ORIENTED_EDGE('',*,*,#15247,.T.); +#15942=ORIENTED_EDGE('',*,*,#15941,.F.); +#15943=EDGE_LOOP('',(#15937,#15939,#15940,#15942)); +#15944=FACE_OUTER_BOUND('',#15943,.F.); +#15946=CARTESIAN_POINT('',(-2.330965909091E1,-8.5E-1,-2.E1)); +#15947=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15948=DIRECTION('',(1.E0,0.E0,0.E0)); +#15949=AXIS2_PLACEMENT_3D('',#15946,#15947,#15948); +#15950=PLANE('',#15949); +#15951=ORIENTED_EDGE('',*,*,#13690,.F.); +#15953=ORIENTED_EDGE('',*,*,#15952,.T.); +#15954=ORIENTED_EDGE('',*,*,#15249,.T.); +#15955=ORIENTED_EDGE('',*,*,#15938,.F.); +#15956=EDGE_LOOP('',(#15951,#15953,#15954,#15955)); +#15957=FACE_OUTER_BOUND('',#15956,.F.); +#15959=CARTESIAN_POINT('',(-2.330965909091E1,8.095745086670E-1,-2.E1)); +#15960=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15961=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15962=AXIS2_PLACEMENT_3D('',#15959,#15960,#15961); +#15963=PLANE('',#15962); +#15964=ORIENTED_EDGE('',*,*,#13702,.F.); +#15966=ORIENTED_EDGE('',*,*,#15965,.T.); +#15967=ORIENTED_EDGE('',*,*,#15251,.T.); +#15968=ORIENTED_EDGE('',*,*,#15952,.F.); +#15969=EDGE_LOOP('',(#15964,#15966,#15967,#15968)); +#15970=FACE_OUTER_BOUND('',#15969,.F.); +#15972=CARTESIAN_POINT('',(-2.35E1,5.117020845413E-1,-2.E1)); +#15973=DIRECTION('',(8.426532261751E-1,-5.384566281667E-1,0.E0)); +#15974=DIRECTION('',(5.384566281667E-1,8.426532261751E-1,0.E0)); +#15975=AXIS2_PLACEMENT_3D('',#15972,#15973,#15974); +#15976=PLANE('',#15975); +#15977=ORIENTED_EDGE('',*,*,#13700,.F.); +#15979=ORIENTED_EDGE('',*,*,#15978,.T.); +#15980=ORIENTED_EDGE('',*,*,#15253,.T.); +#15981=ORIENTED_EDGE('',*,*,#15965,.F.); +#15982=EDGE_LOOP('',(#15977,#15979,#15980,#15981)); +#15983=FACE_OUTER_BOUND('',#15982,.F.); +#15985=CARTESIAN_POINT('',(-2.35E1,8.521276950836E-1,-2.E1)); +#15986=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15987=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15988=AXIS2_PLACEMENT_3D('',#15985,#15986,#15987); +#15989=PLANE('',#15988); +#15990=ORIENTED_EDGE('',*,*,#13698,.F.); +#15992=ORIENTED_EDGE('',*,*,#15991,.T.); +#15993=ORIENTED_EDGE('',*,*,#15255,.T.); +#15994=ORIENTED_EDGE('',*,*,#15978,.F.); +#15995=EDGE_LOOP('',(#15990,#15992,#15993,#15994)); +#15996=FACE_OUTER_BOUND('',#15995,.F.); +#15998=CARTESIAN_POINT('',(-2.330965909091E1,1.15E0,-2.E1)); +#15999=DIRECTION('',(-8.426531283995E-1,5.384567811798E-1,0.E0)); +#16000=DIRECTION('',(-5.384567811798E-1,-8.426531283995E-1,0.E0)); +#16001=AXIS2_PLACEMENT_3D('',#15998,#15999,#16000); +#16002=PLANE('',#16001); +#16003=ORIENTED_EDGE('',*,*,#13696,.F.); +#16005=ORIENTED_EDGE('',*,*,#16004,.T.); +#16006=ORIENTED_EDGE('',*,*,#15257,.T.); +#16007=ORIENTED_EDGE('',*,*,#15991,.F.); +#16008=EDGE_LOOP('',(#16003,#16005,#16006,#16007)); +#16009=FACE_OUTER_BOUND('',#16008,.F.); +#16011=CARTESIAN_POINT('',(-2.311931818182E1,1.15E0,-2.E1)); +#16012=DIRECTION('',(0.E0,1.E0,0.E0)); +#16013=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16014=AXIS2_PLACEMENT_3D('',#16011,#16012,#16013); +#16015=PLANE('',#16014); +#16016=ORIENTED_EDGE('',*,*,#13694,.F.); +#16017=ORIENTED_EDGE('',*,*,#15941,.T.); +#16018=ORIENTED_EDGE('',*,*,#15259,.T.); +#16019=ORIENTED_EDGE('',*,*,#16004,.F.); +#16020=EDGE_LOOP('',(#16016,#16017,#16018,#16019)); +#16021=FACE_OUTER_BOUND('',#16020,.F.); +#16023=CARTESIAN_POINT('',(-2.181865536354E1,-6.372340381145E-1,-2.E1)); +#16024=DIRECTION('',(8.954983978042E-1,-4.450647363362E-1,0.E0)); +#16025=DIRECTION('',(4.450647363362E-1,8.954983978042E-1,0.E0)); +#16026=AXIS2_PLACEMENT_3D('',#16023,#16024,#16025); +#16027=PLANE('',#16026); +#16028=ORIENTED_EDGE('',*,*,#13708,.F.); +#16030=ORIENTED_EDGE('',*,*,#16029,.T.); +#16031=ORIENTED_EDGE('',*,*,#15269,.T.); +#16033=ORIENTED_EDGE('',*,*,#16032,.F.); +#16034=EDGE_LOOP('',(#16028,#16030,#16031,#16033)); +#16035=FACE_OUTER_BOUND('',#16034,.F.); +#16037=CARTESIAN_POINT('',(-2.191382581808E1,-7.223404258490E-1,-2.E1)); +#16038=DIRECTION('',(6.665941930866E-1,-7.454208084990E-1,0.E0)); +#16039=DIRECTION('',(7.454208084990E-1,6.665941930866E-1,0.E0)); +#16040=AXIS2_PLACEMENT_3D('',#16037,#16038,#16039); +#16041=PLANE('',#16040); +#16042=ORIENTED_EDGE('',*,*,#13706,.F.); +#16044=ORIENTED_EDGE('',*,*,#16043,.T.); +#16045=ORIENTED_EDGE('',*,*,#15271,.T.); +#16046=ORIENTED_EDGE('',*,*,#16029,.F.); +#16047=EDGE_LOOP('',(#16042,#16044,#16045,#16046)); +#16048=FACE_OUTER_BOUND('',#16047,.F.); +#16050=CARTESIAN_POINT('',(-2.204071972722E1,-8.074468098581E-1,-2.E1)); +#16051=DIRECTION('',(5.570109130659E-1,-8.305051732081E-1,0.E0)); +#16052=DIRECTION('',(8.305051732081E-1,5.570109130659E-1,0.E0)); +#16053=AXIS2_PLACEMENT_3D('',#16050,#16051,#16052); +#16054=PLANE('',#16053); +#16055=ORIENTED_EDGE('',*,*,#13818,.F.); +#16057=ORIENTED_EDGE('',*,*,#16056,.T.); +#16058=ORIENTED_EDGE('',*,*,#15273,.T.); +#16059=ORIENTED_EDGE('',*,*,#16043,.F.); +#16060=EDGE_LOOP('',(#16055,#16057,#16058,#16059)); +#16061=FACE_OUTER_BOUND('',#16060,.F.); +#16063=CARTESIAN_POINT('',(-2.216761363636E1,-8.5E-1,-2.E1)); +#16064=DIRECTION('',(3.179434795521E-1,-9.481096686620E-1,0.E0)); +#16065=DIRECTION('',(9.481096686620E-1,3.179434795521E-1,0.E0)); +#16066=AXIS2_PLACEMENT_3D('',#16063,#16064,#16065); +#16067=PLANE('',#16066); +#16068=ORIENTED_EDGE('',*,*,#13816,.F.); +#16070=ORIENTED_EDGE('',*,*,#16069,.T.); +#16071=ORIENTED_EDGE('',*,*,#15275,.T.); +#16072=ORIENTED_EDGE('',*,*,#16056,.F.); +#16073=EDGE_LOOP('',(#16068,#16070,#16071,#16072)); +#16074=FACE_OUTER_BOUND('',#16073,.F.); +#16076=CARTESIAN_POINT('',(-2.242140154541E1,-8.5E-1,-2.E1)); +#16077=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16078=DIRECTION('',(1.E0,0.E0,0.E0)); +#16079=AXIS2_PLACEMENT_3D('',#16076,#16077,#16078); +#16080=PLANE('',#16079); +#16081=ORIENTED_EDGE('',*,*,#13814,.F.); +#16083=ORIENTED_EDGE('',*,*,#16082,.T.); +#16084=ORIENTED_EDGE('',*,*,#15277,.T.); +#16085=ORIENTED_EDGE('',*,*,#16069,.F.); +#16086=EDGE_LOOP('',(#16081,#16083,#16084,#16085)); +#16087=FACE_OUTER_BOUND('',#16086,.F.); +#16089=CARTESIAN_POINT('',(-2.254829545455E1,-8.074468098581E-1,-2.E1)); +#16090=DIRECTION('',(-3.179434795521E-1,-9.481096686620E-1,0.E0)); +#16091=DIRECTION('',(9.481096686620E-1,-3.179434795521E-1,0.E0)); +#16092=AXIS2_PLACEMENT_3D('',#16089,#16090,#16091); +#16093=PLANE('',#16092); +#16094=ORIENTED_EDGE('',*,*,#13812,.F.); +#16096=ORIENTED_EDGE('',*,*,#16095,.T.); +#16097=ORIENTED_EDGE('',*,*,#15279,.T.); +#16098=ORIENTED_EDGE('',*,*,#16082,.F.); +#16099=EDGE_LOOP('',(#16094,#16096,#16097,#16098)); +#16100=FACE_OUTER_BOUND('',#16099,.F.); +#16102=CARTESIAN_POINT('',(-2.267518936369E1,-7.223404258490E-1,-2.E1)); +#16103=DIRECTION('',(-5.570109130659E-1,-8.305051732081E-1,0.E0)); +#16104=DIRECTION('',(8.305051732081E-1,-5.570109130659E-1,0.E0)); +#16105=AXIS2_PLACEMENT_3D('',#16102,#16103,#16104); +#16106=PLANE('',#16105); +#16107=ORIENTED_EDGE('',*,*,#13810,.F.); +#16109=ORIENTED_EDGE('',*,*,#16108,.T.); +#16110=ORIENTED_EDGE('',*,*,#15281,.T.); +#16111=ORIENTED_EDGE('',*,*,#16095,.F.); +#16112=EDGE_LOOP('',(#16107,#16109,#16110,#16111)); +#16113=FACE_OUTER_BOUND('',#16112,.F.); +#16115=CARTESIAN_POINT('',(-2.277035986361E1,-6.372340381145E-1,-2.E1)); +#16116=DIRECTION('',(-6.665940164687E-1,-7.454209664398E-1,0.E0)); +#16117=DIRECTION('',(7.454209664398E-1,-6.665940164687E-1,0.E0)); +#16118=AXIS2_PLACEMENT_3D('',#16115,#16116,#16117); +#16119=PLANE('',#16118); +#16120=ORIENTED_EDGE('',*,*,#13808,.F.); +#16122=ORIENTED_EDGE('',*,*,#16121,.T.); +#16123=ORIENTED_EDGE('',*,*,#15283,.T.); +#16124=ORIENTED_EDGE('',*,*,#16108,.F.); +#16125=EDGE_LOOP('',(#16120,#16122,#16123,#16124)); +#16126=FACE_OUTER_BOUND('',#16125,.F.); +#16128=CARTESIAN_POINT('',(-2.283380681818E1,-5.095744788647E-1,-2.E1)); +#16129=DIRECTION('',(-8.954987784253E-1,-4.450639705019E-1,0.E0)); +#16130=DIRECTION('',(4.450639705019E-1,-8.954987784253E-1,0.E0)); +#16131=AXIS2_PLACEMENT_3D('',#16128,#16129,#16130); +#16132=PLANE('',#16131); +#16133=ORIENTED_EDGE('',*,*,#13806,.F.); +#16135=ORIENTED_EDGE('',*,*,#16134,.T.); +#16136=ORIENTED_EDGE('',*,*,#15285,.T.); +#16137=ORIENTED_EDGE('',*,*,#16121,.F.); +#16138=EDGE_LOOP('',(#16133,#16135,#16136,#16137)); +#16139=FACE_OUTER_BOUND('',#16138,.F.); +#16141=CARTESIAN_POINT('',(-2.286553031816E1,-3.819148898125E-1,-2.E1)); +#16142=DIRECTION('',(-9.704838926006E-1,-2.411659474360E-1,0.E0)); +#16143=DIRECTION('',(2.411659474360E-1,-9.704838926006E-1,0.E0)); +#16144=AXIS2_PLACEMENT_3D('',#16141,#16142,#16143); +#16145=PLANE('',#16144); +#16146=ORIENTED_EDGE('',*,*,#13804,.F.); +#16148=ORIENTED_EDGE('',*,*,#16147,.T.); +#16149=ORIENTED_EDGE('',*,*,#15287,.T.); +#16150=ORIENTED_EDGE('',*,*,#16134,.F.); +#16151=EDGE_LOOP('',(#16146,#16148,#16149,#16150)); +#16152=FACE_OUTER_BOUND('',#16151,.F.); +#16154=CARTESIAN_POINT('',(-2.270691290904E1,-3.819148898125E-1,-2.E1)); +#16155=DIRECTION('',(0.E0,1.E0,0.E0)); +#16156=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16157=AXIS2_PLACEMENT_3D('',#16154,#16155,#16156); +#16158=PLANE('',#16157); +#16159=ORIENTED_EDGE('',*,*,#13802,.F.); +#16161=ORIENTED_EDGE('',*,*,#16160,.T.); +#16162=ORIENTED_EDGE('',*,*,#15289,.T.); +#16163=ORIENTED_EDGE('',*,*,#16147,.F.); +#16164=EDGE_LOOP('',(#16159,#16161,#16162,#16163)); +#16165=FACE_OUTER_BOUND('',#16164,.F.); +#16167=CARTESIAN_POINT('',(-2.267518936369E1,-4.670212626457E-1,-2.E1)); +#16168=DIRECTION('',(9.370199695588E-1,3.492757888088E-1,0.E0)); +#16169=DIRECTION('',(-3.492757888088E-1,9.370199695588E-1,0.E0)); +#16170=AXIS2_PLACEMENT_3D('',#16167,#16168,#16169); +#16171=PLANE('',#16170); +#16172=ORIENTED_EDGE('',*,*,#13800,.F.); +#16174=ORIENTED_EDGE('',*,*,#16173,.T.); +#16175=ORIENTED_EDGE('',*,*,#15291,.T.); +#16176=ORIENTED_EDGE('',*,*,#16160,.F.); +#16177=EDGE_LOOP('',(#16172,#16174,#16175,#16176)); +#16178=FACE_OUTER_BOUND('',#16177,.F.); +#16180=CARTESIAN_POINT('',(-2.261174245450E1,-5.521276652813E-1,-2.E1)); +#16181=DIRECTION('',(8.017280129090E-1,5.976890439995E-1,0.E0)); +#16182=DIRECTION('',(-5.976890439995E-1,8.017280129090E-1,0.E0)); +#16183=AXIS2_PLACEMENT_3D('',#16180,#16181,#16182); +#16184=PLANE('',#16183); +#16185=ORIENTED_EDGE('',*,*,#13798,.F.); +#16187=ORIENTED_EDGE('',*,*,#16186,.T.); +#16188=ORIENTED_EDGE('',*,*,#15293,.T.); +#16189=ORIENTED_EDGE('',*,*,#16173,.F.); +#16190=EDGE_LOOP('',(#16185,#16187,#16188,#16189)); +#16191=FACE_OUTER_BOUND('',#16190,.F.); +#16193=CARTESIAN_POINT('',(-2.254829545455E1,-5.946808516979E-1,-2.E1)); +#16194=DIRECTION('',(5.570105878197E-1,8.305053913472E-1,0.E0)); +#16195=DIRECTION('',(-8.305053913472E-1,5.570105878197E-1,0.E0)); +#16196=AXIS2_PLACEMENT_3D('',#16193,#16194,#16195); +#16197=PLANE('',#16196); +#16198=ORIENTED_EDGE('',*,*,#13796,.F.); +#16200=ORIENTED_EDGE('',*,*,#16199,.T.); +#16201=ORIENTED_EDGE('',*,*,#15295,.T.); +#16202=ORIENTED_EDGE('',*,*,#16186,.F.); +#16203=EDGE_LOOP('',(#16198,#16200,#16201,#16202)); +#16204=FACE_OUTER_BOUND('',#16203,.F.); +#16206=CARTESIAN_POINT('',(-2.238967800005E1,-6.372340381145E-1,-2.E1)); +#16207=DIRECTION('',(2.591131383426E-1,9.658469762536E-1,0.E0)); +#16208=DIRECTION('',(-9.658469762536E-1,2.591131383426E-1,0.E0)); +#16209=AXIS2_PLACEMENT_3D('',#16206,#16207,#16208); +#16210=PLANE('',#16209); +#16211=ORIENTED_EDGE('',*,*,#13794,.F.); +#16213=ORIENTED_EDGE('',*,*,#16212,.T.); +#16214=ORIENTED_EDGE('',*,*,#15297,.T.); +#16215=ORIENTED_EDGE('',*,*,#16199,.F.); +#16216=EDGE_LOOP('',(#16211,#16213,#16214,#16215)); +#16217=FACE_OUTER_BOUND('',#16216,.F.); +#16219=CARTESIAN_POINT('',(-2.219933709096E1,-6.372340381145E-1,-2.E1)); +#16220=DIRECTION('',(0.E0,1.E0,0.E0)); +#16221=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16222=AXIS2_PLACEMENT_3D('',#16219,#16220,#16221); +#16223=PLANE('',#16222); +#16224=ORIENTED_EDGE('',*,*,#13792,.F.); +#16226=ORIENTED_EDGE('',*,*,#16225,.T.); +#16227=ORIENTED_EDGE('',*,*,#15299,.T.); +#16228=ORIENTED_EDGE('',*,*,#16212,.F.); +#16229=EDGE_LOOP('',(#16224,#16226,#16227,#16228)); +#16230=FACE_OUTER_BOUND('',#16229,.F.); +#16232=CARTESIAN_POINT('',(-2.204071972722E1,-5.946808516979E-1,-2.E1)); +#16233=DIRECTION('',(-2.591132766539E-1,9.658469391481E-1,0.E0)); +#16234=DIRECTION('',(-9.658469391481E-1,-2.591132766539E-1,0.E0)); +#16235=AXIS2_PLACEMENT_3D('',#16232,#16233,#16234); +#16236=PLANE('',#16235); +#16237=ORIENTED_EDGE('',*,*,#13790,.F.); +#16239=ORIENTED_EDGE('',*,*,#16238,.T.); +#16240=ORIENTED_EDGE('',*,*,#15301,.T.); +#16241=ORIENTED_EDGE('',*,*,#16225,.F.); +#16242=EDGE_LOOP('',(#16237,#16239,#16240,#16241)); +#16243=FACE_OUTER_BOUND('',#16242,.F.); +#16245=CARTESIAN_POINT('',(-2.197727272727E1,-5.521276652813E-1,-2.E1)); +#16246=DIRECTION('',(-5.570105878197E-1,8.305053913472E-1,0.E0)); +#16247=DIRECTION('',(-8.305053913472E-1,-5.570105878197E-1,0.E0)); +#16248=AXIS2_PLACEMENT_3D('',#16245,#16246,#16247); +#16249=PLANE('',#16248); +#16250=ORIENTED_EDGE('',*,*,#13788,.F.); +#16252=ORIENTED_EDGE('',*,*,#16251,.T.); +#16253=ORIENTED_EDGE('',*,*,#15303,.T.); +#16254=ORIENTED_EDGE('',*,*,#16238,.F.); +#16255=EDGE_LOOP('',(#16250,#16252,#16253,#16254)); +#16256=FACE_OUTER_BOUND('',#16255,.F.); +#16258=CARTESIAN_POINT('',(-2.191382581808E1,-4.670212626457E-1,-2.E1)); +#16259=DIRECTION('',(-8.017280129090E-1,5.976890439995E-1,0.E0)); +#16260=DIRECTION('',(-5.976890439995E-1,-8.017280129090E-1,0.E0)); +#16261=AXIS2_PLACEMENT_3D('',#16258,#16259,#16260); +#16262=PLANE('',#16261); +#16263=ORIENTED_EDGE('',*,*,#13786,.F.); +#16265=ORIENTED_EDGE('',*,*,#16264,.T.); +#16266=ORIENTED_EDGE('',*,*,#15305,.T.); +#16267=ORIENTED_EDGE('',*,*,#16251,.F.); +#16268=EDGE_LOOP('',(#16263,#16265,#16266,#16267)); +#16269=FACE_OUTER_BOUND('',#16268,.F.); +#16271=CARTESIAN_POINT('',(-2.188210227273E1,-3.819148898125E-1,-2.E1)); +#16272=DIRECTION('',(-9.370199695588E-1,3.492757888088E-1,0.E0)); +#16273=DIRECTION('',(-3.492757888088E-1,-9.370199695588E-1,0.E0)); +#16274=AXIS2_PLACEMENT_3D('',#16271,#16272,#16273); +#16275=PLANE('',#16274); +#16276=ORIENTED_EDGE('',*,*,#13784,.F.); +#16278=ORIENTED_EDGE('',*,*,#16277,.T.); +#16279=ORIENTED_EDGE('',*,*,#15307,.T.); +#16280=ORIENTED_EDGE('',*,*,#16264,.F.); +#16281=EDGE_LOOP('',(#16276,#16278,#16279,#16280)); +#16282=FACE_OUTER_BOUND('',#16281,.F.); +#16284=CARTESIAN_POINT('',(-2.188210227273E1,-2.117021441460E-1,-2.E1)); +#16285=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16286=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16287=AXIS2_PLACEMENT_3D('',#16284,#16285,#16286); +#16288=PLANE('',#16287); +#16289=ORIENTED_EDGE('',*,*,#13782,.F.); +#16291=ORIENTED_EDGE('',*,*,#16290,.T.); +#16292=ORIENTED_EDGE('',*,*,#15309,.T.); +#16293=ORIENTED_EDGE('',*,*,#16277,.F.); +#16294=EDGE_LOOP('',(#16289,#16291,#16292,#16293)); +#16295=FACE_OUTER_BOUND('',#16294,.F.); +#16297=CARTESIAN_POINT('',(-2.194554918192E1,-4.148933887482E-2,-2.E1)); +#16298=DIRECTION('',(-9.370203366313E-1,-3.492748040431E-1,0.E0)); +#16299=DIRECTION('',(3.492748040431E-1,-9.370203366313E-1,0.E0)); +#16300=AXIS2_PLACEMENT_3D('',#16297,#16298,#16299); +#16301=PLANE('',#16300); +#16302=ORIENTED_EDGE('',*,*,#13780,.F.); +#16304=ORIENTED_EDGE('',*,*,#16303,.T.); +#16305=ORIENTED_EDGE('',*,*,#15311,.T.); +#16306=ORIENTED_EDGE('',*,*,#16290,.F.); +#16307=EDGE_LOOP('',(#16302,#16304,#16305,#16306)); +#16308=FACE_OUTER_BOUND('',#16307,.F.); +#16310=CARTESIAN_POINT('',(-2.204071972722E1,4.361703395844E-2,-2.E1)); +#16311=DIRECTION('',(-6.665937749991E-1,-7.454211823744E-1,0.E0)); +#16312=DIRECTION('',(7.454211823744E-1,-6.665937749991E-1,0.E0)); +#16313=AXIS2_PLACEMENT_3D('',#16310,#16311,#16312); +#16314=PLANE('',#16313); +#16315=ORIENTED_EDGE('',*,*,#13778,.F.); +#16317=ORIENTED_EDGE('',*,*,#16316,.T.); +#16318=ORIENTED_EDGE('',*,*,#15313,.T.); +#16319=ORIENTED_EDGE('',*,*,#16303,.F.); +#16320=EDGE_LOOP('',(#16315,#16317,#16318,#16319)); +#16321=FACE_OUTER_BOUND('',#16320,.F.); +#16323=CARTESIAN_POINT('',(-2.223106063631E1,8.617022037506E-2,-2.E1)); +#16324=DIRECTION('',(-2.181771913655E-1,-9.759091726016E-1,0.E0)); +#16325=DIRECTION('',(9.759091726016E-1,-2.181771913655E-1,0.E0)); +#16326=AXIS2_PLACEMENT_3D('',#16323,#16324,#16325); +#16327=PLANE('',#16326); +#16328=ORIENTED_EDGE('',*,*,#13776,.F.); +#16330=ORIENTED_EDGE('',*,*,#16329,.T.); +#16331=ORIENTED_EDGE('',*,*,#15315,.T.); +#16332=ORIENTED_EDGE('',*,*,#16316,.F.); +#16333=EDGE_LOOP('',(#16328,#16330,#16331,#16332)); +#16334=FACE_OUTER_BOUND('',#16333,.F.); +#16336=CARTESIAN_POINT('',(-2.235795454545E1,8.617022037506E-2,-2.E1)); +#16337=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16338=DIRECTION('',(1.E0,0.E0,0.E0)); +#16339=AXIS2_PLACEMENT_3D('',#16336,#16337,#16338); +#16340=PLANE('',#16339); +#16341=ORIENTED_EDGE('',*,*,#13774,.F.); +#16343=ORIENTED_EDGE('',*,*,#16342,.T.); +#16344=ORIENTED_EDGE('',*,*,#15317,.T.); +#16345=ORIENTED_EDGE('',*,*,#16329,.F.); +#16346=EDGE_LOOP('',(#16341,#16343,#16344,#16345)); +#16347=FACE_OUTER_BOUND('',#16346,.F.); +#16349=CARTESIAN_POINT('',(-2.235795454545E1,2.563829660416E-1,-2.E1)); +#16350=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16351=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16352=AXIS2_PLACEMENT_3D('',#16349,#16350,#16351); +#16353=PLANE('',#16352); +#16354=ORIENTED_EDGE('',*,*,#13772,.F.); +#16356=ORIENTED_EDGE('',*,*,#16355,.T.); +#16357=ORIENTED_EDGE('',*,*,#15319,.T.); +#16358=ORIENTED_EDGE('',*,*,#16342,.F.); +#16359=EDGE_LOOP('',(#16354,#16356,#16357,#16358)); +#16360=FACE_OUTER_BOUND('',#16359,.F.); +#16362=CARTESIAN_POINT('',(-2.223106063631E1,2.563829660416E-1,-2.E1)); +#16363=DIRECTION('',(0.E0,1.E0,0.E0)); +#16364=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16365=AXIS2_PLACEMENT_3D('',#16362,#16363,#16364); +#16366=PLANE('',#16365); +#16367=ORIENTED_EDGE('',*,*,#13770,.F.); +#16369=ORIENTED_EDGE('',*,*,#16368,.T.); +#16370=ORIENTED_EDGE('',*,*,#15321,.T.); +#16371=ORIENTED_EDGE('',*,*,#16355,.F.); +#16372=EDGE_LOOP('',(#16367,#16369,#16370,#16371)); +#16373=FACE_OUTER_BOUND('',#16372,.F.); +#16375=CARTESIAN_POINT('',(-2.204071972722E1,3.414893388748E-1,-2.E1)); +#16376=DIRECTION('',(-4.081816650962E-1,9.129007220280E-1,0.E0)); +#16377=DIRECTION('',(-9.129007220280E-1,-4.081816650962E-1,0.E0)); +#16378=AXIS2_PLACEMENT_3D('',#16375,#16376,#16377); +#16379=PLANE('',#16378); +#16380=ORIENTED_EDGE('',*,*,#13768,.F.); +#16382=ORIENTED_EDGE('',*,*,#16381,.T.); +#16383=ORIENTED_EDGE('',*,*,#15323,.T.); +#16384=ORIENTED_EDGE('',*,*,#16368,.F.); +#16385=EDGE_LOOP('',(#16380,#16382,#16383,#16384)); +#16386=FACE_OUTER_BOUND('',#16385,.F.); +#16388=CARTESIAN_POINT('',(-2.197727272727E1,4.265957117081E-1,-2.E1)); +#16389=DIRECTION('',(-8.017275029138E-1,5.976897280962E-1,0.E0)); +#16390=DIRECTION('',(-5.976897280962E-1,-8.017275029138E-1,0.E0)); +#16391=AXIS2_PLACEMENT_3D('',#16388,#16389,#16390); +#16392=PLANE('',#16391); +#16393=ORIENTED_EDGE('',*,*,#13766,.F.); +#16395=ORIENTED_EDGE('',*,*,#16394,.T.); +#16396=ORIENTED_EDGE('',*,*,#15325,.T.); +#16397=ORIENTED_EDGE('',*,*,#16381,.F.); +#16398=EDGE_LOOP('',(#16393,#16395,#16396,#16397)); +#16399=FACE_OUTER_BOUND('',#16398,.F.); +#16401=CARTESIAN_POINT('',(-2.191382581808E1,5.968084573746E-1,-2.E1)); +#16402=DIRECTION('',(-9.370202966026E-1,3.492749114305E-1,0.E0)); +#16403=DIRECTION('',(-3.492749114305E-1,-9.370202966026E-1,0.E0)); +#16404=AXIS2_PLACEMENT_3D('',#16401,#16402,#16403); +#16405=PLANE('',#16404); +#16406=ORIENTED_EDGE('',*,*,#13764,.F.); +#16408=ORIENTED_EDGE('',*,*,#16407,.T.); +#16409=ORIENTED_EDGE('',*,*,#15327,.T.); +#16410=ORIENTED_EDGE('',*,*,#16394,.F.); +#16411=EDGE_LOOP('',(#16406,#16408,#16409,#16410)); +#16412=FACE_OUTER_BOUND('',#16411,.F.); +#16414=CARTESIAN_POINT('',(-2.191382581808E1,6.819149494171E-1,-2.E1)); +#16415=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16416=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16417=AXIS2_PLACEMENT_3D('',#16414,#16415,#16416); +#16418=PLANE('',#16417); +#16419=ORIENTED_EDGE('',*,*,#13762,.F.); +#16421=ORIENTED_EDGE('',*,*,#16420,.T.); +#16422=ORIENTED_EDGE('',*,*,#15329,.T.); +#16423=ORIENTED_EDGE('',*,*,#16407,.F.); +#16424=EDGE_LOOP('',(#16419,#16421,#16422,#16423)); +#16425=FACE_OUTER_BOUND('',#16424,.F.); +#16427=CARTESIAN_POINT('',(-2.197727272727E1,8.095745086670E-1,-2.E1)); +#16428=DIRECTION('',(-8.954989052989E-1,-4.450637152235E-1,0.E0)); +#16429=DIRECTION('',(4.450637152235E-1,-8.954989052989E-1,0.E0)); +#16430=AXIS2_PLACEMENT_3D('',#16427,#16428,#16429); +#16431=PLANE('',#16430); +#16432=ORIENTED_EDGE('',*,*,#13760,.F.); +#16434=ORIENTED_EDGE('',*,*,#16433,.T.); +#16435=ORIENTED_EDGE('',*,*,#15331,.T.); +#16436=ORIENTED_EDGE('',*,*,#16420,.F.); +#16437=EDGE_LOOP('',(#16432,#16434,#16435,#16436)); +#16438=FACE_OUTER_BOUND('',#16437,.F.); +#16440=CARTESIAN_POINT('',(-2.207244318182E1,8.946808815002E-1,-2.E1)); +#16441=DIRECTION('',(-6.665941282347E-1,-7.454208664929E-1,0.E0)); +#16442=DIRECTION('',(7.454208664929E-1,-6.665941282347E-1,0.E0)); +#16443=AXIS2_PLACEMENT_3D('',#16440,#16441,#16442); +#16444=PLANE('',#16443); +#16445=ORIENTED_EDGE('',*,*,#13758,.F.); +#16447=ORIENTED_EDGE('',*,*,#16446,.T.); +#16448=ORIENTED_EDGE('',*,*,#15333,.T.); +#16449=ORIENTED_EDGE('',*,*,#16433,.F.); +#16450=EDGE_LOOP('',(#16445,#16447,#16448,#16449)); +#16451=FACE_OUTER_BOUND('',#16450,.F.); +#16453=CARTESIAN_POINT('',(-2.223106063631E1,9.372340679169E-1,-2.E1)); +#16454=DIRECTION('',(-2.591131383426E-1,-9.658469762536E-1,0.E0)); +#16455=DIRECTION('',(9.658469762536E-1,-2.591131383426E-1,0.E0)); +#16456=AXIS2_PLACEMENT_3D('',#16453,#16454,#16455); +#16457=PLANE('',#16456); +#16458=ORIENTED_EDGE('',*,*,#13756,.F.); +#16460=ORIENTED_EDGE('',*,*,#16459,.T.); +#16461=ORIENTED_EDGE('',*,*,#15335,.T.); +#16462=ORIENTED_EDGE('',*,*,#16446,.F.); +#16463=EDGE_LOOP('',(#16458,#16460,#16461,#16462)); +#16464=FACE_OUTER_BOUND('',#16463,.F.); +#16466=CARTESIAN_POINT('',(-2.235795454545E1,9.372340679169E-1,-2.E1)); +#16467=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16468=DIRECTION('',(1.E0,0.E0,0.E0)); +#16469=AXIS2_PLACEMENT_3D('',#16466,#16467,#16468); +#16470=PLANE('',#16469); +#16471=ORIENTED_EDGE('',*,*,#13754,.F.); +#16473=ORIENTED_EDGE('',*,*,#16472,.T.); +#16474=ORIENTED_EDGE('',*,*,#15337,.T.); +#16475=ORIENTED_EDGE('',*,*,#16459,.F.); +#16476=EDGE_LOOP('',(#16471,#16473,#16474,#16475)); +#16477=FACE_OUTER_BOUND('',#16476,.F.); +#16479=CARTESIAN_POINT('',(-2.251657199995E1,8.946808815002E-1,-2.E1)); +#16480=DIRECTION('',(2.591131383426E-1,-9.658469762536E-1,0.E0)); +#16481=DIRECTION('',(9.658469762536E-1,2.591131383426E-1,0.E0)); +#16482=AXIS2_PLACEMENT_3D('',#16479,#16480,#16481); +#16483=PLANE('',#16482); +#16484=ORIENTED_EDGE('',*,*,#13752,.F.); +#16486=ORIENTED_EDGE('',*,*,#16485,.T.); +#16487=ORIENTED_EDGE('',*,*,#15339,.T.); +#16488=ORIENTED_EDGE('',*,*,#16472,.F.); +#16489=EDGE_LOOP('',(#16484,#16486,#16487,#16488)); +#16490=FACE_OUTER_BOUND('',#16489,.F.); +#16492=CARTESIAN_POINT('',(-2.261174245450E1,8.095745086670E-1,-2.E1)); +#16493=DIRECTION('',(6.665941282347E-1,-7.454208664929E-1,0.E0)); +#16494=DIRECTION('',(7.454208664929E-1,6.665941282347E-1,0.E0)); +#16495=AXIS2_PLACEMENT_3D('',#16492,#16493,#16494); +#16496=PLANE('',#16495); +#16497=ORIENTED_EDGE('',*,*,#13750,.F.); +#16499=ORIENTED_EDGE('',*,*,#16498,.T.); +#16500=ORIENTED_EDGE('',*,*,#15341,.T.); +#16501=ORIENTED_EDGE('',*,*,#16485,.F.); +#16502=EDGE_LOOP('',(#16497,#16499,#16500,#16501)); +#16503=FACE_OUTER_BOUND('',#16502,.F.); +#16505=CARTESIAN_POINT('',(-2.267518936369E1,6.819149494171E-1,-2.E1)); +#16506=DIRECTION('',(8.954989052989E-1,-4.450637152235E-1,0.E0)); +#16507=DIRECTION('',(4.450637152235E-1,8.954989052989E-1,0.E0)); +#16508=AXIS2_PLACEMENT_3D('',#16505,#16506,#16507); +#16509=PLANE('',#16508); +#16510=ORIENTED_EDGE('',*,*,#13748,.F.); +#16512=ORIENTED_EDGE('',*,*,#16511,.T.); +#16513=ORIENTED_EDGE('',*,*,#15343,.T.); +#16514=ORIENTED_EDGE('',*,*,#16498,.F.); +#16515=EDGE_LOOP('',(#16510,#16512,#16513,#16514)); +#16516=FACE_OUTER_BOUND('',#16515,.F.); +#16518=CARTESIAN_POINT('',(-2.283380681818E1,6.819149494171E-1,-2.E1)); +#16519=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16520=DIRECTION('',(1.E0,0.E0,0.E0)); +#16521=AXIS2_PLACEMENT_3D('',#16518,#16519,#16520); +#16522=PLANE('',#16521); +#16523=ORIENTED_EDGE('',*,*,#13746,.F.); +#16525=ORIENTED_EDGE('',*,*,#16524,.T.); +#16526=ORIENTED_EDGE('',*,*,#15345,.T.); +#16527=ORIENTED_EDGE('',*,*,#16511,.F.); +#16528=EDGE_LOOP('',(#16523,#16525,#16526,#16527)); +#16529=FACE_OUTER_BOUND('',#16528,.F.); +#16531=CARTESIAN_POINT('',(-2.280208331821E1,8.521276950836E-1,-2.E1)); +#16532=DIRECTION('',(-9.830718268355E-1,1.832205864040E-1,0.E0)); +#16533=DIRECTION('',(-1.832205864040E-1,-9.830718268355E-1,0.E0)); +#16534=AXIS2_PLACEMENT_3D('',#16531,#16532,#16533); +#16535=PLANE('',#16534); +#16536=ORIENTED_EDGE('',*,*,#13744,.F.); +#16538=ORIENTED_EDGE('',*,*,#16537,.T.); +#16539=ORIENTED_EDGE('',*,*,#15347,.T.); +#16540=ORIENTED_EDGE('',*,*,#16524,.F.); +#16541=EDGE_LOOP('',(#16536,#16538,#16539,#16540)); +#16542=FACE_OUTER_BOUND('',#16541,.F.); +#16544=CARTESIAN_POINT('',(-2.267518936369E1,1.022340440750E0,-2.E1)); +#16545=DIRECTION('',(-8.017276053396E-1,5.976895907045E-1,0.E0)); +#16546=DIRECTION('',(-5.976895907045E-1,-8.017276053396E-1,0.E0)); +#16547=AXIS2_PLACEMENT_3D('',#16544,#16545,#16546); +#16548=PLANE('',#16547); +#16549=ORIENTED_EDGE('',*,*,#13742,.F.); +#16551=ORIENTED_EDGE('',*,*,#16550,.T.); +#16552=ORIENTED_EDGE('',*,*,#15349,.T.); +#16553=ORIENTED_EDGE('',*,*,#16537,.F.); +#16554=EDGE_LOOP('',(#16549,#16551,#16552,#16553)); +#16555=FACE_OUTER_BOUND('',#16554,.F.); +#16557=CARTESIAN_POINT('',(-2.254829545455E1,1.107446813583E0,-2.E1)); +#16558=DIRECTION('',(-5.570108626152E-1,8.305052070449E-1,0.E0)); +#16559=DIRECTION('',(-8.305052070449E-1,-5.570108626152E-1,0.E0)); +#16560=AXIS2_PLACEMENT_3D('',#16557,#16558,#16559); +#16561=PLANE('',#16560); +#16562=ORIENTED_EDGE('',*,*,#13740,.F.); +#16564=ORIENTED_EDGE('',*,*,#16563,.T.); +#16565=ORIENTED_EDGE('',*,*,#15351,.T.); +#16566=ORIENTED_EDGE('',*,*,#16550,.F.); +#16567=EDGE_LOOP('',(#16562,#16564,#16565,#16566)); +#16568=FACE_OUTER_BOUND('',#16567,.F.); +#16570=CARTESIAN_POINT('',(-2.232623109086E1,1.15E0,-2.E1)); +#16571=DIRECTION('',(-1.882012099777E-1,9.821304926347E-1,0.E0)); +#16572=DIRECTION('',(-9.821304926347E-1,-1.882012099777E-1,0.E0)); +#16573=AXIS2_PLACEMENT_3D('',#16570,#16571,#16572); +#16574=PLANE('',#16573); +#16575=ORIENTED_EDGE('',*,*,#13738,.F.); +#16577=ORIENTED_EDGE('',*,*,#16576,.T.); +#16578=ORIENTED_EDGE('',*,*,#15353,.T.); +#16579=ORIENTED_EDGE('',*,*,#16563,.F.); +#16580=EDGE_LOOP('',(#16575,#16577,#16578,#16579)); +#16581=FACE_OUTER_BOUND('',#16580,.F.); +#16583=CARTESIAN_POINT('',(-2.226278409091E1,1.15E0,-2.E1)); +#16584=DIRECTION('',(0.E0,1.E0,0.E0)); +#16585=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16586=AXIS2_PLACEMENT_3D('',#16583,#16584,#16585); +#16587=PLANE('',#16586); +#16588=ORIENTED_EDGE('',*,*,#13736,.F.); +#16590=ORIENTED_EDGE('',*,*,#16589,.T.); +#16591=ORIENTED_EDGE('',*,*,#15355,.T.); +#16592=ORIENTED_EDGE('',*,*,#16576,.F.); +#16593=EDGE_LOOP('',(#16588,#16590,#16591,#16592)); +#16594=FACE_OUTER_BOUND('',#16593,.F.); +#16596=CARTESIAN_POINT('',(-2.204071972722E1,1.107446813583E0,-2.E1)); +#16597=DIRECTION('',(1.882012099777E-1,9.821304926347E-1,0.E0)); +#16598=DIRECTION('',(-9.821304926347E-1,1.882012099777E-1,0.E0)); +#16599=AXIS2_PLACEMENT_3D('',#16596,#16597,#16598); +#16600=PLANE('',#16599); +#16601=ORIENTED_EDGE('',*,*,#13734,.F.); +#16603=ORIENTED_EDGE('',*,*,#16602,.T.); +#16604=ORIENTED_EDGE('',*,*,#15357,.T.); +#16605=ORIENTED_EDGE('',*,*,#16589,.F.); +#16606=EDGE_LOOP('',(#16601,#16603,#16604,#16605)); +#16607=FACE_OUTER_BOUND('',#16606,.F.); +#16609=CARTESIAN_POINT('',(-2.191382581808E1,1.022340440750E0,-2.E1)); +#16610=DIRECTION('',(5.570108626152E-1,8.305052070449E-1,0.E0)); +#16611=DIRECTION('',(-8.305052070449E-1,5.570108626152E-1,0.E0)); +#16612=AXIS2_PLACEMENT_3D('',#16609,#16610,#16611); +#16613=PLANE('',#16612); +#16614=ORIENTED_EDGE('',*,*,#13732,.F.); +#16616=ORIENTED_EDGE('',*,*,#16615,.T.); +#16617=ORIENTED_EDGE('',*,*,#15359,.T.); +#16618=ORIENTED_EDGE('',*,*,#16602,.F.); +#16619=EDGE_LOOP('',(#16614,#16616,#16617,#16618)); +#16620=FACE_OUTER_BOUND('',#16619,.F.); +#16622=CARTESIAN_POINT('',(-2.178693181818E1,8.521276950836E-1,-2.E1)); +#16623=DIRECTION('',(8.017275029138E-1,5.976897280962E-1,0.E0)); +#16624=DIRECTION('',(-5.976897280962E-1,8.017275029138E-1,0.E0)); +#16625=AXIS2_PLACEMENT_3D('',#16622,#16623,#16624); +#16626=PLANE('',#16625); +#16627=ORIENTED_EDGE('',*,*,#13730,.F.); +#16629=ORIENTED_EDGE('',*,*,#16628,.T.); +#16630=ORIENTED_EDGE('',*,*,#15361,.T.); +#16631=ORIENTED_EDGE('',*,*,#16615,.F.); +#16632=EDGE_LOOP('',(#16627,#16629,#16630,#16631)); +#16633=FACE_OUTER_BOUND('',#16632,.F.); +#16635=CARTESIAN_POINT('',(-2.175520827283E1,6.819149494171E-1,-2.E1)); +#16636=DIRECTION('',(9.830717796265E-1,1.832208397044E-1,0.E0)); +#16637=DIRECTION('',(-1.832208397044E-1,9.830717796265E-1,0.E0)); +#16638=AXIS2_PLACEMENT_3D('',#16635,#16636,#16637); +#16639=PLANE('',#16638); +#16640=ORIENTED_EDGE('',*,*,#13728,.F.); +#16642=ORIENTED_EDGE('',*,*,#16641,.T.); +#16643=ORIENTED_EDGE('',*,*,#15363,.T.); +#16644=ORIENTED_EDGE('',*,*,#16628,.F.); +#16645=EDGE_LOOP('',(#16640,#16642,#16643,#16644)); +#16646=FACE_OUTER_BOUND('',#16645,.F.); +#16648=CARTESIAN_POINT('',(-2.175520827283E1,5.968084573746E-1,-2.E1)); +#16649=DIRECTION('',(1.E0,0.E0,0.E0)); +#16650=DIRECTION('',(0.E0,1.E0,0.E0)); +#16651=AXIS2_PLACEMENT_3D('',#16648,#16649,#16650); +#16652=PLANE('',#16651); +#16653=ORIENTED_EDGE('',*,*,#13726,.F.); +#16655=ORIENTED_EDGE('',*,*,#16654,.T.); +#16656=ORIENTED_EDGE('',*,*,#15365,.T.); +#16657=ORIENTED_EDGE('',*,*,#16641,.F.); +#16658=EDGE_LOOP('',(#16653,#16655,#16656,#16657)); +#16659=FACE_OUTER_BOUND('',#16658,.F.); +#16661=CARTESIAN_POINT('',(-2.178693181818E1,4.265957117081E-1,-2.E1)); +#16662=DIRECTION('',(9.830717796265E-1,-1.832208397044E-1,0.E0)); +#16663=DIRECTION('',(1.832208397044E-1,9.830717796265E-1,0.E0)); +#16664=AXIS2_PLACEMENT_3D('',#16661,#16662,#16663); +#16665=PLANE('',#16664); +#16666=ORIENTED_EDGE('',*,*,#13724,.F.); +#16668=ORIENTED_EDGE('',*,*,#16667,.T.); +#16669=ORIENTED_EDGE('',*,*,#15367,.T.); +#16670=ORIENTED_EDGE('',*,*,#16654,.F.); +#16671=EDGE_LOOP('',(#16666,#16668,#16669,#16670)); +#16672=FACE_OUTER_BOUND('',#16671,.F.); +#16674=CARTESIAN_POINT('',(-2.185037872737E1,2.989361524582E-1,-2.E1)); +#16675=DIRECTION('',(8.954989052989E-1,-4.450637152235E-1,0.E0)); +#16676=DIRECTION('',(4.450637152235E-1,8.954989052989E-1,0.E0)); +#16677=AXIS2_PLACEMENT_3D('',#16674,#16675,#16676); +#16678=PLANE('',#16677); +#16679=ORIENTED_EDGE('',*,*,#13722,.F.); +#16681=ORIENTED_EDGE('',*,*,#16680,.T.); +#16682=ORIENTED_EDGE('',*,*,#15369,.T.); +#16683=ORIENTED_EDGE('',*,*,#16667,.F.); +#16684=EDGE_LOOP('',(#16679,#16681,#16682,#16683)); +#16685=FACE_OUTER_BOUND('',#16684,.F.); +#16687=CARTESIAN_POINT('',(-2.197727272727E1,1.712765932083E-1,-2.E1)); +#16688=DIRECTION('',(7.092301682983E-1,-7.049769984727E-1,0.E0)); +#16689=DIRECTION('',(7.049769984727E-1,7.092301682983E-1,0.E0)); +#16690=AXIS2_PLACEMENT_3D('',#16687,#16688,#16689); +#16691=PLANE('',#16690); +#16692=ORIENTED_EDGE('',*,*,#13720,.F.); +#16694=ORIENTED_EDGE('',*,*,#16693,.T.); +#16695=ORIENTED_EDGE('',*,*,#15371,.T.); +#16696=ORIENTED_EDGE('',*,*,#16680,.F.); +#16697=EDGE_LOOP('',(#16692,#16694,#16695,#16696)); +#16698=FACE_OUTER_BOUND('',#16697,.F.); +#16700=CARTESIAN_POINT('',(-2.181865536354E1,8.617022037506E-2,-2.E1)); +#16701=DIRECTION('',(4.727944842293E-1,8.811727274958E-1,0.E0)); +#16702=DIRECTION('',(-8.811727274958E-1,4.727944842293E-1,0.E0)); +#16703=AXIS2_PLACEMENT_3D('',#16700,#16701,#16702); +#16704=PLANE('',#16703); +#16705=ORIENTED_EDGE('',*,*,#13718,.F.); +#16707=ORIENTED_EDGE('',*,*,#16706,.T.); +#16708=ORIENTED_EDGE('',*,*,#15373,.T.); +#16709=ORIENTED_EDGE('',*,*,#16693,.F.); +#16710=EDGE_LOOP('',(#16705,#16707,#16708,#16709)); +#16711=FACE_OUTER_BOUND('',#16710,.F.); +#16713=CARTESIAN_POINT('',(-2.175520827283E1,-4.148933887482E-2,-2.E1)); +#16714=DIRECTION('',(8.954983978042E-1,4.450647363362E-1,0.E0)); +#16715=DIRECTION('',(-4.450647363362E-1,8.954983978042E-1,0.E0)); +#16716=AXIS2_PLACEMENT_3D('',#16713,#16714,#16715); +#16717=PLANE('',#16716); +#16718=ORIENTED_EDGE('',*,*,#13716,.F.); +#16720=ORIENTED_EDGE('',*,*,#16719,.T.); +#16721=ORIENTED_EDGE('',*,*,#15375,.T.); +#16722=ORIENTED_EDGE('',*,*,#16706,.F.); +#16723=EDGE_LOOP('',(#16718,#16720,#16721,#16722)); +#16724=FACE_OUTER_BOUND('',#16723,.F.); +#16726=CARTESIAN_POINT('',(-2.172348490899E1,-2.117021441460E-1,-2.E1)); +#16727=DIRECTION('',(9.830719800186E-1,1.832197644970E-1,0.E0)); +#16728=DIRECTION('',(-1.832197644970E-1,9.830719800186E-1,0.E0)); +#16729=AXIS2_PLACEMENT_3D('',#16726,#16727,#16728); +#16730=PLANE('',#16729); +#16731=ORIENTED_EDGE('',*,*,#13714,.F.); +#16733=ORIENTED_EDGE('',*,*,#16732,.T.); +#16734=ORIENTED_EDGE('',*,*,#15377,.T.); +#16735=ORIENTED_EDGE('',*,*,#16719,.F.); +#16736=EDGE_LOOP('',(#16731,#16733,#16734,#16735)); +#16737=FACE_OUTER_BOUND('',#16736,.F.); +#16739=CARTESIAN_POINT('',(-2.172348490899E1,-3.819148898125E-1,-2.E1)); +#16740=DIRECTION('',(1.E0,0.E0,0.E0)); +#16741=DIRECTION('',(0.E0,1.E0,0.E0)); +#16742=AXIS2_PLACEMENT_3D('',#16739,#16740,#16741); +#16743=PLANE('',#16742); +#16744=ORIENTED_EDGE('',*,*,#13712,.F.); +#16746=ORIENTED_EDGE('',*,*,#16745,.T.); +#16747=ORIENTED_EDGE('',*,*,#15379,.T.); +#16748=ORIENTED_EDGE('',*,*,#16732,.F.); +#16749=EDGE_LOOP('',(#16744,#16746,#16747,#16748)); +#16750=FACE_OUTER_BOUND('',#16749,.F.); +#16752=CARTESIAN_POINT('',(-2.175520827283E1,-5.095744788647E-1,-2.E1)); +#16753=DIRECTION('',(9.704841348329E-1,-2.411649726592E-1,0.E0)); +#16754=DIRECTION('',(2.411649726592E-1,9.704841348329E-1,0.E0)); +#16755=AXIS2_PLACEMENT_3D('',#16752,#16753,#16754); +#16756=PLANE('',#16755); +#16757=ORIENTED_EDGE('',*,*,#13710,.F.); +#16758=ORIENTED_EDGE('',*,*,#16032,.T.); +#16759=ORIENTED_EDGE('',*,*,#15381,.T.); +#16760=ORIENTED_EDGE('',*,*,#16745,.F.); +#16761=EDGE_LOOP('',(#16757,#16758,#16759,#16760)); +#16762=FACE_OUTER_BOUND('',#16761,.F.); +#16764=CARTESIAN_POINT('',(3.575E1,1.105E1,-1.98E1)); +#16765=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16766=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16767=AXIS2_PLACEMENT_3D('',#16764,#16765,#16766); +#16768=PLANE('',#16767); +#16769=ORIENTED_EDGE('',*,*,#13274,.F.); +#16771=ORIENTED_EDGE('',*,*,#16770,.F.); +#16773=ORIENTED_EDGE('',*,*,#16772,.T.); +#16775=ORIENTED_EDGE('',*,*,#16774,.T.); +#16776=EDGE_LOOP('',(#16769,#16771,#16773,#16775)); +#16777=FACE_OUTER_BOUND('',#16776,.F.); +#16779=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.9E1)); +#16780=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16781=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16782=AXIS2_PLACEMENT_3D('',#16779,#16780,#16781); +#16783=CYLINDRICAL_SURFACE('',#16782,2.3E0); +#16784=ORIENTED_EDGE('',*,*,#13288,.F.); +#16786=ORIENTED_EDGE('',*,*,#16785,.F.); +#16788=ORIENTED_EDGE('',*,*,#16787,.T.); +#16789=ORIENTED_EDGE('',*,*,#16770,.T.); +#16790=EDGE_LOOP('',(#16784,#16786,#16788,#16789)); +#16791=FACE_OUTER_BOUND('',#16790,.F.); +#16793=CARTESIAN_POINT('',(-3.345E1,1.335E1,-1.98E1)); +#16794=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16795=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16796=AXIS2_PLACEMENT_3D('',#16793,#16794,#16795); +#16797=PLANE('',#16796); +#16798=ORIENTED_EDGE('',*,*,#13286,.F.); +#16800=ORIENTED_EDGE('',*,*,#16799,.F.); +#16802=ORIENTED_EDGE('',*,*,#16801,.T.); +#16803=ORIENTED_EDGE('',*,*,#16785,.T.); +#16804=EDGE_LOOP('',(#16798,#16800,#16802,#16803)); +#16805=FACE_OUTER_BOUND('',#16804,.F.); +#16807=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.9E1)); +#16808=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16809=DIRECTION('',(1.E0,0.E0,0.E0)); +#16810=AXIS2_PLACEMENT_3D('',#16807,#16808,#16809); +#16811=CYLINDRICAL_SURFACE('',#16810,2.3E0); +#16812=ORIENTED_EDGE('',*,*,#13284,.F.); +#16814=ORIENTED_EDGE('',*,*,#16813,.F.); +#16816=ORIENTED_EDGE('',*,*,#16815,.T.); +#16817=ORIENTED_EDGE('',*,*,#16799,.T.); +#16818=EDGE_LOOP('',(#16812,#16814,#16816,#16817)); +#16819=FACE_OUTER_BOUND('',#16818,.F.); +#16821=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-1.98E1)); +#16822=DIRECTION('',(1.E0,0.E0,0.E0)); +#16823=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16824=AXIS2_PLACEMENT_3D('',#16821,#16822,#16823); +#16825=PLANE('',#16824); +#16826=ORIENTED_EDGE('',*,*,#13282,.F.); +#16828=ORIENTED_EDGE('',*,*,#16827,.F.); +#16830=ORIENTED_EDGE('',*,*,#16829,.T.); +#16831=ORIENTED_EDGE('',*,*,#16813,.T.); +#16832=EDGE_LOOP('',(#16826,#16828,#16830,#16831)); +#16833=FACE_OUTER_BOUND('',#16832,.F.); +#16835=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.9E1)); +#16836=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16837=DIRECTION('',(0.E0,1.E0,0.E0)); +#16838=AXIS2_PLACEMENT_3D('',#16835,#16836,#16837); +#16839=CYLINDRICAL_SURFACE('',#16838,2.3E0); +#16840=ORIENTED_EDGE('',*,*,#13280,.F.); +#16842=ORIENTED_EDGE('',*,*,#16841,.F.); +#16844=ORIENTED_EDGE('',*,*,#16843,.T.); +#16845=ORIENTED_EDGE('',*,*,#16827,.T.); +#16846=EDGE_LOOP('',(#16840,#16842,#16844,#16845)); +#16847=FACE_OUTER_BOUND('',#16846,.F.); +#16849=CARTESIAN_POINT('',(3.345E1,-1.335E1,-1.98E1)); +#16850=DIRECTION('',(0.E0,1.E0,0.E0)); +#16851=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16852=AXIS2_PLACEMENT_3D('',#16849,#16850,#16851); +#16853=PLANE('',#16852); +#16854=ORIENTED_EDGE('',*,*,#13278,.F.); +#16856=ORIENTED_EDGE('',*,*,#16855,.F.); +#16858=ORIENTED_EDGE('',*,*,#16857,.T.); +#16859=ORIENTED_EDGE('',*,*,#16841,.T.); +#16860=EDGE_LOOP('',(#16854,#16856,#16858,#16859)); +#16861=FACE_OUTER_BOUND('',#16860,.F.); +#16863=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.9E1)); +#16864=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16865=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16866=AXIS2_PLACEMENT_3D('',#16863,#16864,#16865); +#16867=CYLINDRICAL_SURFACE('',#16866,2.3E0); +#16868=ORIENTED_EDGE('',*,*,#13276,.F.); +#16869=ORIENTED_EDGE('',*,*,#16774,.F.); +#16871=ORIENTED_EDGE('',*,*,#16870,.T.); +#16872=ORIENTED_EDGE('',*,*,#16855,.T.); +#16873=EDGE_LOOP('',(#16868,#16869,#16871,#16872)); +#16874=FACE_OUTER_BOUND('',#16873,.F.); +#16876=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.98E1)); +#16877=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16878=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16879=AXIS2_PLACEMENT_3D('',#16876,#16877,#16878); +#16880=TOROIDAL_SURFACE('',#16879,3.6E0,1.3E0); +#16882=ORIENTED_EDGE('',*,*,#16881,.F.); +#16884=ORIENTED_EDGE('',*,*,#16883,.T.); +#16886=ORIENTED_EDGE('',*,*,#16885,.T.); +#16887=ORIENTED_EDGE('',*,*,#16870,.F.); +#16888=EDGE_LOOP('',(#16882,#16884,#16886,#16887)); +#16889=FACE_OUTER_BOUND('',#16888,.F.); +#16891=CARTESIAN_POINT('',(3.705E1,1.105E1,-1.98E1)); +#16892=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16893=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16894=AXIS2_PLACEMENT_3D('',#16891,#16892,#16893); +#16895=CYLINDRICAL_SURFACE('',#16894,1.3E0); +#16897=ORIENTED_EDGE('',*,*,#16896,.F.); +#16899=ORIENTED_EDGE('',*,*,#16898,.T.); +#16900=ORIENTED_EDGE('',*,*,#16881,.T.); +#16901=ORIENTED_EDGE('',*,*,#16772,.F.); +#16902=EDGE_LOOP('',(#16897,#16899,#16900,#16901)); +#16903=FACE_OUTER_BOUND('',#16902,.F.); +#16905=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.98E1)); +#16906=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16907=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16908=AXIS2_PLACEMENT_3D('',#16905,#16906,#16907); +#16909=TOROIDAL_SURFACE('',#16908,3.6E0,1.3E0); +#16911=ORIENTED_EDGE('',*,*,#16910,.F.); +#16913=ORIENTED_EDGE('',*,*,#16912,.T.); +#16914=ORIENTED_EDGE('',*,*,#16896,.T.); +#16915=ORIENTED_EDGE('',*,*,#16787,.F.); +#16916=EDGE_LOOP('',(#16911,#16913,#16914,#16915)); +#16917=FACE_OUTER_BOUND('',#16916,.F.); +#16919=CARTESIAN_POINT('',(-3.345E1,1.465E1,-1.98E1)); +#16920=DIRECTION('',(1.E0,0.E0,0.E0)); +#16921=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16922=AXIS2_PLACEMENT_3D('',#16919,#16920,#16921); +#16923=CYLINDRICAL_SURFACE('',#16922,1.3E0); +#16925=ORIENTED_EDGE('',*,*,#16924,.F.); +#16927=ORIENTED_EDGE('',*,*,#16926,.T.); +#16928=ORIENTED_EDGE('',*,*,#16910,.T.); +#16929=ORIENTED_EDGE('',*,*,#16801,.F.); +#16930=EDGE_LOOP('',(#16925,#16927,#16928,#16929)); +#16931=FACE_OUTER_BOUND('',#16930,.F.); +#16933=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.98E1)); +#16934=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16935=DIRECTION('',(1.E0,0.E0,0.E0)); +#16936=AXIS2_PLACEMENT_3D('',#16933,#16934,#16935); +#16937=TOROIDAL_SURFACE('',#16936,3.6E0,1.3E0); +#16939=ORIENTED_EDGE('',*,*,#16938,.F.); +#16941=ORIENTED_EDGE('',*,*,#16940,.T.); +#16942=ORIENTED_EDGE('',*,*,#16924,.T.); +#16943=ORIENTED_EDGE('',*,*,#16815,.F.); +#16944=EDGE_LOOP('',(#16939,#16941,#16942,#16943)); +#16945=FACE_OUTER_BOUND('',#16944,.F.); +#16947=CARTESIAN_POINT('',(-3.705E1,-1.105E1,-1.98E1)); +#16948=DIRECTION('',(0.E0,1.E0,0.E0)); +#16949=DIRECTION('',(1.E0,0.E0,0.E0)); +#16950=AXIS2_PLACEMENT_3D('',#16947,#16948,#16949); +#16951=CYLINDRICAL_SURFACE('',#16950,1.3E0); +#16953=ORIENTED_EDGE('',*,*,#16952,.F.); +#16955=ORIENTED_EDGE('',*,*,#16954,.T.); +#16956=ORIENTED_EDGE('',*,*,#16938,.T.); +#16957=ORIENTED_EDGE('',*,*,#16829,.F.); +#16958=EDGE_LOOP('',(#16953,#16955,#16956,#16957)); +#16959=FACE_OUTER_BOUND('',#16958,.F.); +#16961=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.98E1)); +#16962=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16963=DIRECTION('',(0.E0,1.E0,0.E0)); +#16964=AXIS2_PLACEMENT_3D('',#16961,#16962,#16963); +#16965=TOROIDAL_SURFACE('',#16964,3.6E0,1.3E0); +#16967=ORIENTED_EDGE('',*,*,#16966,.F.); +#16969=ORIENTED_EDGE('',*,*,#16968,.T.); +#16970=ORIENTED_EDGE('',*,*,#16952,.T.); +#16971=ORIENTED_EDGE('',*,*,#16843,.F.); +#16972=EDGE_LOOP('',(#16967,#16969,#16970,#16971)); +#16973=FACE_OUTER_BOUND('',#16972,.F.); +#16975=CARTESIAN_POINT('',(3.345E1,-1.465E1,-1.98E1)); +#16976=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16977=DIRECTION('',(0.E0,1.E0,0.E0)); +#16978=AXIS2_PLACEMENT_3D('',#16975,#16976,#16977); +#16979=CYLINDRICAL_SURFACE('',#16978,1.3E0); +#16980=ORIENTED_EDGE('',*,*,#16885,.F.); +#16982=ORIENTED_EDGE('',*,*,#16981,.T.); +#16983=ORIENTED_EDGE('',*,*,#16966,.T.); +#16984=ORIENTED_EDGE('',*,*,#16857,.F.); +#16985=EDGE_LOOP('',(#16980,#16982,#16983,#16984)); +#16986=FACE_OUTER_BOUND('',#16985,.F.); +#16988=CARTESIAN_POINT('',(3.345E1,-1.595E1,-2.019999995599E1)); +#16989=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16990=DIRECTION('',(0.E0,0.E0,1.E0)); +#16991=AXIS2_PLACEMENT_3D('',#16988,#16989,#16990); +#16992=PLANE('',#16991); +#16994=ORIENTED_EDGE('',*,*,#16993,.F.); +#16996=ORIENTED_EDGE('',*,*,#16995,.F.); +#16998=ORIENTED_EDGE('',*,*,#16997,.T.); +#16999=ORIENTED_EDGE('',*,*,#16981,.F.); +#17000=EDGE_LOOP('',(#16994,#16996,#16998,#16999)); +#17001=FACE_OUTER_BOUND('',#17000,.F.); +#17003=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.9E1)); +#17004=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17005=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17006=AXIS2_PLACEMENT_3D('',#17003,#17004,#17005); +#17007=CYLINDRICAL_SURFACE('',#17006,4.9E0); +#17009=ORIENTED_EDGE('',*,*,#17008,.F.); +#17011=ORIENTED_EDGE('',*,*,#17010,.T.); +#17012=ORIENTED_EDGE('',*,*,#16993,.T.); +#17013=ORIENTED_EDGE('',*,*,#16883,.F.); +#17014=EDGE_LOOP('',(#17009,#17011,#17012,#17013)); +#17015=FACE_OUTER_BOUND('',#17014,.F.); +#17017=CARTESIAN_POINT('',(3.835E1,1.105E1,-2.019999995599E1)); +#17018=DIRECTION('',(1.E0,0.E0,0.E0)); +#17019=DIRECTION('',(0.E0,0.E0,1.E0)); +#17020=AXIS2_PLACEMENT_3D('',#17017,#17018,#17019); +#17021=PLANE('',#17020); +#17023=ORIENTED_EDGE('',*,*,#17022,.F.); +#17025=ORIENTED_EDGE('',*,*,#17024,.F.); +#17026=ORIENTED_EDGE('',*,*,#17008,.T.); +#17027=ORIENTED_EDGE('',*,*,#16898,.F.); +#17028=EDGE_LOOP('',(#17023,#17025,#17026,#17027)); +#17029=FACE_OUTER_BOUND('',#17028,.F.); +#17031=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.9E1)); +#17032=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17033=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17034=AXIS2_PLACEMENT_3D('',#17031,#17032,#17033); +#17035=CYLINDRICAL_SURFACE('',#17034,4.9E0); +#17036=ORIENTED_EDGE('',*,*,#17022,.T.); +#17037=ORIENTED_EDGE('',*,*,#16912,.F.); +#17039=ORIENTED_EDGE('',*,*,#17038,.F.); +#17041=ORIENTED_EDGE('',*,*,#17040,.T.); +#17042=EDGE_LOOP('',(#17036,#17037,#17039,#17041)); +#17043=FACE_OUTER_BOUND('',#17042,.F.); +#17045=CARTESIAN_POINT('',(-3.345E1,1.595E1,-2.019999995599E1)); +#17046=DIRECTION('',(0.E0,1.E0,0.E0)); +#17047=DIRECTION('',(0.E0,0.E0,1.E0)); +#17048=AXIS2_PLACEMENT_3D('',#17045,#17046,#17047); +#17049=PLANE('',#17048); +#17051=ORIENTED_EDGE('',*,*,#17050,.F.); +#17053=ORIENTED_EDGE('',*,*,#17052,.F.); +#17054=ORIENTED_EDGE('',*,*,#17038,.T.); +#17055=ORIENTED_EDGE('',*,*,#16926,.F.); +#17056=EDGE_LOOP('',(#17051,#17053,#17054,#17055)); +#17057=FACE_OUTER_BOUND('',#17056,.F.); +#17059=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.9E1)); +#17060=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17061=DIRECTION('',(1.E0,0.E0,0.E0)); +#17062=AXIS2_PLACEMENT_3D('',#17059,#17060,#17061); +#17063=CYLINDRICAL_SURFACE('',#17062,4.9E0); +#17065=ORIENTED_EDGE('',*,*,#17064,.F.); +#17067=ORIENTED_EDGE('',*,*,#17066,.T.); +#17068=ORIENTED_EDGE('',*,*,#17050,.T.); +#17069=ORIENTED_EDGE('',*,*,#16940,.F.); +#17070=EDGE_LOOP('',(#17065,#17067,#17068,#17069)); +#17071=FACE_OUTER_BOUND('',#17070,.F.); +#17073=CARTESIAN_POINT('',(-3.835E1,-1.105E1,-2.019999995599E1)); +#17074=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17075=DIRECTION('',(0.E0,0.E0,1.E0)); +#17076=AXIS2_PLACEMENT_3D('',#17073,#17074,#17075); +#17077=PLANE('',#17076); +#17079=ORIENTED_EDGE('',*,*,#17078,.F.); +#17081=ORIENTED_EDGE('',*,*,#17080,.F.); +#17082=ORIENTED_EDGE('',*,*,#17064,.T.); +#17083=ORIENTED_EDGE('',*,*,#16954,.F.); +#17084=EDGE_LOOP('',(#17079,#17081,#17082,#17083)); +#17085=FACE_OUTER_BOUND('',#17084,.F.); +#17087=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.9E1)); +#17088=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17089=DIRECTION('',(0.E0,1.E0,0.E0)); +#17090=AXIS2_PLACEMENT_3D('',#17087,#17088,#17089); +#17091=CYLINDRICAL_SURFACE('',#17090,4.9E0); +#17092=ORIENTED_EDGE('',*,*,#16997,.F.); +#17094=ORIENTED_EDGE('',*,*,#17093,.T.); +#17095=ORIENTED_EDGE('',*,*,#17078,.T.); +#17096=ORIENTED_EDGE('',*,*,#16968,.F.); +#17097=EDGE_LOOP('',(#17092,#17094,#17095,#17096)); +#17098=FACE_OUTER_BOUND('',#17097,.F.); +#17100=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.05E1)); +#17101=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17102=DIRECTION('',(-9.999920105491E-1,3.997353874782E-3,0.E0)); +#17103=AXIS2_PLACEMENT_3D('',#17100,#17101,#17102); +#17104=TOROIDAL_SURFACE('',#17103,4.5E0,5.E-1); +#17106=ORIENTED_EDGE('',*,*,#17105,.T.); +#17108=ORIENTED_EDGE('',*,*,#17107,.F.); +#17110=ORIENTED_EDGE('',*,*,#17109,.F.); +#17111=ORIENTED_EDGE('',*,*,#17093,.F.); +#17112=EDGE_LOOP('',(#17106,#17108,#17110,#17111)); +#17113=FACE_OUTER_BOUND('',#17112,.F.); +#17115=CARTESIAN_POINT('',(-3.346998682260E1,-1.555E1,-2.05E1)); +#17116=DIRECTION('',(1.E0,0.E0,0.E0)); +#17117=DIRECTION('',(0.E0,0.E0,1.E0)); +#17118=AXIS2_PLACEMENT_3D('',#17115,#17116,#17117); +#17119=CYLINDRICAL_SURFACE('',#17118,5.E-1); +#17121=ORIENTED_EDGE('',*,*,#17120,.T.); +#17123=ORIENTED_EDGE('',*,*,#17122,.F.); +#17124=ORIENTED_EDGE('',*,*,#17105,.F.); +#17125=ORIENTED_EDGE('',*,*,#16995,.T.); +#17126=EDGE_LOOP('',(#17121,#17123,#17124,#17125)); +#17127=FACE_OUTER_BOUND('',#17126,.F.); +#17129=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.05E1)); +#17130=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17131=DIRECTION('',(-3.997353874782E-3,-9.999920105491E-1,0.E0)); +#17132=AXIS2_PLACEMENT_3D('',#17129,#17130,#17131); +#17133=TOROIDAL_SURFACE('',#17132,4.5E0,5.E-1); +#17135=ORIENTED_EDGE('',*,*,#17134,.T.); +#17137=ORIENTED_EDGE('',*,*,#17136,.F.); +#17138=ORIENTED_EDGE('',*,*,#17120,.F.); +#17139=ORIENTED_EDGE('',*,*,#17010,.F.); +#17140=EDGE_LOOP('',(#17135,#17137,#17138,#17139)); +#17141=FACE_OUTER_BOUND('',#17140,.F.); +#17143=CARTESIAN_POINT('',(3.795E1,-1.106998682260E1,-2.05E1)); +#17144=DIRECTION('',(0.E0,1.E0,0.E0)); +#17145=DIRECTION('',(0.E0,0.E0,1.E0)); +#17146=AXIS2_PLACEMENT_3D('',#17143,#17144,#17145); +#17147=CYLINDRICAL_SURFACE('',#17146,5.E-1); +#17149=ORIENTED_EDGE('',*,*,#17148,.T.); +#17151=ORIENTED_EDGE('',*,*,#17150,.F.); +#17152=ORIENTED_EDGE('',*,*,#17134,.F.); +#17153=ORIENTED_EDGE('',*,*,#17024,.T.); +#17154=EDGE_LOOP('',(#17149,#17151,#17152,#17153)); +#17155=FACE_OUTER_BOUND('',#17154,.F.); +#17157=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.05E1)); +#17158=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17159=DIRECTION('',(9.999920105491E-1,-3.997353874782E-3,0.E0)); +#17160=AXIS2_PLACEMENT_3D('',#17157,#17158,#17159); +#17161=TOROIDAL_SURFACE('',#17160,4.5E0,5.E-1); +#17162=ORIENTED_EDGE('',*,*,#17148,.F.); +#17163=ORIENTED_EDGE('',*,*,#17040,.F.); +#17165=ORIENTED_EDGE('',*,*,#17164,.T.); +#17167=ORIENTED_EDGE('',*,*,#17166,.F.); +#17168=EDGE_LOOP('',(#17162,#17163,#17165,#17167)); +#17169=FACE_OUTER_BOUND('',#17168,.F.); +#17171=CARTESIAN_POINT('',(3.346998682260E1,1.555E1,-2.05E1)); +#17172=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17173=DIRECTION('',(0.E0,0.E0,1.E0)); +#17174=AXIS2_PLACEMENT_3D('',#17171,#17172,#17173); +#17175=CYLINDRICAL_SURFACE('',#17174,5.E-1); +#17176=ORIENTED_EDGE('',*,*,#17164,.F.); +#17177=ORIENTED_EDGE('',*,*,#17052,.T.); +#17179=ORIENTED_EDGE('',*,*,#17178,.T.); +#17181=ORIENTED_EDGE('',*,*,#17180,.F.); +#17182=EDGE_LOOP('',(#17176,#17177,#17179,#17181)); +#17183=FACE_OUTER_BOUND('',#17182,.F.); +#17185=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.05E1)); +#17186=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17187=DIRECTION('',(3.997353874783E-3,9.999920105491E-1,0.E0)); +#17188=AXIS2_PLACEMENT_3D('',#17185,#17186,#17187); +#17189=TOROIDAL_SURFACE('',#17188,4.5E0,5.E-1); +#17191=ORIENTED_EDGE('',*,*,#17190,.T.); +#17193=ORIENTED_EDGE('',*,*,#17192,.F.); +#17194=ORIENTED_EDGE('',*,*,#17178,.F.); +#17195=ORIENTED_EDGE('',*,*,#17066,.F.); +#17196=EDGE_LOOP('',(#17191,#17193,#17194,#17195)); +#17197=FACE_OUTER_BOUND('',#17196,.F.); +#17199=CARTESIAN_POINT('',(-3.795E1,1.106998682260E1,-2.05E1)); +#17200=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17201=DIRECTION('',(0.E0,0.E0,1.E0)); +#17202=AXIS2_PLACEMENT_3D('',#17199,#17200,#17201); +#17203=CYLINDRICAL_SURFACE('',#17202,5.E-1); +#17204=ORIENTED_EDGE('',*,*,#17109,.T.); +#17206=ORIENTED_EDGE('',*,*,#17205,.F.); +#17207=ORIENTED_EDGE('',*,*,#17190,.F.); +#17208=ORIENTED_EDGE('',*,*,#17080,.T.); +#17209=EDGE_LOOP('',(#17204,#17206,#17207,#17208)); +#17210=FACE_OUTER_BOUND('',#17209,.F.); +#17212=CARTESIAN_POINT('',(-3.845E1,-1.105E1,-2.E1)); +#17213=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17214=DIRECTION('',(0.E0,1.E0,0.E0)); +#17215=AXIS2_PLACEMENT_3D('',#17212,#17213,#17214); +#17216=PLANE('',#17215); +#17218=ORIENTED_EDGE('',*,*,#17217,.T.); +#17220=ORIENTED_EDGE('',*,*,#17219,.T.); +#17222=ORIENTED_EDGE('',*,*,#17221,.T.); +#17224=ORIENTED_EDGE('',*,*,#17223,.T.); +#17226=ORIENTED_EDGE('',*,*,#17225,.F.); +#17228=ORIENTED_EDGE('',*,*,#17227,.T.); +#17230=ORIENTED_EDGE('',*,*,#17229,.F.); +#17231=ORIENTED_EDGE('',*,*,#17205,.T.); +#17233=ORIENTED_EDGE('',*,*,#17232,.T.); +#17235=ORIENTED_EDGE('',*,*,#17234,.T.); +#17237=ORIENTED_EDGE('',*,*,#17236,.T.); +#17239=ORIENTED_EDGE('',*,*,#17238,.F.); +#17241=ORIENTED_EDGE('',*,*,#17240,.T.); +#17242=EDGE_LOOP('',(#17218,#17220,#17222,#17224,#17226,#17228,#17230,#17231, +#17233,#17235,#17237,#17239,#17241)); +#17243=FACE_OUTER_BOUND('',#17242,.F.); +#17245=CARTESIAN_POINT('',(-3.845E1,-6.55E0,-2.85E1)); +#17246=DIRECTION('',(0.E0,1.E0,0.E0)); +#17247=DIRECTION('',(1.E0,0.E0,0.E0)); +#17248=AXIS2_PLACEMENT_3D('',#17245,#17246,#17247); +#17249=PLANE('',#17248); +#17251=ORIENTED_EDGE('',*,*,#17250,.F.); +#17253=ORIENTED_EDGE('',*,*,#17252,.F.); +#17254=ORIENTED_EDGE('',*,*,#17217,.F.); +#17256=ORIENTED_EDGE('',*,*,#17255,.T.); +#17258=ORIENTED_EDGE('',*,*,#17257,.F.); +#17260=ORIENTED_EDGE('',*,*,#17259,.F.); +#17261=EDGE_LOOP('',(#17251,#17253,#17254,#17256,#17258,#17260)); +#17262=FACE_OUTER_BOUND('',#17261,.F.); +#17264=CARTESIAN_POINT('',(-1.3869684E2,-6.05E0,-2.25E1)); +#17265=DIRECTION('',(1.E0,0.E0,0.E0)); +#17266=DIRECTION('',(0.E0,0.E0,1.E0)); +#17267=AXIS2_PLACEMENT_3D('',#17264,#17265,#17266); +#17268=CYLINDRICAL_SURFACE('',#17267,5.E-1); +#17270=ORIENTED_EDGE('',*,*,#17269,.F.); +#17272=ORIENTED_EDGE('',*,*,#17271,.T.); +#17273=ORIENTED_EDGE('',*,*,#17250,.T.); +#17275=ORIENTED_EDGE('',*,*,#17274,.T.); +#17276=EDGE_LOOP('',(#17270,#17272,#17273,#17275)); +#17277=FACE_OUTER_BOUND('',#17276,.F.); +#17279=CARTESIAN_POINT('',(0.E0,0.E0,-2.2E1)); +#17280=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17281=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17282=AXIS2_PLACEMENT_3D('',#17279,#17280,#17281); +#17283=PLANE('',#17282); +#17285=ORIENTED_EDGE('',*,*,#17284,.T.); +#17287=ORIENTED_EDGE('',*,*,#17286,.F.); +#17288=ORIENTED_EDGE('',*,*,#17269,.T.); +#17290=ORIENTED_EDGE('',*,*,#17289,.F.); +#17292=ORIENTED_EDGE('',*,*,#17291,.F.); +#17294=ORIENTED_EDGE('',*,*,#17293,.T.); +#17296=ORIENTED_EDGE('',*,*,#17295,.T.); +#17298=ORIENTED_EDGE('',*,*,#17297,.F.); +#17300=ORIENTED_EDGE('',*,*,#17299,.T.); +#17302=ORIENTED_EDGE('',*,*,#17301,.T.); +#17304=ORIENTED_EDGE('',*,*,#17303,.T.); +#17306=ORIENTED_EDGE('',*,*,#17305,.T.); +#17308=ORIENTED_EDGE('',*,*,#17307,.T.); +#17310=ORIENTED_EDGE('',*,*,#17309,.T.); +#17312=ORIENTED_EDGE('',*,*,#17311,.T.); +#17314=ORIENTED_EDGE('',*,*,#17313,.T.); +#17316=ORIENTED_EDGE('',*,*,#17315,.T.); +#17318=ORIENTED_EDGE('',*,*,#17317,.T.); +#17320=ORIENTED_EDGE('',*,*,#17319,.F.); +#17322=ORIENTED_EDGE('',*,*,#17321,.T.); +#17324=ORIENTED_EDGE('',*,*,#17323,.F.); +#17326=ORIENTED_EDGE('',*,*,#17325,.F.); +#17328=ORIENTED_EDGE('',*,*,#17327,.F.); +#17330=ORIENTED_EDGE('',*,*,#17329,.F.); +#17332=ORIENTED_EDGE('',*,*,#17331,.F.); +#17334=ORIENTED_EDGE('',*,*,#17333,.T.); +#17336=ORIENTED_EDGE('',*,*,#17335,.F.); +#17338=ORIENTED_EDGE('',*,*,#17337,.T.); +#17340=ORIENTED_EDGE('',*,*,#17339,.T.); +#17342=ORIENTED_EDGE('',*,*,#17341,.T.); +#17344=ORIENTED_EDGE('',*,*,#17343,.T.); +#17346=ORIENTED_EDGE('',*,*,#17345,.T.); +#17348=ORIENTED_EDGE('',*,*,#17347,.T.); +#17350=ORIENTED_EDGE('',*,*,#17349,.T.); +#17352=ORIENTED_EDGE('',*,*,#17351,.T.); +#17354=ORIENTED_EDGE('',*,*,#17353,.T.); +#17356=ORIENTED_EDGE('',*,*,#17355,.T.); +#17358=ORIENTED_EDGE('',*,*,#17357,.F.); +#17360=ORIENTED_EDGE('',*,*,#17359,.T.); +#17362=ORIENTED_EDGE('',*,*,#17361,.T.); +#17364=ORIENTED_EDGE('',*,*,#17363,.F.); +#17366=ORIENTED_EDGE('',*,*,#17365,.F.); +#17368=ORIENTED_EDGE('',*,*,#17367,.T.); +#17370=ORIENTED_EDGE('',*,*,#17369,.F.); +#17372=ORIENTED_EDGE('',*,*,#17371,.T.); +#17374=ORIENTED_EDGE('',*,*,#17373,.F.); +#17376=ORIENTED_EDGE('',*,*,#17375,.F.); +#17378=ORIENTED_EDGE('',*,*,#17377,.F.); +#17380=ORIENTED_EDGE('',*,*,#17379,.F.); +#17382=ORIENTED_EDGE('',*,*,#17381,.F.); +#17384=ORIENTED_EDGE('',*,*,#17383,.F.); +#17386=ORIENTED_EDGE('',*,*,#17385,.F.); +#17388=ORIENTED_EDGE('',*,*,#17387,.F.); +#17390=ORIENTED_EDGE('',*,*,#17389,.F.); +#17392=ORIENTED_EDGE('',*,*,#17391,.F.); +#17394=ORIENTED_EDGE('',*,*,#17393,.F.); +#17395=EDGE_LOOP('',(#17285,#17287,#17288,#17290,#17292,#17294,#17296,#17298, +#17300,#17302,#17304,#17306,#17308,#17310,#17312,#17314,#17316,#17318,#17320, +#17322,#17324,#17326,#17328,#17330,#17332,#17334,#17336,#17338,#17340,#17342, +#17344,#17346,#17348,#17350,#17352,#17354,#17356,#17358,#17360,#17362,#17364, +#17366,#17368,#17370,#17372,#17374,#17376,#17378,#17380,#17382,#17384,#17386, +#17388,#17390,#17392,#17394)); +#17396=FACE_OUTER_BOUND('',#17395,.F.); +#17398=ORIENTED_EDGE('',*,*,#17397,.T.); +#17400=ORIENTED_EDGE('',*,*,#17399,.F.); +#17402=ORIENTED_EDGE('',*,*,#17401,.T.); +#17404=ORIENTED_EDGE('',*,*,#17403,.F.); +#17406=ORIENTED_EDGE('',*,*,#17405,.F.); +#17408=ORIENTED_EDGE('',*,*,#17407,.T.); +#17410=ORIENTED_EDGE('',*,*,#17409,.T.); +#17412=ORIENTED_EDGE('',*,*,#17411,.F.); +#17414=ORIENTED_EDGE('',*,*,#17413,.F.); +#17416=ORIENTED_EDGE('',*,*,#17415,.F.); +#17418=ORIENTED_EDGE('',*,*,#17417,.F.); +#17420=ORIENTED_EDGE('',*,*,#17419,.F.); +#17422=ORIENTED_EDGE('',*,*,#17421,.T.); +#17424=ORIENTED_EDGE('',*,*,#17423,.T.); +#17426=ORIENTED_EDGE('',*,*,#17425,.T.); +#17428=ORIENTED_EDGE('',*,*,#17427,.F.); +#17429=EDGE_LOOP('',(#17398,#17400,#17402,#17404,#17406,#17408,#17410,#17412, +#17414,#17416,#17418,#17420,#17422,#17424,#17426,#17428)); +#17430=FACE_BOUND('',#17429,.F.); +#17432=CARTESIAN_POINT('',(1.301682487193E2,9.55E0,-2.25E1)); +#17433=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17434=DIRECTION('',(0.E0,0.E0,1.E0)); +#17435=AXIS2_PLACEMENT_3D('',#17432,#17433,#17434); +#17436=CYLINDRICAL_SURFACE('',#17435,5.E-1); +#17438=ORIENTED_EDGE('',*,*,#17437,.T.); +#17440=ORIENTED_EDGE('',*,*,#17439,.T.); +#17441=ORIENTED_EDGE('',*,*,#17284,.F.); +#17443=ORIENTED_EDGE('',*,*,#17442,.T.); +#17444=EDGE_LOOP('',(#17438,#17440,#17441,#17443)); +#17445=FACE_OUTER_BOUND('',#17444,.F.); +#17447=CARTESIAN_POINT('',(-3.460473750966E1,1.005E1,-2.85E1)); +#17448=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17449=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17450=AXIS2_PLACEMENT_3D('',#17447,#17448,#17449); +#17451=PLANE('',#17450); +#17452=ORIENTED_EDGE('',*,*,#17437,.F.); +#17454=ORIENTED_EDGE('',*,*,#17453,.T.); +#17456=ORIENTED_EDGE('',*,*,#17455,.F.); +#17458=ORIENTED_EDGE('',*,*,#17457,.T.); +#17459=ORIENTED_EDGE('',*,*,#17225,.T.); +#17461=ORIENTED_EDGE('',*,*,#17460,.F.); +#17462=EDGE_LOOP('',(#17452,#17454,#17456,#17458,#17459,#17461)); +#17463=FACE_OUTER_BOUND('',#17462,.F.); +#17465=CARTESIAN_POINT('',(-3.529687364248E1,9.05E0,4.927608E1)); +#17466=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17467=DIRECTION('',(0.E0,1.E0,0.E0)); +#17468=AXIS2_PLACEMENT_3D('',#17465,#17466,#17467); +#17469=CYLINDRICAL_SURFACE('',#17468,1.E0); +#17471=ORIENTED_EDGE('',*,*,#17470,.T.); +#17473=ORIENTED_EDGE('',*,*,#17472,.T.); +#17474=ORIENTED_EDGE('',*,*,#17453,.F.); +#17476=ORIENTED_EDGE('',*,*,#17475,.T.); +#17477=EDGE_LOOP('',(#17471,#17473,#17474,#17476)); +#17478=FACE_OUTER_BOUND('',#17477,.F.); +#17480=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.85E1)); +#17481=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17482=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17483=AXIS2_PLACEMENT_3D('',#17480,#17481,#17482); +#17484=CYLINDRICAL_SURFACE('',#17483,3.E0); +#17486=ORIENTED_EDGE('',*,*,#17485,.F.); +#17487=ORIENTED_EDGE('',*,*,#17470,.F.); +#17489=ORIENTED_EDGE('',*,*,#17488,.F.); +#17491=ORIENTED_EDGE('',*,*,#17490,.T.); +#17492=EDGE_LOOP('',(#17486,#17487,#17489,#17491)); +#17493=FACE_OUTER_BOUND('',#17492,.F.); +#17495=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.8E1)); +#17496=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17497=DIRECTION('',(-9.999988959614E-1,1.485959642859E-3,0.E0)); +#17498=AXIS2_PLACEMENT_3D('',#17495,#17496,#17497); +#17499=TOROIDAL_SURFACE('',#17498,2.5E0,5.E-1); +#17501=ORIENTED_EDGE('',*,*,#17500,.T.); +#17503=ORIENTED_EDGE('',*,*,#17502,.T.); +#17504=ORIENTED_EDGE('',*,*,#17485,.T.); +#17506=ORIENTED_EDGE('',*,*,#17505,.T.); +#17507=EDGE_LOOP('',(#17501,#17503,#17504,#17506)); +#17508=FACE_OUTER_BOUND('',#17507,.F.); +#17510=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.85E1)); +#17511=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17512=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17513=AXIS2_PLACEMENT_3D('',#17510,#17511,#17512); +#17514=PLANE('',#17513); +#17516=ORIENTED_EDGE('',*,*,#17515,.F.); +#17518=ORIENTED_EDGE('',*,*,#17517,.T.); +#17520=ORIENTED_EDGE('',*,*,#17519,.T.); +#17522=ORIENTED_EDGE('',*,*,#17521,.F.); +#17524=ORIENTED_EDGE('',*,*,#17523,.F.); +#17526=ORIENTED_EDGE('',*,*,#17525,.F.); +#17528=ORIENTED_EDGE('',*,*,#17527,.T.); +#17529=EDGE_LOOP('',(#17516,#17518,#17520,#17522,#17524,#17526,#17528)); +#17530=FACE_OUTER_BOUND('',#17529,.F.); +#17532=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.85E1)); +#17533=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17534=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17535=AXIS2_PLACEMENT_3D('',#17532,#17533,#17534); +#17536=PLANE('',#17535); +#17538=ORIENTED_EDGE('',*,*,#17537,.F.); +#17540=ORIENTED_EDGE('',*,*,#17539,.F.); +#17542=ORIENTED_EDGE('',*,*,#17541,.F.); +#17544=ORIENTED_EDGE('',*,*,#17543,.F.); +#17546=ORIENTED_EDGE('',*,*,#17545,.T.); +#17548=ORIENTED_EDGE('',*,*,#17547,.T.); +#17550=ORIENTED_EDGE('',*,*,#17549,.T.); +#17552=ORIENTED_EDGE('',*,*,#17551,.F.); +#17554=ORIENTED_EDGE('',*,*,#17553,.T.); +#17555=ORIENTED_EDGE('',*,*,#17255,.F.); +#17556=ORIENTED_EDGE('',*,*,#17240,.F.); +#17558=ORIENTED_EDGE('',*,*,#17557,.F.); +#17560=ORIENTED_EDGE('',*,*,#17559,.T.); +#17561=EDGE_LOOP('',(#17538,#17540,#17542,#17544,#17546,#17548,#17550,#17552, +#17554,#17555,#17556,#17558,#17560)); +#17562=FACE_OUTER_BOUND('',#17561,.F.); +#17564=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.85E1)); +#17565=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17566=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17567=AXIS2_PLACEMENT_3D('',#17564,#17565,#17566); +#17568=PLANE('',#17567); +#17569=ORIENTED_EDGE('',*,*,#17500,.F.); +#17571=ORIENTED_EDGE('',*,*,#17570,.T.); +#17573=ORIENTED_EDGE('',*,*,#17572,.F.); +#17575=ORIENTED_EDGE('',*,*,#17574,.F.); +#17576=ORIENTED_EDGE('',*,*,#17227,.F.); +#17577=ORIENTED_EDGE('',*,*,#17457,.F.); +#17579=ORIENTED_EDGE('',*,*,#17578,.T.); +#17580=EDGE_LOOP('',(#17569,#17571,#17573,#17575,#17576,#17577,#17579)); +#17581=FACE_OUTER_BOUND('',#17580,.F.); +#17583=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.85E1)); +#17584=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17585=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17586=AXIS2_PLACEMENT_3D('',#17583,#17584,#17585); +#17587=PLANE('',#17586); +#17589=ORIENTED_EDGE('',*,*,#17588,.F.); +#17591=ORIENTED_EDGE('',*,*,#17590,.F.); +#17593=ORIENTED_EDGE('',*,*,#17592,.T.); +#17595=ORIENTED_EDGE('',*,*,#17594,.T.); +#17597=ORIENTED_EDGE('',*,*,#17596,.F.); +#17599=ORIENTED_EDGE('',*,*,#17598,.T.); +#17601=ORIENTED_EDGE('',*,*,#17600,.T.); +#17603=ORIENTED_EDGE('',*,*,#17602,.F.); +#17605=ORIENTED_EDGE('',*,*,#17604,.T.); +#17607=ORIENTED_EDGE('',*,*,#17606,.T.); +#17609=ORIENTED_EDGE('',*,*,#17608,.T.); +#17611=ORIENTED_EDGE('',*,*,#17610,.F.); +#17613=ORIENTED_EDGE('',*,*,#17612,.F.); +#17614=EDGE_LOOP('',(#17589,#17591,#17593,#17595,#17597,#17599,#17601,#17603, +#17605,#17607,#17609,#17611,#17613)); +#17615=FACE_OUTER_BOUND('',#17614,.F.); +#17617=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.8E1)); +#17618=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17619=DIRECTION('',(9.999988959614E-1,-1.485959642859E-3,0.E0)); +#17620=AXIS2_PLACEMENT_3D('',#17617,#17618,#17619); +#17621=TOROIDAL_SURFACE('',#17620,2.5E0,5.E-1); +#17622=ORIENTED_EDGE('',*,*,#17515,.T.); +#17624=ORIENTED_EDGE('',*,*,#17623,.T.); +#17626=ORIENTED_EDGE('',*,*,#17625,.T.); +#17628=ORIENTED_EDGE('',*,*,#17627,.T.); +#17629=EDGE_LOOP('',(#17622,#17624,#17626,#17628)); +#17630=FACE_OUTER_BOUND('',#17629,.F.); +#17632=CARTESIAN_POINT('',(3.529687364248E1,1.255E1,-2.8E1)); +#17633=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17634=DIRECTION('',(5.311211266182E-1,8.472959039555E-1,0.E0)); +#17635=AXIS2_PLACEMENT_3D('',#17632,#17633,#17634); +#17636=TOROIDAL_SURFACE('',#17635,1.5E0,5.E-1); +#17637=ORIENTED_EDGE('',*,*,#17527,.F.); +#17639=ORIENTED_EDGE('',*,*,#17638,.T.); +#17641=ORIENTED_EDGE('',*,*,#17640,.F.); +#17642=ORIENTED_EDGE('',*,*,#17623,.F.); +#17643=EDGE_LOOP('',(#17637,#17639,#17641,#17642)); +#17644=FACE_OUTER_BOUND('',#17643,.F.); +#17646=CARTESIAN_POINT('',(3.460473750966E1,1.155E1,-2.85E1)); +#17647=DIRECTION('',(0.E0,1.E0,0.E0)); +#17648=DIRECTION('',(1.E0,0.E0,0.E0)); +#17649=AXIS2_PLACEMENT_3D('',#17646,#17647,#17648); +#17650=PLANE('',#17649); +#17652=ORIENTED_EDGE('',*,*,#17651,.T.); +#17654=ORIENTED_EDGE('',*,*,#17653,.T.); +#17655=ORIENTED_EDGE('',*,*,#17638,.F.); +#17656=ORIENTED_EDGE('',*,*,#17525,.T.); +#17658=ORIENTED_EDGE('',*,*,#17657,.T.); +#17660=ORIENTED_EDGE('',*,*,#17659,.F.); +#17661=EDGE_LOOP('',(#17652,#17654,#17655,#17656,#17658,#17660)); +#17662=FACE_OUTER_BOUND('',#17661,.F.); +#17664=CARTESIAN_POINT('',(1.3869684E2,1.205E1,-2.25E1)); +#17665=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17666=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17667=AXIS2_PLACEMENT_3D('',#17664,#17665,#17666); +#17668=CYLINDRICAL_SURFACE('',#17667,5.E-1); +#17669=ORIENTED_EDGE('',*,*,#17651,.F.); +#17671=ORIENTED_EDGE('',*,*,#17670,.T.); +#17672=ORIENTED_EDGE('',*,*,#17379,.T.); +#17674=ORIENTED_EDGE('',*,*,#17673,.T.); +#17675=EDGE_LOOP('',(#17669,#17671,#17672,#17674)); +#17676=FACE_OUTER_BOUND('',#17675,.F.); +#17678=CARTESIAN_POINT('',(3.782942736851E1,1.206737234453E1, +-2.200024308870E1)); +#17679=CARTESIAN_POINT('',(3.784477630119E1,1.206418810965E1, +-2.200035595509E1)); +#17680=CARTESIAN_POINT('',(3.787711889421E1,1.205758836831E1, +-2.199931340018E1)); +#17681=CARTESIAN_POINT('',(3.792605884586E1,1.204793747432E1, +-2.199359025043E1)); +#17682=CARTESIAN_POINT('',(3.797573030735E1,1.203848057875E1, +-2.198320745121E1)); +#17683=CARTESIAN_POINT('',(3.802389232535E1,1.202961787108E1, +-2.196847598711E1)); +#17684=CARTESIAN_POINT('',(3.806986802281E1,1.202142729279E1, +-2.194967806197E1)); +#17685=CARTESIAN_POINT('',(3.811388487368E1,1.201382056586E1, +-2.192679337077E1)); +#17686=CARTESIAN_POINT('',(3.815630791893E1,1.200669848005E1, +-2.189943233492E1)); +#17687=CARTESIAN_POINT('',(3.819671203097E1,1.200010239554E1, +-2.186734562094E1)); +#17688=CARTESIAN_POINT('',(3.823401913138E1,1.199416814389E1, +-2.183095807566E1)); +#17689=CARTESIAN_POINT('',(3.826720215515E1,1.198901100320E1, +-2.179116138188E1)); +#17690=CARTESIAN_POINT('',(3.829588795289E1,1.198464199446E1, +-2.174845238097E1)); +#17691=CARTESIAN_POINT('',(3.831981486799E1,1.198105942541E1, +-2.170332001605E1)); +#17692=CARTESIAN_POINT('',(3.833880982834E1,1.197825440428E1, +-2.165619467178E1)); +#17693=CARTESIAN_POINT('',(3.835272917540E1,1.197622052742E1, +-2.160750118330E1)); +#17694=CARTESIAN_POINT('',(3.836144769008E1,1.197495579019E1, +-2.155770673079E1)); +#17695=CARTESIAN_POINT('',(3.836407628587E1,1.197457596865E1, +-2.151910420503E1)); +#17696=CARTESIAN_POINT('',(3.836406626802E1,1.197457736460E1, +-2.149728094766E1)); +#17697=CARTESIAN_POINT('',(3.836398523030E1,1.197458907290E1, +-2.149227018797E1)); +#17698=CARTESIAN_POINT('',(3.782958322506E1,1.175985835616E1, +-2.198955328949E1)); +#17699=CARTESIAN_POINT('',(3.784460676846E1,1.175660618518E1, +-2.199162119522E1)); +#17700=CARTESIAN_POINT('',(3.787660755213E1,1.174990447199E1, +-2.199466145850E1)); +#17701=CARTESIAN_POINT('',(3.792607953075E1,1.174022088032E1, +-2.199487492570E1)); +#17702=CARTESIAN_POINT('',(3.797735238005E1,1.173084509698E1, +-2.199012157034E1)); +#17703=CARTESIAN_POINT('',(3.802803493083E1,1.172215880834E1, +-2.198033617453E1)); +#17704=CARTESIAN_POINT('',(3.807727378585E1,1.171421762201E1, +-2.196565511454E1)); +#17705=CARTESIAN_POINT('',(3.812516686735E1,1.170691629600E1, +-2.194602715608E1)); +#17706=CARTESIAN_POINT('',(3.817200151843E1,1.170014479845E1, +-2.192102295476E1)); +#17707=CARTESIAN_POINT('',(3.821721719585E1,1.169393031153E1, +-2.189027505318E1)); +#17708=CARTESIAN_POINT('',(3.825948156720E1,1.168838622977E1, +-2.185411771389E1)); +#17709=CARTESIAN_POINT('',(3.829747660027E1,1.168360408377E1, +-2.181345816456E1)); +#17710=CARTESIAN_POINT('',(3.833062154915E1,1.167957897104E1, +-2.176887428967E1)); +#17711=CARTESIAN_POINT('',(3.835847637419E1,1.167629637243E1, +-2.172097272178E1)); +#17712=CARTESIAN_POINT('',(3.838072277667E1,1.167373756332E1, +-2.167032365898E1)); +#17713=CARTESIAN_POINT('',(3.839709881788E1,1.167188843326E1, +-2.161750967251E1)); +#17714=CARTESIAN_POINT('',(3.840738781561E1,1.167074132227E1, +-2.156317434145E1)); +#17715=CARTESIAN_POINT('',(3.841049492147E1,1.167039700831E1, +-2.152091792793E1)); +#17716=CARTESIAN_POINT('',(3.841048303920E1,1.167039851977E1, +-2.149702281156E1)); +#17717=CARTESIAN_POINT('',(3.841038727381E1,1.167040918834E1, +-2.149153668403E1)); +#17718=CARTESIAN_POINT('',(3.782642190434E1,1.154155743056E1, +-2.220638009887E1)); +#17719=CARTESIAN_POINT('',(3.784744576227E1,1.153968722586E1, +-2.220992662557E1)); +#17720=CARTESIAN_POINT('',(3.789237812485E1,1.153587640180E1, +-2.221548077227E1)); +#17721=CARTESIAN_POINT('',(3.796229402741E1,1.153049989063E1, +-2.221746029170E1)); +#17722=CARTESIAN_POINT('',(3.803519948802E1,1.152542206679E1, +-2.221215621396E1)); +#17723=CARTESIAN_POINT('',(3.810765960374E1,1.152083242243E1, +-2.219936819507E1)); +#17724=CARTESIAN_POINT('',(3.817839541665E1,1.151673631142E1, +-2.217925282433E1)); +#17725=CARTESIAN_POINT('',(3.824748874604E1,1.151305648133E1, +-2.215172715910E1)); +#17726=CARTESIAN_POINT('',(3.831531054604E1,1.150971999891E1, +-2.211615786992E1)); +#17727=CARTESIAN_POINT('',(3.838101355475E1,1.150672578629E1, +-2.207197925973E1)); +#17728=CARTESIAN_POINT('',(3.844261455898E1,1.150411094377E1, +-2.201965020708E1)); +#17729=CARTESIAN_POINT('',(3.849813629367E1,1.150189903107E1, +-2.196048970426E1)); +#17730=CARTESIAN_POINT('',(3.854667549088E1,1.150006930124E1, +-2.189535793567E1)); +#17731=CARTESIAN_POINT('',(3.858753959278E1,1.149859921368E1, +-2.182516705314E1)); +#17732=CARTESIAN_POINT('',(3.862022140868E1,1.149746730166E1, +-2.175078263742E1)); +#17733=CARTESIAN_POINT('',(3.864430424343E1,1.149665705178E1, +-2.167309324091E1)); +#17734=CARTESIAN_POINT('',(3.865944604382E1,1.149615783202E1, +-2.159308106999E1)); +#17735=CARTESIAN_POINT('',(3.866402030031E1,1.149600821544E1, +-2.153082140717E1)); +#17736=CARTESIAN_POINT('',(3.866400278682E1,1.149600917974E1, +-2.149561327954E1)); +#17737=CARTESIAN_POINT('',(3.866386180849E1,1.149601388414E1, +-2.148752986359E1)); +#17738=CARTESIAN_POINT('',(3.782193790701E1,1.155019723946E1, +-2.251392587595E1)); +#17739=CARTESIAN_POINT('',(3.785150214037E1,1.155028701068E1, +-2.251754173233E1)); +#17740=CARTESIAN_POINT('',(3.791448084117E1,1.155053605333E1, +-2.252245479997E1)); +#17741=CARTESIAN_POINT('',(3.801185434152E1,1.155108903185E1, +-2.252091559149E1)); +#17742=CARTESIAN_POINT('',(3.811277546214E1,1.155181440027E1, +-2.250922807997E1)); +#17743=CARTESIAN_POINT('',(3.821253054785E1,1.155265702219E1, +-2.248738312378E1)); +#17744=CARTESIAN_POINT('',(3.830943452896E1,1.155357578335E1, +-2.245571081331E1)); +#17745=CARTESIAN_POINT('',(3.840367672999E1,1.155454871559E1, +-2.241411572350E1)); +#17746=CARTESIAN_POINT('',(3.849582027423E1,1.155556449864E1, +-2.236172501634E1)); +#17747=CARTESIAN_POINT('',(3.858476077098E1,1.155659754212E1, +-2.229781971010E1)); +#17748=CARTESIAN_POINT('',(3.866787814504E1,1.155760292945E1, +-2.222311987856E1)); +#17749=CARTESIAN_POINT('',(3.874258354774E1,1.155853490942E1, +-2.213949271298E1)); +#17750=CARTESIAN_POINT('',(3.880773974511E1,1.155936696603E1, +-2.204810384938E1)); +#17751=CARTESIAN_POINT('',(3.886248684162E1,1.156007840349E1, +-2.195016603432E1)); +#17752=CARTESIAN_POINT('',(3.890620426257E1,1.156065383368E1, +-2.184680944134E1)); +#17753=CARTESIAN_POINT('',(3.893838171707E1,1.156108116016E1, +-2.173918380326E1)); +#17754=CARTESIAN_POINT('',(3.895859697059E1,1.156135133724E1, +-2.162855853973E1)); +#17755=CARTESIAN_POINT('',(3.896470138206E1,1.156143277182E1, +-2.154256646429E1)); +#17756=CARTESIAN_POINT('',(3.896467802332E1,1.156143287150E1, +-2.149394163578E1)); +#17757=CARTESIAN_POINT('',(3.896448987197E1,1.156143045012E1, +-2.148277765936E1)); +#17758=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#17678,#17679,#17680,#17681, +#17682,#17683,#17684,#17685,#17686,#17687,#17688,#17689,#17690,#17691,#17692, +#17693,#17694,#17695,#17696,#17697),(#17698,#17699,#17700,#17701,#17702,#17703, +#17704,#17705,#17706,#17707,#17708,#17709,#17710,#17711,#17712,#17713,#17714, +#17715,#17716,#17717),(#17718,#17719,#17720,#17721,#17722,#17723,#17724,#17725, +#17726,#17727,#17728,#17729,#17730,#17731,#17732,#17733,#17734,#17735,#17736, +#17737),(#17738,#17739,#17740,#17741,#17742,#17743,#17744,#17745,#17746,#17747, +#17748,#17749,#17750,#17751,#17752,#17753,#17754,#17755,#17756,#17757)), +.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,4),(0.E0,1.E0),(3.515783830600E-3,2.547603916850E-2, +4.982116394060E-2,7.425575936605E-2,9.858596186525E-2,1.226638758670E-1, +1.463768160867E-1,1.709043112482E-1,1.965281298028E-1,2.221519546877E-1, +2.477281980934E-1,2.731744634222E-1,2.984883174074E-1,3.237220062307E-1, +3.489260318546E-1,3.741190305627E-1,3.993024147680E-1,4.068103641764E-1), +.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE((( +1.187263304308E0,1.187263304308E0,1.187263304308E0,1.187263304308E0, +1.187263304308E0,1.187263304308E0,1.187263304308E0,1.187263304308E0, +1.187263304308E0,1.187263304308E0,1.187263304308E0,1.187263304308E0, +1.187263304308E0,1.187263304308E0,1.187263304308E0,1.187263304308E0, +1.187263304308E0,1.187263304308E0,1.187263304308E0,1.187263304308E0),( +9.375788985640E-1,9.375788985640E-1,9.375788985640E-1,9.375788985640E-1, +9.375788985640E-1,9.375788985640E-1,9.375788985640E-1,9.375788985640E-1, +9.375788985640E-1,9.375788985640E-1,9.375788985640E-1,9.375788985640E-1, +9.375788985640E-1,9.375788985640E-1,9.375788985640E-1,9.375788985640E-1, +9.375788985640E-1,9.375788985640E-1,9.375788985640E-1,9.375788985640E-1),( +9.375788985640E-1,9.375788985640E-1,9.375788985640E-1,9.375788985640E-1, +9.375788985640E-1,9.375788985640E-1,9.375788985640E-1,9.375788985640E-1, +9.375788985640E-1,9.375788985640E-1,9.375788985640E-1,9.375788985640E-1, +9.375788985640E-1,9.375788985640E-1,9.375788985640E-1,9.375788985640E-1, +9.375788985640E-1,9.375788985640E-1,9.375788985640E-1,9.375788985640E-1),( +1.187263304308E0,1.187263304308E0,1.187263304308E0,1.187263304308E0, +1.187263304308E0,1.187263304308E0,1.187263304308E0,1.187263304308E0, +1.187263304308E0,1.187263304308E0,1.187263304308E0,1.187263304308E0, +1.187263304308E0,1.187263304308E0,1.187263304308E0,1.187263304308E0, +1.187263304308E0,1.187263304308E0,1.187263304308E0,1.187263304308E0)))REPRESENTATION_ITEM('')SURFACE()); +#17759=ORIENTED_EDGE('',*,*,#17659,.T.); +#17761=ORIENTED_EDGE('',*,*,#17760,.F.); +#17763=ORIENTED_EDGE('',*,*,#17762,.F.); +#17764=ORIENTED_EDGE('',*,*,#17670,.F.); +#17765=EDGE_LOOP('',(#17759,#17761,#17763,#17764)); +#17766=FACE_OUTER_BOUND('',#17765,.F.); +#17768=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.E1)); +#17769=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17770=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17771=AXIS2_PLACEMENT_3D('',#17768,#17769,#17770); +#17772=CYLINDRICAL_SURFACE('',#17771,5.E0); +#17773=ORIENTED_EDGE('',*,*,#17760,.T.); +#17774=ORIENTED_EDGE('',*,*,#17657,.F.); +#17775=ORIENTED_EDGE('',*,*,#17523,.T.); +#17777=ORIENTED_EDGE('',*,*,#17776,.F.); +#17778=ORIENTED_EDGE('',*,*,#17166,.T.); +#17780=ORIENTED_EDGE('',*,*,#17779,.T.); +#17782=ORIENTED_EDGE('',*,*,#17781,.T.); +#17783=EDGE_LOOP('',(#17773,#17774,#17775,#17777,#17778,#17780,#17782)); +#17784=FACE_OUTER_BOUND('',#17783,.F.); +#17786=CARTESIAN_POINT('',(3.845E1,1.105E1,-2.E1)); +#17787=DIRECTION('',(1.E0,0.E0,0.E0)); +#17788=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17789=AXIS2_PLACEMENT_3D('',#17786,#17787,#17788); +#17790=PLANE('',#17789); +#17792=ORIENTED_EDGE('',*,*,#17791,.T.); +#17794=ORIENTED_EDGE('',*,*,#17793,.F.); +#17795=ORIENTED_EDGE('',*,*,#17596,.T.); +#17797=ORIENTED_EDGE('',*,*,#17796,.T.); +#17799=ORIENTED_EDGE('',*,*,#17798,.T.); +#17801=ORIENTED_EDGE('',*,*,#17800,.T.); +#17803=ORIENTED_EDGE('',*,*,#17802,.F.); +#17804=ORIENTED_EDGE('',*,*,#17150,.T.); +#17805=ORIENTED_EDGE('',*,*,#17776,.T.); +#17806=ORIENTED_EDGE('',*,*,#17521,.T.); +#17808=ORIENTED_EDGE('',*,*,#17807,.T.); +#17810=ORIENTED_EDGE('',*,*,#17809,.T.); +#17812=ORIENTED_EDGE('',*,*,#17811,.T.); +#17813=EDGE_LOOP('',(#17792,#17794,#17795,#17797,#17799,#17801,#17803,#17804, +#17805,#17806,#17808,#17810,#17812)); +#17814=FACE_OUTER_BOUND('',#17813,.F.); +#17816=CARTESIAN_POINT('',(3.795E1,-6.05E0,-2.15E1)); +#17817=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17818=DIRECTION('',(-2.949228958124E-2,0.E0,-9.995650078185E-1)); +#17819=AXIS2_PLACEMENT_3D('',#17816,#17817,#17818); +#17820=TOROIDAL_SURFACE('',#17819,1.E0,5.E-1); +#17822=ORIENTED_EDGE('',*,*,#17821,.T.); +#17823=ORIENTED_EDGE('',*,*,#17791,.F.); +#17825=ORIENTED_EDGE('',*,*,#17824,.F.); +#17827=ORIENTED_EDGE('',*,*,#17826,.F.); +#17828=EDGE_LOOP('',(#17822,#17823,#17825,#17827)); +#17829=FACE_OUTER_BOUND('',#17828,.F.); +#17831=CARTESIAN_POINT('',(3.610473750966E1,-6.55E0,-2.85E1)); +#17832=DIRECTION('',(0.E0,1.E0,0.E0)); +#17833=DIRECTION('',(1.E0,0.E0,0.E0)); +#17834=AXIS2_PLACEMENT_3D('',#17831,#17832,#17833); +#17835=PLANE('',#17834); +#17837=ORIENTED_EDGE('',*,*,#17836,.F.); +#17839=ORIENTED_EDGE('',*,*,#17838,.T.); +#17841=ORIENTED_EDGE('',*,*,#17840,.F.); +#17842=ORIENTED_EDGE('',*,*,#17598,.F.); +#17843=ORIENTED_EDGE('',*,*,#17793,.T.); +#17844=ORIENTED_EDGE('',*,*,#17821,.F.); +#17845=EDGE_LOOP('',(#17837,#17839,#17841,#17842,#17843,#17844)); +#17846=FACE_OUTER_BOUND('',#17845,.F.); +#17848=CARTESIAN_POINT('',(-1.223527134881E2,-6.05E0,-2.25E1)); +#17849=DIRECTION('',(1.E0,0.E0,0.E0)); +#17850=DIRECTION('',(0.E0,0.E0,1.E0)); +#17851=AXIS2_PLACEMENT_3D('',#17848,#17849,#17850); +#17852=CYLINDRICAL_SURFACE('',#17851,5.E-1); +#17853=ORIENTED_EDGE('',*,*,#17836,.T.); +#17854=ORIENTED_EDGE('',*,*,#17826,.T.); +#17855=ORIENTED_EDGE('',*,*,#17367,.F.); +#17857=ORIENTED_EDGE('',*,*,#17856,.T.); +#17858=EDGE_LOOP('',(#17853,#17854,#17855,#17857)); +#17859=FACE_OUTER_BOUND('',#17858,.F.); +#17861=CARTESIAN_POINT('',(3.680770137161E1,-6.034173563600E0, +-2.200024671982E1)); +#17862=CARTESIAN_POINT('',(3.681457743533E1,-6.341644155018E0, +-2.199058165128E1)); +#17863=CARTESIAN_POINT('',(3.681944194697E1,-6.559166029139E0, +-2.220815791192E1)); +#17864=CARTESIAN_POINT('',(3.681922585796E1,-6.549503376532E0, +-2.251570537954E1)); +#17865=CARTESIAN_POINT('',(3.660864429769E1,-6.038625140966E0, +-2.200024671982E1)); +#17866=CARTESIAN_POINT('',(3.648911074130E1,-6.348922671374E0, +-2.199058165128E1)); +#17867=CARTESIAN_POINT('',(3.640454602542E1,-6.568444480073E0, +-2.220815791192E1)); +#17868=CARTESIAN_POINT('',(3.640830251876E1,-6.558692987329E0, +-2.251570537954E1)); +#17869=CARTESIAN_POINT('',(3.643984325818E1,-5.933032791431E0, +-2.200024671982E1)); +#17870=CARTESIAN_POINT('',(3.621311393699E1,-6.176274735576E0, +-2.199058165128E1)); +#17871=CARTESIAN_POINT('',(3.605271294827E1,-6.348357676294E0, +-2.220815791192E1)); +#17872=CARTESIAN_POINT('',(3.605983820424E1,-6.340713490244E0, +-2.251570537954E1)); +#17873=CARTESIAN_POINT('',(3.635675872867E1,-5.752089440701E0, +-2.200024671982E1)); +#17874=CARTESIAN_POINT('',(3.607726723426E1,-5.880424741351E0, +-2.199058165128E1)); +#17875=CARTESIAN_POINT('',(3.587953933650E1,-5.971216302862E0, +-2.220815791192E1)); +#17876=CARTESIAN_POINT('',(3.588832271056E1,-5.967183203561E0, +-2.251570537954E1)); +#17877=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#17861,#17862,#17863,#17864),( +#17865,#17866,#17867,#17868),(#17869,#17870,#17871,#17872),(#17873,#17874, +#17875,#17876)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4, +4),(0.E0,1.E0),(0.E0,1.E0),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0)))REPRESENTATION_ITEM('')SURFACE()); +#17878=ORIENTED_EDGE('',*,*,#17365,.T.); +#17880=ORIENTED_EDGE('',*,*,#17879,.F.); +#17882=ORIENTED_EDGE('',*,*,#17881,.F.); +#17883=ORIENTED_EDGE('',*,*,#17856,.F.); +#17884=EDGE_LOOP('',(#17878,#17880,#17882,#17883)); +#17885=FACE_OUTER_BOUND('',#17884,.F.); +#17887=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.25E1)); +#17888=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17889=DIRECTION('',(-1.817230147596E-1,-9.833497576685E-1,0.E0)); +#17890=AXIS2_PLACEMENT_3D('',#17887,#17888,#17889); +#17891=TOROIDAL_SURFACE('',#17890,3.5E0,5.E-1); +#17893=ORIENTED_EDGE('',*,*,#17892,.T.); +#17894=ORIENTED_EDGE('',*,*,#17879,.T.); +#17895=ORIENTED_EDGE('',*,*,#17363,.T.); +#17897=ORIENTED_EDGE('',*,*,#17896,.T.); +#17898=EDGE_LOOP('',(#17893,#17894,#17895,#17897)); +#17899=FACE_OUTER_BOUND('',#17898,.F.); +#17901=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.85E1)); +#17902=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17903=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17904=AXIS2_PLACEMENT_3D('',#17901,#17902,#17903); +#17905=CYLINDRICAL_SURFACE('',#17904,3.E0); +#17907=ORIENTED_EDGE('',*,*,#17906,.F.); +#17909=ORIENTED_EDGE('',*,*,#17908,.F.); +#17910=ORIENTED_EDGE('',*,*,#17892,.F.); +#17912=ORIENTED_EDGE('',*,*,#17911,.F.); +#17913=EDGE_LOOP('',(#17907,#17909,#17910,#17912)); +#17914=FACE_OUTER_BOUND('',#17913,.F.); +#17916=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.8E1)); +#17917=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17918=DIRECTION('',(-1.817230147596E-1,-9.833497576685E-1,0.E0)); +#17919=AXIS2_PLACEMENT_3D('',#17916,#17917,#17918); +#17920=TOROIDAL_SURFACE('',#17919,2.5E0,5.E-1); +#17921=ORIENTED_EDGE('',*,*,#17602,.T.); +#17923=ORIENTED_EDGE('',*,*,#17922,.T.); +#17924=ORIENTED_EDGE('',*,*,#17906,.T.); +#17926=ORIENTED_EDGE('',*,*,#17925,.T.); +#17927=EDGE_LOOP('',(#17921,#17923,#17924,#17926)); +#17928=FACE_OUTER_BOUND('',#17927,.F.); +#17930=CARTESIAN_POINT('',(3.679687364248E1,-5.55E0,-2.8E1)); +#17931=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17932=DIRECTION('',(5.311211266182E-1,8.472959039555E-1,0.E0)); +#17933=AXIS2_PLACEMENT_3D('',#17930,#17931,#17932); +#17934=TOROIDAL_SURFACE('',#17933,1.5E0,5.E-1); +#17935=ORIENTED_EDGE('',*,*,#17600,.F.); +#17936=ORIENTED_EDGE('',*,*,#17840,.T.); +#17938=ORIENTED_EDGE('',*,*,#17937,.F.); +#17939=ORIENTED_EDGE('',*,*,#17922,.F.); +#17940=EDGE_LOOP('',(#17935,#17936,#17938,#17939)); +#17941=FACE_OUTER_BOUND('',#17940,.F.); +#17943=CARTESIAN_POINT('',(3.679687364248E1,-5.55E0,4.927608E1)); +#17944=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17945=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17946=AXIS2_PLACEMENT_3D('',#17943,#17944,#17945); +#17947=CYLINDRICAL_SURFACE('',#17946,1.E0); +#17948=ORIENTED_EDGE('',*,*,#17908,.T.); +#17949=ORIENTED_EDGE('',*,*,#17937,.T.); +#17950=ORIENTED_EDGE('',*,*,#17838,.F.); +#17951=ORIENTED_EDGE('',*,*,#17881,.T.); +#17952=EDGE_LOOP('',(#17948,#17949,#17950,#17951)); +#17953=FACE_OUTER_BOUND('',#17952,.F.); +#17955=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-3.31E1)); +#17956=DIRECTION('',(1.E0,0.E0,0.E0)); +#17957=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17958=AXIS2_PLACEMENT_3D('',#17955,#17956,#17957); +#17959=PLANE('',#17958); +#17960=ORIENTED_EDGE('',*,*,#17604,.F.); +#17961=ORIENTED_EDGE('',*,*,#17925,.F.); +#17963=ORIENTED_EDGE('',*,*,#17962,.T.); +#17965=ORIENTED_EDGE('',*,*,#17964,.T.); +#17967=ORIENTED_EDGE('',*,*,#17966,.T.); +#17968=EDGE_LOOP('',(#17960,#17961,#17963,#17965,#17967)); +#17969=FACE_OUTER_BOUND('',#17968,.F.); +#17971=CARTESIAN_POINT('',(2.605E1,-5.568671030682E0,-2.85E1)); +#17972=DIRECTION('',(0.E0,1.E0,0.E0)); +#17973=DIRECTION('',(1.E0,0.E0,0.E0)); +#17974=AXIS2_PLACEMENT_3D('',#17971,#17972,#17973); +#17975=PLANE('',#17974); +#17976=ORIENTED_EDGE('',*,*,#17962,.F.); +#17977=ORIENTED_EDGE('',*,*,#17911,.T.); +#17978=ORIENTED_EDGE('',*,*,#17896,.F.); +#17979=ORIENTED_EDGE('',*,*,#17361,.F.); +#17981=ORIENTED_EDGE('',*,*,#17980,.T.); +#17983=ORIENTED_EDGE('',*,*,#17982,.T.); +#17985=ORIENTED_EDGE('',*,*,#17984,.F.); +#17987=ORIENTED_EDGE('',*,*,#17986,.T.); +#17988=EDGE_LOOP('',(#17976,#17977,#17978,#17979,#17981,#17983,#17985,#17987)); +#17989=FACE_OUTER_BOUND('',#17988,.F.); +#17991=CARTESIAN_POINT('',(2.443110368616E1,-8.22E0,-2.85E1)); +#17992=DIRECTION('',(-8.534766064211E-1,5.211311565163E-1,0.E0)); +#17993=DIRECTION('',(5.211311565163E-1,8.534766064211E-1,0.E0)); +#17994=AXIS2_PLACEMENT_3D('',#17991,#17992,#17993); +#17995=PLANE('',#17994); +#17996=ORIENTED_EDGE('',*,*,#17359,.F.); +#17998=ORIENTED_EDGE('',*,*,#17997,.T.); +#18000=ORIENTED_EDGE('',*,*,#17999,.T.); +#18001=ORIENTED_EDGE('',*,*,#17980,.F.); +#18002=EDGE_LOOP('',(#17996,#17998,#18000,#18001)); +#18003=FACE_OUTER_BOUND('',#18002,.F.); +#18005=CARTESIAN_POINT('',(2.443110368616E1,-9.37E0,-2.85E1)); +#18006=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18007=DIRECTION('',(0.E0,1.E0,0.E0)); +#18008=AXIS2_PLACEMENT_3D('',#18005,#18006,#18007); +#18009=PLANE('',#18008); +#18010=ORIENTED_EDGE('',*,*,#17997,.F.); +#18011=ORIENTED_EDGE('',*,*,#17357,.T.); +#18013=ORIENTED_EDGE('',*,*,#18012,.F.); +#18015=ORIENTED_EDGE('',*,*,#18014,.T.); +#18016=EDGE_LOOP('',(#18010,#18011,#18013,#18015)); +#18017=FACE_OUTER_BOUND('',#18016,.F.); +#18019=CARTESIAN_POINT('',(2.473110368616E1,-9.67E0,-2.85E1)); +#18020=DIRECTION('',(-7.071067811865E-1,-7.071067811866E-1,0.E0)); +#18021=DIRECTION('',(-7.071067811866E-1,7.071067811865E-1,0.E0)); +#18022=AXIS2_PLACEMENT_3D('',#18019,#18020,#18021); +#18023=PLANE('',#18022); +#18024=ORIENTED_EDGE('',*,*,#18012,.T.); +#18025=ORIENTED_EDGE('',*,*,#17355,.F.); +#18027=ORIENTED_EDGE('',*,*,#18026,.T.); +#18029=ORIENTED_EDGE('',*,*,#18028,.T.); +#18030=EDGE_LOOP('',(#18024,#18025,#18027,#18029)); +#18031=FACE_OUTER_BOUND('',#18030,.F.); +#18033=CARTESIAN_POINT('',(2.473110368616E1,-1.077E1,-2.85E1)); +#18034=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18035=DIRECTION('',(0.E0,1.E0,0.E0)); +#18036=AXIS2_PLACEMENT_3D('',#18033,#18034,#18035); +#18037=PLANE('',#18036); +#18039=ORIENTED_EDGE('',*,*,#18038,.T.); +#18041=ORIENTED_EDGE('',*,*,#18040,.T.); +#18042=ORIENTED_EDGE('',*,*,#18026,.F.); +#18043=ORIENTED_EDGE('',*,*,#17353,.F.); +#18044=EDGE_LOOP('',(#18039,#18041,#18042,#18043)); +#18045=FACE_OUTER_BOUND('',#18044,.F.); +#18047=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-2.85E1)); +#18048=DIRECTION('',(-7.071067811866E-1,7.071067811865E-1,0.E0)); +#18049=DIRECTION('',(7.071067811865E-1,7.071067811866E-1,0.E0)); +#18050=AXIS2_PLACEMENT_3D('',#18047,#18048,#18049); +#18051=PLANE('',#18050); +#18053=ORIENTED_EDGE('',*,*,#18052,.T.); +#18055=ORIENTED_EDGE('',*,*,#18054,.T.); +#18057=ORIENTED_EDGE('',*,*,#18056,.T.); +#18058=ORIENTED_EDGE('',*,*,#18038,.F.); +#18059=ORIENTED_EDGE('',*,*,#17351,.F.); +#18061=ORIENTED_EDGE('',*,*,#18060,.T.); +#18062=EDGE_LOOP('',(#18053,#18055,#18057,#18058,#18059,#18061)); +#18063=FACE_OUTER_BOUND('',#18062,.F.); +#18065=CARTESIAN_POINT('',(3.075E1,-1.107E1,-2.85E1)); +#18066=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18067=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18068=AXIS2_PLACEMENT_3D('',#18065,#18066,#18067); +#18069=PLANE('',#18068); +#18071=ORIENTED_EDGE('',*,*,#18070,.F.); +#18073=ORIENTED_EDGE('',*,*,#18072,.T.); +#18075=ORIENTED_EDGE('',*,*,#18074,.T.); +#18077=ORIENTED_EDGE('',*,*,#18076,.F.); +#18078=ORIENTED_EDGE('',*,*,#17543,.T.); +#18080=ORIENTED_EDGE('',*,*,#18079,.T.); +#18081=ORIENTED_EDGE('',*,*,#17311,.F.); +#18083=ORIENTED_EDGE('',*,*,#18082,.T.); +#18085=ORIENTED_EDGE('',*,*,#18084,.F.); +#18087=ORIENTED_EDGE('',*,*,#18086,.T.); +#18088=EDGE_LOOP('',(#18071,#18073,#18075,#18077,#18078,#18080,#18081,#18083, +#18085,#18087)); +#18089=FACE_OUTER_BOUND('',#18088,.F.); +#18091=CARTESIAN_POINT('',(3.075E1,-1.107E1,-2.85E1)); +#18092=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18093=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18094=AXIS2_PLACEMENT_3D('',#18091,#18092,#18093); +#18095=PLANE('',#18094); +#18097=ORIENTED_EDGE('',*,*,#18096,.F.); +#18099=ORIENTED_EDGE('',*,*,#18098,.F.); +#18100=ORIENTED_EDGE('',*,*,#18052,.F.); +#18102=ORIENTED_EDGE('',*,*,#18101,.F.); +#18104=ORIENTED_EDGE('',*,*,#18103,.F.); +#18105=ORIENTED_EDGE('',*,*,#17343,.F.); +#18107=ORIENTED_EDGE('',*,*,#18106,.F.); +#18108=ORIENTED_EDGE('',*,*,#17610,.T.); +#18110=ORIENTED_EDGE('',*,*,#18109,.T.); +#18112=ORIENTED_EDGE('',*,*,#18111,.T.); +#18113=EDGE_LOOP('',(#18097,#18099,#18100,#18102,#18104,#18105,#18107,#18108, +#18110,#18112)); +#18114=FACE_OUTER_BOUND('',#18113,.F.); +#18116=CARTESIAN_POINT('',(-2.573110368616E1,-1.107E1,-3.28E1)); +#18117=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18118=DIRECTION('',(1.E0,0.E0,0.E0)); +#18119=AXIS2_PLACEMENT_3D('',#18116,#18117,#18118); +#18120=PLANE('',#18119); +#18122=ORIENTED_EDGE('',*,*,#18121,.T.); +#18124=ORIENTED_EDGE('',*,*,#18123,.T.); +#18126=ORIENTED_EDGE('',*,*,#18125,.T.); +#18127=ORIENTED_EDGE('',*,*,#18070,.T.); +#18128=EDGE_LOOP('',(#18122,#18124,#18126,#18127)); +#18129=FACE_OUTER_BOUND('',#18128,.F.); +#18131=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-2.85E1)); +#18132=DIRECTION('',(1.E0,0.E0,0.E0)); +#18133=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18134=AXIS2_PLACEMENT_3D('',#18131,#18132,#18133); +#18135=PLANE('',#18134); +#18136=ORIENTED_EDGE('',*,*,#18121,.F.); +#18138=ORIENTED_EDGE('',*,*,#18137,.T.); +#18140=ORIENTED_EDGE('',*,*,#18139,.T.); +#18142=ORIENTED_EDGE('',*,*,#18141,.F.); +#18143=EDGE_LOOP('',(#18136,#18138,#18140,#18142)); +#18144=FACE_OUTER_BOUND('',#18143,.F.); +#18146=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-2.85E1)); +#18147=DIRECTION('',(1.E0,0.E0,0.E0)); +#18148=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18149=AXIS2_PLACEMENT_3D('',#18146,#18147,#18148); +#18150=PLANE('',#18149); +#18152=ORIENTED_EDGE('',*,*,#18151,.T.); +#18154=ORIENTED_EDGE('',*,*,#18153,.F.); +#18155=ORIENTED_EDGE('',*,*,#17305,.F.); +#18157=ORIENTED_EDGE('',*,*,#18156,.T.); +#18158=EDGE_LOOP('',(#18152,#18154,#18155,#18157)); +#18159=FACE_OUTER_BOUND('',#18158,.F.); +#18161=CARTESIAN_POINT('',(-2.473110368616E1,-1.077E1,-2.85E1)); +#18162=DIRECTION('',(7.071067811866E-1,7.071067811865E-1,0.E0)); +#18163=DIRECTION('',(7.071067811865E-1,-7.071067811866E-1,0.E0)); +#18164=AXIS2_PLACEMENT_3D('',#18161,#18162,#18163); +#18165=PLANE('',#18164); +#18166=ORIENTED_EDGE('',*,*,#18086,.F.); +#18167=ORIENTED_EDGE('',*,*,#18156,.F.); +#18168=ORIENTED_EDGE('',*,*,#17303,.F.); +#18170=ORIENTED_EDGE('',*,*,#18169,.T.); +#18172=ORIENTED_EDGE('',*,*,#18171,.T.); +#18173=ORIENTED_EDGE('',*,*,#18137,.F.); +#18174=EDGE_LOOP('',(#18166,#18167,#18168,#18170,#18172,#18173)); +#18175=FACE_OUTER_BOUND('',#18174,.F.); +#18177=CARTESIAN_POINT('',(-2.473110368616E1,-9.67E0,-2.85E1)); +#18178=DIRECTION('',(1.E0,0.E0,0.E0)); +#18179=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18180=AXIS2_PLACEMENT_3D('',#18177,#18178,#18179); +#18181=PLANE('',#18180); +#18183=ORIENTED_EDGE('',*,*,#18182,.T.); +#18185=ORIENTED_EDGE('',*,*,#18184,.T.); +#18186=ORIENTED_EDGE('',*,*,#18169,.F.); +#18187=ORIENTED_EDGE('',*,*,#17301,.F.); +#18188=EDGE_LOOP('',(#18183,#18185,#18186,#18187)); +#18189=FACE_OUTER_BOUND('',#18188,.F.); +#18191=CARTESIAN_POINT('',(-2.443110368616E1,-9.37E0,-2.85E1)); +#18192=DIRECTION('',(7.071067811865E-1,-7.071067811866E-1,0.E0)); +#18193=DIRECTION('',(-7.071067811866E-1,-7.071067811865E-1,0.E0)); +#18194=AXIS2_PLACEMENT_3D('',#18191,#18192,#18193); +#18195=PLANE('',#18194); +#18196=ORIENTED_EDGE('',*,*,#17299,.F.); +#18198=ORIENTED_EDGE('',*,*,#18197,.F.); +#18200=ORIENTED_EDGE('',*,*,#18199,.T.); +#18201=ORIENTED_EDGE('',*,*,#18182,.F.); +#18202=EDGE_LOOP('',(#18196,#18198,#18200,#18201)); +#18203=FACE_OUTER_BOUND('',#18202,.F.); +#18205=CARTESIAN_POINT('',(-2.443110368616E1,-8.22E0,-2.85E1)); +#18206=DIRECTION('',(1.E0,0.E0,0.E0)); +#18207=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18208=AXIS2_PLACEMENT_3D('',#18205,#18206,#18207); +#18209=PLANE('',#18208); +#18210=ORIENTED_EDGE('',*,*,#18197,.T.); +#18211=ORIENTED_EDGE('',*,*,#17297,.T.); +#18213=ORIENTED_EDGE('',*,*,#18212,.T.); +#18215=ORIENTED_EDGE('',*,*,#18214,.T.); +#18216=EDGE_LOOP('',(#18210,#18211,#18213,#18215)); +#18217=FACE_OUTER_BOUND('',#18216,.F.); +#18219=CARTESIAN_POINT('',(-2.605E1,-5.568671030682E0,-2.85E1)); +#18220=DIRECTION('',(8.534766064211E-1,5.211311565163E-1,0.E0)); +#18221=DIRECTION('',(5.211311565163E-1,-8.534766064211E-1,0.E0)); +#18222=AXIS2_PLACEMENT_3D('',#18219,#18220,#18221); +#18223=PLANE('',#18222); +#18224=ORIENTED_EDGE('',*,*,#18212,.F.); +#18225=ORIENTED_EDGE('',*,*,#17295,.F.); +#18227=ORIENTED_EDGE('',*,*,#18226,.T.); +#18229=ORIENTED_EDGE('',*,*,#18228,.T.); +#18230=EDGE_LOOP('',(#18224,#18225,#18227,#18229)); +#18231=FACE_OUTER_BOUND('',#18230,.F.); +#18233=CARTESIAN_POINT('',(-3.075E1,-5.568671030682E0,-2.85E1)); +#18234=DIRECTION('',(0.E0,1.E0,0.E0)); +#18235=DIRECTION('',(1.E0,0.E0,0.E0)); +#18236=AXIS2_PLACEMENT_3D('',#18233,#18234,#18235); +#18237=PLANE('',#18236); +#18239=ORIENTED_EDGE('',*,*,#18238,.F.); +#18241=ORIENTED_EDGE('',*,*,#18240,.F.); +#18243=ORIENTED_EDGE('',*,*,#18242,.T.); +#18245=ORIENTED_EDGE('',*,*,#18244,.T.); +#18247=ORIENTED_EDGE('',*,*,#18246,.T.); +#18248=ORIENTED_EDGE('',*,*,#18226,.F.); +#18249=ORIENTED_EDGE('',*,*,#17293,.F.); +#18251=ORIENTED_EDGE('',*,*,#18250,.F.); +#18253=ORIENTED_EDGE('',*,*,#18252,.F.); +#18254=EDGE_LOOP('',(#18239,#18241,#18243,#18245,#18247,#18248,#18249,#18251, +#18253)); +#18255=FACE_OUTER_BOUND('',#18254,.F.); +#18257=CARTESIAN_POINT('',(-3.075E1,-7.218671030682E0,-3.31E1)); +#18258=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18259=DIRECTION('',(0.E0,1.E0,0.E0)); +#18260=AXIS2_PLACEMENT_3D('',#18257,#18258,#18259); +#18261=PLANE('',#18260); +#18262=ORIENTED_EDGE('',*,*,#18238,.T.); +#18264=ORIENTED_EDGE('',*,*,#18263,.F.); +#18265=ORIENTED_EDGE('',*,*,#17549,.F.); +#18267=ORIENTED_EDGE('',*,*,#18266,.F.); +#18269=ORIENTED_EDGE('',*,*,#18268,.T.); +#18270=EDGE_LOOP('',(#18262,#18264,#18265,#18267,#18269)); +#18271=FACE_OUTER_BOUND('',#18270,.F.); +#18273=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.8E1)); +#18274=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18275=DIRECTION('',(1.787997793602E-1,-9.838854805823E-1,0.E0)); +#18276=AXIS2_PLACEMENT_3D('',#18273,#18274,#18275); +#18277=TOROIDAL_SURFACE('',#18276,2.5E0,5.E-1); +#18278=ORIENTED_EDGE('',*,*,#17551,.T.); +#18279=ORIENTED_EDGE('',*,*,#18263,.T.); +#18281=ORIENTED_EDGE('',*,*,#18280,.T.); +#18283=ORIENTED_EDGE('',*,*,#18282,.T.); +#18284=EDGE_LOOP('',(#18278,#18279,#18281,#18283)); +#18285=FACE_OUTER_BOUND('',#18284,.F.); +#18287=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.85E1)); +#18288=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18289=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18290=AXIS2_PLACEMENT_3D('',#18287,#18288,#18289); +#18291=CYLINDRICAL_SURFACE('',#18290,3.E0); +#18292=ORIENTED_EDGE('',*,*,#18280,.F.); +#18293=ORIENTED_EDGE('',*,*,#18252,.T.); +#18295=ORIENTED_EDGE('',*,*,#18294,.F.); +#18297=ORIENTED_EDGE('',*,*,#18296,.T.); +#18298=EDGE_LOOP('',(#18292,#18293,#18295,#18297)); +#18299=FACE_OUTER_BOUND('',#18298,.F.); +#18301=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.25E1)); +#18302=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18303=DIRECTION('',(1.787997793602E-1,-9.838854805823E-1,0.E0)); +#18304=AXIS2_PLACEMENT_3D('',#18301,#18302,#18303); +#18305=TOROIDAL_SURFACE('',#18304,3.5E0,5.E-1); +#18306=ORIENTED_EDGE('',*,*,#18294,.T.); +#18307=ORIENTED_EDGE('',*,*,#18250,.T.); +#18308=ORIENTED_EDGE('',*,*,#17291,.T.); +#18310=ORIENTED_EDGE('',*,*,#18309,.T.); +#18311=EDGE_LOOP('',(#18306,#18307,#18308,#18310)); +#18312=FACE_OUTER_BOUND('',#18311,.F.); +#18314=CARTESIAN_POINT('',(-3.635675872867E1,-5.752089440701E0, +-2.200024671982E1)); +#18315=CARTESIAN_POINT('',(-3.607726723426E1,-5.880424741351E0, +-2.199058165128E1)); +#18316=CARTESIAN_POINT('',(-3.587953933650E1,-5.971216302862E0, +-2.220815791192E1)); +#18317=CARTESIAN_POINT('',(-3.588832271056E1,-5.967183203561E0, +-2.251570537954E1)); +#18318=CARTESIAN_POINT('',(-3.643984325818E1,-5.933032791431E0, +-2.200024671982E1)); +#18319=CARTESIAN_POINT('',(-3.621311393699E1,-6.176274735576E0, +-2.199058165128E1)); +#18320=CARTESIAN_POINT('',(-3.605271294827E1,-6.348357676294E0, +-2.220815791192E1)); +#18321=CARTESIAN_POINT('',(-3.605983820424E1,-6.340713490244E0, +-2.251570537954E1)); +#18322=CARTESIAN_POINT('',(-3.660864429769E1,-6.038625140966E0, +-2.200024671982E1)); +#18323=CARTESIAN_POINT('',(-3.648911074130E1,-6.348922671374E0, +-2.199058165128E1)); +#18324=CARTESIAN_POINT('',(-3.640454602542E1,-6.568444480073E0, +-2.220815791192E1)); +#18325=CARTESIAN_POINT('',(-3.640830251876E1,-6.558692987329E0, +-2.251570537954E1)); +#18326=CARTESIAN_POINT('',(-3.680770137161E1,-6.034173563600E0, +-2.200024671982E1)); +#18327=CARTESIAN_POINT('',(-3.681457743533E1,-6.341644155018E0, +-2.199058165128E1)); +#18328=CARTESIAN_POINT('',(-3.681944194697E1,-6.559166029139E0, +-2.220815791192E1)); +#18329=CARTESIAN_POINT('',(-3.681922585796E1,-6.549503376532E0, +-2.251570537954E1)); +#18330=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#18314,#18315,#18316,#18317),( +#18318,#18319,#18320,#18321),(#18322,#18323,#18324,#18325),(#18326,#18327, +#18328,#18329)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4, +4),(0.E0,1.E0),(0.E0,1.E0),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0)))REPRESENTATION_ITEM('')SURFACE()); +#18331=ORIENTED_EDGE('',*,*,#17289,.T.); +#18332=ORIENTED_EDGE('',*,*,#17274,.F.); +#18334=ORIENTED_EDGE('',*,*,#18333,.F.); +#18335=ORIENTED_EDGE('',*,*,#18309,.F.); +#18336=EDGE_LOOP('',(#18331,#18332,#18334,#18335)); +#18337=FACE_OUTER_BOUND('',#18336,.F.); +#18339=CARTESIAN_POINT('',(-3.679687364248E1,-5.55E0,4.927608E1)); +#18340=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18341=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#18342=AXIS2_PLACEMENT_3D('',#18339,#18340,#18341); +#18343=CYLINDRICAL_SURFACE('',#18342,1.E0); +#18344=ORIENTED_EDGE('',*,*,#17259,.T.); +#18346=ORIENTED_EDGE('',*,*,#18345,.T.); +#18347=ORIENTED_EDGE('',*,*,#18296,.F.); +#18348=ORIENTED_EDGE('',*,*,#18333,.T.); +#18349=EDGE_LOOP('',(#18344,#18346,#18347,#18348)); +#18350=FACE_OUTER_BOUND('',#18349,.F.); +#18352=CARTESIAN_POINT('',(-3.679687364248E1,-5.55E0,-2.8E1)); +#18353=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18354=DIRECTION('',(9.055727571006E-1,-4.241909730266E-1,0.E0)); +#18355=AXIS2_PLACEMENT_3D('',#18352,#18353,#18354); +#18356=TOROIDAL_SURFACE('',#18355,1.5E0,5.E-1); +#18357=ORIENTED_EDGE('',*,*,#17553,.F.); +#18358=ORIENTED_EDGE('',*,*,#18282,.F.); +#18359=ORIENTED_EDGE('',*,*,#18345,.F.); +#18360=ORIENTED_EDGE('',*,*,#17257,.T.); +#18361=EDGE_LOOP('',(#18357,#18358,#18359,#18360)); +#18362=FACE_OUTER_BOUND('',#18361,.F.); +#18364=CARTESIAN_POINT('',(-2.695E1,-7.218671030682E0,-3.31E1)); +#18365=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18366=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18367=AXIS2_PLACEMENT_3D('',#18364,#18365,#18366); +#18368=PLANE('',#18367); +#18370=ORIENTED_EDGE('',*,*,#18369,.F.); +#18371=ORIENTED_EDGE('',*,*,#18266,.T.); +#18372=ORIENTED_EDGE('',*,*,#17547,.F.); +#18374=ORIENTED_EDGE('',*,*,#18373,.T.); +#18376=ORIENTED_EDGE('',*,*,#18375,.T.); +#18377=EDGE_LOOP('',(#18370,#18371,#18372,#18374,#18376)); +#18378=FACE_OUTER_BOUND('',#18377,.F.); +#18380=ORIENTED_EDGE('',*,*,#18379,.T.); +#18382=ORIENTED_EDGE('',*,*,#18381,.T.); +#18383=EDGE_LOOP('',(#18380,#18382)); +#18384=FACE_BOUND('',#18383,.F.); +#18386=CARTESIAN_POINT('',(-2.89E1,-7.218671030682E0,-3.13E1)); +#18387=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18388=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18389=AXIS2_PLACEMENT_3D('',#18386,#18387,#18388); +#18390=CYLINDRICAL_SURFACE('',#18389,1.E0); +#18392=ORIENTED_EDGE('',*,*,#18391,.T.); +#18394=ORIENTED_EDGE('',*,*,#18393,.T.); +#18396=ORIENTED_EDGE('',*,*,#18395,.T.); +#18398=ORIENTED_EDGE('',*,*,#18397,.T.); +#18400=ORIENTED_EDGE('',*,*,#18399,.F.); +#18401=ORIENTED_EDGE('',*,*,#18379,.F.); +#18403=ORIENTED_EDGE('',*,*,#18402,.T.); +#18404=EDGE_LOOP('',(#18392,#18394,#18396,#18398,#18400,#18401,#18403)); +#18405=FACE_OUTER_BOUND('',#18404,.F.); +#18407=CARTESIAN_POINT('',(-3.019830658937E1,-1.356867103068E1, +-3.205126559884E1)); +#18408=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18409=DIRECTION('',(1.E0,0.E0,0.E0)); +#18410=AXIS2_PLACEMENT_3D('',#18407,#18408,#18409); +#18411=PLANE('',#18410); +#18413=ORIENTED_EDGE('',*,*,#18412,.T.); +#18415=ORIENTED_EDGE('',*,*,#18414,.F.); +#18416=ORIENTED_EDGE('',*,*,#18391,.F.); +#18418=ORIENTED_EDGE('',*,*,#18417,.F.); +#18419=EDGE_LOOP('',(#18413,#18415,#18416,#18418)); +#18420=FACE_OUTER_BOUND('',#18419,.F.); +#18422=CARTESIAN_POINT('',(-2.89E1,-1.356867103068E1,-3.13E1)); +#18423=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18424=DIRECTION('',(1.E0,0.E0,0.E0)); +#18425=AXIS2_PLACEMENT_3D('',#18422,#18423,#18424); +#18426=CYLINDRICAL_SURFACE('',#18425,1.5E0); +#18428=ORIENTED_EDGE('',*,*,#18427,.T.); +#18430=ORIENTED_EDGE('',*,*,#18429,.T.); +#18432=ORIENTED_EDGE('',*,*,#18431,.T.); +#18433=ORIENTED_EDGE('',*,*,#18412,.F.); +#18435=ORIENTED_EDGE('',*,*,#18434,.T.); +#18436=EDGE_LOOP('',(#18428,#18430,#18432,#18433,#18435)); +#18437=FACE_OUTER_BOUND('',#18436,.F.); +#18439=CARTESIAN_POINT('',(-2.956E1,-1.356867103068E1,-3.054873440116E1)); +#18440=DIRECTION('',(0.E0,0.E0,1.E0)); +#18441=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18442=AXIS2_PLACEMENT_3D('',#18439,#18440,#18441); +#18443=PLANE('',#18442); +#18444=ORIENTED_EDGE('',*,*,#18397,.F.); +#18446=ORIENTED_EDGE('',*,*,#18445,.F.); +#18447=ORIENTED_EDGE('',*,*,#18427,.F.); +#18449=ORIENTED_EDGE('',*,*,#18448,.F.); +#18450=EDGE_LOOP('',(#18444,#18446,#18447,#18449)); +#18451=FACE_OUTER_BOUND('',#18450,.F.); +#18453=CARTESIAN_POINT('',(-2.89E1,-1.466867103068E1,-3.13E1)); +#18454=DIRECTION('',(0.E0,1.E0,0.E0)); +#18455=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18456=AXIS2_PLACEMENT_3D('',#18453,#18454,#18455); +#18457=CONICAL_SURFACE('',#18456,9.625E-1,4.707003065304E1); +#18458=ORIENTED_EDGE('',*,*,#18445,.T.); +#18459=ORIENTED_EDGE('',*,*,#18395,.F.); +#18461=ORIENTED_EDGE('',*,*,#18460,.T.); +#18463=ORIENTED_EDGE('',*,*,#18462,.T.); +#18465=ORIENTED_EDGE('',*,*,#18464,.F.); +#18466=ORIENTED_EDGE('',*,*,#18429,.F.); +#18467=EDGE_LOOP('',(#18458,#18459,#18461,#18463,#18465,#18466)); +#18468=FACE_OUTER_BOUND('',#18467,.F.); +#18470=CARTESIAN_POINT('',(-2.89E1,-1.466867103068E1,-3.13E1)); +#18471=DIRECTION('',(0.E0,1.E0,0.E0)); +#18472=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18473=AXIS2_PLACEMENT_3D('',#18470,#18471,#18472); +#18474=CONICAL_SURFACE('',#18473,9.625E-1,4.707003065304E1); +#18475=ORIENTED_EDGE('',*,*,#18414,.T.); +#18476=ORIENTED_EDGE('',*,*,#18431,.F.); +#18477=ORIENTED_EDGE('',*,*,#18464,.T.); +#18479=ORIENTED_EDGE('',*,*,#18478,.F.); +#18480=ORIENTED_EDGE('',*,*,#18460,.F.); +#18481=ORIENTED_EDGE('',*,*,#18393,.F.); +#18482=EDGE_LOOP('',(#18475,#18476,#18477,#18479,#18480,#18481)); +#18483=FACE_OUTER_BOUND('',#18482,.F.); +#18485=CARTESIAN_POINT('',(-2.89E1,-1.516867103068E1,-3.13E1)); +#18486=DIRECTION('',(0.E0,1.E0,0.E0)); +#18487=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18488=AXIS2_PLACEMENT_3D('',#18485,#18486,#18487); +#18489=PLANE('',#18488); +#18490=ORIENTED_EDGE('',*,*,#18462,.F.); +#18491=ORIENTED_EDGE('',*,*,#18478,.T.); +#18492=EDGE_LOOP('',(#18490,#18491)); +#18493=FACE_OUTER_BOUND('',#18492,.F.); +#18495=CARTESIAN_POINT('',(0.E0,-1.356867103068E1,0.E0)); +#18496=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18497=DIRECTION('',(1.E0,0.E0,0.E0)); +#18498=AXIS2_PLACEMENT_3D('',#18495,#18496,#18497); +#18499=PLANE('',#18498); +#18501=ORIENTED_EDGE('',*,*,#18500,.F.); +#18502=ORIENTED_EDGE('',*,*,#18448,.T.); +#18503=ORIENTED_EDGE('',*,*,#18434,.F.); +#18504=ORIENTED_EDGE('',*,*,#18417,.T.); +#18505=EDGE_LOOP('',(#18501,#18502,#18503,#18504)); +#18506=FACE_OUTER_BOUND('',#18505,.F.); +#18508=CARTESIAN_POINT('',(0.E0,-1.356867103068E1,0.E0)); +#18509=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18510=DIRECTION('',(1.E0,0.E0,0.E0)); +#18511=AXIS2_PLACEMENT_3D('',#18508,#18509,#18510); +#18512=PLANE('',#18511); +#18514=ORIENTED_EDGE('',*,*,#18513,.F.); +#18516=ORIENTED_EDGE('',*,*,#18515,.T.); +#18518=ORIENTED_EDGE('',*,*,#18517,.F.); +#18520=ORIENTED_EDGE('',*,*,#18519,.T.); +#18521=EDGE_LOOP('',(#18514,#18516,#18518,#18520)); +#18522=FACE_OUTER_BOUND('',#18521,.F.); +#18524=CARTESIAN_POINT('',(-2.956E1,-7.218671030682E0,-3.054873440116E1)); +#18525=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18526=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18527=AXIS2_PLACEMENT_3D('',#18524,#18525,#18526); +#18528=PLANE('',#18527); +#18529=ORIENTED_EDGE('',*,*,#18500,.T.); +#18530=ORIENTED_EDGE('',*,*,#18402,.F.); +#18531=ORIENTED_EDGE('',*,*,#18381,.F.); +#18532=ORIENTED_EDGE('',*,*,#18399,.T.); +#18533=EDGE_LOOP('',(#18529,#18530,#18531,#18532)); +#18534=FACE_OUTER_BOUND('',#18533,.F.); +#18536=CARTESIAN_POINT('',(2.956E1,-7.218671030682E0,-3.205126559884E1)); +#18537=DIRECTION('',(1.E0,0.E0,0.E0)); +#18538=DIRECTION('',(0.E0,0.E0,1.E0)); +#18539=AXIS2_PLACEMENT_3D('',#18536,#18537,#18538); +#18540=PLANE('',#18539); +#18541=ORIENTED_EDGE('',*,*,#18513,.T.); +#18543=ORIENTED_EDGE('',*,*,#18542,.F.); +#18545=ORIENTED_EDGE('',*,*,#18544,.F.); +#18547=ORIENTED_EDGE('',*,*,#18546,.T.); +#18548=EDGE_LOOP('',(#18541,#18543,#18545,#18547)); +#18549=FACE_OUTER_BOUND('',#18548,.F.); +#18551=CARTESIAN_POINT('',(2.89E1,-7.218671030682E0,-3.13E1)); +#18552=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18553=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18554=AXIS2_PLACEMENT_3D('',#18551,#18552,#18553); +#18555=CYLINDRICAL_SURFACE('',#18554,1.E0); +#18557=ORIENTED_EDGE('',*,*,#18556,.T.); +#18559=ORIENTED_EDGE('',*,*,#18558,.T.); +#18561=ORIENTED_EDGE('',*,*,#18560,.T.); +#18563=ORIENTED_EDGE('',*,*,#18562,.T.); +#18564=ORIENTED_EDGE('',*,*,#18546,.F.); +#18566=ORIENTED_EDGE('',*,*,#18565,.F.); +#18567=ORIENTED_EDGE('',*,*,#18542,.T.); +#18568=EDGE_LOOP('',(#18557,#18559,#18561,#18563,#18564,#18566,#18567)); +#18569=FACE_OUTER_BOUND('',#18568,.F.); +#18571=CARTESIAN_POINT('',(3.019830658937E1,-1.356867103068E1, +-3.054873440116E1)); +#18572=DIRECTION('',(0.E0,0.E0,1.E0)); +#18573=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18574=AXIS2_PLACEMENT_3D('',#18571,#18572,#18573); +#18575=PLANE('',#18574); +#18577=ORIENTED_EDGE('',*,*,#18576,.T.); +#18579=ORIENTED_EDGE('',*,*,#18578,.F.); +#18580=ORIENTED_EDGE('',*,*,#18556,.F.); +#18581=ORIENTED_EDGE('',*,*,#18519,.F.); +#18582=EDGE_LOOP('',(#18577,#18579,#18580,#18581)); +#18583=FACE_OUTER_BOUND('',#18582,.F.); +#18585=CARTESIAN_POINT('',(2.89E1,-1.356867103068E1,-3.13E1)); +#18586=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18587=DIRECTION('',(1.E0,0.E0,0.E0)); +#18588=AXIS2_PLACEMENT_3D('',#18585,#18586,#18587); +#18589=CYLINDRICAL_SURFACE('',#18588,1.5E0); +#18591=ORIENTED_EDGE('',*,*,#18590,.T.); +#18593=ORIENTED_EDGE('',*,*,#18592,.T.); +#18594=ORIENTED_EDGE('',*,*,#18576,.F.); +#18595=ORIENTED_EDGE('',*,*,#18517,.T.); +#18597=ORIENTED_EDGE('',*,*,#18596,.T.); +#18598=EDGE_LOOP('',(#18591,#18593,#18594,#18595,#18597)); +#18599=FACE_OUTER_BOUND('',#18598,.F.); +#18601=CARTESIAN_POINT('',(2.89E1,-1.466867103068E1,-3.13E1)); +#18602=DIRECTION('',(0.E0,1.E0,0.E0)); +#18603=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18604=AXIS2_PLACEMENT_3D('',#18601,#18602,#18603); +#18605=CONICAL_SURFACE('',#18604,9.625E-1,4.707003065304E1); +#18607=ORIENTED_EDGE('',*,*,#18606,.T.); +#18608=ORIENTED_EDGE('',*,*,#18560,.F.); +#18610=ORIENTED_EDGE('',*,*,#18609,.T.); +#18612=ORIENTED_EDGE('',*,*,#18611,.F.); +#18614=ORIENTED_EDGE('',*,*,#18613,.F.); +#18615=ORIENTED_EDGE('',*,*,#18590,.F.); +#18616=EDGE_LOOP('',(#18607,#18608,#18610,#18612,#18614,#18615)); +#18617=FACE_OUTER_BOUND('',#18616,.F.); +#18619=CARTESIAN_POINT('',(2.956E1,-1.356867103068E1,-3.205126559884E1)); +#18620=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18621=DIRECTION('',(1.E0,0.E0,0.E0)); +#18622=AXIS2_PLACEMENT_3D('',#18619,#18620,#18621); +#18623=PLANE('',#18622); +#18624=ORIENTED_EDGE('',*,*,#18562,.F.); +#18625=ORIENTED_EDGE('',*,*,#18606,.F.); +#18626=ORIENTED_EDGE('',*,*,#18596,.F.); +#18627=ORIENTED_EDGE('',*,*,#18515,.F.); +#18628=EDGE_LOOP('',(#18624,#18625,#18626,#18627)); +#18629=FACE_OUTER_BOUND('',#18628,.F.); +#18631=CARTESIAN_POINT('',(2.89E1,-1.466867103068E1,-3.13E1)); +#18632=DIRECTION('',(0.E0,1.E0,0.E0)); +#18633=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18634=AXIS2_PLACEMENT_3D('',#18631,#18632,#18633); +#18635=CONICAL_SURFACE('',#18634,9.625E-1,4.707003065304E1); +#18636=ORIENTED_EDGE('',*,*,#18578,.T.); +#18637=ORIENTED_EDGE('',*,*,#18592,.F.); +#18638=ORIENTED_EDGE('',*,*,#18613,.T.); +#18640=ORIENTED_EDGE('',*,*,#18639,.T.); +#18641=ORIENTED_EDGE('',*,*,#18609,.F.); +#18642=ORIENTED_EDGE('',*,*,#18558,.F.); +#18643=EDGE_LOOP('',(#18636,#18637,#18638,#18640,#18641,#18642)); +#18644=FACE_OUTER_BOUND('',#18643,.F.); +#18646=CARTESIAN_POINT('',(2.89E1,-1.516867103068E1,-3.13E1)); +#18647=DIRECTION('',(0.E0,1.E0,0.E0)); +#18648=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18649=AXIS2_PLACEMENT_3D('',#18646,#18647,#18648); +#18650=PLANE('',#18649); +#18651=ORIENTED_EDGE('',*,*,#18639,.F.); +#18652=ORIENTED_EDGE('',*,*,#18611,.T.); +#18653=EDGE_LOOP('',(#18651,#18652)); +#18654=FACE_OUTER_BOUND('',#18653,.F.); +#18656=CARTESIAN_POINT('',(3.075E1,-7.218671030682E0,-3.31E1)); +#18657=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18658=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18659=AXIS2_PLACEMENT_3D('',#18656,#18657,#18658); +#18660=PLANE('',#18659); +#18661=ORIENTED_EDGE('',*,*,#17606,.F.); +#18662=ORIENTED_EDGE('',*,*,#17966,.F.); +#18664=ORIENTED_EDGE('',*,*,#18663,.T.); +#18666=ORIENTED_EDGE('',*,*,#18665,.F.); +#18667=EDGE_LOOP('',(#18661,#18662,#18664,#18666)); +#18668=FACE_OUTER_BOUND('',#18667,.F.); +#18669=ORIENTED_EDGE('',*,*,#18544,.T.); +#18670=ORIENTED_EDGE('',*,*,#18565,.T.); +#18671=EDGE_LOOP('',(#18669,#18670)); +#18672=FACE_BOUND('',#18671,.F.); +#18674=CARTESIAN_POINT('',(0.E0,0.E0,-3.31E1)); +#18675=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18676=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18677=AXIS2_PLACEMENT_3D('',#18674,#18675,#18676); +#18678=PLANE('',#18677); +#18680=ORIENTED_EDGE('',*,*,#18679,.T.); +#18681=ORIENTED_EDGE('',*,*,#18663,.F.); +#18682=ORIENTED_EDGE('',*,*,#17964,.F.); +#18683=ORIENTED_EDGE('',*,*,#17986,.F.); +#18684=EDGE_LOOP('',(#18680,#18681,#18682,#18683)); +#18685=FACE_OUTER_BOUND('',#18684,.F.); +#18687=CARTESIAN_POINT('',(0.E0,0.E0,-3.31E1)); +#18688=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18689=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18690=AXIS2_PLACEMENT_3D('',#18687,#18688,#18689); +#18691=PLANE('',#18690); +#18693=ORIENTED_EDGE('',*,*,#18692,.F.); +#18694=ORIENTED_EDGE('',*,*,#18375,.F.); +#18696=ORIENTED_EDGE('',*,*,#18695,.F.); +#18697=ORIENTED_EDGE('',*,*,#18242,.F.); +#18698=EDGE_LOOP('',(#18693,#18694,#18696,#18697)); +#18699=FACE_OUTER_BOUND('',#18698,.F.); +#18701=CARTESIAN_POINT('',(2.695E1,-5.568671030682E0,-2.2E1)); +#18702=DIRECTION('',(1.E0,0.E0,0.E0)); +#18703=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18704=AXIS2_PLACEMENT_3D('',#18701,#18702,#18703); +#18705=PLANE('',#18704); +#18706=ORIENTED_EDGE('',*,*,#18679,.F.); +#18707=ORIENTED_EDGE('',*,*,#17984,.T.); +#18709=ORIENTED_EDGE('',*,*,#18708,.T.); +#18710=ORIENTED_EDGE('',*,*,#18109,.F.); +#18711=ORIENTED_EDGE('',*,*,#17608,.F.); +#18712=ORIENTED_EDGE('',*,*,#18665,.T.); +#18713=EDGE_LOOP('',(#18706,#18707,#18709,#18710,#18711,#18712)); +#18714=FACE_OUTER_BOUND('',#18713,.F.); +#18716=CARTESIAN_POINT('',(0.E0,0.E0,-3.78E1)); +#18717=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18718=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18719=AXIS2_PLACEMENT_3D('',#18716,#18717,#18718); +#18720=PLANE('',#18719); +#18721=ORIENTED_EDGE('',*,*,#18708,.F.); +#18722=ORIENTED_EDGE('',*,*,#17982,.F.); +#18723=ORIENTED_EDGE('',*,*,#17999,.F.); +#18724=ORIENTED_EDGE('',*,*,#18014,.F.); +#18725=ORIENTED_EDGE('',*,*,#18028,.F.); +#18726=ORIENTED_EDGE('',*,*,#18040,.F.); +#18727=ORIENTED_EDGE('',*,*,#18056,.F.); +#18729=ORIENTED_EDGE('',*,*,#18728,.F.); +#18731=ORIENTED_EDGE('',*,*,#18730,.F.); +#18733=ORIENTED_EDGE('',*,*,#18732,.F.); +#18734=ORIENTED_EDGE('',*,*,#18111,.F.); +#18735=EDGE_LOOP('',(#18721,#18722,#18723,#18724,#18725,#18726,#18727,#18729, +#18731,#18733,#18734)); +#18736=FACE_OUTER_BOUND('',#18735,.F.); +#18738=CARTESIAN_POINT('',(0.E0,0.E0,-3.78E1)); +#18739=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18740=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18741=AXIS2_PLACEMENT_3D('',#18738,#18739,#18740); +#18742=PLANE('',#18741); +#18743=ORIENTED_EDGE('',*,*,#18246,.F.); +#18745=ORIENTED_EDGE('',*,*,#18744,.F.); +#18746=ORIENTED_EDGE('',*,*,#18074,.F.); +#18748=ORIENTED_EDGE('',*,*,#18747,.F.); +#18750=ORIENTED_EDGE('',*,*,#18749,.F.); +#18751=ORIENTED_EDGE('',*,*,#18139,.F.); +#18752=ORIENTED_EDGE('',*,*,#18171,.F.); +#18753=ORIENTED_EDGE('',*,*,#18184,.F.); +#18754=ORIENTED_EDGE('',*,*,#18199,.F.); +#18755=ORIENTED_EDGE('',*,*,#18214,.F.); +#18756=ORIENTED_EDGE('',*,*,#18228,.F.); +#18757=EDGE_LOOP('',(#18743,#18745,#18746,#18748,#18750,#18751,#18752,#18753, +#18754,#18755,#18756)); +#18758=FACE_OUTER_BOUND('',#18757,.F.); +#18760=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-2.85E1)); +#18761=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18762=DIRECTION('',(0.E0,1.E0,0.E0)); +#18763=AXIS2_PLACEMENT_3D('',#18760,#18761,#18762); +#18764=PLANE('',#18763); +#18766=ORIENTED_EDGE('',*,*,#18765,.T.); +#18767=ORIENTED_EDGE('',*,*,#18060,.F.); +#18768=ORIENTED_EDGE('',*,*,#17349,.F.); +#18770=ORIENTED_EDGE('',*,*,#18769,.T.); +#18771=EDGE_LOOP('',(#18766,#18767,#18768,#18770)); +#18772=FACE_OUTER_BOUND('',#18771,.F.); +#18774=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-2.85E1)); +#18775=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18776=DIRECTION('',(0.E0,1.E0,0.E0)); +#18777=AXIS2_PLACEMENT_3D('',#18774,#18775,#18776); +#18778=PLANE('',#18777); +#18780=ORIENTED_EDGE('',*,*,#18779,.F.); +#18782=ORIENTED_EDGE('',*,*,#18781,.T.); +#18783=ORIENTED_EDGE('',*,*,#18728,.T.); +#18784=ORIENTED_EDGE('',*,*,#18054,.F.); +#18785=EDGE_LOOP('',(#18780,#18782,#18783,#18784)); +#18786=FACE_OUTER_BOUND('',#18785,.F.); +#18788=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-2.4E1)); +#18789=DIRECTION('',(0.E0,0.E0,1.E0)); +#18790=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18791=AXIS2_PLACEMENT_3D('',#18788,#18789,#18790); +#18792=PLANE('',#18791); +#18794=ORIENTED_EDGE('',*,*,#18793,.F.); +#18795=ORIENTED_EDGE('',*,*,#18101,.T.); +#18796=ORIENTED_EDGE('',*,*,#18765,.F.); +#18798=ORIENTED_EDGE('',*,*,#18797,.F.); +#18799=EDGE_LOOP('',(#18794,#18795,#18796,#18798)); +#18800=FACE_OUTER_BOUND('',#18799,.F.); +#18802=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-2.85E1)); +#18803=DIRECTION('',(1.E0,0.E0,0.E0)); +#18804=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18805=AXIS2_PLACEMENT_3D('',#18802,#18803,#18804); +#18806=PLANE('',#18805); +#18807=ORIENTED_EDGE('',*,*,#18793,.T.); +#18809=ORIENTED_EDGE('',*,*,#18808,.F.); +#18810=ORIENTED_EDGE('',*,*,#17345,.F.); +#18811=ORIENTED_EDGE('',*,*,#18103,.T.); +#18812=EDGE_LOOP('',(#18807,#18809,#18810,#18811)); +#18813=FACE_OUTER_BOUND('',#18812,.F.); +#18815=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-2.85E1)); +#18816=DIRECTION('',(1.E0,0.E0,0.E0)); +#18817=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18818=AXIS2_PLACEMENT_3D('',#18815,#18816,#18817); +#18819=PLANE('',#18818); +#18821=ORIENTED_EDGE('',*,*,#18820,.F.); +#18822=ORIENTED_EDGE('',*,*,#18096,.T.); +#18823=ORIENTED_EDGE('',*,*,#18732,.T.); +#18825=ORIENTED_EDGE('',*,*,#18824,.F.); +#18826=EDGE_LOOP('',(#18821,#18822,#18823,#18825)); +#18827=FACE_OUTER_BOUND('',#18826,.F.); +#18829=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-2.85E1)); +#18830=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18831=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18832=AXIS2_PLACEMENT_3D('',#18829,#18830,#18831); +#18833=PLANE('',#18832); +#18834=ORIENTED_EDGE('',*,*,#18797,.T.); +#18835=ORIENTED_EDGE('',*,*,#18769,.F.); +#18836=ORIENTED_EDGE('',*,*,#17347,.F.); +#18837=ORIENTED_EDGE('',*,*,#18808,.T.); +#18838=EDGE_LOOP('',(#18834,#18835,#18836,#18837)); +#18839=FACE_OUTER_BOUND('',#18838,.F.); +#18841=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-2.85E1)); +#18842=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18843=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18844=AXIS2_PLACEMENT_3D('',#18841,#18842,#18843); +#18845=PLANE('',#18844); +#18847=ORIENTED_EDGE('',*,*,#18846,.F.); +#18848=ORIENTED_EDGE('',*,*,#18824,.T.); +#18849=ORIENTED_EDGE('',*,*,#18730,.T.); +#18850=ORIENTED_EDGE('',*,*,#18781,.F.); +#18851=EDGE_LOOP('',(#18847,#18848,#18849,#18850)); +#18852=FACE_OUTER_BOUND('',#18851,.F.); +#18854=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-3.28E1)); +#18855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18856=DIRECTION('',(1.E0,0.E0,0.E0)); +#18857=AXIS2_PLACEMENT_3D('',#18854,#18855,#18856); +#18858=PLANE('',#18857); +#18859=ORIENTED_EDGE('',*,*,#18820,.T.); +#18860=ORIENTED_EDGE('',*,*,#18846,.T.); +#18861=ORIENTED_EDGE('',*,*,#18779,.T.); +#18862=ORIENTED_EDGE('',*,*,#18098,.T.); +#18863=EDGE_LOOP('',(#18859,#18860,#18861,#18862)); +#18864=FACE_OUTER_BOUND('',#18863,.F.); +#18866=CARTESIAN_POINT('',(-2.695E1,-1.107E1,-2.2E1)); +#18867=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18868=DIRECTION('',(0.E0,1.E0,0.E0)); +#18869=AXIS2_PLACEMENT_3D('',#18866,#18867,#18868); +#18870=PLANE('',#18869); +#18871=ORIENTED_EDGE('',*,*,#18695,.T.); +#18872=ORIENTED_EDGE('',*,*,#18373,.F.); +#18873=ORIENTED_EDGE('',*,*,#17545,.F.); +#18874=ORIENTED_EDGE('',*,*,#18076,.T.); +#18875=ORIENTED_EDGE('',*,*,#18744,.T.); +#18876=ORIENTED_EDGE('',*,*,#18244,.F.); +#18877=EDGE_LOOP('',(#18871,#18872,#18873,#18874,#18875,#18876)); +#18878=FACE_OUTER_BOUND('',#18877,.F.); +#18880=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-2.85E1)); +#18881=DIRECTION('',(-1.E0,-2.186285340800E-14,0.E0)); +#18882=DIRECTION('',(-2.186285340800E-14,1.E0,0.E0)); +#18883=AXIS2_PLACEMENT_3D('',#18880,#18881,#18882); +#18884=PLANE('',#18883); +#18885=ORIENTED_EDGE('',*,*,#18125,.F.); +#18887=ORIENTED_EDGE('',*,*,#18886,.T.); +#18888=ORIENTED_EDGE('',*,*,#18747,.T.); +#18889=ORIENTED_EDGE('',*,*,#18072,.F.); +#18890=EDGE_LOOP('',(#18885,#18887,#18888,#18889)); +#18891=FACE_OUTER_BOUND('',#18890,.F.); +#18893=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-2.85E1)); +#18894=DIRECTION('',(-1.E0,-2.186285340800E-14,0.E0)); +#18895=DIRECTION('',(-2.186285340800E-14,1.E0,0.E0)); +#18896=AXIS2_PLACEMENT_3D('',#18893,#18894,#18895); +#18897=PLANE('',#18896); +#18899=ORIENTED_EDGE('',*,*,#18898,.T.); +#18900=ORIENTED_EDGE('',*,*,#18082,.F.); +#18901=ORIENTED_EDGE('',*,*,#17309,.F.); +#18903=ORIENTED_EDGE('',*,*,#18902,.T.); +#18904=EDGE_LOOP('',(#18899,#18900,#18901,#18903)); +#18905=FACE_OUTER_BOUND('',#18904,.F.); +#18907=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-2.85E1)); +#18908=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18909=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18910=AXIS2_PLACEMENT_3D('',#18907,#18908,#18909); +#18911=PLANE('',#18910); +#18912=ORIENTED_EDGE('',*,*,#18123,.F.); +#18913=ORIENTED_EDGE('',*,*,#18141,.T.); +#18914=ORIENTED_EDGE('',*,*,#18749,.T.); +#18915=ORIENTED_EDGE('',*,*,#18886,.F.); +#18916=EDGE_LOOP('',(#18912,#18913,#18914,#18915)); +#18917=FACE_OUTER_BOUND('',#18916,.F.); +#18919=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-2.85E1)); +#18920=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18921=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18922=AXIS2_PLACEMENT_3D('',#18919,#18920,#18921); +#18923=PLANE('',#18922); +#18925=ORIENTED_EDGE('',*,*,#18924,.T.); +#18926=ORIENTED_EDGE('',*,*,#18902,.F.); +#18927=ORIENTED_EDGE('',*,*,#17307,.F.); +#18928=ORIENTED_EDGE('',*,*,#18153,.T.); +#18929=EDGE_LOOP('',(#18925,#18926,#18927,#18928)); +#18930=FACE_OUTER_BOUND('',#18929,.F.); +#18932=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-2.4E1)); +#18933=DIRECTION('',(0.E0,0.E0,1.E0)); +#18934=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18935=AXIS2_PLACEMENT_3D('',#18932,#18933,#18934); +#18936=PLANE('',#18935); +#18937=ORIENTED_EDGE('',*,*,#18151,.F.); +#18938=ORIENTED_EDGE('',*,*,#18084,.T.); +#18939=ORIENTED_EDGE('',*,*,#18898,.F.); +#18940=ORIENTED_EDGE('',*,*,#18924,.F.); +#18941=EDGE_LOOP('',(#18937,#18938,#18939,#18940)); +#18942=FACE_OUTER_BOUND('',#18941,.F.); +#18944=CARTESIAN_POINT('',(-3.025E1,-5.789556E1,-3.26E1)); +#18945=DIRECTION('',(0.E0,1.E0,0.E0)); +#18946=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18947=AXIS2_PLACEMENT_3D('',#18944,#18945,#18946); +#18948=CYLINDRICAL_SURFACE('',#18947,5.E-1); +#18949=ORIENTED_EDGE('',*,*,#18240,.T.); +#18950=ORIENTED_EDGE('',*,*,#18268,.F.); +#18951=ORIENTED_EDGE('',*,*,#18369,.T.); +#18952=ORIENTED_EDGE('',*,*,#18692,.T.); +#18953=EDGE_LOOP('',(#18949,#18950,#18951,#18952)); +#18954=FACE_OUTER_BOUND('',#18953,.F.); +#18956=CARTESIAN_POINT('',(-3.075E1,-1.107E1,-2.85E1)); +#18957=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18958=DIRECTION('',(0.E0,1.E0,0.E0)); +#18959=AXIS2_PLACEMENT_3D('',#18956,#18957,#18958); +#18960=PLANE('',#18959); +#18961=ORIENTED_EDGE('',*,*,#17541,.T.); +#18963=ORIENTED_EDGE('',*,*,#18962,.F.); +#18965=ORIENTED_EDGE('',*,*,#18964,.T.); +#18967=ORIENTED_EDGE('',*,*,#18966,.F.); +#18968=ORIENTED_EDGE('',*,*,#17313,.F.); +#18969=ORIENTED_EDGE('',*,*,#18079,.F.); +#18970=EDGE_LOOP('',(#18961,#18963,#18965,#18967,#18968,#18969)); +#18971=FACE_OUTER_BOUND('',#18970,.F.); +#18973=CARTESIAN_POINT('',(1.325340305158E2,-9.8E0,-2.8E1)); +#18974=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18975=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18976=AXIS2_PLACEMENT_3D('',#18973,#18974,#18975); +#18977=CYLINDRICAL_SURFACE('',#18976,5.E-1); +#18978=ORIENTED_EDGE('',*,*,#17539,.T.); +#18980=ORIENTED_EDGE('',*,*,#18979,.T.); +#18982=ORIENTED_EDGE('',*,*,#18981,.F.); +#18983=ORIENTED_EDGE('',*,*,#18962,.T.); +#18984=EDGE_LOOP('',(#18978,#18980,#18982,#18983)); +#18985=FACE_OUTER_BOUND('',#18984,.F.); +#18987=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.8E1)); +#18988=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18989=DIRECTION('',(4.689890053848E-3,-9.999890024052E-1,0.E0)); +#18990=AXIS2_PLACEMENT_3D('',#18987,#18988,#18989); +#18991=TOROIDAL_SURFACE('',#18990,2.5E0,5.E-1); +#18993=ORIENTED_EDGE('',*,*,#18992,.F.); +#18994=ORIENTED_EDGE('',*,*,#18979,.F.); +#18995=ORIENTED_EDGE('',*,*,#17537,.T.); +#18997=ORIENTED_EDGE('',*,*,#18996,.T.); +#18998=EDGE_LOOP('',(#18993,#18994,#18995,#18997)); +#18999=FACE_OUTER_BOUND('',#18998,.F.); +#19001=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.85E1)); +#19002=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19003=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19004=AXIS2_PLACEMENT_3D('',#19001,#19002,#19003); +#19005=CYLINDRICAL_SURFACE('',#19004,3.E0); +#19006=ORIENTED_EDGE('',*,*,#18992,.T.); +#19008=ORIENTED_EDGE('',*,*,#19007,.F.); +#19010=ORIENTED_EDGE('',*,*,#19009,.F.); +#19012=ORIENTED_EDGE('',*,*,#19011,.F.); +#19013=EDGE_LOOP('',(#19006,#19008,#19010,#19012)); +#19014=FACE_OUTER_BOUND('',#19013,.F.); +#19016=CARTESIAN_POINT('',(-3.679687364248E1,-9.05E0,4.927608E1)); +#19017=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19018=DIRECTION('',(0.E0,1.E0,0.E0)); +#19019=AXIS2_PLACEMENT_3D('',#19016,#19017,#19018); +#19020=CYLINDRICAL_SURFACE('',#19019,1.E0); +#19021=ORIENTED_EDGE('',*,*,#19007,.T.); +#19023=ORIENTED_EDGE('',*,*,#19022,.T.); +#19025=ORIENTED_EDGE('',*,*,#19024,.F.); +#19027=ORIENTED_EDGE('',*,*,#19026,.T.); +#19028=EDGE_LOOP('',(#19021,#19023,#19025,#19027)); +#19029=FACE_OUTER_BOUND('',#19028,.F.); +#19031=CARTESIAN_POINT('',(-3.679687364248E1,-9.05E0,-2.8E1)); +#19032=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19033=DIRECTION('',(-5.311211266182E-1,-8.472959039555E-1,0.E0)); +#19034=AXIS2_PLACEMENT_3D('',#19031,#19032,#19033); +#19035=TOROIDAL_SURFACE('',#19034,1.5E0,5.E-1); +#19036=ORIENTED_EDGE('',*,*,#17559,.F.); +#19038=ORIENTED_EDGE('',*,*,#19037,.T.); +#19039=ORIENTED_EDGE('',*,*,#19022,.F.); +#19040=ORIENTED_EDGE('',*,*,#18996,.F.); +#19041=EDGE_LOOP('',(#19036,#19038,#19039,#19040)); +#19042=FACE_OUTER_BOUND('',#19041,.F.); +#19044=CARTESIAN_POINT('',(-3.610473750966E1,-8.05E0,-2.85E1)); +#19045=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19046=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19047=AXIS2_PLACEMENT_3D('',#19044,#19045,#19046); +#19048=PLANE('',#19047); +#19050=ORIENTED_EDGE('',*,*,#19049,.F.); +#19051=ORIENTED_EDGE('',*,*,#19024,.T.); +#19052=ORIENTED_EDGE('',*,*,#19037,.F.); +#19053=ORIENTED_EDGE('',*,*,#17557,.T.); +#19054=ORIENTED_EDGE('',*,*,#17238,.T.); +#19056=ORIENTED_EDGE('',*,*,#19055,.F.); +#19057=EDGE_LOOP('',(#19050,#19051,#19052,#19053,#19054,#19056)); +#19058=FACE_OUTER_BOUND('',#19057,.F.); +#19060=CARTESIAN_POINT('',(1.351756002711E2,-8.55E0,-2.25E1)); +#19061=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19062=DIRECTION('',(0.E0,0.E0,1.E0)); +#19063=AXIS2_PLACEMENT_3D('',#19060,#19061,#19062); +#19064=CYLINDRICAL_SURFACE('',#19063,5.E-1); +#19065=ORIENTED_EDGE('',*,*,#19049,.T.); +#19067=ORIENTED_EDGE('',*,*,#19066,.T.); +#19068=ORIENTED_EDGE('',*,*,#17321,.F.); +#19070=ORIENTED_EDGE('',*,*,#19069,.T.); +#19071=EDGE_LOOP('',(#19065,#19067,#19068,#19070)); +#19072=FACE_OUTER_BOUND('',#19071,.F.); +#19074=CARTESIAN_POINT('',(-3.795E1,-8.55E0,-2.15E1)); +#19075=DIRECTION('',(0.E0,1.E0,0.E0)); +#19076=DIRECTION('',(2.949228958124E-2,0.E0,-9.995650078185E-1)); +#19077=AXIS2_PLACEMENT_3D('',#19074,#19075,#19076); +#19078=TOROIDAL_SURFACE('',#19077,1.E0,5.E-1); +#19079=ORIENTED_EDGE('',*,*,#19055,.T.); +#19080=ORIENTED_EDGE('',*,*,#17236,.F.); +#19082=ORIENTED_EDGE('',*,*,#19081,.F.); +#19083=ORIENTED_EDGE('',*,*,#19066,.F.); +#19084=EDGE_LOOP('',(#19079,#19080,#19082,#19083)); +#19085=FACE_OUTER_BOUND('',#19084,.F.); +#19087=CARTESIAN_POINT('',(-3.795E1,-1.106406972174E1,-2.15E1)); +#19088=DIRECTION('',(0.E0,1.E0,0.E0)); +#19089=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19090=AXIS2_PLACEMENT_3D('',#19087,#19088,#19089); +#19091=CYLINDRICAL_SURFACE('',#19090,5.E-1); +#19092=ORIENTED_EDGE('',*,*,#17323,.T.); +#19093=ORIENTED_EDGE('',*,*,#19081,.T.); +#19094=ORIENTED_EDGE('',*,*,#17234,.F.); +#19096=ORIENTED_EDGE('',*,*,#19095,.F.); +#19097=EDGE_LOOP('',(#19092,#19093,#19094,#19096)); +#19098=FACE_OUTER_BOUND('',#19097,.F.); +#19100=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.15E1)); +#19101=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19102=DIRECTION('',(2.813940634258E-3,-9.999960408612E-1,0.E0)); +#19103=AXIS2_PLACEMENT_3D('',#19100,#19101,#19102); +#19104=TOROIDAL_SURFACE('',#19103,4.5E0,5.E-1); +#19105=ORIENTED_EDGE('',*,*,#17325,.T.); +#19106=ORIENTED_EDGE('',*,*,#19095,.T.); +#19108=ORIENTED_EDGE('',*,*,#19107,.F.); +#19110=ORIENTED_EDGE('',*,*,#19109,.F.); +#19111=EDGE_LOOP('',(#19105,#19106,#19108,#19110)); +#19112=FACE_OUTER_BOUND('',#19111,.F.); +#19114=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.E1)); +#19115=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19116=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19117=AXIS2_PLACEMENT_3D('',#19114,#19115,#19116); +#19118=CYLINDRICAL_SURFACE('',#19117,5.E0); +#19119=ORIENTED_EDGE('',*,*,#17107,.T.); +#19121=ORIENTED_EDGE('',*,*,#19120,.T.); +#19122=ORIENTED_EDGE('',*,*,#19107,.T.); +#19123=ORIENTED_EDGE('',*,*,#17232,.F.); +#19124=EDGE_LOOP('',(#19119,#19121,#19122,#19123)); +#19125=FACE_OUTER_BOUND('',#19124,.F.); +#19127=CARTESIAN_POINT('',(3.345E1,-1.605E1,-2.E1)); +#19128=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19129=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19130=AXIS2_PLACEMENT_3D('',#19127,#19128,#19129); +#19131=PLANE('',#19130); +#19132=ORIENTED_EDGE('',*,*,#17122,.T.); +#19134=ORIENTED_EDGE('',*,*,#19133,.T.); +#19136=ORIENTED_EDGE('',*,*,#19135,.T.); +#19137=ORIENTED_EDGE('',*,*,#19120,.F.); +#19138=EDGE_LOOP('',(#19132,#19134,#19136,#19137)); +#19139=FACE_OUTER_BOUND('',#19138,.F.); +#19141=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.E1)); +#19142=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19143=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19144=AXIS2_PLACEMENT_3D('',#19141,#19142,#19143); +#19145=CYLINDRICAL_SURFACE('',#19144,5.E0); +#19146=ORIENTED_EDGE('',*,*,#17136,.T.); +#19147=ORIENTED_EDGE('',*,*,#17802,.T.); +#19149=ORIENTED_EDGE('',*,*,#19148,.T.); +#19150=ORIENTED_EDGE('',*,*,#19133,.F.); +#19151=EDGE_LOOP('',(#19146,#19147,#19149,#19150)); +#19152=FACE_OUTER_BOUND('',#19151,.F.); +#19154=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.15E1)); +#19155=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19156=DIRECTION('',(9.999960408612E-1,2.813940634256E-3,0.E0)); +#19157=AXIS2_PLACEMENT_3D('',#19154,#19155,#19156); +#19158=TOROIDAL_SURFACE('',#19157,4.5E0,5.E-1); +#19159=ORIENTED_EDGE('',*,*,#17329,.T.); +#19161=ORIENTED_EDGE('',*,*,#19160,.T.); +#19162=ORIENTED_EDGE('',*,*,#19148,.F.); +#19164=ORIENTED_EDGE('',*,*,#19163,.F.); +#19165=EDGE_LOOP('',(#19159,#19161,#19162,#19164)); +#19166=FACE_OUTER_BOUND('',#19165,.F.); +#19168=CARTESIAN_POINT('',(3.346406972174E1,-1.555E1,-2.15E1)); +#19169=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19170=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19171=AXIS2_PLACEMENT_3D('',#19168,#19169,#19170); +#19172=CYLINDRICAL_SURFACE('',#19171,5.E-1); +#19173=ORIENTED_EDGE('',*,*,#17327,.T.); +#19174=ORIENTED_EDGE('',*,*,#19109,.T.); +#19175=ORIENTED_EDGE('',*,*,#19135,.F.); +#19176=ORIENTED_EDGE('',*,*,#19160,.F.); +#19177=EDGE_LOOP('',(#19173,#19174,#19175,#19176)); +#19178=FACE_OUTER_BOUND('',#19177,.F.); +#19180=CARTESIAN_POINT('',(3.795E1,5.789556E1,-2.15E1)); +#19181=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19182=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19183=AXIS2_PLACEMENT_3D('',#19180,#19181,#19182); +#19184=CYLINDRICAL_SURFACE('',#19183,5.E-1); +#19185=ORIENTED_EDGE('',*,*,#17800,.F.); +#19187=ORIENTED_EDGE('',*,*,#19186,.T.); +#19188=ORIENTED_EDGE('',*,*,#17331,.T.); +#19189=ORIENTED_EDGE('',*,*,#19163,.T.); +#19190=EDGE_LOOP('',(#19185,#19187,#19188,#19189)); +#19191=FACE_OUTER_BOUND('',#19190,.F.); +#19193=CARTESIAN_POINT('',(3.795E1,-8.55E0,-2.15E1)); +#19194=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19195=DIRECTION('',(-7.063372212094E-1,0.E0,7.078755045445E-1)); +#19196=AXIS2_PLACEMENT_3D('',#19193,#19194,#19195); +#19197=TOROIDAL_SURFACE('',#19196,1.E0,5.E-1); +#19199=ORIENTED_EDGE('',*,*,#19198,.T.); +#19201=ORIENTED_EDGE('',*,*,#19200,.F.); +#19202=ORIENTED_EDGE('',*,*,#19186,.F.); +#19203=ORIENTED_EDGE('',*,*,#17798,.F.); +#19204=EDGE_LOOP('',(#19199,#19201,#19202,#19203)); +#19205=FACE_OUTER_BOUND('',#19204,.F.); +#19207=CARTESIAN_POINT('',(3.845E1,-8.05E0,-2.85E1)); +#19208=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19209=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19210=AXIS2_PLACEMENT_3D('',#19207,#19208,#19209); +#19211=PLANE('',#19210); +#19213=ORIENTED_EDGE('',*,*,#19212,.F.); +#19214=ORIENTED_EDGE('',*,*,#19198,.F.); +#19215=ORIENTED_EDGE('',*,*,#17796,.F.); +#19216=ORIENTED_EDGE('',*,*,#17594,.F.); +#19218=ORIENTED_EDGE('',*,*,#19217,.F.); +#19220=ORIENTED_EDGE('',*,*,#19219,.F.); +#19221=EDGE_LOOP('',(#19213,#19214,#19215,#19216,#19218,#19220)); +#19222=FACE_OUTER_BOUND('',#19221,.F.); +#19224=CARTESIAN_POINT('',(1.3869684E2,-8.55E0,-2.25E1)); +#19225=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19226=DIRECTION('',(0.E0,0.E0,1.E0)); +#19227=AXIS2_PLACEMENT_3D('',#19224,#19225,#19226); +#19228=CYLINDRICAL_SURFACE('',#19227,5.E-1); +#19229=ORIENTED_EDGE('',*,*,#17333,.F.); +#19230=ORIENTED_EDGE('',*,*,#19200,.T.); +#19231=ORIENTED_EDGE('',*,*,#19212,.T.); +#19233=ORIENTED_EDGE('',*,*,#19232,.T.); +#19234=EDGE_LOOP('',(#19229,#19230,#19231,#19233)); +#19235=FACE_OUTER_BOUND('',#19234,.F.); +#19237=CARTESIAN_POINT('',(3.635675872867E1,-8.847910559299E0, +-2.200024671982E1)); +#19238=CARTESIAN_POINT('',(3.607726723426E1,-8.719575258648E0, +-2.199058165128E1)); +#19239=CARTESIAN_POINT('',(3.587953933650E1,-8.628783697138E0, +-2.220815791192E1)); +#19240=CARTESIAN_POINT('',(3.588832271056E1,-8.632816796439E0, +-2.251570537954E1)); +#19241=CARTESIAN_POINT('',(3.643984325818E1,-8.666967208568E0, +-2.200024671982E1)); +#19242=CARTESIAN_POINT('',(3.621311393699E1,-8.423725264423E0, +-2.199058165128E1)); +#19243=CARTESIAN_POINT('',(3.605271294827E1,-8.251642323706E0, +-2.220815791192E1)); +#19244=CARTESIAN_POINT('',(3.605983820424E1,-8.259286509756E0, +-2.251570537954E1)); +#19245=CARTESIAN_POINT('',(3.660864429769E1,-8.561374859034E0, +-2.200024671982E1)); +#19246=CARTESIAN_POINT('',(3.648911074130E1,-8.251077328625E0, +-2.199058165128E1)); +#19247=CARTESIAN_POINT('',(3.640454602542E1,-8.031555519927E0, +-2.220815791192E1)); +#19248=CARTESIAN_POINT('',(3.640830251876E1,-8.041307012670E0, +-2.251570537954E1)); +#19249=CARTESIAN_POINT('',(3.680770137161E1,-8.565826436400E0, +-2.200024671982E1)); +#19250=CARTESIAN_POINT('',(3.681457743533E1,-8.258355844982E0, +-2.199058165128E1)); +#19251=CARTESIAN_POINT('',(3.681944194697E1,-8.040833970861E0, +-2.220815791192E1)); +#19252=CARTESIAN_POINT('',(3.681922585796E1,-8.050496623467E0, +-2.251570537954E1)); +#19253=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#19237,#19238,#19239,#19240),( +#19241,#19242,#19243,#19244),(#19245,#19246,#19247,#19248),(#19249,#19250, +#19251,#19252)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4, +4),(0.E0,1.E0),(0.E0,1.E0),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0)))REPRESENTATION_ITEM('')SURFACE()); +#19254=ORIENTED_EDGE('',*,*,#17335,.T.); +#19255=ORIENTED_EDGE('',*,*,#19232,.F.); +#19257=ORIENTED_EDGE('',*,*,#19256,.F.); +#19259=ORIENTED_EDGE('',*,*,#19258,.F.); +#19260=EDGE_LOOP('',(#19254,#19255,#19257,#19259)); +#19261=FACE_OUTER_BOUND('',#19260,.F.); +#19263=CARTESIAN_POINT('',(3.679687364248E1,-9.05E0,4.927608E1)); +#19264=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19265=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#19266=AXIS2_PLACEMENT_3D('',#19263,#19264,#19265); +#19267=CYLINDRICAL_SURFACE('',#19266,1.E0); +#19268=ORIENTED_EDGE('',*,*,#19219,.T.); +#19270=ORIENTED_EDGE('',*,*,#19269,.T.); +#19272=ORIENTED_EDGE('',*,*,#19271,.F.); +#19273=ORIENTED_EDGE('',*,*,#19256,.T.); +#19274=EDGE_LOOP('',(#19268,#19270,#19272,#19273)); +#19275=FACE_OUTER_BOUND('',#19274,.F.); +#19277=CARTESIAN_POINT('',(3.679687364248E1,-9.05E0,-2.8E1)); +#19278=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19279=DIRECTION('',(-9.055727571006E-1,4.241909730266E-1,0.E0)); +#19280=AXIS2_PLACEMENT_3D('',#19277,#19278,#19279); +#19281=TOROIDAL_SURFACE('',#19280,1.5E0,5.E-1); +#19282=ORIENTED_EDGE('',*,*,#17592,.F.); +#19284=ORIENTED_EDGE('',*,*,#19283,.F.); +#19285=ORIENTED_EDGE('',*,*,#19269,.F.); +#19286=ORIENTED_EDGE('',*,*,#19217,.T.); +#19287=EDGE_LOOP('',(#19282,#19284,#19285,#19286)); +#19288=FACE_OUTER_BOUND('',#19287,.F.); +#19290=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.8E1)); +#19291=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19292=DIRECTION('',(-6.111970053673E-1,7.914785029488E-1,0.E0)); +#19293=AXIS2_PLACEMENT_3D('',#19290,#19291,#19292); +#19294=TOROIDAL_SURFACE('',#19293,2.5E0,5.E-1); +#19295=ORIENTED_EDGE('',*,*,#17590,.T.); +#19297=ORIENTED_EDGE('',*,*,#19296,.T.); +#19299=ORIENTED_EDGE('',*,*,#19298,.F.); +#19300=ORIENTED_EDGE('',*,*,#19283,.T.); +#19301=EDGE_LOOP('',(#19295,#19297,#19299,#19300)); +#19302=FACE_OUTER_BOUND('',#19301,.F.); +#19304=CARTESIAN_POINT('',(3.321406972174E1,-9.8E0,-2.8E1)); +#19305=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19306=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19307=AXIS2_PLACEMENT_3D('',#19304,#19305,#19306); +#19308=CYLINDRICAL_SURFACE('',#19307,5.E-1); +#19310=ORIENTED_EDGE('',*,*,#19309,.F.); +#19311=ORIENTED_EDGE('',*,*,#19296,.F.); +#19312=ORIENTED_EDGE('',*,*,#17588,.T.); +#19314=ORIENTED_EDGE('',*,*,#19313,.T.); +#19315=EDGE_LOOP('',(#19310,#19311,#19312,#19314)); +#19316=FACE_OUTER_BOUND('',#19315,.F.); +#19318=CARTESIAN_POINT('',(3.32E1,-1.03E1,-2.85E1)); +#19319=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19320=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19321=AXIS2_PLACEMENT_3D('',#19318,#19319,#19320); +#19322=PLANE('',#19321); +#19323=ORIENTED_EDGE('',*,*,#19309,.T.); +#19325=ORIENTED_EDGE('',*,*,#19324,.T.); +#19327=ORIENTED_EDGE('',*,*,#19326,.F.); +#19329=ORIENTED_EDGE('',*,*,#19328,.F.); +#19330=EDGE_LOOP('',(#19323,#19325,#19327,#19329)); +#19331=FACE_OUTER_BOUND('',#19330,.F.); +#19333=CARTESIAN_POINT('',(3.075E1,-1.03E1,-2.85E1)); +#19334=DIRECTION('',(1.E0,0.E0,0.E0)); +#19335=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19336=AXIS2_PLACEMENT_3D('',#19333,#19334,#19335); +#19337=PLANE('',#19336); +#19338=ORIENTED_EDGE('',*,*,#19324,.F.); +#19339=ORIENTED_EDGE('',*,*,#19313,.F.); +#19340=ORIENTED_EDGE('',*,*,#17612,.T.); +#19341=ORIENTED_EDGE('',*,*,#18106,.T.); +#19342=ORIENTED_EDGE('',*,*,#17341,.F.); +#19344=ORIENTED_EDGE('',*,*,#19343,.F.); +#19345=EDGE_LOOP('',(#19338,#19339,#19340,#19341,#19342,#19344)); +#19346=FACE_OUTER_BOUND('',#19345,.F.); +#19348=CARTESIAN_POINT('',(3.321406972174E1,-1.08E1,-2.25E1)); +#19349=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19350=DIRECTION('',(0.E0,0.E0,1.E0)); +#19351=AXIS2_PLACEMENT_3D('',#19348,#19349,#19350); +#19352=CYLINDRICAL_SURFACE('',#19351,5.E-1); +#19353=ORIENTED_EDGE('',*,*,#19343,.T.); +#19354=ORIENTED_EDGE('',*,*,#17339,.F.); +#19356=ORIENTED_EDGE('',*,*,#19355,.T.); +#19357=ORIENTED_EDGE('',*,*,#19326,.T.); +#19358=EDGE_LOOP('',(#19353,#19354,#19356,#19357)); +#19359=FACE_OUTER_BOUND('',#19358,.F.); +#19361=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.25E1)); +#19362=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19363=DIRECTION('',(-6.111970053673E-1,7.914785029488E-1,0.E0)); +#19364=AXIS2_PLACEMENT_3D('',#19361,#19362,#19363); +#19365=TOROIDAL_SURFACE('',#19364,3.5E0,5.E-1); +#19367=ORIENTED_EDGE('',*,*,#19366,.T.); +#19368=ORIENTED_EDGE('',*,*,#19355,.F.); +#19369=ORIENTED_EDGE('',*,*,#17337,.F.); +#19370=ORIENTED_EDGE('',*,*,#19258,.T.); +#19371=EDGE_LOOP('',(#19367,#19368,#19369,#19370)); +#19372=FACE_OUTER_BOUND('',#19371,.F.); +#19374=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.85E1)); +#19375=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19376=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19377=AXIS2_PLACEMENT_3D('',#19374,#19375,#19376); +#19378=CYLINDRICAL_SURFACE('',#19377,3.E0); +#19379=ORIENTED_EDGE('',*,*,#19298,.T.); +#19380=ORIENTED_EDGE('',*,*,#19328,.T.); +#19381=ORIENTED_EDGE('',*,*,#19366,.F.); +#19382=ORIENTED_EDGE('',*,*,#19271,.T.); +#19383=EDGE_LOOP('',(#19379,#19380,#19381,#19382)); +#19384=FACE_OUTER_BOUND('',#19383,.F.); +#19386=CARTESIAN_POINT('',(-3.680770137161E1,-8.565826436400E0, +-2.200024671982E1)); +#19387=CARTESIAN_POINT('',(-3.681457743533E1,-8.258355844982E0, +-2.199058165128E1)); +#19388=CARTESIAN_POINT('',(-3.681944194697E1,-8.040833970861E0, +-2.220815791192E1)); +#19389=CARTESIAN_POINT('',(-3.681922585796E1,-8.050496623467E0, +-2.251570537954E1)); +#19390=CARTESIAN_POINT('',(-3.660864429769E1,-8.561374859034E0, +-2.200024671982E1)); +#19391=CARTESIAN_POINT('',(-3.648911074130E1,-8.251077328625E0, +-2.199058165128E1)); +#19392=CARTESIAN_POINT('',(-3.640454602542E1,-8.031555519927E0, +-2.220815791192E1)); +#19393=CARTESIAN_POINT('',(-3.640830251876E1,-8.041307012670E0, +-2.251570537954E1)); +#19394=CARTESIAN_POINT('',(-3.643984325818E1,-8.666967208568E0, +-2.200024671982E1)); +#19395=CARTESIAN_POINT('',(-3.621311393699E1,-8.423725264423E0, +-2.199058165128E1)); +#19396=CARTESIAN_POINT('',(-3.605271294827E1,-8.251642323706E0, +-2.220815791192E1)); +#19397=CARTESIAN_POINT('',(-3.605983820424E1,-8.259286509756E0, +-2.251570537954E1)); +#19398=CARTESIAN_POINT('',(-3.635675872867E1,-8.847910559299E0, +-2.200024671982E1)); +#19399=CARTESIAN_POINT('',(-3.607726723426E1,-8.719575258648E0, +-2.199058165128E1)); +#19400=CARTESIAN_POINT('',(-3.587953933650E1,-8.628783697138E0, +-2.220815791192E1)); +#19401=CARTESIAN_POINT('',(-3.588832271056E1,-8.632816796439E0, +-2.251570537954E1)); +#19402=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#19386,#19387,#19388,#19389),( +#19390,#19391,#19392,#19393),(#19394,#19395,#19396,#19397),(#19398,#19399, +#19400,#19401)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4, +4),(0.E0,1.E0),(0.E0,1.E0),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0)))REPRESENTATION_ITEM('')SURFACE()); +#19403=ORIENTED_EDGE('',*,*,#17319,.T.); +#19405=ORIENTED_EDGE('',*,*,#19404,.F.); +#19406=ORIENTED_EDGE('',*,*,#19026,.F.); +#19407=ORIENTED_EDGE('',*,*,#19069,.F.); +#19408=EDGE_LOOP('',(#19403,#19405,#19406,#19407)); +#19409=FACE_OUTER_BOUND('',#19408,.F.); +#19411=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.25E1)); +#19412=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19413=DIRECTION('',(4.689890053850E-3,-9.999890024052E-1,0.E0)); +#19414=AXIS2_PLACEMENT_3D('',#19411,#19412,#19413); +#19415=TOROIDAL_SURFACE('',#19414,3.5E0,5.E-1); +#19416=ORIENTED_EDGE('',*,*,#17317,.F.); +#19418=ORIENTED_EDGE('',*,*,#19417,.T.); +#19419=ORIENTED_EDGE('',*,*,#19009,.T.); +#19420=ORIENTED_EDGE('',*,*,#19404,.T.); +#19421=EDGE_LOOP('',(#19416,#19418,#19419,#19420)); +#19422=FACE_OUTER_BOUND('',#19421,.F.); +#19424=CARTESIAN_POINT('',(1.325340305158E2,-1.08E1,-2.25E1)); +#19425=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19426=DIRECTION('',(0.E0,0.E0,1.E0)); +#19427=AXIS2_PLACEMENT_3D('',#19424,#19425,#19426); +#19428=CYLINDRICAL_SURFACE('',#19427,5.E-1); +#19429=ORIENTED_EDGE('',*,*,#18966,.T.); +#19431=ORIENTED_EDGE('',*,*,#19430,.T.); +#19432=ORIENTED_EDGE('',*,*,#19417,.F.); +#19433=ORIENTED_EDGE('',*,*,#17315,.F.); +#19434=EDGE_LOOP('',(#19429,#19431,#19432,#19433)); +#19435=FACE_OUTER_BOUND('',#19434,.F.); +#19437=CARTESIAN_POINT('',(-3.075E1,-1.03E1,-2.85E1)); +#19438=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19439=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19440=AXIS2_PLACEMENT_3D('',#19437,#19438,#19439); +#19441=PLANE('',#19440); +#19442=ORIENTED_EDGE('',*,*,#18981,.T.); +#19443=ORIENTED_EDGE('',*,*,#19011,.T.); +#19444=ORIENTED_EDGE('',*,*,#19430,.F.); +#19445=ORIENTED_EDGE('',*,*,#18964,.F.); +#19446=EDGE_LOOP('',(#19442,#19443,#19444,#19445)); +#19447=FACE_OUTER_BOUND('',#19446,.F.); +#19449=CARTESIAN_POINT('',(3.795E1,5.789556E1,-2.15E1)); +#19450=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19451=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19452=AXIS2_PLACEMENT_3D('',#19449,#19450,#19451); +#19453=CYLINDRICAL_SURFACE('',#19452,5.E-1); +#19454=ORIENTED_EDGE('',*,*,#17369,.T.); +#19455=ORIENTED_EDGE('',*,*,#17824,.T.); +#19456=ORIENTED_EDGE('',*,*,#17811,.F.); +#19458=ORIENTED_EDGE('',*,*,#19457,.T.); +#19459=EDGE_LOOP('',(#19454,#19455,#19456,#19458)); +#19460=FACE_OUTER_BOUND('',#19459,.F.); +#19462=CARTESIAN_POINT('',(3.795E1,9.55E0,-2.15E1)); +#19463=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19464=DIRECTION('',(-7.063372212094E-1,0.E0,7.078755045445E-1)); +#19465=AXIS2_PLACEMENT_3D('',#19462,#19463,#19464); +#19466=TOROIDAL_SURFACE('',#19465,1.E0,5.E-1); +#19468=ORIENTED_EDGE('',*,*,#19467,.T.); +#19470=ORIENTED_EDGE('',*,*,#19469,.F.); +#19471=ORIENTED_EDGE('',*,*,#19457,.F.); +#19472=ORIENTED_EDGE('',*,*,#17809,.F.); +#19473=EDGE_LOOP('',(#19468,#19470,#19471,#19472)); +#19474=FACE_OUTER_BOUND('',#19473,.F.); +#19476=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.85E1)); +#19477=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19478=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19479=AXIS2_PLACEMENT_3D('',#19476,#19477,#19478); +#19480=PLANE('',#19479); +#19482=ORIENTED_EDGE('',*,*,#19481,.F.); +#19483=ORIENTED_EDGE('',*,*,#19467,.F.); +#19484=ORIENTED_EDGE('',*,*,#17807,.F.); +#19485=ORIENTED_EDGE('',*,*,#17519,.F.); +#19487=ORIENTED_EDGE('',*,*,#19486,.F.); +#19489=ORIENTED_EDGE('',*,*,#19488,.F.); +#19490=EDGE_LOOP('',(#19482,#19483,#19484,#19485,#19487,#19489)); +#19491=FACE_OUTER_BOUND('',#19490,.F.); +#19493=CARTESIAN_POINT('',(1.3869684E2,9.55E0,-2.25E1)); +#19494=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19495=DIRECTION('',(0.E0,0.E0,1.E0)); +#19496=AXIS2_PLACEMENT_3D('',#19493,#19494,#19495); +#19497=CYLINDRICAL_SURFACE('',#19496,5.E-1); +#19498=ORIENTED_EDGE('',*,*,#17371,.F.); +#19499=ORIENTED_EDGE('',*,*,#19469,.T.); +#19500=ORIENTED_EDGE('',*,*,#19481,.T.); +#19502=ORIENTED_EDGE('',*,*,#19501,.T.); +#19503=EDGE_LOOP('',(#19498,#19499,#19500,#19502)); +#19504=FACE_OUTER_BOUND('',#19503,.F.); +#19506=CARTESIAN_POINT('',(3.485675872867E1,9.252089440701E0, +-2.200024671982E1)); +#19507=CARTESIAN_POINT('',(3.457726723426E1,9.380424741352E0, +-2.199058165128E1)); +#19508=CARTESIAN_POINT('',(3.437953933650E1,9.471216302862E0, +-2.220815791192E1)); +#19509=CARTESIAN_POINT('',(3.438832271056E1,9.467183203561E0, +-2.251570537954E1)); +#19510=CARTESIAN_POINT('',(3.493984325818E1,9.433032791432E0, +-2.200024671982E1)); +#19511=CARTESIAN_POINT('',(3.471311393699E1,9.676274735577E0, +-2.199058165128E1)); +#19512=CARTESIAN_POINT('',(3.455271294827E1,9.848357676294E0, +-2.220815791192E1)); +#19513=CARTESIAN_POINT('',(3.455983820424E1,9.840713490245E0, +-2.251570537954E1)); +#19514=CARTESIAN_POINT('',(3.510864429769E1,9.538625140966E0, +-2.200024671982E1)); +#19515=CARTESIAN_POINT('',(3.498911074130E1,9.848922671375E0, +-2.199058165128E1)); +#19516=CARTESIAN_POINT('',(3.490454602542E1,1.006844448007E1, +-2.220815791192E1)); +#19517=CARTESIAN_POINT('',(3.490830251876E1,1.005869298733E1, +-2.251570537954E1)); +#19518=CARTESIAN_POINT('',(3.530770137161E1,9.534173563601E0, +-2.200024671982E1)); +#19519=CARTESIAN_POINT('',(3.531457743533E1,9.841644155018E0, +-2.199058165128E1)); +#19520=CARTESIAN_POINT('',(3.531944194697E1,1.005916602914E1, +-2.220815791192E1)); +#19521=CARTESIAN_POINT('',(3.531922585796E1,1.004950337653E1, +-2.251570537954E1)); +#19522=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#19506,#19507,#19508,#19509),( +#19510,#19511,#19512,#19513),(#19514,#19515,#19516,#19517),(#19518,#19519, +#19520,#19521)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4, +4),(0.E0,1.E0),(0.E0,1.E0),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0)))REPRESENTATION_ITEM('')SURFACE()); +#19523=ORIENTED_EDGE('',*,*,#17373,.T.); +#19524=ORIENTED_EDGE('',*,*,#19501,.F.); +#19526=ORIENTED_EDGE('',*,*,#19525,.F.); +#19528=ORIENTED_EDGE('',*,*,#19527,.F.); +#19529=EDGE_LOOP('',(#19523,#19524,#19526,#19528)); +#19530=FACE_OUTER_BOUND('',#19529,.F.); +#19532=CARTESIAN_POINT('',(3.529687364248E1,9.05E0,4.927608E1)); +#19533=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19534=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#19535=AXIS2_PLACEMENT_3D('',#19532,#19533,#19534); +#19536=CYLINDRICAL_SURFACE('',#19535,1.E0); +#19537=ORIENTED_EDGE('',*,*,#19488,.T.); +#19539=ORIENTED_EDGE('',*,*,#19538,.T.); +#19541=ORIENTED_EDGE('',*,*,#19540,.F.); +#19542=ORIENTED_EDGE('',*,*,#19525,.T.); +#19543=EDGE_LOOP('',(#19537,#19539,#19541,#19542)); +#19544=FACE_OUTER_BOUND('',#19543,.F.); +#19546=CARTESIAN_POINT('',(3.529687364248E1,9.05E0,-2.8E1)); +#19547=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19548=DIRECTION('',(-9.055727571006E-1,4.241909730266E-1,0.E0)); +#19549=AXIS2_PLACEMENT_3D('',#19546,#19547,#19548); +#19550=TOROIDAL_SURFACE('',#19549,1.5E0,5.E-1); +#19551=ORIENTED_EDGE('',*,*,#17517,.F.); +#19552=ORIENTED_EDGE('',*,*,#17627,.F.); +#19553=ORIENTED_EDGE('',*,*,#19538,.F.); +#19554=ORIENTED_EDGE('',*,*,#19486,.T.); +#19555=EDGE_LOOP('',(#19551,#19552,#19553,#19554)); +#19556=FACE_OUTER_BOUND('',#19555,.F.); +#19558=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.85E1)); +#19559=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19560=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19561=AXIS2_PLACEMENT_3D('',#19558,#19559,#19560); +#19562=CYLINDRICAL_SURFACE('',#19561,3.E0); +#19563=ORIENTED_EDGE('',*,*,#17625,.F.); +#19565=ORIENTED_EDGE('',*,*,#19564,.F.); +#19567=ORIENTED_EDGE('',*,*,#19566,.F.); +#19568=ORIENTED_EDGE('',*,*,#19540,.T.); +#19569=EDGE_LOOP('',(#19563,#19565,#19567,#19568)); +#19570=FACE_OUTER_BOUND('',#19569,.F.); +#19572=CARTESIAN_POINT('',(3.529687364248E1,1.255E1,4.927608E1)); +#19573=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19574=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19575=AXIS2_PLACEMENT_3D('',#19572,#19573,#19574); +#19576=CYLINDRICAL_SURFACE('',#19575,1.E0); +#19577=ORIENTED_EDGE('',*,*,#19564,.T.); +#19578=ORIENTED_EDGE('',*,*,#17640,.T.); +#19579=ORIENTED_EDGE('',*,*,#17653,.F.); +#19581=ORIENTED_EDGE('',*,*,#19580,.T.); +#19582=EDGE_LOOP('',(#19577,#19578,#19579,#19581)); +#19583=FACE_OUTER_BOUND('',#19582,.F.); +#19585=CARTESIAN_POINT('',(3.530770137161E1,1.206582643640E1, +-2.200024671982E1)); +#19586=CARTESIAN_POINT('',(3.531457743533E1,1.175835584498E1, +-2.199058165128E1)); +#19587=CARTESIAN_POINT('',(3.531944194697E1,1.154083397086E1, +-2.220815791192E1)); +#19588=CARTESIAN_POINT('',(3.531922585796E1,1.155049662347E1, +-2.251570537954E1)); +#19589=CARTESIAN_POINT('',(3.510864429769E1,1.206137485903E1, +-2.200024671982E1)); +#19590=CARTESIAN_POINT('',(3.498911074130E1,1.175107732863E1, +-2.199058165128E1)); +#19591=CARTESIAN_POINT('',(3.490454602542E1,1.153155551993E1, +-2.220815791192E1)); +#19592=CARTESIAN_POINT('',(3.490830251876E1,1.154130701267E1, +-2.251570537954E1)); +#19593=CARTESIAN_POINT('',(3.493984325818E1,1.216696720857E1, +-2.200024671982E1)); +#19594=CARTESIAN_POINT('',(3.471311393699E1,1.192372526442E1, +-2.199058165128E1)); +#19595=CARTESIAN_POINT('',(3.455271294827E1,1.175164232371E1, +-2.220815791192E1)); +#19596=CARTESIAN_POINT('',(3.455983820424E1,1.175928650976E1, +-2.251570537954E1)); +#19597=CARTESIAN_POINT('',(3.485675872867E1,1.234791055930E1, +-2.200024671982E1)); +#19598=CARTESIAN_POINT('',(3.457726723426E1,1.221957525865E1, +-2.199058165128E1)); +#19599=CARTESIAN_POINT('',(3.437953933650E1,1.212878369714E1, +-2.220815791192E1)); +#19600=CARTESIAN_POINT('',(3.438832271056E1,1.213281679644E1, +-2.251570537954E1)); +#19601=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#19585,#19586,#19587,#19588),( +#19589,#19590,#19591,#19592),(#19593,#19594,#19595,#19596),(#19597,#19598, +#19599,#19600)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4, +4),(0.E0,1.E0),(0.E0,1.E0),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0)))REPRESENTATION_ITEM('')SURFACE()); +#19602=ORIENTED_EDGE('',*,*,#17377,.T.); +#19604=ORIENTED_EDGE('',*,*,#19603,.F.); +#19605=ORIENTED_EDGE('',*,*,#19580,.F.); +#19606=ORIENTED_EDGE('',*,*,#17673,.F.); +#19607=EDGE_LOOP('',(#19602,#19604,#19605,#19606)); +#19608=FACE_OUTER_BOUND('',#19607,.F.); +#19610=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.25E1)); +#19611=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19612=DIRECTION('',(9.999988959614E-1,-1.485959642860E-3,0.E0)); +#19613=AXIS2_PLACEMENT_3D('',#19610,#19611,#19612); +#19614=TOROIDAL_SURFACE('',#19613,3.5E0,5.E-1); +#19615=ORIENTED_EDGE('',*,*,#19566,.T.); +#19616=ORIENTED_EDGE('',*,*,#19603,.T.); +#19617=ORIENTED_EDGE('',*,*,#17375,.T.); +#19618=ORIENTED_EDGE('',*,*,#19527,.T.); +#19619=EDGE_LOOP('',(#19615,#19616,#19617,#19618)); +#19620=FACE_OUTER_BOUND('',#19619,.F.); +#19622=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.E1)); +#19623=DIRECTION('',(0.E0,1.E0,0.E0)); +#19624=DIRECTION('',(1.E0,0.E0,0.E0)); +#19625=AXIS2_PLACEMENT_3D('',#19622,#19623,#19624); +#19626=PLANE('',#19625); +#19627=ORIENTED_EDGE('',*,*,#17180,.T.); +#19629=ORIENTED_EDGE('',*,*,#19628,.T.); +#19631=ORIENTED_EDGE('',*,*,#19630,.T.); +#19632=ORIENTED_EDGE('',*,*,#17779,.F.); +#19633=EDGE_LOOP('',(#19627,#19629,#19631,#19632)); +#19634=FACE_OUTER_BOUND('',#19633,.F.); +#19636=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.E1)); +#19637=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19638=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19639=AXIS2_PLACEMENT_3D('',#19636,#19637,#19638); +#19640=CYLINDRICAL_SURFACE('',#19639,5.E0); +#19642=ORIENTED_EDGE('',*,*,#19641,.T.); +#19644=ORIENTED_EDGE('',*,*,#19643,.T.); +#19646=ORIENTED_EDGE('',*,*,#19645,.T.); +#19647=ORIENTED_EDGE('',*,*,#19628,.F.); +#19648=ORIENTED_EDGE('',*,*,#17192,.T.); +#19649=ORIENTED_EDGE('',*,*,#17229,.T.); +#19650=ORIENTED_EDGE('',*,*,#17574,.T.); +#19651=EDGE_LOOP('',(#19642,#19644,#19646,#19647,#19648,#19649,#19650)); +#19652=FACE_OUTER_BOUND('',#19651,.F.); +#19654=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.85E1)); +#19655=DIRECTION('',(0.E0,1.E0,0.E0)); +#19656=DIRECTION('',(1.E0,0.E0,0.E0)); +#19657=AXIS2_PLACEMENT_3D('',#19654,#19655,#19656); +#19658=PLANE('',#19657); +#19660=ORIENTED_EDGE('',*,*,#19659,.T.); +#19662=ORIENTED_EDGE('',*,*,#19661,.F.); +#19663=ORIENTED_EDGE('',*,*,#19641,.F.); +#19664=ORIENTED_EDGE('',*,*,#17572,.T.); +#19666=ORIENTED_EDGE('',*,*,#19665,.F.); +#19668=ORIENTED_EDGE('',*,*,#19667,.F.); +#19669=EDGE_LOOP('',(#19660,#19662,#19663,#19664,#19666,#19668)); +#19670=FACE_OUTER_BOUND('',#19669,.F.); +#19672=CARTESIAN_POINT('',(1.299393097577E2,1.205E1,-2.25E1)); +#19673=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19674=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19675=AXIS2_PLACEMENT_3D('',#19672,#19673,#19674); +#19676=CYLINDRICAL_SURFACE('',#19675,5.E-1); +#19677=ORIENTED_EDGE('',*,*,#17387,.T.); +#19679=ORIENTED_EDGE('',*,*,#19678,.T.); +#19680=ORIENTED_EDGE('',*,*,#19659,.F.); +#19682=ORIENTED_EDGE('',*,*,#19681,.T.); +#19683=EDGE_LOOP('',(#19677,#19679,#19680,#19682)); +#19684=FACE_OUTER_BOUND('',#19683,.F.); +#19686=CARTESIAN_POINT('',(-3.836398487708E1,1.197458905444E1, +-2.149224717988E1)); +#19687=CARTESIAN_POINT('',(-3.836406083590E1,1.197457808041E1, +-2.149692981419E1)); +#19688=CARTESIAN_POINT('',(-3.836409390829E1,1.197457334808E1, +-2.151846527377E1)); +#19689=CARTESIAN_POINT('',(-3.836155785445E1,1.197493978068E1, +-2.155682829974E1)); +#19690=CARTESIAN_POINT('',(-3.835290094334E1,1.197619545986E1, +-2.160677456053E1)); +#19691=CARTESIAN_POINT('',(-3.833899794793E1,1.197822668941E1, +-2.165566992759E1)); +#19692=CARTESIAN_POINT('',(-3.831994395930E1,1.198104008227E1, +-2.170306981320E1)); +#19693=CARTESIAN_POINT('',(-3.829586278772E1,1.198464554624E1, +-2.174852101047E1)); +#19694=CARTESIAN_POINT('',(-3.826696619599E1,1.198904700946E1, +-2.179149349609E1)); +#19695=CARTESIAN_POINT('',(-3.823356646799E1,1.199423903586E1, +-2.183145669493E1)); +#19696=CARTESIAN_POINT('',(-3.819661890920E1,1.200011821720E1, +-2.186738355802E1)); +#19697=CARTESIAN_POINT('',(-3.815728422713E1,1.200653953030E1, +-2.189864691661E1)); +#19698=CARTESIAN_POINT('',(-3.811613992512E1,1.201343836707E1, +-2.192544135240E1)); +#19699=CARTESIAN_POINT('',(-3.807286090696E1,1.202090275460E1, +-2.194828297445E1)); +#19700=CARTESIAN_POINT('',(-3.802707912578E1,1.202904193445E1, +-2.196732405256E1)); +#19701=CARTESIAN_POINT('',(-3.797939582844E1,1.203779662734E1, +-2.198223568092E1)); +#19702=CARTESIAN_POINT('',(-3.792963864056E1,1.204724395510E1, +-2.199300991023E1)); +#19703=CARTESIAN_POINT('',(-3.787953867048E1,1.205709835018E1, +-2.199919113463E1)); +#19704=CARTESIAN_POINT('',(-3.784569965456E1,1.206399646484E1, +-2.200036294737E1)); +#19705=CARTESIAN_POINT('',(-3.782941841340E1,1.206737412999E1, +-2.200024300867E1)); +#19706=CARTESIAN_POINT('',(-3.841038689836E1,1.167040916270E1, +-2.149151149251E1)); +#19707=CARTESIAN_POINT('',(-3.841047666170E1,1.167039915995E1, +-2.149663836499E1)); +#19708=CARTESIAN_POINT('',(-3.841051578877E1,1.167039464340E1, +-2.152021826500E1)); +#19709=CARTESIAN_POINT('',(-3.840751801137E1,1.167072681326E1, +-2.156221395876E1)); +#19710=CARTESIAN_POINT('',(-3.839730129549E1,1.167186567558E1, +-2.161671908224E1)); +#19711=CARTESIAN_POINT('',(-3.838094360471E1,1.167371232314E1, +-2.166975747047E1)); +#19712=CARTESIAN_POINT('',(-3.835862689036E1,1.167627866842E1, +-2.172070668953E1)); +#19713=CARTESIAN_POINT('',(-3.833059180136E1,1.167958218482E1, +-2.176894856322E1)); +#19714=CARTESIAN_POINT('',(-3.829720447165E1,1.168363729892E1, +-2.181380323645E1)); +#19715=CARTESIAN_POINT('',(-3.825896534196E1,1.168845215263E1, +-2.185462189552E1)); +#19716=CARTESIAN_POINT('',(-3.821711530795E1,1.169394542207E1, +-2.189030462776E1)); +#19717=CARTESIAN_POINT('',(-3.817309575385E1,1.169999521337E1, +-2.192026838726E1)); +#19718=CARTESIAN_POINT('',(-3.812764493958E1,1.170655181842E1, +-2.194481582862E1)); +#19719=CARTESIAN_POINT('',(-3.808050695573E1,1.171371183758E1, +-2.196450458249E1)); +#19720=CARTESIAN_POINT('',(-3.803142202194E1,1.172159777312E1, +-2.197948972060E1)); +#19721=CARTESIAN_POINT('',(-3.798118016800E1,1.173017166312E1, +-2.198954380910E1)); +#19722=CARTESIAN_POINT('',(-3.792973735608E1,1.173952929561E1, +-2.199471664147E1)); +#19723=CARTESIAN_POINT('',(-3.787901370631E1,1.174940828598E1, +-2.199484339139E1)); +#19724=CARTESIAN_POINT('',(-3.784551048072E1,1.175641048852E1, +-2.199174584627E1)); +#19725=CARTESIAN_POINT('',(-3.782957445914E1,1.175986019203E1, +-2.198955211334E1)); +#19726=CARTESIAN_POINT('',(-3.866386125253E1,1.149601386030E1, +-2.148749274574E1)); +#19727=CARTESIAN_POINT('',(-3.866399339516E1,1.149600944548E1, +-2.149504682482E1)); +#19728=CARTESIAN_POINT('',(-3.866405101546E1,1.149600719959E1, +-2.152979047343E1)); +#19729=CARTESIAN_POINT('',(-3.865963769167E1,1.149615153060E1, +-2.159166636897E1)); +#19730=CARTESIAN_POINT('',(-3.864460212511E1,1.149664711927E1, +-2.167192963587E1)); +#19731=CARTESIAN_POINT('',(-3.862054597897E1,1.149745618709E1, +-2.174995053718E1)); +#19732=CARTESIAN_POINT('',(-3.858776047014E1,1.149859130770E1, +-2.182477709978E1)); +#19733=CARTESIAN_POINT('',(-3.854663168066E1,1.150007068878E1, +-2.189546714292E1)); +#19734=CARTESIAN_POINT('',(-3.849773793750E1,1.150191418142E1, +-2.196099336882E1)); +#19735=CARTESIAN_POINT('',(-3.844186092413E1,1.150414166092E1, +-2.202038234857E1)); +#19736=CARTESIAN_POINT('',(-3.838086632984E1,1.150673331089E1, +-2.207201975363E1)); +#19737=CARTESIAN_POINT('',(-3.831690118555E1,1.150964812904E1, +-2.211507313435E1)); +#19738=CARTESIAN_POINT('',(-3.825107300458E1,1.151287559065E1, +-2.215001145755E1)); +#19739=CARTESIAN_POINT('',(-3.818305093283E1,1.151647867527E1, +-2.217765443988E1)); +#19740=CARTESIAN_POINT('',(-3.811251538621E1,1.152053995614E1, +-2.219822757646E1)); +#19741=CARTESIAN_POINT('',(-3.804066024549E1,1.152506265723E1, +-2.221142985197E1)); +#19742=CARTESIAN_POINT('',(-3.796747984793E1,1.153012074697E1, +-2.221734966491E1)); +#19743=CARTESIAN_POINT('',(-3.789576179172E1,1.153559581829E1, +-2.221582998076E1)); +#19744=CARTESIAN_POINT('',(-3.784871038493E1,1.153957472777E1, +-2.221014035003E1)); +#19745=CARTESIAN_POINT('',(-3.782640963770E1,1.154155849892E1, +-2.220637808353E1)); +#19746=CARTESIAN_POINT('',(-3.896448909297E1,1.156143043465E1, +-2.148272639667E1)); +#19747=CARTESIAN_POINT('',(-3.896466545225E1,1.156143269893E1, +-2.149315930814E1)); +#19748=CARTESIAN_POINT('',(-3.896474233683E1,1.156143334602E1, +-2.154114272189E1)); +#19749=CARTESIAN_POINT('',(-3.895885272250E1,1.156135477099E1, +-2.162660378672E1)); +#19750=CARTESIAN_POINT('',(-3.893877950793E1,1.156108647532E1, +-2.173757347439E1)); +#19751=CARTESIAN_POINT('',(-3.890663815891E1,1.156065958237E1, +-2.184565472825E1)); +#19752=CARTESIAN_POINT('',(-3.886278262680E1,1.156008227146E1, +-2.194962225165E1)); +#19753=CARTESIAN_POINT('',(-3.880768126635E1,1.155936618997E1, +-2.204825524099E1)); +#19754=CARTESIAN_POINT('',(-3.874204854530E1,1.155852811716E1, +-2.214020054900E1)); +#19755=CARTESIAN_POINT('',(-3.866686303766E1,1.155759041447E1, +-2.222415855706E1)); +#19756=CARTESIAN_POINT('',(-3.858456024284E1,1.155659539062E1, +-2.229788351742E1)); +#19757=CARTESIAN_POINT('',(-3.849797261073E1,1.155558966204E1, +-2.236015740073E1)); +#19758=CARTESIAN_POINT('',(-3.840855244014E1,1.155460144972E1, +-2.241156879774E1)); +#19759=CARTESIAN_POINT('',(-3.831579708393E1,1.155363912997E1, +-2.245325472357E1)); +#19760=CARTESIAN_POINT('',(-3.821919681471E1,1.155271734876E1, +-2.248553428748E1)); +#19761=CARTESIAN_POINT('',(-3.812030963966E1,1.155187439997E1, +-2.250790426238E1)); +#19762=CARTESIAN_POINT('',(-3.801905409858E1,1.155113571283E1, +-2.252044815780E1)); +#19763=CARTESIAN_POINT('',(-3.791921643562E1,1.155055681593E1, +-2.252272882747E1)); +#19764=CARTESIAN_POINT('',(-3.785328052393E1,1.155029246937E1, +-2.251775974936E1)); +#19765=CARTESIAN_POINT('',(-3.782192065835E1,1.155019720502E1, +-2.251392380941E1)); +#19766=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#19686,#19687,#19688,#19689, +#19690,#19691,#19692,#19693,#19694,#19695,#19696,#19697,#19698,#19699,#19700, +#19701,#19702,#19703,#19704,#19705),(#19706,#19707,#19708,#19709,#19710,#19711, +#19712,#19713,#19714,#19715,#19716,#19717,#19718,#19719,#19720,#19721,#19722, +#19723,#19724,#19725),(#19726,#19727,#19728,#19729,#19730,#19731,#19732,#19733, +#19734,#19735,#19736,#19737,#19738,#19739,#19740,#19741,#19742,#19743,#19744, +#19745),(#19746,#19747,#19748,#19749,#19750,#19751,#19752,#19753,#19754,#19755, +#19756,#19757,#19758,#19759,#19760,#19761,#19762,#19763,#19764,#19765)), +.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,4),(0.E0,1.E0),(5.931787008957E-1,6.001949921856E-1, +6.254393678784E-1,6.506961480214E-1,6.759836232423E-1,7.013538866049E-1, +7.268601499913E-1,7.525024127399E-1,7.781858489596E-1,8.038974240762E-1, +8.284668294513E-1,8.519910175075E-1,8.757626897579E-1,8.998204813763E-1, +9.240053640599E-1,9.480410895005E-1,9.731947321709E-1,9.964885207809E-1), +.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE((( +1.187263301701E0,1.187263301701E0,1.187263301701E0,1.187263301701E0, +1.187263301701E0,1.187263301701E0,1.187263301701E0,1.187263301701E0, +1.187263301701E0,1.187263301701E0,1.187263301701E0,1.187263301701E0, +1.187263301701E0,1.187263301701E0,1.187263301701E0,1.187263301701E0, +1.187263301701E0,1.187263301701E0,1.187263301701E0,1.187263301701E0),( +9.375788994331E-1,9.375788994331E-1,9.375788994331E-1,9.375788994331E-1, +9.375788994331E-1,9.375788994331E-1,9.375788994331E-1,9.375788994331E-1, +9.375788994331E-1,9.375788994331E-1,9.375788994331E-1,9.375788994331E-1, +9.375788994331E-1,9.375788994331E-1,9.375788994331E-1,9.375788994331E-1, +9.375788994331E-1,9.375788994331E-1,9.375788994331E-1,9.375788994331E-1),( +9.375788994331E-1,9.375788994331E-1,9.375788994331E-1,9.375788994331E-1, +9.375788994331E-1,9.375788994331E-1,9.375788994331E-1,9.375788994331E-1, +9.375788994331E-1,9.375788994331E-1,9.375788994331E-1,9.375788994331E-1, +9.375788994331E-1,9.375788994331E-1,9.375788994331E-1,9.375788994331E-1, +9.375788994331E-1,9.375788994331E-1,9.375788994331E-1,9.375788994331E-1),( +1.187263301701E0,1.187263301701E0,1.187263301701E0,1.187263301701E0, +1.187263301701E0,1.187263301701E0,1.187263301701E0,1.187263301701E0, +1.187263301701E0,1.187263301701E0,1.187263301701E0,1.187263301701E0, +1.187263301701E0,1.187263301701E0,1.187263301701E0,1.187263301701E0, +1.187263301701E0,1.187263301701E0,1.187263301701E0,1.187263301701E0)))REPRESENTATION_ITEM('')SURFACE()); +#19767=ORIENTED_EDGE('',*,*,#19661,.T.); +#19768=ORIENTED_EDGE('',*,*,#19678,.F.); +#19770=ORIENTED_EDGE('',*,*,#19769,.F.); +#19771=ORIENTED_EDGE('',*,*,#19643,.F.); +#19772=EDGE_LOOP('',(#19767,#19768,#19770,#19771)); +#19773=FACE_OUTER_BOUND('',#19772,.F.); +#19775=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.15E1)); +#19776=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19777=DIRECTION('',(7.060552683733E-1,-7.081567326534E-1,0.E0)); +#19778=AXIS2_PLACEMENT_3D('',#19775,#19776,#19777); +#19779=TOROIDAL_SURFACE('',#19778,4.5E0,5.E-1); +#19780=ORIENTED_EDGE('',*,*,#17385,.T.); +#19782=ORIENTED_EDGE('',*,*,#19781,.T.); +#19783=ORIENTED_EDGE('',*,*,#19645,.F.); +#19784=ORIENTED_EDGE('',*,*,#19769,.T.); +#19785=EDGE_LOOP('',(#19780,#19782,#19783,#19784)); +#19786=FACE_OUTER_BOUND('',#19785,.F.); +#19788=CARTESIAN_POINT('',(-3.346406972174E1,1.555E1,-2.15E1)); +#19789=DIRECTION('',(1.E0,0.E0,0.E0)); +#19790=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19791=AXIS2_PLACEMENT_3D('',#19788,#19789,#19790); +#19792=CYLINDRICAL_SURFACE('',#19791,5.E-1); +#19793=ORIENTED_EDGE('',*,*,#17383,.T.); +#19795=ORIENTED_EDGE('',*,*,#19794,.T.); +#19796=ORIENTED_EDGE('',*,*,#19630,.F.); +#19797=ORIENTED_EDGE('',*,*,#19781,.F.); +#19798=EDGE_LOOP('',(#19793,#19795,#19796,#19797)); +#19799=FACE_OUTER_BOUND('',#19798,.F.); +#19801=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.15E1)); +#19802=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19803=DIRECTION('',(-2.813940634258E-3,9.999960408612E-1,0.E0)); +#19804=AXIS2_PLACEMENT_3D('',#19801,#19802,#19803); +#19805=TOROIDAL_SURFACE('',#19804,4.5E0,5.E-1); +#19806=ORIENTED_EDGE('',*,*,#17781,.F.); +#19807=ORIENTED_EDGE('',*,*,#19794,.F.); +#19808=ORIENTED_EDGE('',*,*,#17381,.T.); +#19809=ORIENTED_EDGE('',*,*,#17762,.T.); +#19810=EDGE_LOOP('',(#19806,#19807,#19808,#19809)); +#19811=FACE_OUTER_BOUND('',#19810,.F.); +#19813=CARTESIAN_POINT('',(-3.529687364248E1,1.255E1,-2.25E1)); +#19814=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19815=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#19816=AXIS2_PLACEMENT_3D('',#19813,#19814,#19815); +#19817=TOROIDAL_SURFACE('',#19816,5.E-1,5.E-1); +#19818=ORIENTED_EDGE('',*,*,#17389,.T.); +#19819=ORIENTED_EDGE('',*,*,#19681,.F.); +#19821=ORIENTED_EDGE('',*,*,#19820,.F.); +#19823=ORIENTED_EDGE('',*,*,#19822,.F.); +#19824=EDGE_LOOP('',(#19818,#19819,#19821,#19823)); +#19825=FACE_OUTER_BOUND('',#19824,.F.); +#19827=CARTESIAN_POINT('',(-3.529687364248E1,1.255E1,4.927608E1)); +#19828=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19829=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#19830=AXIS2_PLACEMENT_3D('',#19827,#19828,#19829); +#19831=CYLINDRICAL_SURFACE('',#19830,1.E0); +#19832=ORIENTED_EDGE('',*,*,#19667,.T.); +#19834=ORIENTED_EDGE('',*,*,#19833,.T.); +#19835=ORIENTED_EDGE('',*,*,#17490,.F.); +#19836=ORIENTED_EDGE('',*,*,#19820,.T.); +#19837=EDGE_LOOP('',(#19832,#19834,#19835,#19836)); +#19838=FACE_OUTER_BOUND('',#19837,.F.); +#19840=CARTESIAN_POINT('',(-3.529687364248E1,1.255E1,-2.8E1)); +#19841=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19842=DIRECTION('',(9.055727571006E-1,-4.241909730266E-1,0.E0)); +#19843=AXIS2_PLACEMENT_3D('',#19840,#19841,#19842); +#19844=TOROIDAL_SURFACE('',#19843,1.5E0,5.E-1); +#19845=ORIENTED_EDGE('',*,*,#17570,.F.); +#19846=ORIENTED_EDGE('',*,*,#17505,.F.); +#19847=ORIENTED_EDGE('',*,*,#19833,.F.); +#19848=ORIENTED_EDGE('',*,*,#19665,.T.); +#19849=EDGE_LOOP('',(#19845,#19846,#19847,#19848)); +#19850=FACE_OUTER_BOUND('',#19849,.F.); +#19852=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.25E1)); +#19853=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19854=DIRECTION('',(-9.999988959614E-1,1.485959642859E-3,0.E0)); +#19855=AXIS2_PLACEMENT_3D('',#19852,#19853,#19854); +#19856=TOROIDAL_SURFACE('',#19855,3.5E0,5.E-1); +#19857=ORIENTED_EDGE('',*,*,#17488,.T.); +#19859=ORIENTED_EDGE('',*,*,#19858,.T.); +#19860=ORIENTED_EDGE('',*,*,#17391,.T.); +#19861=ORIENTED_EDGE('',*,*,#19822,.T.); +#19862=EDGE_LOOP('',(#19857,#19859,#19860,#19861)); +#19863=FACE_OUTER_BOUND('',#19862,.F.); +#19865=CARTESIAN_POINT('',(-3.530770137161E1,9.534173563601E0, +-2.200024671982E1)); +#19866=CARTESIAN_POINT('',(-3.531457743533E1,9.841644155018E0, +-2.199058165128E1)); +#19867=CARTESIAN_POINT('',(-3.531944194697E1,1.005916602914E1, +-2.220815791192E1)); +#19868=CARTESIAN_POINT('',(-3.531922585796E1,1.004950337653E1, +-2.251570537954E1)); +#19869=CARTESIAN_POINT('',(-3.510864429769E1,9.538625140966E0, +-2.200024671982E1)); +#19870=CARTESIAN_POINT('',(-3.498911074130E1,9.848922671375E0, +-2.199058165128E1)); +#19871=CARTESIAN_POINT('',(-3.490454602542E1,1.006844448007E1, +-2.220815791192E1)); +#19872=CARTESIAN_POINT('',(-3.490830251876E1,1.005869298733E1, +-2.251570537954E1)); +#19873=CARTESIAN_POINT('',(-3.493984325818E1,9.433032791432E0, +-2.200024671982E1)); +#19874=CARTESIAN_POINT('',(-3.471311393699E1,9.676274735577E0, +-2.199058165128E1)); +#19875=CARTESIAN_POINT('',(-3.455271294827E1,9.848357676294E0, +-2.220815791192E1)); +#19876=CARTESIAN_POINT('',(-3.455983820424E1,9.840713490244E0, +-2.251570537954E1)); +#19877=CARTESIAN_POINT('',(-3.485675872867E1,9.252089440701E0, +-2.200024671982E1)); +#19878=CARTESIAN_POINT('',(-3.457726723426E1,9.380424741352E0, +-2.199058165128E1)); +#19879=CARTESIAN_POINT('',(-3.437953933650E1,9.471216302862E0, +-2.220815791192E1)); +#19880=CARTESIAN_POINT('',(-3.438832271056E1,9.467183203561E0, +-2.251570537954E1)); +#19881=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#19865,#19866,#19867,#19868),( +#19869,#19870,#19871,#19872),(#19873,#19874,#19875,#19876),(#19877,#19878, +#19879,#19880)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4, +4),(0.E0,1.E0),(0.E0,1.E0),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0)))REPRESENTATION_ITEM('')SURFACE()); +#19882=ORIENTED_EDGE('',*,*,#17393,.T.); +#19883=ORIENTED_EDGE('',*,*,#19858,.F.); +#19884=ORIENTED_EDGE('',*,*,#17475,.F.); +#19885=ORIENTED_EDGE('',*,*,#17442,.F.); +#19886=EDGE_LOOP('',(#19882,#19883,#19884,#19885)); +#19887=FACE_OUTER_BOUND('',#19886,.F.); +#19889=CARTESIAN_POINT('',(-3.529687364248E1,9.05E0,-2.8E1)); +#19890=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19891=DIRECTION('',(-5.311211266182E-1,-8.472959039555E-1,0.E0)); +#19892=AXIS2_PLACEMENT_3D('',#19889,#19890,#19891); +#19893=TOROIDAL_SURFACE('',#19892,1.5E0,5.E-1); +#19894=ORIENTED_EDGE('',*,*,#17578,.F.); +#19895=ORIENTED_EDGE('',*,*,#17455,.T.); +#19896=ORIENTED_EDGE('',*,*,#17472,.F.); +#19897=ORIENTED_EDGE('',*,*,#17502,.F.); +#19898=EDGE_LOOP('',(#19894,#19895,#19896,#19897)); +#19899=FACE_OUTER_BOUND('',#19898,.F.); +#19901=CARTESIAN_POINT('',(-3.795E1,9.55E0,-2.15E1)); +#19902=DIRECTION('',(0.E0,1.E0,0.E0)); +#19903=DIRECTION('',(2.949228958124E-2,0.E0,-9.995650078185E-1)); +#19904=AXIS2_PLACEMENT_3D('',#19901,#19902,#19903); +#19905=TOROIDAL_SURFACE('',#19904,1.E0,5.E-1); +#19906=ORIENTED_EDGE('',*,*,#17460,.T.); +#19907=ORIENTED_EDGE('',*,*,#17223,.F.); +#19909=ORIENTED_EDGE('',*,*,#19908,.F.); +#19910=ORIENTED_EDGE('',*,*,#17439,.F.); +#19911=EDGE_LOOP('',(#19906,#19907,#19909,#19910)); +#19912=FACE_OUTER_BOUND('',#19911,.F.); +#19914=CARTESIAN_POINT('',(-3.795E1,-5.789556E1,-2.15E1)); +#19915=DIRECTION('',(0.E0,1.E0,0.E0)); +#19916=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19917=AXIS2_PLACEMENT_3D('',#19914,#19915,#19916); +#19918=CYLINDRICAL_SURFACE('',#19917,5.E-1); +#19919=ORIENTED_EDGE('',*,*,#17221,.F.); +#19921=ORIENTED_EDGE('',*,*,#19920,.T.); +#19922=ORIENTED_EDGE('',*,*,#17286,.T.); +#19923=ORIENTED_EDGE('',*,*,#19908,.T.); +#19924=EDGE_LOOP('',(#19919,#19921,#19922,#19923)); +#19925=FACE_OUTER_BOUND('',#19924,.F.); +#19927=CARTESIAN_POINT('',(-3.795E1,-6.05E0,-2.15E1)); +#19928=DIRECTION('',(0.E0,1.E0,0.E0)); +#19929=DIRECTION('',(7.063372212094E-1,0.E0,7.078755045445E-1)); +#19930=AXIS2_PLACEMENT_3D('',#19927,#19928,#19929); +#19931=TOROIDAL_SURFACE('',#19930,1.E0,5.E-1); +#19932=ORIENTED_EDGE('',*,*,#17252,.T.); +#19933=ORIENTED_EDGE('',*,*,#17271,.F.); +#19934=ORIENTED_EDGE('',*,*,#19920,.F.); +#19935=ORIENTED_EDGE('',*,*,#17219,.F.); +#19936=EDGE_LOOP('',(#19932,#19933,#19934,#19935)); +#19937=FACE_OUTER_BOUND('',#19936,.F.); +#19939=CARTESIAN_POINT('',(-2.47E1,0.E0,0.E0)); +#19940=DIRECTION('',(1.E0,0.E0,0.E0)); +#19941=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19942=AXIS2_PLACEMENT_3D('',#19939,#19940,#19941); +#19943=PLANE('',#19942); +#19945=ORIENTED_EDGE('',*,*,#19944,.T.); +#19947=ORIENTED_EDGE('',*,*,#19946,.T.); +#19949=ORIENTED_EDGE('',*,*,#19948,.F.); +#19950=ORIENTED_EDGE('',*,*,#17421,.F.); +#19951=EDGE_LOOP('',(#19945,#19947,#19949,#19950)); +#19952=FACE_OUTER_BOUND('',#19951,.F.); +#19954=CARTESIAN_POINT('',(-2.47E1,0.E0,0.E0)); +#19955=DIRECTION('',(1.E0,0.E0,0.E0)); +#19956=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19957=AXIS2_PLACEMENT_3D('',#19954,#19955,#19956); +#19958=PLANE('',#19957); +#19960=ORIENTED_EDGE('',*,*,#19959,.F.); +#19961=ORIENTED_EDGE('',*,*,#17397,.F.); +#19963=ORIENTED_EDGE('',*,*,#19962,.F.); +#19965=ORIENTED_EDGE('',*,*,#19964,.T.); +#19967=ORIENTED_EDGE('',*,*,#19966,.T.); +#19968=EDGE_LOOP('',(#19960,#19961,#19963,#19965,#19967)); +#19969=FACE_OUTER_BOUND('',#19968,.F.); +#19971=CARTESIAN_POINT('',(-2.47E1,-5.093154304743E0,-3.78E1)); +#19972=DIRECTION('',(8.534766064211E-1,5.211311565163E-1,0.E0)); +#19973=DIRECTION('',(5.211311565163E-1,-8.534766064211E-1,0.E0)); +#19974=AXIS2_PLACEMENT_3D('',#19971,#19972,#19973); +#19975=PLANE('',#19974); +#19976=ORIENTED_EDGE('',*,*,#19944,.F.); +#19977=ORIENTED_EDGE('',*,*,#17419,.T.); +#19979=ORIENTED_EDGE('',*,*,#19978,.T.); +#19981=ORIENTED_EDGE('',*,*,#19980,.T.); +#19983=ORIENTED_EDGE('',*,*,#19982,.T.); +#19985=ORIENTED_EDGE('',*,*,#19984,.T.); +#19986=EDGE_LOOP('',(#19976,#19977,#19979,#19981,#19983,#19985)); +#19987=FACE_OUTER_BOUND('',#19986,.F.); +#19989=CARTESIAN_POINT('',(-2.47E1,-9.944486372867E0,-2.2E1)); +#19990=DIRECTION('',(0.E0,-8.660254037844E-1,-5.E-1)); +#19991=DIRECTION('',(0.E0,5.E-1,-8.660254037844E-1)); +#19992=AXIS2_PLACEMENT_3D('',#19989,#19990,#19991); +#19993=PLANE('',#19992); +#19995=ORIENTED_EDGE('',*,*,#19994,.T.); +#19997=ORIENTED_EDGE('',*,*,#19996,.F.); +#19998=ORIENTED_EDGE('',*,*,#19978,.F.); +#19999=ORIENTED_EDGE('',*,*,#17417,.T.); +#20000=EDGE_LOOP('',(#19995,#19997,#19998,#19999)); +#20001=FACE_OUTER_BOUND('',#20000,.F.); +#20003=CARTESIAN_POINT('',(2.173778607136E1,-9.944486372867E0,-3.78E1)); +#20004=DIRECTION('',(-8.534766064211E-1,5.211311565163E-1,0.E0)); +#20005=DIRECTION('',(5.211311565163E-1,8.534766064211E-1,0.E0)); +#20006=AXIS2_PLACEMENT_3D('',#20003,#20004,#20005); +#20007=PLANE('',#20006); +#20009=ORIENTED_EDGE('',*,*,#20008,.T.); +#20011=ORIENTED_EDGE('',*,*,#20010,.F.); +#20013=ORIENTED_EDGE('',*,*,#20012,.F.); +#20015=ORIENTED_EDGE('',*,*,#20014,.F.); +#20016=ORIENTED_EDGE('',*,*,#19994,.F.); +#20017=ORIENTED_EDGE('',*,*,#17415,.T.); +#20018=EDGE_LOOP('',(#20009,#20011,#20013,#20015,#20016,#20017)); +#20019=FACE_OUTER_BOUND('',#20018,.F.); +#20021=CARTESIAN_POINT('',(2.47E1,0.E0,0.E0)); +#20022=DIRECTION('',(1.E0,0.E0,0.E0)); +#20023=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20024=AXIS2_PLACEMENT_3D('',#20021,#20022,#20023); +#20025=PLANE('',#20024); +#20026=ORIENTED_EDGE('',*,*,#20008,.F.); +#20027=ORIENTED_EDGE('',*,*,#17413,.T.); +#20029=ORIENTED_EDGE('',*,*,#20028,.T.); +#20031=ORIENTED_EDGE('',*,*,#20030,.F.); +#20032=EDGE_LOOP('',(#20026,#20027,#20029,#20031)); +#20033=FACE_OUTER_BOUND('',#20032,.F.); +#20035=CARTESIAN_POINT('',(2.47E1,0.E0,0.E0)); +#20036=DIRECTION('',(1.E0,0.E0,0.E0)); +#20037=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20038=AXIS2_PLACEMENT_3D('',#20035,#20036,#20037); +#20039=PLANE('',#20038); +#20041=ORIENTED_EDGE('',*,*,#20040,.F.); +#20043=ORIENTED_EDGE('',*,*,#20042,.F.); +#20045=ORIENTED_EDGE('',*,*,#20044,.F.); +#20047=ORIENTED_EDGE('',*,*,#20046,.F.); +#20048=ORIENTED_EDGE('',*,*,#17405,.T.); +#20049=EDGE_LOOP('',(#20041,#20043,#20045,#20047,#20048)); +#20050=FACE_OUTER_BOUND('',#20049,.F.); +#20052=CARTESIAN_POINT('',(2.47E1,-3.25E0,-2.2E1)); +#20053=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20054=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20055=AXIS2_PLACEMENT_3D('',#20052,#20053,#20054); +#20056=PLANE('',#20055); +#20057=ORIENTED_EDGE('',*,*,#20028,.F.); +#20058=ORIENTED_EDGE('',*,*,#17411,.T.); +#20060=ORIENTED_EDGE('',*,*,#20059,.T.); +#20062=ORIENTED_EDGE('',*,*,#20061,.F.); +#20063=EDGE_LOOP('',(#20057,#20058,#20060,#20062)); +#20064=FACE_OUTER_BOUND('',#20063,.F.); +#20066=CARTESIAN_POINT('',(2.33E1,0.E0,0.E0)); +#20067=DIRECTION('',(1.E0,0.E0,0.E0)); +#20068=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20069=AXIS2_PLACEMENT_3D('',#20066,#20067,#20068); +#20070=PLANE('',#20069); +#20072=ORIENTED_EDGE('',*,*,#20071,.F.); +#20073=ORIENTED_EDGE('',*,*,#20059,.F.); +#20074=ORIENTED_EDGE('',*,*,#17409,.F.); +#20076=ORIENTED_EDGE('',*,*,#20075,.F.); +#20078=ORIENTED_EDGE('',*,*,#20077,.F.); +#20080=ORIENTED_EDGE('',*,*,#20079,.F.); +#20081=EDGE_LOOP('',(#20072,#20073,#20074,#20076,#20078,#20080)); +#20082=FACE_OUTER_BOUND('',#20081,.F.); +#20084=CARTESIAN_POINT('',(-2.47E1,-3.25E0,-3.11E1)); +#20085=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20086=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20087=AXIS2_PLACEMENT_3D('',#20084,#20085,#20086); +#20088=PLANE('',#20087); +#20089=ORIENTED_EDGE('',*,*,#20071,.T.); +#20091=ORIENTED_EDGE('',*,*,#20090,.F.); +#20093=ORIENTED_EDGE('',*,*,#20092,.F.); +#20095=ORIENTED_EDGE('',*,*,#20094,.T.); +#20096=EDGE_LOOP('',(#20089,#20091,#20093,#20095)); +#20097=FACE_OUTER_BOUND('',#20096,.F.); +#20099=ORIENTED_EDGE('',*,*,#20098,.T.); +#20101=ORIENTED_EDGE('',*,*,#20100,.T.); +#20102=EDGE_LOOP('',(#20099,#20101)); +#20103=FACE_BOUND('',#20102,.F.); +#20105=ORIENTED_EDGE('',*,*,#20104,.T.); +#20107=ORIENTED_EDGE('',*,*,#20106,.T.); +#20108=EDGE_LOOP('',(#20105,#20107)); +#20109=FACE_BOUND('',#20108,.F.); +#20111=ORIENTED_EDGE('',*,*,#20110,.T.); +#20113=ORIENTED_EDGE('',*,*,#20112,.T.); +#20114=EDGE_LOOP('',(#20111,#20113)); +#20115=FACE_BOUND('',#20114,.F.); +#20117=ORIENTED_EDGE('',*,*,#20116,.T.); +#20119=ORIENTED_EDGE('',*,*,#20118,.T.); +#20120=EDGE_LOOP('',(#20117,#20119)); +#20121=FACE_BOUND('',#20120,.F.); +#20123=ORIENTED_EDGE('',*,*,#20122,.T.); +#20125=ORIENTED_EDGE('',*,*,#20124,.T.); +#20126=EDGE_LOOP('',(#20123,#20125)); +#20127=FACE_BOUND('',#20126,.F.); +#20129=ORIENTED_EDGE('',*,*,#20128,.T.); +#20131=ORIENTED_EDGE('',*,*,#20130,.T.); +#20132=EDGE_LOOP('',(#20129,#20131)); +#20133=FACE_BOUND('',#20132,.F.); +#20135=ORIENTED_EDGE('',*,*,#20134,.T.); +#20137=ORIENTED_EDGE('',*,*,#20136,.T.); +#20138=EDGE_LOOP('',(#20135,#20137)); +#20139=FACE_BOUND('',#20138,.F.); +#20141=ORIENTED_EDGE('',*,*,#20140,.T.); +#20143=ORIENTED_EDGE('',*,*,#20142,.T.); +#20144=EDGE_LOOP('',(#20141,#20143)); +#20145=FACE_BOUND('',#20144,.F.); +#20147=ORIENTED_EDGE('',*,*,#20146,.T.); +#20149=ORIENTED_EDGE('',*,*,#20148,.T.); +#20150=EDGE_LOOP('',(#20147,#20149)); +#20151=FACE_BOUND('',#20150,.F.); +#20153=ORIENTED_EDGE('',*,*,#20152,.T.); +#20155=ORIENTED_EDGE('',*,*,#20154,.T.); +#20156=EDGE_LOOP('',(#20153,#20155)); +#20157=FACE_BOUND('',#20156,.F.); +#20159=ORIENTED_EDGE('',*,*,#20158,.T.); +#20161=ORIENTED_EDGE('',*,*,#20160,.T.); +#20162=EDGE_LOOP('',(#20159,#20161)); +#20163=FACE_BOUND('',#20162,.F.); +#20165=CARTESIAN_POINT('',(-2.47E1,-3.25E0,-3.25E1)); +#20166=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20167=DIRECTION('',(0.E0,1.E0,0.E0)); +#20168=AXIS2_PLACEMENT_3D('',#20165,#20166,#20167); +#20169=PLANE('',#20168); +#20170=ORIENTED_EDGE('',*,*,#20079,.T.); +#20172=ORIENTED_EDGE('',*,*,#20171,.F.); +#20174=ORIENTED_EDGE('',*,*,#20173,.F.); +#20175=ORIENTED_EDGE('',*,*,#20090,.T.); +#20176=EDGE_LOOP('',(#20170,#20172,#20174,#20175)); +#20177=FACE_OUTER_BOUND('',#20176,.F.); +#20179=CARTESIAN_POINT('',(-2.47E1,-2.65E0,-3.25E1)); +#20180=DIRECTION('',(0.E0,-6.074501075708E-1,-7.943578329772E-1)); +#20181=DIRECTION('',(0.E0,7.943578329772E-1,-6.074501075708E-1)); +#20182=AXIS2_PLACEMENT_3D('',#20179,#20180,#20181); +#20183=PLANE('',#20182); +#20184=ORIENTED_EDGE('',*,*,#20077,.T.); +#20186=ORIENTED_EDGE('',*,*,#20185,.F.); +#20188=ORIENTED_EDGE('',*,*,#20187,.F.); +#20189=ORIENTED_EDGE('',*,*,#20171,.T.); +#20190=EDGE_LOOP('',(#20184,#20186,#20188,#20189)); +#20191=FACE_OUTER_BOUND('',#20190,.F.); +#20193=CARTESIAN_POINT('',(-2.47E1,7.5E-1,-3.51E1)); +#20194=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20195=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20196=AXIS2_PLACEMENT_3D('',#20193,#20194,#20195); +#20197=PLANE('',#20196); +#20198=ORIENTED_EDGE('',*,*,#20185,.T.); +#20199=ORIENTED_EDGE('',*,*,#20075,.T.); +#20200=ORIENTED_EDGE('',*,*,#17407,.F.); +#20201=ORIENTED_EDGE('',*,*,#20046,.T.); +#20203=ORIENTED_EDGE('',*,*,#20202,.F.); +#20204=ORIENTED_EDGE('',*,*,#19962,.T.); +#20205=ORIENTED_EDGE('',*,*,#17427,.T.); +#20207=ORIENTED_EDGE('',*,*,#20206,.T.); +#20208=EDGE_LOOP('',(#20198,#20199,#20200,#20201,#20203,#20204,#20205,#20207)); +#20209=FACE_OUTER_BOUND('',#20208,.F.); +#20211=ORIENTED_EDGE('',*,*,#20210,.T.); +#20213=ORIENTED_EDGE('',*,*,#20212,.T.); +#20214=EDGE_LOOP('',(#20211,#20213)); +#20215=FACE_BOUND('',#20214,.F.); +#20217=ORIENTED_EDGE('',*,*,#20216,.T.); +#20219=ORIENTED_EDGE('',*,*,#20218,.T.); +#20220=EDGE_LOOP('',(#20217,#20219)); +#20221=FACE_BOUND('',#20220,.F.); +#20223=ORIENTED_EDGE('',*,*,#20222,.T.); +#20225=ORIENTED_EDGE('',*,*,#20224,.T.); +#20226=EDGE_LOOP('',(#20223,#20225)); +#20227=FACE_BOUND('',#20226,.F.); +#20229=ORIENTED_EDGE('',*,*,#20228,.T.); +#20231=ORIENTED_EDGE('',*,*,#20230,.T.); +#20232=EDGE_LOOP('',(#20229,#20231)); +#20233=FACE_BOUND('',#20232,.F.); +#20235=ORIENTED_EDGE('',*,*,#20234,.T.); +#20237=ORIENTED_EDGE('',*,*,#20236,.T.); +#20238=EDGE_LOOP('',(#20235,#20237)); +#20239=FACE_BOUND('',#20238,.F.); +#20241=ORIENTED_EDGE('',*,*,#20240,.T.); +#20243=ORIENTED_EDGE('',*,*,#20242,.T.); +#20244=EDGE_LOOP('',(#20241,#20243)); +#20245=FACE_BOUND('',#20244,.F.); +#20247=ORIENTED_EDGE('',*,*,#20246,.T.); +#20249=ORIENTED_EDGE('',*,*,#20248,.T.); +#20250=EDGE_LOOP('',(#20247,#20249)); +#20251=FACE_BOUND('',#20250,.F.); +#20253=ORIENTED_EDGE('',*,*,#20252,.T.); +#20255=ORIENTED_EDGE('',*,*,#20254,.T.); +#20256=EDGE_LOOP('',(#20253,#20255)); +#20257=FACE_BOUND('',#20256,.F.); +#20259=ORIENTED_EDGE('',*,*,#20258,.T.); +#20261=ORIENTED_EDGE('',*,*,#20260,.T.); +#20262=EDGE_LOOP('',(#20259,#20261)); +#20263=FACE_BOUND('',#20262,.F.); +#20265=ORIENTED_EDGE('',*,*,#20264,.T.); +#20267=ORIENTED_EDGE('',*,*,#20266,.T.); +#20268=EDGE_LOOP('',(#20265,#20267)); +#20269=FACE_BOUND('',#20268,.F.); +#20271=ORIENTED_EDGE('',*,*,#20270,.T.); +#20273=ORIENTED_EDGE('',*,*,#20272,.T.); +#20274=EDGE_LOOP('',(#20271,#20273)); +#20275=FACE_BOUND('',#20274,.F.); +#20277=ORIENTED_EDGE('',*,*,#20276,.T.); +#20279=ORIENTED_EDGE('',*,*,#20278,.T.); +#20280=EDGE_LOOP('',(#20277,#20279)); +#20281=FACE_BOUND('',#20280,.F.); +#20283=CARTESIAN_POINT('',(-2.47E1,7.5E-1,-3.32E1)); +#20284=DIRECTION('',(1.E0,0.E0,0.E0)); +#20285=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20286=AXIS2_PLACEMENT_3D('',#20283,#20284,#20285); +#20287=CYLINDRICAL_SURFACE('',#20286,3.3E0); +#20289=ORIENTED_EDGE('',*,*,#20288,.T.); +#20291=ORIENTED_EDGE('',*,*,#20290,.F.); +#20293=ORIENTED_EDGE('',*,*,#20292,.T.); +#20295=ORIENTED_EDGE('',*,*,#20294,.F.); +#20297=ORIENTED_EDGE('',*,*,#20296,.T.); +#20299=ORIENTED_EDGE('',*,*,#20298,.F.); +#20301=ORIENTED_EDGE('',*,*,#20300,.T.); +#20303=ORIENTED_EDGE('',*,*,#20302,.F.); +#20305=ORIENTED_EDGE('',*,*,#20304,.T.); +#20307=ORIENTED_EDGE('',*,*,#20306,.F.); +#20309=ORIENTED_EDGE('',*,*,#20308,.T.); +#20311=ORIENTED_EDGE('',*,*,#20310,.F.); +#20313=ORIENTED_EDGE('',*,*,#20312,.T.); +#20315=ORIENTED_EDGE('',*,*,#20314,.F.); +#20317=ORIENTED_EDGE('',*,*,#20316,.T.); +#20319=ORIENTED_EDGE('',*,*,#20318,.F.); +#20321=ORIENTED_EDGE('',*,*,#20320,.T.); +#20323=ORIENTED_EDGE('',*,*,#20322,.F.); +#20325=ORIENTED_EDGE('',*,*,#20324,.T.); +#20327=ORIENTED_EDGE('',*,*,#20326,.F.); +#20329=ORIENTED_EDGE('',*,*,#20328,.T.); +#20331=ORIENTED_EDGE('',*,*,#20330,.F.); +#20333=ORIENTED_EDGE('',*,*,#20332,.T.); +#20335=ORIENTED_EDGE('',*,*,#20334,.F.); +#20337=ORIENTED_EDGE('',*,*,#20336,.T.); +#20339=ORIENTED_EDGE('',*,*,#20338,.F.); +#20341=ORIENTED_EDGE('',*,*,#20340,.T.); +#20343=ORIENTED_EDGE('',*,*,#20342,.F.); +#20345=ORIENTED_EDGE('',*,*,#20344,.T.); +#20347=ORIENTED_EDGE('',*,*,#20346,.F.); +#20349=ORIENTED_EDGE('',*,*,#20348,.T.); +#20351=ORIENTED_EDGE('',*,*,#20350,.F.); +#20353=ORIENTED_EDGE('',*,*,#20352,.T.); +#20355=ORIENTED_EDGE('',*,*,#20354,.F.); +#20357=ORIENTED_EDGE('',*,*,#20356,.T.); +#20359=ORIENTED_EDGE('',*,*,#20358,.F.); +#20361=ORIENTED_EDGE('',*,*,#20360,.T.); +#20363=ORIENTED_EDGE('',*,*,#20362,.F.); +#20365=ORIENTED_EDGE('',*,*,#20364,.T.); +#20367=ORIENTED_EDGE('',*,*,#20366,.F.); +#20369=ORIENTED_EDGE('',*,*,#20368,.T.); +#20371=ORIENTED_EDGE('',*,*,#20370,.F.); +#20373=ORIENTED_EDGE('',*,*,#20372,.T.); +#20375=ORIENTED_EDGE('',*,*,#20374,.F.); +#20377=ORIENTED_EDGE('',*,*,#20376,.T.); +#20379=ORIENTED_EDGE('',*,*,#20378,.F.); +#20381=ORIENTED_EDGE('',*,*,#20380,.T.); +#20383=ORIENTED_EDGE('',*,*,#20382,.F.); +#20384=ORIENTED_EDGE('',*,*,#19964,.F.); +#20385=ORIENTED_EDGE('',*,*,#20202,.T.); +#20386=ORIENTED_EDGE('',*,*,#20044,.T.); +#20388=ORIENTED_EDGE('',*,*,#20387,.F.); +#20389=EDGE_LOOP('',(#20289,#20291,#20293,#20295,#20297,#20299,#20301,#20303, +#20305,#20307,#20309,#20311,#20313,#20315,#20317,#20319,#20321,#20323,#20325, +#20327,#20329,#20331,#20333,#20335,#20337,#20339,#20341,#20343,#20345,#20347, +#20349,#20351,#20353,#20355,#20357,#20359,#20361,#20363,#20365,#20367,#20369, +#20371,#20373,#20375,#20377,#20379,#20381,#20383,#20384,#20385,#20386,#20388)); +#20390=FACE_OUTER_BOUND('',#20389,.F.); +#20392=CARTESIAN_POINT('',(2.2E1,1.35E0,-3.315E1)); +#20393=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20394=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20395=AXIS2_PLACEMENT_3D('',#20392,#20393,#20394); +#20396=TOROIDAL_SURFACE('',#20395,2.65E0,6.5E-1); +#20398=ORIENTED_EDGE('',*,*,#20397,.T.); +#20400=ORIENTED_EDGE('',*,*,#20399,.F.); +#20401=ORIENTED_EDGE('',*,*,#20292,.F.); +#20403=ORIENTED_EDGE('',*,*,#20402,.F.); +#20404=ORIENTED_EDGE('',*,*,#20288,.F.); +#20406=ORIENTED_EDGE('',*,*,#20405,.F.); +#20407=EDGE_LOOP('',(#20398,#20400,#20401,#20403,#20404,#20406)); +#20408=FACE_OUTER_BOUND('',#20407,.F.); +#20410=CARTESIAN_POINT('',(2.2E1,4.E0,-1.2475E1)); +#20411=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20412=DIRECTION('',(0.E0,1.E0,0.E0)); +#20413=AXIS2_PLACEMENT_3D('',#20410,#20411,#20412); +#20414=CYLINDRICAL_SURFACE('',#20413,6.5E-1); +#20416=ORIENTED_EDGE('',*,*,#20415,.T.); +#20417=ORIENTED_EDGE('',*,*,#20397,.F.); +#20418=EDGE_LOOP('',(#20416,#20417)); +#20419=FACE_OUTER_BOUND('',#20418,.F.); +#20421=CARTESIAN_POINT('',(2.2E1,4.E0,-1.2475E1)); +#20422=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20423=DIRECTION('',(0.E0,1.E0,0.E0)); +#20424=AXIS2_PLACEMENT_3D('',#20421,#20422,#20423); +#20425=CYLINDRICAL_SURFACE('',#20424,6.5E-1); +#20426=ORIENTED_EDGE('',*,*,#13890,.T.); +#20428=ORIENTED_EDGE('',*,*,#20427,.F.); +#20430=ORIENTED_EDGE('',*,*,#20429,.F.); +#20432=ORIENTED_EDGE('',*,*,#20431,.T.); +#20433=EDGE_LOOP('',(#20426,#20428,#20430,#20432)); +#20434=FACE_OUTER_BOUND('',#20433,.F.); +#20436=CARTESIAN_POINT('',(-2.47E1,3.876763209470E0,-3.425515488527E1)); +#20437=DIRECTION('',(0.E0,9.475040028698E-1,-3.197439046264E-1)); +#20438=DIRECTION('',(0.E0,3.197439046264E-1,9.475040028698E-1)); +#20439=AXIS2_PLACEMENT_3D('',#20436,#20437,#20438); +#20440=PLANE('',#20439); +#20441=ORIENTED_EDGE('',*,*,#20415,.F.); +#20442=ORIENTED_EDGE('',*,*,#20405,.T.); +#20443=ORIENTED_EDGE('',*,*,#20387,.T.); +#20444=ORIENTED_EDGE('',*,*,#20042,.T.); +#20446=ORIENTED_EDGE('',*,*,#20445,.F.); +#20448=ORIENTED_EDGE('',*,*,#20447,.F.); +#20450=ORIENTED_EDGE('',*,*,#20449,.F.); +#20451=ORIENTED_EDGE('',*,*,#19966,.F.); +#20452=ORIENTED_EDGE('',*,*,#20382,.T.); +#20454=ORIENTED_EDGE('',*,*,#20453,.T.); +#20456=ORIENTED_EDGE('',*,*,#20455,.F.); +#20458=ORIENTED_EDGE('',*,*,#20457,.T.); +#20459=ORIENTED_EDGE('',*,*,#20374,.T.); +#20461=ORIENTED_EDGE('',*,*,#20460,.T.); +#20463=ORIENTED_EDGE('',*,*,#20462,.F.); +#20465=ORIENTED_EDGE('',*,*,#20464,.T.); +#20466=ORIENTED_EDGE('',*,*,#20366,.T.); +#20468=ORIENTED_EDGE('',*,*,#20467,.T.); +#20470=ORIENTED_EDGE('',*,*,#20469,.F.); +#20472=ORIENTED_EDGE('',*,*,#20471,.T.); +#20473=ORIENTED_EDGE('',*,*,#20358,.T.); +#20475=ORIENTED_EDGE('',*,*,#20474,.T.); +#20477=ORIENTED_EDGE('',*,*,#20476,.F.); +#20479=ORIENTED_EDGE('',*,*,#20478,.T.); +#20480=ORIENTED_EDGE('',*,*,#20350,.T.); +#20482=ORIENTED_EDGE('',*,*,#20481,.T.); +#20484=ORIENTED_EDGE('',*,*,#20483,.F.); +#20486=ORIENTED_EDGE('',*,*,#20485,.T.); +#20487=ORIENTED_EDGE('',*,*,#20342,.T.); +#20489=ORIENTED_EDGE('',*,*,#20488,.T.); +#20491=ORIENTED_EDGE('',*,*,#20490,.F.); +#20493=ORIENTED_EDGE('',*,*,#20492,.T.); +#20494=ORIENTED_EDGE('',*,*,#20334,.T.); +#20496=ORIENTED_EDGE('',*,*,#20495,.T.); +#20498=ORIENTED_EDGE('',*,*,#20497,.F.); +#20500=ORIENTED_EDGE('',*,*,#20499,.T.); +#20501=ORIENTED_EDGE('',*,*,#20326,.T.); +#20503=ORIENTED_EDGE('',*,*,#20502,.T.); +#20505=ORIENTED_EDGE('',*,*,#20504,.F.); +#20507=ORIENTED_EDGE('',*,*,#20506,.T.); +#20508=ORIENTED_EDGE('',*,*,#20318,.T.); +#20510=ORIENTED_EDGE('',*,*,#20509,.T.); +#20512=ORIENTED_EDGE('',*,*,#20511,.F.); +#20514=ORIENTED_EDGE('',*,*,#20513,.T.); +#20515=ORIENTED_EDGE('',*,*,#20310,.T.); +#20517=ORIENTED_EDGE('',*,*,#20516,.T.); +#20519=ORIENTED_EDGE('',*,*,#20518,.F.); +#20521=ORIENTED_EDGE('',*,*,#20520,.T.); +#20522=ORIENTED_EDGE('',*,*,#20302,.T.); +#20524=ORIENTED_EDGE('',*,*,#20523,.T.); +#20526=ORIENTED_EDGE('',*,*,#20525,.F.); +#20528=ORIENTED_EDGE('',*,*,#20527,.T.); +#20529=ORIENTED_EDGE('',*,*,#20294,.T.); +#20530=ORIENTED_EDGE('',*,*,#20399,.T.); +#20531=EDGE_LOOP('',(#20441,#20442,#20443,#20444,#20446,#20448,#20450,#20451, +#20452,#20454,#20456,#20458,#20459,#20461,#20463,#20465,#20466,#20468,#20470, +#20472,#20473,#20475,#20477,#20479,#20480,#20482,#20484,#20486,#20487,#20489, +#20491,#20493,#20494,#20496,#20498,#20500,#20501,#20503,#20505,#20507,#20508, +#20510,#20512,#20514,#20515,#20517,#20519,#20521,#20522,#20524,#20526,#20528, +#20529,#20530)); +#20532=FACE_OUTER_BOUND('',#20531,.F.); +#20534=CARTESIAN_POINT('',(2.32E1,5.5E0,-8.707608E1)); +#20535=DIRECTION('',(0.E0,0.E0,1.E0)); +#20536=DIRECTION('',(1.E0,0.E0,0.E0)); +#20537=AXIS2_PLACEMENT_3D('',#20534,#20535,#20536); +#20538=CYLINDRICAL_SURFACE('',#20537,1.5E0); +#20540=ORIENTED_EDGE('',*,*,#20539,.F.); +#20541=ORIENTED_EDGE('',*,*,#20445,.T.); +#20542=ORIENTED_EDGE('',*,*,#20040,.T.); +#20543=ORIENTED_EDGE('',*,*,#17403,.T.); +#20544=EDGE_LOOP('',(#20540,#20541,#20542,#20543)); +#20545=FACE_OUTER_BOUND('',#20544,.F.); +#20547=CARTESIAN_POINT('',(-2.47E1,7.E0,-2.5E1)); +#20548=DIRECTION('',(0.E0,1.E0,0.E0)); +#20549=DIRECTION('',(0.E0,0.E0,1.E0)); +#20550=AXIS2_PLACEMENT_3D('',#20547,#20548,#20549); +#20551=PLANE('',#20550); +#20552=ORIENTED_EDGE('',*,*,#20539,.T.); +#20553=ORIENTED_EDGE('',*,*,#17401,.F.); +#20555=ORIENTED_EDGE('',*,*,#20554,.T.); +#20556=ORIENTED_EDGE('',*,*,#20447,.T.); +#20557=EDGE_LOOP('',(#20552,#20553,#20555,#20556)); +#20558=FACE_OUTER_BOUND('',#20557,.F.); +#20560=CARTESIAN_POINT('',(-2.32E1,5.5E0,4.927608E1)); +#20561=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20562=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20563=AXIS2_PLACEMENT_3D('',#20560,#20561,#20562); +#20564=CYLINDRICAL_SURFACE('',#20563,1.5E0); +#20565=ORIENTED_EDGE('',*,*,#20449,.T.); +#20566=ORIENTED_EDGE('',*,*,#20554,.F.); +#20567=ORIENTED_EDGE('',*,*,#17399,.T.); +#20568=ORIENTED_EDGE('',*,*,#19959,.T.); +#20569=EDGE_LOOP('',(#20565,#20566,#20567,#20568)); +#20570=FACE_OUTER_BOUND('',#20569,.F.); +#20572=CARTESIAN_POINT('',(-2.2E1,1.35E0,-3.315E1)); +#20573=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20574=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20575=AXIS2_PLACEMENT_3D('',#20572,#20573,#20574); +#20576=TOROIDAL_SURFACE('',#20575,2.65E0,6.5E-1); +#20578=ORIENTED_EDGE('',*,*,#20577,.T.); +#20579=ORIENTED_EDGE('',*,*,#20453,.F.); +#20580=ORIENTED_EDGE('',*,*,#20380,.F.); +#20582=ORIENTED_EDGE('',*,*,#20581,.F.); +#20583=ORIENTED_EDGE('',*,*,#20376,.F.); +#20584=ORIENTED_EDGE('',*,*,#20457,.F.); +#20585=EDGE_LOOP('',(#20578,#20579,#20580,#20582,#20583,#20584)); +#20586=FACE_OUTER_BOUND('',#20585,.F.); +#20588=CARTESIAN_POINT('',(-2.2E1,4.E0,-1.2475E1)); +#20589=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20590=DIRECTION('',(0.E0,1.E0,0.E0)); +#20591=AXIS2_PLACEMENT_3D('',#20588,#20589,#20590); +#20592=CYLINDRICAL_SURFACE('',#20591,6.5E-1); +#20593=ORIENTED_EDGE('',*,*,#20455,.T.); +#20594=ORIENTED_EDGE('',*,*,#20577,.F.); +#20595=EDGE_LOOP('',(#20593,#20594)); +#20596=FACE_OUTER_BOUND('',#20595,.F.); +#20598=CARTESIAN_POINT('',(-2.2E1,4.E0,-1.2475E1)); +#20599=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20600=DIRECTION('',(0.E0,1.E0,0.E0)); +#20601=AXIS2_PLACEMENT_3D('',#20598,#20599,#20600); +#20602=CYLINDRICAL_SURFACE('',#20601,6.5E-1); +#20603=ORIENTED_EDGE('',*,*,#13824,.T.); +#20605=ORIENTED_EDGE('',*,*,#20604,.F.); +#20607=ORIENTED_EDGE('',*,*,#20606,.F.); +#20609=ORIENTED_EDGE('',*,*,#20608,.T.); +#20610=EDGE_LOOP('',(#20603,#20605,#20607,#20609)); +#20611=FACE_OUTER_BOUND('',#20610,.F.); +#20613=CARTESIAN_POINT('',(-2.2E1,4.E0,-1.2475E1)); +#20614=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20615=DIRECTION('',(0.E0,1.E0,0.E0)); +#20616=AXIS2_PLACEMENT_3D('',#20613,#20614,#20615); +#20617=CYLINDRICAL_SURFACE('',#20616,6.5E-1); +#20618=ORIENTED_EDGE('',*,*,#13822,.T.); +#20619=ORIENTED_EDGE('',*,*,#20608,.F.); +#20621=ORIENTED_EDGE('',*,*,#20620,.F.); +#20622=ORIENTED_EDGE('',*,*,#20604,.T.); +#20623=EDGE_LOOP('',(#20618,#20619,#20621,#20622)); +#20624=FACE_OUTER_BOUND('',#20623,.F.); +#20626=CARTESIAN_POINT('',(-2.2E1,4.E0,-1.2475E1)); +#20627=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20628=DIRECTION('',(0.E0,1.E0,0.E0)); +#20629=AXIS2_PLACEMENT_3D('',#20626,#20627,#20628); +#20630=PLANE('',#20629); +#20631=ORIENTED_EDGE('',*,*,#20620,.T.); +#20632=ORIENTED_EDGE('',*,*,#20606,.T.); +#20633=EDGE_LOOP('',(#20631,#20632)); +#20634=FACE_OUTER_BOUND('',#20633,.F.); +#20636=CARTESIAN_POINT('',(-2.2E1,1.35E0,-3.58E1)); +#20637=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20638=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20639=AXIS2_PLACEMENT_3D('',#20636,#20637,#20638); +#20640=CYLINDRICAL_SURFACE('',#20639,6.5E-1); +#20641=ORIENTED_EDGE('',*,*,#20581,.T.); +#20642=ORIENTED_EDGE('',*,*,#20378,.T.); +#20643=EDGE_LOOP('',(#20641,#20642)); +#20644=FACE_OUTER_BOUND('',#20643,.F.); +#20646=CARTESIAN_POINT('',(-2.2E1,1.35E0,-3.58E1)); +#20647=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20648=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20649=AXIS2_PLACEMENT_3D('',#20646,#20647,#20648); +#20650=CYLINDRICAL_SURFACE('',#20649,6.5E-1); +#20651=ORIENTED_EDGE('',*,*,#20212,.F.); +#20653=ORIENTED_EDGE('',*,*,#20652,.T.); +#20655=ORIENTED_EDGE('',*,*,#20654,.T.); +#20657=ORIENTED_EDGE('',*,*,#20656,.F.); +#20658=EDGE_LOOP('',(#20651,#20653,#20655,#20657)); +#20659=FACE_OUTER_BOUND('',#20658,.F.); +#20661=CARTESIAN_POINT('',(-2.2E1,1.35E0,-3.58E1)); +#20662=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20663=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20664=AXIS2_PLACEMENT_3D('',#20661,#20662,#20663); +#20665=CYLINDRICAL_SURFACE('',#20664,6.5E-1); +#20666=ORIENTED_EDGE('',*,*,#20210,.F.); +#20667=ORIENTED_EDGE('',*,*,#20656,.T.); +#20669=ORIENTED_EDGE('',*,*,#20668,.T.); +#20670=ORIENTED_EDGE('',*,*,#20652,.F.); +#20671=EDGE_LOOP('',(#20666,#20667,#20669,#20670)); +#20672=FACE_OUTER_BOUND('',#20671,.F.); +#20674=CARTESIAN_POINT('',(-2.2E1,-1.522E1,-3.58E1)); +#20675=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20676=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20677=AXIS2_PLACEMENT_3D('',#20674,#20675,#20676); +#20678=PLANE('',#20677); +#20679=ORIENTED_EDGE('',*,*,#20668,.F.); +#20680=ORIENTED_EDGE('',*,*,#20654,.F.); +#20681=EDGE_LOOP('',(#20679,#20680)); +#20682=FACE_OUTER_BOUND('',#20681,.F.); +#20684=CARTESIAN_POINT('',(-1.8E1,1.35E0,-3.315E1)); +#20685=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20686=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20687=AXIS2_PLACEMENT_3D('',#20684,#20685,#20686); +#20688=TOROIDAL_SURFACE('',#20687,2.65E0,6.5E-1); +#20690=ORIENTED_EDGE('',*,*,#20689,.T.); +#20691=ORIENTED_EDGE('',*,*,#20460,.F.); +#20692=ORIENTED_EDGE('',*,*,#20372,.F.); +#20694=ORIENTED_EDGE('',*,*,#20693,.F.); +#20695=ORIENTED_EDGE('',*,*,#20368,.F.); +#20696=ORIENTED_EDGE('',*,*,#20464,.F.); +#20697=EDGE_LOOP('',(#20690,#20691,#20692,#20694,#20695,#20696)); +#20698=FACE_OUTER_BOUND('',#20697,.F.); +#20700=CARTESIAN_POINT('',(-1.8E1,4.E0,-1.2475E1)); +#20701=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20702=DIRECTION('',(0.E0,1.E0,0.E0)); +#20703=AXIS2_PLACEMENT_3D('',#20700,#20701,#20702); +#20704=CYLINDRICAL_SURFACE('',#20703,6.5E-1); +#20705=ORIENTED_EDGE('',*,*,#20462,.T.); +#20706=ORIENTED_EDGE('',*,*,#20689,.F.); +#20707=EDGE_LOOP('',(#20705,#20706)); +#20708=FACE_OUTER_BOUND('',#20707,.F.); +#20710=CARTESIAN_POINT('',(-1.8E1,4.E0,-1.2475E1)); +#20711=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20712=DIRECTION('',(0.E0,1.E0,0.E0)); +#20713=AXIS2_PLACEMENT_3D('',#20710,#20711,#20712); +#20714=CYLINDRICAL_SURFACE('',#20713,6.5E-1); +#20715=ORIENTED_EDGE('',*,*,#13830,.T.); +#20717=ORIENTED_EDGE('',*,*,#20716,.F.); +#20719=ORIENTED_EDGE('',*,*,#20718,.F.); +#20721=ORIENTED_EDGE('',*,*,#20720,.T.); +#20722=EDGE_LOOP('',(#20715,#20717,#20719,#20721)); +#20723=FACE_OUTER_BOUND('',#20722,.F.); +#20725=CARTESIAN_POINT('',(-1.8E1,4.E0,-1.2475E1)); +#20726=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20727=DIRECTION('',(0.E0,1.E0,0.E0)); +#20728=AXIS2_PLACEMENT_3D('',#20725,#20726,#20727); +#20729=CYLINDRICAL_SURFACE('',#20728,6.5E-1); +#20730=ORIENTED_EDGE('',*,*,#13828,.T.); +#20731=ORIENTED_EDGE('',*,*,#20720,.F.); +#20733=ORIENTED_EDGE('',*,*,#20732,.F.); +#20734=ORIENTED_EDGE('',*,*,#20716,.T.); +#20735=EDGE_LOOP('',(#20730,#20731,#20733,#20734)); +#20736=FACE_OUTER_BOUND('',#20735,.F.); +#20738=CARTESIAN_POINT('',(-1.8E1,4.E0,-1.2475E1)); +#20739=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20740=DIRECTION('',(0.E0,1.E0,0.E0)); +#20741=AXIS2_PLACEMENT_3D('',#20738,#20739,#20740); +#20742=PLANE('',#20741); +#20743=ORIENTED_EDGE('',*,*,#20732,.T.); +#20744=ORIENTED_EDGE('',*,*,#20718,.T.); +#20745=EDGE_LOOP('',(#20743,#20744)); +#20746=FACE_OUTER_BOUND('',#20745,.F.); +#20748=CARTESIAN_POINT('',(-1.8E1,1.35E0,-3.58E1)); +#20749=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20750=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20751=AXIS2_PLACEMENT_3D('',#20748,#20749,#20750); +#20752=CYLINDRICAL_SURFACE('',#20751,6.5E-1); +#20753=ORIENTED_EDGE('',*,*,#20693,.T.); +#20754=ORIENTED_EDGE('',*,*,#20370,.T.); +#20755=EDGE_LOOP('',(#20753,#20754)); +#20756=FACE_OUTER_BOUND('',#20755,.F.); +#20758=CARTESIAN_POINT('',(-1.8E1,1.35E0,-3.58E1)); +#20759=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20760=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20761=AXIS2_PLACEMENT_3D('',#20758,#20759,#20760); +#20762=CYLINDRICAL_SURFACE('',#20761,6.5E-1); +#20763=ORIENTED_EDGE('',*,*,#20218,.F.); +#20765=ORIENTED_EDGE('',*,*,#20764,.T.); +#20767=ORIENTED_EDGE('',*,*,#20766,.T.); +#20769=ORIENTED_EDGE('',*,*,#20768,.F.); +#20770=EDGE_LOOP('',(#20763,#20765,#20767,#20769)); +#20771=FACE_OUTER_BOUND('',#20770,.F.); +#20773=CARTESIAN_POINT('',(-1.8E1,1.35E0,-3.58E1)); +#20774=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20775=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20776=AXIS2_PLACEMENT_3D('',#20773,#20774,#20775); +#20777=CYLINDRICAL_SURFACE('',#20776,6.5E-1); +#20778=ORIENTED_EDGE('',*,*,#20216,.F.); +#20779=ORIENTED_EDGE('',*,*,#20768,.T.); +#20781=ORIENTED_EDGE('',*,*,#20780,.T.); +#20782=ORIENTED_EDGE('',*,*,#20764,.F.); +#20783=EDGE_LOOP('',(#20778,#20779,#20781,#20782)); +#20784=FACE_OUTER_BOUND('',#20783,.F.); +#20786=CARTESIAN_POINT('',(-1.8E1,-1.522E1,-3.58E1)); +#20787=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20788=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20789=AXIS2_PLACEMENT_3D('',#20786,#20787,#20788); +#20790=PLANE('',#20789); +#20791=ORIENTED_EDGE('',*,*,#20780,.F.); +#20792=ORIENTED_EDGE('',*,*,#20766,.F.); +#20793=EDGE_LOOP('',(#20791,#20792)); +#20794=FACE_OUTER_BOUND('',#20793,.F.); +#20796=CARTESIAN_POINT('',(-1.4E1,1.35E0,-3.315E1)); +#20797=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20798=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20799=AXIS2_PLACEMENT_3D('',#20796,#20797,#20798); +#20800=TOROIDAL_SURFACE('',#20799,2.65E0,6.5E-1); +#20802=ORIENTED_EDGE('',*,*,#20801,.T.); +#20803=ORIENTED_EDGE('',*,*,#20467,.F.); +#20804=ORIENTED_EDGE('',*,*,#20364,.F.); +#20806=ORIENTED_EDGE('',*,*,#20805,.F.); +#20807=ORIENTED_EDGE('',*,*,#20360,.F.); +#20808=ORIENTED_EDGE('',*,*,#20471,.F.); +#20809=EDGE_LOOP('',(#20802,#20803,#20804,#20806,#20807,#20808)); +#20810=FACE_OUTER_BOUND('',#20809,.F.); +#20812=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.2475E1)); +#20813=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20814=DIRECTION('',(0.E0,1.E0,0.E0)); +#20815=AXIS2_PLACEMENT_3D('',#20812,#20813,#20814); +#20816=CYLINDRICAL_SURFACE('',#20815,6.5E-1); +#20817=ORIENTED_EDGE('',*,*,#20469,.T.); +#20818=ORIENTED_EDGE('',*,*,#20801,.F.); +#20819=EDGE_LOOP('',(#20817,#20818)); +#20820=FACE_OUTER_BOUND('',#20819,.F.); +#20822=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.2475E1)); +#20823=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20824=DIRECTION('',(0.E0,1.E0,0.E0)); +#20825=AXIS2_PLACEMENT_3D('',#20822,#20823,#20824); +#20826=CYLINDRICAL_SURFACE('',#20825,6.5E-1); +#20827=ORIENTED_EDGE('',*,*,#13836,.T.); +#20829=ORIENTED_EDGE('',*,*,#20828,.F.); +#20831=ORIENTED_EDGE('',*,*,#20830,.F.); +#20833=ORIENTED_EDGE('',*,*,#20832,.T.); +#20834=EDGE_LOOP('',(#20827,#20829,#20831,#20833)); +#20835=FACE_OUTER_BOUND('',#20834,.F.); +#20837=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.2475E1)); +#20838=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20839=DIRECTION('',(0.E0,1.E0,0.E0)); +#20840=AXIS2_PLACEMENT_3D('',#20837,#20838,#20839); +#20841=CYLINDRICAL_SURFACE('',#20840,6.5E-1); +#20842=ORIENTED_EDGE('',*,*,#13834,.T.); +#20843=ORIENTED_EDGE('',*,*,#20832,.F.); +#20845=ORIENTED_EDGE('',*,*,#20844,.F.); +#20846=ORIENTED_EDGE('',*,*,#20828,.T.); +#20847=EDGE_LOOP('',(#20842,#20843,#20845,#20846)); +#20848=FACE_OUTER_BOUND('',#20847,.F.); +#20850=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.2475E1)); +#20851=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20852=DIRECTION('',(0.E0,1.E0,0.E0)); +#20853=AXIS2_PLACEMENT_3D('',#20850,#20851,#20852); +#20854=PLANE('',#20853); +#20855=ORIENTED_EDGE('',*,*,#20844,.T.); +#20856=ORIENTED_EDGE('',*,*,#20830,.T.); +#20857=EDGE_LOOP('',(#20855,#20856)); +#20858=FACE_OUTER_BOUND('',#20857,.F.); +#20860=CARTESIAN_POINT('',(-1.4E1,1.35E0,-3.58E1)); +#20861=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20862=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20863=AXIS2_PLACEMENT_3D('',#20860,#20861,#20862); +#20864=CYLINDRICAL_SURFACE('',#20863,6.5E-1); +#20865=ORIENTED_EDGE('',*,*,#20805,.T.); +#20866=ORIENTED_EDGE('',*,*,#20362,.T.); +#20867=EDGE_LOOP('',(#20865,#20866)); +#20868=FACE_OUTER_BOUND('',#20867,.F.); +#20870=CARTESIAN_POINT('',(-1.4E1,1.35E0,-3.58E1)); +#20871=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20872=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20873=AXIS2_PLACEMENT_3D('',#20870,#20871,#20872); +#20874=CYLINDRICAL_SURFACE('',#20873,6.5E-1); +#20875=ORIENTED_EDGE('',*,*,#20224,.F.); +#20877=ORIENTED_EDGE('',*,*,#20876,.T.); +#20879=ORIENTED_EDGE('',*,*,#20878,.T.); +#20881=ORIENTED_EDGE('',*,*,#20880,.F.); +#20882=EDGE_LOOP('',(#20875,#20877,#20879,#20881)); +#20883=FACE_OUTER_BOUND('',#20882,.F.); +#20885=CARTESIAN_POINT('',(-1.4E1,1.35E0,-3.58E1)); +#20886=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20887=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20888=AXIS2_PLACEMENT_3D('',#20885,#20886,#20887); +#20889=CYLINDRICAL_SURFACE('',#20888,6.5E-1); +#20890=ORIENTED_EDGE('',*,*,#20222,.F.); +#20891=ORIENTED_EDGE('',*,*,#20880,.T.); +#20893=ORIENTED_EDGE('',*,*,#20892,.T.); +#20894=ORIENTED_EDGE('',*,*,#20876,.F.); +#20895=EDGE_LOOP('',(#20890,#20891,#20893,#20894)); +#20896=FACE_OUTER_BOUND('',#20895,.F.); +#20898=CARTESIAN_POINT('',(-1.4E1,-1.522E1,-3.58E1)); +#20899=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20900=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20901=AXIS2_PLACEMENT_3D('',#20898,#20899,#20900); +#20902=PLANE('',#20901); +#20903=ORIENTED_EDGE('',*,*,#20892,.F.); +#20904=ORIENTED_EDGE('',*,*,#20878,.F.); +#20905=EDGE_LOOP('',(#20903,#20904)); +#20906=FACE_OUTER_BOUND('',#20905,.F.); +#20908=CARTESIAN_POINT('',(-1.E1,1.35E0,-3.315E1)); +#20909=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20910=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20911=AXIS2_PLACEMENT_3D('',#20908,#20909,#20910); +#20912=TOROIDAL_SURFACE('',#20911,2.65E0,6.5E-1); +#20914=ORIENTED_EDGE('',*,*,#20913,.T.); +#20915=ORIENTED_EDGE('',*,*,#20474,.F.); +#20916=ORIENTED_EDGE('',*,*,#20356,.F.); +#20918=ORIENTED_EDGE('',*,*,#20917,.F.); +#20919=ORIENTED_EDGE('',*,*,#20352,.F.); +#20920=ORIENTED_EDGE('',*,*,#20478,.F.); +#20921=EDGE_LOOP('',(#20914,#20915,#20916,#20918,#20919,#20920)); +#20922=FACE_OUTER_BOUND('',#20921,.F.); +#20924=CARTESIAN_POINT('',(-1.E1,4.E0,-1.2475E1)); +#20925=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20926=DIRECTION('',(0.E0,1.E0,0.E0)); +#20927=AXIS2_PLACEMENT_3D('',#20924,#20925,#20926); +#20928=CYLINDRICAL_SURFACE('',#20927,6.5E-1); +#20929=ORIENTED_EDGE('',*,*,#20476,.T.); +#20930=ORIENTED_EDGE('',*,*,#20913,.F.); +#20931=EDGE_LOOP('',(#20929,#20930)); +#20932=FACE_OUTER_BOUND('',#20931,.F.); +#20934=CARTESIAN_POINT('',(-1.E1,4.E0,-1.2475E1)); +#20935=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20936=DIRECTION('',(0.E0,1.E0,0.E0)); +#20937=AXIS2_PLACEMENT_3D('',#20934,#20935,#20936); +#20938=CYLINDRICAL_SURFACE('',#20937,6.5E-1); +#20939=ORIENTED_EDGE('',*,*,#13842,.T.); +#20941=ORIENTED_EDGE('',*,*,#20940,.F.); +#20943=ORIENTED_EDGE('',*,*,#20942,.F.); +#20945=ORIENTED_EDGE('',*,*,#20944,.T.); +#20946=EDGE_LOOP('',(#20939,#20941,#20943,#20945)); +#20947=FACE_OUTER_BOUND('',#20946,.F.); +#20949=CARTESIAN_POINT('',(-1.E1,4.E0,-1.2475E1)); +#20950=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20951=DIRECTION('',(0.E0,1.E0,0.E0)); +#20952=AXIS2_PLACEMENT_3D('',#20949,#20950,#20951); +#20953=CYLINDRICAL_SURFACE('',#20952,6.5E-1); +#20954=ORIENTED_EDGE('',*,*,#13840,.T.); +#20955=ORIENTED_EDGE('',*,*,#20944,.F.); +#20957=ORIENTED_EDGE('',*,*,#20956,.F.); +#20958=ORIENTED_EDGE('',*,*,#20940,.T.); +#20959=EDGE_LOOP('',(#20954,#20955,#20957,#20958)); +#20960=FACE_OUTER_BOUND('',#20959,.F.); +#20962=CARTESIAN_POINT('',(-1.E1,4.E0,-1.2475E1)); +#20963=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20964=DIRECTION('',(0.E0,1.E0,0.E0)); +#20965=AXIS2_PLACEMENT_3D('',#20962,#20963,#20964); +#20966=PLANE('',#20965); +#20967=ORIENTED_EDGE('',*,*,#20956,.T.); +#20968=ORIENTED_EDGE('',*,*,#20942,.T.); +#20969=EDGE_LOOP('',(#20967,#20968)); +#20970=FACE_OUTER_BOUND('',#20969,.F.); +#20972=CARTESIAN_POINT('',(-1.E1,1.35E0,-3.58E1)); +#20973=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20974=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20975=AXIS2_PLACEMENT_3D('',#20972,#20973,#20974); +#20976=CYLINDRICAL_SURFACE('',#20975,6.5E-1); +#20977=ORIENTED_EDGE('',*,*,#20917,.T.); +#20978=ORIENTED_EDGE('',*,*,#20354,.T.); +#20979=EDGE_LOOP('',(#20977,#20978)); +#20980=FACE_OUTER_BOUND('',#20979,.F.); +#20982=CARTESIAN_POINT('',(-1.E1,1.35E0,-3.58E1)); +#20983=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20984=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20985=AXIS2_PLACEMENT_3D('',#20982,#20983,#20984); +#20986=CYLINDRICAL_SURFACE('',#20985,6.5E-1); +#20987=ORIENTED_EDGE('',*,*,#20230,.F.); +#20989=ORIENTED_EDGE('',*,*,#20988,.T.); +#20991=ORIENTED_EDGE('',*,*,#20990,.T.); +#20993=ORIENTED_EDGE('',*,*,#20992,.F.); +#20994=EDGE_LOOP('',(#20987,#20989,#20991,#20993)); +#20995=FACE_OUTER_BOUND('',#20994,.F.); +#20997=CARTESIAN_POINT('',(-1.E1,1.35E0,-3.58E1)); +#20998=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20999=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21000=AXIS2_PLACEMENT_3D('',#20997,#20998,#20999); +#21001=CYLINDRICAL_SURFACE('',#21000,6.5E-1); +#21002=ORIENTED_EDGE('',*,*,#20228,.F.); +#21003=ORIENTED_EDGE('',*,*,#20992,.T.); +#21005=ORIENTED_EDGE('',*,*,#21004,.T.); +#21006=ORIENTED_EDGE('',*,*,#20988,.F.); +#21007=EDGE_LOOP('',(#21002,#21003,#21005,#21006)); +#21008=FACE_OUTER_BOUND('',#21007,.F.); +#21010=CARTESIAN_POINT('',(-1.E1,-1.522E1,-3.58E1)); +#21011=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21012=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21013=AXIS2_PLACEMENT_3D('',#21010,#21011,#21012); +#21014=PLANE('',#21013); +#21015=ORIENTED_EDGE('',*,*,#21004,.F.); +#21016=ORIENTED_EDGE('',*,*,#20990,.F.); +#21017=EDGE_LOOP('',(#21015,#21016)); +#21018=FACE_OUTER_BOUND('',#21017,.F.); +#21020=CARTESIAN_POINT('',(-6.E0,1.35E0,-3.315E1)); +#21021=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21022=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21023=AXIS2_PLACEMENT_3D('',#21020,#21021,#21022); +#21024=TOROIDAL_SURFACE('',#21023,2.65E0,6.5E-1); +#21026=ORIENTED_EDGE('',*,*,#21025,.T.); +#21027=ORIENTED_EDGE('',*,*,#20481,.F.); +#21028=ORIENTED_EDGE('',*,*,#20348,.F.); +#21030=ORIENTED_EDGE('',*,*,#21029,.F.); +#21031=ORIENTED_EDGE('',*,*,#20344,.F.); +#21032=ORIENTED_EDGE('',*,*,#20485,.F.); +#21033=EDGE_LOOP('',(#21026,#21027,#21028,#21030,#21031,#21032)); +#21034=FACE_OUTER_BOUND('',#21033,.F.); +#21036=CARTESIAN_POINT('',(-6.E0,4.E0,-1.2475E1)); +#21037=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21038=DIRECTION('',(0.E0,1.E0,0.E0)); +#21039=AXIS2_PLACEMENT_3D('',#21036,#21037,#21038); +#21040=CYLINDRICAL_SURFACE('',#21039,6.5E-1); +#21041=ORIENTED_EDGE('',*,*,#20483,.T.); +#21042=ORIENTED_EDGE('',*,*,#21025,.F.); +#21043=EDGE_LOOP('',(#21041,#21042)); +#21044=FACE_OUTER_BOUND('',#21043,.F.); +#21046=CARTESIAN_POINT('',(-6.E0,4.E0,-1.2475E1)); +#21047=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21048=DIRECTION('',(0.E0,1.E0,0.E0)); +#21049=AXIS2_PLACEMENT_3D('',#21046,#21047,#21048); +#21050=CYLINDRICAL_SURFACE('',#21049,6.5E-1); +#21051=ORIENTED_EDGE('',*,*,#13848,.T.); +#21053=ORIENTED_EDGE('',*,*,#21052,.F.); +#21055=ORIENTED_EDGE('',*,*,#21054,.F.); +#21057=ORIENTED_EDGE('',*,*,#21056,.T.); +#21058=EDGE_LOOP('',(#21051,#21053,#21055,#21057)); +#21059=FACE_OUTER_BOUND('',#21058,.F.); +#21061=CARTESIAN_POINT('',(-6.E0,4.E0,-1.2475E1)); +#21062=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21063=DIRECTION('',(0.E0,1.E0,0.E0)); +#21064=AXIS2_PLACEMENT_3D('',#21061,#21062,#21063); +#21065=CYLINDRICAL_SURFACE('',#21064,6.5E-1); +#21066=ORIENTED_EDGE('',*,*,#13846,.T.); +#21067=ORIENTED_EDGE('',*,*,#21056,.F.); +#21069=ORIENTED_EDGE('',*,*,#21068,.F.); +#21070=ORIENTED_EDGE('',*,*,#21052,.T.); +#21071=EDGE_LOOP('',(#21066,#21067,#21069,#21070)); +#21072=FACE_OUTER_BOUND('',#21071,.F.); +#21074=CARTESIAN_POINT('',(-6.E0,4.E0,-1.2475E1)); +#21075=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21076=DIRECTION('',(0.E0,1.E0,0.E0)); +#21077=AXIS2_PLACEMENT_3D('',#21074,#21075,#21076); +#21078=PLANE('',#21077); +#21079=ORIENTED_EDGE('',*,*,#21068,.T.); +#21080=ORIENTED_EDGE('',*,*,#21054,.T.); +#21081=EDGE_LOOP('',(#21079,#21080)); +#21082=FACE_OUTER_BOUND('',#21081,.F.); +#21084=CARTESIAN_POINT('',(-6.E0,1.35E0,-3.58E1)); +#21085=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21086=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21087=AXIS2_PLACEMENT_3D('',#21084,#21085,#21086); +#21088=CYLINDRICAL_SURFACE('',#21087,6.5E-1); +#21089=ORIENTED_EDGE('',*,*,#21029,.T.); +#21090=ORIENTED_EDGE('',*,*,#20346,.T.); +#21091=EDGE_LOOP('',(#21089,#21090)); +#21092=FACE_OUTER_BOUND('',#21091,.F.); +#21094=CARTESIAN_POINT('',(-6.E0,1.35E0,-3.58E1)); +#21095=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21096=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21097=AXIS2_PLACEMENT_3D('',#21094,#21095,#21096); +#21098=CYLINDRICAL_SURFACE('',#21097,6.5E-1); +#21099=ORIENTED_EDGE('',*,*,#20236,.F.); +#21101=ORIENTED_EDGE('',*,*,#21100,.T.); +#21103=ORIENTED_EDGE('',*,*,#21102,.T.); +#21105=ORIENTED_EDGE('',*,*,#21104,.F.); +#21106=EDGE_LOOP('',(#21099,#21101,#21103,#21105)); +#21107=FACE_OUTER_BOUND('',#21106,.F.); +#21109=CARTESIAN_POINT('',(-6.E0,1.35E0,-3.58E1)); +#21110=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21111=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21112=AXIS2_PLACEMENT_3D('',#21109,#21110,#21111); +#21113=CYLINDRICAL_SURFACE('',#21112,6.5E-1); +#21114=ORIENTED_EDGE('',*,*,#20234,.F.); +#21115=ORIENTED_EDGE('',*,*,#21104,.T.); +#21117=ORIENTED_EDGE('',*,*,#21116,.T.); +#21118=ORIENTED_EDGE('',*,*,#21100,.F.); +#21119=EDGE_LOOP('',(#21114,#21115,#21117,#21118)); +#21120=FACE_OUTER_BOUND('',#21119,.F.); +#21122=CARTESIAN_POINT('',(-6.E0,-1.522E1,-3.58E1)); +#21123=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21124=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21125=AXIS2_PLACEMENT_3D('',#21122,#21123,#21124); +#21126=PLANE('',#21125); +#21127=ORIENTED_EDGE('',*,*,#21116,.F.); +#21128=ORIENTED_EDGE('',*,*,#21102,.F.); +#21129=EDGE_LOOP('',(#21127,#21128)); +#21130=FACE_OUTER_BOUND('',#21129,.F.); +#21132=CARTESIAN_POINT('',(-2.E0,1.35E0,-3.315E1)); +#21133=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21134=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21135=AXIS2_PLACEMENT_3D('',#21132,#21133,#21134); +#21136=TOROIDAL_SURFACE('',#21135,2.65E0,6.5E-1); +#21138=ORIENTED_EDGE('',*,*,#21137,.T.); +#21139=ORIENTED_EDGE('',*,*,#20488,.F.); +#21140=ORIENTED_EDGE('',*,*,#20340,.F.); +#21142=ORIENTED_EDGE('',*,*,#21141,.F.); +#21143=ORIENTED_EDGE('',*,*,#20336,.F.); +#21144=ORIENTED_EDGE('',*,*,#20492,.F.); +#21145=EDGE_LOOP('',(#21138,#21139,#21140,#21142,#21143,#21144)); +#21146=FACE_OUTER_BOUND('',#21145,.F.); +#21148=CARTESIAN_POINT('',(-2.E0,4.E0,-1.2475E1)); +#21149=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21150=DIRECTION('',(0.E0,1.E0,0.E0)); +#21151=AXIS2_PLACEMENT_3D('',#21148,#21149,#21150); +#21152=CYLINDRICAL_SURFACE('',#21151,6.5E-1); +#21153=ORIENTED_EDGE('',*,*,#20490,.T.); +#21154=ORIENTED_EDGE('',*,*,#21137,.F.); +#21155=EDGE_LOOP('',(#21153,#21154)); +#21156=FACE_OUTER_BOUND('',#21155,.F.); +#21158=CARTESIAN_POINT('',(-2.E0,4.E0,-1.2475E1)); +#21159=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21160=DIRECTION('',(0.E0,1.E0,0.E0)); +#21161=AXIS2_PLACEMENT_3D('',#21158,#21159,#21160); +#21162=CYLINDRICAL_SURFACE('',#21161,6.5E-1); +#21163=ORIENTED_EDGE('',*,*,#13854,.T.); +#21165=ORIENTED_EDGE('',*,*,#21164,.F.); +#21167=ORIENTED_EDGE('',*,*,#21166,.F.); +#21169=ORIENTED_EDGE('',*,*,#21168,.T.); +#21170=EDGE_LOOP('',(#21163,#21165,#21167,#21169)); +#21171=FACE_OUTER_BOUND('',#21170,.F.); +#21173=CARTESIAN_POINT('',(-2.E0,4.E0,-1.2475E1)); +#21174=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21175=DIRECTION('',(0.E0,1.E0,0.E0)); +#21176=AXIS2_PLACEMENT_3D('',#21173,#21174,#21175); +#21177=CYLINDRICAL_SURFACE('',#21176,6.5E-1); +#21178=ORIENTED_EDGE('',*,*,#13852,.T.); +#21179=ORIENTED_EDGE('',*,*,#21168,.F.); +#21181=ORIENTED_EDGE('',*,*,#21180,.F.); +#21182=ORIENTED_EDGE('',*,*,#21164,.T.); +#21183=EDGE_LOOP('',(#21178,#21179,#21181,#21182)); +#21184=FACE_OUTER_BOUND('',#21183,.F.); +#21186=CARTESIAN_POINT('',(-2.E0,4.E0,-1.2475E1)); +#21187=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21188=DIRECTION('',(0.E0,1.E0,0.E0)); +#21189=AXIS2_PLACEMENT_3D('',#21186,#21187,#21188); +#21190=PLANE('',#21189); +#21191=ORIENTED_EDGE('',*,*,#21180,.T.); +#21192=ORIENTED_EDGE('',*,*,#21166,.T.); +#21193=EDGE_LOOP('',(#21191,#21192)); +#21194=FACE_OUTER_BOUND('',#21193,.F.); +#21196=CARTESIAN_POINT('',(-2.E0,1.35E0,-3.58E1)); +#21197=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21198=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21199=AXIS2_PLACEMENT_3D('',#21196,#21197,#21198); +#21200=CYLINDRICAL_SURFACE('',#21199,6.5E-1); +#21201=ORIENTED_EDGE('',*,*,#21141,.T.); +#21202=ORIENTED_EDGE('',*,*,#20338,.T.); +#21203=EDGE_LOOP('',(#21201,#21202)); +#21204=FACE_OUTER_BOUND('',#21203,.F.); +#21206=CARTESIAN_POINT('',(-2.E0,1.35E0,-3.58E1)); +#21207=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21208=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21209=AXIS2_PLACEMENT_3D('',#21206,#21207,#21208); +#21210=CYLINDRICAL_SURFACE('',#21209,6.5E-1); +#21211=ORIENTED_EDGE('',*,*,#20242,.F.); +#21213=ORIENTED_EDGE('',*,*,#21212,.T.); +#21215=ORIENTED_EDGE('',*,*,#21214,.T.); +#21217=ORIENTED_EDGE('',*,*,#21216,.F.); +#21218=EDGE_LOOP('',(#21211,#21213,#21215,#21217)); +#21219=FACE_OUTER_BOUND('',#21218,.F.); +#21221=CARTESIAN_POINT('',(-2.E0,1.35E0,-3.58E1)); +#21222=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21223=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21224=AXIS2_PLACEMENT_3D('',#21221,#21222,#21223); +#21225=CYLINDRICAL_SURFACE('',#21224,6.5E-1); +#21226=ORIENTED_EDGE('',*,*,#20240,.F.); +#21227=ORIENTED_EDGE('',*,*,#21216,.T.); +#21229=ORIENTED_EDGE('',*,*,#21228,.T.); +#21230=ORIENTED_EDGE('',*,*,#21212,.F.); +#21231=EDGE_LOOP('',(#21226,#21227,#21229,#21230)); +#21232=FACE_OUTER_BOUND('',#21231,.F.); +#21234=CARTESIAN_POINT('',(-2.E0,-1.522E1,-3.58E1)); +#21235=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21236=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21237=AXIS2_PLACEMENT_3D('',#21234,#21235,#21236); +#21238=PLANE('',#21237); +#21239=ORIENTED_EDGE('',*,*,#21228,.F.); +#21240=ORIENTED_EDGE('',*,*,#21214,.F.); +#21241=EDGE_LOOP('',(#21239,#21240)); +#21242=FACE_OUTER_BOUND('',#21241,.F.); +#21244=CARTESIAN_POINT('',(2.E0,1.35E0,-3.315E1)); +#21245=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21246=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21247=AXIS2_PLACEMENT_3D('',#21244,#21245,#21246); +#21248=TOROIDAL_SURFACE('',#21247,2.65E0,6.5E-1); +#21250=ORIENTED_EDGE('',*,*,#21249,.T.); +#21251=ORIENTED_EDGE('',*,*,#20495,.F.); +#21252=ORIENTED_EDGE('',*,*,#20332,.F.); +#21254=ORIENTED_EDGE('',*,*,#21253,.F.); +#21255=ORIENTED_EDGE('',*,*,#20328,.F.); +#21256=ORIENTED_EDGE('',*,*,#20499,.F.); +#21257=EDGE_LOOP('',(#21250,#21251,#21252,#21254,#21255,#21256)); +#21258=FACE_OUTER_BOUND('',#21257,.F.); +#21260=CARTESIAN_POINT('',(2.E0,4.E0,-1.2475E1)); +#21261=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21262=DIRECTION('',(0.E0,1.E0,0.E0)); +#21263=AXIS2_PLACEMENT_3D('',#21260,#21261,#21262); +#21264=CYLINDRICAL_SURFACE('',#21263,6.5E-1); +#21265=ORIENTED_EDGE('',*,*,#20497,.T.); +#21266=ORIENTED_EDGE('',*,*,#21249,.F.); +#21267=EDGE_LOOP('',(#21265,#21266)); +#21268=FACE_OUTER_BOUND('',#21267,.F.); +#21270=CARTESIAN_POINT('',(2.E0,4.E0,-1.2475E1)); +#21271=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21272=DIRECTION('',(0.E0,1.E0,0.E0)); +#21273=AXIS2_PLACEMENT_3D('',#21270,#21271,#21272); +#21274=CYLINDRICAL_SURFACE('',#21273,6.5E-1); +#21275=ORIENTED_EDGE('',*,*,#13860,.T.); +#21277=ORIENTED_EDGE('',*,*,#21276,.F.); +#21279=ORIENTED_EDGE('',*,*,#21278,.F.); +#21281=ORIENTED_EDGE('',*,*,#21280,.T.); +#21282=EDGE_LOOP('',(#21275,#21277,#21279,#21281)); +#21283=FACE_OUTER_BOUND('',#21282,.F.); +#21285=CARTESIAN_POINT('',(2.E0,4.E0,-1.2475E1)); +#21286=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21287=DIRECTION('',(0.E0,1.E0,0.E0)); +#21288=AXIS2_PLACEMENT_3D('',#21285,#21286,#21287); +#21289=CYLINDRICAL_SURFACE('',#21288,6.5E-1); +#21290=ORIENTED_EDGE('',*,*,#13858,.T.); +#21291=ORIENTED_EDGE('',*,*,#21280,.F.); +#21293=ORIENTED_EDGE('',*,*,#21292,.F.); +#21294=ORIENTED_EDGE('',*,*,#21276,.T.); +#21295=EDGE_LOOP('',(#21290,#21291,#21293,#21294)); +#21296=FACE_OUTER_BOUND('',#21295,.F.); +#21298=CARTESIAN_POINT('',(2.E0,4.E0,-1.2475E1)); +#21299=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21300=DIRECTION('',(0.E0,1.E0,0.E0)); +#21301=AXIS2_PLACEMENT_3D('',#21298,#21299,#21300); +#21302=PLANE('',#21301); +#21303=ORIENTED_EDGE('',*,*,#21292,.T.); +#21304=ORIENTED_EDGE('',*,*,#21278,.T.); +#21305=EDGE_LOOP('',(#21303,#21304)); +#21306=FACE_OUTER_BOUND('',#21305,.F.); +#21308=CARTESIAN_POINT('',(2.E0,1.35E0,-3.58E1)); +#21309=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21311=AXIS2_PLACEMENT_3D('',#21308,#21309,#21310); +#21312=CYLINDRICAL_SURFACE('',#21311,6.5E-1); +#21313=ORIENTED_EDGE('',*,*,#21253,.T.); +#21314=ORIENTED_EDGE('',*,*,#20330,.T.); +#21315=EDGE_LOOP('',(#21313,#21314)); +#21316=FACE_OUTER_BOUND('',#21315,.F.); +#21318=CARTESIAN_POINT('',(2.E0,1.35E0,-3.58E1)); +#21319=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21320=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21321=AXIS2_PLACEMENT_3D('',#21318,#21319,#21320); +#21322=CYLINDRICAL_SURFACE('',#21321,6.5E-1); +#21323=ORIENTED_EDGE('',*,*,#20248,.F.); +#21325=ORIENTED_EDGE('',*,*,#21324,.T.); +#21327=ORIENTED_EDGE('',*,*,#21326,.T.); +#21329=ORIENTED_EDGE('',*,*,#21328,.F.); +#21330=EDGE_LOOP('',(#21323,#21325,#21327,#21329)); +#21331=FACE_OUTER_BOUND('',#21330,.F.); +#21333=CARTESIAN_POINT('',(2.E0,1.35E0,-3.58E1)); +#21334=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21335=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21336=AXIS2_PLACEMENT_3D('',#21333,#21334,#21335); +#21337=CYLINDRICAL_SURFACE('',#21336,6.5E-1); +#21338=ORIENTED_EDGE('',*,*,#20246,.F.); +#21339=ORIENTED_EDGE('',*,*,#21328,.T.); +#21341=ORIENTED_EDGE('',*,*,#21340,.T.); +#21342=ORIENTED_EDGE('',*,*,#21324,.F.); +#21343=EDGE_LOOP('',(#21338,#21339,#21341,#21342)); +#21344=FACE_OUTER_BOUND('',#21343,.F.); +#21346=CARTESIAN_POINT('',(2.E0,-1.522E1,-3.58E1)); +#21347=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21348=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21349=AXIS2_PLACEMENT_3D('',#21346,#21347,#21348); +#21350=PLANE('',#21349); +#21351=ORIENTED_EDGE('',*,*,#21340,.F.); +#21352=ORIENTED_EDGE('',*,*,#21326,.F.); +#21353=EDGE_LOOP('',(#21351,#21352)); +#21354=FACE_OUTER_BOUND('',#21353,.F.); +#21356=CARTESIAN_POINT('',(6.E0,1.35E0,-3.315E1)); +#21357=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21358=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21359=AXIS2_PLACEMENT_3D('',#21356,#21357,#21358); +#21360=TOROIDAL_SURFACE('',#21359,2.65E0,6.5E-1); +#21362=ORIENTED_EDGE('',*,*,#21361,.T.); +#21363=ORIENTED_EDGE('',*,*,#20502,.F.); +#21364=ORIENTED_EDGE('',*,*,#20324,.F.); +#21366=ORIENTED_EDGE('',*,*,#21365,.F.); +#21367=ORIENTED_EDGE('',*,*,#20320,.F.); +#21368=ORIENTED_EDGE('',*,*,#20506,.F.); +#21369=EDGE_LOOP('',(#21362,#21363,#21364,#21366,#21367,#21368)); +#21370=FACE_OUTER_BOUND('',#21369,.F.); +#21372=CARTESIAN_POINT('',(6.E0,4.E0,-1.2475E1)); +#21373=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21374=DIRECTION('',(0.E0,1.E0,0.E0)); +#21375=AXIS2_PLACEMENT_3D('',#21372,#21373,#21374); +#21376=CYLINDRICAL_SURFACE('',#21375,6.5E-1); +#21377=ORIENTED_EDGE('',*,*,#20504,.T.); +#21378=ORIENTED_EDGE('',*,*,#21361,.F.); +#21379=EDGE_LOOP('',(#21377,#21378)); +#21380=FACE_OUTER_BOUND('',#21379,.F.); +#21382=CARTESIAN_POINT('',(6.E0,4.E0,-1.2475E1)); +#21383=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21384=DIRECTION('',(0.E0,1.E0,0.E0)); +#21385=AXIS2_PLACEMENT_3D('',#21382,#21383,#21384); +#21386=CYLINDRICAL_SURFACE('',#21385,6.5E-1); +#21387=ORIENTED_EDGE('',*,*,#13866,.T.); +#21389=ORIENTED_EDGE('',*,*,#21388,.F.); +#21391=ORIENTED_EDGE('',*,*,#21390,.F.); +#21393=ORIENTED_EDGE('',*,*,#21392,.T.); +#21394=EDGE_LOOP('',(#21387,#21389,#21391,#21393)); +#21395=FACE_OUTER_BOUND('',#21394,.F.); +#21397=CARTESIAN_POINT('',(6.E0,4.E0,-1.2475E1)); +#21398=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21399=DIRECTION('',(0.E0,1.E0,0.E0)); +#21400=AXIS2_PLACEMENT_3D('',#21397,#21398,#21399); +#21401=CYLINDRICAL_SURFACE('',#21400,6.5E-1); +#21402=ORIENTED_EDGE('',*,*,#13864,.T.); +#21403=ORIENTED_EDGE('',*,*,#21392,.F.); +#21405=ORIENTED_EDGE('',*,*,#21404,.F.); +#21406=ORIENTED_EDGE('',*,*,#21388,.T.); +#21407=EDGE_LOOP('',(#21402,#21403,#21405,#21406)); +#21408=FACE_OUTER_BOUND('',#21407,.F.); +#21410=CARTESIAN_POINT('',(6.E0,4.E0,-1.2475E1)); +#21411=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21412=DIRECTION('',(0.E0,1.E0,0.E0)); +#21413=AXIS2_PLACEMENT_3D('',#21410,#21411,#21412); +#21414=PLANE('',#21413); +#21415=ORIENTED_EDGE('',*,*,#21404,.T.); +#21416=ORIENTED_EDGE('',*,*,#21390,.T.); +#21417=EDGE_LOOP('',(#21415,#21416)); +#21418=FACE_OUTER_BOUND('',#21417,.F.); +#21420=CARTESIAN_POINT('',(6.E0,1.35E0,-3.58E1)); +#21421=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21422=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21423=AXIS2_PLACEMENT_3D('',#21420,#21421,#21422); +#21424=CYLINDRICAL_SURFACE('',#21423,6.5E-1); +#21425=ORIENTED_EDGE('',*,*,#21365,.T.); +#21426=ORIENTED_EDGE('',*,*,#20322,.T.); +#21427=EDGE_LOOP('',(#21425,#21426)); +#21428=FACE_OUTER_BOUND('',#21427,.F.); +#21430=CARTESIAN_POINT('',(6.E0,1.35E0,-3.58E1)); +#21431=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21432=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21433=AXIS2_PLACEMENT_3D('',#21430,#21431,#21432); +#21434=CYLINDRICAL_SURFACE('',#21433,6.5E-1); +#21435=ORIENTED_EDGE('',*,*,#20254,.F.); +#21437=ORIENTED_EDGE('',*,*,#21436,.T.); +#21439=ORIENTED_EDGE('',*,*,#21438,.T.); +#21441=ORIENTED_EDGE('',*,*,#21440,.F.); +#21442=EDGE_LOOP('',(#21435,#21437,#21439,#21441)); +#21443=FACE_OUTER_BOUND('',#21442,.F.); +#21445=CARTESIAN_POINT('',(6.E0,1.35E0,-3.58E1)); +#21446=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21447=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21448=AXIS2_PLACEMENT_3D('',#21445,#21446,#21447); +#21449=CYLINDRICAL_SURFACE('',#21448,6.5E-1); +#21450=ORIENTED_EDGE('',*,*,#20252,.F.); +#21451=ORIENTED_EDGE('',*,*,#21440,.T.); +#21453=ORIENTED_EDGE('',*,*,#21452,.T.); +#21454=ORIENTED_EDGE('',*,*,#21436,.F.); +#21455=EDGE_LOOP('',(#21450,#21451,#21453,#21454)); +#21456=FACE_OUTER_BOUND('',#21455,.F.); +#21458=CARTESIAN_POINT('',(6.E0,-1.522E1,-3.58E1)); +#21459=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21460=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21461=AXIS2_PLACEMENT_3D('',#21458,#21459,#21460); +#21462=PLANE('',#21461); +#21463=ORIENTED_EDGE('',*,*,#21452,.F.); +#21464=ORIENTED_EDGE('',*,*,#21438,.F.); +#21465=EDGE_LOOP('',(#21463,#21464)); +#21466=FACE_OUTER_BOUND('',#21465,.F.); +#21468=CARTESIAN_POINT('',(1.E1,1.35E0,-3.315E1)); +#21469=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21470=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21471=AXIS2_PLACEMENT_3D('',#21468,#21469,#21470); +#21472=TOROIDAL_SURFACE('',#21471,2.65E0,6.5E-1); +#21474=ORIENTED_EDGE('',*,*,#21473,.T.); +#21475=ORIENTED_EDGE('',*,*,#20509,.F.); +#21476=ORIENTED_EDGE('',*,*,#20316,.F.); +#21478=ORIENTED_EDGE('',*,*,#21477,.F.); +#21479=ORIENTED_EDGE('',*,*,#20312,.F.); +#21480=ORIENTED_EDGE('',*,*,#20513,.F.); +#21481=EDGE_LOOP('',(#21474,#21475,#21476,#21478,#21479,#21480)); +#21482=FACE_OUTER_BOUND('',#21481,.F.); +#21484=CARTESIAN_POINT('',(1.E1,4.E0,-1.2475E1)); +#21485=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21486=DIRECTION('',(0.E0,1.E0,0.E0)); +#21487=AXIS2_PLACEMENT_3D('',#21484,#21485,#21486); +#21488=CYLINDRICAL_SURFACE('',#21487,6.5E-1); +#21489=ORIENTED_EDGE('',*,*,#20511,.T.); +#21490=ORIENTED_EDGE('',*,*,#21473,.F.); +#21491=EDGE_LOOP('',(#21489,#21490)); +#21492=FACE_OUTER_BOUND('',#21491,.F.); +#21494=CARTESIAN_POINT('',(1.E1,4.E0,-1.2475E1)); +#21495=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21496=DIRECTION('',(0.E0,1.E0,0.E0)); +#21497=AXIS2_PLACEMENT_3D('',#21494,#21495,#21496); +#21498=CYLINDRICAL_SURFACE('',#21497,6.5E-1); +#21499=ORIENTED_EDGE('',*,*,#13872,.T.); +#21501=ORIENTED_EDGE('',*,*,#21500,.F.); +#21503=ORIENTED_EDGE('',*,*,#21502,.F.); +#21505=ORIENTED_EDGE('',*,*,#21504,.T.); +#21506=EDGE_LOOP('',(#21499,#21501,#21503,#21505)); +#21507=FACE_OUTER_BOUND('',#21506,.F.); +#21509=CARTESIAN_POINT('',(1.E1,4.E0,-1.2475E1)); +#21510=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21511=DIRECTION('',(0.E0,1.E0,0.E0)); +#21512=AXIS2_PLACEMENT_3D('',#21509,#21510,#21511); +#21513=CYLINDRICAL_SURFACE('',#21512,6.5E-1); +#21514=ORIENTED_EDGE('',*,*,#13870,.T.); +#21515=ORIENTED_EDGE('',*,*,#21504,.F.); +#21517=ORIENTED_EDGE('',*,*,#21516,.F.); +#21518=ORIENTED_EDGE('',*,*,#21500,.T.); +#21519=EDGE_LOOP('',(#21514,#21515,#21517,#21518)); +#21520=FACE_OUTER_BOUND('',#21519,.F.); +#21522=CARTESIAN_POINT('',(1.E1,4.E0,-1.2475E1)); +#21523=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21524=DIRECTION('',(0.E0,1.E0,0.E0)); +#21525=AXIS2_PLACEMENT_3D('',#21522,#21523,#21524); +#21526=PLANE('',#21525); +#21527=ORIENTED_EDGE('',*,*,#21516,.T.); +#21528=ORIENTED_EDGE('',*,*,#21502,.T.); +#21529=EDGE_LOOP('',(#21527,#21528)); +#21530=FACE_OUTER_BOUND('',#21529,.F.); +#21532=CARTESIAN_POINT('',(1.E1,1.35E0,-3.58E1)); +#21533=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21534=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21535=AXIS2_PLACEMENT_3D('',#21532,#21533,#21534); +#21536=CYLINDRICAL_SURFACE('',#21535,6.5E-1); +#21537=ORIENTED_EDGE('',*,*,#21477,.T.); +#21538=ORIENTED_EDGE('',*,*,#20314,.T.); +#21539=EDGE_LOOP('',(#21537,#21538)); +#21540=FACE_OUTER_BOUND('',#21539,.F.); +#21542=CARTESIAN_POINT('',(1.E1,1.35E0,-3.58E1)); +#21543=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21544=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21545=AXIS2_PLACEMENT_3D('',#21542,#21543,#21544); +#21546=CYLINDRICAL_SURFACE('',#21545,6.5E-1); +#21547=ORIENTED_EDGE('',*,*,#20260,.F.); +#21549=ORIENTED_EDGE('',*,*,#21548,.T.); +#21551=ORIENTED_EDGE('',*,*,#21550,.T.); +#21553=ORIENTED_EDGE('',*,*,#21552,.F.); +#21554=EDGE_LOOP('',(#21547,#21549,#21551,#21553)); +#21555=FACE_OUTER_BOUND('',#21554,.F.); +#21557=CARTESIAN_POINT('',(1.E1,1.35E0,-3.58E1)); +#21558=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21559=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21560=AXIS2_PLACEMENT_3D('',#21557,#21558,#21559); +#21561=CYLINDRICAL_SURFACE('',#21560,6.5E-1); +#21562=ORIENTED_EDGE('',*,*,#20258,.F.); +#21563=ORIENTED_EDGE('',*,*,#21552,.T.); +#21565=ORIENTED_EDGE('',*,*,#21564,.T.); +#21566=ORIENTED_EDGE('',*,*,#21548,.F.); +#21567=EDGE_LOOP('',(#21562,#21563,#21565,#21566)); +#21568=FACE_OUTER_BOUND('',#21567,.F.); +#21570=CARTESIAN_POINT('',(1.E1,-1.522E1,-3.58E1)); +#21571=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21572=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21573=AXIS2_PLACEMENT_3D('',#21570,#21571,#21572); +#21574=PLANE('',#21573); +#21575=ORIENTED_EDGE('',*,*,#21564,.F.); +#21576=ORIENTED_EDGE('',*,*,#21550,.F.); +#21577=EDGE_LOOP('',(#21575,#21576)); +#21578=FACE_OUTER_BOUND('',#21577,.F.); +#21580=CARTESIAN_POINT('',(1.4E1,1.35E0,-3.315E1)); +#21581=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21582=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21583=AXIS2_PLACEMENT_3D('',#21580,#21581,#21582); +#21584=TOROIDAL_SURFACE('',#21583,2.65E0,6.5E-1); +#21586=ORIENTED_EDGE('',*,*,#21585,.T.); +#21587=ORIENTED_EDGE('',*,*,#20516,.F.); +#21588=ORIENTED_EDGE('',*,*,#20308,.F.); +#21590=ORIENTED_EDGE('',*,*,#21589,.F.); +#21591=ORIENTED_EDGE('',*,*,#20304,.F.); +#21592=ORIENTED_EDGE('',*,*,#20520,.F.); +#21593=EDGE_LOOP('',(#21586,#21587,#21588,#21590,#21591,#21592)); +#21594=FACE_OUTER_BOUND('',#21593,.F.); +#21596=CARTESIAN_POINT('',(1.4E1,4.E0,-1.2475E1)); +#21597=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21598=DIRECTION('',(0.E0,1.E0,0.E0)); +#21599=AXIS2_PLACEMENT_3D('',#21596,#21597,#21598); +#21600=CYLINDRICAL_SURFACE('',#21599,6.5E-1); +#21601=ORIENTED_EDGE('',*,*,#20518,.T.); +#21602=ORIENTED_EDGE('',*,*,#21585,.F.); +#21603=EDGE_LOOP('',(#21601,#21602)); +#21604=FACE_OUTER_BOUND('',#21603,.F.); +#21606=CARTESIAN_POINT('',(1.4E1,4.E0,-1.2475E1)); +#21607=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21608=DIRECTION('',(0.E0,1.E0,0.E0)); +#21609=AXIS2_PLACEMENT_3D('',#21606,#21607,#21608); +#21610=CYLINDRICAL_SURFACE('',#21609,6.5E-1); +#21611=ORIENTED_EDGE('',*,*,#13878,.T.); +#21613=ORIENTED_EDGE('',*,*,#21612,.F.); +#21615=ORIENTED_EDGE('',*,*,#21614,.F.); +#21617=ORIENTED_EDGE('',*,*,#21616,.T.); +#21618=EDGE_LOOP('',(#21611,#21613,#21615,#21617)); +#21619=FACE_OUTER_BOUND('',#21618,.F.); +#21621=CARTESIAN_POINT('',(1.4E1,4.E0,-1.2475E1)); +#21622=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21623=DIRECTION('',(0.E0,1.E0,0.E0)); +#21624=AXIS2_PLACEMENT_3D('',#21621,#21622,#21623); +#21625=CYLINDRICAL_SURFACE('',#21624,6.5E-1); +#21626=ORIENTED_EDGE('',*,*,#13876,.T.); +#21627=ORIENTED_EDGE('',*,*,#21616,.F.); +#21629=ORIENTED_EDGE('',*,*,#21628,.F.); +#21630=ORIENTED_EDGE('',*,*,#21612,.T.); +#21631=EDGE_LOOP('',(#21626,#21627,#21629,#21630)); +#21632=FACE_OUTER_BOUND('',#21631,.F.); +#21634=CARTESIAN_POINT('',(1.4E1,4.E0,-1.2475E1)); +#21635=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21636=DIRECTION('',(0.E0,1.E0,0.E0)); +#21637=AXIS2_PLACEMENT_3D('',#21634,#21635,#21636); +#21638=PLANE('',#21637); +#21639=ORIENTED_EDGE('',*,*,#21628,.T.); +#21640=ORIENTED_EDGE('',*,*,#21614,.T.); +#21641=EDGE_LOOP('',(#21639,#21640)); +#21642=FACE_OUTER_BOUND('',#21641,.F.); +#21644=CARTESIAN_POINT('',(1.4E1,1.35E0,-3.58E1)); +#21645=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21646=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21647=AXIS2_PLACEMENT_3D('',#21644,#21645,#21646); +#21648=CYLINDRICAL_SURFACE('',#21647,6.5E-1); +#21649=ORIENTED_EDGE('',*,*,#21589,.T.); +#21650=ORIENTED_EDGE('',*,*,#20306,.T.); +#21651=EDGE_LOOP('',(#21649,#21650)); +#21652=FACE_OUTER_BOUND('',#21651,.F.); +#21654=CARTESIAN_POINT('',(1.4E1,1.35E0,-3.58E1)); +#21655=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21656=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21657=AXIS2_PLACEMENT_3D('',#21654,#21655,#21656); +#21658=CYLINDRICAL_SURFACE('',#21657,6.5E-1); +#21659=ORIENTED_EDGE('',*,*,#20266,.F.); +#21661=ORIENTED_EDGE('',*,*,#21660,.T.); +#21663=ORIENTED_EDGE('',*,*,#21662,.T.); +#21665=ORIENTED_EDGE('',*,*,#21664,.F.); +#21666=EDGE_LOOP('',(#21659,#21661,#21663,#21665)); +#21667=FACE_OUTER_BOUND('',#21666,.F.); +#21669=CARTESIAN_POINT('',(1.4E1,1.35E0,-3.58E1)); +#21670=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21671=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21672=AXIS2_PLACEMENT_3D('',#21669,#21670,#21671); +#21673=CYLINDRICAL_SURFACE('',#21672,6.5E-1); +#21674=ORIENTED_EDGE('',*,*,#20264,.F.); +#21675=ORIENTED_EDGE('',*,*,#21664,.T.); +#21677=ORIENTED_EDGE('',*,*,#21676,.T.); +#21678=ORIENTED_EDGE('',*,*,#21660,.F.); +#21679=EDGE_LOOP('',(#21674,#21675,#21677,#21678)); +#21680=FACE_OUTER_BOUND('',#21679,.F.); +#21682=CARTESIAN_POINT('',(1.4E1,-1.522E1,-3.58E1)); +#21683=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21684=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21685=AXIS2_PLACEMENT_3D('',#21682,#21683,#21684); +#21686=PLANE('',#21685); +#21687=ORIENTED_EDGE('',*,*,#21676,.F.); +#21688=ORIENTED_EDGE('',*,*,#21662,.F.); +#21689=EDGE_LOOP('',(#21687,#21688)); +#21690=FACE_OUTER_BOUND('',#21689,.F.); +#21692=CARTESIAN_POINT('',(1.8E1,1.35E0,-3.315E1)); +#21693=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21694=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21695=AXIS2_PLACEMENT_3D('',#21692,#21693,#21694); +#21696=TOROIDAL_SURFACE('',#21695,2.65E0,6.5E-1); +#21698=ORIENTED_EDGE('',*,*,#21697,.T.); +#21699=ORIENTED_EDGE('',*,*,#20523,.F.); +#21700=ORIENTED_EDGE('',*,*,#20300,.F.); +#21702=ORIENTED_EDGE('',*,*,#21701,.F.); +#21703=ORIENTED_EDGE('',*,*,#20296,.F.); +#21704=ORIENTED_EDGE('',*,*,#20527,.F.); +#21705=EDGE_LOOP('',(#21698,#21699,#21700,#21702,#21703,#21704)); +#21706=FACE_OUTER_BOUND('',#21705,.F.); +#21708=CARTESIAN_POINT('',(1.8E1,4.E0,-1.2475E1)); +#21709=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21710=DIRECTION('',(0.E0,1.E0,0.E0)); +#21711=AXIS2_PLACEMENT_3D('',#21708,#21709,#21710); +#21712=CYLINDRICAL_SURFACE('',#21711,6.5E-1); +#21713=ORIENTED_EDGE('',*,*,#20525,.T.); +#21714=ORIENTED_EDGE('',*,*,#21697,.F.); +#21715=EDGE_LOOP('',(#21713,#21714)); +#21716=FACE_OUTER_BOUND('',#21715,.F.); +#21718=CARTESIAN_POINT('',(1.8E1,4.E0,-1.2475E1)); +#21719=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21720=DIRECTION('',(0.E0,1.E0,0.E0)); +#21721=AXIS2_PLACEMENT_3D('',#21718,#21719,#21720); +#21722=CYLINDRICAL_SURFACE('',#21721,6.5E-1); +#21723=ORIENTED_EDGE('',*,*,#13884,.T.); +#21725=ORIENTED_EDGE('',*,*,#21724,.F.); +#21727=ORIENTED_EDGE('',*,*,#21726,.F.); +#21729=ORIENTED_EDGE('',*,*,#21728,.T.); +#21730=EDGE_LOOP('',(#21723,#21725,#21727,#21729)); +#21731=FACE_OUTER_BOUND('',#21730,.F.); +#21733=CARTESIAN_POINT('',(1.8E1,4.E0,-1.2475E1)); +#21734=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21735=DIRECTION('',(0.E0,1.E0,0.E0)); +#21736=AXIS2_PLACEMENT_3D('',#21733,#21734,#21735); +#21737=CYLINDRICAL_SURFACE('',#21736,6.5E-1); +#21738=ORIENTED_EDGE('',*,*,#13882,.T.); +#21739=ORIENTED_EDGE('',*,*,#21728,.F.); +#21741=ORIENTED_EDGE('',*,*,#21740,.F.); +#21742=ORIENTED_EDGE('',*,*,#21724,.T.); +#21743=EDGE_LOOP('',(#21738,#21739,#21741,#21742)); +#21744=FACE_OUTER_BOUND('',#21743,.F.); +#21746=CARTESIAN_POINT('',(1.8E1,4.E0,-1.2475E1)); +#21747=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21748=DIRECTION('',(0.E0,1.E0,0.E0)); +#21749=AXIS2_PLACEMENT_3D('',#21746,#21747,#21748); +#21750=PLANE('',#21749); +#21751=ORIENTED_EDGE('',*,*,#21740,.T.); +#21752=ORIENTED_EDGE('',*,*,#21726,.T.); +#21753=EDGE_LOOP('',(#21751,#21752)); +#21754=FACE_OUTER_BOUND('',#21753,.F.); +#21756=CARTESIAN_POINT('',(1.8E1,1.35E0,-3.58E1)); +#21757=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21758=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21759=AXIS2_PLACEMENT_3D('',#21756,#21757,#21758); +#21760=CYLINDRICAL_SURFACE('',#21759,6.5E-1); +#21761=ORIENTED_EDGE('',*,*,#21701,.T.); +#21762=ORIENTED_EDGE('',*,*,#20298,.T.); +#21763=EDGE_LOOP('',(#21761,#21762)); +#21764=FACE_OUTER_BOUND('',#21763,.F.); +#21766=CARTESIAN_POINT('',(1.8E1,1.35E0,-3.58E1)); +#21767=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21768=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21769=AXIS2_PLACEMENT_3D('',#21766,#21767,#21768); +#21770=CYLINDRICAL_SURFACE('',#21769,6.5E-1); +#21771=ORIENTED_EDGE('',*,*,#20272,.F.); +#21773=ORIENTED_EDGE('',*,*,#21772,.T.); +#21775=ORIENTED_EDGE('',*,*,#21774,.T.); +#21777=ORIENTED_EDGE('',*,*,#21776,.F.); +#21778=EDGE_LOOP('',(#21771,#21773,#21775,#21777)); +#21779=FACE_OUTER_BOUND('',#21778,.F.); +#21781=CARTESIAN_POINT('',(1.8E1,1.35E0,-3.58E1)); +#21782=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21783=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21784=AXIS2_PLACEMENT_3D('',#21781,#21782,#21783); +#21785=CYLINDRICAL_SURFACE('',#21784,6.5E-1); +#21786=ORIENTED_EDGE('',*,*,#20270,.F.); +#21787=ORIENTED_EDGE('',*,*,#21776,.T.); +#21789=ORIENTED_EDGE('',*,*,#21788,.T.); +#21790=ORIENTED_EDGE('',*,*,#21772,.F.); +#21791=EDGE_LOOP('',(#21786,#21787,#21789,#21790)); +#21792=FACE_OUTER_BOUND('',#21791,.F.); +#21794=CARTESIAN_POINT('',(1.8E1,-1.522E1,-3.58E1)); +#21795=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21796=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21797=AXIS2_PLACEMENT_3D('',#21794,#21795,#21796); +#21798=PLANE('',#21797); +#21799=ORIENTED_EDGE('',*,*,#21788,.F.); +#21800=ORIENTED_EDGE('',*,*,#21774,.F.); +#21801=EDGE_LOOP('',(#21799,#21800)); +#21802=FACE_OUTER_BOUND('',#21801,.F.); +#21804=CARTESIAN_POINT('',(2.2E1,4.E0,-1.2475E1)); +#21805=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21806=DIRECTION('',(0.E0,1.E0,0.E0)); +#21807=AXIS2_PLACEMENT_3D('',#21804,#21805,#21806); +#21808=CYLINDRICAL_SURFACE('',#21807,6.5E-1); +#21809=ORIENTED_EDGE('',*,*,#13888,.T.); +#21810=ORIENTED_EDGE('',*,*,#20431,.F.); +#21812=ORIENTED_EDGE('',*,*,#21811,.F.); +#21813=ORIENTED_EDGE('',*,*,#20427,.T.); +#21814=EDGE_LOOP('',(#21809,#21810,#21812,#21813)); +#21815=FACE_OUTER_BOUND('',#21814,.F.); +#21817=CARTESIAN_POINT('',(2.2E1,4.E0,-1.2475E1)); +#21818=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21819=DIRECTION('',(0.E0,1.E0,0.E0)); +#21820=AXIS2_PLACEMENT_3D('',#21817,#21818,#21819); +#21821=PLANE('',#21820); +#21822=ORIENTED_EDGE('',*,*,#21811,.T.); +#21823=ORIENTED_EDGE('',*,*,#20429,.T.); +#21824=EDGE_LOOP('',(#21822,#21823)); +#21825=FACE_OUTER_BOUND('',#21824,.F.); +#21827=CARTESIAN_POINT('',(2.2E1,1.35E0,-3.58E1)); +#21828=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21829=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21830=AXIS2_PLACEMENT_3D('',#21827,#21828,#21829); +#21831=CYLINDRICAL_SURFACE('',#21830,6.5E-1); +#21832=ORIENTED_EDGE('',*,*,#20402,.T.); +#21833=ORIENTED_EDGE('',*,*,#20290,.T.); +#21834=EDGE_LOOP('',(#21832,#21833)); +#21835=FACE_OUTER_BOUND('',#21834,.F.); +#21837=CARTESIAN_POINT('',(2.2E1,1.35E0,-3.58E1)); +#21838=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21839=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21840=AXIS2_PLACEMENT_3D('',#21837,#21838,#21839); +#21841=CYLINDRICAL_SURFACE('',#21840,6.5E-1); +#21842=ORIENTED_EDGE('',*,*,#20278,.F.); +#21844=ORIENTED_EDGE('',*,*,#21843,.T.); +#21846=ORIENTED_EDGE('',*,*,#21845,.T.); +#21848=ORIENTED_EDGE('',*,*,#21847,.F.); +#21849=EDGE_LOOP('',(#21842,#21844,#21846,#21848)); +#21850=FACE_OUTER_BOUND('',#21849,.F.); +#21852=CARTESIAN_POINT('',(2.2E1,1.35E0,-3.58E1)); +#21853=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21854=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21855=AXIS2_PLACEMENT_3D('',#21852,#21853,#21854); +#21856=CYLINDRICAL_SURFACE('',#21855,6.5E-1); +#21857=ORIENTED_EDGE('',*,*,#20276,.F.); +#21858=ORIENTED_EDGE('',*,*,#21847,.T.); +#21860=ORIENTED_EDGE('',*,*,#21859,.T.); +#21861=ORIENTED_EDGE('',*,*,#21843,.F.); +#21862=EDGE_LOOP('',(#21857,#21858,#21860,#21861)); +#21863=FACE_OUTER_BOUND('',#21862,.F.); +#21865=CARTESIAN_POINT('',(2.2E1,-1.522E1,-3.58E1)); +#21866=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21867=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21868=AXIS2_PLACEMENT_3D('',#21865,#21866,#21867); +#21869=PLANE('',#21868); +#21870=ORIENTED_EDGE('',*,*,#21859,.F.); +#21871=ORIENTED_EDGE('',*,*,#21845,.F.); +#21872=EDGE_LOOP('',(#21870,#21871)); +#21873=FACE_OUTER_BOUND('',#21872,.F.); +#21875=CARTESIAN_POINT('',(-2.33E1,0.E0,0.E0)); +#21876=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21877=DIRECTION('',(0.E0,0.E0,1.E0)); +#21878=AXIS2_PLACEMENT_3D('',#21875,#21876,#21877); +#21879=PLANE('',#21878); +#21880=ORIENTED_EDGE('',*,*,#20092,.T.); +#21881=ORIENTED_EDGE('',*,*,#20173,.T.); +#21882=ORIENTED_EDGE('',*,*,#20187,.T.); +#21883=ORIENTED_EDGE('',*,*,#20206,.F.); +#21884=ORIENTED_EDGE('',*,*,#17425,.F.); +#21886=ORIENTED_EDGE('',*,*,#21885,.F.); +#21887=EDGE_LOOP('',(#21880,#21881,#21882,#21883,#21884,#21886)); +#21888=FACE_OUTER_BOUND('',#21887,.F.); +#21890=CARTESIAN_POINT('',(-2.47E1,-3.25E0,-3.25E1)); +#21891=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21892=DIRECTION('',(0.E0,0.E0,1.E0)); +#21893=AXIS2_PLACEMENT_3D('',#21890,#21891,#21892); +#21894=PLANE('',#21893); +#21895=ORIENTED_EDGE('',*,*,#19948,.T.); +#21897=ORIENTED_EDGE('',*,*,#21896,.T.); +#21898=ORIENTED_EDGE('',*,*,#21885,.T.); +#21899=ORIENTED_EDGE('',*,*,#17423,.F.); +#21900=EDGE_LOOP('',(#21895,#21897,#21898,#21899)); +#21901=FACE_OUTER_BOUND('',#21900,.F.); +#21903=CARTESIAN_POINT('',(-2.47E1,-6.65E0,-2.85E1)); +#21904=DIRECTION('',(0.E0,-6.074501075708E-1,-7.943578329772E-1)); +#21905=DIRECTION('',(0.E0,7.943578329772E-1,-6.074501075708E-1)); +#21906=AXIS2_PLACEMENT_3D('',#21903,#21904,#21905); +#21907=PLANE('',#21906); +#21908=ORIENTED_EDGE('',*,*,#20010,.T.); +#21909=ORIENTED_EDGE('',*,*,#20030,.T.); +#21910=ORIENTED_EDGE('',*,*,#20061,.T.); +#21911=ORIENTED_EDGE('',*,*,#20094,.F.); +#21912=ORIENTED_EDGE('',*,*,#21896,.F.); +#21913=ORIENTED_EDGE('',*,*,#19946,.F.); +#21914=ORIENTED_EDGE('',*,*,#19984,.F.); +#21916=ORIENTED_EDGE('',*,*,#21915,.T.); +#21917=EDGE_LOOP('',(#21908,#21909,#21910,#21911,#21912,#21913,#21914,#21916)); +#21918=FACE_OUTER_BOUND('',#21917,.F.); +#21920=CARTESIAN_POINT('',(-2.47E1,-7.E0,-2.85E1)); +#21921=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21922=DIRECTION('',(0.E0,1.E0,0.E0)); +#21923=AXIS2_PLACEMENT_3D('',#21920,#21921,#21922); +#21924=PLANE('',#21923); +#21925=ORIENTED_EDGE('',*,*,#20012,.T.); +#21926=ORIENTED_EDGE('',*,*,#21915,.F.); +#21927=ORIENTED_EDGE('',*,*,#19982,.F.); +#21929=ORIENTED_EDGE('',*,*,#21928,.T.); +#21930=EDGE_LOOP('',(#21925,#21926,#21927,#21929)); +#21931=FACE_OUTER_BOUND('',#21930,.F.); +#21933=CARTESIAN_POINT('',(-2.47E1,-7.E0,-2.71E1)); +#21934=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21935=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21936=AXIS2_PLACEMENT_3D('',#21933,#21934,#21935); +#21937=PLANE('',#21936); +#21938=ORIENTED_EDGE('',*,*,#20014,.T.); +#21939=ORIENTED_EDGE('',*,*,#21928,.F.); +#21940=ORIENTED_EDGE('',*,*,#19980,.F.); +#21941=ORIENTED_EDGE('',*,*,#19996,.T.); +#21942=EDGE_LOOP('',(#21938,#21939,#21940,#21941)); +#21943=FACE_OUTER_BOUND('',#21942,.F.); +#21945=ORIENTED_EDGE('',*,*,#21944,.T.); +#21947=ORIENTED_EDGE('',*,*,#21946,.T.); +#21948=EDGE_LOOP('',(#21945,#21947)); +#21949=FACE_BOUND('',#21948,.F.); +#21951=ORIENTED_EDGE('',*,*,#21950,.T.); +#21953=ORIENTED_EDGE('',*,*,#21952,.T.); +#21954=EDGE_LOOP('',(#21951,#21953)); +#21955=FACE_BOUND('',#21954,.F.); +#21957=ORIENTED_EDGE('',*,*,#21956,.T.); +#21959=ORIENTED_EDGE('',*,*,#21958,.T.); +#21960=EDGE_LOOP('',(#21957,#21959)); +#21961=FACE_BOUND('',#21960,.F.); +#21963=ORIENTED_EDGE('',*,*,#21962,.T.); +#21965=ORIENTED_EDGE('',*,*,#21964,.T.); +#21966=EDGE_LOOP('',(#21963,#21965)); +#21967=FACE_BOUND('',#21966,.F.); +#21969=ORIENTED_EDGE('',*,*,#21968,.T.); +#21971=ORIENTED_EDGE('',*,*,#21970,.T.); +#21972=EDGE_LOOP('',(#21969,#21971)); +#21973=FACE_BOUND('',#21972,.F.); +#21975=ORIENTED_EDGE('',*,*,#21974,.T.); +#21977=ORIENTED_EDGE('',*,*,#21976,.T.); +#21978=EDGE_LOOP('',(#21975,#21977)); +#21979=FACE_BOUND('',#21978,.F.); +#21981=ORIENTED_EDGE('',*,*,#21980,.T.); +#21983=ORIENTED_EDGE('',*,*,#21982,.T.); +#21984=EDGE_LOOP('',(#21981,#21983)); +#21985=FACE_BOUND('',#21984,.F.); +#21987=ORIENTED_EDGE('',*,*,#21986,.T.); +#21989=ORIENTED_EDGE('',*,*,#21988,.T.); +#21990=EDGE_LOOP('',(#21987,#21989)); +#21991=FACE_BOUND('',#21990,.F.); +#21993=ORIENTED_EDGE('',*,*,#21992,.T.); +#21995=ORIENTED_EDGE('',*,*,#21994,.T.); +#21996=EDGE_LOOP('',(#21993,#21995)); +#21997=FACE_BOUND('',#21996,.F.); +#21999=ORIENTED_EDGE('',*,*,#21998,.T.); +#22001=ORIENTED_EDGE('',*,*,#22000,.T.); +#22002=EDGE_LOOP('',(#21999,#22001)); +#22003=FACE_BOUND('',#22002,.F.); +#22005=ORIENTED_EDGE('',*,*,#22004,.T.); +#22007=ORIENTED_EDGE('',*,*,#22006,.T.); +#22008=EDGE_LOOP('',(#22005,#22007)); +#22009=FACE_BOUND('',#22008,.F.); +#22011=ORIENTED_EDGE('',*,*,#22010,.T.); +#22013=ORIENTED_EDGE('',*,*,#22012,.T.); +#22014=EDGE_LOOP('',(#22011,#22013)); +#22015=FACE_BOUND('',#22014,.F.); +#22017=CARTESIAN_POINT('',(-2.2E1,-6.65E0,-2.78E1)); +#22018=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22019=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22020=AXIS2_PLACEMENT_3D('',#22017,#22018,#22019); +#22021=CYLINDRICAL_SURFACE('',#22020,6.5E-1); +#22022=ORIENTED_EDGE('',*,*,#21944,.F.); +#22024=ORIENTED_EDGE('',*,*,#22023,.T.); +#22026=ORIENTED_EDGE('',*,*,#22025,.T.); +#22028=ORIENTED_EDGE('',*,*,#22027,.F.); +#22029=EDGE_LOOP('',(#22022,#22024,#22026,#22028)); +#22030=FACE_OUTER_BOUND('',#22029,.F.); +#22032=CARTESIAN_POINT('',(-2.2E1,-6.65E0,-2.78E1)); +#22033=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22034=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22035=AXIS2_PLACEMENT_3D('',#22032,#22033,#22034); +#22036=CYLINDRICAL_SURFACE('',#22035,6.5E-1); +#22037=ORIENTED_EDGE('',*,*,#21946,.F.); +#22038=ORIENTED_EDGE('',*,*,#22027,.T.); +#22040=ORIENTED_EDGE('',*,*,#22039,.T.); +#22041=ORIENTED_EDGE('',*,*,#22023,.F.); +#22042=EDGE_LOOP('',(#22037,#22038,#22040,#22041)); +#22043=FACE_OUTER_BOUND('',#22042,.F.); +#22045=CARTESIAN_POINT('',(-2.2E1,-1.522E1,-2.78E1)); +#22046=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22047=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22048=AXIS2_PLACEMENT_3D('',#22045,#22046,#22047); +#22049=PLANE('',#22048); +#22050=ORIENTED_EDGE('',*,*,#22025,.F.); +#22051=ORIENTED_EDGE('',*,*,#22039,.F.); +#22052=EDGE_LOOP('',(#22050,#22051)); +#22053=FACE_OUTER_BOUND('',#22052,.F.); +#22055=CARTESIAN_POINT('',(-1.8E1,-6.65E0,-2.78E1)); +#22056=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22057=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22058=AXIS2_PLACEMENT_3D('',#22055,#22056,#22057); +#22059=CYLINDRICAL_SURFACE('',#22058,6.5E-1); +#22060=ORIENTED_EDGE('',*,*,#21950,.F.); +#22062=ORIENTED_EDGE('',*,*,#22061,.T.); +#22064=ORIENTED_EDGE('',*,*,#22063,.T.); +#22066=ORIENTED_EDGE('',*,*,#22065,.F.); +#22067=EDGE_LOOP('',(#22060,#22062,#22064,#22066)); +#22068=FACE_OUTER_BOUND('',#22067,.F.); +#22070=CARTESIAN_POINT('',(-1.8E1,-6.65E0,-2.78E1)); +#22071=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22072=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22073=AXIS2_PLACEMENT_3D('',#22070,#22071,#22072); +#22074=CYLINDRICAL_SURFACE('',#22073,6.5E-1); +#22075=ORIENTED_EDGE('',*,*,#21952,.F.); +#22076=ORIENTED_EDGE('',*,*,#22065,.T.); +#22078=ORIENTED_EDGE('',*,*,#22077,.T.); +#22079=ORIENTED_EDGE('',*,*,#22061,.F.); +#22080=EDGE_LOOP('',(#22075,#22076,#22078,#22079)); +#22081=FACE_OUTER_BOUND('',#22080,.F.); +#22083=CARTESIAN_POINT('',(-1.8E1,-1.522E1,-2.78E1)); +#22084=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22085=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22086=AXIS2_PLACEMENT_3D('',#22083,#22084,#22085); +#22087=PLANE('',#22086); +#22088=ORIENTED_EDGE('',*,*,#22063,.F.); +#22089=ORIENTED_EDGE('',*,*,#22077,.F.); +#22090=EDGE_LOOP('',(#22088,#22089)); +#22091=FACE_OUTER_BOUND('',#22090,.F.); +#22093=CARTESIAN_POINT('',(-1.4E1,-6.65E0,-2.78E1)); +#22094=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22095=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22096=AXIS2_PLACEMENT_3D('',#22093,#22094,#22095); +#22097=CYLINDRICAL_SURFACE('',#22096,6.5E-1); +#22098=ORIENTED_EDGE('',*,*,#21956,.F.); +#22100=ORIENTED_EDGE('',*,*,#22099,.T.); +#22102=ORIENTED_EDGE('',*,*,#22101,.T.); +#22104=ORIENTED_EDGE('',*,*,#22103,.F.); +#22105=EDGE_LOOP('',(#22098,#22100,#22102,#22104)); +#22106=FACE_OUTER_BOUND('',#22105,.F.); +#22108=CARTESIAN_POINT('',(-1.4E1,-6.65E0,-2.78E1)); +#22109=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22110=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22111=AXIS2_PLACEMENT_3D('',#22108,#22109,#22110); +#22112=CYLINDRICAL_SURFACE('',#22111,6.5E-1); +#22113=ORIENTED_EDGE('',*,*,#21958,.F.); +#22114=ORIENTED_EDGE('',*,*,#22103,.T.); +#22116=ORIENTED_EDGE('',*,*,#22115,.T.); +#22117=ORIENTED_EDGE('',*,*,#22099,.F.); +#22118=EDGE_LOOP('',(#22113,#22114,#22116,#22117)); +#22119=FACE_OUTER_BOUND('',#22118,.F.); +#22121=CARTESIAN_POINT('',(-1.4E1,-1.522E1,-2.78E1)); +#22122=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22123=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22124=AXIS2_PLACEMENT_3D('',#22121,#22122,#22123); +#22125=PLANE('',#22124); +#22126=ORIENTED_EDGE('',*,*,#22101,.F.); +#22127=ORIENTED_EDGE('',*,*,#22115,.F.); +#22128=EDGE_LOOP('',(#22126,#22127)); +#22129=FACE_OUTER_BOUND('',#22128,.F.); +#22131=CARTESIAN_POINT('',(-1.E1,-6.65E0,-2.78E1)); +#22132=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22133=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22134=AXIS2_PLACEMENT_3D('',#22131,#22132,#22133); +#22135=CYLINDRICAL_SURFACE('',#22134,6.5E-1); +#22136=ORIENTED_EDGE('',*,*,#21962,.F.); +#22138=ORIENTED_EDGE('',*,*,#22137,.T.); +#22140=ORIENTED_EDGE('',*,*,#22139,.T.); +#22142=ORIENTED_EDGE('',*,*,#22141,.F.); +#22143=EDGE_LOOP('',(#22136,#22138,#22140,#22142)); +#22144=FACE_OUTER_BOUND('',#22143,.F.); +#22146=CARTESIAN_POINT('',(-1.E1,-6.65E0,-2.78E1)); +#22147=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22148=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22149=AXIS2_PLACEMENT_3D('',#22146,#22147,#22148); +#22150=CYLINDRICAL_SURFACE('',#22149,6.5E-1); +#22151=ORIENTED_EDGE('',*,*,#21964,.F.); +#22152=ORIENTED_EDGE('',*,*,#22141,.T.); +#22154=ORIENTED_EDGE('',*,*,#22153,.T.); +#22155=ORIENTED_EDGE('',*,*,#22137,.F.); +#22156=EDGE_LOOP('',(#22151,#22152,#22154,#22155)); +#22157=FACE_OUTER_BOUND('',#22156,.F.); +#22159=CARTESIAN_POINT('',(-1.E1,-1.522E1,-2.78E1)); +#22160=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22161=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22162=AXIS2_PLACEMENT_3D('',#22159,#22160,#22161); +#22163=PLANE('',#22162); +#22164=ORIENTED_EDGE('',*,*,#22139,.F.); +#22165=ORIENTED_EDGE('',*,*,#22153,.F.); +#22166=EDGE_LOOP('',(#22164,#22165)); +#22167=FACE_OUTER_BOUND('',#22166,.F.); +#22169=CARTESIAN_POINT('',(-6.E0,-6.65E0,-2.78E1)); +#22170=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22171=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22172=AXIS2_PLACEMENT_3D('',#22169,#22170,#22171); +#22173=CYLINDRICAL_SURFACE('',#22172,6.5E-1); +#22174=ORIENTED_EDGE('',*,*,#21968,.F.); +#22176=ORIENTED_EDGE('',*,*,#22175,.T.); +#22178=ORIENTED_EDGE('',*,*,#22177,.T.); +#22180=ORIENTED_EDGE('',*,*,#22179,.F.); +#22181=EDGE_LOOP('',(#22174,#22176,#22178,#22180)); +#22182=FACE_OUTER_BOUND('',#22181,.F.); +#22184=CARTESIAN_POINT('',(-6.E0,-6.65E0,-2.78E1)); +#22185=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22186=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22187=AXIS2_PLACEMENT_3D('',#22184,#22185,#22186); +#22188=CYLINDRICAL_SURFACE('',#22187,6.5E-1); +#22189=ORIENTED_EDGE('',*,*,#21970,.F.); +#22190=ORIENTED_EDGE('',*,*,#22179,.T.); +#22192=ORIENTED_EDGE('',*,*,#22191,.T.); +#22193=ORIENTED_EDGE('',*,*,#22175,.F.); +#22194=EDGE_LOOP('',(#22189,#22190,#22192,#22193)); +#22195=FACE_OUTER_BOUND('',#22194,.F.); +#22197=CARTESIAN_POINT('',(-6.E0,-1.522E1,-2.78E1)); +#22198=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22199=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22200=AXIS2_PLACEMENT_3D('',#22197,#22198,#22199); +#22201=PLANE('',#22200); +#22202=ORIENTED_EDGE('',*,*,#22177,.F.); +#22203=ORIENTED_EDGE('',*,*,#22191,.F.); +#22204=EDGE_LOOP('',(#22202,#22203)); +#22205=FACE_OUTER_BOUND('',#22204,.F.); +#22207=CARTESIAN_POINT('',(-2.E0,-6.65E0,-2.78E1)); +#22208=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22209=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22210=AXIS2_PLACEMENT_3D('',#22207,#22208,#22209); +#22211=CYLINDRICAL_SURFACE('',#22210,6.5E-1); +#22212=ORIENTED_EDGE('',*,*,#21974,.F.); +#22214=ORIENTED_EDGE('',*,*,#22213,.T.); +#22216=ORIENTED_EDGE('',*,*,#22215,.T.); +#22218=ORIENTED_EDGE('',*,*,#22217,.F.); +#22219=EDGE_LOOP('',(#22212,#22214,#22216,#22218)); +#22220=FACE_OUTER_BOUND('',#22219,.F.); +#22222=CARTESIAN_POINT('',(-2.E0,-6.65E0,-2.78E1)); +#22223=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22224=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22225=AXIS2_PLACEMENT_3D('',#22222,#22223,#22224); +#22226=CYLINDRICAL_SURFACE('',#22225,6.5E-1); +#22227=ORIENTED_EDGE('',*,*,#21976,.F.); +#22228=ORIENTED_EDGE('',*,*,#22217,.T.); +#22230=ORIENTED_EDGE('',*,*,#22229,.T.); +#22231=ORIENTED_EDGE('',*,*,#22213,.F.); +#22232=EDGE_LOOP('',(#22227,#22228,#22230,#22231)); +#22233=FACE_OUTER_BOUND('',#22232,.F.); +#22235=CARTESIAN_POINT('',(-2.E0,-1.522E1,-2.78E1)); +#22236=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22237=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22238=AXIS2_PLACEMENT_3D('',#22235,#22236,#22237); +#22239=PLANE('',#22238); +#22240=ORIENTED_EDGE('',*,*,#22215,.F.); +#22241=ORIENTED_EDGE('',*,*,#22229,.F.); +#22242=EDGE_LOOP('',(#22240,#22241)); +#22243=FACE_OUTER_BOUND('',#22242,.F.); +#22245=CARTESIAN_POINT('',(2.E0,-6.65E0,-2.78E1)); +#22246=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22247=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22248=AXIS2_PLACEMENT_3D('',#22245,#22246,#22247); +#22249=CYLINDRICAL_SURFACE('',#22248,6.5E-1); +#22250=ORIENTED_EDGE('',*,*,#21980,.F.); +#22252=ORIENTED_EDGE('',*,*,#22251,.T.); +#22254=ORIENTED_EDGE('',*,*,#22253,.T.); +#22256=ORIENTED_EDGE('',*,*,#22255,.F.); +#22257=EDGE_LOOP('',(#22250,#22252,#22254,#22256)); +#22258=FACE_OUTER_BOUND('',#22257,.F.); +#22260=CARTESIAN_POINT('',(2.E0,-6.65E0,-2.78E1)); +#22261=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22262=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22263=AXIS2_PLACEMENT_3D('',#22260,#22261,#22262); +#22264=CYLINDRICAL_SURFACE('',#22263,6.5E-1); +#22265=ORIENTED_EDGE('',*,*,#21982,.F.); +#22266=ORIENTED_EDGE('',*,*,#22255,.T.); +#22268=ORIENTED_EDGE('',*,*,#22267,.T.); +#22269=ORIENTED_EDGE('',*,*,#22251,.F.); +#22270=EDGE_LOOP('',(#22265,#22266,#22268,#22269)); +#22271=FACE_OUTER_BOUND('',#22270,.F.); +#22273=CARTESIAN_POINT('',(2.E0,-1.522E1,-2.78E1)); +#22274=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22275=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22276=AXIS2_PLACEMENT_3D('',#22273,#22274,#22275); +#22277=PLANE('',#22276); +#22278=ORIENTED_EDGE('',*,*,#22253,.F.); +#22279=ORIENTED_EDGE('',*,*,#22267,.F.); +#22280=EDGE_LOOP('',(#22278,#22279)); +#22281=FACE_OUTER_BOUND('',#22280,.F.); +#22283=CARTESIAN_POINT('',(6.E0,-6.65E0,-2.78E1)); +#22284=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22285=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22286=AXIS2_PLACEMENT_3D('',#22283,#22284,#22285); +#22287=CYLINDRICAL_SURFACE('',#22286,6.5E-1); +#22288=ORIENTED_EDGE('',*,*,#21986,.F.); +#22290=ORIENTED_EDGE('',*,*,#22289,.T.); +#22292=ORIENTED_EDGE('',*,*,#22291,.T.); +#22294=ORIENTED_EDGE('',*,*,#22293,.F.); +#22295=EDGE_LOOP('',(#22288,#22290,#22292,#22294)); +#22296=FACE_OUTER_BOUND('',#22295,.F.); +#22298=CARTESIAN_POINT('',(6.E0,-6.65E0,-2.78E1)); +#22299=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22300=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22301=AXIS2_PLACEMENT_3D('',#22298,#22299,#22300); +#22302=CYLINDRICAL_SURFACE('',#22301,6.5E-1); +#22303=ORIENTED_EDGE('',*,*,#21988,.F.); +#22304=ORIENTED_EDGE('',*,*,#22293,.T.); +#22306=ORIENTED_EDGE('',*,*,#22305,.T.); +#22307=ORIENTED_EDGE('',*,*,#22289,.F.); +#22308=EDGE_LOOP('',(#22303,#22304,#22306,#22307)); +#22309=FACE_OUTER_BOUND('',#22308,.F.); +#22311=CARTESIAN_POINT('',(6.E0,-1.522E1,-2.78E1)); +#22312=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22313=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22314=AXIS2_PLACEMENT_3D('',#22311,#22312,#22313); +#22315=PLANE('',#22314); +#22316=ORIENTED_EDGE('',*,*,#22291,.F.); +#22317=ORIENTED_EDGE('',*,*,#22305,.F.); +#22318=EDGE_LOOP('',(#22316,#22317)); +#22319=FACE_OUTER_BOUND('',#22318,.F.); +#22321=CARTESIAN_POINT('',(1.E1,-6.65E0,-2.78E1)); +#22322=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22323=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22324=AXIS2_PLACEMENT_3D('',#22321,#22322,#22323); +#22325=CYLINDRICAL_SURFACE('',#22324,6.5E-1); +#22326=ORIENTED_EDGE('',*,*,#21992,.F.); +#22328=ORIENTED_EDGE('',*,*,#22327,.T.); +#22330=ORIENTED_EDGE('',*,*,#22329,.T.); +#22332=ORIENTED_EDGE('',*,*,#22331,.F.); +#22333=EDGE_LOOP('',(#22326,#22328,#22330,#22332)); +#22334=FACE_OUTER_BOUND('',#22333,.F.); +#22336=CARTESIAN_POINT('',(1.E1,-6.65E0,-2.78E1)); +#22337=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22338=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22339=AXIS2_PLACEMENT_3D('',#22336,#22337,#22338); +#22340=CYLINDRICAL_SURFACE('',#22339,6.5E-1); +#22341=ORIENTED_EDGE('',*,*,#21994,.F.); +#22342=ORIENTED_EDGE('',*,*,#22331,.T.); +#22344=ORIENTED_EDGE('',*,*,#22343,.T.); +#22345=ORIENTED_EDGE('',*,*,#22327,.F.); +#22346=EDGE_LOOP('',(#22341,#22342,#22344,#22345)); +#22347=FACE_OUTER_BOUND('',#22346,.F.); +#22349=CARTESIAN_POINT('',(1.E1,-1.522E1,-2.78E1)); +#22350=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22351=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22352=AXIS2_PLACEMENT_3D('',#22349,#22350,#22351); +#22353=PLANE('',#22352); +#22354=ORIENTED_EDGE('',*,*,#22329,.F.); +#22355=ORIENTED_EDGE('',*,*,#22343,.F.); +#22356=EDGE_LOOP('',(#22354,#22355)); +#22357=FACE_OUTER_BOUND('',#22356,.F.); +#22359=CARTESIAN_POINT('',(1.4E1,-6.65E0,-2.78E1)); +#22360=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22361=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22362=AXIS2_PLACEMENT_3D('',#22359,#22360,#22361); +#22363=CYLINDRICAL_SURFACE('',#22362,6.5E-1); +#22364=ORIENTED_EDGE('',*,*,#21998,.F.); +#22366=ORIENTED_EDGE('',*,*,#22365,.T.); +#22368=ORIENTED_EDGE('',*,*,#22367,.T.); +#22370=ORIENTED_EDGE('',*,*,#22369,.F.); +#22371=EDGE_LOOP('',(#22364,#22366,#22368,#22370)); +#22372=FACE_OUTER_BOUND('',#22371,.F.); +#22374=CARTESIAN_POINT('',(1.4E1,-6.65E0,-2.78E1)); +#22375=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22376=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22377=AXIS2_PLACEMENT_3D('',#22374,#22375,#22376); +#22378=CYLINDRICAL_SURFACE('',#22377,6.5E-1); +#22379=ORIENTED_EDGE('',*,*,#22000,.F.); +#22380=ORIENTED_EDGE('',*,*,#22369,.T.); +#22382=ORIENTED_EDGE('',*,*,#22381,.T.); +#22383=ORIENTED_EDGE('',*,*,#22365,.F.); +#22384=EDGE_LOOP('',(#22379,#22380,#22382,#22383)); +#22385=FACE_OUTER_BOUND('',#22384,.F.); +#22387=CARTESIAN_POINT('',(1.4E1,-1.522E1,-2.78E1)); +#22388=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22389=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22390=AXIS2_PLACEMENT_3D('',#22387,#22388,#22389); +#22391=PLANE('',#22390); +#22392=ORIENTED_EDGE('',*,*,#22367,.F.); +#22393=ORIENTED_EDGE('',*,*,#22381,.F.); +#22394=EDGE_LOOP('',(#22392,#22393)); +#22395=FACE_OUTER_BOUND('',#22394,.F.); +#22397=CARTESIAN_POINT('',(1.8E1,-6.65E0,-2.78E1)); +#22398=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22399=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22400=AXIS2_PLACEMENT_3D('',#22397,#22398,#22399); +#22401=CYLINDRICAL_SURFACE('',#22400,6.5E-1); +#22402=ORIENTED_EDGE('',*,*,#22004,.F.); +#22404=ORIENTED_EDGE('',*,*,#22403,.T.); +#22406=ORIENTED_EDGE('',*,*,#22405,.T.); +#22408=ORIENTED_EDGE('',*,*,#22407,.F.); +#22409=EDGE_LOOP('',(#22402,#22404,#22406,#22408)); +#22410=FACE_OUTER_BOUND('',#22409,.F.); +#22412=CARTESIAN_POINT('',(1.8E1,-6.65E0,-2.78E1)); +#22413=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22414=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22415=AXIS2_PLACEMENT_3D('',#22412,#22413,#22414); +#22416=CYLINDRICAL_SURFACE('',#22415,6.5E-1); +#22417=ORIENTED_EDGE('',*,*,#22006,.F.); +#22418=ORIENTED_EDGE('',*,*,#22407,.T.); +#22420=ORIENTED_EDGE('',*,*,#22419,.T.); +#22421=ORIENTED_EDGE('',*,*,#22403,.F.); +#22422=EDGE_LOOP('',(#22417,#22418,#22420,#22421)); +#22423=FACE_OUTER_BOUND('',#22422,.F.); +#22425=CARTESIAN_POINT('',(1.8E1,-1.522E1,-2.78E1)); +#22426=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22427=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22428=AXIS2_PLACEMENT_3D('',#22425,#22426,#22427); +#22429=PLANE('',#22428); +#22430=ORIENTED_EDGE('',*,*,#22405,.F.); +#22431=ORIENTED_EDGE('',*,*,#22419,.F.); +#22432=EDGE_LOOP('',(#22430,#22431)); +#22433=FACE_OUTER_BOUND('',#22432,.F.); +#22435=CARTESIAN_POINT('',(2.2E1,-6.65E0,-2.78E1)); +#22436=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22437=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22438=AXIS2_PLACEMENT_3D('',#22435,#22436,#22437); +#22439=CYLINDRICAL_SURFACE('',#22438,6.5E-1); +#22440=ORIENTED_EDGE('',*,*,#22010,.F.); +#22442=ORIENTED_EDGE('',*,*,#22441,.T.); +#22444=ORIENTED_EDGE('',*,*,#22443,.T.); +#22446=ORIENTED_EDGE('',*,*,#22445,.F.); +#22447=EDGE_LOOP('',(#22440,#22442,#22444,#22446)); +#22448=FACE_OUTER_BOUND('',#22447,.F.); +#22450=CARTESIAN_POINT('',(2.2E1,-6.65E0,-2.78E1)); +#22451=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22452=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22453=AXIS2_PLACEMENT_3D('',#22450,#22451,#22452); +#22454=CYLINDRICAL_SURFACE('',#22453,6.5E-1); +#22455=ORIENTED_EDGE('',*,*,#22012,.F.); +#22456=ORIENTED_EDGE('',*,*,#22445,.T.); +#22458=ORIENTED_EDGE('',*,*,#22457,.T.); +#22459=ORIENTED_EDGE('',*,*,#22441,.F.); +#22460=EDGE_LOOP('',(#22455,#22456,#22458,#22459)); +#22461=FACE_OUTER_BOUND('',#22460,.F.); +#22463=CARTESIAN_POINT('',(2.2E1,-1.522E1,-2.78E1)); +#22464=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22465=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22466=AXIS2_PLACEMENT_3D('',#22463,#22464,#22465); +#22467=PLANE('',#22466); +#22468=ORIENTED_EDGE('',*,*,#22443,.F.); +#22469=ORIENTED_EDGE('',*,*,#22457,.F.); +#22470=EDGE_LOOP('',(#22468,#22469)); +#22471=FACE_OUTER_BOUND('',#22470,.F.); +#22473=CARTESIAN_POINT('',(-2.E1,-2.65E0,-3.18E1)); +#22474=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22475=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22476=AXIS2_PLACEMENT_3D('',#22473,#22474,#22475); +#22477=CYLINDRICAL_SURFACE('',#22476,6.5E-1); +#22478=ORIENTED_EDGE('',*,*,#20098,.F.); +#22480=ORIENTED_EDGE('',*,*,#22479,.T.); +#22482=ORIENTED_EDGE('',*,*,#22481,.T.); +#22484=ORIENTED_EDGE('',*,*,#22483,.F.); +#22485=EDGE_LOOP('',(#22478,#22480,#22482,#22484)); +#22486=FACE_OUTER_BOUND('',#22485,.F.); +#22488=CARTESIAN_POINT('',(-2.E1,-2.65E0,-3.18E1)); +#22489=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22490=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22491=AXIS2_PLACEMENT_3D('',#22488,#22489,#22490); +#22492=CYLINDRICAL_SURFACE('',#22491,6.5E-1); +#22493=ORIENTED_EDGE('',*,*,#20100,.F.); +#22494=ORIENTED_EDGE('',*,*,#22483,.T.); +#22496=ORIENTED_EDGE('',*,*,#22495,.T.); +#22497=ORIENTED_EDGE('',*,*,#22479,.F.); +#22498=EDGE_LOOP('',(#22493,#22494,#22496,#22497)); +#22499=FACE_OUTER_BOUND('',#22498,.F.); +#22501=CARTESIAN_POINT('',(-2.E1,-1.522E1,-3.18E1)); +#22502=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22503=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22504=AXIS2_PLACEMENT_3D('',#22501,#22502,#22503); +#22505=PLANE('',#22504); +#22506=ORIENTED_EDGE('',*,*,#22481,.F.); +#22507=ORIENTED_EDGE('',*,*,#22495,.F.); +#22508=EDGE_LOOP('',(#22506,#22507)); +#22509=FACE_OUTER_BOUND('',#22508,.F.); +#22511=CARTESIAN_POINT('',(-1.6E1,-2.65E0,-3.18E1)); +#22512=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22513=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22514=AXIS2_PLACEMENT_3D('',#22511,#22512,#22513); +#22515=CYLINDRICAL_SURFACE('',#22514,6.5E-1); +#22516=ORIENTED_EDGE('',*,*,#20104,.F.); +#22518=ORIENTED_EDGE('',*,*,#22517,.T.); +#22520=ORIENTED_EDGE('',*,*,#22519,.T.); +#22522=ORIENTED_EDGE('',*,*,#22521,.F.); +#22523=EDGE_LOOP('',(#22516,#22518,#22520,#22522)); +#22524=FACE_OUTER_BOUND('',#22523,.F.); +#22526=CARTESIAN_POINT('',(-1.6E1,-2.65E0,-3.18E1)); +#22527=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22528=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22529=AXIS2_PLACEMENT_3D('',#22526,#22527,#22528); +#22530=CYLINDRICAL_SURFACE('',#22529,6.5E-1); +#22531=ORIENTED_EDGE('',*,*,#20106,.F.); +#22532=ORIENTED_EDGE('',*,*,#22521,.T.); +#22534=ORIENTED_EDGE('',*,*,#22533,.T.); +#22535=ORIENTED_EDGE('',*,*,#22517,.F.); +#22536=EDGE_LOOP('',(#22531,#22532,#22534,#22535)); +#22537=FACE_OUTER_BOUND('',#22536,.F.); +#22539=CARTESIAN_POINT('',(-1.6E1,-1.522E1,-3.18E1)); +#22540=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22541=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22542=AXIS2_PLACEMENT_3D('',#22539,#22540,#22541); +#22543=PLANE('',#22542); +#22544=ORIENTED_EDGE('',*,*,#22519,.F.); +#22545=ORIENTED_EDGE('',*,*,#22533,.F.); +#22546=EDGE_LOOP('',(#22544,#22545)); +#22547=FACE_OUTER_BOUND('',#22546,.F.); +#22549=CARTESIAN_POINT('',(-1.2E1,-2.65E0,-3.18E1)); +#22550=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22551=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22552=AXIS2_PLACEMENT_3D('',#22549,#22550,#22551); +#22553=CYLINDRICAL_SURFACE('',#22552,6.5E-1); +#22554=ORIENTED_EDGE('',*,*,#20110,.F.); +#22556=ORIENTED_EDGE('',*,*,#22555,.T.); +#22558=ORIENTED_EDGE('',*,*,#22557,.T.); +#22560=ORIENTED_EDGE('',*,*,#22559,.F.); +#22561=EDGE_LOOP('',(#22554,#22556,#22558,#22560)); +#22562=FACE_OUTER_BOUND('',#22561,.F.); +#22564=CARTESIAN_POINT('',(-1.2E1,-2.65E0,-3.18E1)); +#22565=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22566=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22567=AXIS2_PLACEMENT_3D('',#22564,#22565,#22566); +#22568=CYLINDRICAL_SURFACE('',#22567,6.5E-1); +#22569=ORIENTED_EDGE('',*,*,#20112,.F.); +#22570=ORIENTED_EDGE('',*,*,#22559,.T.); +#22572=ORIENTED_EDGE('',*,*,#22571,.T.); +#22573=ORIENTED_EDGE('',*,*,#22555,.F.); +#22574=EDGE_LOOP('',(#22569,#22570,#22572,#22573)); +#22575=FACE_OUTER_BOUND('',#22574,.F.); +#22577=CARTESIAN_POINT('',(-1.2E1,-1.522E1,-3.18E1)); +#22578=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22579=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22580=AXIS2_PLACEMENT_3D('',#22577,#22578,#22579); +#22581=PLANE('',#22580); +#22582=ORIENTED_EDGE('',*,*,#22557,.F.); +#22583=ORIENTED_EDGE('',*,*,#22571,.F.); +#22584=EDGE_LOOP('',(#22582,#22583)); +#22585=FACE_OUTER_BOUND('',#22584,.F.); +#22587=CARTESIAN_POINT('',(-8.E0,-2.65E0,-3.18E1)); +#22588=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22589=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22590=AXIS2_PLACEMENT_3D('',#22587,#22588,#22589); +#22591=CYLINDRICAL_SURFACE('',#22590,6.5E-1); +#22592=ORIENTED_EDGE('',*,*,#20116,.F.); +#22594=ORIENTED_EDGE('',*,*,#22593,.T.); +#22596=ORIENTED_EDGE('',*,*,#22595,.T.); +#22598=ORIENTED_EDGE('',*,*,#22597,.F.); +#22599=EDGE_LOOP('',(#22592,#22594,#22596,#22598)); +#22600=FACE_OUTER_BOUND('',#22599,.F.); +#22602=CARTESIAN_POINT('',(-8.E0,-2.65E0,-3.18E1)); +#22603=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22604=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22605=AXIS2_PLACEMENT_3D('',#22602,#22603,#22604); +#22606=CYLINDRICAL_SURFACE('',#22605,6.5E-1); +#22607=ORIENTED_EDGE('',*,*,#20118,.F.); +#22608=ORIENTED_EDGE('',*,*,#22597,.T.); +#22610=ORIENTED_EDGE('',*,*,#22609,.T.); +#22611=ORIENTED_EDGE('',*,*,#22593,.F.); +#22612=EDGE_LOOP('',(#22607,#22608,#22610,#22611)); +#22613=FACE_OUTER_BOUND('',#22612,.F.); +#22615=CARTESIAN_POINT('',(-8.E0,-1.522E1,-3.18E1)); +#22616=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22617=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22618=AXIS2_PLACEMENT_3D('',#22615,#22616,#22617); +#22619=PLANE('',#22618); +#22620=ORIENTED_EDGE('',*,*,#22595,.F.); +#22621=ORIENTED_EDGE('',*,*,#22609,.F.); +#22622=EDGE_LOOP('',(#22620,#22621)); +#22623=FACE_OUTER_BOUND('',#22622,.F.); +#22625=CARTESIAN_POINT('',(-4.E0,-2.65E0,-3.18E1)); +#22626=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22627=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22628=AXIS2_PLACEMENT_3D('',#22625,#22626,#22627); +#22629=CYLINDRICAL_SURFACE('',#22628,6.5E-1); +#22630=ORIENTED_EDGE('',*,*,#20122,.F.); +#22632=ORIENTED_EDGE('',*,*,#22631,.T.); +#22634=ORIENTED_EDGE('',*,*,#22633,.T.); +#22636=ORIENTED_EDGE('',*,*,#22635,.F.); +#22637=EDGE_LOOP('',(#22630,#22632,#22634,#22636)); +#22638=FACE_OUTER_BOUND('',#22637,.F.); +#22640=CARTESIAN_POINT('',(-4.E0,-2.65E0,-3.18E1)); +#22641=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22642=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22643=AXIS2_PLACEMENT_3D('',#22640,#22641,#22642); +#22644=CYLINDRICAL_SURFACE('',#22643,6.5E-1); +#22645=ORIENTED_EDGE('',*,*,#20124,.F.); +#22646=ORIENTED_EDGE('',*,*,#22635,.T.); +#22648=ORIENTED_EDGE('',*,*,#22647,.T.); +#22649=ORIENTED_EDGE('',*,*,#22631,.F.); +#22650=EDGE_LOOP('',(#22645,#22646,#22648,#22649)); +#22651=FACE_OUTER_BOUND('',#22650,.F.); +#22653=CARTESIAN_POINT('',(-4.E0,-1.522E1,-3.18E1)); +#22654=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22655=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22656=AXIS2_PLACEMENT_3D('',#22653,#22654,#22655); +#22657=PLANE('',#22656); +#22658=ORIENTED_EDGE('',*,*,#22633,.F.); +#22659=ORIENTED_EDGE('',*,*,#22647,.F.); +#22660=EDGE_LOOP('',(#22658,#22659)); +#22661=FACE_OUTER_BOUND('',#22660,.F.); +#22663=CARTESIAN_POINT('',(0.E0,-2.65E0,-3.18E1)); +#22664=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22665=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22666=AXIS2_PLACEMENT_3D('',#22663,#22664,#22665); +#22667=CYLINDRICAL_SURFACE('',#22666,6.5E-1); +#22668=ORIENTED_EDGE('',*,*,#20128,.F.); +#22670=ORIENTED_EDGE('',*,*,#22669,.T.); +#22672=ORIENTED_EDGE('',*,*,#22671,.T.); +#22674=ORIENTED_EDGE('',*,*,#22673,.F.); +#22675=EDGE_LOOP('',(#22668,#22670,#22672,#22674)); +#22676=FACE_OUTER_BOUND('',#22675,.F.); +#22678=CARTESIAN_POINT('',(0.E0,-2.65E0,-3.18E1)); +#22679=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22680=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22681=AXIS2_PLACEMENT_3D('',#22678,#22679,#22680); +#22682=CYLINDRICAL_SURFACE('',#22681,6.5E-1); +#22683=ORIENTED_EDGE('',*,*,#20130,.F.); +#22684=ORIENTED_EDGE('',*,*,#22673,.T.); +#22686=ORIENTED_EDGE('',*,*,#22685,.T.); +#22687=ORIENTED_EDGE('',*,*,#22669,.F.); +#22688=EDGE_LOOP('',(#22683,#22684,#22686,#22687)); +#22689=FACE_OUTER_BOUND('',#22688,.F.); +#22691=CARTESIAN_POINT('',(0.E0,-1.522E1,-3.18E1)); +#22692=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22693=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22694=AXIS2_PLACEMENT_3D('',#22691,#22692,#22693); +#22695=PLANE('',#22694); +#22696=ORIENTED_EDGE('',*,*,#22671,.F.); +#22697=ORIENTED_EDGE('',*,*,#22685,.F.); +#22698=EDGE_LOOP('',(#22696,#22697)); +#22699=FACE_OUTER_BOUND('',#22698,.F.); +#22701=CARTESIAN_POINT('',(4.E0,-2.65E0,-3.18E1)); +#22702=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22703=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22704=AXIS2_PLACEMENT_3D('',#22701,#22702,#22703); +#22705=CYLINDRICAL_SURFACE('',#22704,6.5E-1); +#22706=ORIENTED_EDGE('',*,*,#20134,.F.); +#22708=ORIENTED_EDGE('',*,*,#22707,.T.); +#22710=ORIENTED_EDGE('',*,*,#22709,.T.); +#22712=ORIENTED_EDGE('',*,*,#22711,.F.); +#22713=EDGE_LOOP('',(#22706,#22708,#22710,#22712)); +#22714=FACE_OUTER_BOUND('',#22713,.F.); +#22716=CARTESIAN_POINT('',(4.E0,-2.65E0,-3.18E1)); +#22717=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22718=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22719=AXIS2_PLACEMENT_3D('',#22716,#22717,#22718); +#22720=CYLINDRICAL_SURFACE('',#22719,6.5E-1); +#22721=ORIENTED_EDGE('',*,*,#20136,.F.); +#22722=ORIENTED_EDGE('',*,*,#22711,.T.); +#22724=ORIENTED_EDGE('',*,*,#22723,.T.); +#22725=ORIENTED_EDGE('',*,*,#22707,.F.); +#22726=EDGE_LOOP('',(#22721,#22722,#22724,#22725)); +#22727=FACE_OUTER_BOUND('',#22726,.F.); +#22729=CARTESIAN_POINT('',(4.E0,-1.522E1,-3.18E1)); +#22730=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22731=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22732=AXIS2_PLACEMENT_3D('',#22729,#22730,#22731); +#22733=PLANE('',#22732); +#22734=ORIENTED_EDGE('',*,*,#22709,.F.); +#22735=ORIENTED_EDGE('',*,*,#22723,.F.); +#22736=EDGE_LOOP('',(#22734,#22735)); +#22737=FACE_OUTER_BOUND('',#22736,.F.); +#22739=CARTESIAN_POINT('',(8.E0,-2.65E0,-3.18E1)); +#22740=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22741=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22742=AXIS2_PLACEMENT_3D('',#22739,#22740,#22741); +#22743=CYLINDRICAL_SURFACE('',#22742,6.5E-1); +#22744=ORIENTED_EDGE('',*,*,#20140,.F.); +#22746=ORIENTED_EDGE('',*,*,#22745,.T.); +#22748=ORIENTED_EDGE('',*,*,#22747,.T.); +#22750=ORIENTED_EDGE('',*,*,#22749,.F.); +#22751=EDGE_LOOP('',(#22744,#22746,#22748,#22750)); +#22752=FACE_OUTER_BOUND('',#22751,.F.); +#22754=CARTESIAN_POINT('',(8.E0,-2.65E0,-3.18E1)); +#22755=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22756=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22757=AXIS2_PLACEMENT_3D('',#22754,#22755,#22756); +#22758=CYLINDRICAL_SURFACE('',#22757,6.5E-1); +#22759=ORIENTED_EDGE('',*,*,#20142,.F.); +#22760=ORIENTED_EDGE('',*,*,#22749,.T.); +#22762=ORIENTED_EDGE('',*,*,#22761,.T.); +#22763=ORIENTED_EDGE('',*,*,#22745,.F.); +#22764=EDGE_LOOP('',(#22759,#22760,#22762,#22763)); +#22765=FACE_OUTER_BOUND('',#22764,.F.); +#22767=CARTESIAN_POINT('',(8.E0,-1.522E1,-3.18E1)); +#22768=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22769=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22770=AXIS2_PLACEMENT_3D('',#22767,#22768,#22769); +#22771=PLANE('',#22770); +#22772=ORIENTED_EDGE('',*,*,#22747,.F.); +#22773=ORIENTED_EDGE('',*,*,#22761,.F.); +#22774=EDGE_LOOP('',(#22772,#22773)); +#22775=FACE_OUTER_BOUND('',#22774,.F.); +#22777=CARTESIAN_POINT('',(1.2E1,-2.65E0,-3.18E1)); +#22778=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22779=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22780=AXIS2_PLACEMENT_3D('',#22777,#22778,#22779); +#22781=CYLINDRICAL_SURFACE('',#22780,6.5E-1); +#22782=ORIENTED_EDGE('',*,*,#20146,.F.); +#22784=ORIENTED_EDGE('',*,*,#22783,.T.); +#22786=ORIENTED_EDGE('',*,*,#22785,.T.); +#22788=ORIENTED_EDGE('',*,*,#22787,.F.); +#22789=EDGE_LOOP('',(#22782,#22784,#22786,#22788)); +#22790=FACE_OUTER_BOUND('',#22789,.F.); +#22792=CARTESIAN_POINT('',(1.2E1,-2.65E0,-3.18E1)); +#22793=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22794=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22795=AXIS2_PLACEMENT_3D('',#22792,#22793,#22794); +#22796=CYLINDRICAL_SURFACE('',#22795,6.5E-1); +#22797=ORIENTED_EDGE('',*,*,#20148,.F.); +#22798=ORIENTED_EDGE('',*,*,#22787,.T.); +#22800=ORIENTED_EDGE('',*,*,#22799,.T.); +#22801=ORIENTED_EDGE('',*,*,#22783,.F.); +#22802=EDGE_LOOP('',(#22797,#22798,#22800,#22801)); +#22803=FACE_OUTER_BOUND('',#22802,.F.); +#22805=CARTESIAN_POINT('',(1.2E1,-1.522E1,-3.18E1)); +#22806=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22807=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22808=AXIS2_PLACEMENT_3D('',#22805,#22806,#22807); +#22809=PLANE('',#22808); +#22810=ORIENTED_EDGE('',*,*,#22785,.F.); +#22811=ORIENTED_EDGE('',*,*,#22799,.F.); +#22812=EDGE_LOOP('',(#22810,#22811)); +#22813=FACE_OUTER_BOUND('',#22812,.F.); +#22815=CARTESIAN_POINT('',(1.6E1,-2.65E0,-3.18E1)); +#22816=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22817=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22818=AXIS2_PLACEMENT_3D('',#22815,#22816,#22817); +#22819=CYLINDRICAL_SURFACE('',#22818,6.5E-1); +#22820=ORIENTED_EDGE('',*,*,#20152,.F.); +#22822=ORIENTED_EDGE('',*,*,#22821,.T.); +#22824=ORIENTED_EDGE('',*,*,#22823,.T.); +#22826=ORIENTED_EDGE('',*,*,#22825,.F.); +#22827=EDGE_LOOP('',(#22820,#22822,#22824,#22826)); +#22828=FACE_OUTER_BOUND('',#22827,.F.); +#22830=CARTESIAN_POINT('',(1.6E1,-2.65E0,-3.18E1)); +#22831=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22832=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22833=AXIS2_PLACEMENT_3D('',#22830,#22831,#22832); +#22834=CYLINDRICAL_SURFACE('',#22833,6.5E-1); +#22835=ORIENTED_EDGE('',*,*,#20154,.F.); +#22836=ORIENTED_EDGE('',*,*,#22825,.T.); +#22838=ORIENTED_EDGE('',*,*,#22837,.T.); +#22839=ORIENTED_EDGE('',*,*,#22821,.F.); +#22840=EDGE_LOOP('',(#22835,#22836,#22838,#22839)); +#22841=FACE_OUTER_BOUND('',#22840,.F.); +#22843=CARTESIAN_POINT('',(1.6E1,-1.522E1,-3.18E1)); +#22844=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22845=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22846=AXIS2_PLACEMENT_3D('',#22843,#22844,#22845); +#22847=PLANE('',#22846); +#22848=ORIENTED_EDGE('',*,*,#22823,.F.); +#22849=ORIENTED_EDGE('',*,*,#22837,.F.); +#22850=EDGE_LOOP('',(#22848,#22849)); +#22851=FACE_OUTER_BOUND('',#22850,.F.); +#22853=CARTESIAN_POINT('',(2.E1,-2.65E0,-3.18E1)); +#22854=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22856=AXIS2_PLACEMENT_3D('',#22853,#22854,#22855); +#22857=CYLINDRICAL_SURFACE('',#22856,6.5E-1); +#22858=ORIENTED_EDGE('',*,*,#20158,.F.); +#22860=ORIENTED_EDGE('',*,*,#22859,.T.); +#22862=ORIENTED_EDGE('',*,*,#22861,.T.); +#22864=ORIENTED_EDGE('',*,*,#22863,.F.); +#22865=EDGE_LOOP('',(#22858,#22860,#22862,#22864)); +#22866=FACE_OUTER_BOUND('',#22865,.F.); +#22868=CARTESIAN_POINT('',(2.E1,-2.65E0,-3.18E1)); +#22869=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22870=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22871=AXIS2_PLACEMENT_3D('',#22868,#22869,#22870); +#22872=CYLINDRICAL_SURFACE('',#22871,6.5E-1); +#22873=ORIENTED_EDGE('',*,*,#20160,.F.); +#22874=ORIENTED_EDGE('',*,*,#22863,.T.); +#22876=ORIENTED_EDGE('',*,*,#22875,.T.); +#22877=ORIENTED_EDGE('',*,*,#22859,.F.); +#22878=EDGE_LOOP('',(#22873,#22874,#22876,#22877)); +#22879=FACE_OUTER_BOUND('',#22878,.F.); +#22881=CARTESIAN_POINT('',(2.E1,-1.522E1,-3.18E1)); +#22882=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22883=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22884=AXIS2_PLACEMENT_3D('',#22881,#22882,#22883); +#22885=PLANE('',#22884); +#22886=ORIENTED_EDGE('',*,*,#22861,.F.); +#22887=ORIENTED_EDGE('',*,*,#22875,.F.); +#22888=EDGE_LOOP('',(#22886,#22887)); +#22889=FACE_OUTER_BOUND('',#22888,.F.); +#22891=CARTESIAN_POINT('',(-2.2E1,-4.E0,-1.2475E1)); +#22892=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22893=DIRECTION('',(0.E0,1.E0,0.E0)); +#22894=AXIS2_PLACEMENT_3D('',#22891,#22892,#22893); +#22895=CYLINDRICAL_SURFACE('',#22894,6.5E-1); +#22896=ORIENTED_EDGE('',*,*,#13894,.T.); +#22898=ORIENTED_EDGE('',*,*,#22897,.F.); +#22900=ORIENTED_EDGE('',*,*,#22899,.F.); +#22902=ORIENTED_EDGE('',*,*,#22901,.T.); +#22903=EDGE_LOOP('',(#22896,#22898,#22900,#22902)); +#22904=FACE_OUTER_BOUND('',#22903,.F.); +#22906=CARTESIAN_POINT('',(-2.2E1,-4.E0,-1.2475E1)); +#22907=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22908=DIRECTION('',(0.E0,1.E0,0.E0)); +#22909=AXIS2_PLACEMENT_3D('',#22906,#22907,#22908); +#22910=CYLINDRICAL_SURFACE('',#22909,6.5E-1); +#22911=ORIENTED_EDGE('',*,*,#13896,.T.); +#22912=ORIENTED_EDGE('',*,*,#22901,.F.); +#22914=ORIENTED_EDGE('',*,*,#22913,.F.); +#22915=ORIENTED_EDGE('',*,*,#22897,.T.); +#22916=EDGE_LOOP('',(#22911,#22912,#22914,#22915)); +#22917=FACE_OUTER_BOUND('',#22916,.F.); +#22919=CARTESIAN_POINT('',(-2.2E1,-4.E0,-1.2475E1)); +#22920=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22921=DIRECTION('',(0.E0,1.E0,0.E0)); +#22922=AXIS2_PLACEMENT_3D('',#22919,#22920,#22921); +#22923=PLANE('',#22922); +#22924=ORIENTED_EDGE('',*,*,#22899,.T.); +#22925=ORIENTED_EDGE('',*,*,#22913,.T.); +#22926=EDGE_LOOP('',(#22924,#22925)); +#22927=FACE_OUTER_BOUND('',#22926,.F.); +#22929=CARTESIAN_POINT('',(-1.8E1,-4.E0,-1.2475E1)); +#22930=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22931=DIRECTION('',(0.E0,1.E0,0.E0)); +#22932=AXIS2_PLACEMENT_3D('',#22929,#22930,#22931); +#22933=CYLINDRICAL_SURFACE('',#22932,6.5E-1); +#22934=ORIENTED_EDGE('',*,*,#13900,.T.); +#22936=ORIENTED_EDGE('',*,*,#22935,.F.); +#22938=ORIENTED_EDGE('',*,*,#22937,.F.); +#22940=ORIENTED_EDGE('',*,*,#22939,.T.); +#22941=EDGE_LOOP('',(#22934,#22936,#22938,#22940)); +#22942=FACE_OUTER_BOUND('',#22941,.F.); +#22944=CARTESIAN_POINT('',(-1.8E1,-4.E0,-1.2475E1)); +#22945=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22946=DIRECTION('',(0.E0,1.E0,0.E0)); +#22947=AXIS2_PLACEMENT_3D('',#22944,#22945,#22946); +#22948=CYLINDRICAL_SURFACE('',#22947,6.5E-1); +#22949=ORIENTED_EDGE('',*,*,#13902,.T.); +#22950=ORIENTED_EDGE('',*,*,#22939,.F.); +#22952=ORIENTED_EDGE('',*,*,#22951,.F.); +#22953=ORIENTED_EDGE('',*,*,#22935,.T.); +#22954=EDGE_LOOP('',(#22949,#22950,#22952,#22953)); +#22955=FACE_OUTER_BOUND('',#22954,.F.); +#22957=CARTESIAN_POINT('',(-1.8E1,-4.E0,-1.2475E1)); +#22958=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22959=DIRECTION('',(0.E0,1.E0,0.E0)); +#22960=AXIS2_PLACEMENT_3D('',#22957,#22958,#22959); +#22961=PLANE('',#22960); +#22962=ORIENTED_EDGE('',*,*,#22937,.T.); +#22963=ORIENTED_EDGE('',*,*,#22951,.T.); +#22964=EDGE_LOOP('',(#22962,#22963)); +#22965=FACE_OUTER_BOUND('',#22964,.F.); +#22967=CARTESIAN_POINT('',(-1.4E1,-4.E0,-1.2475E1)); +#22968=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22969=DIRECTION('',(0.E0,1.E0,0.E0)); +#22970=AXIS2_PLACEMENT_3D('',#22967,#22968,#22969); +#22971=CYLINDRICAL_SURFACE('',#22970,6.5E-1); +#22972=ORIENTED_EDGE('',*,*,#13906,.T.); +#22974=ORIENTED_EDGE('',*,*,#22973,.F.); +#22976=ORIENTED_EDGE('',*,*,#22975,.F.); +#22978=ORIENTED_EDGE('',*,*,#22977,.T.); +#22979=EDGE_LOOP('',(#22972,#22974,#22976,#22978)); +#22980=FACE_OUTER_BOUND('',#22979,.F.); +#22982=CARTESIAN_POINT('',(-1.4E1,-4.E0,-1.2475E1)); +#22983=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22984=DIRECTION('',(0.E0,1.E0,0.E0)); +#22985=AXIS2_PLACEMENT_3D('',#22982,#22983,#22984); +#22986=CYLINDRICAL_SURFACE('',#22985,6.5E-1); +#22987=ORIENTED_EDGE('',*,*,#13908,.T.); +#22988=ORIENTED_EDGE('',*,*,#22977,.F.); +#22990=ORIENTED_EDGE('',*,*,#22989,.F.); +#22991=ORIENTED_EDGE('',*,*,#22973,.T.); +#22992=EDGE_LOOP('',(#22987,#22988,#22990,#22991)); +#22993=FACE_OUTER_BOUND('',#22992,.F.); +#22995=CARTESIAN_POINT('',(-1.4E1,-4.E0,-1.2475E1)); +#22996=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22997=DIRECTION('',(0.E0,1.E0,0.E0)); +#22998=AXIS2_PLACEMENT_3D('',#22995,#22996,#22997); +#22999=PLANE('',#22998); +#23000=ORIENTED_EDGE('',*,*,#22975,.T.); +#23001=ORIENTED_EDGE('',*,*,#22989,.T.); +#23002=EDGE_LOOP('',(#23000,#23001)); +#23003=FACE_OUTER_BOUND('',#23002,.F.); +#23005=CARTESIAN_POINT('',(-1.E1,-4.E0,-1.2475E1)); +#23006=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23007=DIRECTION('',(0.E0,1.E0,0.E0)); +#23008=AXIS2_PLACEMENT_3D('',#23005,#23006,#23007); +#23009=CYLINDRICAL_SURFACE('',#23008,6.5E-1); +#23010=ORIENTED_EDGE('',*,*,#13912,.T.); +#23012=ORIENTED_EDGE('',*,*,#23011,.F.); +#23014=ORIENTED_EDGE('',*,*,#23013,.F.); +#23016=ORIENTED_EDGE('',*,*,#23015,.T.); +#23017=EDGE_LOOP('',(#23010,#23012,#23014,#23016)); +#23018=FACE_OUTER_BOUND('',#23017,.F.); +#23020=CARTESIAN_POINT('',(-1.E1,-4.E0,-1.2475E1)); +#23021=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23022=DIRECTION('',(0.E0,1.E0,0.E0)); +#23023=AXIS2_PLACEMENT_3D('',#23020,#23021,#23022); +#23024=CYLINDRICAL_SURFACE('',#23023,6.5E-1); +#23025=ORIENTED_EDGE('',*,*,#13914,.T.); +#23026=ORIENTED_EDGE('',*,*,#23015,.F.); +#23028=ORIENTED_EDGE('',*,*,#23027,.F.); +#23029=ORIENTED_EDGE('',*,*,#23011,.T.); +#23030=EDGE_LOOP('',(#23025,#23026,#23028,#23029)); +#23031=FACE_OUTER_BOUND('',#23030,.F.); +#23033=CARTESIAN_POINT('',(-1.E1,-4.E0,-1.2475E1)); +#23034=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23035=DIRECTION('',(0.E0,1.E0,0.E0)); +#23036=AXIS2_PLACEMENT_3D('',#23033,#23034,#23035); +#23037=PLANE('',#23036); +#23038=ORIENTED_EDGE('',*,*,#23013,.T.); +#23039=ORIENTED_EDGE('',*,*,#23027,.T.); +#23040=EDGE_LOOP('',(#23038,#23039)); +#23041=FACE_OUTER_BOUND('',#23040,.F.); +#23043=CARTESIAN_POINT('',(-6.E0,-4.E0,-1.2475E1)); +#23044=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23045=DIRECTION('',(0.E0,1.E0,0.E0)); +#23046=AXIS2_PLACEMENT_3D('',#23043,#23044,#23045); +#23047=CYLINDRICAL_SURFACE('',#23046,6.5E-1); +#23048=ORIENTED_EDGE('',*,*,#13918,.T.); +#23050=ORIENTED_EDGE('',*,*,#23049,.F.); +#23052=ORIENTED_EDGE('',*,*,#23051,.F.); +#23054=ORIENTED_EDGE('',*,*,#23053,.T.); +#23055=EDGE_LOOP('',(#23048,#23050,#23052,#23054)); +#23056=FACE_OUTER_BOUND('',#23055,.F.); +#23058=CARTESIAN_POINT('',(-6.E0,-4.E0,-1.2475E1)); +#23059=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23060=DIRECTION('',(0.E0,1.E0,0.E0)); +#23061=AXIS2_PLACEMENT_3D('',#23058,#23059,#23060); +#23062=CYLINDRICAL_SURFACE('',#23061,6.5E-1); +#23063=ORIENTED_EDGE('',*,*,#13920,.T.); +#23064=ORIENTED_EDGE('',*,*,#23053,.F.); +#23066=ORIENTED_EDGE('',*,*,#23065,.F.); +#23067=ORIENTED_EDGE('',*,*,#23049,.T.); +#23068=EDGE_LOOP('',(#23063,#23064,#23066,#23067)); +#23069=FACE_OUTER_BOUND('',#23068,.F.); +#23071=CARTESIAN_POINT('',(-6.E0,-4.E0,-1.2475E1)); +#23072=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23073=DIRECTION('',(0.E0,1.E0,0.E0)); +#23074=AXIS2_PLACEMENT_3D('',#23071,#23072,#23073); +#23075=PLANE('',#23074); +#23076=ORIENTED_EDGE('',*,*,#23051,.T.); +#23077=ORIENTED_EDGE('',*,*,#23065,.T.); +#23078=EDGE_LOOP('',(#23076,#23077)); +#23079=FACE_OUTER_BOUND('',#23078,.F.); +#23081=CARTESIAN_POINT('',(-2.E0,-4.E0,-1.2475E1)); +#23082=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23083=DIRECTION('',(0.E0,1.E0,0.E0)); +#23084=AXIS2_PLACEMENT_3D('',#23081,#23082,#23083); +#23085=CYLINDRICAL_SURFACE('',#23084,6.5E-1); +#23086=ORIENTED_EDGE('',*,*,#13924,.T.); +#23088=ORIENTED_EDGE('',*,*,#23087,.F.); +#23090=ORIENTED_EDGE('',*,*,#23089,.F.); +#23092=ORIENTED_EDGE('',*,*,#23091,.T.); +#23093=EDGE_LOOP('',(#23086,#23088,#23090,#23092)); +#23094=FACE_OUTER_BOUND('',#23093,.F.); +#23096=CARTESIAN_POINT('',(-2.E0,-4.E0,-1.2475E1)); +#23097=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23098=DIRECTION('',(0.E0,1.E0,0.E0)); +#23099=AXIS2_PLACEMENT_3D('',#23096,#23097,#23098); +#23100=CYLINDRICAL_SURFACE('',#23099,6.5E-1); +#23101=ORIENTED_EDGE('',*,*,#13926,.T.); +#23102=ORIENTED_EDGE('',*,*,#23091,.F.); +#23104=ORIENTED_EDGE('',*,*,#23103,.F.); +#23105=ORIENTED_EDGE('',*,*,#23087,.T.); +#23106=EDGE_LOOP('',(#23101,#23102,#23104,#23105)); +#23107=FACE_OUTER_BOUND('',#23106,.F.); +#23109=CARTESIAN_POINT('',(-2.E0,-4.E0,-1.2475E1)); +#23110=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23111=DIRECTION('',(0.E0,1.E0,0.E0)); +#23112=AXIS2_PLACEMENT_3D('',#23109,#23110,#23111); +#23113=PLANE('',#23112); +#23114=ORIENTED_EDGE('',*,*,#23089,.T.); +#23115=ORIENTED_EDGE('',*,*,#23103,.T.); +#23116=EDGE_LOOP('',(#23114,#23115)); +#23117=FACE_OUTER_BOUND('',#23116,.F.); +#23119=CARTESIAN_POINT('',(2.E0,-4.E0,-1.2475E1)); +#23120=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23121=DIRECTION('',(0.E0,1.E0,0.E0)); +#23122=AXIS2_PLACEMENT_3D('',#23119,#23120,#23121); +#23123=CYLINDRICAL_SURFACE('',#23122,6.5E-1); +#23124=ORIENTED_EDGE('',*,*,#13930,.T.); +#23126=ORIENTED_EDGE('',*,*,#23125,.F.); +#23128=ORIENTED_EDGE('',*,*,#23127,.F.); +#23130=ORIENTED_EDGE('',*,*,#23129,.T.); +#23131=EDGE_LOOP('',(#23124,#23126,#23128,#23130)); +#23132=FACE_OUTER_BOUND('',#23131,.F.); +#23134=CARTESIAN_POINT('',(2.E0,-4.E0,-1.2475E1)); +#23135=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23136=DIRECTION('',(0.E0,1.E0,0.E0)); +#23137=AXIS2_PLACEMENT_3D('',#23134,#23135,#23136); +#23138=CYLINDRICAL_SURFACE('',#23137,6.5E-1); +#23139=ORIENTED_EDGE('',*,*,#13932,.T.); +#23140=ORIENTED_EDGE('',*,*,#23129,.F.); +#23142=ORIENTED_EDGE('',*,*,#23141,.F.); +#23143=ORIENTED_EDGE('',*,*,#23125,.T.); +#23144=EDGE_LOOP('',(#23139,#23140,#23142,#23143)); +#23145=FACE_OUTER_BOUND('',#23144,.F.); +#23147=CARTESIAN_POINT('',(2.E0,-4.E0,-1.2475E1)); +#23148=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23149=DIRECTION('',(0.E0,1.E0,0.E0)); +#23150=AXIS2_PLACEMENT_3D('',#23147,#23148,#23149); +#23151=PLANE('',#23150); +#23152=ORIENTED_EDGE('',*,*,#23127,.T.); +#23153=ORIENTED_EDGE('',*,*,#23141,.T.); +#23154=EDGE_LOOP('',(#23152,#23153)); +#23155=FACE_OUTER_BOUND('',#23154,.F.); +#23157=CARTESIAN_POINT('',(6.E0,-4.E0,-1.2475E1)); +#23158=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23159=DIRECTION('',(0.E0,1.E0,0.E0)); +#23160=AXIS2_PLACEMENT_3D('',#23157,#23158,#23159); +#23161=CYLINDRICAL_SURFACE('',#23160,6.5E-1); +#23162=ORIENTED_EDGE('',*,*,#13936,.T.); +#23164=ORIENTED_EDGE('',*,*,#23163,.F.); +#23166=ORIENTED_EDGE('',*,*,#23165,.F.); +#23168=ORIENTED_EDGE('',*,*,#23167,.T.); +#23169=EDGE_LOOP('',(#23162,#23164,#23166,#23168)); +#23170=FACE_OUTER_BOUND('',#23169,.F.); +#23172=CARTESIAN_POINT('',(6.E0,-4.E0,-1.2475E1)); +#23173=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23174=DIRECTION('',(0.E0,1.E0,0.E0)); +#23175=AXIS2_PLACEMENT_3D('',#23172,#23173,#23174); +#23176=CYLINDRICAL_SURFACE('',#23175,6.5E-1); +#23177=ORIENTED_EDGE('',*,*,#13938,.T.); +#23178=ORIENTED_EDGE('',*,*,#23167,.F.); +#23180=ORIENTED_EDGE('',*,*,#23179,.F.); +#23181=ORIENTED_EDGE('',*,*,#23163,.T.); +#23182=EDGE_LOOP('',(#23177,#23178,#23180,#23181)); +#23183=FACE_OUTER_BOUND('',#23182,.F.); +#23185=CARTESIAN_POINT('',(6.E0,-4.E0,-1.2475E1)); +#23186=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23187=DIRECTION('',(0.E0,1.E0,0.E0)); +#23188=AXIS2_PLACEMENT_3D('',#23185,#23186,#23187); +#23189=PLANE('',#23188); +#23190=ORIENTED_EDGE('',*,*,#23165,.T.); +#23191=ORIENTED_EDGE('',*,*,#23179,.T.); +#23192=EDGE_LOOP('',(#23190,#23191)); +#23193=FACE_OUTER_BOUND('',#23192,.F.); +#23195=CARTESIAN_POINT('',(1.E1,-4.E0,-1.2475E1)); +#23196=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23197=DIRECTION('',(0.E0,1.E0,0.E0)); +#23198=AXIS2_PLACEMENT_3D('',#23195,#23196,#23197); +#23199=CYLINDRICAL_SURFACE('',#23198,6.5E-1); +#23200=ORIENTED_EDGE('',*,*,#13942,.T.); +#23202=ORIENTED_EDGE('',*,*,#23201,.F.); +#23204=ORIENTED_EDGE('',*,*,#23203,.F.); +#23206=ORIENTED_EDGE('',*,*,#23205,.T.); +#23207=EDGE_LOOP('',(#23200,#23202,#23204,#23206)); +#23208=FACE_OUTER_BOUND('',#23207,.F.); +#23210=CARTESIAN_POINT('',(1.E1,-4.E0,-1.2475E1)); +#23211=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23212=DIRECTION('',(0.E0,1.E0,0.E0)); +#23213=AXIS2_PLACEMENT_3D('',#23210,#23211,#23212); +#23214=CYLINDRICAL_SURFACE('',#23213,6.5E-1); +#23215=ORIENTED_EDGE('',*,*,#13944,.T.); +#23216=ORIENTED_EDGE('',*,*,#23205,.F.); +#23218=ORIENTED_EDGE('',*,*,#23217,.F.); +#23219=ORIENTED_EDGE('',*,*,#23201,.T.); +#23220=EDGE_LOOP('',(#23215,#23216,#23218,#23219)); +#23221=FACE_OUTER_BOUND('',#23220,.F.); +#23223=CARTESIAN_POINT('',(1.E1,-4.E0,-1.2475E1)); +#23224=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23225=DIRECTION('',(0.E0,1.E0,0.E0)); +#23226=AXIS2_PLACEMENT_3D('',#23223,#23224,#23225); +#23227=PLANE('',#23226); +#23228=ORIENTED_EDGE('',*,*,#23203,.T.); +#23229=ORIENTED_EDGE('',*,*,#23217,.T.); +#23230=EDGE_LOOP('',(#23228,#23229)); +#23231=FACE_OUTER_BOUND('',#23230,.F.); +#23233=CARTESIAN_POINT('',(1.4E1,-4.E0,-1.2475E1)); +#23234=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23235=DIRECTION('',(0.E0,1.E0,0.E0)); +#23236=AXIS2_PLACEMENT_3D('',#23233,#23234,#23235); +#23237=CYLINDRICAL_SURFACE('',#23236,6.5E-1); +#23238=ORIENTED_EDGE('',*,*,#13948,.T.); +#23240=ORIENTED_EDGE('',*,*,#23239,.F.); +#23242=ORIENTED_EDGE('',*,*,#23241,.F.); +#23244=ORIENTED_EDGE('',*,*,#23243,.T.); +#23245=EDGE_LOOP('',(#23238,#23240,#23242,#23244)); +#23246=FACE_OUTER_BOUND('',#23245,.F.); +#23248=CARTESIAN_POINT('',(1.4E1,-4.E0,-1.2475E1)); +#23249=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23250=DIRECTION('',(0.E0,1.E0,0.E0)); +#23251=AXIS2_PLACEMENT_3D('',#23248,#23249,#23250); +#23252=CYLINDRICAL_SURFACE('',#23251,6.5E-1); +#23253=ORIENTED_EDGE('',*,*,#13950,.T.); +#23254=ORIENTED_EDGE('',*,*,#23243,.F.); +#23256=ORIENTED_EDGE('',*,*,#23255,.F.); +#23257=ORIENTED_EDGE('',*,*,#23239,.T.); +#23258=EDGE_LOOP('',(#23253,#23254,#23256,#23257)); +#23259=FACE_OUTER_BOUND('',#23258,.F.); +#23261=CARTESIAN_POINT('',(1.4E1,-4.E0,-1.2475E1)); +#23262=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23263=DIRECTION('',(0.E0,1.E0,0.E0)); +#23264=AXIS2_PLACEMENT_3D('',#23261,#23262,#23263); +#23265=PLANE('',#23264); +#23266=ORIENTED_EDGE('',*,*,#23241,.T.); +#23267=ORIENTED_EDGE('',*,*,#23255,.T.); +#23268=EDGE_LOOP('',(#23266,#23267)); +#23269=FACE_OUTER_BOUND('',#23268,.F.); +#23271=CARTESIAN_POINT('',(1.8E1,-4.E0,-1.2475E1)); +#23272=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23273=DIRECTION('',(0.E0,1.E0,0.E0)); +#23274=AXIS2_PLACEMENT_3D('',#23271,#23272,#23273); +#23275=CYLINDRICAL_SURFACE('',#23274,6.5E-1); +#23276=ORIENTED_EDGE('',*,*,#13954,.T.); +#23278=ORIENTED_EDGE('',*,*,#23277,.F.); +#23280=ORIENTED_EDGE('',*,*,#23279,.F.); +#23282=ORIENTED_EDGE('',*,*,#23281,.T.); +#23283=EDGE_LOOP('',(#23276,#23278,#23280,#23282)); +#23284=FACE_OUTER_BOUND('',#23283,.F.); +#23286=CARTESIAN_POINT('',(1.8E1,-4.E0,-1.2475E1)); +#23287=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23288=DIRECTION('',(0.E0,1.E0,0.E0)); +#23289=AXIS2_PLACEMENT_3D('',#23286,#23287,#23288); +#23290=CYLINDRICAL_SURFACE('',#23289,6.5E-1); +#23291=ORIENTED_EDGE('',*,*,#13956,.T.); +#23292=ORIENTED_EDGE('',*,*,#23281,.F.); +#23294=ORIENTED_EDGE('',*,*,#23293,.F.); +#23295=ORIENTED_EDGE('',*,*,#23277,.T.); +#23296=EDGE_LOOP('',(#23291,#23292,#23294,#23295)); +#23297=FACE_OUTER_BOUND('',#23296,.F.); +#23299=CARTESIAN_POINT('',(1.8E1,-4.E0,-1.2475E1)); +#23300=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23301=DIRECTION('',(0.E0,1.E0,0.E0)); +#23302=AXIS2_PLACEMENT_3D('',#23299,#23300,#23301); +#23303=PLANE('',#23302); +#23304=ORIENTED_EDGE('',*,*,#23279,.T.); +#23305=ORIENTED_EDGE('',*,*,#23293,.T.); +#23306=EDGE_LOOP('',(#23304,#23305)); +#23307=FACE_OUTER_BOUND('',#23306,.F.); +#23309=CARTESIAN_POINT('',(2.2E1,-4.E0,-1.2475E1)); +#23310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23311=DIRECTION('',(0.E0,1.E0,0.E0)); +#23312=AXIS2_PLACEMENT_3D('',#23309,#23310,#23311); +#23313=CYLINDRICAL_SURFACE('',#23312,6.5E-1); +#23314=ORIENTED_EDGE('',*,*,#13960,.T.); +#23316=ORIENTED_EDGE('',*,*,#23315,.F.); +#23318=ORIENTED_EDGE('',*,*,#23317,.F.); +#23320=ORIENTED_EDGE('',*,*,#23319,.T.); +#23321=EDGE_LOOP('',(#23314,#23316,#23318,#23320)); +#23322=FACE_OUTER_BOUND('',#23321,.F.); +#23324=CARTESIAN_POINT('',(2.2E1,-4.E0,-1.2475E1)); +#23325=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23326=DIRECTION('',(0.E0,1.E0,0.E0)); +#23327=AXIS2_PLACEMENT_3D('',#23324,#23325,#23326); +#23328=CYLINDRICAL_SURFACE('',#23327,6.5E-1); +#23329=ORIENTED_EDGE('',*,*,#13962,.T.); +#23330=ORIENTED_EDGE('',*,*,#23319,.F.); +#23332=ORIENTED_EDGE('',*,*,#23331,.F.); +#23333=ORIENTED_EDGE('',*,*,#23315,.T.); +#23334=EDGE_LOOP('',(#23329,#23330,#23332,#23333)); +#23335=FACE_OUTER_BOUND('',#23334,.F.); +#23337=CARTESIAN_POINT('',(2.2E1,-4.E0,-1.2475E1)); +#23338=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23339=DIRECTION('',(0.E0,1.E0,0.E0)); +#23340=AXIS2_PLACEMENT_3D('',#23337,#23338,#23339); +#23341=PLANE('',#23340); +#23342=ORIENTED_EDGE('',*,*,#23317,.T.); +#23343=ORIENTED_EDGE('',*,*,#23331,.T.); +#23344=EDGE_LOOP('',(#23342,#23343)); +#23345=FACE_OUTER_BOUND('',#23344,.F.); +#23347=CARTESIAN_POINT('',(-2.E1,0.E0,-1.2475E1)); +#23348=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23349=DIRECTION('',(0.E0,1.E0,0.E0)); +#23350=AXIS2_PLACEMENT_3D('',#23347,#23348,#23349); +#23351=CYLINDRICAL_SURFACE('',#23350,6.5E-1); +#23352=ORIENTED_EDGE('',*,*,#13966,.T.); +#23354=ORIENTED_EDGE('',*,*,#23353,.F.); +#23356=ORIENTED_EDGE('',*,*,#23355,.F.); +#23358=ORIENTED_EDGE('',*,*,#23357,.T.); +#23359=EDGE_LOOP('',(#23352,#23354,#23356,#23358)); +#23360=FACE_OUTER_BOUND('',#23359,.F.); +#23362=CARTESIAN_POINT('',(-2.E1,0.E0,-1.2475E1)); +#23363=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23364=DIRECTION('',(0.E0,1.E0,0.E0)); +#23365=AXIS2_PLACEMENT_3D('',#23362,#23363,#23364); +#23366=CYLINDRICAL_SURFACE('',#23365,6.5E-1); +#23367=ORIENTED_EDGE('',*,*,#13968,.T.); +#23368=ORIENTED_EDGE('',*,*,#23357,.F.); +#23370=ORIENTED_EDGE('',*,*,#23369,.F.); +#23371=ORIENTED_EDGE('',*,*,#23353,.T.); +#23372=EDGE_LOOP('',(#23367,#23368,#23370,#23371)); +#23373=FACE_OUTER_BOUND('',#23372,.F.); +#23375=CARTESIAN_POINT('',(-2.E1,0.E0,-1.2475E1)); +#23376=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23377=DIRECTION('',(0.E0,1.E0,0.E0)); +#23378=AXIS2_PLACEMENT_3D('',#23375,#23376,#23377); +#23379=PLANE('',#23378); +#23380=ORIENTED_EDGE('',*,*,#23355,.T.); +#23381=ORIENTED_EDGE('',*,*,#23369,.T.); +#23382=EDGE_LOOP('',(#23380,#23381)); +#23383=FACE_OUTER_BOUND('',#23382,.F.); +#23385=CARTESIAN_POINT('',(-1.6E1,0.E0,-1.2475E1)); +#23386=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23387=DIRECTION('',(0.E0,1.E0,0.E0)); +#23388=AXIS2_PLACEMENT_3D('',#23385,#23386,#23387); +#23389=CYLINDRICAL_SURFACE('',#23388,6.5E-1); +#23390=ORIENTED_EDGE('',*,*,#13972,.T.); +#23392=ORIENTED_EDGE('',*,*,#23391,.F.); +#23394=ORIENTED_EDGE('',*,*,#23393,.F.); +#23396=ORIENTED_EDGE('',*,*,#23395,.T.); +#23397=EDGE_LOOP('',(#23390,#23392,#23394,#23396)); +#23398=FACE_OUTER_BOUND('',#23397,.F.); +#23400=CARTESIAN_POINT('',(-1.6E1,0.E0,-1.2475E1)); +#23401=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23402=DIRECTION('',(0.E0,1.E0,0.E0)); +#23403=AXIS2_PLACEMENT_3D('',#23400,#23401,#23402); +#23404=CYLINDRICAL_SURFACE('',#23403,6.5E-1); +#23405=ORIENTED_EDGE('',*,*,#13974,.T.); +#23406=ORIENTED_EDGE('',*,*,#23395,.F.); +#23408=ORIENTED_EDGE('',*,*,#23407,.F.); +#23409=ORIENTED_EDGE('',*,*,#23391,.T.); +#23410=EDGE_LOOP('',(#23405,#23406,#23408,#23409)); +#23411=FACE_OUTER_BOUND('',#23410,.F.); +#23413=CARTESIAN_POINT('',(-1.6E1,0.E0,-1.2475E1)); +#23414=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23415=DIRECTION('',(0.E0,1.E0,0.E0)); +#23416=AXIS2_PLACEMENT_3D('',#23413,#23414,#23415); +#23417=PLANE('',#23416); +#23418=ORIENTED_EDGE('',*,*,#23393,.T.); +#23419=ORIENTED_EDGE('',*,*,#23407,.T.); +#23420=EDGE_LOOP('',(#23418,#23419)); +#23421=FACE_OUTER_BOUND('',#23420,.F.); +#23423=CARTESIAN_POINT('',(-1.2E1,0.E0,-1.2475E1)); +#23424=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23425=DIRECTION('',(0.E0,1.E0,0.E0)); +#23426=AXIS2_PLACEMENT_3D('',#23423,#23424,#23425); +#23427=CYLINDRICAL_SURFACE('',#23426,6.5E-1); +#23428=ORIENTED_EDGE('',*,*,#13978,.T.); +#23430=ORIENTED_EDGE('',*,*,#23429,.F.); +#23432=ORIENTED_EDGE('',*,*,#23431,.F.); +#23434=ORIENTED_EDGE('',*,*,#23433,.T.); +#23435=EDGE_LOOP('',(#23428,#23430,#23432,#23434)); +#23436=FACE_OUTER_BOUND('',#23435,.F.); +#23438=CARTESIAN_POINT('',(-1.2E1,0.E0,-1.2475E1)); +#23439=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23440=DIRECTION('',(0.E0,1.E0,0.E0)); +#23441=AXIS2_PLACEMENT_3D('',#23438,#23439,#23440); +#23442=CYLINDRICAL_SURFACE('',#23441,6.5E-1); +#23443=ORIENTED_EDGE('',*,*,#13980,.T.); +#23444=ORIENTED_EDGE('',*,*,#23433,.F.); +#23446=ORIENTED_EDGE('',*,*,#23445,.F.); +#23447=ORIENTED_EDGE('',*,*,#23429,.T.); +#23448=EDGE_LOOP('',(#23443,#23444,#23446,#23447)); +#23449=FACE_OUTER_BOUND('',#23448,.F.); +#23451=CARTESIAN_POINT('',(-1.2E1,0.E0,-1.2475E1)); +#23452=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23453=DIRECTION('',(0.E0,1.E0,0.E0)); +#23454=AXIS2_PLACEMENT_3D('',#23451,#23452,#23453); +#23455=PLANE('',#23454); +#23456=ORIENTED_EDGE('',*,*,#23431,.T.); +#23457=ORIENTED_EDGE('',*,*,#23445,.T.); +#23458=EDGE_LOOP('',(#23456,#23457)); +#23459=FACE_OUTER_BOUND('',#23458,.F.); +#23461=CARTESIAN_POINT('',(-8.E0,0.E0,-1.2475E1)); +#23462=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23463=DIRECTION('',(0.E0,1.E0,0.E0)); +#23464=AXIS2_PLACEMENT_3D('',#23461,#23462,#23463); +#23465=CYLINDRICAL_SURFACE('',#23464,6.5E-1); +#23466=ORIENTED_EDGE('',*,*,#13984,.T.); +#23468=ORIENTED_EDGE('',*,*,#23467,.F.); +#23470=ORIENTED_EDGE('',*,*,#23469,.F.); +#23472=ORIENTED_EDGE('',*,*,#23471,.T.); +#23473=EDGE_LOOP('',(#23466,#23468,#23470,#23472)); +#23474=FACE_OUTER_BOUND('',#23473,.F.); +#23476=CARTESIAN_POINT('',(-8.E0,0.E0,-1.2475E1)); +#23477=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23478=DIRECTION('',(0.E0,1.E0,0.E0)); +#23479=AXIS2_PLACEMENT_3D('',#23476,#23477,#23478); +#23480=CYLINDRICAL_SURFACE('',#23479,6.5E-1); +#23481=ORIENTED_EDGE('',*,*,#13986,.T.); +#23482=ORIENTED_EDGE('',*,*,#23471,.F.); +#23484=ORIENTED_EDGE('',*,*,#23483,.F.); +#23485=ORIENTED_EDGE('',*,*,#23467,.T.); +#23486=EDGE_LOOP('',(#23481,#23482,#23484,#23485)); +#23487=FACE_OUTER_BOUND('',#23486,.F.); +#23489=CARTESIAN_POINT('',(-8.E0,0.E0,-1.2475E1)); +#23490=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23491=DIRECTION('',(0.E0,1.E0,0.E0)); +#23492=AXIS2_PLACEMENT_3D('',#23489,#23490,#23491); +#23493=PLANE('',#23492); +#23494=ORIENTED_EDGE('',*,*,#23469,.T.); +#23495=ORIENTED_EDGE('',*,*,#23483,.T.); +#23496=EDGE_LOOP('',(#23494,#23495)); +#23497=FACE_OUTER_BOUND('',#23496,.F.); +#23499=CARTESIAN_POINT('',(-4.E0,0.E0,-1.2475E1)); +#23500=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23501=DIRECTION('',(0.E0,1.E0,0.E0)); +#23502=AXIS2_PLACEMENT_3D('',#23499,#23500,#23501); +#23503=CYLINDRICAL_SURFACE('',#23502,6.5E-1); +#23504=ORIENTED_EDGE('',*,*,#13990,.T.); +#23506=ORIENTED_EDGE('',*,*,#23505,.F.); +#23508=ORIENTED_EDGE('',*,*,#23507,.F.); +#23510=ORIENTED_EDGE('',*,*,#23509,.T.); +#23511=EDGE_LOOP('',(#23504,#23506,#23508,#23510)); +#23512=FACE_OUTER_BOUND('',#23511,.F.); +#23514=CARTESIAN_POINT('',(-4.E0,0.E0,-1.2475E1)); +#23515=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23516=DIRECTION('',(0.E0,1.E0,0.E0)); +#23517=AXIS2_PLACEMENT_3D('',#23514,#23515,#23516); +#23518=CYLINDRICAL_SURFACE('',#23517,6.5E-1); +#23519=ORIENTED_EDGE('',*,*,#13992,.T.); +#23520=ORIENTED_EDGE('',*,*,#23509,.F.); +#23522=ORIENTED_EDGE('',*,*,#23521,.F.); +#23523=ORIENTED_EDGE('',*,*,#23505,.T.); +#23524=EDGE_LOOP('',(#23519,#23520,#23522,#23523)); +#23525=FACE_OUTER_BOUND('',#23524,.F.); +#23527=CARTESIAN_POINT('',(-4.E0,0.E0,-1.2475E1)); +#23528=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23529=DIRECTION('',(0.E0,1.E0,0.E0)); +#23530=AXIS2_PLACEMENT_3D('',#23527,#23528,#23529); +#23531=PLANE('',#23530); +#23532=ORIENTED_EDGE('',*,*,#23507,.T.); +#23533=ORIENTED_EDGE('',*,*,#23521,.T.); +#23534=EDGE_LOOP('',(#23532,#23533)); +#23535=FACE_OUTER_BOUND('',#23534,.F.); +#23537=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#23538=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23539=DIRECTION('',(0.E0,1.E0,0.E0)); +#23540=AXIS2_PLACEMENT_3D('',#23537,#23538,#23539); +#23541=CYLINDRICAL_SURFACE('',#23540,6.5E-1); +#23542=ORIENTED_EDGE('',*,*,#13996,.T.); +#23544=ORIENTED_EDGE('',*,*,#23543,.F.); +#23546=ORIENTED_EDGE('',*,*,#23545,.F.); +#23548=ORIENTED_EDGE('',*,*,#23547,.T.); +#23549=EDGE_LOOP('',(#23542,#23544,#23546,#23548)); +#23550=FACE_OUTER_BOUND('',#23549,.F.); +#23552=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#23553=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23554=DIRECTION('',(0.E0,1.E0,0.E0)); +#23555=AXIS2_PLACEMENT_3D('',#23552,#23553,#23554); +#23556=CYLINDRICAL_SURFACE('',#23555,6.5E-1); +#23557=ORIENTED_EDGE('',*,*,#13998,.T.); +#23558=ORIENTED_EDGE('',*,*,#23547,.F.); +#23560=ORIENTED_EDGE('',*,*,#23559,.F.); +#23561=ORIENTED_EDGE('',*,*,#23543,.T.); +#23562=EDGE_LOOP('',(#23557,#23558,#23560,#23561)); +#23563=FACE_OUTER_BOUND('',#23562,.F.); +#23565=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#23566=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23567=DIRECTION('',(0.E0,1.E0,0.E0)); +#23568=AXIS2_PLACEMENT_3D('',#23565,#23566,#23567); +#23569=PLANE('',#23568); +#23570=ORIENTED_EDGE('',*,*,#23545,.T.); +#23571=ORIENTED_EDGE('',*,*,#23559,.T.); +#23572=EDGE_LOOP('',(#23570,#23571)); +#23573=FACE_OUTER_BOUND('',#23572,.F.); +#23575=CARTESIAN_POINT('',(4.E0,0.E0,-1.2475E1)); +#23576=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23577=DIRECTION('',(0.E0,1.E0,0.E0)); +#23578=AXIS2_PLACEMENT_3D('',#23575,#23576,#23577); +#23579=CYLINDRICAL_SURFACE('',#23578,6.5E-1); +#23580=ORIENTED_EDGE('',*,*,#14002,.T.); +#23582=ORIENTED_EDGE('',*,*,#23581,.F.); +#23584=ORIENTED_EDGE('',*,*,#23583,.F.); +#23586=ORIENTED_EDGE('',*,*,#23585,.T.); +#23587=EDGE_LOOP('',(#23580,#23582,#23584,#23586)); +#23588=FACE_OUTER_BOUND('',#23587,.F.); +#23590=CARTESIAN_POINT('',(4.E0,0.E0,-1.2475E1)); +#23591=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23592=DIRECTION('',(0.E0,1.E0,0.E0)); +#23593=AXIS2_PLACEMENT_3D('',#23590,#23591,#23592); +#23594=CYLINDRICAL_SURFACE('',#23593,6.5E-1); +#23595=ORIENTED_EDGE('',*,*,#14004,.T.); +#23596=ORIENTED_EDGE('',*,*,#23585,.F.); +#23598=ORIENTED_EDGE('',*,*,#23597,.F.); +#23599=ORIENTED_EDGE('',*,*,#23581,.T.); +#23600=EDGE_LOOP('',(#23595,#23596,#23598,#23599)); +#23601=FACE_OUTER_BOUND('',#23600,.F.); +#23603=CARTESIAN_POINT('',(4.E0,0.E0,-1.2475E1)); +#23604=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23605=DIRECTION('',(0.E0,1.E0,0.E0)); +#23606=AXIS2_PLACEMENT_3D('',#23603,#23604,#23605); +#23607=PLANE('',#23606); +#23608=ORIENTED_EDGE('',*,*,#23583,.T.); +#23609=ORIENTED_EDGE('',*,*,#23597,.T.); +#23610=EDGE_LOOP('',(#23608,#23609)); +#23611=FACE_OUTER_BOUND('',#23610,.F.); +#23613=CARTESIAN_POINT('',(8.E0,0.E0,-1.2475E1)); +#23614=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23615=DIRECTION('',(0.E0,1.E0,0.E0)); +#23616=AXIS2_PLACEMENT_3D('',#23613,#23614,#23615); +#23617=CYLINDRICAL_SURFACE('',#23616,6.5E-1); +#23618=ORIENTED_EDGE('',*,*,#14008,.T.); +#23620=ORIENTED_EDGE('',*,*,#23619,.F.); +#23622=ORIENTED_EDGE('',*,*,#23621,.F.); +#23624=ORIENTED_EDGE('',*,*,#23623,.T.); +#23625=EDGE_LOOP('',(#23618,#23620,#23622,#23624)); +#23626=FACE_OUTER_BOUND('',#23625,.F.); +#23628=CARTESIAN_POINT('',(8.E0,0.E0,-1.2475E1)); +#23629=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23630=DIRECTION('',(0.E0,1.E0,0.E0)); +#23631=AXIS2_PLACEMENT_3D('',#23628,#23629,#23630); +#23632=CYLINDRICAL_SURFACE('',#23631,6.5E-1); +#23633=ORIENTED_EDGE('',*,*,#14010,.T.); +#23634=ORIENTED_EDGE('',*,*,#23623,.F.); +#23636=ORIENTED_EDGE('',*,*,#23635,.F.); +#23637=ORIENTED_EDGE('',*,*,#23619,.T.); +#23638=EDGE_LOOP('',(#23633,#23634,#23636,#23637)); +#23639=FACE_OUTER_BOUND('',#23638,.F.); +#23641=CARTESIAN_POINT('',(8.E0,0.E0,-1.2475E1)); +#23642=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23643=DIRECTION('',(0.E0,1.E0,0.E0)); +#23644=AXIS2_PLACEMENT_3D('',#23641,#23642,#23643); +#23645=PLANE('',#23644); +#23646=ORIENTED_EDGE('',*,*,#23621,.T.); +#23647=ORIENTED_EDGE('',*,*,#23635,.T.); +#23648=EDGE_LOOP('',(#23646,#23647)); +#23649=FACE_OUTER_BOUND('',#23648,.F.); +#23651=CARTESIAN_POINT('',(1.2E1,0.E0,-1.2475E1)); +#23652=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23653=DIRECTION('',(0.E0,1.E0,0.E0)); +#23654=AXIS2_PLACEMENT_3D('',#23651,#23652,#23653); +#23655=CYLINDRICAL_SURFACE('',#23654,6.5E-1); +#23656=ORIENTED_EDGE('',*,*,#14014,.T.); +#23658=ORIENTED_EDGE('',*,*,#23657,.F.); +#23660=ORIENTED_EDGE('',*,*,#23659,.F.); +#23662=ORIENTED_EDGE('',*,*,#23661,.T.); +#23663=EDGE_LOOP('',(#23656,#23658,#23660,#23662)); +#23664=FACE_OUTER_BOUND('',#23663,.F.); +#23666=CARTESIAN_POINT('',(1.2E1,0.E0,-1.2475E1)); +#23667=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23668=DIRECTION('',(0.E0,1.E0,0.E0)); +#23669=AXIS2_PLACEMENT_3D('',#23666,#23667,#23668); +#23670=CYLINDRICAL_SURFACE('',#23669,6.5E-1); +#23671=ORIENTED_EDGE('',*,*,#14016,.T.); +#23672=ORIENTED_EDGE('',*,*,#23661,.F.); +#23674=ORIENTED_EDGE('',*,*,#23673,.F.); +#23675=ORIENTED_EDGE('',*,*,#23657,.T.); +#23676=EDGE_LOOP('',(#23671,#23672,#23674,#23675)); +#23677=FACE_OUTER_BOUND('',#23676,.F.); +#23679=CARTESIAN_POINT('',(1.2E1,0.E0,-1.2475E1)); +#23680=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23681=DIRECTION('',(0.E0,1.E0,0.E0)); +#23682=AXIS2_PLACEMENT_3D('',#23679,#23680,#23681); +#23683=PLANE('',#23682); +#23684=ORIENTED_EDGE('',*,*,#23659,.T.); +#23685=ORIENTED_EDGE('',*,*,#23673,.T.); +#23686=EDGE_LOOP('',(#23684,#23685)); +#23687=FACE_OUTER_BOUND('',#23686,.F.); +#23689=CARTESIAN_POINT('',(1.6E1,0.E0,-1.2475E1)); +#23690=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23691=DIRECTION('',(0.E0,1.E0,0.E0)); +#23692=AXIS2_PLACEMENT_3D('',#23689,#23690,#23691); +#23693=CYLINDRICAL_SURFACE('',#23692,6.5E-1); +#23694=ORIENTED_EDGE('',*,*,#14020,.T.); +#23696=ORIENTED_EDGE('',*,*,#23695,.F.); +#23698=ORIENTED_EDGE('',*,*,#23697,.F.); +#23700=ORIENTED_EDGE('',*,*,#23699,.T.); +#23701=EDGE_LOOP('',(#23694,#23696,#23698,#23700)); +#23702=FACE_OUTER_BOUND('',#23701,.F.); +#23704=CARTESIAN_POINT('',(1.6E1,0.E0,-1.2475E1)); +#23705=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23706=DIRECTION('',(0.E0,1.E0,0.E0)); +#23707=AXIS2_PLACEMENT_3D('',#23704,#23705,#23706); +#23708=CYLINDRICAL_SURFACE('',#23707,6.5E-1); +#23709=ORIENTED_EDGE('',*,*,#14022,.T.); +#23710=ORIENTED_EDGE('',*,*,#23699,.F.); +#23712=ORIENTED_EDGE('',*,*,#23711,.F.); +#23713=ORIENTED_EDGE('',*,*,#23695,.T.); +#23714=EDGE_LOOP('',(#23709,#23710,#23712,#23713)); +#23715=FACE_OUTER_BOUND('',#23714,.F.); +#23717=CARTESIAN_POINT('',(1.6E1,0.E0,-1.2475E1)); +#23718=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23719=DIRECTION('',(0.E0,1.E0,0.E0)); +#23720=AXIS2_PLACEMENT_3D('',#23717,#23718,#23719); +#23721=PLANE('',#23720); +#23722=ORIENTED_EDGE('',*,*,#23697,.T.); +#23723=ORIENTED_EDGE('',*,*,#23711,.T.); +#23724=EDGE_LOOP('',(#23722,#23723)); +#23725=FACE_OUTER_BOUND('',#23724,.F.); +#23727=CARTESIAN_POINT('',(2.E1,0.E0,-1.2475E1)); +#23728=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23729=DIRECTION('',(0.E0,1.E0,0.E0)); +#23730=AXIS2_PLACEMENT_3D('',#23727,#23728,#23729); +#23731=CYLINDRICAL_SURFACE('',#23730,6.5E-1); +#23732=ORIENTED_EDGE('',*,*,#14026,.T.); +#23734=ORIENTED_EDGE('',*,*,#23733,.F.); +#23736=ORIENTED_EDGE('',*,*,#23735,.F.); +#23738=ORIENTED_EDGE('',*,*,#23737,.T.); +#23739=EDGE_LOOP('',(#23732,#23734,#23736,#23738)); +#23740=FACE_OUTER_BOUND('',#23739,.F.); +#23742=CARTESIAN_POINT('',(2.E1,0.E0,-1.2475E1)); +#23743=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23744=DIRECTION('',(0.E0,1.E0,0.E0)); +#23745=AXIS2_PLACEMENT_3D('',#23742,#23743,#23744); +#23746=CYLINDRICAL_SURFACE('',#23745,6.5E-1); +#23747=ORIENTED_EDGE('',*,*,#14028,.T.); +#23748=ORIENTED_EDGE('',*,*,#23737,.F.); +#23750=ORIENTED_EDGE('',*,*,#23749,.F.); +#23751=ORIENTED_EDGE('',*,*,#23733,.T.); +#23752=EDGE_LOOP('',(#23747,#23748,#23750,#23751)); +#23753=FACE_OUTER_BOUND('',#23752,.F.); +#23755=CARTESIAN_POINT('',(2.E1,0.E0,-1.2475E1)); +#23756=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23757=DIRECTION('',(0.E0,1.E0,0.E0)); +#23758=AXIS2_PLACEMENT_3D('',#23755,#23756,#23757); +#23759=PLANE('',#23758); +#23760=ORIENTED_EDGE('',*,*,#23735,.T.); +#23761=ORIENTED_EDGE('',*,*,#23749,.T.); +#23762=EDGE_LOOP('',(#23760,#23761)); +#23763=FACE_OUTER_BOUND('',#23762,.F.); +#23765=CARTESIAN_POINT('',(-1.075E0,1.03E1,-1.E1)); +#23766=DIRECTION('',(1.E0,0.E0,0.E0)); +#23767=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23768=AXIS2_PLACEMENT_3D('',#23765,#23766,#23767); +#23769=PLANE('',#23768); +#23770=ORIENTED_EDGE('',*,*,#12752,.F.); +#23771=ORIENTED_EDGE('',*,*,#13364,.T.); +#23773=ORIENTED_EDGE('',*,*,#23772,.F.); +#23774=ORIENTED_EDGE('',*,*,#13168,.F.); +#23775=EDGE_LOOP('',(#23770,#23771,#23773,#23774)); +#23776=FACE_OUTER_BOUND('',#23775,.F.); +#23778=CARTESIAN_POINT('',(-1.75E0,8.075E0,-1.E1)); +#23779=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23780=DIRECTION('',(1.E0,0.E0,0.E0)); +#23781=AXIS2_PLACEMENT_3D('',#23778,#23779,#23780); +#23782=CYLINDRICAL_SURFACE('',#23781,6.75E-1); +#23783=ORIENTED_EDGE('',*,*,#13588,.T.); +#23785=ORIENTED_EDGE('',*,*,#23784,.F.); +#23786=ORIENTED_EDGE('',*,*,#13170,.F.); +#23787=ORIENTED_EDGE('',*,*,#23772,.T.); +#23788=EDGE_LOOP('',(#23783,#23785,#23786,#23787)); +#23789=FACE_OUTER_BOUND('',#23788,.F.); +#23791=CARTESIAN_POINT('',(-2.425E0,8.075E0,-1.E1)); +#23792=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23793=DIRECTION('',(0.E0,1.E0,0.E0)); +#23794=AXIS2_PLACEMENT_3D('',#23791,#23792,#23793); +#23795=PLANE('',#23794); +#23796=ORIENTED_EDGE('',*,*,#12653,.T.); +#23797=ORIENTED_EDGE('',*,*,#13172,.F.); +#23798=ORIENTED_EDGE('',*,*,#23784,.T.); +#23799=ORIENTED_EDGE('',*,*,#13586,.T.); +#23800=EDGE_LOOP('',(#23796,#23797,#23798,#23799)); +#23801=FACE_OUTER_BOUND('',#23800,.F.); +#23803=CARTESIAN_POINT('',(1.25E0,9.1E0,-1.E1)); +#23804=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23805=DIRECTION('',(0.E0,1.E0,0.E0)); +#23806=AXIS2_PLACEMENT_3D('',#23803,#23804,#23805); +#23807=PLANE('',#23806); +#23808=ORIENTED_EDGE('',*,*,#12748,.T.); +#23809=ORIENTED_EDGE('',*,*,#12842,.F.); +#23811=ORIENTED_EDGE('',*,*,#23810,.T.); +#23812=ORIENTED_EDGE('',*,*,#13367,.T.); +#23813=EDGE_LOOP('',(#23808,#23809,#23811,#23812)); +#23814=FACE_OUTER_BOUND('',#23813,.F.); +#23816=CARTESIAN_POINT('',(1.75E0,9.1E0,-1.E1)); +#23817=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23818=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23819=AXIS2_PLACEMENT_3D('',#23816,#23817,#23818); +#23820=CYLINDRICAL_SURFACE('',#23819,5.E-1); +#23821=ORIENTED_EDGE('',*,*,#13369,.T.); +#23822=ORIENTED_EDGE('',*,*,#23810,.F.); +#23823=ORIENTED_EDGE('',*,*,#12840,.F.); +#23825=ORIENTED_EDGE('',*,*,#23824,.T.); +#23826=EDGE_LOOP('',(#23821,#23822,#23823,#23825)); +#23827=FACE_OUTER_BOUND('',#23826,.F.); +#23829=CARTESIAN_POINT('',(2.25E0,1.03E1,-1.E1)); +#23830=DIRECTION('',(1.E0,0.E0,0.E0)); +#23831=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23832=AXIS2_PLACEMENT_3D('',#23829,#23830,#23831); +#23833=PLANE('',#23832); +#23834=ORIENTED_EDGE('',*,*,#12744,.F.); +#23835=ORIENTED_EDGE('',*,*,#13371,.T.); +#23836=ORIENTED_EDGE('',*,*,#23824,.F.); +#23837=ORIENTED_EDGE('',*,*,#12838,.F.); +#23838=EDGE_LOOP('',(#23834,#23835,#23836,#23837)); +#23839=FACE_OUTER_BOUND('',#23838,.F.); +#23841=CARTESIAN_POINT('',(4.75E0,9.1E0,-1.E1)); +#23842=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23843=DIRECTION('',(0.E0,1.E0,0.E0)); +#23844=AXIS2_PLACEMENT_3D('',#23841,#23842,#23843); +#23845=PLANE('',#23844); +#23846=ORIENTED_EDGE('',*,*,#12740,.T.); +#23847=ORIENTED_EDGE('',*,*,#12827,.F.); +#23849=ORIENTED_EDGE('',*,*,#23848,.T.); +#23850=ORIENTED_EDGE('',*,*,#13374,.T.); +#23851=EDGE_LOOP('',(#23846,#23847,#23849,#23850)); +#23852=FACE_OUTER_BOUND('',#23851,.F.); +#23854=CARTESIAN_POINT('',(5.25E0,9.1E0,-1.E1)); +#23855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23856=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23857=AXIS2_PLACEMENT_3D('',#23854,#23855,#23856); +#23858=CYLINDRICAL_SURFACE('',#23857,5.E-1); +#23859=ORIENTED_EDGE('',*,*,#13376,.T.); +#23860=ORIENTED_EDGE('',*,*,#23848,.F.); +#23861=ORIENTED_EDGE('',*,*,#12825,.F.); +#23863=ORIENTED_EDGE('',*,*,#23862,.T.); +#23864=EDGE_LOOP('',(#23859,#23860,#23861,#23863)); +#23865=FACE_OUTER_BOUND('',#23864,.F.); +#23867=CARTESIAN_POINT('',(5.75E0,1.03E1,-1.E1)); +#23868=DIRECTION('',(1.E0,0.E0,0.E0)); +#23869=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23870=AXIS2_PLACEMENT_3D('',#23867,#23868,#23869); +#23871=PLANE('',#23870); +#23872=ORIENTED_EDGE('',*,*,#12736,.F.); +#23873=ORIENTED_EDGE('',*,*,#13378,.T.); +#23874=ORIENTED_EDGE('',*,*,#23862,.F.); +#23875=ORIENTED_EDGE('',*,*,#12823,.F.); +#23876=EDGE_LOOP('',(#23872,#23873,#23874,#23875)); +#23877=FACE_OUTER_BOUND('',#23876,.F.); +#23879=CARTESIAN_POINT('',(8.25E0,9.1E0,-1.E1)); +#23880=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23881=DIRECTION('',(0.E0,1.E0,0.E0)); +#23882=AXIS2_PLACEMENT_3D('',#23879,#23880,#23881); +#23883=PLANE('',#23882); +#23884=ORIENTED_EDGE('',*,*,#12732,.T.); +#23885=ORIENTED_EDGE('',*,*,#12812,.F.); +#23887=ORIENTED_EDGE('',*,*,#23886,.T.); +#23888=ORIENTED_EDGE('',*,*,#13381,.T.); +#23889=EDGE_LOOP('',(#23884,#23885,#23887,#23888)); +#23890=FACE_OUTER_BOUND('',#23889,.F.); +#23892=CARTESIAN_POINT('',(8.75E0,9.1E0,-1.E1)); +#23893=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23894=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23895=AXIS2_PLACEMENT_3D('',#23892,#23893,#23894); +#23896=CYLINDRICAL_SURFACE('',#23895,5.E-1); +#23897=ORIENTED_EDGE('',*,*,#13383,.T.); +#23898=ORIENTED_EDGE('',*,*,#23886,.F.); +#23899=ORIENTED_EDGE('',*,*,#12810,.F.); +#23901=ORIENTED_EDGE('',*,*,#23900,.T.); +#23902=EDGE_LOOP('',(#23897,#23898,#23899,#23901)); +#23903=FACE_OUTER_BOUND('',#23902,.F.); +#23905=CARTESIAN_POINT('',(9.25E0,1.03E1,-1.E1)); +#23906=DIRECTION('',(1.E0,0.E0,0.E0)); +#23907=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23908=AXIS2_PLACEMENT_3D('',#23905,#23906,#23907); +#23909=PLANE('',#23908); +#23910=ORIENTED_EDGE('',*,*,#12728,.F.); +#23911=ORIENTED_EDGE('',*,*,#13385,.T.); +#23912=ORIENTED_EDGE('',*,*,#23900,.F.); +#23913=ORIENTED_EDGE('',*,*,#12808,.F.); +#23914=EDGE_LOOP('',(#23910,#23911,#23912,#23913)); +#23915=FACE_OUTER_BOUND('',#23914,.F.); +#23917=CARTESIAN_POINT('',(1.175E1,9.1E0,-1.E1)); +#23918=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23919=DIRECTION('',(0.E0,1.E0,0.E0)); +#23920=AXIS2_PLACEMENT_3D('',#23917,#23918,#23919); +#23921=PLANE('',#23920); +#23922=ORIENTED_EDGE('',*,*,#12724,.T.); +#23923=ORIENTED_EDGE('',*,*,#12797,.F.); +#23925=ORIENTED_EDGE('',*,*,#23924,.T.); +#23926=ORIENTED_EDGE('',*,*,#13388,.T.); +#23927=EDGE_LOOP('',(#23922,#23923,#23925,#23926)); +#23928=FACE_OUTER_BOUND('',#23927,.F.); +#23930=CARTESIAN_POINT('',(1.225E1,9.1E0,-1.E1)); +#23931=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23932=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23933=AXIS2_PLACEMENT_3D('',#23930,#23931,#23932); +#23934=CYLINDRICAL_SURFACE('',#23933,5.E-1); +#23935=ORIENTED_EDGE('',*,*,#13390,.T.); +#23936=ORIENTED_EDGE('',*,*,#23924,.F.); +#23937=ORIENTED_EDGE('',*,*,#12795,.F.); +#23939=ORIENTED_EDGE('',*,*,#23938,.T.); +#23940=EDGE_LOOP('',(#23935,#23936,#23937,#23939)); +#23941=FACE_OUTER_BOUND('',#23940,.F.); +#23943=CARTESIAN_POINT('',(1.275E1,1.03E1,-1.E1)); +#23944=DIRECTION('',(1.E0,0.E0,0.E0)); +#23945=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23946=AXIS2_PLACEMENT_3D('',#23943,#23944,#23945); +#23947=PLANE('',#23946); +#23948=ORIENTED_EDGE('',*,*,#12720,.F.); +#23949=ORIENTED_EDGE('',*,*,#13392,.T.); +#23950=ORIENTED_EDGE('',*,*,#23938,.F.); +#23951=ORIENTED_EDGE('',*,*,#12793,.F.); +#23952=EDGE_LOOP('',(#23948,#23949,#23950,#23951)); +#23953=FACE_OUTER_BOUND('',#23952,.F.); +#23955=CARTESIAN_POINT('',(1.525E1,9.1E0,-1.E1)); +#23956=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23957=DIRECTION('',(0.E0,1.E0,0.E0)); +#23958=AXIS2_PLACEMENT_3D('',#23955,#23956,#23957); +#23959=PLANE('',#23958); +#23960=ORIENTED_EDGE('',*,*,#12716,.T.); +#23961=ORIENTED_EDGE('',*,*,#12782,.F.); +#23963=ORIENTED_EDGE('',*,*,#23962,.T.); +#23964=ORIENTED_EDGE('',*,*,#13395,.T.); +#23965=EDGE_LOOP('',(#23960,#23961,#23963,#23964)); +#23966=FACE_OUTER_BOUND('',#23965,.F.); +#23968=CARTESIAN_POINT('',(1.575E1,9.1E0,-1.E1)); +#23969=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23970=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23971=AXIS2_PLACEMENT_3D('',#23968,#23969,#23970); +#23972=CYLINDRICAL_SURFACE('',#23971,5.E-1); +#23973=ORIENTED_EDGE('',*,*,#13397,.T.); +#23974=ORIENTED_EDGE('',*,*,#23962,.F.); +#23975=ORIENTED_EDGE('',*,*,#12780,.F.); +#23977=ORIENTED_EDGE('',*,*,#23976,.T.); +#23978=EDGE_LOOP('',(#23973,#23974,#23975,#23977)); +#23979=FACE_OUTER_BOUND('',#23978,.F.); +#23981=CARTESIAN_POINT('',(1.625E1,1.03E1,-1.E1)); +#23982=DIRECTION('',(1.E0,0.E0,0.E0)); +#23983=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23984=AXIS2_PLACEMENT_3D('',#23981,#23982,#23983); +#23985=PLANE('',#23984); +#23986=ORIENTED_EDGE('',*,*,#12712,.F.); +#23987=ORIENTED_EDGE('',*,*,#13399,.T.); +#23988=ORIENTED_EDGE('',*,*,#23976,.F.); +#23989=ORIENTED_EDGE('',*,*,#12778,.F.); +#23990=EDGE_LOOP('',(#23986,#23987,#23988,#23989)); +#23991=FACE_OUTER_BOUND('',#23990,.F.); +#23993=CARTESIAN_POINT('',(1.875E1,9.1E0,-1.E1)); +#23994=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23995=DIRECTION('',(0.E0,1.E0,0.E0)); +#23996=AXIS2_PLACEMENT_3D('',#23993,#23994,#23995); +#23997=PLANE('',#23996); +#23998=ORIENTED_EDGE('',*,*,#12708,.T.); +#23999=ORIENTED_EDGE('',*,*,#12767,.F.); +#24001=ORIENTED_EDGE('',*,*,#24000,.T.); +#24002=ORIENTED_EDGE('',*,*,#13402,.T.); +#24003=EDGE_LOOP('',(#23998,#23999,#24001,#24002)); +#24004=FACE_OUTER_BOUND('',#24003,.F.); +#24006=CARTESIAN_POINT('',(1.925E1,9.1E0,-1.E1)); +#24007=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24008=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24009=AXIS2_PLACEMENT_3D('',#24006,#24007,#24008); +#24010=CYLINDRICAL_SURFACE('',#24009,5.E-1); +#24011=ORIENTED_EDGE('',*,*,#13404,.T.); +#24012=ORIENTED_EDGE('',*,*,#24000,.F.); +#24013=ORIENTED_EDGE('',*,*,#12765,.F.); +#24014=ORIENTED_EDGE('',*,*,#13201,.T.); +#24015=EDGE_LOOP('',(#24011,#24012,#24013,#24014)); +#24016=FACE_OUTER_BOUND('',#24015,.F.); +#24018=CARTESIAN_POINT('',(2.35E1,5.6E0,0.E0)); +#24019=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24020=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24021=AXIS2_PLACEMENT_3D('',#24018,#24019,#24020); +#24022=CYLINDRICAL_SURFACE('',#24021,4.7E0); +#24023=ORIENTED_EDGE('',*,*,#13408,.F.); +#24024=ORIENTED_EDGE('',*,*,#12700,.F.); +#24025=ORIENTED_EDGE('',*,*,#12542,.F.); +#24027=ORIENTED_EDGE('',*,*,#24026,.T.); +#24028=EDGE_LOOP('',(#24023,#24024,#24025,#24027)); +#24029=FACE_OUTER_BOUND('',#24028,.F.); +#24031=CARTESIAN_POINT('',(2.82E1,-5.6E0,0.E0)); +#24032=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24033=DIRECTION('',(0.E0,1.E0,0.E0)); +#24034=AXIS2_PLACEMENT_3D('',#24031,#24032,#24033); +#24035=PLANE('',#24034); +#24036=ORIENTED_EDGE('',*,*,#13135,.T.); +#24038=ORIENTED_EDGE('',*,*,#24037,.F.); +#24039=ORIENTED_EDGE('',*,*,#13418,.T.); +#24041=ORIENTED_EDGE('',*,*,#24040,.T.); +#24042=ORIENTED_EDGE('',*,*,#13151,.T.); +#24044=ORIENTED_EDGE('',*,*,#24043,.F.); +#24045=ORIENTED_EDGE('',*,*,#13410,.T.); +#24046=ORIENTED_EDGE('',*,*,#24026,.F.); +#24047=ORIENTED_EDGE('',*,*,#12557,.F.); +#24049=ORIENTED_EDGE('',*,*,#24048,.T.); +#24050=ORIENTED_EDGE('',*,*,#13426,.T.); +#24052=ORIENTED_EDGE('',*,*,#24051,.T.); +#24053=EDGE_LOOP('',(#24036,#24038,#24039,#24041,#24042,#24044,#24045,#24046, +#24047,#24049,#24050,#24052)); +#24054=FACE_OUTER_BOUND('',#24053,.F.); +#24056=CARTESIAN_POINT('',(2.7E1,-4.2E0,-1.E1)); +#24057=DIRECTION('',(0.E0,1.E0,0.E0)); +#24058=DIRECTION('',(1.E0,0.E0,0.E0)); +#24059=AXIS2_PLACEMENT_3D('',#24056,#24057,#24058); +#24060=PLANE('',#24059); +#24061=ORIENTED_EDGE('',*,*,#24037,.T.); +#24062=ORIENTED_EDGE('',*,*,#13141,.F.); +#24064=ORIENTED_EDGE('',*,*,#24063,.T.); +#24065=ORIENTED_EDGE('',*,*,#13420,.T.); +#24066=EDGE_LOOP('',(#24061,#24062,#24064,#24065)); +#24067=FACE_OUTER_BOUND('',#24066,.F.); +#24069=CARTESIAN_POINT('',(2.7E1,-4.7E0,-1.E1)); +#24070=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24071=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24072=AXIS2_PLACEMENT_3D('',#24069,#24070,#24071); +#24073=CYLINDRICAL_SURFACE('',#24072,5.E-1); +#24074=ORIENTED_EDGE('',*,*,#13422,.T.); +#24075=ORIENTED_EDGE('',*,*,#24063,.F.); +#24076=ORIENTED_EDGE('',*,*,#13139,.F.); +#24078=ORIENTED_EDGE('',*,*,#24077,.T.); +#24079=EDGE_LOOP('',(#24074,#24075,#24076,#24078)); +#24080=FACE_OUTER_BOUND('',#24079,.F.); +#24082=CARTESIAN_POINT('',(2.82E1,-5.2E0,-1.E1)); +#24083=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24084=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24085=AXIS2_PLACEMENT_3D('',#24082,#24083,#24084); +#24086=PLANE('',#24085); +#24087=ORIENTED_EDGE('',*,*,#24051,.F.); +#24088=ORIENTED_EDGE('',*,*,#13424,.T.); +#24089=ORIENTED_EDGE('',*,*,#24077,.F.); +#24090=ORIENTED_EDGE('',*,*,#13137,.F.); +#24091=EDGE_LOOP('',(#24087,#24088,#24089,#24090)); +#24092=FACE_OUTER_BOUND('',#24091,.F.); +#24094=CARTESIAN_POINT('',(2.82E1,4.2E0,-1.E1)); +#24095=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24096=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24097=AXIS2_PLACEMENT_3D('',#24094,#24095,#24096); +#24098=PLANE('',#24097); +#24099=ORIENTED_EDGE('',*,*,#24040,.F.); +#24100=ORIENTED_EDGE('',*,*,#13416,.T.); +#24102=ORIENTED_EDGE('',*,*,#24101,.F.); +#24103=ORIENTED_EDGE('',*,*,#13153,.F.); +#24104=EDGE_LOOP('',(#24099,#24100,#24102,#24103)); +#24105=FACE_OUTER_BOUND('',#24104,.F.); +#24107=CARTESIAN_POINT('',(2.7E1,4.7E0,-1.E1)); +#24108=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24109=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24110=AXIS2_PLACEMENT_3D('',#24107,#24108,#24109); +#24111=CYLINDRICAL_SURFACE('',#24110,5.E-1); +#24112=ORIENTED_EDGE('',*,*,#13414,.T.); +#24114=ORIENTED_EDGE('',*,*,#24113,.F.); +#24115=ORIENTED_EDGE('',*,*,#13155,.F.); +#24116=ORIENTED_EDGE('',*,*,#24101,.T.); +#24117=EDGE_LOOP('',(#24112,#24114,#24115,#24116)); +#24118=FACE_OUTER_BOUND('',#24117,.F.); +#24120=CARTESIAN_POINT('',(2.7E1,5.2E0,-1.E1)); +#24121=DIRECTION('',(0.E0,1.E0,0.E0)); +#24122=DIRECTION('',(1.E0,0.E0,0.E0)); +#24123=AXIS2_PLACEMENT_3D('',#24120,#24121,#24122); +#24124=PLANE('',#24123); +#24125=ORIENTED_EDGE('',*,*,#24043,.T.); +#24126=ORIENTED_EDGE('',*,*,#13157,.F.); +#24127=ORIENTED_EDGE('',*,*,#24113,.T.); +#24128=ORIENTED_EDGE('',*,*,#13412,.T.); +#24129=EDGE_LOOP('',(#24125,#24126,#24127,#24128)); +#24130=FACE_OUTER_BOUND('',#24129,.F.); +#24132=CARTESIAN_POINT('',(2.35E1,-5.6E0,0.E0)); +#24133=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24134=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24135=AXIS2_PLACEMENT_3D('',#24132,#24133,#24134); +#24136=CYLINDRICAL_SURFACE('',#24135,4.7E0); +#24137=ORIENTED_EDGE('',*,*,#13428,.F.); +#24138=ORIENTED_EDGE('',*,*,#24048,.F.); +#24139=ORIENTED_EDGE('',*,*,#12571,.F.); +#24141=ORIENTED_EDGE('',*,*,#24140,.T.); +#24142=EDGE_LOOP('',(#24137,#24138,#24139,#24141)); +#24143=FACE_OUTER_BOUND('',#24142,.F.); +#24145=CARTESIAN_POINT('',(-2.35E1,-1.03E1,0.E0)); +#24146=DIRECTION('',(0.E0,1.E0,0.E0)); +#24147=DIRECTION('',(1.E0,0.E0,0.E0)); +#24148=AXIS2_PLACEMENT_3D('',#24145,#24146,#24147); +#24149=PLANE('',#24148); +#24150=ORIENTED_EDGE('',*,*,#13182,.F.); +#24152=ORIENTED_EDGE('',*,*,#24151,.F.); +#24153=ORIENTED_EDGE('',*,*,#13462,.T.); +#24155=ORIENTED_EDGE('',*,*,#24154,.T.); +#24156=ORIENTED_EDGE('',*,*,#13071,.T.); +#24158=ORIENTED_EDGE('',*,*,#24157,.F.); +#24159=ORIENTED_EDGE('',*,*,#13454,.T.); +#24161=ORIENTED_EDGE('',*,*,#24160,.T.); +#24162=ORIENTED_EDGE('',*,*,#13087,.T.); +#24164=ORIENTED_EDGE('',*,*,#24163,.F.); +#24165=ORIENTED_EDGE('',*,*,#13446,.T.); +#24167=ORIENTED_EDGE('',*,*,#24166,.T.); +#24168=ORIENTED_EDGE('',*,*,#13103,.T.); +#24170=ORIENTED_EDGE('',*,*,#24169,.F.); +#24171=ORIENTED_EDGE('',*,*,#13438,.T.); +#24173=ORIENTED_EDGE('',*,*,#24172,.T.); +#24174=ORIENTED_EDGE('',*,*,#13119,.T.); +#24176=ORIENTED_EDGE('',*,*,#24175,.F.); +#24177=ORIENTED_EDGE('',*,*,#13430,.T.); +#24178=ORIENTED_EDGE('',*,*,#24140,.F.); +#24179=ORIENTED_EDGE('',*,*,#12585,.F.); +#24181=ORIENTED_EDGE('',*,*,#24180,.T.); +#24182=ORIENTED_EDGE('',*,*,#13526,.T.); +#24184=ORIENTED_EDGE('',*,*,#24183,.T.); +#24185=ORIENTED_EDGE('',*,*,#12959,.T.); +#24187=ORIENTED_EDGE('',*,*,#24186,.F.); +#24188=ORIENTED_EDGE('',*,*,#13518,.T.); +#24190=ORIENTED_EDGE('',*,*,#24189,.T.); +#24191=ORIENTED_EDGE('',*,*,#12975,.T.); +#24193=ORIENTED_EDGE('',*,*,#24192,.F.); +#24194=ORIENTED_EDGE('',*,*,#13510,.T.); +#24196=ORIENTED_EDGE('',*,*,#24195,.T.); +#24197=ORIENTED_EDGE('',*,*,#12991,.T.); +#24199=ORIENTED_EDGE('',*,*,#24198,.F.); +#24200=ORIENTED_EDGE('',*,*,#13502,.T.); +#24202=ORIENTED_EDGE('',*,*,#24201,.T.); +#24203=ORIENTED_EDGE('',*,*,#13007,.T.); +#24205=ORIENTED_EDGE('',*,*,#24204,.F.); +#24206=ORIENTED_EDGE('',*,*,#13494,.T.); +#24208=ORIENTED_EDGE('',*,*,#24207,.T.); +#24209=ORIENTED_EDGE('',*,*,#13023,.T.); +#24211=ORIENTED_EDGE('',*,*,#24210,.F.); +#24212=ORIENTED_EDGE('',*,*,#13486,.T.); +#24214=ORIENTED_EDGE('',*,*,#24213,.T.); +#24215=ORIENTED_EDGE('',*,*,#13039,.T.); +#24217=ORIENTED_EDGE('',*,*,#24216,.F.); +#24218=ORIENTED_EDGE('',*,*,#13478,.T.); +#24220=ORIENTED_EDGE('',*,*,#24219,.T.); +#24221=ORIENTED_EDGE('',*,*,#13055,.T.); +#24223=ORIENTED_EDGE('',*,*,#24222,.F.); +#24224=ORIENTED_EDGE('',*,*,#13470,.T.); +#24226=ORIENTED_EDGE('',*,*,#24225,.T.); +#24227=EDGE_LOOP('',(#24150,#24152,#24153,#24155,#24156,#24158,#24159,#24161, +#24162,#24164,#24165,#24167,#24168,#24170,#24171,#24173,#24174,#24176,#24177, +#24178,#24179,#24181,#24182,#24184,#24185,#24187,#24188,#24190,#24191,#24193, +#24194,#24196,#24197,#24199,#24200,#24202,#24203,#24205,#24206,#24208,#24209, +#24211,#24212,#24214,#24215,#24217,#24218,#24220,#24221,#24223,#24224,#24226)); +#24228=FACE_OUTER_BOUND('',#24227,.F.); +#24230=CARTESIAN_POINT('',(5.925E0,-8.075E0,-1.E1)); +#24231=DIRECTION('',(1.E0,0.E0,0.E0)); +#24232=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24233=AXIS2_PLACEMENT_3D('',#24230,#24231,#24232); +#24234=PLANE('',#24233); +#24235=ORIENTED_EDGE('',*,*,#24151,.T.); +#24236=ORIENTED_EDGE('',*,*,#13188,.F.); +#24238=ORIENTED_EDGE('',*,*,#24237,.T.); +#24239=ORIENTED_EDGE('',*,*,#13464,.T.); +#24240=EDGE_LOOP('',(#24235,#24236,#24238,#24239)); +#24241=FACE_OUTER_BOUND('',#24240,.F.); +#24243=CARTESIAN_POINT('',(5.25E0,-8.075E0,-1.E1)); +#24244=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24245=DIRECTION('',(1.E0,0.E0,0.E0)); +#24246=AXIS2_PLACEMENT_3D('',#24243,#24244,#24245); +#24247=CYLINDRICAL_SURFACE('',#24246,6.75E-1); +#24248=ORIENTED_EDGE('',*,*,#13466,.T.); +#24249=ORIENTED_EDGE('',*,*,#24237,.F.); +#24250=ORIENTED_EDGE('',*,*,#13186,.F.); +#24252=ORIENTED_EDGE('',*,*,#24251,.T.); +#24253=EDGE_LOOP('',(#24248,#24249,#24250,#24252)); +#24254=FACE_OUTER_BOUND('',#24253,.F.); +#24256=CARTESIAN_POINT('',(4.575E0,-1.03E1,-1.E1)); +#24257=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24258=DIRECTION('',(0.E0,1.E0,0.E0)); +#24259=AXIS2_PLACEMENT_3D('',#24256,#24257,#24258); +#24260=PLANE('',#24259); +#24261=ORIENTED_EDGE('',*,*,#24225,.F.); +#24262=ORIENTED_EDGE('',*,*,#13468,.T.); +#24263=ORIENTED_EDGE('',*,*,#24251,.F.); +#24264=ORIENTED_EDGE('',*,*,#13184,.F.); +#24265=EDGE_LOOP('',(#24261,#24262,#24263,#24264)); +#24266=FACE_OUTER_BOUND('',#24265,.F.); +#24268=CARTESIAN_POINT('',(8.25E0,-1.03E1,-1.E1)); +#24269=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24270=DIRECTION('',(0.E0,1.E0,0.E0)); +#24271=AXIS2_PLACEMENT_3D('',#24268,#24269,#24270); +#24272=PLANE('',#24271); +#24273=ORIENTED_EDGE('',*,*,#24154,.F.); +#24274=ORIENTED_EDGE('',*,*,#13460,.T.); +#24276=ORIENTED_EDGE('',*,*,#24275,.F.); +#24277=ORIENTED_EDGE('',*,*,#13073,.F.); +#24278=EDGE_LOOP('',(#24273,#24274,#24276,#24277)); +#24279=FACE_OUTER_BOUND('',#24278,.F.); +#24281=CARTESIAN_POINT('',(8.75E0,-9.1E0,-1.E1)); +#24282=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24283=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24284=AXIS2_PLACEMENT_3D('',#24281,#24282,#24283); +#24285=CYLINDRICAL_SURFACE('',#24284,5.E-1); +#24286=ORIENTED_EDGE('',*,*,#13458,.T.); +#24288=ORIENTED_EDGE('',*,*,#24287,.F.); +#24289=ORIENTED_EDGE('',*,*,#13075,.F.); +#24290=ORIENTED_EDGE('',*,*,#24275,.T.); +#24291=EDGE_LOOP('',(#24286,#24288,#24289,#24290)); +#24292=FACE_OUTER_BOUND('',#24291,.F.); +#24294=CARTESIAN_POINT('',(9.25E0,-9.1E0,-1.E1)); +#24295=DIRECTION('',(1.E0,0.E0,0.E0)); +#24296=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24297=AXIS2_PLACEMENT_3D('',#24294,#24295,#24296); +#24298=PLANE('',#24297); +#24299=ORIENTED_EDGE('',*,*,#24157,.T.); +#24300=ORIENTED_EDGE('',*,*,#13077,.F.); +#24301=ORIENTED_EDGE('',*,*,#24287,.T.); +#24302=ORIENTED_EDGE('',*,*,#13456,.T.); +#24303=EDGE_LOOP('',(#24299,#24300,#24301,#24302)); +#24304=FACE_OUTER_BOUND('',#24303,.F.); +#24306=CARTESIAN_POINT('',(1.175E1,-1.03E1,-1.E1)); +#24307=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24308=DIRECTION('',(0.E0,1.E0,0.E0)); +#24309=AXIS2_PLACEMENT_3D('',#24306,#24307,#24308); +#24310=PLANE('',#24309); +#24311=ORIENTED_EDGE('',*,*,#24160,.F.); +#24312=ORIENTED_EDGE('',*,*,#13452,.T.); +#24314=ORIENTED_EDGE('',*,*,#24313,.F.); +#24315=ORIENTED_EDGE('',*,*,#13089,.F.); +#24316=EDGE_LOOP('',(#24311,#24312,#24314,#24315)); +#24317=FACE_OUTER_BOUND('',#24316,.F.); +#24319=CARTESIAN_POINT('',(1.225E1,-9.1E0,-1.E1)); +#24320=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24321=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24322=AXIS2_PLACEMENT_3D('',#24319,#24320,#24321); +#24323=CYLINDRICAL_SURFACE('',#24322,5.E-1); +#24324=ORIENTED_EDGE('',*,*,#13450,.T.); +#24326=ORIENTED_EDGE('',*,*,#24325,.F.); +#24327=ORIENTED_EDGE('',*,*,#13091,.F.); +#24328=ORIENTED_EDGE('',*,*,#24313,.T.); +#24329=EDGE_LOOP('',(#24324,#24326,#24327,#24328)); +#24330=FACE_OUTER_BOUND('',#24329,.F.); +#24332=CARTESIAN_POINT('',(1.275E1,-9.1E0,-1.E1)); +#24333=DIRECTION('',(1.E0,0.E0,0.E0)); +#24334=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24335=AXIS2_PLACEMENT_3D('',#24332,#24333,#24334); +#24336=PLANE('',#24335); +#24337=ORIENTED_EDGE('',*,*,#24163,.T.); +#24338=ORIENTED_EDGE('',*,*,#13093,.F.); +#24339=ORIENTED_EDGE('',*,*,#24325,.T.); +#24340=ORIENTED_EDGE('',*,*,#13448,.T.); +#24341=EDGE_LOOP('',(#24337,#24338,#24339,#24340)); +#24342=FACE_OUTER_BOUND('',#24341,.F.); +#24344=CARTESIAN_POINT('',(1.525E1,-1.03E1,-1.E1)); +#24345=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24346=DIRECTION('',(0.E0,1.E0,0.E0)); +#24347=AXIS2_PLACEMENT_3D('',#24344,#24345,#24346); +#24348=PLANE('',#24347); +#24349=ORIENTED_EDGE('',*,*,#24166,.F.); +#24350=ORIENTED_EDGE('',*,*,#13444,.T.); +#24352=ORIENTED_EDGE('',*,*,#24351,.F.); +#24353=ORIENTED_EDGE('',*,*,#13105,.F.); +#24354=EDGE_LOOP('',(#24349,#24350,#24352,#24353)); +#24355=FACE_OUTER_BOUND('',#24354,.F.); +#24357=CARTESIAN_POINT('',(1.575E1,-9.1E0,-1.E1)); +#24358=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24359=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24360=AXIS2_PLACEMENT_3D('',#24357,#24358,#24359); +#24361=CYLINDRICAL_SURFACE('',#24360,5.E-1); +#24362=ORIENTED_EDGE('',*,*,#13442,.T.); +#24364=ORIENTED_EDGE('',*,*,#24363,.F.); +#24365=ORIENTED_EDGE('',*,*,#13107,.F.); +#24366=ORIENTED_EDGE('',*,*,#24351,.T.); +#24367=EDGE_LOOP('',(#24362,#24364,#24365,#24366)); +#24368=FACE_OUTER_BOUND('',#24367,.F.); +#24370=CARTESIAN_POINT('',(1.625E1,-9.1E0,-1.E1)); +#24371=DIRECTION('',(1.E0,0.E0,0.E0)); +#24372=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24373=AXIS2_PLACEMENT_3D('',#24370,#24371,#24372); +#24374=PLANE('',#24373); +#24375=ORIENTED_EDGE('',*,*,#24169,.T.); +#24376=ORIENTED_EDGE('',*,*,#13109,.F.); +#24377=ORIENTED_EDGE('',*,*,#24363,.T.); +#24378=ORIENTED_EDGE('',*,*,#13440,.T.); +#24379=EDGE_LOOP('',(#24375,#24376,#24377,#24378)); +#24380=FACE_OUTER_BOUND('',#24379,.F.); +#24382=CARTESIAN_POINT('',(1.875E1,-1.03E1,-1.E1)); +#24383=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24384=DIRECTION('',(0.E0,1.E0,0.E0)); +#24385=AXIS2_PLACEMENT_3D('',#24382,#24383,#24384); +#24386=PLANE('',#24385); +#24387=ORIENTED_EDGE('',*,*,#24172,.F.); +#24388=ORIENTED_EDGE('',*,*,#13436,.T.); +#24390=ORIENTED_EDGE('',*,*,#24389,.F.); +#24391=ORIENTED_EDGE('',*,*,#13121,.F.); +#24392=EDGE_LOOP('',(#24387,#24388,#24390,#24391)); +#24393=FACE_OUTER_BOUND('',#24392,.F.); +#24395=CARTESIAN_POINT('',(1.925E1,-9.1E0,-1.E1)); +#24396=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24397=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24398=AXIS2_PLACEMENT_3D('',#24395,#24396,#24397); +#24399=CYLINDRICAL_SURFACE('',#24398,5.E-1); +#24400=ORIENTED_EDGE('',*,*,#13434,.T.); +#24402=ORIENTED_EDGE('',*,*,#24401,.F.); +#24403=ORIENTED_EDGE('',*,*,#13123,.F.); +#24404=ORIENTED_EDGE('',*,*,#24389,.T.); +#24405=EDGE_LOOP('',(#24400,#24402,#24403,#24404)); +#24406=FACE_OUTER_BOUND('',#24405,.F.); +#24408=CARTESIAN_POINT('',(1.975E1,-9.1E0,-1.E1)); +#24409=DIRECTION('',(1.E0,0.E0,0.E0)); +#24410=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24411=AXIS2_PLACEMENT_3D('',#24408,#24409,#24410); +#24412=PLANE('',#24411); +#24413=ORIENTED_EDGE('',*,*,#24175,.T.); +#24414=ORIENTED_EDGE('',*,*,#13125,.F.); +#24415=ORIENTED_EDGE('',*,*,#24401,.T.); +#24416=ORIENTED_EDGE('',*,*,#13432,.T.); +#24417=EDGE_LOOP('',(#24413,#24414,#24415,#24416)); +#24418=FACE_OUTER_BOUND('',#24417,.F.); +#24420=CARTESIAN_POINT('',(-2.35E1,-5.6E0,0.E0)); +#24421=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24422=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24423=AXIS2_PLACEMENT_3D('',#24420,#24421,#24422); +#24424=CYLINDRICAL_SURFACE('',#24423,4.7E0); +#24425=ORIENTED_EDGE('',*,*,#13528,.F.); +#24426=ORIENTED_EDGE('',*,*,#24180,.F.); +#24427=ORIENTED_EDGE('',*,*,#12599,.F.); +#24429=ORIENTED_EDGE('',*,*,#24428,.T.); +#24430=EDGE_LOOP('',(#24425,#24426,#24427,#24429)); +#24431=FACE_OUTER_BOUND('',#24430,.F.); +#24433=CARTESIAN_POINT('',(-2.82E1,5.6E0,0.E0)); +#24434=DIRECTION('',(1.E0,0.E0,0.E0)); +#24435=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24436=AXIS2_PLACEMENT_3D('',#24433,#24434,#24435); +#24437=PLANE('',#24436); +#24438=ORIENTED_EDGE('',*,*,#12927,.T.); +#24440=ORIENTED_EDGE('',*,*,#24439,.F.); +#24441=ORIENTED_EDGE('',*,*,#13538,.T.); +#24443=ORIENTED_EDGE('',*,*,#24442,.T.); +#24444=ORIENTED_EDGE('',*,*,#12943,.T.); +#24446=ORIENTED_EDGE('',*,*,#24445,.F.); +#24447=ORIENTED_EDGE('',*,*,#13530,.T.); +#24448=ORIENTED_EDGE('',*,*,#24428,.F.); +#24449=ORIENTED_EDGE('',*,*,#12613,.F.); +#24451=ORIENTED_EDGE('',*,*,#24450,.T.); +#24452=ORIENTED_EDGE('',*,*,#13546,.T.); +#24454=ORIENTED_EDGE('',*,*,#24453,.T.); +#24455=EDGE_LOOP('',(#24438,#24440,#24441,#24443,#24444,#24446,#24447,#24448, +#24449,#24451,#24452,#24454)); +#24456=FACE_OUTER_BOUND('',#24455,.F.); +#24458=CARTESIAN_POINT('',(-2.7E1,4.2E0,-1.E1)); +#24459=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24460=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24461=AXIS2_PLACEMENT_3D('',#24458,#24459,#24460); +#24462=PLANE('',#24461); +#24463=ORIENTED_EDGE('',*,*,#24439,.T.); +#24464=ORIENTED_EDGE('',*,*,#12933,.F.); +#24466=ORIENTED_EDGE('',*,*,#24465,.T.); +#24467=ORIENTED_EDGE('',*,*,#13540,.T.); +#24468=EDGE_LOOP('',(#24463,#24464,#24466,#24467)); +#24469=FACE_OUTER_BOUND('',#24468,.F.); +#24471=CARTESIAN_POINT('',(-2.7E1,4.7E0,-1.E1)); +#24472=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24473=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24474=AXIS2_PLACEMENT_3D('',#24471,#24472,#24473); +#24475=CYLINDRICAL_SURFACE('',#24474,5.E-1); +#24476=ORIENTED_EDGE('',*,*,#13542,.T.); +#24477=ORIENTED_EDGE('',*,*,#24465,.F.); +#24478=ORIENTED_EDGE('',*,*,#12931,.F.); +#24480=ORIENTED_EDGE('',*,*,#24479,.T.); +#24481=EDGE_LOOP('',(#24476,#24477,#24478,#24480)); +#24482=FACE_OUTER_BOUND('',#24481,.F.); +#24484=CARTESIAN_POINT('',(-2.82E1,5.2E0,-1.E1)); +#24485=DIRECTION('',(0.E0,1.E0,0.E0)); +#24486=DIRECTION('',(1.E0,0.E0,0.E0)); +#24487=AXIS2_PLACEMENT_3D('',#24484,#24485,#24486); +#24488=PLANE('',#24487); +#24489=ORIENTED_EDGE('',*,*,#13544,.T.); +#24490=ORIENTED_EDGE('',*,*,#24479,.F.); +#24491=ORIENTED_EDGE('',*,*,#12929,.F.); +#24492=ORIENTED_EDGE('',*,*,#24453,.F.); +#24493=EDGE_LOOP('',(#24489,#24490,#24491,#24492)); +#24494=FACE_OUTER_BOUND('',#24493,.F.); +#24496=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-1.E1)); +#24497=DIRECTION('',(0.E0,1.E0,0.E0)); +#24498=DIRECTION('',(1.E0,0.E0,0.E0)); +#24499=AXIS2_PLACEMENT_3D('',#24496,#24497,#24498); +#24500=PLANE('',#24499); +#24501=ORIENTED_EDGE('',*,*,#24442,.F.); +#24502=ORIENTED_EDGE('',*,*,#13536,.T.); +#24504=ORIENTED_EDGE('',*,*,#24503,.F.); +#24505=ORIENTED_EDGE('',*,*,#12945,.F.); +#24506=EDGE_LOOP('',(#24501,#24502,#24504,#24505)); +#24507=FACE_OUTER_BOUND('',#24506,.F.); +#24509=CARTESIAN_POINT('',(-2.7E1,-4.7E0,-1.E1)); +#24510=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24511=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24512=AXIS2_PLACEMENT_3D('',#24509,#24510,#24511); +#24513=CYLINDRICAL_SURFACE('',#24512,5.E-1); +#24514=ORIENTED_EDGE('',*,*,#13534,.T.); +#24516=ORIENTED_EDGE('',*,*,#24515,.F.); +#24517=ORIENTED_EDGE('',*,*,#12947,.F.); +#24518=ORIENTED_EDGE('',*,*,#24503,.T.); +#24519=EDGE_LOOP('',(#24514,#24516,#24517,#24518)); +#24520=FACE_OUTER_BOUND('',#24519,.F.); +#24522=CARTESIAN_POINT('',(-2.7E1,-5.2E0,-1.E1)); +#24523=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24524=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24525=AXIS2_PLACEMENT_3D('',#24522,#24523,#24524); +#24526=PLANE('',#24525); +#24527=ORIENTED_EDGE('',*,*,#24445,.T.); +#24528=ORIENTED_EDGE('',*,*,#12949,.F.); +#24529=ORIENTED_EDGE('',*,*,#24515,.T.); +#24530=ORIENTED_EDGE('',*,*,#13532,.T.); +#24531=EDGE_LOOP('',(#24527,#24528,#24529,#24530)); +#24532=FACE_OUTER_BOUND('',#24531,.F.); +#24534=CARTESIAN_POINT('',(-2.35E1,5.6E0,0.E0)); +#24535=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24536=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24537=AXIS2_PLACEMENT_3D('',#24534,#24535,#24536); +#24538=CYLINDRICAL_SURFACE('',#24537,4.7E0); +#24539=ORIENTED_EDGE('',*,*,#13548,.F.); +#24540=ORIENTED_EDGE('',*,*,#24450,.F.); +#24541=ORIENTED_EDGE('',*,*,#12627,.F.); +#24542=ORIENTED_EDGE('',*,*,#12697,.T.); +#24543=EDGE_LOOP('',(#24539,#24540,#24541,#24542)); +#24544=FACE_OUTER_BOUND('',#24543,.F.); +#24546=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-1.E1)); +#24547=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24548=DIRECTION('',(0.E0,1.E0,0.E0)); +#24549=AXIS2_PLACEMENT_3D('',#24546,#24547,#24548); +#24550=PLANE('',#24549); +#24551=ORIENTED_EDGE('',*,*,#24183,.F.); +#24552=ORIENTED_EDGE('',*,*,#13524,.T.); +#24554=ORIENTED_EDGE('',*,*,#24553,.F.); +#24555=ORIENTED_EDGE('',*,*,#12961,.F.); +#24556=EDGE_LOOP('',(#24551,#24552,#24554,#24555)); +#24557=FACE_OUTER_BOUND('',#24556,.F.); +#24559=CARTESIAN_POINT('',(-1.925E1,-9.1E0,-1.E1)); +#24560=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24561=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24562=AXIS2_PLACEMENT_3D('',#24559,#24560,#24561); +#24563=CYLINDRICAL_SURFACE('',#24562,5.E-1); +#24564=ORIENTED_EDGE('',*,*,#13522,.T.); +#24566=ORIENTED_EDGE('',*,*,#24565,.F.); +#24567=ORIENTED_EDGE('',*,*,#12963,.F.); +#24568=ORIENTED_EDGE('',*,*,#24553,.T.); +#24569=EDGE_LOOP('',(#24564,#24566,#24567,#24568)); +#24570=FACE_OUTER_BOUND('',#24569,.F.); +#24572=CARTESIAN_POINT('',(-1.875E1,-9.1E0,-1.E1)); +#24573=DIRECTION('',(1.E0,0.E0,0.E0)); +#24574=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24575=AXIS2_PLACEMENT_3D('',#24572,#24573,#24574); +#24576=PLANE('',#24575); +#24577=ORIENTED_EDGE('',*,*,#24186,.T.); +#24578=ORIENTED_EDGE('',*,*,#12965,.F.); +#24579=ORIENTED_EDGE('',*,*,#24565,.T.); +#24580=ORIENTED_EDGE('',*,*,#13520,.T.); +#24581=EDGE_LOOP('',(#24577,#24578,#24579,#24580)); +#24582=FACE_OUTER_BOUND('',#24581,.F.); +#24584=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-1.E1)); +#24585=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24586=DIRECTION('',(0.E0,1.E0,0.E0)); +#24587=AXIS2_PLACEMENT_3D('',#24584,#24585,#24586); +#24588=PLANE('',#24587); +#24589=ORIENTED_EDGE('',*,*,#24189,.F.); +#24590=ORIENTED_EDGE('',*,*,#13516,.T.); +#24592=ORIENTED_EDGE('',*,*,#24591,.F.); +#24593=ORIENTED_EDGE('',*,*,#12977,.F.); +#24594=EDGE_LOOP('',(#24589,#24590,#24592,#24593)); +#24595=FACE_OUTER_BOUND('',#24594,.F.); +#24597=CARTESIAN_POINT('',(-1.575E1,-9.1E0,-1.E1)); +#24598=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24599=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24600=AXIS2_PLACEMENT_3D('',#24597,#24598,#24599); +#24601=CYLINDRICAL_SURFACE('',#24600,5.E-1); +#24602=ORIENTED_EDGE('',*,*,#13514,.T.); +#24604=ORIENTED_EDGE('',*,*,#24603,.F.); +#24605=ORIENTED_EDGE('',*,*,#12979,.F.); +#24606=ORIENTED_EDGE('',*,*,#24591,.T.); +#24607=EDGE_LOOP('',(#24602,#24604,#24605,#24606)); +#24608=FACE_OUTER_BOUND('',#24607,.F.); +#24610=CARTESIAN_POINT('',(-1.525E1,-9.1E0,-1.E1)); +#24611=DIRECTION('',(1.E0,0.E0,0.E0)); +#24612=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24613=AXIS2_PLACEMENT_3D('',#24610,#24611,#24612); +#24614=PLANE('',#24613); +#24615=ORIENTED_EDGE('',*,*,#24192,.T.); +#24616=ORIENTED_EDGE('',*,*,#12981,.F.); +#24617=ORIENTED_EDGE('',*,*,#24603,.T.); +#24618=ORIENTED_EDGE('',*,*,#13512,.T.); +#24619=EDGE_LOOP('',(#24615,#24616,#24617,#24618)); +#24620=FACE_OUTER_BOUND('',#24619,.F.); +#24622=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-1.E1)); +#24623=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24624=DIRECTION('',(0.E0,1.E0,0.E0)); +#24625=AXIS2_PLACEMENT_3D('',#24622,#24623,#24624); +#24626=PLANE('',#24625); +#24627=ORIENTED_EDGE('',*,*,#24195,.F.); +#24628=ORIENTED_EDGE('',*,*,#13508,.T.); +#24630=ORIENTED_EDGE('',*,*,#24629,.F.); +#24631=ORIENTED_EDGE('',*,*,#12993,.F.); +#24632=EDGE_LOOP('',(#24627,#24628,#24630,#24631)); +#24633=FACE_OUTER_BOUND('',#24632,.F.); +#24635=CARTESIAN_POINT('',(-1.225E1,-9.1E0,-1.E1)); +#24636=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24637=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24638=AXIS2_PLACEMENT_3D('',#24635,#24636,#24637); +#24639=CYLINDRICAL_SURFACE('',#24638,5.E-1); +#24640=ORIENTED_EDGE('',*,*,#13506,.T.); +#24642=ORIENTED_EDGE('',*,*,#24641,.F.); +#24643=ORIENTED_EDGE('',*,*,#12995,.F.); +#24644=ORIENTED_EDGE('',*,*,#24629,.T.); +#24645=EDGE_LOOP('',(#24640,#24642,#24643,#24644)); +#24646=FACE_OUTER_BOUND('',#24645,.F.); +#24648=CARTESIAN_POINT('',(-1.175E1,-9.1E0,-1.E1)); +#24649=DIRECTION('',(1.E0,0.E0,0.E0)); +#24650=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24651=AXIS2_PLACEMENT_3D('',#24648,#24649,#24650); +#24652=PLANE('',#24651); +#24653=ORIENTED_EDGE('',*,*,#24198,.T.); +#24654=ORIENTED_EDGE('',*,*,#12997,.F.); +#24655=ORIENTED_EDGE('',*,*,#24641,.T.); +#24656=ORIENTED_EDGE('',*,*,#13504,.T.); +#24657=EDGE_LOOP('',(#24653,#24654,#24655,#24656)); +#24658=FACE_OUTER_BOUND('',#24657,.F.); +#24660=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-1.E1)); +#24661=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24662=DIRECTION('',(0.E0,1.E0,0.E0)); +#24663=AXIS2_PLACEMENT_3D('',#24660,#24661,#24662); +#24664=PLANE('',#24663); +#24665=ORIENTED_EDGE('',*,*,#24201,.F.); +#24666=ORIENTED_EDGE('',*,*,#13500,.T.); +#24668=ORIENTED_EDGE('',*,*,#24667,.F.); +#24669=ORIENTED_EDGE('',*,*,#13009,.F.); +#24670=EDGE_LOOP('',(#24665,#24666,#24668,#24669)); +#24671=FACE_OUTER_BOUND('',#24670,.F.); +#24673=CARTESIAN_POINT('',(-8.75E0,-9.1E0,-1.E1)); +#24674=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24675=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24676=AXIS2_PLACEMENT_3D('',#24673,#24674,#24675); +#24677=CYLINDRICAL_SURFACE('',#24676,5.E-1); +#24678=ORIENTED_EDGE('',*,*,#13498,.T.); +#24680=ORIENTED_EDGE('',*,*,#24679,.F.); +#24681=ORIENTED_EDGE('',*,*,#13011,.F.); +#24682=ORIENTED_EDGE('',*,*,#24667,.T.); +#24683=EDGE_LOOP('',(#24678,#24680,#24681,#24682)); +#24684=FACE_OUTER_BOUND('',#24683,.F.); +#24686=CARTESIAN_POINT('',(-8.25E0,-9.1E0,-1.E1)); +#24687=DIRECTION('',(1.E0,2.368475785867E-14,0.E0)); +#24688=DIRECTION('',(2.368475785867E-14,-1.E0,0.E0)); +#24689=AXIS2_PLACEMENT_3D('',#24686,#24687,#24688); +#24690=PLANE('',#24689); +#24691=ORIENTED_EDGE('',*,*,#24204,.T.); +#24692=ORIENTED_EDGE('',*,*,#13013,.F.); +#24693=ORIENTED_EDGE('',*,*,#24679,.T.); +#24694=ORIENTED_EDGE('',*,*,#13496,.T.); +#24695=EDGE_LOOP('',(#24691,#24692,#24693,#24694)); +#24696=FACE_OUTER_BOUND('',#24695,.F.); +#24698=CARTESIAN_POINT('',(-5.75E0,-1.03E1,-1.E1)); +#24699=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24700=DIRECTION('',(0.E0,1.E0,0.E0)); +#24701=AXIS2_PLACEMENT_3D('',#24698,#24699,#24700); +#24702=PLANE('',#24701); +#24703=ORIENTED_EDGE('',*,*,#24207,.F.); +#24704=ORIENTED_EDGE('',*,*,#13492,.T.); +#24706=ORIENTED_EDGE('',*,*,#24705,.F.); +#24707=ORIENTED_EDGE('',*,*,#13025,.F.); +#24708=EDGE_LOOP('',(#24703,#24704,#24706,#24707)); +#24709=FACE_OUTER_BOUND('',#24708,.F.); +#24711=CARTESIAN_POINT('',(-5.25E0,-9.1E0,-1.E1)); +#24712=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24713=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24714=AXIS2_PLACEMENT_3D('',#24711,#24712,#24713); +#24715=CYLINDRICAL_SURFACE('',#24714,5.E-1); +#24716=ORIENTED_EDGE('',*,*,#13490,.T.); +#24718=ORIENTED_EDGE('',*,*,#24717,.F.); +#24719=ORIENTED_EDGE('',*,*,#13027,.F.); +#24720=ORIENTED_EDGE('',*,*,#24705,.T.); +#24721=EDGE_LOOP('',(#24716,#24718,#24719,#24720)); +#24722=FACE_OUTER_BOUND('',#24721,.F.); +#24724=CARTESIAN_POINT('',(-4.75E0,-9.1E0,-1.E1)); +#24725=DIRECTION('',(1.E0,0.E0,0.E0)); +#24726=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24727=AXIS2_PLACEMENT_3D('',#24724,#24725,#24726); +#24728=PLANE('',#24727); +#24729=ORIENTED_EDGE('',*,*,#24210,.T.); +#24730=ORIENTED_EDGE('',*,*,#13029,.F.); +#24731=ORIENTED_EDGE('',*,*,#24717,.T.); +#24732=ORIENTED_EDGE('',*,*,#13488,.T.); +#24733=EDGE_LOOP('',(#24729,#24730,#24731,#24732)); +#24734=FACE_OUTER_BOUND('',#24733,.F.); +#24736=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-1.E1)); +#24737=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24738=DIRECTION('',(0.E0,1.E0,0.E0)); +#24739=AXIS2_PLACEMENT_3D('',#24736,#24737,#24738); +#24740=PLANE('',#24739); +#24741=ORIENTED_EDGE('',*,*,#24213,.F.); +#24742=ORIENTED_EDGE('',*,*,#13484,.T.); +#24744=ORIENTED_EDGE('',*,*,#24743,.F.); +#24745=ORIENTED_EDGE('',*,*,#13041,.F.); +#24746=EDGE_LOOP('',(#24741,#24742,#24744,#24745)); +#24747=FACE_OUTER_BOUND('',#24746,.F.); +#24749=CARTESIAN_POINT('',(-1.75E0,-9.1E0,-1.E1)); +#24750=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24751=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24752=AXIS2_PLACEMENT_3D('',#24749,#24750,#24751); +#24753=CYLINDRICAL_SURFACE('',#24752,5.E-1); +#24754=ORIENTED_EDGE('',*,*,#13482,.T.); +#24756=ORIENTED_EDGE('',*,*,#24755,.F.); +#24757=ORIENTED_EDGE('',*,*,#13043,.F.); +#24758=ORIENTED_EDGE('',*,*,#24743,.T.); +#24759=EDGE_LOOP('',(#24754,#24756,#24757,#24758)); +#24760=FACE_OUTER_BOUND('',#24759,.F.); +#24762=CARTESIAN_POINT('',(-1.25E0,-9.1E0,-1.E1)); +#24763=DIRECTION('',(1.E0,0.E0,0.E0)); +#24764=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24765=AXIS2_PLACEMENT_3D('',#24762,#24763,#24764); +#24766=PLANE('',#24765); +#24767=ORIENTED_EDGE('',*,*,#24216,.T.); +#24768=ORIENTED_EDGE('',*,*,#13045,.F.); +#24769=ORIENTED_EDGE('',*,*,#24755,.T.); +#24770=ORIENTED_EDGE('',*,*,#13480,.T.); +#24771=EDGE_LOOP('',(#24767,#24768,#24769,#24770)); +#24772=FACE_OUTER_BOUND('',#24771,.F.); +#24774=CARTESIAN_POINT('',(1.25E0,-1.03E1,-1.E1)); +#24775=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24776=DIRECTION('',(0.E0,1.E0,0.E0)); +#24777=AXIS2_PLACEMENT_3D('',#24774,#24775,#24776); +#24778=PLANE('',#24777); +#24779=ORIENTED_EDGE('',*,*,#24219,.F.); +#24780=ORIENTED_EDGE('',*,*,#13476,.T.); +#24782=ORIENTED_EDGE('',*,*,#24781,.F.); +#24783=ORIENTED_EDGE('',*,*,#13057,.F.); +#24784=EDGE_LOOP('',(#24779,#24780,#24782,#24783)); +#24785=FACE_OUTER_BOUND('',#24784,.F.); +#24787=CARTESIAN_POINT('',(1.75E0,-9.1E0,-1.E1)); +#24788=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24789=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24790=AXIS2_PLACEMENT_3D('',#24787,#24788,#24789); +#24791=CYLINDRICAL_SURFACE('',#24790,5.E-1); +#24792=ORIENTED_EDGE('',*,*,#13474,.T.); +#24794=ORIENTED_EDGE('',*,*,#24793,.F.); +#24795=ORIENTED_EDGE('',*,*,#13059,.F.); +#24796=ORIENTED_EDGE('',*,*,#24781,.T.); +#24797=EDGE_LOOP('',(#24792,#24794,#24795,#24796)); +#24798=FACE_OUTER_BOUND('',#24797,.F.); +#24800=CARTESIAN_POINT('',(2.25E0,-9.1E0,-1.E1)); +#24801=DIRECTION('',(1.E0,0.E0,0.E0)); +#24802=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24803=AXIS2_PLACEMENT_3D('',#24800,#24801,#24802); +#24804=PLANE('',#24803); +#24805=ORIENTED_EDGE('',*,*,#24222,.T.); +#24806=ORIENTED_EDGE('',*,*,#13061,.F.); +#24807=ORIENTED_EDGE('',*,*,#24793,.T.); +#24808=ORIENTED_EDGE('',*,*,#13472,.T.); +#24809=EDGE_LOOP('',(#24805,#24806,#24807,#24808)); +#24810=FACE_OUTER_BOUND('',#24809,.F.); +#24812=CARTESIAN_POINT('',(-1.975E1,9.1E0,-1.E1)); +#24813=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24814=DIRECTION('',(0.E0,1.E0,0.E0)); +#24815=AXIS2_PLACEMENT_3D('',#24812,#24813,#24814); +#24816=PLANE('',#24815); +#24817=ORIENTED_EDGE('',*,*,#12693,.T.); +#24818=ORIENTED_EDGE('',*,*,#12917,.F.); +#24820=ORIENTED_EDGE('',*,*,#24819,.T.); +#24821=ORIENTED_EDGE('',*,*,#13551,.T.); +#24822=EDGE_LOOP('',(#24817,#24818,#24820,#24821)); +#24823=FACE_OUTER_BOUND('',#24822,.F.); +#24825=CARTESIAN_POINT('',(-1.925E1,9.1E0,-1.E1)); +#24826=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24827=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24828=AXIS2_PLACEMENT_3D('',#24825,#24826,#24827); +#24829=CYLINDRICAL_SURFACE('',#24828,5.E-1); +#24830=ORIENTED_EDGE('',*,*,#13553,.T.); +#24831=ORIENTED_EDGE('',*,*,#24819,.F.); +#24832=ORIENTED_EDGE('',*,*,#12915,.F.); +#24834=ORIENTED_EDGE('',*,*,#24833,.T.); +#24835=EDGE_LOOP('',(#24830,#24831,#24832,#24834)); +#24836=FACE_OUTER_BOUND('',#24835,.F.); +#24838=CARTESIAN_POINT('',(-1.875E1,1.03E1,-1.E1)); +#24839=DIRECTION('',(1.E0,0.E0,0.E0)); +#24840=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24841=AXIS2_PLACEMENT_3D('',#24838,#24839,#24840); +#24842=PLANE('',#24841); +#24843=ORIENTED_EDGE('',*,*,#12689,.F.); +#24844=ORIENTED_EDGE('',*,*,#13555,.T.); +#24845=ORIENTED_EDGE('',*,*,#24833,.F.); +#24846=ORIENTED_EDGE('',*,*,#12913,.F.); +#24847=EDGE_LOOP('',(#24843,#24844,#24845,#24846)); +#24848=FACE_OUTER_BOUND('',#24847,.F.); +#24850=CARTESIAN_POINT('',(-1.625E1,9.1E0,-1.E1)); +#24851=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24852=DIRECTION('',(0.E0,1.E0,0.E0)); +#24853=AXIS2_PLACEMENT_3D('',#24850,#24851,#24852); +#24854=PLANE('',#24853); +#24855=ORIENTED_EDGE('',*,*,#12685,.T.); +#24856=ORIENTED_EDGE('',*,*,#12902,.F.); +#24858=ORIENTED_EDGE('',*,*,#24857,.T.); +#24859=ORIENTED_EDGE('',*,*,#13558,.T.); +#24860=EDGE_LOOP('',(#24855,#24856,#24858,#24859)); +#24861=FACE_OUTER_BOUND('',#24860,.F.); +#24863=CARTESIAN_POINT('',(-1.575E1,9.1E0,-1.E1)); +#24864=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24865=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24866=AXIS2_PLACEMENT_3D('',#24863,#24864,#24865); +#24867=CYLINDRICAL_SURFACE('',#24866,5.E-1); +#24868=ORIENTED_EDGE('',*,*,#13560,.T.); +#24869=ORIENTED_EDGE('',*,*,#24857,.F.); +#24870=ORIENTED_EDGE('',*,*,#12900,.F.); +#24872=ORIENTED_EDGE('',*,*,#24871,.T.); +#24873=EDGE_LOOP('',(#24868,#24869,#24870,#24872)); +#24874=FACE_OUTER_BOUND('',#24873,.F.); +#24876=CARTESIAN_POINT('',(-1.525E1,1.03E1,-1.E1)); +#24877=DIRECTION('',(1.E0,0.E0,0.E0)); +#24878=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24879=AXIS2_PLACEMENT_3D('',#24876,#24877,#24878); +#24880=PLANE('',#24879); +#24881=ORIENTED_EDGE('',*,*,#12681,.F.); +#24882=ORIENTED_EDGE('',*,*,#13562,.T.); +#24883=ORIENTED_EDGE('',*,*,#24871,.F.); +#24884=ORIENTED_EDGE('',*,*,#12898,.F.); +#24885=EDGE_LOOP('',(#24881,#24882,#24883,#24884)); +#24886=FACE_OUTER_BOUND('',#24885,.F.); +#24888=CARTESIAN_POINT('',(-1.275E1,9.1E0,-1.E1)); +#24889=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24890=DIRECTION('',(0.E0,1.E0,0.E0)); +#24891=AXIS2_PLACEMENT_3D('',#24888,#24889,#24890); +#24892=PLANE('',#24891); +#24893=ORIENTED_EDGE('',*,*,#12677,.T.); +#24894=ORIENTED_EDGE('',*,*,#12887,.F.); +#24896=ORIENTED_EDGE('',*,*,#24895,.T.); +#24897=ORIENTED_EDGE('',*,*,#13565,.T.); +#24898=EDGE_LOOP('',(#24893,#24894,#24896,#24897)); +#24899=FACE_OUTER_BOUND('',#24898,.F.); +#24901=CARTESIAN_POINT('',(-1.225E1,9.1E0,-1.E1)); +#24902=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24903=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24904=AXIS2_PLACEMENT_3D('',#24901,#24902,#24903); +#24905=CYLINDRICAL_SURFACE('',#24904,5.E-1); +#24906=ORIENTED_EDGE('',*,*,#13567,.T.); +#24907=ORIENTED_EDGE('',*,*,#24895,.F.); +#24908=ORIENTED_EDGE('',*,*,#12885,.F.); +#24910=ORIENTED_EDGE('',*,*,#24909,.T.); +#24911=EDGE_LOOP('',(#24906,#24907,#24908,#24910)); +#24912=FACE_OUTER_BOUND('',#24911,.F.); +#24914=CARTESIAN_POINT('',(-1.175E1,1.03E1,-1.E1)); +#24915=DIRECTION('',(1.E0,0.E0,0.E0)); +#24916=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24917=AXIS2_PLACEMENT_3D('',#24914,#24915,#24916); +#24918=PLANE('',#24917); +#24919=ORIENTED_EDGE('',*,*,#12673,.F.); +#24920=ORIENTED_EDGE('',*,*,#13569,.T.); +#24921=ORIENTED_EDGE('',*,*,#24909,.F.); +#24922=ORIENTED_EDGE('',*,*,#12883,.F.); +#24923=EDGE_LOOP('',(#24919,#24920,#24921,#24922)); +#24924=FACE_OUTER_BOUND('',#24923,.F.); +#24926=CARTESIAN_POINT('',(-9.25E0,9.1E0,-1.E1)); +#24927=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24928=DIRECTION('',(0.E0,1.E0,0.E0)); +#24929=AXIS2_PLACEMENT_3D('',#24926,#24927,#24928); +#24930=PLANE('',#24929); +#24931=ORIENTED_EDGE('',*,*,#12669,.T.); +#24932=ORIENTED_EDGE('',*,*,#12872,.F.); +#24934=ORIENTED_EDGE('',*,*,#24933,.T.); +#24935=ORIENTED_EDGE('',*,*,#13572,.T.); +#24936=EDGE_LOOP('',(#24931,#24932,#24934,#24935)); +#24937=FACE_OUTER_BOUND('',#24936,.F.); +#24939=CARTESIAN_POINT('',(-8.75E0,9.1E0,-1.E1)); +#24940=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24941=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24942=AXIS2_PLACEMENT_3D('',#24939,#24940,#24941); +#24943=CYLINDRICAL_SURFACE('',#24942,5.E-1); +#24944=ORIENTED_EDGE('',*,*,#13574,.T.); +#24945=ORIENTED_EDGE('',*,*,#24933,.F.); +#24946=ORIENTED_EDGE('',*,*,#12870,.F.); +#24948=ORIENTED_EDGE('',*,*,#24947,.T.); +#24949=EDGE_LOOP('',(#24944,#24945,#24946,#24948)); +#24950=FACE_OUTER_BOUND('',#24949,.F.); +#24952=CARTESIAN_POINT('',(-8.25E0,1.03E1,-1.E1)); +#24953=DIRECTION('',(1.E0,2.516505522483E-14,0.E0)); +#24954=DIRECTION('',(2.516505522483E-14,-1.E0,0.E0)); +#24955=AXIS2_PLACEMENT_3D('',#24952,#24953,#24954); +#24956=PLANE('',#24955); +#24957=ORIENTED_EDGE('',*,*,#12665,.F.); +#24958=ORIENTED_EDGE('',*,*,#13576,.T.); +#24959=ORIENTED_EDGE('',*,*,#24947,.F.); +#24960=ORIENTED_EDGE('',*,*,#12868,.F.); +#24961=EDGE_LOOP('',(#24957,#24958,#24959,#24960)); +#24962=FACE_OUTER_BOUND('',#24961,.F.); +#24964=CARTESIAN_POINT('',(-5.75E0,9.1E0,-1.E1)); +#24965=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24966=DIRECTION('',(0.E0,1.E0,0.E0)); +#24967=AXIS2_PLACEMENT_3D('',#24964,#24965,#24966); +#24968=PLANE('',#24967); +#24969=ORIENTED_EDGE('',*,*,#12661,.T.); +#24970=ORIENTED_EDGE('',*,*,#12857,.F.); +#24972=ORIENTED_EDGE('',*,*,#24971,.T.); +#24973=ORIENTED_EDGE('',*,*,#13579,.T.); +#24974=EDGE_LOOP('',(#24969,#24970,#24972,#24973)); +#24975=FACE_OUTER_BOUND('',#24974,.F.); +#24977=CARTESIAN_POINT('',(-5.25E0,9.1E0,-1.E1)); +#24978=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24979=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24980=AXIS2_PLACEMENT_3D('',#24977,#24978,#24979); +#24981=CYLINDRICAL_SURFACE('',#24980,5.E-1); +#24982=ORIENTED_EDGE('',*,*,#13581,.T.); +#24983=ORIENTED_EDGE('',*,*,#24971,.F.); +#24984=ORIENTED_EDGE('',*,*,#12855,.F.); +#24986=ORIENTED_EDGE('',*,*,#24985,.T.); +#24987=EDGE_LOOP('',(#24982,#24983,#24984,#24986)); +#24988=FACE_OUTER_BOUND('',#24987,.F.); +#24990=CARTESIAN_POINT('',(-4.75E0,1.03E1,-1.E1)); +#24991=DIRECTION('',(1.E0,0.E0,0.E0)); +#24992=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24993=AXIS2_PLACEMENT_3D('',#24990,#24991,#24992); +#24994=PLANE('',#24993); +#24995=ORIENTED_EDGE('',*,*,#12657,.F.); +#24996=ORIENTED_EDGE('',*,*,#13583,.T.); +#24997=ORIENTED_EDGE('',*,*,#24985,.F.); +#24998=ORIENTED_EDGE('',*,*,#12853,.F.); +#24999=EDGE_LOOP('',(#24995,#24996,#24997,#24998)); +#25000=FACE_OUTER_BOUND('',#24999,.F.); +#25002=CLOSED_SHELL('',(#12533,#12548,#12562,#12576,#12590,#12604,#12618,#12632, +#12645,#12756,#12771,#12786,#12801,#12816,#12831,#12846,#12861,#12876,#12891, +#12906,#12921,#12937,#12953,#12969,#12985,#13001,#13017,#13033,#13049,#13065, +#13081,#13097,#13113,#13129,#13145,#13161,#13176,#13192,#13206,#13218,#13230, +#13242,#13254,#13268,#13358,#14032,#14051,#14065,#14079,#14093,#14107,#14145, +#14159,#14178,#14192,#14206,#14222,#14236,#14251,#14264,#14279,#14296,#14309, +#14326,#14339,#14353,#14367,#14381,#14394,#14407,#14424,#14438,#14452,#14466, +#14480,#14494,#14508,#14524,#14553,#14575,#14604,#14633,#14647,#14659,#14673, +#14685,#14699,#14711,#14725,#14737,#14751,#14766,#14781,#14794,#14807,#14820, +#14833,#14846,#14858,#14871,#14884,#14897,#14910,#14922,#14936,#14950,#14963, +#14976,#14989,#15002,#15014,#15026,#15041,#15055,#15069,#15083,#15097,#15111, +#15124,#15139,#15203,#15241,#15263,#15385,#15399,#15412,#15425,#15438,#15451, +#15464,#15477,#15490,#15503,#15516,#15529,#15542,#15555,#15568,#15581,#15594, +#15607,#15620,#15633,#15646,#15659,#15672,#15685,#15698,#15711,#15724,#15737, +#15749,#15763,#15776,#15789,#15802,#15815,#15828,#15841,#15854,#15867,#15880, +#15892,#15906,#15919,#15931,#15945,#15958,#15971,#15984,#15997,#16010,#16022, +#16036,#16049,#16062,#16075,#16088,#16101,#16114,#16127,#16140,#16153,#16166, +#16179,#16192,#16205,#16218,#16231,#16244,#16257,#16270,#16283,#16296,#16309, +#16322,#16335,#16348,#16361,#16374,#16387,#16400,#16413,#16426,#16439,#16452, +#16465,#16478,#16491,#16504,#16517,#16530,#16543,#16556,#16569,#16582,#16595, +#16608,#16621,#16634,#16647,#16660,#16673,#16686,#16699,#16712,#16725,#16738, +#16751,#16763,#16778,#16792,#16806,#16820,#16834,#16848,#16862,#16875,#16890, +#16904,#16918,#16932,#16946,#16960,#16974,#16987,#17002,#17016,#17030,#17044, +#17058,#17072,#17086,#17099,#17114,#17128,#17142,#17156,#17170,#17184,#17198, +#17211,#17244,#17263,#17278,#17431,#17446,#17464,#17479,#17494,#17509,#17531, +#17563,#17582,#17616,#17631,#17645,#17663,#17677,#17767,#17785,#17815,#17830, +#17847,#17860,#17886,#17900,#17915,#17929,#17942,#17954,#17970,#17990,#18004, +#18018,#18032,#18046,#18064,#18090,#18115,#18130,#18145,#18160,#18176,#18190, +#18204,#18218,#18232,#18256,#18272,#18286,#18300,#18313,#18338,#18351,#18363, +#18385,#18406,#18421,#18438,#18452,#18469,#18484,#18494,#18507,#18523,#18535, +#18550,#18570,#18584,#18600,#18618,#18630,#18645,#18655,#18673,#18686,#18700, +#18715,#18737,#18759,#18773,#18787,#18801,#18814,#18828,#18840,#18853,#18865, +#18879,#18892,#18906,#18918,#18931,#18943,#18955,#18972,#18986,#19000,#19015, +#19030,#19043,#19059,#19073,#19086,#19099,#19113,#19126,#19140,#19153,#19167, +#19179,#19192,#19206,#19223,#19236,#19262,#19276,#19289,#19303,#19317,#19332, +#19347,#19360,#19373,#19385,#19410,#19423,#19436,#19448,#19461,#19475,#19492, +#19505,#19531,#19545,#19557,#19571,#19584,#19609,#19621,#19635,#19653,#19671, +#19685,#19774,#19787,#19800,#19812,#19826,#19839,#19851,#19864,#19888,#19900, +#19913,#19926,#19938,#19953,#19970,#19988,#20002,#20020,#20034,#20051,#20065, +#20083,#20164,#20178,#20192,#20282,#20391,#20409,#20420,#20435,#20533,#20546, +#20559,#20571,#20587,#20597,#20612,#20625,#20635,#20645,#20660,#20673,#20683, +#20699,#20709,#20724,#20737,#20747,#20757,#20772,#20785,#20795,#20811,#20821, +#20836,#20849,#20859,#20869,#20884,#20897,#20907,#20923,#20933,#20948,#20961, +#20971,#20981,#20996,#21009,#21019,#21035,#21045,#21060,#21073,#21083,#21093, +#21108,#21121,#21131,#21147,#21157,#21172,#21185,#21195,#21205,#21220,#21233, +#21243,#21259,#21269,#21284,#21297,#21307,#21317,#21332,#21345,#21355,#21371, +#21381,#21396,#21409,#21419,#21429,#21444,#21457,#21467,#21483,#21493,#21508, +#21521,#21531,#21541,#21556,#21569,#21579,#21595,#21605,#21620,#21633,#21643, +#21653,#21668,#21681,#21691,#21707,#21717,#21732,#21745,#21755,#21765,#21780, +#21793,#21803,#21816,#21826,#21836,#21851,#21864,#21874,#21889,#21902,#21919, +#21932,#22016,#22031,#22044,#22054,#22069,#22082,#22092,#22107,#22120,#22130, +#22145,#22158,#22168,#22183,#22196,#22206,#22221,#22234,#22244,#22259,#22272, +#22282,#22297,#22310,#22320,#22335,#22348,#22358,#22373,#22386,#22396,#22411, +#22424,#22434,#22449,#22462,#22472,#22487,#22500,#22510,#22525,#22538,#22548, +#22563,#22576,#22586,#22601,#22614,#22624,#22639,#22652,#22662,#22677,#22690, +#22700,#22715,#22728,#22738,#22753,#22766,#22776,#22791,#22804,#22814,#22829, +#22842,#22852,#22867,#22880,#22890,#22905,#22918,#22928,#22943,#22956,#22966, +#22981,#22994,#23004,#23019,#23032,#23042,#23057,#23070,#23080,#23095,#23108, +#23118,#23133,#23146,#23156,#23171,#23184,#23194,#23209,#23222,#23232,#23247, +#23260,#23270,#23285,#23298,#23308,#23323,#23336,#23346,#23361,#23374,#23384, +#23399,#23412,#23422,#23437,#23450,#23460,#23475,#23488,#23498,#23513,#23526, +#23536,#23551,#23564,#23574,#23589,#23602,#23612,#23627,#23640,#23650,#23665, +#23678,#23688,#23703,#23716,#23726,#23741,#23754,#23764,#23777,#23790,#23802, +#23815,#23828,#23840,#23853,#23866,#23878,#23891,#23904,#23916,#23929,#23942, +#23954,#23967,#23980,#23992,#24005,#24017,#24030,#24055,#24068,#24081,#24093, +#24106,#24119,#24131,#24144,#24229,#24242,#24255,#24267,#24280,#24293,#24305, +#24318,#24331,#24343,#24356,#24369,#24381,#24394,#24407,#24419,#24432,#24457, +#24470,#24483,#24495,#24508,#24521,#24533,#24545,#24558,#24571,#24583,#24596, +#24609,#24621,#24634,#24647,#24659,#24672,#24685,#24697,#24710,#24723,#24735, +#24748,#24761,#24773,#24786,#24799,#24811,#24824,#24837,#24849,#24862,#24875, +#24887,#24900,#24913,#24925,#24938,#24951,#24963,#24976,#24989,#25001)); +#25003=MANIFOLD_SOLID_BREP('',#25002); +#25006=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), +#25005); +#25007=(CONVERSION_BASED_UNIT('DEGREE',#25006)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); +#25009=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(9.234163633402E-4),#25004, +'distance_accuracy_value', +'Maximum model space distance between geometric entities at asserted connectivities'); +#25012=APPLICATION_CONTEXT('automotive_design'); +#25013=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2001,#25012); +#25014=PRODUCT_DEFINITION_CONTEXT('part definition',#25012,'design'); +#25015=PRODUCT_CONTEXT('',#25012,'mechanical'); +#25016=PRODUCT('C-1-776163-1','C-1-776163-1','NOT SPECIFIED',(#25015)); +#25017=PRODUCT_DEFINITION_FORMATION('1','LAST_VERSION',#25016); +#25025=DERIVED_UNIT_ELEMENT(#25024,2.E0); +#25026=DERIVED_UNIT((#25025)); +#25027=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +1.792022936075E4),#25026); +#25032=DERIVED_UNIT_ELEMENT(#25031,3.E0); +#25033=DERIVED_UNIT((#25032)); +#25034=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +2.031817077846E4),#25033); +#25038=CARTESIAN_POINT('centre point',(3.079404848675E-3,-5.137544947684E-1, +-2.137736918157E1)); +#25043=DERIVED_UNIT_ELEMENT(#25042,2.E0); +#25044=DERIVED_UNIT((#25043)); +#25045=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +1.792022936075E4),#25044); +#25050=DERIVED_UNIT_ELEMENT(#25049,3.E0); +#25051=DERIVED_UNIT((#25050)); +#25052=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +2.031817077846E4),#25051); +#25056=CARTESIAN_POINT('centre point',(3.079404848675E-3,-5.137544947684E-1, +-2.137736918157E1)); +#25061=PRODUCT_RELATED_PRODUCT_CATEGORY('part','',(#25016)); +#1=COLOUR_RGB('',8.784E-1,9.49E-1,1.E0); +#18=CIRCLE('',#17,5.7E0); +#27=CIRCLE('',#26,5.7E0); +#36=CIRCLE('',#35,5.7E0); +#45=CIRCLE('',#44,5.7E0); +#74=CIRCLE('',#73,5.235898384862E0); +#83=CIRCLE('',#82,4.7E0); +#100=CIRCLE('',#99,5.235898384862E0); +#109=CIRCLE('',#108,4.7E0); +#122=CIRCLE('',#121,5.235898384862E0); +#131=CIRCLE('',#130,4.7E0); +#152=CIRCLE('',#151,5.235898384862E0); +#161=CIRCLE('',#160,4.7E0); +#378=CIRCLE('',#377,5.E-1); +#391=CIRCLE('',#390,5.E-1); +#404=CIRCLE('',#403,5.E-1); +#417=CIRCLE('',#416,5.E-1); +#430=CIRCLE('',#429,5.E-1); +#443=CIRCLE('',#442,5.E-1); +#456=CIRCLE('',#455,5.E-1); +#469=CIRCLE('',#468,5.E-1); +#482=CIRCLE('',#481,5.E-1); +#495=CIRCLE('',#494,5.E-1); +#508=CIRCLE('',#507,5.E-1); +#521=CIRCLE('',#520,5.E-1); +#534=CIRCLE('',#533,5.E-1); +#547=CIRCLE('',#546,5.E-1); +#560=CIRCLE('',#559,5.E-1); +#573=CIRCLE('',#572,5.E-1); +#586=CIRCLE('',#585,5.E-1); +#599=CIRCLE('',#598,5.E-1); +#612=CIRCLE('',#611,5.E-1); +#625=CIRCLE('',#624,5.E-1); +#638=CIRCLE('',#637,5.E-1); +#651=CIRCLE('',#650,5.E-1); +#664=CIRCLE('',#663,5.E-1); +#677=CIRCLE('',#676,5.E-1); +#690=CIRCLE('',#689,5.E-1); +#703=CIRCLE('',#702,5.E-1); +#720=CIRCLE('',#719,6.75E-1); +#737=CIRCLE('',#736,6.75E-1); +#746=CIRCLE('',#745,6.2E0); +#755=CIRCLE('',#754,3.25E0); +#764=CIRCLE('',#763,3.25E0); +#773=CIRCLE('',#772,1.E0); +#778=CIRCLE('',#777,6.2E0); +#783=CIRCLE('',#782,1.E0); +#792=CIRCLE('',#791,3.25E0); +#801=CIRCLE('',#800,3.25E0); +#806=CIRCLE('',#805,1.E0); +#811=CIRCLE('',#810,1.E0); +#816=CIRCLE('',#815,3.25E0); +#825=CIRCLE('',#824,3.25E0); +#834=CIRCLE('',#833,1.E0); +#839=CIRCLE('',#838,6.2E0); +#844=CIRCLE('',#843,1.E0); +#853=CIRCLE('',#852,3.25E0); +#862=CIRCLE('',#861,3.25E0); +#871=CIRCLE('',#870,6.2E0); +#876=CIRCLE('',#875,1.085E0); +#881=CIRCLE('',#880,1.085E0); +#886=CIRCLE('',#885,1.085E0); +#891=CIRCLE('',#890,1.085E0); +#896=CIRCLE('',#895,1.085E0); +#901=CIRCLE('',#900,1.085E0); +#906=CIRCLE('',#905,1.085E0); +#911=CIRCLE('',#910,1.085E0); +#1372=CIRCLE('',#1371,2.3E0); +#1381=CIRCLE('',#1380,2.3E0); +#1390=CIRCLE('',#1389,2.3E0); +#1399=CIRCLE('',#1398,2.3E0); +#1404=CIRCLE('',#1403,6.5E-1); +#1409=CIRCLE('',#1408,6.5E-1); +#1414=CIRCLE('',#1413,6.5E-1); +#1419=CIRCLE('',#1418,6.5E-1); +#1424=CIRCLE('',#1423,6.5E-1); +#1429=CIRCLE('',#1428,6.5E-1); +#1434=CIRCLE('',#1433,6.5E-1); +#1439=CIRCLE('',#1438,6.5E-1); +#1444=CIRCLE('',#1443,6.5E-1); +#1449=CIRCLE('',#1448,6.5E-1); +#1454=CIRCLE('',#1453,6.5E-1); +#1459=CIRCLE('',#1458,6.5E-1); +#1464=CIRCLE('',#1463,6.5E-1); +#1469=CIRCLE('',#1468,6.5E-1); +#1474=CIRCLE('',#1473,6.5E-1); +#1479=CIRCLE('',#1478,6.5E-1); +#1484=CIRCLE('',#1483,6.5E-1); +#1489=CIRCLE('',#1488,6.5E-1); +#1494=CIRCLE('',#1493,6.5E-1); +#1499=CIRCLE('',#1498,6.5E-1); +#1504=CIRCLE('',#1503,6.5E-1); +#1509=CIRCLE('',#1508,6.5E-1); +#1514=CIRCLE('',#1513,6.5E-1); +#1519=CIRCLE('',#1518,6.5E-1); +#1524=CIRCLE('',#1523,6.5E-1); +#1529=CIRCLE('',#1528,6.5E-1); +#1534=CIRCLE('',#1533,6.5E-1); +#1539=CIRCLE('',#1538,6.5E-1); +#1544=CIRCLE('',#1543,6.5E-1); +#1549=CIRCLE('',#1548,6.5E-1); +#1554=CIRCLE('',#1553,6.5E-1); +#1559=CIRCLE('',#1558,6.5E-1); +#1564=CIRCLE('',#1563,6.5E-1); +#1569=CIRCLE('',#1568,6.5E-1); +#1574=CIRCLE('',#1573,6.5E-1); +#1579=CIRCLE('',#1578,6.5E-1); +#1584=CIRCLE('',#1583,6.5E-1); +#1589=CIRCLE('',#1588,6.5E-1); +#1594=CIRCLE('',#1593,6.5E-1); +#1599=CIRCLE('',#1598,6.5E-1); +#1604=CIRCLE('',#1603,6.5E-1); +#1609=CIRCLE('',#1608,6.5E-1); +#1614=CIRCLE('',#1613,6.5E-1); +#1619=CIRCLE('',#1618,6.5E-1); +#1624=CIRCLE('',#1623,6.5E-1); +#1629=CIRCLE('',#1628,6.5E-1); +#1634=CIRCLE('',#1633,6.5E-1); +#1639=CIRCLE('',#1638,6.5E-1); +#1644=CIRCLE('',#1643,6.5E-1); +#1649=CIRCLE('',#1648,6.5E-1); +#1654=CIRCLE('',#1653,6.5E-1); +#1659=CIRCLE('',#1658,6.5E-1); +#1664=CIRCLE('',#1663,6.5E-1); +#1669=CIRCLE('',#1668,6.5E-1); +#1674=CIRCLE('',#1673,6.5E-1); +#1679=CIRCLE('',#1678,6.5E-1); +#1684=CIRCLE('',#1683,6.5E-1); +#1689=CIRCLE('',#1688,6.5E-1); +#1694=CIRCLE('',#1693,6.5E-1); +#1699=CIRCLE('',#1698,6.5E-1); +#1704=CIRCLE('',#1703,6.5E-1); +#1709=CIRCLE('',#1708,6.5E-1); +#1714=CIRCLE('',#1713,6.5E-1); +#1719=CIRCLE('',#1718,6.5E-1); +#1724=CIRCLE('',#1723,6.5E-1); +#1729=CIRCLE('',#1728,6.5E-1); +#1734=CIRCLE('',#1733,6.5E-1); +#1739=CIRCLE('',#1738,6.5E-1); +#1744=CIRCLE('',#1743,6.5E-1); +#1749=CIRCLE('',#1748,6.5E-1); +#1762=CIRCLE('',#1761,5.E-1); +#1775=CIRCLE('',#1774,5.E-1); +#1788=CIRCLE('',#1787,5.E-1); +#1801=CIRCLE('',#1800,5.E-1); +#1814=CIRCLE('',#1813,5.E-1); +#1827=CIRCLE('',#1826,5.E-1); +#1836=CIRCLE('',#1835,4.7E0); +#1845=CIRCLE('',#1844,5.E-1); +#1858=CIRCLE('',#1857,5.E-1); +#1867=CIRCLE('',#1866,4.7E0); +#1876=CIRCLE('',#1875,5.E-1); +#1889=CIRCLE('',#1888,5.E-1); +#1902=CIRCLE('',#1901,5.E-1); +#1915=CIRCLE('',#1914,5.E-1); +#1928=CIRCLE('',#1927,6.75E-1); +#1941=CIRCLE('',#1940,5.E-1); +#1954=CIRCLE('',#1953,5.E-1); +#1967=CIRCLE('',#1966,5.E-1); +#1980=CIRCLE('',#1979,5.E-1); +#1993=CIRCLE('',#1992,5.E-1); +#2006=CIRCLE('',#2005,5.E-1); +#2019=CIRCLE('',#2018,5.E-1); +#2028=CIRCLE('',#2027,4.7E0); +#2037=CIRCLE('',#2036,5.E-1); +#2050=CIRCLE('',#2049,5.E-1); +#2059=CIRCLE('',#2058,4.7E0); +#2068=CIRCLE('',#2067,5.E-1); +#2081=CIRCLE('',#2080,5.E-1); +#2094=CIRCLE('',#2093,5.E-1); +#2107=CIRCLE('',#2106,5.E-1); +#2120=CIRCLE('',#2119,5.E-1); +#2133=CIRCLE('',#2132,6.75E-1); +#2138=CIRCLE('',#2137,6.2E0); +#2147=CIRCLE('',#2146,5.E-1); +#2152=CIRCLE('',#2151,5.E-1); +#2157=CIRCLE('',#2156,5.E-1); +#2162=CIRCLE('',#2161,5.E-1); +#2172=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2163,#2164,#2165,#2166,#2167,#2168,#2169, +#2170,#2171),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,5.E-1, +7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#2230=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2221,#2222,#2223,#2224,#2225,#2226,#2227, +#2228,#2229),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,5.E-1, +7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#2240=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2231,#2232,#2233,#2234,#2235,#2236,#2237, +#2238,#2239),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,5.E-1, +7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#2248=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2241,#2242,#2243,#2244,#2245,#2246, +#2247),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#2320=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2313,#2314,#2315,#2316,#2317,#2318, +#2319),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#2328=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2321,#2322,#2323,#2324,#2325,#2326, +#2327),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#2336=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2329,#2330,#2331,#2332,#2333,#2334, +#2335),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#2346=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2337,#2338,#2339,#2340,#2341,#2342,#2343, +#2344,#2345),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,5.E-1, +7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#2351=CIRCLE('',#2350,5.E-1); +#2356=CIRCLE('',#2355,5.E-1); +#2361=CIRCLE('',#2360,5.E-1); +#2366=CIRCLE('',#2365,5.E-1); +#2383=CIRCLE('',#2382,6.2E0); +#2436=CIRCLE('',#2435,1.085E0); +#2441=CIRCLE('',#2440,1.085E0); +#2446=CIRCLE('',#2445,1.085E0); +#2451=CIRCLE('',#2450,1.085E0); +#2456=CIRCLE('',#2455,1.085E0); +#2461=CIRCLE('',#2460,1.085E0); +#2466=CIRCLE('',#2465,1.085E0); +#2471=CIRCLE('',#2470,1.085E0); +#2476=CIRCLE('',#2475,1.E0); +#2485=CIRCLE('',#2484,3.25E0); +#2494=CIRCLE('',#2493,3.25E0); +#2503=CIRCLE('',#2502,6.2E0); +#2512=CIRCLE('',#2511,3.25E0); +#2521=CIRCLE('',#2520,3.25E0); +#2530=CIRCLE('',#2529,1.E0); +#2535=CIRCLE('',#2534,6.2E0); +#2540=CIRCLE('',#2539,1.E0); +#2549=CIRCLE('',#2548,3.25E0); +#2558=CIRCLE('',#2557,3.25E0); +#2563=CIRCLE('',#2562,1.E0); +#2572=CIRCLE('',#2571,6.2E0); +#2581=CIRCLE('',#2580,1.E0); +#2586=CIRCLE('',#2585,3.25E0); +#2595=CIRCLE('',#2594,3.25E0); +#2604=CIRCLE('',#2603,1.E0); +#2609=CIRCLE('',#2608,6.2E0); +#2670=CIRCLE('',#2669,6.2E0); +#2727=CIRCLE('',#2726,6.2E0); +#3680=CIRCLE('',#3679,2.3E0); +#3701=CIRCLE('',#3700,2.3E0); +#3718=CIRCLE('',#3717,2.3E0); +#3735=CIRCLE('',#3734,2.3E0); +#3740=CIRCLE('',#3739,1.3E0); +#3745=CIRCLE('',#3744,4.9E0); +#3754=CIRCLE('',#3753,1.3E0); +#3759=CIRCLE('',#3758,4.9E0); +#3764=CIRCLE('',#3763,1.3E0); +#3769=CIRCLE('',#3768,1.3E0); +#3778=CIRCLE('',#3777,1.3E0); +#3783=CIRCLE('',#3782,4.9E0); +#3788=CIRCLE('',#3787,1.3E0); +#3797=CIRCLE('',#3796,1.3E0); +#3802=CIRCLE('',#3801,4.9E0); +#3807=CIRCLE('',#3806,1.3E0); +#3828=CIRCLE('',#3827,4.9E0); +#3845=CIRCLE('',#3844,4.9E0); +#3862=CIRCLE('',#3861,4.9E0); +#3879=CIRCLE('',#3878,4.9E0); +#3884=CIRCLE('',#3883,5.E-1); +#3889=CIRCLE('',#3888,5.E-1); +#3894=CIRCLE('',#3893,5.E-1); +#3899=CIRCLE('',#3898,5.E-1); +#3904=CIRCLE('',#3903,5.E-1); +#3909=CIRCLE('',#3908,5.E-1); +#3914=CIRCLE('',#3913,5.E-1); +#3919=CIRCLE('',#3918,5.E-1); +#3932=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3920,#3921,#3922,#3923,#3924,#3925,#3926, +#3927,#3928,#3929,#3930,#3931),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#3949=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3937,#3938,#3939,#3940,#3941,#3942,#3943, +#3944,#3945,#3946,#3947,#3948),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#3978=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3966,#3967,#3968,#3969,#3970,#3971,#3972, +#3973,#3974,#3975,#3976,#3977),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#3991=CIRCLE('',#3990,1.E0); +#4008=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3996,#3997,#3998,#3999,#4000,#4001,#4002, +#4003,#4004,#4005,#4006,#4007),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#4029=CIRCLE('',#4028,5.E-1); +#4082=CIRCLE('',#4081,3.5E0); +#4087=CIRCLE('',#4086,5.E-1); +#4100=CIRCLE('',#4099,4.5E0); +#4109=CIRCLE('',#4108,4.5E0); +#4122=CIRCLE('',#4121,5.E-1); +#4127=CIRCLE('',#4126,3.5E0); +#4180=CIRCLE('',#4179,5.E-1); +#4197=CIRCLE('',#4196,5.E-1); +#4202=CIRCLE('',#4201,5.E-1); +#4211=CIRCLE('',#4210,4.5E0); +#4220=CIRCLE('',#4219,4.5E0); +#4229=CIRCLE('',#4228,5.E-1); +#4234=CIRCLE('',#4233,5.E-1); +#4279=CIRCLE('',#4278,5.E-1); +#4300=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4288,#4289,#4290,#4291,#4292,#4293,#4294, +#4295,#4296,#4297,#4298,#4299),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#4305=CIRCLE('',#4304,1.E0); +#4318=CIRCLE('',#4317,2.5E0); +#4323=CIRCLE('',#4322,3.E0); +#4328=CIRCLE('',#4327,1.5E0); +#4341=CIRCLE('',#4340,5.E0); +#4346=CIRCLE('',#4345,1.5E0); +#4351=CIRCLE('',#4350,2.5E0); +#4376=CIRCLE('',#4375,1.5E0); +#4393=CIRCLE('',#4392,1.5E0); +#4398=CIRCLE('',#4397,1.5E0); +#4403=CIRCLE('',#4402,5.E0); +#4416=CIRCLE('',#4415,1.5E0); +#4425=CIRCLE('',#4424,2.5E0); +#4430=CIRCLE('',#4429,1.5E0); +#4447=CIRCLE('',#4446,1.5E0); +#4468=CIRCLE('',#4467,2.5E0); +#4473=CIRCLE('',#4472,3.E0); +#4478=CIRCLE('',#4477,1.E0); +#4495=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4479,#4480,#4481,#4482,#4483,#4484,#4485, +#4486,#4487,#4488,#4489,#4490,#4491,#4492,#4493,#4494),.UNSPECIFIED.,.F.,.F.,(4, +1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,7.692307692308E-2,1.538461538462E-1, +2.307692307692E-1,3.076923076923E-1,3.846153846154E-1,4.615384615385E-1, +5.384615384615E-1,6.153846153846E-1,6.923076923077E-1,7.692307692308E-1, +8.461538461538E-1,9.230769230769E-1,1.E0),.UNSPECIFIED.); +#4516=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4504,#4505,#4506,#4507,#4508,#4509,#4510, +#4511,#4512,#4513,#4514,#4515),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#4531=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4521,#4522,#4523,#4524,#4525,#4526,#4527, +#4528,#4529,#4530),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#4536=CIRCLE('',#4535,5.E-1); +#4556=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4537,#4538,#4539,#4540,#4541,#4542,#4543, +#4544,#4545,#4546,#4547,#4548,#4549,#4550,#4551,#4552,#4553,#4554,#4555), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,6.25E-2,1.25E-1, +1.875E-1,2.5E-1,3.125E-1,3.75E-1,4.375E-1,5.E-1,5.625E-1,6.25E-1,6.875E-1, +7.5E-1,8.125E-1,8.75E-1,9.375E-1,1.E0),.UNSPECIFIED.); +#4561=CIRCLE('',#4560,4.999998148392E-1); +#4573=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4562,#4563,#4564,#4565,#4566,#4567,#4568, +#4569,#4570,#4571,#4572),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#4582=CIRCLE('',#4581,5.E0); +#4591=CIRCLE('',#4590,5.E0); +#4604=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4592,#4593,#4594,#4595,#4596,#4597,#4598, +#4599,#4600,#4601,#4602,#4603),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#4621=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4609,#4610,#4611,#4612,#4613,#4614,#4615, +#4616,#4617,#4618,#4619,#4620),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#4646=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4634,#4635,#4636,#4637,#4638,#4639,#4640, +#4641,#4642,#4643,#4644,#4645),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#4655=CIRCLE('',#4654,5.E-1); +#4660=CIRCLE('',#4659,5.E-1); +#4681=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4669,#4670,#4671,#4672,#4673,#4674,#4675, +#4676,#4677,#4678,#4679,#4680),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#4686=CIRCLE('',#4685,1.E0); +#4691=CIRCLE('',#4690,5.E-1); +#4696=CIRCLE('',#4695,5.E-1); +#4701=CIRCLE('',#4700,1.E0); +#4706=CIRCLE('',#4705,3.E0); +#4711=CIRCLE('',#4710,3.5E0); +#4724=CIRCLE('',#4723,2.5E0); +#4729=CIRCLE('',#4728,3.E0); +#4750=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4730,#4731,#4732,#4733,#4734,#4735,#4736, +#4737,#4738,#4739,#4740,#4741,#4742,#4743,#4744,#4745,#4746,#4747,#4748,#4749), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +5.882352941176E-2,1.176470588235E-1,1.764705882353E-1,2.352941176471E-1, +2.941176470588E-1,3.529411764706E-1,4.117647058824E-1,4.705882352941E-1, +5.294117647059E-1,5.882352941176E-1,6.470588235294E-1,7.058823529412E-1, +7.647058823529E-1,8.235294117647E-1,8.823529411765E-1,9.411764705882E-1,1.E0), +.UNSPECIFIED.); +#4755=CIRCLE('',#4754,1.E0); +#4760=CIRCLE('',#4759,5.E-1); +#4782=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4765,#4766,#4767,#4768,#4769,#4770,#4771, +#4772,#4773,#4774,#4775,#4776,#4777,#4778,#4779,#4780,#4781),.UNSPECIFIED.,.F., +.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,7.142857142857E-2,1.428571428571E-1, +2.142857142857E-1,2.857142857143E-1,3.571428571429E-1,4.285714285714E-1,5.E-1, +5.714285714286E-1,6.428571428571E-1,7.142857142857E-1,7.857142857143E-1, +8.571428571429E-1,9.285714285714E-1,1.E0),.UNSPECIFIED.); +#4891=CIRCLE('',#4890,5.E-1); +#4913=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4896,#4897,#4898,#4899,#4900,#4901,#4902, +#4903,#4904,#4905,#4906,#4907,#4908,#4909,#4910,#4911,#4912),.UNSPECIFIED.,.F., +.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,7.142857142857E-2,1.428571428571E-1, +2.142857142857E-1,2.857142857143E-1,3.571428571429E-1,4.285714285714E-1,5.E-1, +5.714285714286E-1,6.428571428571E-1,7.142857142857E-1,7.857142857143E-1, +8.571428571429E-1,9.285714285714E-1,1.E0),.UNSPECIFIED.); +#4934=CIRCLE('',#4933,2.5E0); +#4955=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4935,#4936,#4937,#4938,#4939,#4940,#4941, +#4942,#4943,#4944,#4945,#4946,#4947,#4948,#4949,#4950,#4951,#4952,#4953,#4954), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +5.882352941176E-2,1.176470588235E-1,1.764705882353E-1,2.352941176471E-1, +2.941176470588E-1,3.529411764706E-1,4.117647058824E-1,4.705882352941E-1, +5.294117647059E-1,5.882352941176E-1,6.470588235294E-1,7.058823529412E-1, +7.647058823529E-1,8.235294117647E-1,8.823529411765E-1,9.411764705882E-1,1.E0), +.UNSPECIFIED.); +#4960=CIRCLE('',#4959,3.E0); +#4969=CIRCLE('',#4968,3.E0); +#4974=CIRCLE('',#4973,3.5E0); +#4979=CIRCLE('',#4978,5.E-1); +#4984=CIRCLE('',#4983,5.E-1); +#4989=CIRCLE('',#4988,1.E0); +#4994=CIRCLE('',#4993,5.E-1); +#4999=CIRCLE('',#4998,1.E0); +#5004=CIRCLE('',#5003,1.E0); +#5013=CIRCLE('',#5012,5.E-1); +#5039=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5030,#5031,#5032,#5033,#5034,#5035,#5036, +#5037,#5038),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#5048=CIRCLE('',#5047,1.5E0); +#5058=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5049,#5050,#5051,#5052,#5053,#5054,#5055, +#5056,#5057),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#5063=CIRCLE('',#5062,1.E0); +#5076=CIRCLE('',#5075,1.5E0); +#5081=CIRCLE('',#5080,1.5E0); +#5086=CIRCLE('',#5085,1.E0); +#5091=CIRCLE('',#5090,4.25E-1); +#5096=CIRCLE('',#5095,4.25E-1); +#5154=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5145,#5146,#5147,#5148,#5149,#5150,#5151, +#5152,#5153),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#5159=CIRCLE('',#5158,1.5E0); +#5168=CIRCLE('',#5167,1.E0); +#5173=CIRCLE('',#5172,1.5E0); +#5183=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5174,#5175,#5176,#5177,#5178,#5179,#5180, +#5181,#5182),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#5188=CIRCLE('',#5187,1.5E0); +#5201=CIRCLE('',#5200,1.E0); +#5206=CIRCLE('',#5205,4.25E-1); +#5211=CIRCLE('',#5210,4.25E-1); +#5224=CIRCLE('',#5223,1.E0); +#5449=CIRCLE('',#5448,5.E-1); +#5458=CIRCLE('',#5457,5.E-1); +#5463=CIRCLE('',#5462,5.E-1); +#5468=CIRCLE('',#5467,3.E0); +#5477=CIRCLE('',#5476,3.E0); +#5486=CIRCLE('',#5485,1.E0); +#5491=CIRCLE('',#5490,5.E-1); +#5512=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5500,#5501,#5502,#5503,#5504,#5505,#5506, +#5507,#5508,#5509,#5510,#5511),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#5517=CIRCLE('',#5516,1.E0); +#5522=CIRCLE('',#5521,5.E-1); +#5527=CIRCLE('',#5526,5.E-1); +#5532=CIRCLE('',#5531,5.E-1); +#5537=CIRCLE('',#5536,5.E-1); +#5542=CIRCLE('',#5541,5.E-1); +#5547=CIRCLE('',#5546,5.E0); +#5556=CIRCLE('',#5555,5.E0); +#5573=CIRCLE('',#5572,5.E0); +#5582=CIRCLE('',#5581,5.E0); +#5587=CIRCLE('',#5586,5.E-1); +#5592=CIRCLE('',#5591,5.E-1); +#5597=CIRCLE('',#5596,5.E-1); +#5602=CIRCLE('',#5601,5.E-1); +#5611=CIRCLE('',#5610,1.E0); +#5628=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5616,#5617,#5618,#5619,#5620,#5621,#5622, +#5623,#5624,#5625,#5626,#5627),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#5637=CIRCLE('',#5636,5.E-1); +#5642=CIRCLE('',#5641,1.E0); +#5647=CIRCLE('',#5646,5.E-1); +#5652=CIRCLE('',#5651,1.E0); +#5657=CIRCLE('',#5656,5.E-1); +#5662=CIRCLE('',#5661,5.E-1); +#5687=CIRCLE('',#5686,5.E-1); +#5692=CIRCLE('',#5691,5.E-1); +#5697=CIRCLE('',#5696,5.E-1); +#5702=CIRCLE('',#5701,3.E0); +#5707=CIRCLE('',#5706,3.E0); +#5716=CIRCLE('',#5715,5.E-1); +#5721=CIRCLE('',#5720,1.E0); +#5726=CIRCLE('',#5725,5.E-1); +#5743=CIRCLE('',#5742,5.E-1); +#5748=CIRCLE('',#5747,5.E-1); +#5757=CIRCLE('',#5756,1.E0); +#5774=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5762,#5763,#5764,#5765,#5766,#5767,#5768, +#5769,#5770,#5771,#5772,#5773),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#5783=CIRCLE('',#5782,5.E-1); +#5788=CIRCLE('',#5787,1.E0); +#5793=CIRCLE('',#5792,5.E-1); +#5798=CIRCLE('',#5797,1.E0); +#5811=CIRCLE('',#5810,5.E-1); +#5816=CIRCLE('',#5815,1.E0); +#5821=CIRCLE('',#5820,3.E0); +#5826=CIRCLE('',#5825,3.5E0); +#5831=CIRCLE('',#5830,5.E-1); +#5855=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5844,#5845,#5846,#5847,#5848,#5849,#5850, +#5851,#5852,#5853,#5854),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#5860=CIRCLE('',#5859,5.E0); +#5865=CIRCLE('',#5864,5.E0); +#5884=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5874,#5875,#5876,#5877,#5878,#5879,#5880, +#5881,#5882,#5883),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#5905=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5893,#5894,#5895,#5896,#5897,#5898,#5899, +#5900,#5901,#5902,#5903,#5904),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#5914=CIRCLE('',#5913,5.E-1); +#5919=CIRCLE('',#5918,4.999997835329E-1); +#5939=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5920,#5921,#5922,#5923,#5924,#5925,#5926, +#5927,#5928,#5929,#5930,#5931,#5932,#5933,#5934,#5935,#5936,#5937,#5938), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,6.25E-2,1.25E-1, +1.875E-1,2.5E-1,3.125E-1,3.75E-1,4.375E-1,5.E-1,5.625E-1,6.25E-1,6.875E-1, +7.5E-1,8.125E-1,8.75E-1,9.375E-1,1.E0),.UNSPECIFIED.); +#5944=CIRCLE('',#5943,5.E-1); +#5949=CIRCLE('',#5948,5.E-1); +#5954=CIRCLE('',#5953,1.E0); +#5959=CIRCLE('',#5958,5.E-1); +#5964=CIRCLE('',#5963,5.E-1); +#5969=CIRCLE('',#5968,1.E0); +#5974=CIRCLE('',#5973,3.E0); +#5979=CIRCLE('',#5978,3.5E0); +#5984=CIRCLE('',#5983,5.E-1); +#5989=CIRCLE('',#5988,1.E0); +#5994=CIRCLE('',#5993,1.E0); +#6011=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5995,#5996,#5997,#5998,#5999,#6000,#6001, +#6002,#6003,#6004,#6005,#6006,#6007,#6008,#6009,#6010),.UNSPECIFIED.,.F.,.F.,(4, +1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,7.692307692308E-2,1.538461538462E-1, +2.307692307692E-1,3.076923076923E-1,3.846153846154E-1,4.615384615385E-1, +5.384615384615E-1,6.153846153846E-1,6.923076923077E-1,7.692307692308E-1, +8.461538461538E-1,9.230769230769E-1,1.E0),.UNSPECIFIED.); +#6016=CIRCLE('',#6015,5.E-1); +#6021=CIRCLE('',#6020,5.E-1); +#6026=CIRCLE('',#6025,5.E-1); +#6031=CIRCLE('',#6030,5.E-1); +#6052=CIRCLE('',#6051,3.3E0); +#6089=CIRCLE('',#6088,3.3E0); +#6122=CIRCLE('',#6121,6.5E-1); +#6127=CIRCLE('',#6126,6.5E-1); +#6132=CIRCLE('',#6131,6.5E-1); +#6137=CIRCLE('',#6136,6.5E-1); +#6142=CIRCLE('',#6141,6.5E-1); +#6147=CIRCLE('',#6146,6.5E-1); +#6152=CIRCLE('',#6151,6.5E-1); +#6157=CIRCLE('',#6156,6.5E-1); +#6162=CIRCLE('',#6161,6.5E-1); +#6167=CIRCLE('',#6166,6.5E-1); +#6172=CIRCLE('',#6171,6.5E-1); +#6177=CIRCLE('',#6176,6.5E-1); +#6182=CIRCLE('',#6181,6.5E-1); +#6187=CIRCLE('',#6186,6.5E-1); +#6192=CIRCLE('',#6191,6.5E-1); +#6197=CIRCLE('',#6196,6.5E-1); +#6202=CIRCLE('',#6201,6.5E-1); +#6207=CIRCLE('',#6206,6.5E-1); +#6212=CIRCLE('',#6211,6.5E-1); +#6217=CIRCLE('',#6216,6.5E-1); +#6222=CIRCLE('',#6221,6.5E-1); +#6227=CIRCLE('',#6226,6.5E-1); +#6272=CIRCLE('',#6271,6.5E-1); +#6277=CIRCLE('',#6276,6.5E-1); +#6282=CIRCLE('',#6281,6.5E-1); +#6287=CIRCLE('',#6286,6.5E-1); +#6292=CIRCLE('',#6291,6.5E-1); +#6297=CIRCLE('',#6296,6.5E-1); +#6302=CIRCLE('',#6301,6.5E-1); +#6307=CIRCLE('',#6306,6.5E-1); +#6312=CIRCLE('',#6311,6.5E-1); +#6317=CIRCLE('',#6316,6.5E-1); +#6322=CIRCLE('',#6321,6.5E-1); +#6327=CIRCLE('',#6326,6.5E-1); +#6332=CIRCLE('',#6331,6.5E-1); +#6337=CIRCLE('',#6336,6.5E-1); +#6342=CIRCLE('',#6341,6.5E-1); +#6347=CIRCLE('',#6346,6.5E-1); +#6352=CIRCLE('',#6351,6.5E-1); +#6357=CIRCLE('',#6356,6.5E-1); +#6362=CIRCLE('',#6361,6.5E-1); +#6367=CIRCLE('',#6366,6.5E-1); +#6372=CIRCLE('',#6371,6.5E-1); +#6377=CIRCLE('',#6376,6.5E-1); +#6382=CIRCLE('',#6381,6.5E-1); +#6387=CIRCLE('',#6386,6.5E-1); +#6419=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6388,#6389,#6390,#6391,#6392,#6393,#6394, +#6395,#6396,#6397,#6398,#6399,#6400,#6401,#6402,#6403,#6404,#6405,#6406,#6407, +#6408,#6409,#6410,#6411,#6412,#6413,#6414,#6415,#6416,#6417,#6418), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6451=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6420,#6421,#6422,#6423,#6424,#6425,#6426, +#6427,#6428,#6429,#6430,#6431,#6432,#6433,#6434,#6435,#6436,#6437,#6438,#6439, +#6440,#6441,#6442,#6443,#6444,#6445,#6446,#6447,#6448,#6449,#6450), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6483=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6452,#6453,#6454,#6455,#6456,#6457,#6458, +#6459,#6460,#6461,#6462,#6463,#6464,#6465,#6466,#6467,#6468,#6469,#6470,#6471, +#6472,#6473,#6474,#6475,#6476,#6477,#6478,#6479,#6480,#6481,#6482), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6515=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6484,#6485,#6486,#6487,#6488,#6489,#6490, +#6491,#6492,#6493,#6494,#6495,#6496,#6497,#6498,#6499,#6500,#6501,#6502,#6503, +#6504,#6505,#6506,#6507,#6508,#6509,#6510,#6511,#6512,#6513,#6514), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6547=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6516,#6517,#6518,#6519,#6520,#6521,#6522, +#6523,#6524,#6525,#6526,#6527,#6528,#6529,#6530,#6531,#6532,#6533,#6534,#6535, +#6536,#6537,#6538,#6539,#6540,#6541,#6542,#6543,#6544,#6545,#6546), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6579=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6548,#6549,#6550,#6551,#6552,#6553,#6554, +#6555,#6556,#6557,#6558,#6559,#6560,#6561,#6562,#6563,#6564,#6565,#6566,#6567, +#6568,#6569,#6570,#6571,#6572,#6573,#6574,#6575,#6576,#6577,#6578), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6611=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6580,#6581,#6582,#6583,#6584,#6585,#6586, +#6587,#6588,#6589,#6590,#6591,#6592,#6593,#6594,#6595,#6596,#6597,#6598,#6599, +#6600,#6601,#6602,#6603,#6604,#6605,#6606,#6607,#6608,#6609,#6610), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6643=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6612,#6613,#6614,#6615,#6616,#6617,#6618, +#6619,#6620,#6621,#6622,#6623,#6624,#6625,#6626,#6627,#6628,#6629,#6630,#6631, +#6632,#6633,#6634,#6635,#6636,#6637,#6638,#6639,#6640,#6641,#6642), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6675=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6644,#6645,#6646,#6647,#6648,#6649,#6650, +#6651,#6652,#6653,#6654,#6655,#6656,#6657,#6658,#6659,#6660,#6661,#6662,#6663, +#6664,#6665,#6666,#6667,#6668,#6669,#6670,#6671,#6672,#6673,#6674), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6707=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6676,#6677,#6678,#6679,#6680,#6681,#6682, +#6683,#6684,#6685,#6686,#6687,#6688,#6689,#6690,#6691,#6692,#6693,#6694,#6695, +#6696,#6697,#6698,#6699,#6700,#6701,#6702,#6703,#6704,#6705,#6706), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6739=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6708,#6709,#6710,#6711,#6712,#6713,#6714, +#6715,#6716,#6717,#6718,#6719,#6720,#6721,#6722,#6723,#6724,#6725,#6726,#6727, +#6728,#6729,#6730,#6731,#6732,#6733,#6734,#6735,#6736,#6737,#6738), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6771=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6740,#6741,#6742,#6743,#6744,#6745,#6746, +#6747,#6748,#6749,#6750,#6751,#6752,#6753,#6754,#6755,#6756,#6757,#6758,#6759, +#6760,#6761,#6762,#6763,#6764,#6765,#6766,#6767,#6768,#6769,#6770), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6803=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6772,#6773,#6774,#6775,#6776,#6777,#6778, +#6779,#6780,#6781,#6782,#6783,#6784,#6785,#6786,#6787,#6788,#6789,#6790,#6791, +#6792,#6793,#6794,#6795,#6796,#6797,#6798,#6799,#6800,#6801,#6802), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6835=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6804,#6805,#6806,#6807,#6808,#6809,#6810, +#6811,#6812,#6813,#6814,#6815,#6816,#6817,#6818,#6819,#6820,#6821,#6822,#6823, +#6824,#6825,#6826,#6827,#6828,#6829,#6830,#6831,#6832,#6833,#6834), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6867=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6836,#6837,#6838,#6839,#6840,#6841,#6842, +#6843,#6844,#6845,#6846,#6847,#6848,#6849,#6850,#6851,#6852,#6853,#6854,#6855, +#6856,#6857,#6858,#6859,#6860,#6861,#6862,#6863,#6864,#6865,#6866), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6899=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6868,#6869,#6870,#6871,#6872,#6873,#6874, +#6875,#6876,#6877,#6878,#6879,#6880,#6881,#6882,#6883,#6884,#6885,#6886,#6887, +#6888,#6889,#6890,#6891,#6892,#6893,#6894,#6895,#6896,#6897,#6898), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6931=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6900,#6901,#6902,#6903,#6904,#6905,#6906, +#6907,#6908,#6909,#6910,#6911,#6912,#6913,#6914,#6915,#6916,#6917,#6918,#6919, +#6920,#6921,#6922,#6923,#6924,#6925,#6926,#6927,#6928,#6929,#6930), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6963=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6932,#6933,#6934,#6935,#6936,#6937,#6938, +#6939,#6940,#6941,#6942,#6943,#6944,#6945,#6946,#6947,#6948,#6949,#6950,#6951, +#6952,#6953,#6954,#6955,#6956,#6957,#6958,#6959,#6960,#6961,#6962), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6995=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6964,#6965,#6966,#6967,#6968,#6969,#6970, +#6971,#6972,#6973,#6974,#6975,#6976,#6977,#6978,#6979,#6980,#6981,#6982,#6983, +#6984,#6985,#6986,#6987,#6988,#6989,#6990,#6991,#6992,#6993,#6994), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#7027=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6996,#6997,#6998,#6999,#7000,#7001,#7002, +#7003,#7004,#7005,#7006,#7007,#7008,#7009,#7010,#7011,#7012,#7013,#7014,#7015, +#7016,#7017,#7018,#7019,#7020,#7021,#7022,#7023,#7024,#7025,#7026), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#7059=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7028,#7029,#7030,#7031,#7032,#7033,#7034, +#7035,#7036,#7037,#7038,#7039,#7040,#7041,#7042,#7043,#7044,#7045,#7046,#7047, +#7048,#7049,#7050,#7051,#7052,#7053,#7054,#7055,#7056,#7057,#7058), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#7091=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7060,#7061,#7062,#7063,#7064,#7065,#7066, +#7067,#7068,#7069,#7070,#7071,#7072,#7073,#7074,#7075,#7076,#7077,#7078,#7079, +#7080,#7081,#7082,#7083,#7084,#7085,#7086,#7087,#7088,#7089,#7090), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#7123=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7092,#7093,#7094,#7095,#7096,#7097,#7098, +#7099,#7100,#7101,#7102,#7103,#7104,#7105,#7106,#7107,#7108,#7109,#7110,#7111, +#7112,#7113,#7114,#7115,#7116,#7117,#7118,#7119,#7120,#7121,#7122), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#7155=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7124,#7125,#7126,#7127,#7128,#7129,#7130, +#7131,#7132,#7133,#7134,#7135,#7136,#7137,#7138,#7139,#7140,#7141,#7142,#7143, +#7144,#7145,#7146,#7147,#7148,#7149,#7150,#7151,#7152,#7153,#7154), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#7164=CIRCLE('',#7163,6.5E-1); +#7186=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7165,#7166,#7167,#7168,#7169,#7170,#7171, +#7172,#7173,#7174,#7175,#7176,#7177,#7178,#7179,#7180,#7181,#7182,#7183,#7184, +#7185),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#7200=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7191,#7192,#7193,#7194,#7195,#7196,#7197, +#7198,#7199),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7221=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7205,#7206,#7207,#7208,#7209,#7210,#7211, +#7212,#7213,#7214,#7215,#7216,#7217,#7218,#7219,#7220),.UNSPECIFIED.,.F.,.F.,(4, +1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1, +2.5E-1,3.125E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#7238=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7222,#7223,#7224,#7225,#7226,#7227,#7228, +#7229,#7230,#7231,#7232,#7233,#7234,#7235,#7236,#7237),.UNSPECIFIED.,.F.,.F.,(4, +1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,6.875E-1, +7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0),.UNSPECIFIED.); +#7252=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7243,#7244,#7245,#7246,#7247,#7248,#7249, +#7250,#7251),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7262=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7253,#7254,#7255,#7256,#7257,#7258,#7259, +#7260,#7261),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7276=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7267,#7268,#7269,#7270,#7271,#7272,#7273, +#7274,#7275),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7286=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7277,#7278,#7279,#7280,#7281,#7282,#7283, +#7284,#7285),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7300=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7291,#7292,#7293,#7294,#7295,#7296,#7297, +#7298,#7299),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7310=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7301,#7302,#7303,#7304,#7305,#7306,#7307, +#7308,#7309),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7324=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7315,#7316,#7317,#7318,#7319,#7320,#7321, +#7322,#7323),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7334=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7325,#7326,#7327,#7328,#7329,#7330,#7331, +#7332,#7333),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7348=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7339,#7340,#7341,#7342,#7343,#7344,#7345, +#7346,#7347),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7358=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7349,#7350,#7351,#7352,#7353,#7354,#7355, +#7356,#7357),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7372=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7363,#7364,#7365,#7366,#7367,#7368,#7369, +#7370,#7371),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7382=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7373,#7374,#7375,#7376,#7377,#7378,#7379, +#7380,#7381),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7396=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7387,#7388,#7389,#7390,#7391,#7392,#7393, +#7394,#7395),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7406=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7397,#7398,#7399,#7400,#7401,#7402,#7403, +#7404,#7405),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7420=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7411,#7412,#7413,#7414,#7415,#7416,#7417, +#7418,#7419),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7430=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7421,#7422,#7423,#7424,#7425,#7426,#7427, +#7428,#7429),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7444=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7435,#7436,#7437,#7438,#7439,#7440,#7441, +#7442,#7443),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7454=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7445,#7446,#7447,#7448,#7449,#7450,#7451, +#7452,#7453),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7468=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7459,#7460,#7461,#7462,#7463,#7464,#7465, +#7466,#7467),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7478=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7469,#7470,#7471,#7472,#7473,#7474,#7475, +#7476,#7477),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7492=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7483,#7484,#7485,#7486,#7487,#7488,#7489, +#7490,#7491),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7502=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7493,#7494,#7495,#7496,#7497,#7498,#7499, +#7500,#7501),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7516=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7507,#7508,#7509,#7510,#7511,#7512,#7513, +#7514,#7515),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7521=CIRCLE('',#7520,1.5E0); +#7538=CIRCLE('',#7537,1.5E0); +#7543=CIRCLE('',#7542,6.5E-1); +#7565=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7544,#7545,#7546,#7547,#7548,#7549,#7550, +#7551,#7552,#7553,#7554,#7555,#7556,#7557,#7558,#7559,#7560,#7561,#7562,#7563, +#7564),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#7578=CIRCLE('',#7577,6.5E-1); +#7583=CIRCLE('',#7582,6.5E-1); +#7588=CIRCLE('',#7587,6.5E-1); +#7600=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7589,#7590,#7591,#7592,#7593,#7594,#7595, +#7596,#7597,#7598,#7599),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#7613=CIRCLE('',#7612,6.5E-1); +#7618=CIRCLE('',#7617,6.5E-1); +#7623=CIRCLE('',#7622,6.5E-1); +#7645=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7624,#7625,#7626,#7627,#7628,#7629,#7630, +#7631,#7632,#7633,#7634,#7635,#7636,#7637,#7638,#7639,#7640,#7641,#7642,#7643, +#7644),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#7658=CIRCLE('',#7657,6.5E-1); +#7663=CIRCLE('',#7662,6.5E-1); +#7668=CIRCLE('',#7667,6.5E-1); +#7680=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7669,#7670,#7671,#7672,#7673,#7674,#7675, +#7676,#7677,#7678,#7679),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#7693=CIRCLE('',#7692,6.5E-1); +#7698=CIRCLE('',#7697,6.5E-1); +#7703=CIRCLE('',#7702,6.5E-1); +#7740=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7704,#7705,#7706,#7707,#7708,#7709,#7710, +#7711,#7712,#7713,#7714,#7715,#7716,#7717,#7718,#7719,#7720,#7721,#7722,#7723, +#7724,#7725,#7726,#7727,#7728,#7729,#7730,#7731,#7732,#7733,#7734,#7735,#7736, +#7737,#7738,#7739),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,3.030303030303E-2,6.060606060606E-2, +9.090909090909E-2,1.212121212121E-1,1.515151515152E-1,1.818181818182E-1, +2.121212121212E-1,2.424242424242E-1,2.727272727273E-1,3.030303030303E-1, +3.333333333333E-1,3.636363636364E-1,3.939393939394E-1,4.242424242424E-1, +4.545454545455E-1,4.848484848485E-1,5.151515151515E-1,5.454545454545E-1, +5.757575757576E-1,6.060606060606E-1,6.363636363636E-1,6.666666666667E-1, +6.969696969697E-1,7.272727272727E-1,7.575757575758E-1,7.878787878788E-1, +8.181818181818E-1,8.484848484848E-1,8.787878787879E-1,9.090909090909E-1, +9.393939393939E-1,9.696969696970E-1,1.E0),.UNSPECIFIED.); +#7753=CIRCLE('',#7752,6.5E-1); +#7758=CIRCLE('',#7757,6.5E-1); +#7763=CIRCLE('',#7762,6.5E-1); +#7775=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7764,#7765,#7766,#7767,#7768,#7769,#7770, +#7771,#7772,#7773,#7774),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#7788=CIRCLE('',#7787,6.5E-1); +#7793=CIRCLE('',#7792,6.5E-1); +#7798=CIRCLE('',#7797,6.5E-1); +#7820=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7799,#7800,#7801,#7802,#7803,#7804,#7805, +#7806,#7807,#7808,#7809,#7810,#7811,#7812,#7813,#7814,#7815,#7816,#7817,#7818, +#7819),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#7833=CIRCLE('',#7832,6.5E-1); +#7838=CIRCLE('',#7837,6.5E-1); +#7843=CIRCLE('',#7842,6.5E-1); +#7855=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7844,#7845,#7846,#7847,#7848,#7849,#7850, +#7851,#7852,#7853,#7854),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#7868=CIRCLE('',#7867,6.5E-1); +#7873=CIRCLE('',#7872,6.5E-1); +#7878=CIRCLE('',#7877,6.5E-1); +#7900=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7879,#7880,#7881,#7882,#7883,#7884,#7885, +#7886,#7887,#7888,#7889,#7890,#7891,#7892,#7893,#7894,#7895,#7896,#7897,#7898, +#7899),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#7913=CIRCLE('',#7912,6.5E-1); +#7918=CIRCLE('',#7917,6.5E-1); +#7923=CIRCLE('',#7922,6.5E-1); +#7935=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7924,#7925,#7926,#7927,#7928,#7929,#7930, +#7931,#7932,#7933,#7934),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#7948=CIRCLE('',#7947,6.5E-1); +#7953=CIRCLE('',#7952,6.5E-1); +#7958=CIRCLE('',#7957,6.5E-1); +#7980=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7959,#7960,#7961,#7962,#7963,#7964,#7965, +#7966,#7967,#7968,#7969,#7970,#7971,#7972,#7973,#7974,#7975,#7976,#7977,#7978, +#7979),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#7993=CIRCLE('',#7992,6.5E-1); +#7998=CIRCLE('',#7997,6.5E-1); +#8003=CIRCLE('',#8002,6.5E-1); +#8015=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8004,#8005,#8006,#8007,#8008,#8009,#8010, +#8011,#8012,#8013,#8014),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#8028=CIRCLE('',#8027,6.5E-1); +#8033=CIRCLE('',#8032,6.5E-1); +#8038=CIRCLE('',#8037,6.5E-1); +#8060=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8039,#8040,#8041,#8042,#8043,#8044,#8045, +#8046,#8047,#8048,#8049,#8050,#8051,#8052,#8053,#8054,#8055,#8056,#8057,#8058, +#8059),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#8073=CIRCLE('',#8072,6.5E-1); +#8078=CIRCLE('',#8077,6.5E-1); +#8083=CIRCLE('',#8082,6.5E-1); +#8095=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8084,#8085,#8086,#8087,#8088,#8089,#8090, +#8091,#8092,#8093,#8094),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#8108=CIRCLE('',#8107,6.5E-1); +#8113=CIRCLE('',#8112,6.5E-1); +#8118=CIRCLE('',#8117,6.5E-1); +#8140=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8119,#8120,#8121,#8122,#8123,#8124,#8125, +#8126,#8127,#8128,#8129,#8130,#8131,#8132,#8133,#8134,#8135,#8136,#8137,#8138, +#8139),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#8153=CIRCLE('',#8152,6.5E-1); +#8158=CIRCLE('',#8157,6.5E-1); +#8163=CIRCLE('',#8162,6.5E-1); +#8175=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8164,#8165,#8166,#8167,#8168,#8169,#8170, +#8171,#8172,#8173,#8174),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#8188=CIRCLE('',#8187,6.5E-1); +#8193=CIRCLE('',#8192,6.5E-1); +#8198=CIRCLE('',#8197,6.5E-1); +#8220=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8199,#8200,#8201,#8202,#8203,#8204,#8205, +#8206,#8207,#8208,#8209,#8210,#8211,#8212,#8213,#8214,#8215,#8216,#8217,#8218, +#8219),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#8233=CIRCLE('',#8232,6.5E-1); +#8238=CIRCLE('',#8237,6.5E-1); +#8243=CIRCLE('',#8242,6.5E-1); +#8255=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8244,#8245,#8246,#8247,#8248,#8249,#8250, +#8251,#8252,#8253,#8254),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#8268=CIRCLE('',#8267,6.5E-1); +#8273=CIRCLE('',#8272,6.5E-1); +#8278=CIRCLE('',#8277,6.5E-1); +#8300=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8279,#8280,#8281,#8282,#8283,#8284,#8285, +#8286,#8287,#8288,#8289,#8290,#8291,#8292,#8293,#8294,#8295,#8296,#8297,#8298, +#8299),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#8313=CIRCLE('',#8312,6.5E-1); +#8318=CIRCLE('',#8317,6.5E-1); +#8323=CIRCLE('',#8322,6.5E-1); +#8335=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8324,#8325,#8326,#8327,#8328,#8329,#8330, +#8331,#8332,#8333,#8334),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#8348=CIRCLE('',#8347,6.5E-1); +#8353=CIRCLE('',#8352,6.5E-1); +#8358=CIRCLE('',#8357,6.5E-1); +#8380=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8359,#8360,#8361,#8362,#8363,#8364,#8365, +#8366,#8367,#8368,#8369,#8370,#8371,#8372,#8373,#8374,#8375,#8376,#8377,#8378, +#8379),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#8393=CIRCLE('',#8392,6.5E-1); +#8398=CIRCLE('',#8397,6.5E-1); +#8403=CIRCLE('',#8402,6.5E-1); +#8415=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8404,#8405,#8406,#8407,#8408,#8409,#8410, +#8411,#8412,#8413,#8414),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#8428=CIRCLE('',#8427,6.5E-1); +#8433=CIRCLE('',#8432,6.5E-1); +#8442=CIRCLE('',#8441,6.5E-1); +#8447=CIRCLE('',#8446,6.5E-1); +#8452=CIRCLE('',#8451,6.5E-1); +#8464=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8453,#8454,#8455,#8456,#8457,#8458,#8459, +#8460,#8461,#8462,#8463),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#8477=CIRCLE('',#8476,6.5E-1); +#8482=CIRCLE('',#8481,6.5E-1); +#8543=CIRCLE('',#8542,6.5E-1); +#8548=CIRCLE('',#8547,6.5E-1); +#8553=CIRCLE('',#8552,6.5E-1); +#8558=CIRCLE('',#8557,6.5E-1); +#8563=CIRCLE('',#8562,6.5E-1); +#8568=CIRCLE('',#8567,6.5E-1); +#8573=CIRCLE('',#8572,6.5E-1); +#8578=CIRCLE('',#8577,6.5E-1); +#8583=CIRCLE('',#8582,6.5E-1); +#8588=CIRCLE('',#8587,6.5E-1); +#8593=CIRCLE('',#8592,6.5E-1); +#8598=CIRCLE('',#8597,6.5E-1); +#8603=CIRCLE('',#8602,6.5E-1); +#8608=CIRCLE('',#8607,6.5E-1); +#8613=CIRCLE('',#8612,6.5E-1); +#8618=CIRCLE('',#8617,6.5E-1); +#8623=CIRCLE('',#8622,6.5E-1); +#8628=CIRCLE('',#8627,6.5E-1); +#8633=CIRCLE('',#8632,6.5E-1); +#8638=CIRCLE('',#8637,6.5E-1); +#8643=CIRCLE('',#8642,6.5E-1); +#8648=CIRCLE('',#8647,6.5E-1); +#8653=CIRCLE('',#8652,6.5E-1); +#8658=CIRCLE('',#8657,6.5E-1); +#8671=CIRCLE('',#8670,6.5E-1); +#8676=CIRCLE('',#8675,6.5E-1); +#8689=CIRCLE('',#8688,6.5E-1); +#8694=CIRCLE('',#8693,6.5E-1); +#8707=CIRCLE('',#8706,6.5E-1); +#8712=CIRCLE('',#8711,6.5E-1); +#8725=CIRCLE('',#8724,6.5E-1); +#8730=CIRCLE('',#8729,6.5E-1); +#8743=CIRCLE('',#8742,6.5E-1); +#8748=CIRCLE('',#8747,6.5E-1); +#8761=CIRCLE('',#8760,6.5E-1); +#8766=CIRCLE('',#8765,6.5E-1); +#8779=CIRCLE('',#8778,6.5E-1); +#8784=CIRCLE('',#8783,6.5E-1); +#8797=CIRCLE('',#8796,6.5E-1); +#8802=CIRCLE('',#8801,6.5E-1); +#8815=CIRCLE('',#8814,6.5E-1); +#8820=CIRCLE('',#8819,6.5E-1); +#8833=CIRCLE('',#8832,6.5E-1); +#8838=CIRCLE('',#8837,6.5E-1); +#8851=CIRCLE('',#8850,6.5E-1); +#8856=CIRCLE('',#8855,6.5E-1); +#8869=CIRCLE('',#8868,6.5E-1); +#8874=CIRCLE('',#8873,6.5E-1); +#8887=CIRCLE('',#8886,6.5E-1); +#8892=CIRCLE('',#8891,6.5E-1); +#8905=CIRCLE('',#8904,6.5E-1); +#8910=CIRCLE('',#8909,6.5E-1); +#8923=CIRCLE('',#8922,6.5E-1); +#8928=CIRCLE('',#8927,6.5E-1); +#8941=CIRCLE('',#8940,6.5E-1); +#8946=CIRCLE('',#8945,6.5E-1); +#8959=CIRCLE('',#8958,6.5E-1); +#8964=CIRCLE('',#8963,6.5E-1); +#8977=CIRCLE('',#8976,6.5E-1); +#8982=CIRCLE('',#8981,6.5E-1); +#8995=CIRCLE('',#8994,6.5E-1); +#9000=CIRCLE('',#8999,6.5E-1); +#9013=CIRCLE('',#9012,6.5E-1); +#9018=CIRCLE('',#9017,6.5E-1); +#9031=CIRCLE('',#9030,6.5E-1); +#9036=CIRCLE('',#9035,6.5E-1); +#9049=CIRCLE('',#9048,6.5E-1); +#9054=CIRCLE('',#9053,6.5E-1); +#9067=CIRCLE('',#9066,6.5E-1); +#9072=CIRCLE('',#9071,6.5E-1); +#9085=CIRCLE('',#9084,6.5E-1); +#9090=CIRCLE('',#9089,6.5E-1); +#9103=CIRCLE('',#9102,6.5E-1); +#9108=CIRCLE('',#9107,6.5E-1); +#9121=CIRCLE('',#9120,6.5E-1); +#9126=CIRCLE('',#9125,6.5E-1); +#9139=CIRCLE('',#9138,6.5E-1); +#9144=CIRCLE('',#9143,6.5E-1); +#9157=CIRCLE('',#9156,6.5E-1); +#9162=CIRCLE('',#9161,6.5E-1); +#9175=CIRCLE('',#9174,6.5E-1); +#9180=CIRCLE('',#9179,6.5E-1); +#9193=CIRCLE('',#9192,6.5E-1); +#9198=CIRCLE('',#9197,6.5E-1); +#9211=CIRCLE('',#9210,6.5E-1); +#9216=CIRCLE('',#9215,6.5E-1); +#9229=CIRCLE('',#9228,6.5E-1); +#9234=CIRCLE('',#9233,6.5E-1); +#9247=CIRCLE('',#9246,6.5E-1); +#9252=CIRCLE('',#9251,6.5E-1); +#9265=CIRCLE('',#9264,6.5E-1); +#9270=CIRCLE('',#9269,6.5E-1); +#9283=CIRCLE('',#9282,6.5E-1); +#9288=CIRCLE('',#9287,6.5E-1); +#9301=CIRCLE('',#9300,6.5E-1); +#9306=CIRCLE('',#9305,6.5E-1); +#9319=CIRCLE('',#9318,6.5E-1); +#9324=CIRCLE('',#9323,6.5E-1); +#9337=CIRCLE('',#9336,6.5E-1); +#9342=CIRCLE('',#9341,6.5E-1); +#9355=CIRCLE('',#9354,6.5E-1); +#9360=CIRCLE('',#9359,6.5E-1); +#9373=CIRCLE('',#9372,6.5E-1); +#9378=CIRCLE('',#9377,6.5E-1); +#9391=CIRCLE('',#9390,6.5E-1); +#9396=CIRCLE('',#9395,6.5E-1); +#9409=CIRCLE('',#9408,6.5E-1); +#9414=CIRCLE('',#9413,6.5E-1); +#9427=CIRCLE('',#9426,6.5E-1); +#9432=CIRCLE('',#9431,6.5E-1); +#9445=CIRCLE('',#9444,6.5E-1); +#9450=CIRCLE('',#9449,6.5E-1); +#9463=CIRCLE('',#9462,6.5E-1); +#9468=CIRCLE('',#9467,6.5E-1); +#9481=CIRCLE('',#9480,6.5E-1); +#9486=CIRCLE('',#9485,6.5E-1); +#12481=EDGE_CURVE('',#12117,#12118,#5,.T.); +#12483=EDGE_CURVE('',#12146,#12117,#9,.T.); +#12485=EDGE_CURVE('',#12145,#12146,#13,.T.); +#12487=EDGE_CURVE('',#12148,#12145,#18,.T.); +#12489=EDGE_CURVE('',#12152,#12148,#22,.T.); +#12491=EDGE_CURVE('',#12156,#12152,#27,.T.); +#12493=EDGE_CURVE('',#12160,#12156,#31,.T.); +#12495=EDGE_CURVE('',#12164,#12160,#36,.T.); +#12497=EDGE_CURVE('',#12168,#12164,#40,.T.); +#12499=EDGE_CURVE('',#12172,#12168,#45,.T.); +#12501=EDGE_CURVE('',#12176,#12172,#49,.T.); +#12503=EDGE_CURVE('',#12176,#12134,#53,.T.); +#12505=EDGE_CURVE('',#12132,#12134,#57,.T.); +#12507=EDGE_CURVE('',#12130,#12132,#61,.T.); +#12509=EDGE_CURVE('',#12129,#12130,#65,.T.); +#12511=EDGE_CURVE('',#12129,#12118,#69,.T.); +#12515=EDGE_CURVE('',#10404,#10408,#74,.T.); +#12517=EDGE_CURVE('',#10408,#10412,#165,.T.); +#12519=EDGE_CURVE('',#10412,#10416,#152,.T.); +#12521=EDGE_CURVE('',#10416,#10420,#139,.T.); +#12523=EDGE_CURVE('',#10420,#10393,#122,.T.); +#12525=EDGE_CURVE('',#10393,#10394,#113,.T.); +#12527=EDGE_CURVE('',#10394,#10400,#100,.T.); +#12529=EDGE_CURVE('',#10400,#10404,#87,.T.); +#12533=ADVANCED_FACE('',(#12514,#12532),#12480,.F.); +#12540=EDGE_CURVE('',#10404,#10406,#78,.T.); +#12542=EDGE_CURVE('',#10406,#10410,#83,.T.); +#12544=EDGE_CURVE('',#10408,#10410,#169,.T.); +#12548=ADVANCED_FACE('',(#12547),#12538,.F.); +#12555=EDGE_CURVE('',#10400,#10402,#91,.T.); +#12557=EDGE_CURVE('',#10402,#10406,#95,.T.); +#12562=ADVANCED_FACE('',(#12561),#12553,.T.); +#12569=EDGE_CURVE('',#10394,#10398,#104,.T.); +#12571=EDGE_CURVE('',#10398,#10402,#109,.T.); +#12576=ADVANCED_FACE('',(#12575),#12567,.F.); +#12583=EDGE_CURVE('',#10393,#10397,#135,.T.); +#12585=EDGE_CURVE('',#10397,#10398,#117,.T.); +#12590=ADVANCED_FACE('',(#12589),#12581,.T.); +#12597=EDGE_CURVE('',#10420,#10422,#126,.T.); +#12599=EDGE_CURVE('',#10422,#10397,#131,.T.); +#12604=ADVANCED_FACE('',(#12603),#12595,.F.); +#12611=EDGE_CURVE('',#10416,#10418,#143,.T.); +#12613=EDGE_CURVE('',#10418,#10422,#147,.T.); +#12618=ADVANCED_FACE('',(#12617),#12609,.T.); +#12625=EDGE_CURVE('',#10412,#10414,#156,.T.); +#12627=EDGE_CURVE('',#10414,#10418,#161,.T.); +#12632=ADVANCED_FACE('',(#12631),#12623,.F.); +#12640=EDGE_CURVE('',#10410,#10414,#173,.T.); +#12645=ADVANCED_FACE('',(#12644),#12637,.T.); +#12651=EDGE_CURVE('',#10366,#10362,#711,.T.); +#12653=EDGE_CURVE('',#10365,#10366,#177,.T.); +#12655=EDGE_CURVE('',#10365,#10386,#181,.T.); +#12657=EDGE_CURVE('',#10386,#10177,#185,.T.); +#12659=EDGE_CURVE('',#10177,#10178,#189,.T.); +#12661=EDGE_CURVE('',#10287,#10178,#193,.T.); +#12663=EDGE_CURVE('',#10287,#10288,#197,.T.); +#12665=EDGE_CURVE('',#10288,#10181,#201,.T.); +#12667=EDGE_CURVE('',#10181,#10182,#205,.T.); +#12669=EDGE_CURVE('',#10291,#10182,#209,.T.); +#12671=EDGE_CURVE('',#10291,#10292,#213,.T.); +#12673=EDGE_CURVE('',#10292,#10185,#217,.T.); +#12675=EDGE_CURVE('',#10185,#10186,#221,.T.); +#12677=EDGE_CURVE('',#10295,#10186,#225,.T.); +#12679=EDGE_CURVE('',#10295,#10296,#229,.T.); +#12681=EDGE_CURVE('',#10296,#10189,#233,.T.); +#12683=EDGE_CURVE('',#10189,#10190,#237,.T.); +#12685=EDGE_CURVE('',#10299,#10190,#241,.T.); +#12687=EDGE_CURVE('',#10299,#10300,#245,.T.); +#12689=EDGE_CURVE('',#10300,#10193,#249,.T.); +#12691=EDGE_CURVE('',#10193,#10194,#253,.T.); +#12693=EDGE_CURVE('',#10302,#10194,#257,.T.); +#12695=EDGE_CURVE('',#10302,#10014,#261,.T.); +#12697=EDGE_CURVE('',#10414,#10014,#9906,.T.); +#12700=EDGE_CURVE('',#10410,#10009,#265,.T.); +#12702=EDGE_CURVE('',#10009,#10264,#269,.T.); +#12704=EDGE_CURVE('',#10264,#10153,#273,.T.); +#12706=EDGE_CURVE('',#10153,#10154,#277,.T.); +#12708=EDGE_CURVE('',#10267,#10154,#281,.T.); +#12710=EDGE_CURVE('',#10267,#10268,#285,.T.); +#12712=EDGE_CURVE('',#10268,#10157,#289,.T.); +#12714=EDGE_CURVE('',#10157,#10158,#293,.T.); +#12716=EDGE_CURVE('',#10271,#10158,#297,.T.); +#12718=EDGE_CURVE('',#10271,#10272,#301,.T.); +#12720=EDGE_CURVE('',#10272,#10161,#305,.T.); +#12722=EDGE_CURVE('',#10161,#10162,#309,.T.); +#12724=EDGE_CURVE('',#10275,#10162,#313,.T.); +#12726=EDGE_CURVE('',#10275,#10276,#317,.T.); +#12728=EDGE_CURVE('',#10276,#10165,#321,.T.); +#12730=EDGE_CURVE('',#10165,#10166,#325,.T.); +#12732=EDGE_CURVE('',#10279,#10166,#329,.T.); +#12734=EDGE_CURVE('',#10279,#10280,#333,.T.); +#12736=EDGE_CURVE('',#10280,#10169,#337,.T.); +#12738=EDGE_CURVE('',#10169,#10170,#341,.T.); +#12740=EDGE_CURVE('',#10283,#10170,#345,.T.); +#12742=EDGE_CURVE('',#10283,#10284,#349,.T.); +#12744=EDGE_CURVE('',#10284,#10173,#353,.T.); +#12746=EDGE_CURVE('',#10173,#10174,#357,.T.); +#12748=EDGE_CURVE('',#10384,#10174,#361,.T.); +#12750=EDGE_CURVE('',#10384,#10361,#365,.T.); +#12752=EDGE_CURVE('',#10361,#10362,#369,.T.); +#12756=ADVANCED_FACE('',(#12755),#12650,.T.); +#12763=EDGE_CURVE('',#10153,#11717,#373,.T.); +#12765=EDGE_CURVE('',#11717,#11718,#378,.T.); +#12767=EDGE_CURVE('',#11718,#10154,#382,.T.); +#12771=ADVANCED_FACE('',(#12770),#12761,.F.); +#12778=EDGE_CURVE('',#10157,#11709,#386,.T.); +#12780=EDGE_CURVE('',#11709,#11710,#391,.T.); +#12782=EDGE_CURVE('',#11710,#10158,#395,.T.); +#12786=ADVANCED_FACE('',(#12785),#12776,.F.); +#12793=EDGE_CURVE('',#10161,#11713,#399,.T.); +#12795=EDGE_CURVE('',#11713,#11714,#404,.T.); +#12797=EDGE_CURVE('',#11714,#10162,#408,.T.); +#12801=ADVANCED_FACE('',(#12800),#12791,.F.); +#12808=EDGE_CURVE('',#10165,#11705,#412,.T.); +#12810=EDGE_CURVE('',#11705,#11706,#417,.T.); +#12812=EDGE_CURVE('',#11706,#10166,#421,.T.); +#12816=ADVANCED_FACE('',(#12815),#12806,.F.); +#12823=EDGE_CURVE('',#10169,#11701,#425,.T.); +#12825=EDGE_CURVE('',#11701,#11702,#430,.T.); +#12827=EDGE_CURVE('',#11702,#10170,#434,.T.); +#12831=ADVANCED_FACE('',(#12830),#12821,.F.); +#12838=EDGE_CURVE('',#10173,#11697,#438,.T.); +#12840=EDGE_CURVE('',#11697,#11698,#443,.T.); +#12842=EDGE_CURVE('',#11698,#10174,#447,.T.); +#12846=ADVANCED_FACE('',(#12845),#12836,.F.); +#12853=EDGE_CURVE('',#10177,#11741,#451,.T.); +#12855=EDGE_CURVE('',#11741,#11742,#456,.T.); +#12857=EDGE_CURVE('',#11742,#10178,#460,.T.); +#12861=ADVANCED_FACE('',(#12860),#12851,.F.); +#12868=EDGE_CURVE('',#10181,#11745,#464,.T.); +#12870=EDGE_CURVE('',#11745,#11746,#469,.T.); +#12872=EDGE_CURVE('',#11746,#10182,#473,.T.); +#12876=ADVANCED_FACE('',(#12875),#12866,.F.); +#12883=EDGE_CURVE('',#10185,#11753,#477,.T.); +#12885=EDGE_CURVE('',#11753,#11754,#482,.T.); +#12887=EDGE_CURVE('',#11754,#10186,#486,.T.); +#12891=ADVANCED_FACE('',(#12890),#12881,.F.); +#12898=EDGE_CURVE('',#10189,#11749,#490,.T.); +#12900=EDGE_CURVE('',#11749,#11750,#495,.T.); +#12902=EDGE_CURVE('',#11750,#10190,#499,.T.); +#12906=ADVANCED_FACE('',(#12905),#12896,.F.); +#12913=EDGE_CURVE('',#10193,#11757,#503,.T.); +#12915=EDGE_CURVE('',#11757,#11758,#508,.T.); +#12917=EDGE_CURVE('',#11758,#10194,#512,.T.); +#12921=ADVANCED_FACE('',(#12920),#12911,.F.); +#12927=EDGE_CURVE('',#10197,#10198,#9850,.T.); +#12929=EDGE_CURVE('',#10197,#10149,#516,.T.); +#12931=EDGE_CURVE('',#10149,#10150,#521,.T.); +#12933=EDGE_CURVE('',#10150,#10198,#525,.T.); +#12937=ADVANCED_FACE('',(#12936),#12926,.F.); +#12943=EDGE_CURVE('',#10201,#10202,#9866,.T.); +#12945=EDGE_CURVE('',#10201,#11669,#529,.T.); +#12947=EDGE_CURVE('',#11669,#11670,#534,.T.); +#12949=EDGE_CURVE('',#11670,#10202,#538,.T.); +#12953=ADVANCED_FACE('',(#12952),#12942,.F.); +#12959=EDGE_CURVE('',#10207,#10208,#9694,.T.); +#12961=EDGE_CURVE('',#10207,#11761,#542,.T.); +#12963=EDGE_CURVE('',#11761,#11762,#547,.T.); +#12965=EDGE_CURVE('',#11762,#10208,#551,.T.); +#12969=ADVANCED_FACE('',(#12968),#12958,.F.); +#12975=EDGE_CURVE('',#10211,#10212,#9710,.T.); +#12977=EDGE_CURVE('',#10211,#11769,#555,.T.); +#12979=EDGE_CURVE('',#11769,#11770,#560,.T.); +#12981=EDGE_CURVE('',#11770,#10212,#564,.T.); +#12985=ADVANCED_FACE('',(#12984),#12974,.F.); +#12991=EDGE_CURVE('',#10215,#10216,#9726,.T.); +#12993=EDGE_CURVE('',#10215,#11765,#568,.T.); +#12995=EDGE_CURVE('',#11765,#11766,#573,.T.); +#12997=EDGE_CURVE('',#11766,#10216,#577,.T.); +#13001=ADVANCED_FACE('',(#13000),#12990,.F.); +#13007=EDGE_CURVE('',#10219,#10220,#9742,.T.); +#13009=EDGE_CURVE('',#10219,#11773,#581,.T.); +#13011=EDGE_CURVE('',#11773,#11774,#586,.T.); +#13013=EDGE_CURVE('',#11774,#10220,#590,.T.); +#13017=ADVANCED_FACE('',(#13016),#13006,.F.); +#13023=EDGE_CURVE('',#10223,#10224,#9758,.T.); +#13025=EDGE_CURVE('',#10223,#11781,#594,.T.); +#13027=EDGE_CURVE('',#11781,#11782,#599,.T.); +#13029=EDGE_CURVE('',#11782,#10224,#603,.T.); +#13033=ADVANCED_FACE('',(#13032),#13022,.F.); +#13039=EDGE_CURVE('',#10227,#10228,#9774,.T.); +#13041=EDGE_CURVE('',#10227,#11777,#607,.T.); +#13043=EDGE_CURVE('',#11777,#11778,#612,.T.); +#13045=EDGE_CURVE('',#11778,#10228,#616,.T.); +#13049=ADVANCED_FACE('',(#13048),#13038,.F.); +#13055=EDGE_CURVE('',#10231,#10232,#9790,.T.); +#13057=EDGE_CURVE('',#10231,#11737,#620,.T.); +#13059=EDGE_CURVE('',#11737,#11738,#625,.T.); +#13061=EDGE_CURVE('',#11738,#10232,#629,.T.); +#13065=ADVANCED_FACE('',(#13064),#13054,.F.); +#13071=EDGE_CURVE('',#10235,#10236,#9622,.T.); +#13073=EDGE_CURVE('',#10235,#11733,#633,.T.); +#13075=EDGE_CURVE('',#11733,#11734,#638,.T.); +#13077=EDGE_CURVE('',#11734,#10236,#642,.T.); +#13081=ADVANCED_FACE('',(#13080),#13070,.F.); +#13087=EDGE_CURVE('',#10239,#10240,#9638,.T.); +#13089=EDGE_CURVE('',#10239,#11725,#646,.T.); +#13091=EDGE_CURVE('',#11725,#11726,#651,.T.); +#13093=EDGE_CURVE('',#11726,#10240,#655,.T.); +#13097=ADVANCED_FACE('',(#13096),#13086,.F.); +#13103=EDGE_CURVE('',#10243,#10244,#9654,.T.); +#13105=EDGE_CURVE('',#10243,#11729,#659,.T.); +#13107=EDGE_CURVE('',#11729,#11730,#664,.T.); +#13109=EDGE_CURVE('',#11730,#10244,#668,.T.); +#13113=ADVANCED_FACE('',(#13112),#13102,.F.); +#13119=EDGE_CURVE('',#10247,#10248,#9670,.T.); +#13121=EDGE_CURVE('',#10247,#11721,#672,.T.); +#13123=EDGE_CURVE('',#11721,#11722,#677,.T.); +#13125=EDGE_CURVE('',#11722,#10248,#681,.T.); +#13129=ADVANCED_FACE('',(#13128),#13118,.F.); +#13135=EDGE_CURVE('',#10251,#10252,#9550,.T.); +#13137=EDGE_CURVE('',#10251,#11673,#685,.T.); +#13139=EDGE_CURVE('',#11673,#11674,#690,.T.); +#13141=EDGE_CURVE('',#11674,#10252,#694,.T.); +#13145=ADVANCED_FACE('',(#13144),#13134,.F.); +#13151=EDGE_CURVE('',#10255,#10256,#9566,.T.); +#13153=EDGE_CURVE('',#10255,#11677,#698,.T.); +#13155=EDGE_CURVE('',#11677,#11678,#703,.T.); +#13157=EDGE_CURVE('',#11678,#10256,#707,.T.); +#13161=ADVANCED_FACE('',(#13160),#13150,.F.); +#13168=EDGE_CURVE('',#10362,#10353,#715,.T.); +#13170=EDGE_CURVE('',#10353,#10354,#720,.T.); +#13172=EDGE_CURVE('',#10354,#10366,#724,.T.); +#13176=ADVANCED_FACE('',(#13175),#13166,.F.); +#13182=EDGE_CURVE('',#10374,#10370,#728,.T.); +#13184=EDGE_CURVE('',#10370,#10357,#732,.T.); +#13186=EDGE_CURVE('',#10357,#10358,#737,.T.); +#13188=EDGE_CURVE('',#10358,#10374,#741,.T.); +#13192=ADVANCED_FACE('',(#13191),#13181,.F.); +#13199=EDGE_CURVE('',#10264,#11804,#1831,.T.); +#13201=EDGE_CURVE('',#11717,#11804,#9542,.T.); +#13206=ADVANCED_FACE('',(#13205),#13197,.T.); +#13212=EDGE_CURVE('',#10441,#10442,#876,.T.); +#13214=EDGE_CURVE('',#10442,#10441,#881,.T.); +#13218=ADVANCED_FACE('',(#13217),#13211,.F.); +#13224=EDGE_CURVE('',#10445,#10446,#886,.T.); +#13226=EDGE_CURVE('',#10446,#10445,#891,.T.); +#13230=ADVANCED_FACE('',(#13229),#13223,.F.); +#13236=EDGE_CURVE('',#10449,#10450,#896,.T.); +#13238=EDGE_CURVE('',#10450,#10449,#901,.T.); +#13242=ADVANCED_FACE('',(#13241),#13235,.F.); +#13248=EDGE_CURVE('',#10453,#10454,#906,.T.); +#13250=EDGE_CURVE('',#10454,#10453,#911,.T.); +#13254=ADVANCED_FACE('',(#13253),#13247,.F.); +#13260=EDGE_CURVE('',#11323,#11324,#1099,.T.); +#13262=EDGE_CURVE('',#11324,#11326,#1103,.T.); +#13264=EDGE_CURVE('',#11326,#11323,#1107,.T.); +#13268=ADVANCED_FACE('',(#13267),#13259,.F.); +#13274=EDGE_CURVE('',#12029,#12030,#1367,.T.); +#13276=EDGE_CURVE('',#12030,#12032,#1372,.T.); +#13278=EDGE_CURVE('',#12032,#12034,#1376,.T.); +#13280=EDGE_CURVE('',#12034,#12036,#1381,.T.); +#13282=EDGE_CURVE('',#12036,#12038,#1385,.T.); +#13284=EDGE_CURVE('',#12038,#12040,#1390,.T.); +#13286=EDGE_CURVE('',#12040,#12042,#1394,.T.); +#13288=EDGE_CURVE('',#12042,#12029,#1399,.T.); +#13292=EDGE_CURVE('',#10040,#10005,#746,.T.); +#13294=EDGE_CURVE('',#10040,#10138,#750,.T.); +#13296=EDGE_CURVE('',#10138,#10140,#755,.T.); +#13298=EDGE_CURVE('',#10140,#10142,#759,.T.); +#13300=EDGE_CURVE('',#10142,#10144,#764,.T.); +#13302=EDGE_CURVE('',#10144,#10146,#768,.T.); +#13304=EDGE_CURVE('',#10090,#10146,#773,.T.); +#13306=EDGE_CURVE('',#10050,#10090,#2657,.T.); +#13308=EDGE_CURVE('',#10049,#10050,#778,.T.); +#13310=EDGE_CURVE('',#10106,#10049,#783,.T.); +#13312=EDGE_CURVE('',#10106,#10087,#787,.T.); +#13314=EDGE_CURVE('',#10087,#10088,#792,.T.); +#13316=EDGE_CURVE('',#10088,#10100,#796,.T.); +#13318=EDGE_CURVE('',#10100,#10102,#801,.T.); +#13320=EDGE_CURVE('',#10104,#10102,#806,.T.); +#13322=EDGE_CURVE('',#10080,#10104,#2200,.T.); +#13324=EDGE_CURVE('',#10079,#10080,#811,.T.); +#13326=EDGE_CURVE('',#10079,#10082,#816,.T.); +#13328=EDGE_CURVE('',#10082,#10084,#820,.T.); +#13330=EDGE_CURVE('',#10084,#10059,#825,.T.); +#13332=EDGE_CURVE('',#10059,#10060,#829,.T.); +#13334=EDGE_CURVE('',#10046,#10060,#834,.T.); +#13336=EDGE_CURVE('',#10045,#10046,#839,.T.); +#13338=EDGE_CURVE('',#10062,#10045,#2431,.T.); +#13340=EDGE_CURVE('',#10129,#10062,#844,.T.); +#13342=EDGE_CURVE('',#10129,#10130,#848,.T.); +#13344=EDGE_CURVE('',#10130,#10132,#853,.T.); +#13346=EDGE_CURVE('',#10132,#10134,#857,.T.); +#13348=EDGE_CURVE('',#10134,#10136,#862,.T.); +#13350=EDGE_CURVE('',#10136,#10042,#866,.T.); +#13352=EDGE_CURVE('',#10006,#10042,#871,.T.); +#13354=EDGE_CURVE('',#10005,#10006,#2378,.T.); +#13358=ADVANCED_FACE('',(#13291,#13357),#13273,.F.); +#13364=EDGE_CURVE('',#10361,#10376,#1753,.T.); +#13367=EDGE_CURVE('',#11786,#10384,#1757,.T.); +#13369=EDGE_CURVE('',#11784,#11786,#1762,.T.); +#13371=EDGE_CURVE('',#10284,#11784,#1766,.T.); +#13374=EDGE_CURVE('',#11790,#10283,#1770,.T.); +#13376=EDGE_CURVE('',#11788,#11790,#1775,.T.); +#13378=EDGE_CURVE('',#10280,#11788,#1779,.T.); +#13381=EDGE_CURVE('',#11794,#10279,#1783,.T.); +#13383=EDGE_CURVE('',#11792,#11794,#1788,.T.); +#13385=EDGE_CURVE('',#10276,#11792,#1792,.T.); +#13388=EDGE_CURVE('',#11802,#10275,#1796,.T.); +#13390=EDGE_CURVE('',#11800,#11802,#1801,.T.); +#13392=EDGE_CURVE('',#10272,#11800,#1805,.T.); +#13395=EDGE_CURVE('',#11798,#10271,#1809,.T.); +#13397=EDGE_CURVE('',#11796,#11798,#1814,.T.); +#13399=EDGE_CURVE('',#10268,#11796,#1818,.T.); +#13402=EDGE_CURVE('',#11806,#10267,#1822,.T.); +#13404=EDGE_CURVE('',#11804,#11806,#1827,.T.); +#13408=EDGE_CURVE('',#10009,#10010,#1836,.T.); +#13410=EDGE_CURVE('',#11682,#10010,#9574,.T.); +#13412=EDGE_CURVE('',#11694,#11682,#1840,.T.); +#13414=EDGE_CURVE('',#11692,#11694,#1845,.T.); +#13416=EDGE_CURVE('',#10350,#11692,#1849,.T.); +#13418=EDGE_CURVE('',#10349,#10350,#9558,.T.); +#13420=EDGE_CURVE('',#11690,#10349,#1853,.T.); +#13422=EDGE_CURVE('',#11688,#11690,#1858,.T.); +#13424=EDGE_CURVE('',#10346,#11688,#1862,.T.); +#13426=EDGE_CURVE('',#10021,#10346,#9582,.T.); +#13428=EDGE_CURVE('',#10021,#10022,#1867,.T.); +#13430=EDGE_CURVE('',#10344,#10022,#9678,.T.); +#13432=EDGE_CURVE('',#11810,#10344,#1871,.T.); +#13434=EDGE_CURVE('',#11809,#11810,#1876,.T.); +#13436=EDGE_CURVE('',#10342,#11809,#1880,.T.); +#13438=EDGE_CURVE('',#10341,#10342,#9662,.T.); +#13440=EDGE_CURVE('',#11818,#10341,#1884,.T.); +#13442=EDGE_CURVE('',#11817,#11818,#1889,.T.); +#13444=EDGE_CURVE('',#10338,#11817,#1893,.T.); +#13446=EDGE_CURVE('',#10337,#10338,#9646,.T.); +#13448=EDGE_CURVE('',#11814,#10337,#1897,.T.); +#13450=EDGE_CURVE('',#11813,#11814,#1902,.T.); +#13452=EDGE_CURVE('',#10334,#11813,#1906,.T.); +#13454=EDGE_CURVE('',#10333,#10334,#9630,.T.); +#13456=EDGE_CURVE('',#11822,#10333,#1910,.T.); +#13458=EDGE_CURVE('',#11821,#11822,#1915,.T.); +#13460=EDGE_CURVE('',#10390,#11821,#1919,.T.); +#13462=EDGE_CURVE('',#10373,#10390,#9614,.T.); +#13464=EDGE_CURVE('',#10382,#10373,#1923,.T.); +#13466=EDGE_CURVE('',#10380,#10382,#1928,.T.); +#13468=EDGE_CURVE('',#10369,#10380,#1932,.T.); +#13470=EDGE_CURVE('',#10388,#10369,#9798,.T.); +#13472=EDGE_CURVE('',#11826,#10388,#1936,.T.); +#13474=EDGE_CURVE('',#11825,#11826,#1941,.T.); +#13476=EDGE_CURVE('',#10330,#11825,#1945,.T.); +#13478=EDGE_CURVE('',#10329,#10330,#9782,.T.); +#13480=EDGE_CURVE('',#11866,#10329,#1949,.T.); +#13482=EDGE_CURVE('',#11865,#11866,#1954,.T.); +#13484=EDGE_CURVE('',#10326,#11865,#1958,.T.); +#13486=EDGE_CURVE('',#10325,#10326,#9766,.T.); +#13488=EDGE_CURVE('',#11870,#10325,#1962,.T.); +#13490=EDGE_CURVE('',#11868,#11870,#1967,.T.); +#13492=EDGE_CURVE('',#10322,#11868,#1971,.T.); +#13494=EDGE_CURVE('',#10321,#10322,#9750,.T.); +#13496=EDGE_CURVE('',#11862,#10321,#1975,.T.); +#13498=EDGE_CURVE('',#11861,#11862,#1980,.T.); +#13500=EDGE_CURVE('',#10318,#11861,#1984,.T.); +#13502=EDGE_CURVE('',#10317,#10318,#9734,.T.); +#13504=EDGE_CURVE('',#11854,#10317,#1988,.T.); +#13506=EDGE_CURVE('',#11853,#11854,#1993,.T.); +#13508=EDGE_CURVE('',#10314,#11853,#1997,.T.); +#13510=EDGE_CURVE('',#10313,#10314,#9718,.T.); +#13512=EDGE_CURVE('',#11858,#10313,#2001,.T.); +#13514=EDGE_CURVE('',#11857,#11858,#2006,.T.); +#13516=EDGE_CURVE('',#10310,#11857,#2010,.T.); +#13518=EDGE_CURVE('',#10309,#10310,#9702,.T.); +#13520=EDGE_CURVE('',#11850,#10309,#2014,.T.); +#13522=EDGE_CURVE('',#11849,#11850,#2019,.T.); +#13524=EDGE_CURVE('',#10306,#11849,#2023,.T.); +#13526=EDGE_CURVE('',#10017,#10306,#9686,.T.); +#13528=EDGE_CURVE('',#10017,#10018,#2028,.T.); +#13530=EDGE_CURVE('',#11680,#10018,#9874,.T.); +#13532=EDGE_CURVE('',#11686,#11680,#2032,.T.); +#13534=EDGE_CURVE('',#11684,#11686,#2037,.T.); +#13536=EDGE_CURVE('',#10304,#11684,#2041,.T.); +#13538=EDGE_CURVE('',#10204,#10304,#9858,.T.); +#13540=EDGE_CURVE('',#10262,#10204,#2045,.T.); +#13542=EDGE_CURVE('',#10260,#10262,#2050,.T.); +#13544=EDGE_CURVE('',#10259,#10260,#2054,.T.); +#13546=EDGE_CURVE('',#10013,#10259,#9882,.T.); +#13548=EDGE_CURVE('',#10013,#10014,#2059,.T.); +#13551=EDGE_CURVE('',#11846,#10302,#2063,.T.); +#13553=EDGE_CURVE('',#11844,#11846,#2068,.T.); +#13555=EDGE_CURVE('',#10300,#11844,#2072,.T.); +#13558=EDGE_CURVE('',#11838,#10299,#2076,.T.); +#13560=EDGE_CURVE('',#11836,#11838,#2081,.T.); +#13562=EDGE_CURVE('',#10296,#11836,#2085,.T.); +#13565=EDGE_CURVE('',#11842,#10295,#2089,.T.); +#13567=EDGE_CURVE('',#11840,#11842,#2094,.T.); +#13569=EDGE_CURVE('',#10292,#11840,#2098,.T.); +#13572=EDGE_CURVE('',#11834,#10291,#2102,.T.); +#13574=EDGE_CURVE('',#11832,#11834,#2107,.T.); +#13576=EDGE_CURVE('',#10288,#11832,#2111,.T.); +#13579=EDGE_CURVE('',#11830,#10287,#2115,.T.); +#13581=EDGE_CURVE('',#11828,#11830,#2120,.T.); +#13583=EDGE_CURVE('',#10386,#11828,#2124,.T.); +#13586=EDGE_CURVE('',#10378,#10365,#2128,.T.); +#13588=EDGE_CURVE('',#10376,#10378,#2133,.T.); +#13592=EDGE_CURVE('',#11231,#11232,#915,.T.); +#13594=EDGE_CURVE('',#11232,#11242,#919,.T.); +#13596=EDGE_CURVE('',#11242,#11240,#923,.T.); +#13598=EDGE_CURVE('',#11240,#11238,#927,.T.); +#13600=EDGE_CURVE('',#11238,#11236,#931,.T.); +#13602=EDGE_CURVE('',#11236,#11234,#935,.T.); +#13604=EDGE_CURVE('',#11234,#11231,#939,.T.); +#13608=EDGE_CURVE('',#11245,#11246,#943,.T.); +#13610=EDGE_CURVE('',#11246,#11298,#947,.T.); +#13612=EDGE_CURVE('',#11298,#11296,#951,.T.); +#13614=EDGE_CURVE('',#11296,#11294,#955,.T.); +#13616=EDGE_CURVE('',#11294,#11292,#959,.T.); +#13618=EDGE_CURVE('',#11292,#11290,#963,.T.); +#13620=EDGE_CURVE('',#11290,#11288,#967,.T.); +#13622=EDGE_CURVE('',#11288,#11286,#971,.T.); +#13624=EDGE_CURVE('',#11286,#11284,#975,.T.); +#13626=EDGE_CURVE('',#11284,#11282,#979,.T.); +#13628=EDGE_CURVE('',#11282,#11280,#983,.T.); +#13630=EDGE_CURVE('',#11280,#11278,#987,.T.); +#13632=EDGE_CURVE('',#11278,#11276,#991,.T.); +#13634=EDGE_CURVE('',#11276,#11274,#995,.T.); +#13636=EDGE_CURVE('',#11274,#11272,#999,.T.); +#13638=EDGE_CURVE('',#11272,#11270,#1003,.T.); +#13640=EDGE_CURVE('',#11270,#11268,#1007,.T.); +#13642=EDGE_CURVE('',#11268,#11266,#1011,.T.); +#13644=EDGE_CURVE('',#11266,#11264,#1015,.T.); +#13646=EDGE_CURVE('',#11264,#11262,#1019,.T.); +#13648=EDGE_CURVE('',#11262,#11260,#1023,.T.); +#13650=EDGE_CURVE('',#11260,#11258,#1027,.T.); +#13652=EDGE_CURVE('',#11258,#11256,#1031,.T.); +#13654=EDGE_CURVE('',#11256,#11254,#1035,.T.); +#13656=EDGE_CURVE('',#11254,#11252,#1039,.T.); +#13658=EDGE_CURVE('',#11252,#11250,#1043,.T.); +#13660=EDGE_CURVE('',#11250,#11248,#1047,.T.); +#13662=EDGE_CURVE('',#11248,#11245,#1051,.T.); +#13666=EDGE_CURVE('',#11301,#11302,#1055,.T.); +#13668=EDGE_CURVE('',#11302,#11320,#1059,.T.); +#13670=EDGE_CURVE('',#11320,#11318,#1063,.T.); +#13672=EDGE_CURVE('',#11318,#11316,#1067,.T.); +#13674=EDGE_CURVE('',#11316,#11314,#1071,.T.); +#13676=EDGE_CURVE('',#11314,#11312,#1075,.T.); +#13678=EDGE_CURVE('',#11312,#11310,#1079,.T.); +#13680=EDGE_CURVE('',#11310,#11308,#1083,.T.); +#13682=EDGE_CURVE('',#11308,#11306,#1087,.T.); +#13684=EDGE_CURVE('',#11306,#11304,#1091,.T.); +#13686=EDGE_CURVE('',#11304,#11301,#1095,.T.); +#13690=EDGE_CURVE('',#11329,#11330,#1111,.T.); +#13692=EDGE_CURVE('',#11330,#11340,#1115,.T.); +#13694=EDGE_CURVE('',#11340,#11338,#1119,.T.); +#13696=EDGE_CURVE('',#11338,#11336,#1123,.T.); +#13698=EDGE_CURVE('',#11336,#11334,#1127,.T.); +#13700=EDGE_CURVE('',#11334,#11332,#1131,.T.); +#13702=EDGE_CURVE('',#11332,#11329,#1135,.T.); +#13706=EDGE_CURVE('',#11343,#11344,#1139,.T.); +#13708=EDGE_CURVE('',#11344,#11454,#1143,.T.); +#13710=EDGE_CURVE('',#11454,#11452,#1147,.T.); +#13712=EDGE_CURVE('',#11452,#11450,#1151,.T.); +#13714=EDGE_CURVE('',#11450,#11448,#1155,.T.); +#13716=EDGE_CURVE('',#11448,#11446,#1159,.T.); +#13718=EDGE_CURVE('',#11446,#11444,#1163,.T.); +#13720=EDGE_CURVE('',#11444,#11442,#1167,.T.); +#13722=EDGE_CURVE('',#11442,#11440,#1171,.T.); +#13724=EDGE_CURVE('',#11440,#11438,#1175,.T.); +#13726=EDGE_CURVE('',#11438,#11436,#1179,.T.); +#13728=EDGE_CURVE('',#11436,#11434,#1183,.T.); +#13730=EDGE_CURVE('',#11434,#11432,#1187,.T.); +#13732=EDGE_CURVE('',#11432,#11430,#1191,.T.); +#13734=EDGE_CURVE('',#11430,#11428,#1195,.T.); +#13736=EDGE_CURVE('',#11428,#11426,#1199,.T.); +#13738=EDGE_CURVE('',#11426,#11424,#1203,.T.); +#13740=EDGE_CURVE('',#11424,#11422,#1207,.T.); +#13742=EDGE_CURVE('',#11422,#11420,#1211,.T.); +#13744=EDGE_CURVE('',#11420,#11418,#1215,.T.); +#13746=EDGE_CURVE('',#11418,#11416,#1219,.T.); +#13748=EDGE_CURVE('',#11416,#11414,#1223,.T.); +#13750=EDGE_CURVE('',#11414,#11412,#1227,.T.); +#13752=EDGE_CURVE('',#11412,#11410,#1231,.T.); +#13754=EDGE_CURVE('',#11410,#11408,#1235,.T.); +#13756=EDGE_CURVE('',#11408,#11406,#1239,.T.); +#13758=EDGE_CURVE('',#11406,#11404,#1243,.T.); +#13760=EDGE_CURVE('',#11404,#11402,#1247,.T.); +#13762=EDGE_CURVE('',#11402,#11400,#1251,.T.); +#13764=EDGE_CURVE('',#11400,#11398,#1255,.T.); +#13766=EDGE_CURVE('',#11398,#11396,#1259,.T.); +#13768=EDGE_CURVE('',#11396,#11394,#1263,.T.); +#13770=EDGE_CURVE('',#11394,#11392,#1267,.T.); +#13772=EDGE_CURVE('',#11392,#11390,#1271,.T.); +#13774=EDGE_CURVE('',#11390,#11388,#1275,.T.); +#13776=EDGE_CURVE('',#11388,#11386,#1279,.T.); +#13778=EDGE_CURVE('',#11386,#11384,#1283,.T.); +#13780=EDGE_CURVE('',#11384,#11382,#1287,.T.); +#13782=EDGE_CURVE('',#11382,#11380,#1291,.T.); +#13784=EDGE_CURVE('',#11380,#11378,#1295,.T.); +#13786=EDGE_CURVE('',#11378,#11376,#1299,.T.); +#13788=EDGE_CURVE('',#11376,#11374,#1303,.T.); +#13790=EDGE_CURVE('',#11374,#11372,#1307,.T.); +#13792=EDGE_CURVE('',#11372,#11370,#1311,.T.); +#13794=EDGE_CURVE('',#11370,#11368,#1315,.T.); +#13796=EDGE_CURVE('',#11368,#11366,#1319,.T.); +#13798=EDGE_CURVE('',#11366,#11364,#1323,.T.); +#13800=EDGE_CURVE('',#11364,#11362,#1327,.T.); +#13802=EDGE_CURVE('',#11362,#11360,#1331,.T.); +#13804=EDGE_CURVE('',#11360,#11358,#1335,.T.); +#13806=EDGE_CURVE('',#11358,#11356,#1339,.T.); +#13808=EDGE_CURVE('',#11356,#11354,#1343,.T.); +#13810=EDGE_CURVE('',#11354,#11352,#1347,.T.); +#13812=EDGE_CURVE('',#11352,#11350,#1351,.T.); +#13814=EDGE_CURVE('',#11350,#11348,#1355,.T.); +#13816=EDGE_CURVE('',#11348,#11346,#1359,.T.); +#13818=EDGE_CURVE('',#11346,#11343,#1363,.T.); +#13822=EDGE_CURVE('',#10593,#10594,#1404,.T.); +#13824=EDGE_CURVE('',#10594,#10593,#1409,.T.); +#13828=EDGE_CURVE('',#10605,#10606,#1414,.T.); +#13830=EDGE_CURVE('',#10606,#10605,#1419,.T.); +#13834=EDGE_CURVE('',#10617,#10618,#1424,.T.); +#13836=EDGE_CURVE('',#10618,#10617,#1429,.T.); +#13840=EDGE_CURVE('',#10629,#10630,#1434,.T.); +#13842=EDGE_CURVE('',#10630,#10629,#1439,.T.); +#13846=EDGE_CURVE('',#10641,#10642,#1444,.T.); +#13848=EDGE_CURVE('',#10642,#10641,#1449,.T.); +#13852=EDGE_CURVE('',#10653,#10654,#1454,.T.); +#13854=EDGE_CURVE('',#10654,#10653,#1459,.T.); +#13858=EDGE_CURVE('',#10665,#10666,#1464,.T.); +#13860=EDGE_CURVE('',#10666,#10665,#1469,.T.); +#13864=EDGE_CURVE('',#10677,#10678,#1474,.T.); +#13866=EDGE_CURVE('',#10678,#10677,#1479,.T.); +#13870=EDGE_CURVE('',#10689,#10690,#1484,.T.); +#13872=EDGE_CURVE('',#10690,#10689,#1489,.T.); +#13876=EDGE_CURVE('',#10701,#10702,#1494,.T.); +#13878=EDGE_CURVE('',#10702,#10701,#1499,.T.); +#13882=EDGE_CURVE('',#10713,#10714,#1504,.T.); +#13884=EDGE_CURVE('',#10714,#10713,#1509,.T.); +#13888=EDGE_CURVE('',#10725,#10726,#1514,.T.); +#13890=EDGE_CURVE('',#10726,#10725,#1519,.T.); +#13894=EDGE_CURVE('',#10737,#10738,#1524,.T.); +#13896=EDGE_CURVE('',#10738,#10737,#1529,.T.); +#13900=EDGE_CURVE('',#10749,#10750,#1534,.T.); +#13902=EDGE_CURVE('',#10750,#10749,#1539,.T.); +#13906=EDGE_CURVE('',#10761,#10762,#1544,.T.); +#13908=EDGE_CURVE('',#10762,#10761,#1549,.T.); +#13912=EDGE_CURVE('',#10773,#10774,#1554,.T.); +#13914=EDGE_CURVE('',#10774,#10773,#1559,.T.); +#13918=EDGE_CURVE('',#10785,#10786,#1564,.T.); +#13920=EDGE_CURVE('',#10786,#10785,#1569,.T.); +#13924=EDGE_CURVE('',#10797,#10798,#1574,.T.); +#13926=EDGE_CURVE('',#10798,#10797,#1579,.T.); +#13930=EDGE_CURVE('',#10809,#10810,#1584,.T.); +#13932=EDGE_CURVE('',#10810,#10809,#1589,.T.); +#13936=EDGE_CURVE('',#10821,#10822,#1594,.T.); +#13938=EDGE_CURVE('',#10822,#10821,#1599,.T.); +#13942=EDGE_CURVE('',#10833,#10834,#1604,.T.); +#13944=EDGE_CURVE('',#10834,#10833,#1609,.T.); +#13948=EDGE_CURVE('',#10845,#10846,#1614,.T.); +#13950=EDGE_CURVE('',#10846,#10845,#1619,.T.); +#13954=EDGE_CURVE('',#10857,#10858,#1624,.T.); +#13956=EDGE_CURVE('',#10858,#10857,#1629,.T.); +#13960=EDGE_CURVE('',#10869,#10870,#1634,.T.); +#13962=EDGE_CURVE('',#10870,#10869,#1639,.T.); +#13966=EDGE_CURVE('',#10881,#10882,#1644,.T.); +#13968=EDGE_CURVE('',#10882,#10881,#1649,.T.); +#13972=EDGE_CURVE('',#10893,#10894,#1654,.T.); +#13974=EDGE_CURVE('',#10894,#10893,#1659,.T.); +#13978=EDGE_CURVE('',#10905,#10906,#1664,.T.); +#13980=EDGE_CURVE('',#10906,#10905,#1669,.T.); +#13984=EDGE_CURVE('',#10917,#10918,#1674,.T.); +#13986=EDGE_CURVE('',#10918,#10917,#1679,.T.); +#13990=EDGE_CURVE('',#10929,#10930,#1684,.T.); +#13992=EDGE_CURVE('',#10930,#10929,#1689,.T.); +#13996=EDGE_CURVE('',#10941,#10942,#1694,.T.); +#13998=EDGE_CURVE('',#10942,#10941,#1699,.T.); +#14002=EDGE_CURVE('',#10953,#10954,#1704,.T.); +#14004=EDGE_CURVE('',#10954,#10953,#1709,.T.); +#14008=EDGE_CURVE('',#10965,#10966,#1714,.T.); +#14010=EDGE_CURVE('',#10966,#10965,#1719,.T.); +#14014=EDGE_CURVE('',#10977,#10978,#1724,.T.); +#14016=EDGE_CURVE('',#10978,#10977,#1729,.T.); +#14020=EDGE_CURVE('',#10989,#10990,#1734,.T.); +#14022=EDGE_CURVE('',#10990,#10989,#1739,.T.); +#14026=EDGE_CURVE('',#11001,#11002,#1744,.T.); +#14028=EDGE_CURVE('',#11002,#11001,#1749,.T.); +#14032=ADVANCED_FACE('',(#13591,#13607,#13665,#13689,#13705,#13821,#13827, +#13833,#13839,#13845,#13851,#13857,#13863,#13869,#13875,#13881,#13887,#13893, +#13899,#13905,#13911,#13917,#13923,#13929,#13935,#13941,#13947,#13953,#13959, +#13965,#13971,#13977,#13983,#13989,#13995,#14001,#14007,#14013,#14019,#14025, +#14031),#13363,.F.); +#14038=EDGE_CURVE('',#12158,#12154,#2138,.T.); +#14040=EDGE_CURVE('',#12154,#10025,#2142,.T.); +#14042=EDGE_CURVE('',#10025,#10026,#2503,.T.); +#14044=EDGE_CURVE('',#10026,#10040,#2718,.T.); +#14047=EDGE_CURVE('',#12158,#10005,#2374,.T.); +#14051=ADVANCED_FACE('',(#14050),#14037,.T.); +#14058=EDGE_CURVE('',#12152,#12154,#2152,.T.); +#14061=EDGE_CURVE('',#12156,#12158,#2147,.T.); +#14065=ADVANCED_FACE('',(#14064),#14056,.T.); +#14072=EDGE_CURVE('',#12148,#12150,#2157,.T.); +#14074=EDGE_CURVE('',#12154,#12150,#2649,.T.); +#14079=ADVANCED_FACE('',(#14078),#14070,.T.); +#14086=EDGE_CURVE('',#12145,#12141,#2162,.T.); +#14088=EDGE_CURVE('',#12150,#12141,#2670,.T.); +#14093=ADVANCED_FACE('',(#14092),#14084,.T.); +#14099=EDGE_CURVE('',#12141,#12142,#2208,.T.); +#14103=EDGE_CURVE('',#12146,#12142,#2172,.T.); +#14107=ADVANCED_FACE('',(#14106),#14098,.T.); +#14113=EDGE_CURVE('',#12125,#12126,#2176,.T.); +#14115=EDGE_CURVE('',#12126,#12080,#2180,.T.); +#14117=EDGE_CURVE('',#12080,#12082,#2184,.T.); +#14119=EDGE_CURVE('',#12178,#12082,#2188,.T.); +#14121=EDGE_CURVE('',#12178,#12174,#2192,.T.); +#14123=EDGE_CURVE('',#12174,#10034,#2196,.T.); +#14125=EDGE_CURVE('',#10034,#10065,#2567,.T.); +#14127=EDGE_CURVE('',#10080,#10065,#2755,.T.); +#14130=EDGE_CURVE('',#10104,#10096,#2204,.T.); +#14132=EDGE_CURVE('',#10096,#10037,#2576,.T.); +#14134=EDGE_CURVE('',#12141,#10037,#2674,.T.); +#14137=EDGE_CURVE('',#12142,#12078,#2212,.T.); +#14139=EDGE_CURVE('',#12078,#12076,#2216,.T.); +#14141=EDGE_CURVE('',#12125,#12076,#2220,.T.); +#14145=ADVANCED_FACE('',(#14144),#14112,.T.); +#14152=EDGE_CURVE('',#12125,#12129,#2230,.T.); +#14155=EDGE_CURVE('',#12130,#12126,#2240,.T.); +#14159=ADVANCED_FACE('',(#14158),#14150,.T.); +#14165=EDGE_CURVE('',#12122,#12118,#2248,.T.); +#14170=EDGE_CURVE('',#12076,#12062,#2308,.T.); +#14172=EDGE_CURVE('',#12061,#12062,#2276,.T.); +#14174=EDGE_CURVE('',#12122,#12061,#2256,.T.); +#14178=ADVANCED_FACE('',(#14177),#14164,.F.); +#14186=EDGE_CURVE('',#12121,#12122,#2252,.T.); +#14188=EDGE_CURVE('',#12117,#12121,#2320,.T.); +#14192=ADVANCED_FACE('',(#14191),#14183,.T.); +#14200=EDGE_CURVE('',#12061,#12065,#2288,.T.); +#14202=EDGE_CURVE('',#12121,#12065,#2260,.T.); +#14206=ADVANCED_FACE('',(#14205),#14197,.F.); +#14212=EDGE_CURVE('',#12137,#12138,#2264,.T.); +#14214=EDGE_CURVE('',#12138,#12073,#2268,.T.); +#14216=EDGE_CURVE('',#12073,#12069,#2296,.T.); +#14218=EDGE_CURVE('',#12137,#12069,#2272,.T.); +#14222=ADVANCED_FACE('',(#14221),#14211,.F.); +#14229=EDGE_CURVE('',#12066,#12062,#2280,.T.); +#14231=EDGE_CURVE('',#12065,#12066,#2284,.T.); +#14236=ADVANCED_FACE('',(#14235),#14227,.T.); +#14242=EDGE_CURVE('',#12069,#12070,#2292,.T.); +#14245=EDGE_CURVE('',#12073,#12074,#2300,.T.); +#14247=EDGE_CURVE('',#12070,#12074,#2304,.T.); +#14251=ADVANCED_FACE('',(#14250),#14241,.T.); +#14259=EDGE_CURVE('',#12066,#12078,#2312,.T.); +#14264=ADVANCED_FACE('',(#14263),#14256,.T.); +#14279=ADVANCED_FACE('',(#14278),#14269,.F.); +#14288=EDGE_CURVE('',#12132,#12137,#2328,.T.); +#14292=EDGE_CURVE('',#12070,#12080,#2759,.T.); +#14296=ADVANCED_FACE('',(#14295),#14284,.F.); +#14303=EDGE_CURVE('',#12138,#12134,#2336,.T.); +#14309=ADVANCED_FACE('',(#14308),#14301,.T.); +#14317=EDGE_CURVE('',#12178,#12176,#2346,.T.); +#14320=EDGE_CURVE('',#12082,#12074,#2763,.T.); +#14326=ADVANCED_FACE('',(#14325),#14314,.F.); +#14333=EDGE_CURVE('',#12172,#12174,#2351,.T.); +#14339=ADVANCED_FACE('',(#14338),#14331,.T.); +#14346=EDGE_CURVE('',#12168,#12170,#2356,.T.); +#14348=EDGE_CURVE('',#12174,#12170,#2727,.T.); +#14353=ADVANCED_FACE('',(#14352),#14344,.T.); +#14360=EDGE_CURVE('',#12164,#12166,#2361,.T.); +#14362=EDGE_CURVE('',#12170,#12166,#2415,.T.); +#14367=ADVANCED_FACE('',(#14366),#14358,.T.); +#14374=EDGE_CURVE('',#12160,#12162,#2366,.T.); +#14376=EDGE_CURVE('',#12166,#12162,#2383,.T.); +#14381=ADVANCED_FACE('',(#14380),#14372,.T.); +#14389=EDGE_CURVE('',#12162,#12158,#2370,.T.); +#14394=ADVANCED_FACE('',(#14393),#14386,.T.); +#14403=EDGE_CURVE('',#12162,#10006,#2387,.T.); +#14407=ADVANCED_FACE('',(#14406),#14399,.T.); +#14416=EDGE_CURVE('',#10029,#10042,#2391,.T.); +#14418=EDGE_CURVE('',#10029,#10030,#2535,.T.); +#14420=EDGE_CURVE('',#12166,#10030,#2419,.T.); +#14424=ADVANCED_FACE('',(#14423),#14412,.T.); +#14432=EDGE_CURVE('',#10136,#10116,#2395,.T.); +#14434=EDGE_CURVE('',#10116,#10029,#2507,.T.); +#14438=ADVANCED_FACE('',(#14437),#14429,.T.); +#14445=EDGE_CURVE('',#10134,#10114,#2399,.T.); +#14447=EDGE_CURVE('',#10114,#10116,#2512,.T.); +#14452=ADVANCED_FACE('',(#14451),#14443,.T.); +#14459=EDGE_CURVE('',#10132,#10112,#2403,.T.); +#14461=EDGE_CURVE('',#10112,#10114,#2516,.T.); +#14466=ADVANCED_FACE('',(#14465),#14457,.T.); +#14473=EDGE_CURVE('',#10130,#10110,#2407,.T.); +#14475=EDGE_CURVE('',#10110,#10112,#2521,.T.); +#14480=ADVANCED_FACE('',(#14479),#14471,.T.); +#14487=EDGE_CURVE('',#10129,#10109,#2411,.T.); +#14489=EDGE_CURVE('',#10109,#10110,#2525,.T.); +#14494=ADVANCED_FACE('',(#14493),#14485,.T.); +#14500=EDGE_CURVE('',#10062,#10056,#2427,.T.); +#14502=EDGE_CURVE('',#10056,#10109,#2530,.T.); +#14508=ADVANCED_FACE('',(#14507),#14499,.F.); +#14516=EDGE_CURVE('',#10030,#10056,#2423,.T.); +#14520=EDGE_CURVE('',#12170,#10045,#2731,.T.); +#14524=ADVANCED_FACE('',(#14523),#14513,.T.); +#14530=EDGE_CURVE('',#10126,#10076,#2476,.T.); +#14532=EDGE_CURVE('',#10124,#10126,#2480,.T.); +#14534=EDGE_CURVE('',#10122,#10124,#2485,.T.); +#14536=EDGE_CURVE('',#10120,#10122,#2489,.T.); +#14538=EDGE_CURVE('',#10119,#10120,#2494,.T.); +#14540=EDGE_CURVE('',#10026,#10119,#2498,.T.); +#14543=EDGE_CURVE('',#10076,#10025,#2665,.T.); +#14547=EDGE_CURVE('',#10433,#10434,#2456,.T.); +#14549=EDGE_CURVE('',#10434,#10433,#2461,.T.); +#14553=ADVANCED_FACE('',(#14546,#14552),#14529,.T.); +#14569=EDGE_CURVE('',#10429,#10430,#2446,.T.); +#14571=EDGE_CURVE('',#10430,#10429,#2451,.T.); +#14575=ADVANCED_FACE('',(#14568,#14574),#14558,.T.); +#14581=EDGE_CURVE('',#10054,#10033,#2540,.T.); +#14583=EDGE_CURVE('',#10053,#10054,#2544,.T.); +#14585=EDGE_CURVE('',#10070,#10053,#2549,.T.); +#14587=EDGE_CURVE('',#10068,#10070,#2553,.T.); +#14589=EDGE_CURVE('',#10066,#10068,#2558,.T.); +#14591=EDGE_CURVE('',#10065,#10066,#2563,.T.); +#14594=EDGE_CURVE('',#10033,#10034,#2572,.T.); +#14598=EDGE_CURVE('',#10425,#10426,#2436,.T.); +#14600=EDGE_CURVE('',#10426,#10425,#2441,.T.); +#14604=ADVANCED_FACE('',(#14597,#14603),#14580,.T.); +#14611=EDGE_CURVE('',#10094,#10096,#2581,.T.); +#14613=EDGE_CURVE('',#10092,#10094,#2586,.T.); +#14615=EDGE_CURVE('',#10074,#10092,#2590,.T.); +#14617=EDGE_CURVE('',#10073,#10074,#2595,.T.); +#14619=EDGE_CURVE('',#10098,#10073,#2599,.T.); +#14621=EDGE_CURVE('',#10038,#10098,#2604,.T.); +#14623=EDGE_CURVE('',#10037,#10038,#2609,.T.); +#14627=EDGE_CURVE('',#10437,#10438,#2466,.T.); +#14629=EDGE_CURVE('',#10438,#10437,#2471,.T.); +#14633=ADVANCED_FACE('',(#14626,#14632),#14609,.T.); +#14640=EDGE_CURVE('',#10442,#10425,#2613,.T.); +#14643=EDGE_CURVE('',#10441,#10426,#2617,.T.); +#14647=ADVANCED_FACE('',(#14646),#14638,.F.); +#14659=ADVANCED_FACE('',(#14658),#14652,.F.); +#14666=EDGE_CURVE('',#10446,#10429,#2621,.T.); +#14669=EDGE_CURVE('',#10445,#10430,#2625,.T.); +#14673=ADVANCED_FACE('',(#14672),#14664,.F.); +#14685=ADVANCED_FACE('',(#14684),#14678,.F.); +#14692=EDGE_CURVE('',#10450,#10433,#2629,.T.); +#14695=EDGE_CURVE('',#10449,#10434,#2633,.T.); +#14699=ADVANCED_FACE('',(#14698),#14690,.F.); +#14711=ADVANCED_FACE('',(#14710),#14704,.F.); +#14718=EDGE_CURVE('',#10454,#10437,#2637,.T.); +#14721=EDGE_CURVE('',#10453,#10438,#2641,.T.); +#14725=ADVANCED_FACE('',(#14724),#14716,.F.); +#14737=ADVANCED_FACE('',(#14736),#14730,.F.); +#14743=EDGE_CURVE('',#10090,#10076,#2661,.T.); +#14746=EDGE_CURVE('',#10146,#10126,#2645,.T.); +#14751=ADVANCED_FACE('',(#14750),#14742,.F.); +#14758=EDGE_CURVE('',#12150,#10050,#2653,.T.); +#14766=ADVANCED_FACE('',(#14765),#14756,.T.); +#14775=EDGE_CURVE('',#10038,#10049,#2678,.T.); +#14781=ADVANCED_FACE('',(#14780),#14771,.T.); +#14789=EDGE_CURVE('',#10106,#10098,#2682,.T.); +#14794=ADVANCED_FACE('',(#14793),#14786,.F.); +#14803=EDGE_CURVE('',#10087,#10073,#2686,.T.); +#14807=ADVANCED_FACE('',(#14806),#14799,.T.); +#14816=EDGE_CURVE('',#10088,#10074,#2690,.T.); +#14820=ADVANCED_FACE('',(#14819),#14812,.T.); +#14829=EDGE_CURVE('',#10100,#10092,#2694,.T.); +#14833=ADVANCED_FACE('',(#14832),#14825,.T.); +#14842=EDGE_CURVE('',#10102,#10094,#2698,.T.); +#14846=ADVANCED_FACE('',(#14845),#14838,.T.); +#14858=ADVANCED_FACE('',(#14857),#14851,.F.); +#14865=EDGE_CURVE('',#10144,#10124,#2702,.T.); +#14871=ADVANCED_FACE('',(#14870),#14863,.T.); +#14878=EDGE_CURVE('',#10142,#10122,#2706,.T.); +#14884=ADVANCED_FACE('',(#14883),#14876,.T.); +#14891=EDGE_CURVE('',#10140,#10120,#2710,.T.); +#14897=ADVANCED_FACE('',(#14896),#14889,.T.); +#14904=EDGE_CURVE('',#10138,#10119,#2714,.T.); +#14910=ADVANCED_FACE('',(#14909),#14902,.T.); +#14922=ADVANCED_FACE('',(#14921),#14915,.T.); +#14928=EDGE_CURVE('',#10033,#10046,#2735,.T.); +#14931=EDGE_CURVE('',#10060,#10054,#2722,.T.); +#14936=ADVANCED_FACE('',(#14935),#14927,.F.); +#14950=ADVANCED_FACE('',(#14949),#14941,.T.); +#14957=EDGE_CURVE('',#10059,#10053,#2739,.T.); +#14963=ADVANCED_FACE('',(#14962),#14955,.T.); +#14970=EDGE_CURVE('',#10084,#10070,#2743,.T.); +#14976=ADVANCED_FACE('',(#14975),#14968,.T.); +#14983=EDGE_CURVE('',#10082,#10068,#2747,.T.); +#14989=ADVANCED_FACE('',(#14988),#14981,.T.); +#14996=EDGE_CURVE('',#10079,#10066,#2751,.T.); +#15002=ADVANCED_FACE('',(#15001),#14994,.T.); +#15014=ADVANCED_FACE('',(#15013),#15007,.F.); +#15026=ADVANCED_FACE('',(#15025),#15019,.T.); +#15033=EDGE_CURVE('',#11231,#11005,#2767,.T.); +#15035=EDGE_CURVE('',#11005,#11006,#2799,.T.); +#15037=EDGE_CURVE('',#11232,#11006,#2791,.T.); +#15041=ADVANCED_FACE('',(#15040),#15031,.T.); +#15048=EDGE_CURVE('',#11234,#11008,#2771,.T.); +#15050=EDGE_CURVE('',#11008,#11005,#2803,.T.); +#15055=ADVANCED_FACE('',(#15054),#15046,.T.); +#15062=EDGE_CURVE('',#11236,#11010,#2775,.T.); +#15064=EDGE_CURVE('',#11010,#11008,#2807,.T.); +#15069=ADVANCED_FACE('',(#15068),#15060,.T.); +#15076=EDGE_CURVE('',#11238,#11012,#2779,.T.); +#15078=EDGE_CURVE('',#11012,#11010,#2811,.T.); +#15083=ADVANCED_FACE('',(#15082),#15074,.T.); +#15090=EDGE_CURVE('',#11240,#11014,#2783,.T.); +#15092=EDGE_CURVE('',#11014,#11012,#2815,.T.); +#15097=ADVANCED_FACE('',(#15096),#15088,.T.); +#15104=EDGE_CURVE('',#11242,#11016,#2787,.T.); +#15106=EDGE_CURVE('',#11016,#11014,#2819,.T.); +#15111=ADVANCED_FACE('',(#15110),#15102,.T.); +#15119=EDGE_CURVE('',#11006,#11016,#2795,.T.); +#15124=ADVANCED_FACE('',(#15123),#15116,.T.); +#15139=ADVANCED_FACE('',(#15138),#15129,.T.); +#15145=EDGE_CURVE('',#11020,#11072,#2823,.T.); +#15147=EDGE_CURVE('',#11019,#11020,#2827,.T.); +#15149=EDGE_CURVE('',#11022,#11019,#2831,.T.); +#15151=EDGE_CURVE('',#11024,#11022,#2835,.T.); +#15153=EDGE_CURVE('',#11026,#11024,#2839,.T.); +#15155=EDGE_CURVE('',#11028,#11026,#2843,.T.); +#15157=EDGE_CURVE('',#11030,#11028,#2847,.T.); +#15159=EDGE_CURVE('',#11032,#11030,#2851,.T.); +#15161=EDGE_CURVE('',#11034,#11032,#2855,.T.); +#15163=EDGE_CURVE('',#11036,#11034,#2859,.T.); +#15165=EDGE_CURVE('',#11038,#11036,#2863,.T.); +#15167=EDGE_CURVE('',#11040,#11038,#2867,.T.); +#15169=EDGE_CURVE('',#11042,#11040,#2871,.T.); +#15171=EDGE_CURVE('',#11044,#11042,#2875,.T.); +#15173=EDGE_CURVE('',#11046,#11044,#2879,.T.); +#15175=EDGE_CURVE('',#11048,#11046,#2883,.T.); +#15177=EDGE_CURVE('',#11050,#11048,#2887,.T.); +#15179=EDGE_CURVE('',#11052,#11050,#2891,.T.); +#15181=EDGE_CURVE('',#11054,#11052,#2895,.T.); +#15183=EDGE_CURVE('',#11056,#11054,#2899,.T.); +#15185=EDGE_CURVE('',#11058,#11056,#2903,.T.); +#15187=EDGE_CURVE('',#11060,#11058,#2907,.T.); +#15189=EDGE_CURVE('',#11062,#11060,#2911,.T.); +#15191=EDGE_CURVE('',#11064,#11062,#2915,.T.); +#15193=EDGE_CURVE('',#11066,#11064,#2919,.T.); +#15195=EDGE_CURVE('',#11068,#11066,#2923,.T.); +#15197=EDGE_CURVE('',#11070,#11068,#2927,.T.); +#15199=EDGE_CURVE('',#11072,#11070,#2931,.T.); +#15203=ADVANCED_FACE('',(#15202),#15144,.T.); +#15209=EDGE_CURVE('',#11076,#11094,#2935,.T.); +#15211=EDGE_CURVE('',#11075,#11076,#2939,.T.); +#15213=EDGE_CURVE('',#11078,#11075,#2943,.T.); +#15215=EDGE_CURVE('',#11080,#11078,#2947,.T.); +#15217=EDGE_CURVE('',#11082,#11080,#2951,.T.); +#15219=EDGE_CURVE('',#11084,#11082,#2955,.T.); +#15221=EDGE_CURVE('',#11086,#11084,#2959,.T.); +#15223=EDGE_CURVE('',#11088,#11086,#2963,.T.); +#15225=EDGE_CURVE('',#11090,#11088,#2967,.T.); +#15227=EDGE_CURVE('',#11092,#11090,#2971,.T.); +#15229=EDGE_CURVE('',#11094,#11092,#2975,.T.); +#15233=EDGE_CURVE('',#11098,#11100,#2979,.T.); +#15235=EDGE_CURVE('',#11097,#11098,#2983,.T.); +#15237=EDGE_CURVE('',#11100,#11097,#2987,.T.); +#15241=ADVANCED_FACE('',(#15232,#15240),#15208,.T.); +#15247=EDGE_CURVE('',#11104,#11114,#2991,.T.); +#15249=EDGE_CURVE('',#11103,#11104,#2995,.T.); +#15251=EDGE_CURVE('',#11106,#11103,#2999,.T.); +#15253=EDGE_CURVE('',#11108,#11106,#3003,.T.); +#15255=EDGE_CURVE('',#11110,#11108,#3007,.T.); +#15257=EDGE_CURVE('',#11112,#11110,#3011,.T.); +#15259=EDGE_CURVE('',#11114,#11112,#3015,.T.); +#15263=ADVANCED_FACE('',(#15262),#15246,.T.); +#15269=EDGE_CURVE('',#11118,#11228,#3019,.T.); +#15271=EDGE_CURVE('',#11117,#11118,#3023,.T.); +#15273=EDGE_CURVE('',#11120,#11117,#3027,.T.); +#15275=EDGE_CURVE('',#11122,#11120,#3031,.T.); +#15277=EDGE_CURVE('',#11124,#11122,#3035,.T.); +#15279=EDGE_CURVE('',#11126,#11124,#3039,.T.); +#15281=EDGE_CURVE('',#11128,#11126,#3043,.T.); +#15283=EDGE_CURVE('',#11130,#11128,#3047,.T.); +#15285=EDGE_CURVE('',#11132,#11130,#3051,.T.); +#15287=EDGE_CURVE('',#11134,#11132,#3055,.T.); +#15289=EDGE_CURVE('',#11136,#11134,#3059,.T.); +#15291=EDGE_CURVE('',#11138,#11136,#3063,.T.); +#15293=EDGE_CURVE('',#11140,#11138,#3067,.T.); +#15295=EDGE_CURVE('',#11142,#11140,#3071,.T.); +#15297=EDGE_CURVE('',#11144,#11142,#3075,.T.); +#15299=EDGE_CURVE('',#11146,#11144,#3079,.T.); +#15301=EDGE_CURVE('',#11148,#11146,#3083,.T.); +#15303=EDGE_CURVE('',#11150,#11148,#3087,.T.); +#15305=EDGE_CURVE('',#11152,#11150,#3091,.T.); +#15307=EDGE_CURVE('',#11154,#11152,#3095,.T.); +#15309=EDGE_CURVE('',#11156,#11154,#3099,.T.); +#15311=EDGE_CURVE('',#11158,#11156,#3103,.T.); +#15313=EDGE_CURVE('',#11160,#11158,#3107,.T.); +#15315=EDGE_CURVE('',#11162,#11160,#3111,.T.); +#15317=EDGE_CURVE('',#11164,#11162,#3115,.T.); +#15319=EDGE_CURVE('',#11166,#11164,#3119,.T.); +#15321=EDGE_CURVE('',#11168,#11166,#3123,.T.); +#15323=EDGE_CURVE('',#11170,#11168,#3127,.T.); +#15325=EDGE_CURVE('',#11172,#11170,#3131,.T.); +#15327=EDGE_CURVE('',#11174,#11172,#3135,.T.); +#15329=EDGE_CURVE('',#11176,#11174,#3139,.T.); +#15331=EDGE_CURVE('',#11178,#11176,#3143,.T.); +#15333=EDGE_CURVE('',#11180,#11178,#3147,.T.); +#15335=EDGE_CURVE('',#11182,#11180,#3151,.T.); +#15337=EDGE_CURVE('',#11184,#11182,#3155,.T.); +#15339=EDGE_CURVE('',#11186,#11184,#3159,.T.); +#15341=EDGE_CURVE('',#11188,#11186,#3163,.T.); +#15343=EDGE_CURVE('',#11190,#11188,#3167,.T.); +#15345=EDGE_CURVE('',#11192,#11190,#3171,.T.); +#15347=EDGE_CURVE('',#11194,#11192,#3175,.T.); +#15349=EDGE_CURVE('',#11196,#11194,#3179,.T.); +#15351=EDGE_CURVE('',#11198,#11196,#3183,.T.); +#15353=EDGE_CURVE('',#11200,#11198,#3187,.T.); +#15355=EDGE_CURVE('',#11202,#11200,#3191,.T.); +#15357=EDGE_CURVE('',#11204,#11202,#3195,.T.); +#15359=EDGE_CURVE('',#11206,#11204,#3199,.T.); +#15361=EDGE_CURVE('',#11208,#11206,#3203,.T.); +#15363=EDGE_CURVE('',#11210,#11208,#3207,.T.); +#15365=EDGE_CURVE('',#11212,#11210,#3211,.T.); +#15367=EDGE_CURVE('',#11214,#11212,#3215,.T.); +#15369=EDGE_CURVE('',#11216,#11214,#3219,.T.); +#15371=EDGE_CURVE('',#11218,#11216,#3223,.T.); +#15373=EDGE_CURVE('',#11220,#11218,#3227,.T.); +#15375=EDGE_CURVE('',#11222,#11220,#3231,.T.); +#15377=EDGE_CURVE('',#11224,#11222,#3235,.T.); +#15379=EDGE_CURVE('',#11226,#11224,#3239,.T.); +#15381=EDGE_CURVE('',#11228,#11226,#3243,.T.); +#15385=ADVANCED_FACE('',(#15384),#15268,.T.); +#15392=EDGE_CURVE('',#11246,#11020,#3247,.T.); +#15395=EDGE_CURVE('',#11298,#11072,#3355,.T.); +#15399=ADVANCED_FACE('',(#15398),#15390,.T.); +#15406=EDGE_CURVE('',#11245,#11019,#3251,.T.); +#15412=ADVANCED_FACE('',(#15411),#15404,.T.); +#15419=EDGE_CURVE('',#11248,#11022,#3255,.T.); +#15425=ADVANCED_FACE('',(#15424),#15417,.T.); +#15432=EDGE_CURVE('',#11250,#11024,#3259,.T.); +#15438=ADVANCED_FACE('',(#15437),#15430,.T.); +#15445=EDGE_CURVE('',#11252,#11026,#3263,.T.); +#15451=ADVANCED_FACE('',(#15450),#15443,.T.); +#15458=EDGE_CURVE('',#11254,#11028,#3267,.T.); +#15464=ADVANCED_FACE('',(#15463),#15456,.T.); +#15471=EDGE_CURVE('',#11256,#11030,#3271,.T.); +#15477=ADVANCED_FACE('',(#15476),#15469,.T.); +#15484=EDGE_CURVE('',#11258,#11032,#3275,.T.); +#15490=ADVANCED_FACE('',(#15489),#15482,.T.); +#15497=EDGE_CURVE('',#11260,#11034,#3279,.T.); +#15503=ADVANCED_FACE('',(#15502),#15495,.T.); +#15510=EDGE_CURVE('',#11262,#11036,#3283,.T.); +#15516=ADVANCED_FACE('',(#15515),#15508,.T.); +#15523=EDGE_CURVE('',#11264,#11038,#3287,.T.); +#15529=ADVANCED_FACE('',(#15528),#15521,.T.); +#15536=EDGE_CURVE('',#11266,#11040,#3291,.T.); +#15542=ADVANCED_FACE('',(#15541),#15534,.T.); +#15549=EDGE_CURVE('',#11268,#11042,#3295,.T.); +#15555=ADVANCED_FACE('',(#15554),#15547,.T.); +#15562=EDGE_CURVE('',#11270,#11044,#3299,.T.); +#15568=ADVANCED_FACE('',(#15567),#15560,.T.); +#15575=EDGE_CURVE('',#11272,#11046,#3303,.T.); +#15581=ADVANCED_FACE('',(#15580),#15573,.T.); +#15588=EDGE_CURVE('',#11274,#11048,#3307,.T.); +#15594=ADVANCED_FACE('',(#15593),#15586,.T.); +#15601=EDGE_CURVE('',#11276,#11050,#3311,.T.); +#15607=ADVANCED_FACE('',(#15606),#15599,.T.); +#15614=EDGE_CURVE('',#11278,#11052,#3315,.T.); +#15620=ADVANCED_FACE('',(#15619),#15612,.T.); +#15627=EDGE_CURVE('',#11280,#11054,#3319,.T.); +#15633=ADVANCED_FACE('',(#15632),#15625,.T.); +#15640=EDGE_CURVE('',#11282,#11056,#3323,.T.); +#15646=ADVANCED_FACE('',(#15645),#15638,.T.); +#15653=EDGE_CURVE('',#11284,#11058,#3327,.T.); +#15659=ADVANCED_FACE('',(#15658),#15651,.T.); +#15666=EDGE_CURVE('',#11286,#11060,#3331,.T.); +#15672=ADVANCED_FACE('',(#15671),#15664,.T.); +#15679=EDGE_CURVE('',#11288,#11062,#3335,.T.); +#15685=ADVANCED_FACE('',(#15684),#15677,.T.); +#15692=EDGE_CURVE('',#11290,#11064,#3339,.T.); +#15698=ADVANCED_FACE('',(#15697),#15690,.T.); +#15705=EDGE_CURVE('',#11292,#11066,#3343,.T.); +#15711=ADVANCED_FACE('',(#15710),#15703,.T.); +#15718=EDGE_CURVE('',#11294,#11068,#3347,.T.); +#15724=ADVANCED_FACE('',(#15723),#15716,.T.); +#15731=EDGE_CURVE('',#11296,#11070,#3351,.T.); +#15737=ADVANCED_FACE('',(#15736),#15729,.T.); +#15749=ADVANCED_FACE('',(#15748),#15742,.T.); +#15756=EDGE_CURVE('',#11302,#11076,#3359,.T.); +#15759=EDGE_CURVE('',#11320,#11094,#3399,.T.); +#15763=ADVANCED_FACE('',(#15762),#15754,.T.); +#15770=EDGE_CURVE('',#11301,#11075,#3363,.T.); +#15776=ADVANCED_FACE('',(#15775),#15768,.T.); +#15783=EDGE_CURVE('',#11304,#11078,#3367,.T.); +#15789=ADVANCED_FACE('',(#15788),#15781,.T.); +#15796=EDGE_CURVE('',#11306,#11080,#3371,.T.); +#15802=ADVANCED_FACE('',(#15801),#15794,.T.); +#15809=EDGE_CURVE('',#11308,#11082,#3375,.T.); +#15815=ADVANCED_FACE('',(#15814),#15807,.T.); +#15822=EDGE_CURVE('',#11310,#11084,#3379,.T.); +#15828=ADVANCED_FACE('',(#15827),#15820,.T.); +#15835=EDGE_CURVE('',#11312,#11086,#3383,.T.); +#15841=ADVANCED_FACE('',(#15840),#15833,.T.); +#15848=EDGE_CURVE('',#11314,#11088,#3387,.T.); +#15854=ADVANCED_FACE('',(#15853),#15846,.T.); +#15861=EDGE_CURVE('',#11316,#11090,#3391,.T.); +#15867=ADVANCED_FACE('',(#15866),#15859,.T.); +#15874=EDGE_CURVE('',#11318,#11092,#3395,.T.); +#15880=ADVANCED_FACE('',(#15879),#15872,.T.); +#15892=ADVANCED_FACE('',(#15891),#15885,.T.); +#15899=EDGE_CURVE('',#11324,#11098,#3403,.T.); +#15902=EDGE_CURVE('',#11326,#11100,#3411,.T.); +#15906=ADVANCED_FACE('',(#15905),#15897,.T.); +#15913=EDGE_CURVE('',#11323,#11097,#3407,.T.); +#15919=ADVANCED_FACE('',(#15918),#15911,.T.); +#15931=ADVANCED_FACE('',(#15930),#15924,.T.); +#15938=EDGE_CURVE('',#11330,#11104,#3415,.T.); +#15941=EDGE_CURVE('',#11340,#11114,#3439,.T.); +#15945=ADVANCED_FACE('',(#15944),#15936,.T.); +#15952=EDGE_CURVE('',#11329,#11103,#3419,.T.); +#15958=ADVANCED_FACE('',(#15957),#15950,.T.); +#15965=EDGE_CURVE('',#11332,#11106,#3423,.T.); +#15971=ADVANCED_FACE('',(#15970),#15963,.T.); +#15978=EDGE_CURVE('',#11334,#11108,#3427,.T.); +#15984=ADVANCED_FACE('',(#15983),#15976,.T.); +#15991=EDGE_CURVE('',#11336,#11110,#3431,.T.); +#15997=ADVANCED_FACE('',(#15996),#15989,.T.); +#16004=EDGE_CURVE('',#11338,#11112,#3435,.T.); +#16010=ADVANCED_FACE('',(#16009),#16002,.T.); +#16022=ADVANCED_FACE('',(#16021),#16015,.T.); +#16029=EDGE_CURVE('',#11344,#11118,#3443,.T.); +#16032=EDGE_CURVE('',#11454,#11228,#3667,.T.); +#16036=ADVANCED_FACE('',(#16035),#16027,.T.); +#16043=EDGE_CURVE('',#11343,#11117,#3447,.T.); +#16049=ADVANCED_FACE('',(#16048),#16041,.T.); +#16056=EDGE_CURVE('',#11346,#11120,#3451,.T.); +#16062=ADVANCED_FACE('',(#16061),#16054,.T.); +#16069=EDGE_CURVE('',#11348,#11122,#3455,.T.); +#16075=ADVANCED_FACE('',(#16074),#16067,.T.); +#16082=EDGE_CURVE('',#11350,#11124,#3459,.T.); +#16088=ADVANCED_FACE('',(#16087),#16080,.T.); +#16095=EDGE_CURVE('',#11352,#11126,#3463,.T.); +#16101=ADVANCED_FACE('',(#16100),#16093,.T.); +#16108=EDGE_CURVE('',#11354,#11128,#3467,.T.); +#16114=ADVANCED_FACE('',(#16113),#16106,.T.); +#16121=EDGE_CURVE('',#11356,#11130,#3471,.T.); +#16127=ADVANCED_FACE('',(#16126),#16119,.T.); +#16134=EDGE_CURVE('',#11358,#11132,#3475,.T.); +#16140=ADVANCED_FACE('',(#16139),#16132,.T.); +#16147=EDGE_CURVE('',#11360,#11134,#3479,.T.); +#16153=ADVANCED_FACE('',(#16152),#16145,.T.); +#16160=EDGE_CURVE('',#11362,#11136,#3483,.T.); +#16166=ADVANCED_FACE('',(#16165),#16158,.T.); +#16173=EDGE_CURVE('',#11364,#11138,#3487,.T.); +#16179=ADVANCED_FACE('',(#16178),#16171,.T.); +#16186=EDGE_CURVE('',#11366,#11140,#3491,.T.); +#16192=ADVANCED_FACE('',(#16191),#16184,.T.); +#16199=EDGE_CURVE('',#11368,#11142,#3495,.T.); +#16205=ADVANCED_FACE('',(#16204),#16197,.T.); +#16212=EDGE_CURVE('',#11370,#11144,#3499,.T.); +#16218=ADVANCED_FACE('',(#16217),#16210,.T.); +#16225=EDGE_CURVE('',#11372,#11146,#3503,.T.); +#16231=ADVANCED_FACE('',(#16230),#16223,.T.); +#16238=EDGE_CURVE('',#11374,#11148,#3507,.T.); +#16244=ADVANCED_FACE('',(#16243),#16236,.T.); +#16251=EDGE_CURVE('',#11376,#11150,#3511,.T.); +#16257=ADVANCED_FACE('',(#16256),#16249,.T.); +#16264=EDGE_CURVE('',#11378,#11152,#3515,.T.); +#16270=ADVANCED_FACE('',(#16269),#16262,.T.); +#16277=EDGE_CURVE('',#11380,#11154,#3519,.T.); +#16283=ADVANCED_FACE('',(#16282),#16275,.T.); +#16290=EDGE_CURVE('',#11382,#11156,#3523,.T.); +#16296=ADVANCED_FACE('',(#16295),#16288,.T.); +#16303=EDGE_CURVE('',#11384,#11158,#3527,.T.); +#16309=ADVANCED_FACE('',(#16308),#16301,.T.); +#16316=EDGE_CURVE('',#11386,#11160,#3531,.T.); +#16322=ADVANCED_FACE('',(#16321),#16314,.T.); +#16329=EDGE_CURVE('',#11388,#11162,#3535,.T.); +#16335=ADVANCED_FACE('',(#16334),#16327,.T.); +#16342=EDGE_CURVE('',#11390,#11164,#3539,.T.); +#16348=ADVANCED_FACE('',(#16347),#16340,.T.); +#16355=EDGE_CURVE('',#11392,#11166,#3543,.T.); +#16361=ADVANCED_FACE('',(#16360),#16353,.T.); +#16368=EDGE_CURVE('',#11394,#11168,#3547,.T.); +#16374=ADVANCED_FACE('',(#16373),#16366,.T.); +#16381=EDGE_CURVE('',#11396,#11170,#3551,.T.); +#16387=ADVANCED_FACE('',(#16386),#16379,.T.); +#16394=EDGE_CURVE('',#11398,#11172,#3555,.T.); +#16400=ADVANCED_FACE('',(#16399),#16392,.T.); +#16407=EDGE_CURVE('',#11400,#11174,#3559,.T.); +#16413=ADVANCED_FACE('',(#16412),#16405,.T.); +#16420=EDGE_CURVE('',#11402,#11176,#3563,.T.); +#16426=ADVANCED_FACE('',(#16425),#16418,.T.); +#16433=EDGE_CURVE('',#11404,#11178,#3567,.T.); +#16439=ADVANCED_FACE('',(#16438),#16431,.T.); +#16446=EDGE_CURVE('',#11406,#11180,#3571,.T.); +#16452=ADVANCED_FACE('',(#16451),#16444,.T.); +#16459=EDGE_CURVE('',#11408,#11182,#3575,.T.); +#16465=ADVANCED_FACE('',(#16464),#16457,.T.); +#16472=EDGE_CURVE('',#11410,#11184,#3579,.T.); +#16478=ADVANCED_FACE('',(#16477),#16470,.T.); +#16485=EDGE_CURVE('',#11412,#11186,#3583,.T.); +#16491=ADVANCED_FACE('',(#16490),#16483,.T.); +#16498=EDGE_CURVE('',#11414,#11188,#3587,.T.); +#16504=ADVANCED_FACE('',(#16503),#16496,.T.); +#16511=EDGE_CURVE('',#11416,#11190,#3591,.T.); +#16517=ADVANCED_FACE('',(#16516),#16509,.T.); +#16524=EDGE_CURVE('',#11418,#11192,#3595,.T.); +#16530=ADVANCED_FACE('',(#16529),#16522,.T.); +#16537=EDGE_CURVE('',#11420,#11194,#3599,.T.); +#16543=ADVANCED_FACE('',(#16542),#16535,.T.); +#16550=EDGE_CURVE('',#11422,#11196,#3603,.T.); +#16556=ADVANCED_FACE('',(#16555),#16548,.T.); +#16563=EDGE_CURVE('',#11424,#11198,#3607,.T.); +#16569=ADVANCED_FACE('',(#16568),#16561,.T.); +#16576=EDGE_CURVE('',#11426,#11200,#3611,.T.); +#16582=ADVANCED_FACE('',(#16581),#16574,.T.); +#16589=EDGE_CURVE('',#11428,#11202,#3615,.T.); +#16595=ADVANCED_FACE('',(#16594),#16587,.T.); +#16602=EDGE_CURVE('',#11430,#11204,#3619,.T.); +#16608=ADVANCED_FACE('',(#16607),#16600,.T.); +#16615=EDGE_CURVE('',#11432,#11206,#3623,.T.); +#16621=ADVANCED_FACE('',(#16620),#16613,.T.); +#16628=EDGE_CURVE('',#11434,#11208,#3627,.T.); +#16634=ADVANCED_FACE('',(#16633),#16626,.T.); +#16641=EDGE_CURVE('',#11436,#11210,#3631,.T.); +#16647=ADVANCED_FACE('',(#16646),#16639,.T.); +#16654=EDGE_CURVE('',#11438,#11212,#3635,.T.); +#16660=ADVANCED_FACE('',(#16659),#16652,.T.); +#16667=EDGE_CURVE('',#11440,#11214,#3639,.T.); +#16673=ADVANCED_FACE('',(#16672),#16665,.T.); +#16680=EDGE_CURVE('',#11442,#11216,#3643,.T.); +#16686=ADVANCED_FACE('',(#16685),#16678,.T.); +#16693=EDGE_CURVE('',#11444,#11218,#3647,.T.); +#16699=ADVANCED_FACE('',(#16698),#16691,.T.); +#16706=EDGE_CURVE('',#11446,#11220,#3651,.T.); +#16712=ADVANCED_FACE('',(#16711),#16704,.T.); +#16719=EDGE_CURVE('',#11448,#11222,#3655,.T.); +#16725=ADVANCED_FACE('',(#16724),#16717,.T.); +#16732=EDGE_CURVE('',#11450,#11224,#3659,.T.); +#16738=ADVANCED_FACE('',(#16737),#16730,.T.); +#16745=EDGE_CURVE('',#11452,#11226,#3663,.T.); +#16751=ADVANCED_FACE('',(#16750),#16743,.T.); +#16763=ADVANCED_FACE('',(#16762),#16756,.T.); +#16770=EDGE_CURVE('',#11998,#12029,#3684,.T.); +#16772=EDGE_CURVE('',#11998,#12002,#3671,.T.); +#16774=EDGE_CURVE('',#12002,#12030,#3730,.T.); +#16778=ADVANCED_FACE('',(#16777),#16768,.T.); +#16785=EDGE_CURVE('',#12026,#12042,#3675,.T.); +#16787=EDGE_CURVE('',#12026,#11998,#3680,.T.); +#16792=ADVANCED_FACE('',(#16791),#16783,.F.); +#16799=EDGE_CURVE('',#12022,#12040,#3688,.T.); +#16801=EDGE_CURVE('',#12022,#12026,#3692,.T.); +#16806=ADVANCED_FACE('',(#16805),#16797,.T.); +#16813=EDGE_CURVE('',#12018,#12038,#3696,.T.); +#16815=EDGE_CURVE('',#12018,#12022,#3701,.T.); +#16820=ADVANCED_FACE('',(#16819),#16811,.F.); +#16827=EDGE_CURVE('',#12014,#12036,#3705,.T.); +#16829=EDGE_CURVE('',#12014,#12018,#3709,.T.); +#16834=ADVANCED_FACE('',(#16833),#16825,.T.); +#16841=EDGE_CURVE('',#12010,#12034,#3713,.T.); +#16843=EDGE_CURVE('',#12010,#12014,#3718,.T.); +#16848=ADVANCED_FACE('',(#16847),#16839,.F.); +#16855=EDGE_CURVE('',#12006,#12032,#3722,.T.); +#16857=EDGE_CURVE('',#12006,#12010,#3726,.T.); +#16862=ADVANCED_FACE('',(#16861),#16853,.T.); +#16870=EDGE_CURVE('',#12002,#12006,#3735,.T.); +#16875=ADVANCED_FACE('',(#16874),#16867,.F.); +#16881=EDGE_CURVE('',#12001,#12002,#3740,.T.); +#16883=EDGE_CURVE('',#12001,#12005,#3745,.T.); +#16885=EDGE_CURVE('',#12005,#12006,#3807,.T.); +#16890=ADVANCED_FACE('',(#16889),#16880,.T.); +#16896=EDGE_CURVE('',#11997,#11998,#3764,.T.); +#16898=EDGE_CURVE('',#11997,#12001,#3749,.T.); +#16904=ADVANCED_FACE('',(#16903),#16895,.T.); +#16910=EDGE_CURVE('',#12025,#12026,#3754,.T.); +#16912=EDGE_CURVE('',#12025,#11997,#3759,.T.); +#16918=ADVANCED_FACE('',(#16917),#16909,.T.); +#16924=EDGE_CURVE('',#12021,#12022,#3769,.T.); +#16926=EDGE_CURVE('',#12021,#12025,#3773,.T.); +#16932=ADVANCED_FACE('',(#16931),#16923,.T.); +#16938=EDGE_CURVE('',#12017,#12018,#3778,.T.); +#16940=EDGE_CURVE('',#12017,#12021,#3783,.T.); +#16946=ADVANCED_FACE('',(#16945),#16937,.T.); +#16952=EDGE_CURVE('',#12013,#12014,#3788,.T.); +#16954=EDGE_CURVE('',#12013,#12017,#3792,.T.); +#16960=ADVANCED_FACE('',(#16959),#16951,.T.); +#16966=EDGE_CURVE('',#12009,#12010,#3797,.T.); +#16968=EDGE_CURVE('',#12009,#12013,#3802,.T.); +#16974=ADVANCED_FACE('',(#16973),#16965,.T.); +#16981=EDGE_CURVE('',#12005,#12009,#3811,.T.); +#16987=ADVANCED_FACE('',(#16986),#16979,.T.); +#16993=EDGE_CURVE('',#12048,#12005,#3815,.T.); +#16995=EDGE_CURVE('',#12050,#12048,#3819,.T.); +#16997=EDGE_CURVE('',#12050,#12009,#3874,.T.); +#17002=ADVANCED_FACE('',(#17001),#16992,.T.); +#17008=EDGE_CURVE('',#12046,#12001,#3823,.T.); +#17010=EDGE_CURVE('',#12046,#12048,#3828,.T.); +#17016=ADVANCED_FACE('',(#17015),#17007,.T.); +#17022=EDGE_CURVE('',#12044,#11997,#3836,.T.); +#17024=EDGE_CURVE('',#12046,#12044,#3832,.T.); +#17030=ADVANCED_FACE('',(#17029),#17021,.T.); +#17038=EDGE_CURVE('',#12058,#12025,#3840,.T.); +#17040=EDGE_CURVE('',#12058,#12044,#3845,.T.); +#17044=ADVANCED_FACE('',(#17043),#17035,.T.); +#17050=EDGE_CURVE('',#12056,#12021,#3849,.T.); +#17052=EDGE_CURVE('',#12058,#12056,#3853,.T.); +#17058=ADVANCED_FACE('',(#17057),#17049,.T.); +#17064=EDGE_CURVE('',#12054,#12017,#3857,.T.); +#17066=EDGE_CURVE('',#12054,#12056,#3862,.T.); +#17072=ADVANCED_FACE('',(#17071),#17063,.T.); +#17078=EDGE_CURVE('',#12052,#12013,#3866,.T.); +#17080=EDGE_CURVE('',#12054,#12052,#3870,.T.); +#17086=ADVANCED_FACE('',(#17085),#17077,.T.); +#17093=EDGE_CURVE('',#12050,#12052,#3879,.T.); +#17099=ADVANCED_FACE('',(#17098),#17091,.T.); +#17105=EDGE_CURVE('',#12050,#12188,#3889,.T.); +#17107=EDGE_CURVE('',#12186,#12188,#5547,.T.); +#17109=EDGE_CURVE('',#12052,#12186,#3884,.T.); +#17114=ADVANCED_FACE('',(#17113),#17104,.T.); +#17120=EDGE_CURVE('',#12048,#12190,#3894,.T.); +#17122=EDGE_CURVE('',#12188,#12190,#5560,.T.); +#17128=ADVANCED_FACE('',(#17127),#17119,.T.); +#17134=EDGE_CURVE('',#12046,#12192,#3899,.T.); +#17136=EDGE_CURVE('',#12190,#12192,#5573,.T.); +#17142=ADVANCED_FACE('',(#17141),#17133,.T.); +#17148=EDGE_CURVE('',#12044,#12194,#3904,.T.); +#17150=EDGE_CURVE('',#12192,#12194,#4629,.T.); +#17156=ADVANCED_FACE('',(#17155),#17147,.T.); +#17164=EDGE_CURVE('',#12058,#12181,#3909,.T.); +#17166=EDGE_CURVE('',#12194,#12181,#4582,.T.); +#17170=ADVANCED_FACE('',(#17169),#17161,.T.); +#17178=EDGE_CURVE('',#12056,#12182,#3914,.T.); +#17180=EDGE_CURVE('',#12181,#12182,#5835,.T.); +#17184=ADVANCED_FACE('',(#17183),#17175,.T.); +#17190=EDGE_CURVE('',#12054,#12184,#3919,.T.); +#17192=EDGE_CURVE('',#12182,#12184,#5865,.T.); +#17198=ADVANCED_FACE('',(#17197),#17189,.T.); +#17205=EDGE_CURVE('',#12184,#12186,#3957,.T.); +#17211=ADVANCED_FACE('',(#17210),#17203,.T.); +#17217=EDGE_CURVE('',#11908,#12312,#3995,.T.); +#17219=EDGE_CURVE('',#12312,#12251,#3932,.T.); +#17221=EDGE_CURVE('',#12251,#12252,#3936,.T.); +#17223=EDGE_CURVE('',#12252,#12308,#3949,.T.); +#17225=EDGE_CURVE('',#11901,#12308,#3953,.T.); +#17227=EDGE_CURVE('',#11901,#11902,#4407,.T.); +#17229=EDGE_CURVE('',#12184,#11902,#5869,.T.); +#17232=EDGE_CURVE('',#12186,#12209,#3961,.T.); +#17234=EDGE_CURVE('',#12209,#12210,#3965,.T.); +#17236=EDGE_CURVE('',#12210,#12310,#3978,.T.); +#17238=EDGE_CURVE('',#11907,#12310,#3982,.T.); +#17240=EDGE_CURVE('',#11907,#11908,#4384,.T.); +#17244=ADVANCED_FACE('',(#17243),#17216,.T.); +#17250=EDGE_CURVE('',#12217,#12218,#3986,.T.); +#17252=EDGE_CURVE('',#12312,#12217,#3991,.T.); +#17255=EDGE_CURVE('',#11908,#12370,#4380,.T.); +#17257=EDGE_CURVE('',#12462,#12370,#4008,.T.); +#17259=EDGE_CURVE('',#12218,#12462,#4012,.T.); +#17263=ADVANCED_FACE('',(#17262),#17249,.T.); +#17269=EDGE_CURVE('',#12213,#12214,#4024,.T.); +#17271=EDGE_CURVE('',#12213,#12217,#6026,.T.); +#17274=EDGE_CURVE('',#12218,#12214,#4984,.T.); +#17278=ADVANCED_FACE('',(#17277),#17268,.F.); +#17284=EDGE_CURVE('',#12201,#12202,#4016,.T.); +#17286=EDGE_CURVE('',#12213,#12202,#4020,.T.); +#17289=EDGE_CURVE('',#12420,#12214,#4029,.T.); +#17291=EDGE_CURVE('',#12400,#12420,#4974,.T.); +#17293=EDGE_CURVE('',#12400,#11990,#4033,.T.); +#17295=EDGE_CURVE('',#11990,#11934,#4037,.T.); +#17297=EDGE_CURVE('',#11933,#11934,#4041,.T.); +#17299=EDGE_CURVE('',#11933,#11911,#4045,.T.); +#17301=EDGE_CURVE('',#11911,#11912,#4049,.T.); +#17303=EDGE_CURVE('',#11912,#11914,#4053,.T.); +#17305=EDGE_CURVE('',#11914,#11916,#4057,.T.); +#17307=EDGE_CURVE('',#11916,#11918,#4061,.T.); +#17309=EDGE_CURVE('',#11918,#11920,#4065,.T.); +#17311=EDGE_CURVE('',#11920,#11922,#4069,.T.); +#17313=EDGE_CURVE('',#11922,#12402,#4073,.T.); +#17315=EDGE_CURVE('',#12402,#12404,#4077,.T.); +#17317=EDGE_CURVE('',#12404,#12406,#4082,.T.); +#17319=EDGE_CURVE('',#12248,#12406,#4087,.T.); +#17321=EDGE_CURVE('',#12248,#12206,#4091,.T.); +#17323=EDGE_CURVE('',#12205,#12206,#4095,.T.); +#17325=EDGE_CURVE('',#12254,#12205,#4100,.T.); +#17327=EDGE_CURVE('',#12258,#12254,#4104,.T.); +#17329=EDGE_CURVE('',#12234,#12258,#4109,.T.); +#17331=EDGE_CURVE('',#12233,#12234,#4113,.T.); +#17333=EDGE_CURVE('',#12233,#12266,#4117,.T.); +#17335=EDGE_CURVE('',#12416,#12266,#4122,.T.); +#17337=EDGE_CURVE('',#12416,#12412,#4127,.T.); +#17339=EDGE_CURVE('',#12412,#12410,#4131,.T.); +#17341=EDGE_CURVE('',#12410,#10483,#4135,.T.); +#17343=EDGE_CURVE('',#10483,#10484,#4139,.T.); +#17345=EDGE_CURVE('',#10484,#10486,#4143,.T.); +#17347=EDGE_CURVE('',#10486,#10488,#4147,.T.); +#17349=EDGE_CURVE('',#10488,#10490,#4151,.T.); +#17351=EDGE_CURVE('',#10490,#10492,#4155,.T.); +#17353=EDGE_CURVE('',#10492,#10494,#4159,.T.); +#17355=EDGE_CURVE('',#10494,#11930,#4163,.T.); +#17357=EDGE_CURVE('',#11929,#11930,#4167,.T.); +#17359=EDGE_CURVE('',#11929,#10522,#4171,.T.); +#17361=EDGE_CURVE('',#10522,#12399,#4175,.T.); +#17363=EDGE_CURVE('',#12440,#12399,#4711,.T.); +#17365=EDGE_CURVE('',#12225,#12440,#4180,.T.); +#17367=EDGE_CURVE('',#12225,#12226,#4184,.T.); +#17369=EDGE_CURVE('',#12237,#12226,#4188,.T.); +#17371=EDGE_CURVE('',#12237,#12238,#4192,.T.); +#17373=EDGE_CURVE('',#12436,#12238,#4197,.T.); +#17375=EDGE_CURVE('',#12435,#12436,#5826,.T.); +#17377=EDGE_CURVE('',#12278,#12435,#4202,.T.); +#17379=EDGE_CURVE('',#12277,#12278,#4206,.T.); +#17381=EDGE_CURVE('',#12284,#12277,#4211,.T.); +#17383=EDGE_CURVE('',#12286,#12284,#4215,.T.); +#17385=EDGE_CURVE('',#12290,#12286,#4220,.T.); +#17387=EDGE_CURVE('',#12294,#12290,#4224,.T.); +#17389=EDGE_CURVE('',#12428,#12294,#4229,.T.); +#17391=EDGE_CURVE('',#12427,#12428,#5979,.T.); +#17393=EDGE_CURVE('',#12201,#12427,#4234,.T.); +#17397=EDGE_CURVE('',#10480,#12475,#4238,.T.); +#17399=EDGE_CURVE('',#12473,#12475,#7538,.T.); +#17401=EDGE_CURVE('',#12473,#12466,#4242,.T.); +#17403=EDGE_CURVE('',#12469,#12466,#7521,.T.); +#17405=EDGE_CURVE('',#11888,#12469,#4246,.T.); +#17407=EDGE_CURVE('',#11888,#11873,#4250,.T.); +#17409=EDGE_CURVE('',#11873,#11874,#6101,.T.); +#17411=EDGE_CURVE('',#11877,#11874,#6093,.T.); +#17413=EDGE_CURVE('',#11941,#11877,#4254,.T.); +#17415=EDGE_CURVE('',#11945,#11941,#4258,.T.); +#17417=EDGE_CURVE('',#11949,#11945,#4262,.T.); +#17419=EDGE_CURVE('',#11937,#11949,#4266,.T.); +#17421=EDGE_CURVE('',#11937,#10469,#4270,.T.); +#17423=EDGE_CURVE('',#10469,#10465,#4274,.T.); +#17425=EDGE_CURVE('',#10465,#10466,#8490,.T.); +#17427=EDGE_CURVE('',#10480,#10466,#6267,.T.); +#17431=ADVANCED_FACE('',(#17396,#17430),#17283,.T.); +#17437=EDGE_CURVE('',#12197,#12198,#4283,.T.); +#17439=EDGE_CURVE('',#12198,#12202,#6021,.T.); +#17442=EDGE_CURVE('',#12201,#12197,#4279,.T.); +#17446=ADVANCED_FACE('',(#17445),#17436,.F.); +#17453=EDGE_CURVE('',#12197,#12460,#4287,.T.); +#17455=EDGE_CURVE('',#12366,#12460,#4300,.T.); +#17457=EDGE_CURVE('',#12366,#11901,#4411,.T.); +#17460=EDGE_CURVE('',#12198,#12308,#4305,.T.); +#17464=ADVANCED_FACE('',(#17463),#17451,.T.); +#17470=EDGE_CURVE('',#12424,#12395,#4309,.T.); +#17472=EDGE_CURVE('',#12395,#12460,#5994,.T.); +#17475=EDGE_CURVE('',#12197,#12424,#5989,.T.); +#17479=ADVANCED_FACE('',(#17478),#17469,.F.); +#17485=EDGE_CURVE('',#12395,#12396,#4323,.T.); +#17488=EDGE_CURVE('',#12423,#12424,#5974,.T.); +#17490=EDGE_CURVE('',#12423,#12396,#4313,.T.); +#17494=ADVANCED_FACE('',(#17493),#17484,.T.); +#17500=EDGE_CURVE('',#12391,#12392,#4318,.T.); +#17502=EDGE_CURVE('',#12392,#12395,#6011,.T.); +#17505=EDGE_CURVE('',#12396,#12391,#5964,.T.); +#17509=ADVANCED_FACE('',(#17508),#17499,.T.); +#17515=EDGE_CURVE('',#12319,#12320,#4468,.T.); +#17517=EDGE_CURVE('',#12319,#12314,#4328,.T.); +#17519=EDGE_CURVE('',#12314,#11894,#4332,.T.); +#17521=EDGE_CURVE('',#11892,#11894,#4336,.T.); +#17523=EDGE_CURVE('',#11891,#11892,#4341,.T.); +#17525=EDGE_CURVE('',#12316,#11891,#4520,.T.); +#17527=EDGE_CURVE('',#12316,#12320,#4346,.T.); +#17531=ADVANCED_FACE('',(#17530),#17514,.T.); +#17537=EDGE_CURVE('',#12331,#12332,#4351,.T.); +#17539=EDGE_CURVE('',#12334,#12331,#4355,.T.); +#17541=EDGE_CURVE('',#11924,#12334,#5444,.T.); +#17543=EDGE_CURVE('',#11926,#11924,#4359,.T.); +#17545=EDGE_CURVE('',#11926,#10533,#4363,.T.); +#17547=EDGE_CURVE('',#10533,#10534,#4367,.T.); +#17549=EDGE_CURVE('',#10534,#12360,#4371,.T.); +#17551=EDGE_CURVE('',#12386,#12360,#4934,.T.); +#17553=EDGE_CURVE('',#12386,#12370,#4376,.T.); +#17557=EDGE_CURVE('',#12368,#11907,#4388,.T.); +#17559=EDGE_CURVE('',#12368,#12332,#4393,.T.); +#17563=ADVANCED_FACE('',(#17562),#17536,.T.); +#17570=EDGE_CURVE('',#12391,#12376,#4398,.T.); +#17572=EDGE_CURVE('',#11904,#12376,#5892,.T.); +#17574=EDGE_CURVE('',#11902,#11904,#4403,.T.); +#17578=EDGE_CURVE('',#12366,#12392,#4416,.T.); +#17582=ADVANCED_FACE('',(#17581),#17568,.T.); +#17588=EDGE_CURVE('',#12343,#12344,#4420,.T.); +#17590=EDGE_CURVE('',#12346,#12343,#4425,.T.); +#17592=EDGE_CURVE('',#12346,#12350,#4430,.T.); +#17594=EDGE_CURVE('',#12350,#11898,#4434,.T.); +#17596=EDGE_CURVE('',#11897,#11898,#4438,.T.); +#17598=EDGE_CURVE('',#11897,#12352,#4442,.T.); +#17600=EDGE_CURVE('',#12352,#12382,#4447,.T.); +#17602=EDGE_CURVE('',#12358,#12382,#4724,.T.); +#17604=EDGE_CURVE('',#12358,#10529,#4451,.T.); +#17606=EDGE_CURVE('',#10529,#10530,#4455,.T.); +#17608=EDGE_CURVE('',#10530,#10520,#4459,.T.); +#17610=EDGE_CURVE('',#10496,#10520,#4463,.T.); +#17612=EDGE_CURVE('',#12344,#10496,#5682,.T.); +#17616=ADVANCED_FACE('',(#17615),#17587,.T.); +#17623=EDGE_CURVE('',#12320,#12323,#4495,.T.); +#17625=EDGE_CURVE('',#12323,#12324,#4473,.T.); +#17627=EDGE_CURVE('',#12324,#12319,#5793,.T.); +#17631=ADVANCED_FACE('',(#17630),#17621,.T.); +#17638=EDGE_CURVE('',#12316,#12456,#4516,.T.); +#17640=EDGE_CURVE('',#12323,#12456,#4478,.T.); +#17645=ADVANCED_FACE('',(#17644),#17636,.T.); +#17651=EDGE_CURVE('',#12273,#12274,#4499,.T.); +#17653=EDGE_CURVE('',#12274,#12456,#4503,.T.); +#17657=EDGE_CURVE('',#11891,#12300,#4577,.T.); +#17659=EDGE_CURVE('',#12273,#12300,#4531,.T.); +#17663=ADVANCED_FACE('',(#17662),#17650,.T.); +#17670=EDGE_CURVE('',#12273,#12277,#4561,.T.); +#17673=EDGE_CURVE('',#12278,#12274,#4536,.T.); +#17677=ADVANCED_FACE('',(#17676),#17668,.F.); +#17760=EDGE_CURVE('',#12282,#12300,#4573,.T.); +#17762=EDGE_CURVE('',#12277,#12282,#4556,.T.); +#17767=ADVANCED_FACE('',(#17766),#17758,.F.); +#17776=EDGE_CURVE('',#12194,#11892,#4633,.T.); +#17779=EDGE_CURVE('',#12181,#12281,#4586,.T.); +#17781=EDGE_CURVE('',#12281,#12282,#4591,.T.); +#17785=ADVANCED_FACE('',(#17784),#17772,.T.); +#17791=EDGE_CURVE('',#12264,#12304,#4604,.T.); +#17793=EDGE_CURVE('',#11897,#12304,#4608,.T.); +#17796=EDGE_CURVE('',#11898,#12306,#5615,.T.); +#17798=EDGE_CURVE('',#12306,#12229,#4621,.T.); +#17800=EDGE_CURVE('',#12229,#12230,#4625,.T.); +#17802=EDGE_CURVE('',#12192,#12230,#5577,.T.); +#17807=EDGE_CURVE('',#11894,#12302,#5761,.T.); +#17809=EDGE_CURVE('',#12302,#12263,#4646,.T.); +#17811=EDGE_CURVE('',#12263,#12264,#4650,.T.); +#17815=ADVANCED_FACE('',(#17814),#17790,.T.); +#17821=EDGE_CURVE('',#12222,#12304,#4686,.T.); +#17824=EDGE_CURVE('',#12226,#12264,#4655,.T.); +#17826=EDGE_CURVE('',#12222,#12226,#4660,.T.); +#17830=ADVANCED_FACE('',(#17829),#17820,.F.); +#17836=EDGE_CURVE('',#12221,#12222,#4664,.T.); +#17838=EDGE_CURVE('',#12221,#12452,#4668,.T.); +#17840=EDGE_CURVE('',#12352,#12452,#4681,.T.); +#17847=ADVANCED_FACE('',(#17846),#17835,.T.); +#17856=EDGE_CURVE('',#12225,#12221,#4691,.T.); +#17860=ADVANCED_FACE('',(#17859),#17852,.F.); +#17879=EDGE_CURVE('',#12438,#12440,#4696,.T.); +#17881=EDGE_CURVE('',#12221,#12438,#4701,.T.); +#17886=ADVANCED_FACE('',(#17885),#17877,.F.); +#17892=EDGE_CURVE('',#12364,#12438,#4706,.T.); +#17896=EDGE_CURVE('',#12399,#12364,#4782,.T.); +#17900=ADVANCED_FACE('',(#17899),#17891,.F.); +#17906=EDGE_CURVE('',#12384,#12363,#4729,.T.); +#17908=EDGE_CURVE('',#12438,#12384,#4715,.T.); +#17911=EDGE_CURVE('',#12363,#12364,#4719,.T.); +#17915=ADVANCED_FACE('',(#17914),#17905,.T.); +#17922=EDGE_CURVE('',#12382,#12384,#4760,.T.); +#17925=EDGE_CURVE('',#12363,#12358,#4750,.T.); +#17929=ADVANCED_FACE('',(#17928),#17920,.T.); +#17937=EDGE_CURVE('',#12384,#12452,#4755,.T.); +#17942=ADVANCED_FACE('',(#17941),#17934,.T.); +#17954=ADVANCED_FACE('',(#17953),#17947,.F.); +#17962=EDGE_CURVE('',#12363,#10525,#4764,.T.); +#17964=EDGE_CURVE('',#10525,#10526,#5232,.T.); +#17966=EDGE_CURVE('',#10526,#10529,#5215,.T.); +#17970=ADVANCED_FACE('',(#17969),#17959,.T.); +#17980=EDGE_CURVE('',#10522,#10518,#4786,.T.); +#17982=EDGE_CURVE('',#10518,#10499,#5268,.T.); +#17984=EDGE_CURVE('',#10537,#10499,#5256,.T.); +#17986=EDGE_CURVE('',#10537,#10525,#5236,.T.); +#17990=ADVANCED_FACE('',(#17989),#17975,.T.); +#17997=EDGE_CURVE('',#11929,#10516,#4790,.T.); +#17999=EDGE_CURVE('',#10516,#10518,#5272,.T.); +#18004=ADVANCED_FACE('',(#18003),#17995,.T.); +#18012=EDGE_CURVE('',#10514,#11930,#4794,.T.); +#18014=EDGE_CURVE('',#10514,#10516,#5276,.T.); +#18018=ADVANCED_FACE('',(#18017),#18009,.T.); +#18026=EDGE_CURVE('',#10494,#10512,#4798,.T.); +#18028=EDGE_CURVE('',#10512,#10514,#5280,.T.); +#18032=ADVANCED_FACE('',(#18031),#18023,.T.); +#18038=EDGE_CURVE('',#10492,#10510,#4802,.T.); +#18040=EDGE_CURVE('',#10510,#10512,#5284,.T.); +#18046=ADVANCED_FACE('',(#18045),#18037,.T.); +#18052=EDGE_CURVE('',#12097,#12098,#4806,.T.); +#18054=EDGE_CURVE('',#12098,#10508,#4810,.T.); +#18056=EDGE_CURVE('',#10508,#10510,#5288,.T.); +#18060=EDGE_CURVE('',#10490,#12097,#4814,.T.); +#18064=ADVANCED_FACE('',(#18063),#18051,.T.); +#18070=EDGE_CURVE('',#12112,#12102,#4818,.T.); +#18072=EDGE_CURVE('',#12112,#11986,#4822,.T.); +#18074=EDGE_CURVE('',#11986,#11988,#5316,.T.); +#18076=EDGE_CURVE('',#11926,#11988,#5408,.T.); +#18079=EDGE_CURVE('',#11924,#11922,#5453,.T.); +#18082=EDGE_CURVE('',#11920,#12114,#4826,.T.); +#18084=EDGE_CURVE('',#12101,#12114,#4830,.T.); +#18086=EDGE_CURVE('',#12101,#12102,#4866,.T.); +#18090=ADVANCED_FACE('',(#18089),#18069,.T.); +#18096=EDGE_CURVE('',#12089,#10502,#5380,.T.); +#18098=EDGE_CURVE('',#12098,#12089,#4834,.T.); +#18101=EDGE_CURVE('',#12085,#12097,#4838,.T.); +#18103=EDGE_CURVE('',#10484,#12085,#5372,.T.); +#18106=EDGE_CURVE('',#10496,#10483,#4842,.T.); +#18109=EDGE_CURVE('',#10520,#10500,#4846,.T.); +#18111=EDGE_CURVE('',#10500,#10502,#5304,.T.); +#18115=ADVANCED_FACE('',(#18114),#18095,.T.); +#18121=EDGE_CURVE('',#12102,#12104,#4850,.T.); +#18123=EDGE_CURVE('',#12104,#12108,#5428,.T.); +#18125=EDGE_CURVE('',#12108,#12112,#5412,.T.); +#18130=ADVANCED_FACE('',(#18129),#18120,.F.); +#18137=EDGE_CURVE('',#12102,#11980,#4854,.T.); +#18139=EDGE_CURVE('',#11980,#11982,#5328,.T.); +#18141=EDGE_CURVE('',#12104,#11982,#5432,.T.); +#18145=ADVANCED_FACE('',(#18144),#18135,.T.); +#18151=EDGE_CURVE('',#12101,#12106,#4858,.T.); +#18153=EDGE_CURVE('',#11916,#12106,#5440,.T.); +#18156=EDGE_CURVE('',#11914,#12101,#4862,.T.); +#18160=ADVANCED_FACE('',(#18159),#18150,.T.); +#18169=EDGE_CURVE('',#11912,#11978,#4870,.T.); +#18171=EDGE_CURVE('',#11978,#11980,#5332,.T.); +#18176=ADVANCED_FACE('',(#18175),#18165,.T.); +#18182=EDGE_CURVE('',#11911,#11976,#4874,.T.); +#18184=EDGE_CURVE('',#11976,#11978,#5336,.T.); +#18190=ADVANCED_FACE('',(#18189),#18181,.T.); +#18197=EDGE_CURVE('',#11974,#11933,#4878,.T.); +#18199=EDGE_CURVE('',#11974,#11976,#5340,.T.); +#18204=ADVANCED_FACE('',(#18203),#18195,.T.); +#18212=EDGE_CURVE('',#11934,#11972,#4882,.T.); +#18214=EDGE_CURVE('',#11972,#11974,#5344,.T.); +#18218=ADVANCED_FACE('',(#18217),#18209,.T.); +#18226=EDGE_CURVE('',#11990,#11970,#4886,.T.); +#18228=EDGE_CURVE('',#11970,#11972,#5348,.T.); +#18232=ADVANCED_FACE('',(#18231),#18223,.T.); +#18238=EDGE_CURVE('',#12398,#12379,#4921,.T.); +#18240=EDGE_CURVE('',#12442,#12398,#4891,.T.); +#18242=EDGE_CURVE('',#12442,#11993,#5248,.T.); +#18244=EDGE_CURVE('',#11993,#11969,#4895,.T.); +#18246=EDGE_CURVE('',#11969,#11970,#5308,.T.); +#18250=EDGE_CURVE('',#12380,#12400,#4913,.T.); +#18252=EDGE_CURVE('',#12379,#12380,#4917,.T.); +#18256=ADVANCED_FACE('',(#18255),#18237,.T.); +#18263=EDGE_CURVE('',#12360,#12379,#4955,.T.); +#18266=EDGE_CURVE('',#12444,#10534,#4925,.T.); +#18268=EDGE_CURVE('',#12444,#12398,#4929,.T.); +#18272=ADVANCED_FACE('',(#18271),#18261,.T.); +#18280=EDGE_CURVE('',#12379,#12388,#4960,.T.); +#18282=EDGE_CURVE('',#12388,#12386,#4994,.T.); +#18286=ADVANCED_FACE('',(#18285),#18277,.T.); +#18294=EDGE_CURVE('',#12418,#12380,#4969,.T.); +#18296=EDGE_CURVE('',#12418,#12388,#4964,.T.); +#18300=ADVANCED_FACE('',(#18299),#18291,.T.); +#18309=EDGE_CURVE('',#12420,#12418,#4979,.T.); +#18313=ADVANCED_FACE('',(#18312),#18305,.F.); +#18333=EDGE_CURVE('',#12418,#12218,#4989,.T.); +#18338=ADVANCED_FACE('',(#18337),#18330,.F.); +#18345=EDGE_CURVE('',#12462,#12388,#4999,.T.); +#18351=ADVANCED_FACE('',(#18350),#18343,.F.); +#18363=ADVANCED_FACE('',(#18362),#18356,.T.); +#18369=EDGE_CURVE('',#12444,#12446,#5013,.T.); +#18373=EDGE_CURVE('',#10533,#11994,#5404,.T.); +#18375=EDGE_CURVE('',#11994,#12446,#5244,.T.); +#18379=EDGE_CURVE('',#10545,#10546,#5004,.T.); +#18381=EDGE_CURVE('',#10546,#10545,#5008,.T.); +#18385=ADVANCED_FACE('',(#18378,#18384),#18368,.T.); +#18391=EDGE_CURVE('',#10554,#10580,#5017,.T.); +#18393=EDGE_CURVE('',#10580,#11966,#5086,.T.); +#18395=EDGE_CURVE('',#11966,#10582,#5063,.T.); +#18397=EDGE_CURVE('',#10582,#10553,#5021,.T.); +#18399=EDGE_CURVE('',#10546,#10553,#5120,.T.); +#18402=EDGE_CURVE('',#10545,#10554,#5025,.T.); +#18406=ADVANCED_FACE('',(#18405),#18390,.T.); +#18412=EDGE_CURVE('',#10568,#10578,#5029,.T.); +#18414=EDGE_CURVE('',#10580,#10578,#5039,.T.); +#18417=EDGE_CURVE('',#10568,#10554,#5104,.T.); +#18421=ADVANCED_FACE('',(#18420),#18411,.T.); +#18427=EDGE_CURVE('',#10566,#10576,#5043,.T.); +#18429=EDGE_CURVE('',#10576,#11964,#5076,.T.); +#18431=EDGE_CURVE('',#11964,#10578,#5081,.T.); +#18434=EDGE_CURVE('',#10568,#10566,#5048,.T.); +#18438=ADVANCED_FACE('',(#18437),#18426,.T.); +#18445=EDGE_CURVE('',#10576,#10582,#5058,.T.); +#18448=EDGE_CURVE('',#10553,#10566,#5100,.T.); +#18452=ADVANCED_FACE('',(#18451),#18443,.T.); +#18460=EDGE_CURVE('',#11966,#11961,#5067,.T.); +#18462=EDGE_CURVE('',#11961,#11962,#5091,.T.); +#18464=EDGE_CURVE('',#11964,#11962,#5071,.T.); +#18469=ADVANCED_FACE('',(#18468),#18457,.T.); +#18478=EDGE_CURVE('',#11961,#11962,#5096,.T.); +#18484=ADVANCED_FACE('',(#18483),#18474,.T.); +#18494=ADVANCED_FACE('',(#18493),#18489,.F.); +#18500=EDGE_CURVE('',#10553,#10554,#5116,.T.); +#18507=ADVANCED_FACE('',(#18506),#18499,.F.); +#18513=EDGE_CURVE('',#10557,#10558,#5124,.T.); +#18515=EDGE_CURVE('',#10557,#10562,#5108,.T.); +#18517=EDGE_CURVE('',#10564,#10562,#5159,.T.); +#18519=EDGE_CURVE('',#10564,#10558,#5112,.T.); +#18523=ADVANCED_FACE('',(#18522),#18512,.F.); +#18535=ADVANCED_FACE('',(#18534),#18528,.T.); +#18542=EDGE_CURVE('',#10542,#10558,#5140,.T.); +#18544=EDGE_CURVE('',#10541,#10542,#5219,.T.); +#18546=EDGE_CURVE('',#10541,#10557,#5128,.T.); +#18550=ADVANCED_FACE('',(#18549),#18540,.T.); +#18556=EDGE_CURVE('',#10558,#10573,#5132,.T.); +#18558=EDGE_CURVE('',#10573,#10572,#5201,.T.); +#18560=EDGE_CURVE('',#10572,#10570,#5168,.T.); +#18562=EDGE_CURVE('',#10570,#10557,#5136,.T.); +#18565=EDGE_CURVE('',#10542,#10541,#5224,.T.); +#18570=ADVANCED_FACE('',(#18569),#18555,.T.); +#18576=EDGE_CURVE('',#10564,#10574,#5144,.T.); +#18578=EDGE_CURVE('',#10573,#10574,#5154,.T.); +#18584=ADVANCED_FACE('',(#18583),#18575,.T.); +#18590=EDGE_CURVE('',#10569,#10560,#5173,.T.); +#18592=EDGE_CURVE('',#10560,#10574,#5188,.T.); +#18596=EDGE_CURVE('',#10562,#10569,#5163,.T.); +#18600=ADVANCED_FACE('',(#18599),#18589,.T.); +#18606=EDGE_CURVE('',#10569,#10570,#5183,.T.); +#18609=EDGE_CURVE('',#10572,#10550,#5196,.T.); +#18611=EDGE_CURVE('',#10549,#10550,#5211,.T.); +#18613=EDGE_CURVE('',#10560,#10549,#5192,.T.); +#18618=ADVANCED_FACE('',(#18617),#18605,.T.); +#18630=ADVANCED_FACE('',(#18629),#18623,.T.); +#18639=EDGE_CURVE('',#10549,#10550,#5206,.T.); +#18645=ADVANCED_FACE('',(#18644),#18635,.T.); +#18655=ADVANCED_FACE('',(#18654),#18650,.F.); +#18663=EDGE_CURVE('',#10526,#10538,#5228,.T.); +#18665=EDGE_CURVE('',#10530,#10538,#5260,.T.); +#18673=ADVANCED_FACE('',(#18668,#18672),#18660,.T.); +#18679=EDGE_CURVE('',#10537,#10538,#5252,.T.); +#18686=ADVANCED_FACE('',(#18685),#18678,.T.); +#18692=EDGE_CURVE('',#12446,#12442,#5240,.T.); +#18695=EDGE_CURVE('',#11993,#11994,#5400,.T.); +#18700=ADVANCED_FACE('',(#18699),#18691,.T.); +#18708=EDGE_CURVE('',#10499,#10500,#5264,.T.); +#18715=ADVANCED_FACE('',(#18714),#18705,.T.); +#18728=EDGE_CURVE('',#10506,#10508,#5292,.T.); +#18730=EDGE_CURVE('',#10504,#10506,#5296,.T.); +#18732=EDGE_CURVE('',#10502,#10504,#5300,.T.); +#18737=ADVANCED_FACE('',(#18736),#18720,.T.); +#18744=EDGE_CURVE('',#11988,#11969,#5312,.T.); +#18747=EDGE_CURVE('',#11984,#11986,#5320,.T.); +#18749=EDGE_CURVE('',#11982,#11984,#5324,.T.); +#18759=ADVANCED_FACE('',(#18758),#18742,.T.); +#18765=EDGE_CURVE('',#12092,#12097,#5352,.T.); +#18769=EDGE_CURVE('',#10488,#12092,#5356,.T.); +#18773=ADVANCED_FACE('',(#18772),#18764,.T.); +#18779=EDGE_CURVE('',#12094,#12098,#5360,.T.); +#18781=EDGE_CURVE('',#12094,#10506,#5364,.T.); +#18787=ADVANCED_FACE('',(#18786),#18778,.T.); +#18793=EDGE_CURVE('',#12085,#12086,#5368,.T.); +#18797=EDGE_CURVE('',#12086,#12092,#5384,.T.); +#18801=ADVANCED_FACE('',(#18800),#18792,.F.); +#18808=EDGE_CURVE('',#10486,#12086,#5388,.T.); +#18814=ADVANCED_FACE('',(#18813),#18806,.T.); +#18820=EDGE_CURVE('',#12089,#12090,#5376,.T.); +#18824=EDGE_CURVE('',#12090,#10504,#5396,.T.); +#18828=ADVANCED_FACE('',(#18827),#18819,.T.); +#18840=ADVANCED_FACE('',(#18839),#18833,.T.); +#18846=EDGE_CURVE('',#12090,#12094,#5392,.T.); +#18853=ADVANCED_FACE('',(#18852),#18845,.T.); +#18865=ADVANCED_FACE('',(#18864),#18858,.F.); +#18879=ADVANCED_FACE('',(#18878),#18870,.T.); +#18886=EDGE_CURVE('',#12108,#11984,#5416,.T.); +#18892=ADVANCED_FACE('',(#18891),#18884,.T.); +#18898=EDGE_CURVE('',#12110,#12114,#5420,.T.); +#18902=EDGE_CURVE('',#11918,#12110,#5424,.T.); +#18906=ADVANCED_FACE('',(#18905),#18897,.T.); +#18918=ADVANCED_FACE('',(#18917),#18911,.T.); +#18924=EDGE_CURVE('',#12106,#12110,#5436,.T.); +#18931=ADVANCED_FACE('',(#18930),#18923,.T.); +#18943=ADVANCED_FACE('',(#18942),#18936,.F.); +#18955=ADVANCED_FACE('',(#18954),#18948,.T.); +#18962=EDGE_CURVE('',#12336,#12334,#5458,.T.); +#18964=EDGE_CURVE('',#12336,#12372,#5738,.T.); +#18966=EDGE_CURVE('',#12402,#12372,#5449,.T.); +#18972=ADVANCED_FACE('',(#18971),#18960,.T.); +#18979=EDGE_CURVE('',#12331,#12327,#5463,.T.); +#18981=EDGE_CURVE('',#12336,#12327,#5730,.T.); +#18986=ADVANCED_FACE('',(#18985),#18977,.T.); +#18992=EDGE_CURVE('',#12327,#12328,#5468,.T.); +#18996=EDGE_CURVE('',#12332,#12328,#5491,.T.); +#19000=ADVANCED_FACE('',(#18999),#18991,.T.); +#19007=EDGE_CURVE('',#12408,#12328,#5472,.T.); +#19009=EDGE_CURVE('',#12374,#12408,#5477,.T.); +#19011=EDGE_CURVE('',#12327,#12374,#5481,.T.); +#19015=ADVANCED_FACE('',(#19014),#19005,.T.); +#19022=EDGE_CURVE('',#12328,#12448,#5486,.T.); +#19024=EDGE_CURVE('',#12245,#12448,#5499,.T.); +#19026=EDGE_CURVE('',#12245,#12408,#5721,.T.); +#19030=ADVANCED_FACE('',(#19029),#19020,.F.); +#19037=EDGE_CURVE('',#12368,#12448,#5512,.T.); +#19043=ADVANCED_FACE('',(#19042),#19035,.T.); +#19049=EDGE_CURVE('',#12245,#12246,#5495,.T.); +#19055=EDGE_CURVE('',#12246,#12310,#5517,.T.); +#19059=ADVANCED_FACE('',(#19058),#19048,.T.); +#19066=EDGE_CURVE('',#12246,#12206,#5532,.T.); +#19069=EDGE_CURVE('',#12248,#12245,#5522,.T.); +#19073=ADVANCED_FACE('',(#19072),#19064,.F.); +#19081=EDGE_CURVE('',#12206,#12210,#5527,.T.); +#19086=ADVANCED_FACE('',(#19085),#19078,.F.); +#19095=EDGE_CURVE('',#12205,#12209,#5537,.T.); +#19099=ADVANCED_FACE('',(#19098),#19091,.T.); +#19107=EDGE_CURVE('',#12256,#12209,#5556,.T.); +#19109=EDGE_CURVE('',#12254,#12256,#5542,.T.); +#19113=ADVANCED_FACE('',(#19112),#19104,.T.); +#19120=EDGE_CURVE('',#12188,#12256,#5551,.T.); +#19126=ADVANCED_FACE('',(#19125),#19118,.T.); +#19133=EDGE_CURVE('',#12190,#12260,#5564,.T.); +#19135=EDGE_CURVE('',#12260,#12256,#5568,.T.); +#19140=ADVANCED_FACE('',(#19139),#19131,.T.); +#19148=EDGE_CURVE('',#12230,#12260,#5582,.T.); +#19153=ADVANCED_FACE('',(#19152),#19145,.T.); +#19160=EDGE_CURVE('',#12258,#12260,#5592,.T.); +#19163=EDGE_CURVE('',#12234,#12230,#5587,.T.); +#19167=ADVANCED_FACE('',(#19166),#19158,.T.); +#19179=ADVANCED_FACE('',(#19178),#19172,.T.); +#19186=EDGE_CURVE('',#12229,#12233,#5602,.T.); +#19192=ADVANCED_FACE('',(#19191),#19184,.T.); +#19198=EDGE_CURVE('',#12306,#12269,#5611,.T.); +#19200=EDGE_CURVE('',#12233,#12269,#5597,.T.); +#19206=ADVANCED_FACE('',(#19205),#19197,.F.); +#19212=EDGE_CURVE('',#12269,#12270,#5606,.T.); +#19217=EDGE_CURVE('',#12450,#12350,#5628,.T.); +#19219=EDGE_CURVE('',#12270,#12450,#5632,.T.); +#19223=ADVANCED_FACE('',(#19222),#19211,.T.); +#19232=EDGE_CURVE('',#12270,#12266,#5637,.T.); +#19236=ADVANCED_FACE('',(#19235),#19228,.F.); +#19256=EDGE_CURVE('',#12414,#12270,#5642,.T.); +#19258=EDGE_CURVE('',#12416,#12414,#5697,.T.); +#19262=ADVANCED_FACE('',(#19261),#19253,.F.); +#19269=EDGE_CURVE('',#12450,#12348,#5652,.T.); +#19271=EDGE_CURVE('',#12414,#12348,#5711,.T.); +#19276=ADVANCED_FACE('',(#19275),#19267,.F.); +#19283=EDGE_CURVE('',#12348,#12346,#5647,.T.); +#19289=ADVANCED_FACE('',(#19288),#19281,.T.); +#19296=EDGE_CURVE('',#12343,#12339,#5657,.T.); +#19298=EDGE_CURVE('',#12348,#12339,#5702,.T.); +#19303=ADVANCED_FACE('',(#19302),#19294,.T.); +#19309=EDGE_CURVE('',#12339,#12340,#5666,.T.); +#19313=EDGE_CURVE('',#12344,#12340,#5662,.T.); +#19317=ADVANCED_FACE('',(#19316),#19308,.T.); +#19324=EDGE_CURVE('',#12340,#12356,#5678,.T.); +#19326=EDGE_CURVE('',#12354,#12356,#5670,.T.); +#19328=EDGE_CURVE('',#12339,#12354,#5674,.T.); +#19332=ADVANCED_FACE('',(#19331),#19322,.T.); +#19343=EDGE_CURVE('',#12356,#12410,#5687,.T.); +#19347=ADVANCED_FACE('',(#19346),#19337,.T.); +#19355=EDGE_CURVE('',#12412,#12354,#5692,.T.); +#19360=ADVANCED_FACE('',(#19359),#19352,.F.); +#19366=EDGE_CURVE('',#12414,#12354,#5707,.T.); +#19373=ADVANCED_FACE('',(#19372),#19365,.F.); +#19385=ADVANCED_FACE('',(#19384),#19378,.T.); +#19404=EDGE_CURVE('',#12408,#12406,#5716,.T.); +#19410=ADVANCED_FACE('',(#19409),#19402,.F.); +#19417=EDGE_CURVE('',#12404,#12374,#5726,.T.); +#19423=ADVANCED_FACE('',(#19422),#19415,.F.); +#19430=EDGE_CURVE('',#12372,#12374,#5734,.T.); +#19436=ADVANCED_FACE('',(#19435),#19428,.F.); +#19448=ADVANCED_FACE('',(#19447),#19441,.T.); +#19457=EDGE_CURVE('',#12263,#12237,#5748,.T.); +#19461=ADVANCED_FACE('',(#19460),#19453,.T.); +#19467=EDGE_CURVE('',#12302,#12241,#5757,.T.); +#19469=EDGE_CURVE('',#12237,#12241,#5743,.T.); +#19475=ADVANCED_FACE('',(#19474),#19466,.F.); +#19481=EDGE_CURVE('',#12241,#12242,#5752,.T.); +#19486=EDGE_CURVE('',#12454,#12314,#5774,.T.); +#19488=EDGE_CURVE('',#12242,#12454,#5778,.T.); +#19492=ADVANCED_FACE('',(#19491),#19480,.T.); +#19501=EDGE_CURVE('',#12242,#12238,#5783,.T.); +#19505=ADVANCED_FACE('',(#19504),#19497,.F.); +#19525=EDGE_CURVE('',#12431,#12242,#5788,.T.); +#19527=EDGE_CURVE('',#12436,#12431,#5831,.T.); +#19531=ADVANCED_FACE('',(#19530),#19522,.F.); +#19538=EDGE_CURVE('',#12454,#12324,#5798,.T.); +#19540=EDGE_CURVE('',#12431,#12324,#5806,.T.); +#19545=ADVANCED_FACE('',(#19544),#19536,.F.); +#19557=ADVANCED_FACE('',(#19556),#19550,.T.); +#19564=EDGE_CURVE('',#12432,#12323,#5802,.T.); +#19566=EDGE_CURVE('',#12431,#12432,#5821,.T.); +#19571=ADVANCED_FACE('',(#19570),#19562,.T.); +#19580=EDGE_CURVE('',#12274,#12432,#5816,.T.); +#19584=ADVANCED_FACE('',(#19583),#19576,.F.); +#19603=EDGE_CURVE('',#12432,#12435,#5811,.T.); +#19609=ADVANCED_FACE('',(#19608),#19601,.F.); +#19621=ADVANCED_FACE('',(#19620),#19614,.F.); +#19628=EDGE_CURVE('',#12182,#12288,#5839,.T.); +#19630=EDGE_CURVE('',#12288,#12281,#5843,.T.); +#19635=ADVANCED_FACE('',(#19634),#19626,.T.); +#19641=EDGE_CURVE('',#11904,#12299,#5888,.T.); +#19643=EDGE_CURVE('',#12299,#12292,#5855,.T.); +#19645=EDGE_CURVE('',#12292,#12288,#5860,.T.); +#19653=ADVANCED_FACE('',(#19652),#19640,.T.); +#19659=EDGE_CURVE('',#12297,#12298,#5873,.T.); +#19661=EDGE_CURVE('',#12299,#12298,#5884,.T.); +#19665=EDGE_CURVE('',#12458,#12376,#5905,.T.); +#19667=EDGE_CURVE('',#12297,#12458,#5909,.T.); +#19671=ADVANCED_FACE('',(#19670),#19658,.T.); +#19678=EDGE_CURVE('',#12290,#12298,#5919,.T.); +#19681=EDGE_CURVE('',#12297,#12294,#5914,.T.); +#19685=ADVANCED_FACE('',(#19684),#19676,.F.); +#19769=EDGE_CURVE('',#12292,#12290,#5939,.T.); +#19774=ADVANCED_FACE('',(#19773),#19766,.F.); +#19781=EDGE_CURVE('',#12286,#12288,#5944,.T.); +#19787=ADVANCED_FACE('',(#19786),#19779,.T.); +#19794=EDGE_CURVE('',#12284,#12281,#5949,.T.); +#19800=ADVANCED_FACE('',(#19799),#19792,.T.); +#19812=ADVANCED_FACE('',(#19811),#19805,.T.); +#19820=EDGE_CURVE('',#12423,#12297,#5954,.T.); +#19822=EDGE_CURVE('',#12428,#12423,#5959,.T.); +#19826=ADVANCED_FACE('',(#19825),#19817,.F.); +#19833=EDGE_CURVE('',#12458,#12396,#5969,.T.); +#19839=ADVANCED_FACE('',(#19838),#19831,.F.); +#19851=ADVANCED_FACE('',(#19850),#19844,.T.); +#19858=EDGE_CURVE('',#12424,#12427,#5984,.T.); +#19864=ADVANCED_FACE('',(#19863),#19856,.F.); +#19888=ADVANCED_FACE('',(#19887),#19881,.F.); +#19900=ADVANCED_FACE('',(#19899),#19893,.T.); +#19908=EDGE_CURVE('',#12202,#12252,#6016,.T.); +#19913=ADVANCED_FACE('',(#19912),#19905,.F.); +#19920=EDGE_CURVE('',#12251,#12213,#6031,.T.); +#19926=ADVANCED_FACE('',(#19925),#19918,.T.); +#19938=ADVANCED_FACE('',(#19937),#19931,.F.); +#19944=EDGE_CURVE('',#11937,#11938,#6035,.T.); +#19946=EDGE_CURVE('',#11938,#10470,#6039,.T.); +#19948=EDGE_CURVE('',#10469,#10470,#6043,.T.); +#19953=ADVANCED_FACE('',(#19952),#19943,.F.); +#19959=EDGE_CURVE('',#12475,#12470,#6047,.T.); +#19962=EDGE_CURVE('',#10457,#10480,#6263,.T.); +#19964=EDGE_CURVE('',#10457,#10458,#6052,.T.); +#19966=EDGE_CURVE('',#10458,#12470,#6056,.T.); +#19970=ADVANCED_FACE('',(#19969),#19958,.F.); +#19978=EDGE_CURVE('',#11949,#11950,#6064,.T.); +#19980=EDGE_CURVE('',#11950,#11954,#8534,.T.); +#19982=EDGE_CURVE('',#11954,#11958,#8522,.T.); +#19984=EDGE_CURVE('',#11958,#11938,#8510,.T.); +#19988=ADVANCED_FACE('',(#19987),#19975,.F.); +#19994=EDGE_CURVE('',#11945,#11946,#6060,.T.); +#19996=EDGE_CURVE('',#11950,#11946,#8538,.T.); +#20002=ADVANCED_FACE('',(#20001),#19993,.T.); +#20008=EDGE_CURVE('',#11941,#11942,#6068,.T.); +#20010=EDGE_CURVE('',#11956,#11942,#8498,.T.); +#20012=EDGE_CURVE('',#11952,#11956,#8518,.T.); +#20014=EDGE_CURVE('',#11946,#11952,#8530,.T.); +#20020=ADVANCED_FACE('',(#20019),#20007,.F.); +#20028=EDGE_CURVE('',#11877,#11878,#6072,.T.); +#20030=EDGE_CURVE('',#11942,#11878,#6076,.T.); +#20034=ADVANCED_FACE('',(#20033),#20025,.T.); +#20040=EDGE_CURVE('',#12467,#12469,#6080,.T.); +#20042=EDGE_CURVE('',#10462,#12467,#6084,.T.); +#20044=EDGE_CURVE('',#10461,#10462,#6089,.T.); +#20046=EDGE_CURVE('',#11888,#10461,#6259,.T.); +#20051=ADVANCED_FACE('',(#20050),#20039,.T.); +#20059=EDGE_CURVE('',#11874,#11880,#6097,.T.); +#20061=EDGE_CURVE('',#11878,#11880,#8502,.T.); +#20065=ADVANCED_FACE('',(#20064),#20056,.F.); +#20071=EDGE_CURVE('',#11880,#11882,#6109,.T.); +#20075=EDGE_CURVE('',#11886,#11873,#6105,.T.); +#20077=EDGE_CURVE('',#11884,#11886,#6243,.T.); +#20079=EDGE_CURVE('',#11882,#11884,#6231,.T.); +#20083=ADVANCED_FACE('',(#20082),#20070,.T.); +#20090=EDGE_CURVE('',#10474,#11882,#6239,.T.); +#20092=EDGE_CURVE('',#10472,#10474,#6113,.T.); +#20094=EDGE_CURVE('',#10472,#11880,#6117,.T.); +#20098=EDGE_CURVE('',#11625,#11626,#6122,.T.); +#20100=EDGE_CURVE('',#11626,#11625,#6127,.T.); +#20104=EDGE_CURVE('',#11629,#11630,#6132,.T.); +#20106=EDGE_CURVE('',#11630,#11629,#6137,.T.); +#20110=EDGE_CURVE('',#11633,#11634,#6142,.T.); +#20112=EDGE_CURVE('',#11634,#11633,#6147,.T.); +#20116=EDGE_CURVE('',#11637,#11638,#6152,.T.); +#20118=EDGE_CURVE('',#11638,#11637,#6157,.T.); +#20122=EDGE_CURVE('',#11641,#11642,#6162,.T.); +#20124=EDGE_CURVE('',#11642,#11641,#6167,.T.); +#20128=EDGE_CURVE('',#11645,#11646,#6172,.T.); +#20130=EDGE_CURVE('',#11646,#11645,#6177,.T.); +#20134=EDGE_CURVE('',#11649,#11650,#6182,.T.); +#20136=EDGE_CURVE('',#11650,#11649,#6187,.T.); +#20140=EDGE_CURVE('',#11653,#11654,#6192,.T.); +#20142=EDGE_CURVE('',#11654,#11653,#6197,.T.); +#20146=EDGE_CURVE('',#11657,#11658,#6202,.T.); +#20148=EDGE_CURVE('',#11658,#11657,#6207,.T.); +#20152=EDGE_CURVE('',#11661,#11662,#6212,.T.); +#20154=EDGE_CURVE('',#11662,#11661,#6217,.T.); +#20158=EDGE_CURVE('',#11665,#11666,#6222,.T.); +#20160=EDGE_CURVE('',#11666,#11665,#6227,.T.); +#20164=ADVANCED_FACE('',(#20097,#20103,#20109,#20115,#20121,#20127,#20133, +#20139,#20145,#20151,#20157,#20163),#20088,.T.); +#20171=EDGE_CURVE('',#10476,#11884,#6251,.T.); +#20173=EDGE_CURVE('',#10474,#10476,#6235,.T.); +#20178=ADVANCED_FACE('',(#20177),#20169,.T.); +#20185=EDGE_CURVE('',#10478,#11886,#6255,.T.); +#20187=EDGE_CURVE('',#10476,#10478,#6247,.T.); +#20192=ADVANCED_FACE('',(#20191),#20183,.T.); +#20202=EDGE_CURVE('',#10457,#10461,#7159,.T.); +#20206=EDGE_CURVE('',#10466,#10478,#8486,.T.); +#20210=EDGE_CURVE('',#11457,#11458,#6272,.T.); +#20212=EDGE_CURVE('',#11458,#11457,#6277,.T.); +#20216=EDGE_CURVE('',#11467,#11468,#6282,.T.); +#20218=EDGE_CURVE('',#11468,#11467,#6287,.T.); +#20222=EDGE_CURVE('',#11477,#11478,#6292,.T.); +#20224=EDGE_CURVE('',#11478,#11477,#6297,.T.); +#20228=EDGE_CURVE('',#11487,#11488,#6302,.T.); +#20230=EDGE_CURVE('',#11488,#11487,#6307,.T.); +#20234=EDGE_CURVE('',#11497,#11498,#6312,.T.); +#20236=EDGE_CURVE('',#11498,#11497,#6317,.T.); +#20240=EDGE_CURVE('',#11507,#11508,#6322,.T.); +#20242=EDGE_CURVE('',#11508,#11507,#6327,.T.); +#20246=EDGE_CURVE('',#11517,#11518,#6332,.T.); +#20248=EDGE_CURVE('',#11518,#11517,#6337,.T.); +#20252=EDGE_CURVE('',#11527,#11528,#6342,.T.); +#20254=EDGE_CURVE('',#11528,#11527,#6347,.T.); +#20258=EDGE_CURVE('',#11537,#11538,#6352,.T.); +#20260=EDGE_CURVE('',#11538,#11537,#6357,.T.); +#20264=EDGE_CURVE('',#11547,#11548,#6362,.T.); +#20266=EDGE_CURVE('',#11548,#11547,#6367,.T.); +#20270=EDGE_CURVE('',#11557,#11558,#6372,.T.); +#20272=EDGE_CURVE('',#11558,#11557,#6377,.T.); +#20276=EDGE_CURVE('',#11567,#11568,#6382,.T.); +#20278=EDGE_CURVE('',#11568,#11567,#6387,.T.); +#20282=ADVANCED_FACE('',(#20209,#20215,#20221,#20227,#20233,#20239,#20245, +#20251,#20257,#20263,#20269,#20275,#20281),#20197,.T.); +#20288=EDGE_CURVE('',#11569,#11570,#6419,.T.); +#20290=EDGE_CURVE('',#11571,#11570,#8464,.T.); +#20292=EDGE_CURVE('',#11571,#11572,#6451,.T.); +#20294=EDGE_CURVE('',#11559,#11572,#7506,.T.); +#20296=EDGE_CURVE('',#11559,#11560,#6483,.T.); +#20298=EDGE_CURVE('',#11561,#11560,#8415,.T.); +#20300=EDGE_CURVE('',#11561,#11562,#6515,.T.); +#20302=EDGE_CURVE('',#11549,#11562,#7482,.T.); +#20304=EDGE_CURVE('',#11549,#11550,#6547,.T.); +#20306=EDGE_CURVE('',#11551,#11550,#8335,.T.); +#20308=EDGE_CURVE('',#11551,#11552,#6579,.T.); +#20310=EDGE_CURVE('',#11539,#11552,#7458,.T.); +#20312=EDGE_CURVE('',#11539,#11540,#6611,.T.); +#20314=EDGE_CURVE('',#11541,#11540,#8255,.T.); +#20316=EDGE_CURVE('',#11541,#11542,#6643,.T.); +#20318=EDGE_CURVE('',#11529,#11542,#7434,.T.); +#20320=EDGE_CURVE('',#11529,#11530,#6675,.T.); +#20322=EDGE_CURVE('',#11531,#11530,#8175,.T.); +#20324=EDGE_CURVE('',#11531,#11532,#6707,.T.); +#20326=EDGE_CURVE('',#11519,#11532,#7410,.T.); +#20328=EDGE_CURVE('',#11519,#11520,#6739,.T.); +#20330=EDGE_CURVE('',#11521,#11520,#8095,.T.); +#20332=EDGE_CURVE('',#11521,#11522,#6771,.T.); +#20334=EDGE_CURVE('',#11509,#11522,#7386,.T.); +#20336=EDGE_CURVE('',#11509,#11510,#6803,.T.); +#20338=EDGE_CURVE('',#11511,#11510,#8015,.T.); +#20340=EDGE_CURVE('',#11511,#11512,#6835,.T.); +#20342=EDGE_CURVE('',#11499,#11512,#7362,.T.); +#20344=EDGE_CURVE('',#11499,#11500,#6867,.T.); +#20346=EDGE_CURVE('',#11501,#11500,#7935,.T.); +#20348=EDGE_CURVE('',#11501,#11502,#6899,.T.); +#20350=EDGE_CURVE('',#11489,#11502,#7338,.T.); +#20352=EDGE_CURVE('',#11489,#11490,#6931,.T.); +#20354=EDGE_CURVE('',#11491,#11490,#7855,.T.); +#20356=EDGE_CURVE('',#11491,#11492,#6963,.T.); +#20358=EDGE_CURVE('',#11479,#11492,#7314,.T.); +#20360=EDGE_CURVE('',#11479,#11480,#6995,.T.); +#20362=EDGE_CURVE('',#11481,#11480,#7775,.T.); +#20364=EDGE_CURVE('',#11481,#11482,#7027,.T.); +#20366=EDGE_CURVE('',#11469,#11482,#7290,.T.); +#20368=EDGE_CURVE('',#11469,#11470,#7059,.T.); +#20370=EDGE_CURVE('',#11471,#11470,#7680,.T.); +#20372=EDGE_CURVE('',#11471,#11472,#7091,.T.); +#20374=EDGE_CURVE('',#11459,#11472,#7266,.T.); +#20376=EDGE_CURVE('',#11459,#11460,#7123,.T.); +#20378=EDGE_CURVE('',#11461,#11460,#7600,.T.); +#20380=EDGE_CURVE('',#11461,#11462,#7155,.T.); +#20382=EDGE_CURVE('',#10458,#11462,#7242,.T.); +#20387=EDGE_CURVE('',#11569,#10462,#7204,.T.); +#20391=ADVANCED_FACE('',(#20390),#20287,.T.); +#20397=EDGE_CURVE('',#11573,#11574,#7164,.T.); +#20399=EDGE_CURVE('',#11572,#11574,#7516,.T.); +#20402=EDGE_CURVE('',#11570,#11571,#8452,.T.); +#20405=EDGE_CURVE('',#11573,#11569,#7200,.T.); +#20409=ADVANCED_FACE('',(#20408),#20396,.T.); +#20415=EDGE_CURVE('',#11573,#11574,#7186,.T.); +#20420=ADVANCED_FACE('',(#20419),#20414,.T.); +#20427=EDGE_CURVE('',#10717,#10725,#8437,.T.); +#20429=EDGE_CURVE('',#10718,#10717,#8447,.T.); +#20431=EDGE_CURVE('',#10718,#10726,#7190,.T.); +#20435=ADVANCED_FACE('',(#20434),#20425,.T.); +#20445=EDGE_CURVE('',#12465,#12467,#7221,.T.); +#20447=EDGE_CURVE('',#12471,#12465,#7533,.T.); +#20449=EDGE_CURVE('',#12470,#12471,#7238,.T.); +#20453=EDGE_CURVE('',#11462,#11464,#7252,.T.); +#20455=EDGE_CURVE('',#11463,#11464,#7565,.T.); +#20457=EDGE_CURVE('',#11463,#11459,#7262,.T.); +#20460=EDGE_CURVE('',#11472,#11474,#7276,.T.); +#20462=EDGE_CURVE('',#11473,#11474,#7645,.T.); +#20464=EDGE_CURVE('',#11473,#11469,#7286,.T.); +#20467=EDGE_CURVE('',#11482,#11484,#7300,.T.); +#20469=EDGE_CURVE('',#11483,#11484,#7740,.T.); +#20471=EDGE_CURVE('',#11483,#11479,#7310,.T.); +#20474=EDGE_CURVE('',#11492,#11494,#7324,.T.); +#20476=EDGE_CURVE('',#11493,#11494,#7820,.T.); +#20478=EDGE_CURVE('',#11493,#11489,#7334,.T.); +#20481=EDGE_CURVE('',#11502,#11504,#7348,.T.); +#20483=EDGE_CURVE('',#11503,#11504,#7900,.T.); +#20485=EDGE_CURVE('',#11503,#11499,#7358,.T.); +#20488=EDGE_CURVE('',#11512,#11514,#7372,.T.); +#20490=EDGE_CURVE('',#11513,#11514,#7980,.T.); +#20492=EDGE_CURVE('',#11513,#11509,#7382,.T.); +#20495=EDGE_CURVE('',#11522,#11524,#7396,.T.); +#20497=EDGE_CURVE('',#11523,#11524,#8060,.T.); +#20499=EDGE_CURVE('',#11523,#11519,#7406,.T.); +#20502=EDGE_CURVE('',#11532,#11534,#7420,.T.); +#20504=EDGE_CURVE('',#11533,#11534,#8140,.T.); +#20506=EDGE_CURVE('',#11533,#11529,#7430,.T.); +#20509=EDGE_CURVE('',#11542,#11544,#7444,.T.); +#20511=EDGE_CURVE('',#11543,#11544,#8220,.T.); +#20513=EDGE_CURVE('',#11543,#11539,#7454,.T.); +#20516=EDGE_CURVE('',#11552,#11554,#7468,.T.); +#20518=EDGE_CURVE('',#11553,#11554,#8300,.T.); +#20520=EDGE_CURVE('',#11553,#11549,#7478,.T.); +#20523=EDGE_CURVE('',#11562,#11564,#7492,.T.); +#20525=EDGE_CURVE('',#11563,#11564,#8380,.T.); +#20527=EDGE_CURVE('',#11563,#11559,#7502,.T.); +#20533=ADVANCED_FACE('',(#20532),#20440,.T.); +#20539=EDGE_CURVE('',#12465,#12466,#7525,.T.); +#20546=ADVANCED_FACE('',(#20545),#20538,.T.); +#20554=EDGE_CURVE('',#12473,#12471,#7529,.T.); +#20559=ADVANCED_FACE('',(#20558),#20551,.T.); +#20571=ADVANCED_FACE('',(#20570),#20564,.T.); +#20577=EDGE_CURVE('',#11463,#11464,#7543,.T.); +#20581=EDGE_CURVE('',#11460,#11461,#7588,.T.); +#20587=ADVANCED_FACE('',(#20586),#20576,.T.); +#20597=ADVANCED_FACE('',(#20596),#20592,.T.); +#20604=EDGE_CURVE('',#10585,#10593,#7573,.T.); +#20606=EDGE_CURVE('',#10586,#10585,#7583,.T.); +#20608=EDGE_CURVE('',#10586,#10594,#7569,.T.); +#20612=ADVANCED_FACE('',(#20611),#20602,.T.); +#20620=EDGE_CURVE('',#10585,#10586,#7578,.T.); +#20625=ADVANCED_FACE('',(#20624),#20617,.T.); +#20635=ADVANCED_FACE('',(#20634),#20630,.F.); +#20645=ADVANCED_FACE('',(#20644),#20640,.T.); +#20652=EDGE_CURVE('',#11458,#10590,#7604,.T.); +#20654=EDGE_CURVE('',#10590,#10589,#7618,.T.); +#20656=EDGE_CURVE('',#11457,#10589,#7608,.T.); +#20660=ADVANCED_FACE('',(#20659),#20650,.T.); +#20668=EDGE_CURVE('',#10589,#10590,#7613,.T.); +#20673=ADVANCED_FACE('',(#20672),#20665,.T.); +#20683=ADVANCED_FACE('',(#20682),#20678,.T.); +#20689=EDGE_CURVE('',#11473,#11474,#7623,.T.); +#20693=EDGE_CURVE('',#11470,#11471,#7668,.T.); +#20699=ADVANCED_FACE('',(#20698),#20688,.T.); +#20709=ADVANCED_FACE('',(#20708),#20704,.T.); +#20716=EDGE_CURVE('',#10597,#10605,#7653,.T.); +#20718=EDGE_CURVE('',#10598,#10597,#7663,.T.); +#20720=EDGE_CURVE('',#10598,#10606,#7649,.T.); +#20724=ADVANCED_FACE('',(#20723),#20714,.T.); +#20732=EDGE_CURVE('',#10597,#10598,#7658,.T.); +#20737=ADVANCED_FACE('',(#20736),#20729,.T.); +#20747=ADVANCED_FACE('',(#20746),#20742,.F.); +#20757=ADVANCED_FACE('',(#20756),#20752,.T.); +#20764=EDGE_CURVE('',#11468,#10602,#7684,.T.); +#20766=EDGE_CURVE('',#10602,#10601,#7698,.T.); +#20768=EDGE_CURVE('',#11467,#10601,#7688,.T.); +#20772=ADVANCED_FACE('',(#20771),#20762,.T.); +#20780=EDGE_CURVE('',#10601,#10602,#7693,.T.); +#20785=ADVANCED_FACE('',(#20784),#20777,.T.); +#20795=ADVANCED_FACE('',(#20794),#20790,.T.); +#20801=EDGE_CURVE('',#11483,#11484,#7703,.T.); +#20805=EDGE_CURVE('',#11480,#11481,#7763,.T.); +#20811=ADVANCED_FACE('',(#20810),#20800,.T.); +#20821=ADVANCED_FACE('',(#20820),#20816,.T.); +#20828=EDGE_CURVE('',#10609,#10617,#7748,.T.); +#20830=EDGE_CURVE('',#10610,#10609,#7758,.T.); +#20832=EDGE_CURVE('',#10610,#10618,#7744,.T.); +#20836=ADVANCED_FACE('',(#20835),#20826,.T.); +#20844=EDGE_CURVE('',#10609,#10610,#7753,.T.); +#20849=ADVANCED_FACE('',(#20848),#20841,.T.); +#20859=ADVANCED_FACE('',(#20858),#20854,.F.); +#20869=ADVANCED_FACE('',(#20868),#20864,.T.); +#20876=EDGE_CURVE('',#11478,#10614,#7779,.T.); +#20878=EDGE_CURVE('',#10614,#10613,#7793,.T.); +#20880=EDGE_CURVE('',#11477,#10613,#7783,.T.); +#20884=ADVANCED_FACE('',(#20883),#20874,.T.); +#20892=EDGE_CURVE('',#10613,#10614,#7788,.T.); +#20897=ADVANCED_FACE('',(#20896),#20889,.T.); +#20907=ADVANCED_FACE('',(#20906),#20902,.T.); +#20913=EDGE_CURVE('',#11493,#11494,#7798,.T.); +#20917=EDGE_CURVE('',#11490,#11491,#7843,.T.); +#20923=ADVANCED_FACE('',(#20922),#20912,.T.); +#20933=ADVANCED_FACE('',(#20932),#20928,.T.); +#20940=EDGE_CURVE('',#10621,#10629,#7828,.T.); +#20942=EDGE_CURVE('',#10622,#10621,#7838,.T.); +#20944=EDGE_CURVE('',#10622,#10630,#7824,.T.); +#20948=ADVANCED_FACE('',(#20947),#20938,.T.); +#20956=EDGE_CURVE('',#10621,#10622,#7833,.T.); +#20961=ADVANCED_FACE('',(#20960),#20953,.T.); +#20971=ADVANCED_FACE('',(#20970),#20966,.F.); +#20981=ADVANCED_FACE('',(#20980),#20976,.T.); +#20988=EDGE_CURVE('',#11488,#10626,#7859,.T.); +#20990=EDGE_CURVE('',#10626,#10625,#7873,.T.); +#20992=EDGE_CURVE('',#11487,#10625,#7863,.T.); +#20996=ADVANCED_FACE('',(#20995),#20986,.T.); +#21004=EDGE_CURVE('',#10625,#10626,#7868,.T.); +#21009=ADVANCED_FACE('',(#21008),#21001,.T.); +#21019=ADVANCED_FACE('',(#21018),#21014,.T.); +#21025=EDGE_CURVE('',#11503,#11504,#7878,.T.); +#21029=EDGE_CURVE('',#11500,#11501,#7923,.T.); +#21035=ADVANCED_FACE('',(#21034),#21024,.T.); +#21045=ADVANCED_FACE('',(#21044),#21040,.T.); +#21052=EDGE_CURVE('',#10633,#10641,#7908,.T.); +#21054=EDGE_CURVE('',#10634,#10633,#7918,.T.); +#21056=EDGE_CURVE('',#10634,#10642,#7904,.T.); +#21060=ADVANCED_FACE('',(#21059),#21050,.T.); +#21068=EDGE_CURVE('',#10633,#10634,#7913,.T.); +#21073=ADVANCED_FACE('',(#21072),#21065,.T.); +#21083=ADVANCED_FACE('',(#21082),#21078,.F.); +#21093=ADVANCED_FACE('',(#21092),#21088,.T.); +#21100=EDGE_CURVE('',#11498,#10638,#7939,.T.); +#21102=EDGE_CURVE('',#10638,#10637,#7953,.T.); +#21104=EDGE_CURVE('',#11497,#10637,#7943,.T.); +#21108=ADVANCED_FACE('',(#21107),#21098,.T.); +#21116=EDGE_CURVE('',#10637,#10638,#7948,.T.); +#21121=ADVANCED_FACE('',(#21120),#21113,.T.); +#21131=ADVANCED_FACE('',(#21130),#21126,.T.); +#21137=EDGE_CURVE('',#11513,#11514,#7958,.T.); +#21141=EDGE_CURVE('',#11510,#11511,#8003,.T.); +#21147=ADVANCED_FACE('',(#21146),#21136,.T.); +#21157=ADVANCED_FACE('',(#21156),#21152,.T.); +#21164=EDGE_CURVE('',#10645,#10653,#7988,.T.); +#21166=EDGE_CURVE('',#10646,#10645,#7998,.T.); +#21168=EDGE_CURVE('',#10646,#10654,#7984,.T.); +#21172=ADVANCED_FACE('',(#21171),#21162,.T.); +#21180=EDGE_CURVE('',#10645,#10646,#7993,.T.); +#21185=ADVANCED_FACE('',(#21184),#21177,.T.); +#21195=ADVANCED_FACE('',(#21194),#21190,.F.); +#21205=ADVANCED_FACE('',(#21204),#21200,.T.); +#21212=EDGE_CURVE('',#11508,#10650,#8019,.T.); +#21214=EDGE_CURVE('',#10650,#10649,#8033,.T.); +#21216=EDGE_CURVE('',#11507,#10649,#8023,.T.); +#21220=ADVANCED_FACE('',(#21219),#21210,.T.); +#21228=EDGE_CURVE('',#10649,#10650,#8028,.T.); +#21233=ADVANCED_FACE('',(#21232),#21225,.T.); +#21243=ADVANCED_FACE('',(#21242),#21238,.T.); +#21249=EDGE_CURVE('',#11523,#11524,#8038,.T.); +#21253=EDGE_CURVE('',#11520,#11521,#8083,.T.); +#21259=ADVANCED_FACE('',(#21258),#21248,.T.); +#21269=ADVANCED_FACE('',(#21268),#21264,.T.); +#21276=EDGE_CURVE('',#10657,#10665,#8068,.T.); +#21278=EDGE_CURVE('',#10658,#10657,#8078,.T.); +#21280=EDGE_CURVE('',#10658,#10666,#8064,.T.); +#21284=ADVANCED_FACE('',(#21283),#21274,.T.); +#21292=EDGE_CURVE('',#10657,#10658,#8073,.T.); +#21297=ADVANCED_FACE('',(#21296),#21289,.T.); +#21307=ADVANCED_FACE('',(#21306),#21302,.F.); +#21317=ADVANCED_FACE('',(#21316),#21312,.T.); +#21324=EDGE_CURVE('',#11518,#10662,#8099,.T.); +#21326=EDGE_CURVE('',#10662,#10661,#8113,.T.); +#21328=EDGE_CURVE('',#11517,#10661,#8103,.T.); +#21332=ADVANCED_FACE('',(#21331),#21322,.T.); +#21340=EDGE_CURVE('',#10661,#10662,#8108,.T.); +#21345=ADVANCED_FACE('',(#21344),#21337,.T.); +#21355=ADVANCED_FACE('',(#21354),#21350,.T.); +#21361=EDGE_CURVE('',#11533,#11534,#8118,.T.); +#21365=EDGE_CURVE('',#11530,#11531,#8163,.T.); +#21371=ADVANCED_FACE('',(#21370),#21360,.T.); +#21381=ADVANCED_FACE('',(#21380),#21376,.T.); +#21388=EDGE_CURVE('',#10669,#10677,#8148,.T.); +#21390=EDGE_CURVE('',#10670,#10669,#8158,.T.); +#21392=EDGE_CURVE('',#10670,#10678,#8144,.T.); +#21396=ADVANCED_FACE('',(#21395),#21386,.T.); +#21404=EDGE_CURVE('',#10669,#10670,#8153,.T.); +#21409=ADVANCED_FACE('',(#21408),#21401,.T.); +#21419=ADVANCED_FACE('',(#21418),#21414,.F.); +#21429=ADVANCED_FACE('',(#21428),#21424,.T.); +#21436=EDGE_CURVE('',#11528,#10674,#8179,.T.); +#21438=EDGE_CURVE('',#10674,#10673,#8193,.T.); +#21440=EDGE_CURVE('',#11527,#10673,#8183,.T.); +#21444=ADVANCED_FACE('',(#21443),#21434,.T.); +#21452=EDGE_CURVE('',#10673,#10674,#8188,.T.); +#21457=ADVANCED_FACE('',(#21456),#21449,.T.); +#21467=ADVANCED_FACE('',(#21466),#21462,.T.); +#21473=EDGE_CURVE('',#11543,#11544,#8198,.T.); +#21477=EDGE_CURVE('',#11540,#11541,#8243,.T.); +#21483=ADVANCED_FACE('',(#21482),#21472,.T.); +#21493=ADVANCED_FACE('',(#21492),#21488,.T.); +#21500=EDGE_CURVE('',#10681,#10689,#8228,.T.); +#21502=EDGE_CURVE('',#10682,#10681,#8238,.T.); +#21504=EDGE_CURVE('',#10682,#10690,#8224,.T.); +#21508=ADVANCED_FACE('',(#21507),#21498,.T.); +#21516=EDGE_CURVE('',#10681,#10682,#8233,.T.); +#21521=ADVANCED_FACE('',(#21520),#21513,.T.); +#21531=ADVANCED_FACE('',(#21530),#21526,.F.); +#21541=ADVANCED_FACE('',(#21540),#21536,.T.); +#21548=EDGE_CURVE('',#11538,#10686,#8259,.T.); +#21550=EDGE_CURVE('',#10686,#10685,#8273,.T.); +#21552=EDGE_CURVE('',#11537,#10685,#8263,.T.); +#21556=ADVANCED_FACE('',(#21555),#21546,.T.); +#21564=EDGE_CURVE('',#10685,#10686,#8268,.T.); +#21569=ADVANCED_FACE('',(#21568),#21561,.T.); +#21579=ADVANCED_FACE('',(#21578),#21574,.T.); +#21585=EDGE_CURVE('',#11553,#11554,#8278,.T.); +#21589=EDGE_CURVE('',#11550,#11551,#8323,.T.); +#21595=ADVANCED_FACE('',(#21594),#21584,.T.); +#21605=ADVANCED_FACE('',(#21604),#21600,.T.); +#21612=EDGE_CURVE('',#10693,#10701,#8308,.T.); +#21614=EDGE_CURVE('',#10694,#10693,#8318,.T.); +#21616=EDGE_CURVE('',#10694,#10702,#8304,.T.); +#21620=ADVANCED_FACE('',(#21619),#21610,.T.); +#21628=EDGE_CURVE('',#10693,#10694,#8313,.T.); +#21633=ADVANCED_FACE('',(#21632),#21625,.T.); +#21643=ADVANCED_FACE('',(#21642),#21638,.F.); +#21653=ADVANCED_FACE('',(#21652),#21648,.T.); +#21660=EDGE_CURVE('',#11548,#10698,#8339,.T.); +#21662=EDGE_CURVE('',#10698,#10697,#8353,.T.); +#21664=EDGE_CURVE('',#11547,#10697,#8343,.T.); +#21668=ADVANCED_FACE('',(#21667),#21658,.T.); +#21676=EDGE_CURVE('',#10697,#10698,#8348,.T.); +#21681=ADVANCED_FACE('',(#21680),#21673,.T.); +#21691=ADVANCED_FACE('',(#21690),#21686,.T.); +#21697=EDGE_CURVE('',#11563,#11564,#8358,.T.); +#21701=EDGE_CURVE('',#11560,#11561,#8403,.T.); +#21707=ADVANCED_FACE('',(#21706),#21696,.T.); +#21717=ADVANCED_FACE('',(#21716),#21712,.T.); +#21724=EDGE_CURVE('',#10705,#10713,#8388,.T.); +#21726=EDGE_CURVE('',#10706,#10705,#8398,.T.); +#21728=EDGE_CURVE('',#10706,#10714,#8384,.T.); +#21732=ADVANCED_FACE('',(#21731),#21722,.T.); +#21740=EDGE_CURVE('',#10705,#10706,#8393,.T.); +#21745=ADVANCED_FACE('',(#21744),#21737,.T.); +#21755=ADVANCED_FACE('',(#21754),#21750,.F.); +#21765=ADVANCED_FACE('',(#21764),#21760,.T.); +#21772=EDGE_CURVE('',#11558,#10710,#8419,.T.); +#21774=EDGE_CURVE('',#10710,#10709,#8433,.T.); +#21776=EDGE_CURVE('',#11557,#10709,#8423,.T.); +#21780=ADVANCED_FACE('',(#21779),#21770,.T.); +#21788=EDGE_CURVE('',#10709,#10710,#8428,.T.); +#21793=ADVANCED_FACE('',(#21792),#21785,.T.); +#21803=ADVANCED_FACE('',(#21802),#21798,.T.); +#21811=EDGE_CURVE('',#10717,#10718,#8442,.T.); +#21816=ADVANCED_FACE('',(#21815),#21808,.T.); +#21826=ADVANCED_FACE('',(#21825),#21821,.F.); +#21836=ADVANCED_FACE('',(#21835),#21831,.T.); +#21843=EDGE_CURVE('',#11568,#10722,#8468,.T.); +#21845=EDGE_CURVE('',#10722,#10721,#8482,.T.); +#21847=EDGE_CURVE('',#11567,#10721,#8472,.T.); +#21851=ADVANCED_FACE('',(#21850),#21841,.T.); +#21859=EDGE_CURVE('',#10721,#10722,#8477,.T.); +#21864=ADVANCED_FACE('',(#21863),#21856,.T.); +#21874=ADVANCED_FACE('',(#21873),#21869,.T.); +#21885=EDGE_CURVE('',#10472,#10465,#8494,.T.); +#21889=ADVANCED_FACE('',(#21888),#21879,.T.); +#21896=EDGE_CURVE('',#10470,#10472,#8506,.T.); +#21902=ADVANCED_FACE('',(#21901),#21894,.F.); +#21915=EDGE_CURVE('',#11958,#11956,#8514,.T.); +#21919=ADVANCED_FACE('',(#21918),#21907,.T.); +#21928=EDGE_CURVE('',#11954,#11952,#8526,.T.); +#21932=ADVANCED_FACE('',(#21931),#21924,.T.); +#21944=EDGE_CURVE('',#11577,#11578,#8543,.T.); +#21946=EDGE_CURVE('',#11578,#11577,#8548,.T.); +#21950=EDGE_CURVE('',#11581,#11582,#8553,.T.); +#21952=EDGE_CURVE('',#11582,#11581,#8558,.T.); +#21956=EDGE_CURVE('',#11585,#11586,#8563,.T.); +#21958=EDGE_CURVE('',#11586,#11585,#8568,.T.); +#21962=EDGE_CURVE('',#11589,#11590,#8573,.T.); +#21964=EDGE_CURVE('',#11590,#11589,#8578,.T.); +#21968=EDGE_CURVE('',#11593,#11594,#8583,.T.); +#21970=EDGE_CURVE('',#11594,#11593,#8588,.T.); +#21974=EDGE_CURVE('',#11597,#11598,#8593,.T.); +#21976=EDGE_CURVE('',#11598,#11597,#8598,.T.); +#21980=EDGE_CURVE('',#11601,#11602,#8603,.T.); +#21982=EDGE_CURVE('',#11602,#11601,#8608,.T.); +#21986=EDGE_CURVE('',#11605,#11606,#8613,.T.); +#21988=EDGE_CURVE('',#11606,#11605,#8618,.T.); +#21992=EDGE_CURVE('',#11609,#11610,#8623,.T.); +#21994=EDGE_CURVE('',#11610,#11609,#8628,.T.); +#21998=EDGE_CURVE('',#11613,#11614,#8633,.T.); +#22000=EDGE_CURVE('',#11614,#11613,#8638,.T.); +#22004=EDGE_CURVE('',#11617,#11618,#8643,.T.); +#22006=EDGE_CURVE('',#11618,#11617,#8648,.T.); +#22010=EDGE_CURVE('',#11621,#11622,#8653,.T.); +#22012=EDGE_CURVE('',#11622,#11621,#8658,.T.); +#22016=ADVANCED_FACE('',(#21943,#21949,#21955,#21961,#21967,#21973,#21979, +#21985,#21991,#21997,#22003,#22009,#22015),#21937,.T.); +#22023=EDGE_CURVE('',#11577,#10733,#8662,.T.); +#22025=EDGE_CURVE('',#10733,#10734,#8671,.T.); +#22027=EDGE_CURVE('',#11578,#10734,#8666,.T.); +#22031=ADVANCED_FACE('',(#22030),#22021,.T.); +#22039=EDGE_CURVE('',#10734,#10733,#8676,.T.); +#22044=ADVANCED_FACE('',(#22043),#22036,.T.); +#22054=ADVANCED_FACE('',(#22053),#22049,.T.); +#22061=EDGE_CURVE('',#11581,#10745,#8680,.T.); +#22063=EDGE_CURVE('',#10745,#10746,#8689,.T.); +#22065=EDGE_CURVE('',#11582,#10746,#8684,.T.); +#22069=ADVANCED_FACE('',(#22068),#22059,.T.); +#22077=EDGE_CURVE('',#10746,#10745,#8694,.T.); +#22082=ADVANCED_FACE('',(#22081),#22074,.T.); +#22092=ADVANCED_FACE('',(#22091),#22087,.T.); +#22099=EDGE_CURVE('',#11585,#10757,#8698,.T.); +#22101=EDGE_CURVE('',#10757,#10758,#8707,.T.); +#22103=EDGE_CURVE('',#11586,#10758,#8702,.T.); +#22107=ADVANCED_FACE('',(#22106),#22097,.T.); +#22115=EDGE_CURVE('',#10758,#10757,#8712,.T.); +#22120=ADVANCED_FACE('',(#22119),#22112,.T.); +#22130=ADVANCED_FACE('',(#22129),#22125,.T.); +#22137=EDGE_CURVE('',#11589,#10769,#8716,.T.); +#22139=EDGE_CURVE('',#10769,#10770,#8725,.T.); +#22141=EDGE_CURVE('',#11590,#10770,#8720,.T.); +#22145=ADVANCED_FACE('',(#22144),#22135,.T.); +#22153=EDGE_CURVE('',#10770,#10769,#8730,.T.); +#22158=ADVANCED_FACE('',(#22157),#22150,.T.); +#22168=ADVANCED_FACE('',(#22167),#22163,.T.); +#22175=EDGE_CURVE('',#11593,#10781,#8734,.T.); +#22177=EDGE_CURVE('',#10781,#10782,#8743,.T.); +#22179=EDGE_CURVE('',#11594,#10782,#8738,.T.); +#22183=ADVANCED_FACE('',(#22182),#22173,.T.); +#22191=EDGE_CURVE('',#10782,#10781,#8748,.T.); +#22196=ADVANCED_FACE('',(#22195),#22188,.T.); +#22206=ADVANCED_FACE('',(#22205),#22201,.T.); +#22213=EDGE_CURVE('',#11597,#10793,#8752,.T.); +#22215=EDGE_CURVE('',#10793,#10794,#8761,.T.); +#22217=EDGE_CURVE('',#11598,#10794,#8756,.T.); +#22221=ADVANCED_FACE('',(#22220),#22211,.T.); +#22229=EDGE_CURVE('',#10794,#10793,#8766,.T.); +#22234=ADVANCED_FACE('',(#22233),#22226,.T.); +#22244=ADVANCED_FACE('',(#22243),#22239,.T.); +#22251=EDGE_CURVE('',#11601,#10805,#8770,.T.); +#22253=EDGE_CURVE('',#10805,#10806,#8779,.T.); +#22255=EDGE_CURVE('',#11602,#10806,#8774,.T.); +#22259=ADVANCED_FACE('',(#22258),#22249,.T.); +#22267=EDGE_CURVE('',#10806,#10805,#8784,.T.); +#22272=ADVANCED_FACE('',(#22271),#22264,.T.); +#22282=ADVANCED_FACE('',(#22281),#22277,.T.); +#22289=EDGE_CURVE('',#11605,#10817,#8788,.T.); +#22291=EDGE_CURVE('',#10817,#10818,#8797,.T.); +#22293=EDGE_CURVE('',#11606,#10818,#8792,.T.); +#22297=ADVANCED_FACE('',(#22296),#22287,.T.); +#22305=EDGE_CURVE('',#10818,#10817,#8802,.T.); +#22310=ADVANCED_FACE('',(#22309),#22302,.T.); +#22320=ADVANCED_FACE('',(#22319),#22315,.T.); +#22327=EDGE_CURVE('',#11609,#10829,#8806,.T.); +#22329=EDGE_CURVE('',#10829,#10830,#8815,.T.); +#22331=EDGE_CURVE('',#11610,#10830,#8810,.T.); +#22335=ADVANCED_FACE('',(#22334),#22325,.T.); +#22343=EDGE_CURVE('',#10830,#10829,#8820,.T.); +#22348=ADVANCED_FACE('',(#22347),#22340,.T.); +#22358=ADVANCED_FACE('',(#22357),#22353,.T.); +#22365=EDGE_CURVE('',#11613,#10841,#8824,.T.); +#22367=EDGE_CURVE('',#10841,#10842,#8833,.T.); +#22369=EDGE_CURVE('',#11614,#10842,#8828,.T.); +#22373=ADVANCED_FACE('',(#22372),#22363,.T.); +#22381=EDGE_CURVE('',#10842,#10841,#8838,.T.); +#22386=ADVANCED_FACE('',(#22385),#22378,.T.); +#22396=ADVANCED_FACE('',(#22395),#22391,.T.); +#22403=EDGE_CURVE('',#11617,#10853,#8842,.T.); +#22405=EDGE_CURVE('',#10853,#10854,#8851,.T.); +#22407=EDGE_CURVE('',#11618,#10854,#8846,.T.); +#22411=ADVANCED_FACE('',(#22410),#22401,.T.); +#22419=EDGE_CURVE('',#10854,#10853,#8856,.T.); +#22424=ADVANCED_FACE('',(#22423),#22416,.T.); +#22434=ADVANCED_FACE('',(#22433),#22429,.T.); +#22441=EDGE_CURVE('',#11621,#10865,#8860,.T.); +#22443=EDGE_CURVE('',#10865,#10866,#8869,.T.); +#22445=EDGE_CURVE('',#11622,#10866,#8864,.T.); +#22449=ADVANCED_FACE('',(#22448),#22439,.T.); +#22457=EDGE_CURVE('',#10866,#10865,#8874,.T.); +#22462=ADVANCED_FACE('',(#22461),#22454,.T.); +#22472=ADVANCED_FACE('',(#22471),#22467,.T.); +#22479=EDGE_CURVE('',#11625,#10877,#8878,.T.); +#22481=EDGE_CURVE('',#10877,#10878,#8887,.T.); +#22483=EDGE_CURVE('',#11626,#10878,#8882,.T.); +#22487=ADVANCED_FACE('',(#22486),#22477,.T.); +#22495=EDGE_CURVE('',#10878,#10877,#8892,.T.); +#22500=ADVANCED_FACE('',(#22499),#22492,.T.); +#22510=ADVANCED_FACE('',(#22509),#22505,.T.); +#22517=EDGE_CURVE('',#11629,#10889,#8896,.T.); +#22519=EDGE_CURVE('',#10889,#10890,#8905,.T.); +#22521=EDGE_CURVE('',#11630,#10890,#8900,.T.); +#22525=ADVANCED_FACE('',(#22524),#22515,.T.); +#22533=EDGE_CURVE('',#10890,#10889,#8910,.T.); +#22538=ADVANCED_FACE('',(#22537),#22530,.T.); +#22548=ADVANCED_FACE('',(#22547),#22543,.T.); +#22555=EDGE_CURVE('',#11633,#10901,#8914,.T.); +#22557=EDGE_CURVE('',#10901,#10902,#8923,.T.); +#22559=EDGE_CURVE('',#11634,#10902,#8918,.T.); +#22563=ADVANCED_FACE('',(#22562),#22553,.T.); +#22571=EDGE_CURVE('',#10902,#10901,#8928,.T.); +#22576=ADVANCED_FACE('',(#22575),#22568,.T.); +#22586=ADVANCED_FACE('',(#22585),#22581,.T.); +#22593=EDGE_CURVE('',#11637,#10913,#8932,.T.); +#22595=EDGE_CURVE('',#10913,#10914,#8941,.T.); +#22597=EDGE_CURVE('',#11638,#10914,#8936,.T.); +#22601=ADVANCED_FACE('',(#22600),#22591,.T.); +#22609=EDGE_CURVE('',#10914,#10913,#8946,.T.); +#22614=ADVANCED_FACE('',(#22613),#22606,.T.); +#22624=ADVANCED_FACE('',(#22623),#22619,.T.); +#22631=EDGE_CURVE('',#11641,#10925,#8950,.T.); +#22633=EDGE_CURVE('',#10925,#10926,#8959,.T.); +#22635=EDGE_CURVE('',#11642,#10926,#8954,.T.); +#22639=ADVANCED_FACE('',(#22638),#22629,.T.); +#22647=EDGE_CURVE('',#10926,#10925,#8964,.T.); +#22652=ADVANCED_FACE('',(#22651),#22644,.T.); +#22662=ADVANCED_FACE('',(#22661),#22657,.T.); +#22669=EDGE_CURVE('',#11645,#10937,#8968,.T.); +#22671=EDGE_CURVE('',#10937,#10938,#8977,.T.); +#22673=EDGE_CURVE('',#11646,#10938,#8972,.T.); +#22677=ADVANCED_FACE('',(#22676),#22667,.T.); +#22685=EDGE_CURVE('',#10938,#10937,#8982,.T.); +#22690=ADVANCED_FACE('',(#22689),#22682,.T.); +#22700=ADVANCED_FACE('',(#22699),#22695,.T.); +#22707=EDGE_CURVE('',#11649,#10949,#8986,.T.); +#22709=EDGE_CURVE('',#10949,#10950,#8995,.T.); +#22711=EDGE_CURVE('',#11650,#10950,#8990,.T.); +#22715=ADVANCED_FACE('',(#22714),#22705,.T.); +#22723=EDGE_CURVE('',#10950,#10949,#9000,.T.); +#22728=ADVANCED_FACE('',(#22727),#22720,.T.); +#22738=ADVANCED_FACE('',(#22737),#22733,.T.); +#22745=EDGE_CURVE('',#11653,#10961,#9004,.T.); +#22747=EDGE_CURVE('',#10961,#10962,#9013,.T.); +#22749=EDGE_CURVE('',#11654,#10962,#9008,.T.); +#22753=ADVANCED_FACE('',(#22752),#22743,.T.); +#22761=EDGE_CURVE('',#10962,#10961,#9018,.T.); +#22766=ADVANCED_FACE('',(#22765),#22758,.T.); +#22776=ADVANCED_FACE('',(#22775),#22771,.T.); +#22783=EDGE_CURVE('',#11657,#10973,#9022,.T.); +#22785=EDGE_CURVE('',#10973,#10974,#9031,.T.); +#22787=EDGE_CURVE('',#11658,#10974,#9026,.T.); +#22791=ADVANCED_FACE('',(#22790),#22781,.T.); +#22799=EDGE_CURVE('',#10974,#10973,#9036,.T.); +#22804=ADVANCED_FACE('',(#22803),#22796,.T.); +#22814=ADVANCED_FACE('',(#22813),#22809,.T.); +#22821=EDGE_CURVE('',#11661,#10985,#9040,.T.); +#22823=EDGE_CURVE('',#10985,#10986,#9049,.T.); +#22825=EDGE_CURVE('',#11662,#10986,#9044,.T.); +#22829=ADVANCED_FACE('',(#22828),#22819,.T.); +#22837=EDGE_CURVE('',#10986,#10985,#9054,.T.); +#22842=ADVANCED_FACE('',(#22841),#22834,.T.); +#22852=ADVANCED_FACE('',(#22851),#22847,.T.); +#22859=EDGE_CURVE('',#11665,#10997,#9058,.T.); +#22861=EDGE_CURVE('',#10997,#10998,#9067,.T.); +#22863=EDGE_CURVE('',#11666,#10998,#9062,.T.); +#22867=ADVANCED_FACE('',(#22866),#22857,.T.); +#22875=EDGE_CURVE('',#10998,#10997,#9072,.T.); +#22880=ADVANCED_FACE('',(#22879),#22872,.T.); +#22890=ADVANCED_FACE('',(#22889),#22885,.T.); +#22897=EDGE_CURVE('',#10730,#10738,#9080,.T.); +#22899=EDGE_CURVE('',#10729,#10730,#9085,.T.); +#22901=EDGE_CURVE('',#10729,#10737,#9076,.T.); +#22905=ADVANCED_FACE('',(#22904),#22895,.T.); +#22913=EDGE_CURVE('',#10730,#10729,#9090,.T.); +#22918=ADVANCED_FACE('',(#22917),#22910,.T.); +#22928=ADVANCED_FACE('',(#22927),#22923,.F.); +#22935=EDGE_CURVE('',#10742,#10750,#9098,.T.); +#22937=EDGE_CURVE('',#10741,#10742,#9103,.T.); +#22939=EDGE_CURVE('',#10741,#10749,#9094,.T.); +#22943=ADVANCED_FACE('',(#22942),#22933,.T.); +#22951=EDGE_CURVE('',#10742,#10741,#9108,.T.); +#22956=ADVANCED_FACE('',(#22955),#22948,.T.); +#22966=ADVANCED_FACE('',(#22965),#22961,.F.); +#22973=EDGE_CURVE('',#10754,#10762,#9116,.T.); +#22975=EDGE_CURVE('',#10753,#10754,#9121,.T.); +#22977=EDGE_CURVE('',#10753,#10761,#9112,.T.); +#22981=ADVANCED_FACE('',(#22980),#22971,.T.); +#22989=EDGE_CURVE('',#10754,#10753,#9126,.T.); +#22994=ADVANCED_FACE('',(#22993),#22986,.T.); +#23004=ADVANCED_FACE('',(#23003),#22999,.F.); +#23011=EDGE_CURVE('',#10766,#10774,#9134,.T.); +#23013=EDGE_CURVE('',#10765,#10766,#9139,.T.); +#23015=EDGE_CURVE('',#10765,#10773,#9130,.T.); +#23019=ADVANCED_FACE('',(#23018),#23009,.T.); +#23027=EDGE_CURVE('',#10766,#10765,#9144,.T.); +#23032=ADVANCED_FACE('',(#23031),#23024,.T.); +#23042=ADVANCED_FACE('',(#23041),#23037,.F.); +#23049=EDGE_CURVE('',#10778,#10786,#9152,.T.); +#23051=EDGE_CURVE('',#10777,#10778,#9157,.T.); +#23053=EDGE_CURVE('',#10777,#10785,#9148,.T.); +#23057=ADVANCED_FACE('',(#23056),#23047,.T.); +#23065=EDGE_CURVE('',#10778,#10777,#9162,.T.); +#23070=ADVANCED_FACE('',(#23069),#23062,.T.); +#23080=ADVANCED_FACE('',(#23079),#23075,.F.); +#23087=EDGE_CURVE('',#10790,#10798,#9170,.T.); +#23089=EDGE_CURVE('',#10789,#10790,#9175,.T.); +#23091=EDGE_CURVE('',#10789,#10797,#9166,.T.); +#23095=ADVANCED_FACE('',(#23094),#23085,.T.); +#23103=EDGE_CURVE('',#10790,#10789,#9180,.T.); +#23108=ADVANCED_FACE('',(#23107),#23100,.T.); +#23118=ADVANCED_FACE('',(#23117),#23113,.F.); +#23125=EDGE_CURVE('',#10802,#10810,#9188,.T.); +#23127=EDGE_CURVE('',#10801,#10802,#9193,.T.); +#23129=EDGE_CURVE('',#10801,#10809,#9184,.T.); +#23133=ADVANCED_FACE('',(#23132),#23123,.T.); +#23141=EDGE_CURVE('',#10802,#10801,#9198,.T.); +#23146=ADVANCED_FACE('',(#23145),#23138,.T.); +#23156=ADVANCED_FACE('',(#23155),#23151,.F.); +#23163=EDGE_CURVE('',#10814,#10822,#9206,.T.); +#23165=EDGE_CURVE('',#10813,#10814,#9211,.T.); +#23167=EDGE_CURVE('',#10813,#10821,#9202,.T.); +#23171=ADVANCED_FACE('',(#23170),#23161,.T.); +#23179=EDGE_CURVE('',#10814,#10813,#9216,.T.); +#23184=ADVANCED_FACE('',(#23183),#23176,.T.); +#23194=ADVANCED_FACE('',(#23193),#23189,.F.); +#23201=EDGE_CURVE('',#10826,#10834,#9224,.T.); +#23203=EDGE_CURVE('',#10825,#10826,#9229,.T.); +#23205=EDGE_CURVE('',#10825,#10833,#9220,.T.); +#23209=ADVANCED_FACE('',(#23208),#23199,.T.); +#23217=EDGE_CURVE('',#10826,#10825,#9234,.T.); +#23222=ADVANCED_FACE('',(#23221),#23214,.T.); +#23232=ADVANCED_FACE('',(#23231),#23227,.F.); +#23239=EDGE_CURVE('',#10838,#10846,#9242,.T.); +#23241=EDGE_CURVE('',#10837,#10838,#9247,.T.); +#23243=EDGE_CURVE('',#10837,#10845,#9238,.T.); +#23247=ADVANCED_FACE('',(#23246),#23237,.T.); +#23255=EDGE_CURVE('',#10838,#10837,#9252,.T.); +#23260=ADVANCED_FACE('',(#23259),#23252,.T.); +#23270=ADVANCED_FACE('',(#23269),#23265,.F.); +#23277=EDGE_CURVE('',#10850,#10858,#9260,.T.); +#23279=EDGE_CURVE('',#10849,#10850,#9265,.T.); +#23281=EDGE_CURVE('',#10849,#10857,#9256,.T.); +#23285=ADVANCED_FACE('',(#23284),#23275,.T.); +#23293=EDGE_CURVE('',#10850,#10849,#9270,.T.); +#23298=ADVANCED_FACE('',(#23297),#23290,.T.); +#23308=ADVANCED_FACE('',(#23307),#23303,.F.); +#23315=EDGE_CURVE('',#10862,#10870,#9278,.T.); +#23317=EDGE_CURVE('',#10861,#10862,#9283,.T.); +#23319=EDGE_CURVE('',#10861,#10869,#9274,.T.); +#23323=ADVANCED_FACE('',(#23322),#23313,.T.); +#23331=EDGE_CURVE('',#10862,#10861,#9288,.T.); +#23336=ADVANCED_FACE('',(#23335),#23328,.T.); +#23346=ADVANCED_FACE('',(#23345),#23341,.F.); +#23353=EDGE_CURVE('',#10874,#10882,#9296,.T.); +#23355=EDGE_CURVE('',#10873,#10874,#9301,.T.); +#23357=EDGE_CURVE('',#10873,#10881,#9292,.T.); +#23361=ADVANCED_FACE('',(#23360),#23351,.T.); +#23369=EDGE_CURVE('',#10874,#10873,#9306,.T.); +#23374=ADVANCED_FACE('',(#23373),#23366,.T.); +#23384=ADVANCED_FACE('',(#23383),#23379,.F.); +#23391=EDGE_CURVE('',#10886,#10894,#9314,.T.); +#23393=EDGE_CURVE('',#10885,#10886,#9319,.T.); +#23395=EDGE_CURVE('',#10885,#10893,#9310,.T.); +#23399=ADVANCED_FACE('',(#23398),#23389,.T.); +#23407=EDGE_CURVE('',#10886,#10885,#9324,.T.); +#23412=ADVANCED_FACE('',(#23411),#23404,.T.); +#23422=ADVANCED_FACE('',(#23421),#23417,.F.); +#23429=EDGE_CURVE('',#10898,#10906,#9332,.T.); +#23431=EDGE_CURVE('',#10897,#10898,#9337,.T.); +#23433=EDGE_CURVE('',#10897,#10905,#9328,.T.); +#23437=ADVANCED_FACE('',(#23436),#23427,.T.); +#23445=EDGE_CURVE('',#10898,#10897,#9342,.T.); +#23450=ADVANCED_FACE('',(#23449),#23442,.T.); +#23460=ADVANCED_FACE('',(#23459),#23455,.F.); +#23467=EDGE_CURVE('',#10910,#10918,#9350,.T.); +#23469=EDGE_CURVE('',#10909,#10910,#9355,.T.); +#23471=EDGE_CURVE('',#10909,#10917,#9346,.T.); +#23475=ADVANCED_FACE('',(#23474),#23465,.T.); +#23483=EDGE_CURVE('',#10910,#10909,#9360,.T.); +#23488=ADVANCED_FACE('',(#23487),#23480,.T.); +#23498=ADVANCED_FACE('',(#23497),#23493,.F.); +#23505=EDGE_CURVE('',#10922,#10930,#9368,.T.); +#23507=EDGE_CURVE('',#10921,#10922,#9373,.T.); +#23509=EDGE_CURVE('',#10921,#10929,#9364,.T.); +#23513=ADVANCED_FACE('',(#23512),#23503,.T.); +#23521=EDGE_CURVE('',#10922,#10921,#9378,.T.); +#23526=ADVANCED_FACE('',(#23525),#23518,.T.); +#23536=ADVANCED_FACE('',(#23535),#23531,.F.); +#23543=EDGE_CURVE('',#10934,#10942,#9386,.T.); +#23545=EDGE_CURVE('',#10933,#10934,#9391,.T.); +#23547=EDGE_CURVE('',#10933,#10941,#9382,.T.); +#23551=ADVANCED_FACE('',(#23550),#23541,.T.); +#23559=EDGE_CURVE('',#10934,#10933,#9396,.T.); +#23564=ADVANCED_FACE('',(#23563),#23556,.T.); +#23574=ADVANCED_FACE('',(#23573),#23569,.F.); +#23581=EDGE_CURVE('',#10946,#10954,#9404,.T.); +#23583=EDGE_CURVE('',#10945,#10946,#9409,.T.); +#23585=EDGE_CURVE('',#10945,#10953,#9400,.T.); +#23589=ADVANCED_FACE('',(#23588),#23579,.T.); +#23597=EDGE_CURVE('',#10946,#10945,#9414,.T.); +#23602=ADVANCED_FACE('',(#23601),#23594,.T.); +#23612=ADVANCED_FACE('',(#23611),#23607,.F.); +#23619=EDGE_CURVE('',#10958,#10966,#9422,.T.); +#23621=EDGE_CURVE('',#10957,#10958,#9427,.T.); +#23623=EDGE_CURVE('',#10957,#10965,#9418,.T.); +#23627=ADVANCED_FACE('',(#23626),#23617,.T.); +#23635=EDGE_CURVE('',#10958,#10957,#9432,.T.); +#23640=ADVANCED_FACE('',(#23639),#23632,.T.); +#23650=ADVANCED_FACE('',(#23649),#23645,.F.); +#23657=EDGE_CURVE('',#10970,#10978,#9440,.T.); +#23659=EDGE_CURVE('',#10969,#10970,#9445,.T.); +#23661=EDGE_CURVE('',#10969,#10977,#9436,.T.); +#23665=ADVANCED_FACE('',(#23664),#23655,.T.); +#23673=EDGE_CURVE('',#10970,#10969,#9450,.T.); +#23678=ADVANCED_FACE('',(#23677),#23670,.T.); +#23688=ADVANCED_FACE('',(#23687),#23683,.F.); +#23695=EDGE_CURVE('',#10982,#10990,#9458,.T.); +#23697=EDGE_CURVE('',#10981,#10982,#9463,.T.); +#23699=EDGE_CURVE('',#10981,#10989,#9454,.T.); +#23703=ADVANCED_FACE('',(#23702),#23693,.T.); +#23711=EDGE_CURVE('',#10982,#10981,#9468,.T.); +#23716=ADVANCED_FACE('',(#23715),#23708,.T.); +#23726=ADVANCED_FACE('',(#23725),#23721,.F.); +#23733=EDGE_CURVE('',#10994,#11002,#9476,.T.); +#23735=EDGE_CURVE('',#10993,#10994,#9481,.T.); +#23737=EDGE_CURVE('',#10993,#11001,#9472,.T.); +#23741=ADVANCED_FACE('',(#23740),#23731,.T.); +#23749=EDGE_CURVE('',#10994,#10993,#9486,.T.); +#23754=ADVANCED_FACE('',(#23753),#23746,.T.); +#23764=ADVANCED_FACE('',(#23763),#23759,.F.); +#23772=EDGE_CURVE('',#10353,#10376,#9490,.T.); +#23777=ADVANCED_FACE('',(#23776),#23769,.T.); +#23784=EDGE_CURVE('',#10354,#10378,#9494,.T.); +#23790=ADVANCED_FACE('',(#23789),#23782,.T.); +#23802=ADVANCED_FACE('',(#23801),#23795,.T.); +#23810=EDGE_CURVE('',#11698,#11786,#9498,.T.); +#23815=ADVANCED_FACE('',(#23814),#23807,.T.); +#23824=EDGE_CURVE('',#11697,#11784,#9502,.T.); +#23828=ADVANCED_FACE('',(#23827),#23820,.T.); +#23840=ADVANCED_FACE('',(#23839),#23833,.T.); +#23848=EDGE_CURVE('',#11702,#11790,#9506,.T.); +#23853=ADVANCED_FACE('',(#23852),#23845,.T.); +#23862=EDGE_CURVE('',#11701,#11788,#9510,.T.); +#23866=ADVANCED_FACE('',(#23865),#23858,.T.); +#23878=ADVANCED_FACE('',(#23877),#23871,.T.); +#23886=EDGE_CURVE('',#11706,#11794,#9514,.T.); +#23891=ADVANCED_FACE('',(#23890),#23883,.T.); +#23900=EDGE_CURVE('',#11705,#11792,#9518,.T.); +#23904=ADVANCED_FACE('',(#23903),#23896,.T.); +#23916=ADVANCED_FACE('',(#23915),#23909,.T.); +#23924=EDGE_CURVE('',#11714,#11802,#9522,.T.); +#23929=ADVANCED_FACE('',(#23928),#23921,.T.); +#23938=EDGE_CURVE('',#11713,#11800,#9526,.T.); +#23942=ADVANCED_FACE('',(#23941),#23934,.T.); +#23954=ADVANCED_FACE('',(#23953),#23947,.T.); +#23962=EDGE_CURVE('',#11710,#11798,#9530,.T.); +#23967=ADVANCED_FACE('',(#23966),#23959,.T.); +#23976=EDGE_CURVE('',#11709,#11796,#9534,.T.); +#23980=ADVANCED_FACE('',(#23979),#23972,.T.); +#23992=ADVANCED_FACE('',(#23991),#23985,.T.); +#24000=EDGE_CURVE('',#11718,#11806,#9538,.T.); +#24005=ADVANCED_FACE('',(#24004),#23997,.T.); +#24017=ADVANCED_FACE('',(#24016),#24010,.T.); +#24026=EDGE_CURVE('',#10406,#10010,#9546,.T.); +#24030=ADVANCED_FACE('',(#24029),#24022,.F.); +#24037=EDGE_CURVE('',#10349,#10252,#9554,.T.); +#24040=EDGE_CURVE('',#10350,#10255,#9562,.T.); +#24043=EDGE_CURVE('',#11682,#10256,#9570,.T.); +#24048=EDGE_CURVE('',#10402,#10021,#9578,.T.); +#24051=EDGE_CURVE('',#10346,#10251,#9586,.T.); +#24055=ADVANCED_FACE('',(#24054),#24035,.T.); +#24063=EDGE_CURVE('',#11674,#11690,#9590,.T.); +#24068=ADVANCED_FACE('',(#24067),#24060,.T.); +#24077=EDGE_CURVE('',#11673,#11688,#9594,.T.); +#24081=ADVANCED_FACE('',(#24080),#24073,.T.); +#24093=ADVANCED_FACE('',(#24092),#24086,.T.); +#24101=EDGE_CURVE('',#11677,#11692,#9598,.T.); +#24106=ADVANCED_FACE('',(#24105),#24098,.T.); +#24113=EDGE_CURVE('',#11678,#11694,#9602,.T.); +#24119=ADVANCED_FACE('',(#24118),#24111,.T.); +#24131=ADVANCED_FACE('',(#24130),#24124,.T.); +#24140=EDGE_CURVE('',#10398,#10022,#9606,.T.); +#24144=ADVANCED_FACE('',(#24143),#24136,.F.); +#24151=EDGE_CURVE('',#10373,#10374,#9610,.T.); +#24154=EDGE_CURVE('',#10390,#10235,#9618,.T.); +#24157=EDGE_CURVE('',#10333,#10236,#9626,.T.); +#24160=EDGE_CURVE('',#10334,#10239,#9634,.T.); +#24163=EDGE_CURVE('',#10337,#10240,#9642,.T.); +#24166=EDGE_CURVE('',#10338,#10243,#9650,.T.); +#24169=EDGE_CURVE('',#10341,#10244,#9658,.T.); +#24172=EDGE_CURVE('',#10342,#10247,#9666,.T.); +#24175=EDGE_CURVE('',#10344,#10248,#9674,.T.); +#24180=EDGE_CURVE('',#10397,#10017,#9682,.T.); +#24183=EDGE_CURVE('',#10306,#10207,#9690,.T.); +#24186=EDGE_CURVE('',#10309,#10208,#9698,.T.); +#24189=EDGE_CURVE('',#10310,#10211,#9706,.T.); +#24192=EDGE_CURVE('',#10313,#10212,#9714,.T.); +#24195=EDGE_CURVE('',#10314,#10215,#9722,.T.); +#24198=EDGE_CURVE('',#10317,#10216,#9730,.T.); +#24201=EDGE_CURVE('',#10318,#10219,#9738,.T.); +#24204=EDGE_CURVE('',#10321,#10220,#9746,.T.); +#24207=EDGE_CURVE('',#10322,#10223,#9754,.T.); +#24210=EDGE_CURVE('',#10325,#10224,#9762,.T.); +#24213=EDGE_CURVE('',#10326,#10227,#9770,.T.); +#24216=EDGE_CURVE('',#10329,#10228,#9778,.T.); +#24219=EDGE_CURVE('',#10330,#10231,#9786,.T.); +#24222=EDGE_CURVE('',#10388,#10232,#9794,.T.); +#24225=EDGE_CURVE('',#10369,#10370,#9802,.T.); +#24229=ADVANCED_FACE('',(#24228),#24149,.T.); +#24237=EDGE_CURVE('',#10358,#10382,#9806,.T.); +#24242=ADVANCED_FACE('',(#24241),#24234,.T.); +#24251=EDGE_CURVE('',#10357,#10380,#9810,.T.); +#24255=ADVANCED_FACE('',(#24254),#24247,.T.); +#24267=ADVANCED_FACE('',(#24266),#24260,.T.); +#24275=EDGE_CURVE('',#11733,#11821,#9814,.T.); +#24280=ADVANCED_FACE('',(#24279),#24272,.T.); +#24287=EDGE_CURVE('',#11734,#11822,#9818,.T.); +#24293=ADVANCED_FACE('',(#24292),#24285,.T.); +#24305=ADVANCED_FACE('',(#24304),#24298,.T.); +#24313=EDGE_CURVE('',#11725,#11813,#9822,.T.); +#24318=ADVANCED_FACE('',(#24317),#24310,.T.); +#24325=EDGE_CURVE('',#11726,#11814,#9826,.T.); +#24331=ADVANCED_FACE('',(#24330),#24323,.T.); +#24343=ADVANCED_FACE('',(#24342),#24336,.T.); +#24351=EDGE_CURVE('',#11729,#11817,#9830,.T.); +#24356=ADVANCED_FACE('',(#24355),#24348,.T.); +#24363=EDGE_CURVE('',#11730,#11818,#9834,.T.); +#24369=ADVANCED_FACE('',(#24368),#24361,.T.); +#24381=ADVANCED_FACE('',(#24380),#24374,.T.); +#24389=EDGE_CURVE('',#11721,#11809,#9838,.T.); +#24394=ADVANCED_FACE('',(#24393),#24386,.T.); +#24401=EDGE_CURVE('',#11722,#11810,#9842,.T.); +#24407=ADVANCED_FACE('',(#24406),#24399,.T.); +#24419=ADVANCED_FACE('',(#24418),#24412,.T.); +#24428=EDGE_CURVE('',#10422,#10018,#9846,.T.); +#24432=ADVANCED_FACE('',(#24431),#24424,.F.); +#24439=EDGE_CURVE('',#10204,#10198,#9854,.T.); +#24442=EDGE_CURVE('',#10304,#10201,#9862,.T.); +#24445=EDGE_CURVE('',#11680,#10202,#9870,.T.); +#24450=EDGE_CURVE('',#10418,#10013,#9878,.T.); +#24453=EDGE_CURVE('',#10259,#10197,#9886,.T.); +#24457=ADVANCED_FACE('',(#24456),#24437,.T.); +#24465=EDGE_CURVE('',#10150,#10262,#9890,.T.); +#24470=ADVANCED_FACE('',(#24469),#24462,.T.); +#24479=EDGE_CURVE('',#10149,#10260,#9894,.T.); +#24483=ADVANCED_FACE('',(#24482),#24475,.T.); +#24495=ADVANCED_FACE('',(#24494),#24488,.T.); +#24503=EDGE_CURVE('',#11669,#11684,#9898,.T.); +#24508=ADVANCED_FACE('',(#24507),#24500,.T.); +#24515=EDGE_CURVE('',#11670,#11686,#9902,.T.); +#24521=ADVANCED_FACE('',(#24520),#24513,.T.); +#24533=ADVANCED_FACE('',(#24532),#24526,.T.); +#24545=ADVANCED_FACE('',(#24544),#24538,.F.); +#24553=EDGE_CURVE('',#11761,#11849,#9910,.T.); +#24558=ADVANCED_FACE('',(#24557),#24550,.T.); +#24565=EDGE_CURVE('',#11762,#11850,#9914,.T.); +#24571=ADVANCED_FACE('',(#24570),#24563,.T.); +#24583=ADVANCED_FACE('',(#24582),#24576,.T.); +#24591=EDGE_CURVE('',#11769,#11857,#9918,.T.); +#24596=ADVANCED_FACE('',(#24595),#24588,.T.); +#24603=EDGE_CURVE('',#11770,#11858,#9922,.T.); +#24609=ADVANCED_FACE('',(#24608),#24601,.T.); +#24621=ADVANCED_FACE('',(#24620),#24614,.T.); +#24629=EDGE_CURVE('',#11765,#11853,#9926,.T.); +#24634=ADVANCED_FACE('',(#24633),#24626,.T.); +#24641=EDGE_CURVE('',#11766,#11854,#9930,.T.); +#24647=ADVANCED_FACE('',(#24646),#24639,.T.); +#24659=ADVANCED_FACE('',(#24658),#24652,.T.); +#24667=EDGE_CURVE('',#11773,#11861,#9934,.T.); +#24672=ADVANCED_FACE('',(#24671),#24664,.T.); +#24679=EDGE_CURVE('',#11774,#11862,#9938,.T.); +#24685=ADVANCED_FACE('',(#24684),#24677,.T.); +#24697=ADVANCED_FACE('',(#24696),#24690,.T.); +#24705=EDGE_CURVE('',#11781,#11868,#9942,.T.); +#24710=ADVANCED_FACE('',(#24709),#24702,.T.); +#24717=EDGE_CURVE('',#11782,#11870,#9946,.T.); +#24723=ADVANCED_FACE('',(#24722),#24715,.T.); +#24735=ADVANCED_FACE('',(#24734),#24728,.T.); +#24743=EDGE_CURVE('',#11777,#11865,#9950,.T.); +#24748=ADVANCED_FACE('',(#24747),#24740,.T.); +#24755=EDGE_CURVE('',#11778,#11866,#9954,.T.); +#24761=ADVANCED_FACE('',(#24760),#24753,.T.); +#24773=ADVANCED_FACE('',(#24772),#24766,.T.); +#24781=EDGE_CURVE('',#11737,#11825,#9958,.T.); +#24786=ADVANCED_FACE('',(#24785),#24778,.T.); +#24793=EDGE_CURVE('',#11738,#11826,#9962,.T.); +#24799=ADVANCED_FACE('',(#24798),#24791,.T.); +#24811=ADVANCED_FACE('',(#24810),#24804,.T.); +#24819=EDGE_CURVE('',#11758,#11846,#9966,.T.); +#24824=ADVANCED_FACE('',(#24823),#24816,.T.); +#24833=EDGE_CURVE('',#11757,#11844,#9970,.T.); +#24837=ADVANCED_FACE('',(#24836),#24829,.T.); +#24849=ADVANCED_FACE('',(#24848),#24842,.T.); +#24857=EDGE_CURVE('',#11750,#11838,#9974,.T.); +#24862=ADVANCED_FACE('',(#24861),#24854,.T.); +#24871=EDGE_CURVE('',#11749,#11836,#9978,.T.); +#24875=ADVANCED_FACE('',(#24874),#24867,.T.); +#24887=ADVANCED_FACE('',(#24886),#24880,.T.); +#24895=EDGE_CURVE('',#11754,#11842,#9982,.T.); +#24900=ADVANCED_FACE('',(#24899),#24892,.T.); +#24909=EDGE_CURVE('',#11753,#11840,#9986,.T.); +#24913=ADVANCED_FACE('',(#24912),#24905,.T.); +#24925=ADVANCED_FACE('',(#24924),#24918,.T.); +#24933=EDGE_CURVE('',#11746,#11834,#9990,.T.); +#24938=ADVANCED_FACE('',(#24937),#24930,.T.); +#24947=EDGE_CURVE('',#11745,#11832,#9994,.T.); +#24951=ADVANCED_FACE('',(#24950),#24943,.T.); +#24963=ADVANCED_FACE('',(#24962),#24956,.T.); +#24971=EDGE_CURVE('',#11742,#11830,#9998,.T.); +#24976=ADVANCED_FACE('',(#24975),#24968,.T.); +#24985=EDGE_CURVE('',#11741,#11828,#10002,.T.); +#24989=ADVANCED_FACE('',(#24988),#24981,.T.); +#25001=ADVANCED_FACE('',(#25000),#24994,.T.); +#25004=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#25005=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#25008=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#25010=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( +#25009))GLOBAL_UNIT_ASSIGNED_CONTEXT((#25004,#25007,#25008))REPRESENTATION_CONTEXT('ID1','3')); +#25011=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#25003),#25010); +#25018=PRODUCT_DEFINITION('part definition','',#25017,#25014); +#25019=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR C-1-776163-1.',#25018); +#25020=SHAPE_ASPECT('','solid data associated with C-1-776163-1',#25019,.F.); +#25021=PROPERTY_DEFINITION('', +'shape for solid data with which properties are associated',#25020); +#25022=SHAPE_REPRESENTATION('',(#25003),#25010); +#25023=SHAPE_DEFINITION_REPRESENTATION(#25021,#25022); +#25024=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#25028=PROPERTY_DEFINITION('geometric validation property', +'area of C-1-776163-1',#25020); +#25029=REPRESENTATION('surface area',(#25027),#25010); +#25030=PROPERTY_DEFINITION_REPRESENTATION(#25028,#25029); +#25031=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#25035=PROPERTY_DEFINITION('geometric validation property', +'volume of C-1-776163-1',#25020); +#25036=REPRESENTATION('volume',(#25034),#25010); +#25037=PROPERTY_DEFINITION_REPRESENTATION(#25035,#25036); +#25039=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-1-776163-1',#25020); +#25040=REPRESENTATION('centroid',(#25038),#25010); +#25041=PROPERTY_DEFINITION_REPRESENTATION(#25039,#25040); +#25042=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#25046=PROPERTY_DEFINITION('geometric validation property', +'area of C-1-776163-1',#25019); +#25047=REPRESENTATION('surface area',(#25045),#25010); +#25048=PROPERTY_DEFINITION_REPRESENTATION(#25046,#25047); +#25049=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#25053=PROPERTY_DEFINITION('geometric validation property', +'volume of C-1-776163-1',#25019); +#25054=REPRESENTATION('volume',(#25052),#25010); +#25055=PROPERTY_DEFINITION_REPRESENTATION(#25053,#25054); +#25057=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-1-776163-1',#25019); +#25058=REPRESENTATION('centroid',(#25056),#25010); +#25059=PROPERTY_DEFINITION_REPRESENTATION(#25057,#25058); +#25060=SHAPE_DEFINITION_REPRESENTATION(#25019,#25011); +ENDSEC; +END-ISO-10303-21; diff --git a/lib/c-1-776163-2-s-3d.stp b/lib/c-1-776163-2-s-3d.stp new file mode 100644 index 0000000..cf87e17 --- /dev/null +++ b/lib/c-1-776163-2-s-3d.stp @@ -0,0 +1,26988 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION((''),'2;1'); +FILE_NAME('C-1-776163-2','2018-01-31T',('workeradm'),('TE Connectivity Ltd.'), +'CREO PARAMETRIC BY PTC INC, 2016050','CREO PARAMETRIC BY PTC INC, 2016050',''); +FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); +ENDSEC; +DATA; +#2=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3=VECTOR('',#2,1.853456053484E0); +#4=CARTESIAN_POINT('',(4.526728026742E0,1.149449725639E1,0.E0)); +#5=LINE('',#4,#3); +#6=DIRECTION('',(-8.715574274766E-2,9.961946980917E-1,0.E0)); +#7=VECTOR('',#6,1.952402043115E-1); +#8=CARTESIAN_POINT('',(4.543744331763E0,1.13E1,0.E0)); +#9=LINE('',#8,#7); +#10=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11=VECTOR('',#10,1.895625566824E1); +#12=CARTESIAN_POINT('',(2.35E1,1.13E1,0.E0)); +#13=LINE('',#12,#11); +#14=CARTESIAN_POINT('',(2.35E1,5.6E0,0.E0)); +#15=DIRECTION('',(0.E0,0.E0,1.E0)); +#16=DIRECTION('',(1.E0,0.E0,0.E0)); +#17=AXIS2_PLACEMENT_3D('',#14,#15,#16); +#19=DIRECTION('',(0.E0,1.E0,0.E0)); +#20=VECTOR('',#19,1.12E1); +#21=CARTESIAN_POINT('',(2.92E1,-5.6E0,0.E0)); +#22=LINE('',#21,#20); +#23=CARTESIAN_POINT('',(2.35E1,-5.6E0,0.E0)); +#24=DIRECTION('',(0.E0,0.E0,1.E0)); +#25=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26=AXIS2_PLACEMENT_3D('',#23,#24,#25); +#28=DIRECTION('',(1.E0,0.E0,0.E0)); +#29=VECTOR('',#28,4.7E1); +#30=CARTESIAN_POINT('',(-2.35E1,-1.13E1,0.E0)); +#31=LINE('',#30,#29); +#32=CARTESIAN_POINT('',(-2.35E1,-5.6E0,0.E0)); +#33=DIRECTION('',(0.E0,0.E0,1.E0)); +#34=DIRECTION('',(-1.E0,0.E0,0.E0)); +#35=AXIS2_PLACEMENT_3D('',#32,#33,#34); +#37=DIRECTION('',(0.E0,-1.E0,0.E0)); +#38=VECTOR('',#37,1.12E1); +#39=CARTESIAN_POINT('',(-2.92E1,5.6E0,0.E0)); +#40=LINE('',#39,#38); +#41=CARTESIAN_POINT('',(-2.35E1,5.6E0,0.E0)); +#42=DIRECTION('',(0.E0,0.E0,1.E0)); +#43=DIRECTION('',(0.E0,1.E0,0.E0)); +#44=AXIS2_PLACEMENT_3D('',#41,#42,#43); +#46=DIRECTION('',(-1.E0,0.E0,0.E0)); +#47=VECTOR('',#46,1.895625566824E1); +#48=CARTESIAN_POINT('',(-4.543744331763E0,1.13E1,0.E0)); +#49=LINE('',#48,#47); +#50=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,-2.420812228630E-14)); +#51=VECTOR('',#50,1.952402043115E-1); +#52=CARTESIAN_POINT('',(-4.543744331763E0,1.13E1,0.E0)); +#53=LINE('',#52,#51); +#54=DIRECTION('',(-1.E0,0.E0,0.E0)); +#55=VECTOR('',#54,1.853456053484E0); +#56=CARTESIAN_POINT('',(-2.673271973258E0,1.149449725639E1,0.E0)); +#57=LINE('',#56,#55); +#58=DIRECTION('',(-8.715574274766E-2,9.961946980917E-1,-2.420812228630E-14)); +#59=VECTOR('',#58,1.952402043115E-1); +#60=CARTESIAN_POINT('',(-2.656255668237E0,1.13E1,0.E0)); +#61=LINE('',#60,#59); +#62=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63=VECTOR('',#62,5.312511336474E0); +#64=CARTESIAN_POINT('',(2.656255668237E0,1.13E1,0.E0)); +#65=LINE('',#64,#63); +#66=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,4.857839607100E-14)); +#67=VECTOR('',#66,1.952402043115E-1); +#68=CARTESIAN_POINT('',(2.656255668237E0,1.13E1,0.E0)); +#69=LINE('',#68,#67); +#70=CARTESIAN_POINT('',(2.35E1,5.6E0,0.E0)); +#71=DIRECTION('',(0.E0,0.E0,1.E0)); +#72=DIRECTION('',(1.E0,-1.882920510294E-14,0.E0)); +#73=AXIS2_PLACEMENT_3D('',#70,#71,#72); +#75=DIRECTION('',(-2.588190451025E-1,1.630037500488E-14,-9.659258262891E-1)); +#76=VECTOR('',#75,2.070552360820E0); +#77=CARTESIAN_POINT('',(2.873589838486E1,5.6E0,0.E0)); +#78=LINE('',#77,#76); +#79=CARTESIAN_POINT('',(2.35E1,5.6E0,-2.E0)); +#80=DIRECTION('',(0.E0,0.E0,1.E0)); +#81=DIRECTION('',(1.E0,0.E0,0.E0)); +#82=AXIS2_PLACEMENT_3D('',#79,#80,#81); +#84=DIRECTION('',(0.E0,1.E0,0.E0)); +#85=VECTOR('',#84,1.12E1); +#86=CARTESIAN_POINT('',(2.873589838486E1,-5.6E0,0.E0)); +#87=LINE('',#86,#85); +#88=DIRECTION('',(-2.588190451025E-1,-3.603240790552E-14,-9.659258262891E-1)); +#89=VECTOR('',#88,2.070552360820E0); +#90=CARTESIAN_POINT('',(2.873589838486E1,-5.6E0,0.E0)); +#91=LINE('',#90,#89); +#92=DIRECTION('',(0.E0,1.E0,0.E0)); +#93=VECTOR('',#92,1.12E1); +#94=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E0)); +#95=LINE('',#94,#93); +#96=CARTESIAN_POINT('',(2.35E1,-5.6E0,0.E0)); +#97=DIRECTION('',(0.E0,0.E0,1.E0)); +#98=DIRECTION('',(-7.599535032537E-14,-1.E0,0.E0)); +#99=AXIS2_PLACEMENT_3D('',#96,#97,#98); +#101=DIRECTION('',(6.520150001951E-14,2.588190451025E-1,-9.659258262891E-1)); +#102=VECTOR('',#101,2.070552360820E0); +#103=CARTESIAN_POINT('',(2.35E1,-1.083589838486E1,0.E0)); +#104=LINE('',#103,#102); +#105=CARTESIAN_POINT('',(2.35E1,-5.6E0,-2.E0)); +#106=DIRECTION('',(0.E0,0.E0,1.E0)); +#107=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108=AXIS2_PLACEMENT_3D('',#105,#106,#107); +#110=DIRECTION('',(1.E0,0.E0,0.E0)); +#111=VECTOR('',#110,4.7E1); +#112=CARTESIAN_POINT('',(-2.35E1,-1.083589838486E1,0.E0)); +#113=LINE('',#112,#111); +#114=DIRECTION('',(1.E0,0.E0,0.E0)); +#115=VECTOR('',#114,4.7E1); +#116=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E0)); +#117=LINE('',#116,#115); +#118=CARTESIAN_POINT('',(-2.35E1,-5.6E0,0.E0)); +#119=DIRECTION('',(0.E0,0.E0,1.E0)); +#120=DIRECTION('',(-1.E0,1.882920510294E-14,0.E0)); +#121=AXIS2_PLACEMENT_3D('',#118,#119,#120); +#123=DIRECTION('',(2.588190451025E-1,-1.630037500488E-14,-9.659258262891E-1)); +#124=VECTOR('',#123,2.070552360820E0); +#125=CARTESIAN_POINT('',(-2.873589838486E1,-5.6E0,0.E0)); +#126=LINE('',#125,#124); +#127=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-2.E0)); +#128=DIRECTION('',(0.E0,0.E0,1.E0)); +#129=DIRECTION('',(-1.E0,0.E0,0.E0)); +#130=AXIS2_PLACEMENT_3D('',#127,#128,#129); +#132=DIRECTION('',(-6.863315791528E-14,2.588190451025E-1,-9.659258262891E-1)); +#133=VECTOR('',#132,2.070552360820E0); +#134=CARTESIAN_POINT('',(-2.35E1,-1.083589838486E1,0.E0)); +#135=LINE('',#134,#133); +#136=DIRECTION('',(0.E0,-1.E0,0.E0)); +#137=VECTOR('',#136,1.12E1); +#138=CARTESIAN_POINT('',(-2.873589838486E1,5.6E0,0.E0)); +#139=LINE('',#138,#137); +#140=DIRECTION('',(2.588190451025E-1,3.174283553582E-14,-9.659258262891E-1)); +#141=VECTOR('',#140,2.070552360820E0); +#142=CARTESIAN_POINT('',(-2.873589838486E1,5.6E0,0.E0)); +#143=LINE('',#142,#141); +#144=DIRECTION('',(0.E0,-1.E0,0.E0)); +#145=VECTOR('',#144,1.12E1); +#146=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E0)); +#147=LINE('',#146,#145); +#148=CARTESIAN_POINT('',(-2.35E1,5.6E0,0.E0)); +#149=DIRECTION('',(0.E0,0.E0,1.E0)); +#150=DIRECTION('',(7.599535032537E-14,1.E0,0.E0)); +#151=AXIS2_PLACEMENT_3D('',#148,#149,#150); +#153=DIRECTION('',(-6.520150001951E-14,-2.588190451025E-1,-9.659258262891E-1)); +#154=VECTOR('',#153,2.070552360820E0); +#155=CARTESIAN_POINT('',(-2.35E1,1.083589838486E1,0.E0)); +#156=LINE('',#155,#154); +#157=CARTESIAN_POINT('',(-2.35E1,5.6E0,-2.E0)); +#158=DIRECTION('',(0.E0,0.E0,1.E0)); +#159=DIRECTION('',(0.E0,1.E0,0.E0)); +#160=AXIS2_PLACEMENT_3D('',#157,#158,#159); +#162=DIRECTION('',(-1.E0,0.E0,0.E0)); +#163=VECTOR('',#162,4.7E1); +#164=CARTESIAN_POINT('',(2.35E1,1.083589838486E1,0.E0)); +#165=LINE('',#164,#163); +#166=DIRECTION('',(5.490652633222E-14,-2.588190451025E-1,-9.659258262891E-1)); +#167=VECTOR('',#166,2.070552360820E0); +#168=CARTESIAN_POINT('',(2.35E1,1.083589838486E1,0.E0)); +#169=LINE('',#168,#167); +#170=DIRECTION('',(-1.E0,0.E0,0.E0)); +#171=VECTOR('',#170,4.7E1); +#172=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E0)); +#173=LINE('',#172,#171); +#174=DIRECTION('',(0.E0,0.E0,1.E0)); +#175=VECTOR('',#174,1.E1); +#176=CARTESIAN_POINT('',(1.075E0,1.03E1,-2.E1)); +#177=LINE('',#176,#175); +#178=DIRECTION('',(-1.E0,0.E0,0.E0)); +#179=VECTOR('',#178,2.325E0); +#180=CARTESIAN_POINT('',(1.075E0,1.03E1,-2.E1)); +#181=LINE('',#180,#179); +#182=DIRECTION('',(0.E0,0.E0,1.E0)); +#183=VECTOR('',#182,1.E1); +#184=CARTESIAN_POINT('',(-1.25E0,1.03E1,-2.E1)); +#185=LINE('',#184,#183); +#186=DIRECTION('',(-1.E0,0.E0,0.E0)); +#187=VECTOR('',#186,1.E0); +#188=CARTESIAN_POINT('',(-1.25E0,1.03E1,-1.E1)); +#189=LINE('',#188,#187); +#190=DIRECTION('',(0.E0,0.E0,1.E0)); +#191=VECTOR('',#190,1.E1); +#192=CARTESIAN_POINT('',(-2.25E0,1.03E1,-2.E1)); +#193=LINE('',#192,#191); +#194=DIRECTION('',(-1.E0,0.E0,0.E0)); +#195=VECTOR('',#194,2.5E0); +#196=CARTESIAN_POINT('',(-2.25E0,1.03E1,-2.E1)); +#197=LINE('',#196,#195); +#198=DIRECTION('',(0.E0,0.E0,1.E0)); +#199=VECTOR('',#198,1.E1); +#200=CARTESIAN_POINT('',(-4.75E0,1.03E1,-2.E1)); +#201=LINE('',#200,#199); +#202=DIRECTION('',(-1.E0,0.E0,0.E0)); +#203=VECTOR('',#202,1.E0); +#204=CARTESIAN_POINT('',(-4.75E0,1.03E1,-1.E1)); +#205=LINE('',#204,#203); +#206=DIRECTION('',(0.E0,0.E0,1.E0)); +#207=VECTOR('',#206,1.E1); +#208=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#209=LINE('',#208,#207); +#210=DIRECTION('',(-1.E0,0.E0,0.E0)); +#211=VECTOR('',#210,2.5E0); +#212=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#213=LINE('',#212,#211); +#214=DIRECTION('',(0.E0,0.E0,1.E0)); +#215=VECTOR('',#214,1.E1); +#216=CARTESIAN_POINT('',(-8.25E0,1.03E1,-2.E1)); +#217=LINE('',#216,#215); +#218=DIRECTION('',(-1.E0,0.E0,0.E0)); +#219=VECTOR('',#218,1.E0); +#220=CARTESIAN_POINT('',(-8.25E0,1.03E1,-1.E1)); +#221=LINE('',#220,#219); +#222=DIRECTION('',(0.E0,0.E0,1.E0)); +#223=VECTOR('',#222,1.E1); +#224=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#225=LINE('',#224,#223); +#226=DIRECTION('',(-1.E0,0.E0,0.E0)); +#227=VECTOR('',#226,2.5E0); +#228=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#229=LINE('',#228,#227); +#230=DIRECTION('',(0.E0,0.E0,1.E0)); +#231=VECTOR('',#230,1.E1); +#232=CARTESIAN_POINT('',(-1.175E1,1.03E1,-2.E1)); +#233=LINE('',#232,#231); +#234=DIRECTION('',(-1.E0,0.E0,0.E0)); +#235=VECTOR('',#234,1.E0); +#236=CARTESIAN_POINT('',(-1.175E1,1.03E1,-1.E1)); +#237=LINE('',#236,#235); +#238=DIRECTION('',(0.E0,0.E0,1.E0)); +#239=VECTOR('',#238,1.E1); +#240=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#241=LINE('',#240,#239); +#242=DIRECTION('',(-1.E0,0.E0,0.E0)); +#243=VECTOR('',#242,2.5E0); +#244=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#245=LINE('',#244,#243); +#246=DIRECTION('',(0.E0,0.E0,1.E0)); +#247=VECTOR('',#246,1.E1); +#248=CARTESIAN_POINT('',(-1.525E1,1.03E1,-2.E1)); +#249=LINE('',#248,#247); +#250=DIRECTION('',(-1.E0,0.E0,0.E0)); +#251=VECTOR('',#250,1.E0); +#252=CARTESIAN_POINT('',(-1.525E1,1.03E1,-1.E1)); +#253=LINE('',#252,#251); +#254=DIRECTION('',(0.E0,0.E0,1.E0)); +#255=VECTOR('',#254,1.E1); +#256=CARTESIAN_POINT('',(-1.625E1,1.03E1,-2.E1)); +#257=LINE('',#256,#255); +#258=DIRECTION('',(-1.E0,0.E0,0.E0)); +#259=VECTOR('',#258,2.5E0); +#260=CARTESIAN_POINT('',(-1.625E1,1.03E1,-2.E1)); +#261=LINE('',#260,#259); +#262=DIRECTION('',(0.E0,0.E0,1.E0)); +#263=VECTOR('',#262,1.E1); +#264=CARTESIAN_POINT('',(-1.875E1,1.03E1,-2.E1)); +#265=LINE('',#264,#263); +#266=DIRECTION('',(-1.E0,0.E0,0.E0)); +#267=VECTOR('',#266,1.E0); +#268=CARTESIAN_POINT('',(-1.875E1,1.03E1,-1.E1)); +#269=LINE('',#268,#267); +#270=DIRECTION('',(0.E0,0.E0,1.E0)); +#271=VECTOR('',#270,1.E1); +#272=CARTESIAN_POINT('',(-1.975E1,1.03E1,-2.E1)); +#273=LINE('',#272,#271); +#274=DIRECTION('',(-1.E0,0.E0,0.E0)); +#275=VECTOR('',#274,3.75E0); +#276=CARTESIAN_POINT('',(-1.975E1,1.03E1,-2.E1)); +#277=LINE('',#276,#275); +#278=DIRECTION('',(0.E0,0.E0,-1.E0)); +#279=VECTOR('',#278,1.8E1); +#280=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E0)); +#281=LINE('',#280,#279); +#282=DIRECTION('',(-1.E0,0.E0,0.E0)); +#283=VECTOR('',#282,3.75E0); +#284=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E1)); +#285=LINE('',#284,#283); +#286=DIRECTION('',(0.E0,0.E0,1.E0)); +#287=VECTOR('',#286,1.E1); +#288=CARTESIAN_POINT('',(1.975E1,1.03E1,-2.E1)); +#289=LINE('',#288,#287); +#290=DIRECTION('',(-1.E0,0.E0,0.E0)); +#291=VECTOR('',#290,1.E0); +#292=CARTESIAN_POINT('',(1.975E1,1.03E1,-1.E1)); +#293=LINE('',#292,#291); +#294=DIRECTION('',(0.E0,0.E0,1.E0)); +#295=VECTOR('',#294,1.E1); +#296=CARTESIAN_POINT('',(1.875E1,1.03E1,-2.E1)); +#297=LINE('',#296,#295); +#298=DIRECTION('',(-1.E0,0.E0,0.E0)); +#299=VECTOR('',#298,2.5E0); +#300=CARTESIAN_POINT('',(1.875E1,1.03E1,-2.E1)); +#301=LINE('',#300,#299); +#302=DIRECTION('',(0.E0,0.E0,1.E0)); +#303=VECTOR('',#302,1.E1); +#304=CARTESIAN_POINT('',(1.625E1,1.03E1,-2.E1)); +#305=LINE('',#304,#303); +#306=DIRECTION('',(-1.E0,0.E0,0.E0)); +#307=VECTOR('',#306,1.E0); +#308=CARTESIAN_POINT('',(1.625E1,1.03E1,-1.E1)); +#309=LINE('',#308,#307); +#310=DIRECTION('',(0.E0,0.E0,1.E0)); +#311=VECTOR('',#310,1.E1); +#312=CARTESIAN_POINT('',(1.525E1,1.03E1,-2.E1)); +#313=LINE('',#312,#311); +#314=DIRECTION('',(-1.E0,0.E0,0.E0)); +#315=VECTOR('',#314,2.5E0); +#316=CARTESIAN_POINT('',(1.525E1,1.03E1,-2.E1)); +#317=LINE('',#316,#315); +#318=DIRECTION('',(0.E0,0.E0,1.E0)); +#319=VECTOR('',#318,1.E1); +#320=CARTESIAN_POINT('',(1.275E1,1.03E1,-2.E1)); +#321=LINE('',#320,#319); +#322=DIRECTION('',(-1.E0,0.E0,0.E0)); +#323=VECTOR('',#322,1.E0); +#324=CARTESIAN_POINT('',(1.275E1,1.03E1,-1.E1)); +#325=LINE('',#324,#323); +#326=DIRECTION('',(0.E0,0.E0,1.E0)); +#327=VECTOR('',#326,1.E1); +#328=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#329=LINE('',#328,#327); +#330=DIRECTION('',(-1.E0,0.E0,0.E0)); +#331=VECTOR('',#330,2.5E0); +#332=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#333=LINE('',#332,#331); +#334=DIRECTION('',(0.E0,0.E0,1.E0)); +#335=VECTOR('',#334,1.E1); +#336=CARTESIAN_POINT('',(9.25E0,1.03E1,-2.E1)); +#337=LINE('',#336,#335); +#338=DIRECTION('',(-1.E0,0.E0,0.E0)); +#339=VECTOR('',#338,1.E0); +#340=CARTESIAN_POINT('',(9.25E0,1.03E1,-1.E1)); +#341=LINE('',#340,#339); +#342=DIRECTION('',(0.E0,0.E0,1.E0)); +#343=VECTOR('',#342,1.E1); +#344=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#345=LINE('',#344,#343); +#346=DIRECTION('',(-1.E0,0.E0,0.E0)); +#347=VECTOR('',#346,2.5E0); +#348=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#349=LINE('',#348,#347); +#350=DIRECTION('',(0.E0,0.E0,1.E0)); +#351=VECTOR('',#350,1.E1); +#352=CARTESIAN_POINT('',(5.75E0,1.03E1,-2.E1)); +#353=LINE('',#352,#351); +#354=DIRECTION('',(-1.E0,0.E0,0.E0)); +#355=VECTOR('',#354,1.E0); +#356=CARTESIAN_POINT('',(5.75E0,1.03E1,-1.E1)); +#357=LINE('',#356,#355); +#358=DIRECTION('',(0.E0,0.E0,1.E0)); +#359=VECTOR('',#358,1.E1); +#360=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#361=LINE('',#360,#359); +#362=DIRECTION('',(-1.E0,0.E0,0.E0)); +#363=VECTOR('',#362,2.325E0); +#364=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#365=LINE('',#364,#363); +#366=DIRECTION('',(0.E0,0.E0,1.E0)); +#367=VECTOR('',#366,1.E1); +#368=CARTESIAN_POINT('',(2.425E0,1.03E1,-2.E1)); +#369=LINE('',#368,#367); +#370=DIRECTION('',(0.E0,-1.E0,0.E0)); +#371=VECTOR('',#370,1.2E0); +#372=CARTESIAN_POINT('',(1.975E1,1.03E1,-1.E1)); +#373=LINE('',#372,#371); +#374=CARTESIAN_POINT('',(1.925E1,9.1E0,-1.E1)); +#375=DIRECTION('',(0.E0,0.E0,-1.E0)); +#376=DIRECTION('',(1.E0,0.E0,0.E0)); +#377=AXIS2_PLACEMENT_3D('',#374,#375,#376); +#379=DIRECTION('',(0.E0,1.E0,0.E0)); +#380=VECTOR('',#379,1.2E0); +#381=CARTESIAN_POINT('',(1.875E1,9.1E0,-1.E1)); +#382=LINE('',#381,#380); +#383=DIRECTION('',(0.E0,-1.E0,0.E0)); +#384=VECTOR('',#383,1.2E0); +#385=CARTESIAN_POINT('',(1.625E1,1.03E1,-1.E1)); +#386=LINE('',#385,#384); +#387=CARTESIAN_POINT('',(1.575E1,9.1E0,-1.E1)); +#388=DIRECTION('',(0.E0,0.E0,-1.E0)); +#389=DIRECTION('',(1.E0,0.E0,0.E0)); +#390=AXIS2_PLACEMENT_3D('',#387,#388,#389); +#392=DIRECTION('',(0.E0,1.E0,0.E0)); +#393=VECTOR('',#392,1.2E0); +#394=CARTESIAN_POINT('',(1.525E1,9.1E0,-1.E1)); +#395=LINE('',#394,#393); +#396=DIRECTION('',(0.E0,-1.E0,0.E0)); +#397=VECTOR('',#396,1.2E0); +#398=CARTESIAN_POINT('',(1.275E1,1.03E1,-1.E1)); +#399=LINE('',#398,#397); +#400=CARTESIAN_POINT('',(1.225E1,9.1E0,-1.E1)); +#401=DIRECTION('',(0.E0,0.E0,-1.E0)); +#402=DIRECTION('',(1.E0,2.238209617644E-13,0.E0)); +#403=AXIS2_PLACEMENT_3D('',#400,#401,#402); +#405=DIRECTION('',(0.E0,1.E0,0.E0)); +#406=VECTOR('',#405,1.2E0); +#407=CARTESIAN_POINT('',(1.175E1,9.1E0,-1.E1)); +#408=LINE('',#407,#406); +#409=DIRECTION('',(0.E0,-1.E0,0.E0)); +#410=VECTOR('',#409,1.2E0); +#411=CARTESIAN_POINT('',(9.25E0,1.03E1,-1.E1)); +#412=LINE('',#411,#410); +#413=CARTESIAN_POINT('',(8.75E0,9.1E0,-1.E1)); +#414=DIRECTION('',(0.E0,0.E0,-1.E0)); +#415=DIRECTION('',(1.E0,0.E0,0.E0)); +#416=AXIS2_PLACEMENT_3D('',#413,#414,#415); +#418=DIRECTION('',(0.E0,1.E0,0.E0)); +#419=VECTOR('',#418,1.2E0); +#420=CARTESIAN_POINT('',(8.25E0,9.1E0,-1.E1)); +#421=LINE('',#420,#419); +#422=DIRECTION('',(0.E0,-1.E0,0.E0)); +#423=VECTOR('',#422,1.2E0); +#424=CARTESIAN_POINT('',(5.75E0,1.03E1,-1.E1)); +#425=LINE('',#424,#423); +#426=CARTESIAN_POINT('',(5.25E0,9.1E0,-1.E1)); +#427=DIRECTION('',(0.E0,0.E0,-1.E0)); +#428=DIRECTION('',(1.E0,0.E0,0.E0)); +#429=AXIS2_PLACEMENT_3D('',#426,#427,#428); +#431=DIRECTION('',(0.E0,1.E0,0.E0)); +#432=VECTOR('',#431,1.2E0); +#433=CARTESIAN_POINT('',(4.75E0,9.1E0,-1.E1)); +#434=LINE('',#433,#432); +#435=DIRECTION('',(0.E0,-1.E0,0.E0)); +#436=VECTOR('',#435,1.2E0); +#437=CARTESIAN_POINT('',(-1.25E0,1.03E1,-1.E1)); +#438=LINE('',#437,#436); +#439=CARTESIAN_POINT('',(-1.75E0,9.1E0,-1.E1)); +#440=DIRECTION('',(0.E0,0.E0,-1.E0)); +#441=DIRECTION('',(1.E0,0.E0,0.E0)); +#442=AXIS2_PLACEMENT_3D('',#439,#440,#441); +#444=DIRECTION('',(0.E0,1.E0,0.E0)); +#445=VECTOR('',#444,1.2E0); +#446=CARTESIAN_POINT('',(-2.25E0,9.1E0,-1.E1)); +#447=LINE('',#446,#445); +#448=DIRECTION('',(0.E0,-1.E0,0.E0)); +#449=VECTOR('',#448,1.2E0); +#450=CARTESIAN_POINT('',(-4.75E0,1.03E1,-1.E1)); +#451=LINE('',#450,#449); +#452=CARTESIAN_POINT('',(-5.25E0,9.1E0,-1.E1)); +#453=DIRECTION('',(0.E0,0.E0,-1.E0)); +#454=DIRECTION('',(1.E0,0.E0,0.E0)); +#455=AXIS2_PLACEMENT_3D('',#452,#453,#454); +#457=DIRECTION('',(0.E0,1.E0,0.E0)); +#458=VECTOR('',#457,1.2E0); +#459=CARTESIAN_POINT('',(-5.75E0,9.1E0,-1.E1)); +#460=LINE('',#459,#458); +#461=DIRECTION('',(2.664535259100E-14,-1.E0,0.E0)); +#462=VECTOR('',#461,1.2E0); +#463=CARTESIAN_POINT('',(-8.25E0,1.03E1,-1.E1)); +#464=LINE('',#463,#462); +#465=CARTESIAN_POINT('',(-8.75E0,9.1E0,-1.E1)); +#466=DIRECTION('',(0.E0,0.E0,-1.E0)); +#467=DIRECTION('',(1.E0,0.E0,0.E0)); +#468=AXIS2_PLACEMENT_3D('',#465,#466,#467); +#470=DIRECTION('',(0.E0,1.E0,0.E0)); +#471=VECTOR('',#470,1.2E0); +#472=CARTESIAN_POINT('',(-9.25E0,9.1E0,-1.E1)); +#473=LINE('',#472,#471); +#474=DIRECTION('',(0.E0,-1.E0,0.E0)); +#475=VECTOR('',#474,1.2E0); +#476=CARTESIAN_POINT('',(-1.175E1,1.03E1,-1.E1)); +#477=LINE('',#476,#475); +#478=CARTESIAN_POINT('',(-1.225E1,9.1E0,-1.E1)); +#479=DIRECTION('',(0.E0,0.E0,-1.E0)); +#480=DIRECTION('',(1.E0,0.E0,0.E0)); +#481=AXIS2_PLACEMENT_3D('',#478,#479,#480); +#483=DIRECTION('',(0.E0,1.E0,0.E0)); +#484=VECTOR('',#483,1.2E0); +#485=CARTESIAN_POINT('',(-1.275E1,9.1E0,-1.E1)); +#486=LINE('',#485,#484); +#487=DIRECTION('',(0.E0,-1.E0,0.E0)); +#488=VECTOR('',#487,1.2E0); +#489=CARTESIAN_POINT('',(-1.525E1,1.03E1,-1.E1)); +#490=LINE('',#489,#488); +#491=CARTESIAN_POINT('',(-1.575E1,9.1E0,-1.E1)); +#492=DIRECTION('',(0.E0,0.E0,-1.E0)); +#493=DIRECTION('',(1.E0,-5.684341886081E-14,0.E0)); +#494=AXIS2_PLACEMENT_3D('',#491,#492,#493); +#496=DIRECTION('',(0.E0,1.E0,0.E0)); +#497=VECTOR('',#496,1.2E0); +#498=CARTESIAN_POINT('',(-1.625E1,9.1E0,-1.E1)); +#499=LINE('',#498,#497); +#500=DIRECTION('',(0.E0,-1.E0,0.E0)); +#501=VECTOR('',#500,1.2E0); +#502=CARTESIAN_POINT('',(-1.875E1,1.03E1,-1.E1)); +#503=LINE('',#502,#501); +#504=CARTESIAN_POINT('',(-1.925E1,9.1E0,-1.E1)); +#505=DIRECTION('',(0.E0,0.E0,-1.E0)); +#506=DIRECTION('',(1.E0,2.842170943040E-14,0.E0)); +#507=AXIS2_PLACEMENT_3D('',#504,#505,#506); +#509=DIRECTION('',(0.E0,1.E0,0.E0)); +#510=VECTOR('',#509,1.2E0); +#511=CARTESIAN_POINT('',(-1.975E1,9.1E0,-1.E1)); +#512=LINE('',#511,#510); +#513=DIRECTION('',(1.E0,0.E0,0.E0)); +#514=VECTOR('',#513,1.2E0); +#515=CARTESIAN_POINT('',(-2.82E1,5.2E0,-1.E1)); +#516=LINE('',#515,#514); +#517=CARTESIAN_POINT('',(-2.7E1,4.7E0,-1.E1)); +#518=DIRECTION('',(0.E0,0.E0,-1.E0)); +#519=DIRECTION('',(0.E0,1.E0,0.E0)); +#520=AXIS2_PLACEMENT_3D('',#517,#518,#519); +#522=DIRECTION('',(-1.E0,0.E0,0.E0)); +#523=VECTOR('',#522,1.2E0); +#524=CARTESIAN_POINT('',(-2.7E1,4.2E0,-1.E1)); +#525=LINE('',#524,#523); +#526=DIRECTION('',(1.E0,0.E0,0.E0)); +#527=VECTOR('',#526,1.2E0); +#528=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-1.E1)); +#529=LINE('',#528,#527); +#530=CARTESIAN_POINT('',(-2.7E1,-4.7E0,-1.E1)); +#531=DIRECTION('',(0.E0,0.E0,-1.E0)); +#532=DIRECTION('',(-9.237055564881E-14,1.E0,0.E0)); +#533=AXIS2_PLACEMENT_3D('',#530,#531,#532); +#535=DIRECTION('',(-1.E0,0.E0,0.E0)); +#536=VECTOR('',#535,1.2E0); +#537=CARTESIAN_POINT('',(-2.7E1,-5.2E0,-1.E1)); +#538=LINE('',#537,#536); +#539=DIRECTION('',(0.E0,1.E0,0.E0)); +#540=VECTOR('',#539,1.2E0); +#541=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-1.E1)); +#542=LINE('',#541,#540); +#543=CARTESIAN_POINT('',(-1.925E1,-9.1E0,-1.E1)); +#544=DIRECTION('',(0.E0,0.E0,-1.E0)); +#545=DIRECTION('',(-1.E0,0.E0,0.E0)); +#546=AXIS2_PLACEMENT_3D('',#543,#544,#545); +#548=DIRECTION('',(0.E0,-1.E0,0.E0)); +#549=VECTOR('',#548,1.2E0); +#550=CARTESIAN_POINT('',(-1.875E1,-9.1E0,-1.E1)); +#551=LINE('',#550,#549); +#552=DIRECTION('',(0.E0,1.E0,0.E0)); +#553=VECTOR('',#552,1.2E0); +#554=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-1.E1)); +#555=LINE('',#554,#553); +#556=CARTESIAN_POINT('',(-1.575E1,-9.1E0,-1.E1)); +#557=DIRECTION('',(0.E0,0.E0,-1.E0)); +#558=DIRECTION('',(-1.E0,2.842170943040E-14,0.E0)); +#559=AXIS2_PLACEMENT_3D('',#556,#557,#558); +#561=DIRECTION('',(0.E0,-1.E0,0.E0)); +#562=VECTOR('',#561,1.2E0); +#563=CARTESIAN_POINT('',(-1.525E1,-9.1E0,-1.E1)); +#564=LINE('',#563,#562); +#565=DIRECTION('',(0.E0,1.E0,0.E0)); +#566=VECTOR('',#565,1.2E0); +#567=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-1.E1)); +#568=LINE('',#567,#566); +#569=CARTESIAN_POINT('',(-1.225E1,-9.1E0,-1.E1)); +#570=DIRECTION('',(0.E0,0.E0,-1.E0)); +#571=DIRECTION('',(-1.E0,-1.136868377216E-13,0.E0)); +#572=AXIS2_PLACEMENT_3D('',#569,#570,#571); +#574=DIRECTION('',(0.E0,-1.E0,0.E0)); +#575=VECTOR('',#574,1.2E0); +#576=CARTESIAN_POINT('',(-1.175E1,-9.1E0,-1.E1)); +#577=LINE('',#576,#575); +#578=DIRECTION('',(0.E0,1.E0,0.E0)); +#579=VECTOR('',#578,1.2E0); +#580=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-1.E1)); +#581=LINE('',#580,#579); +#582=CARTESIAN_POINT('',(-8.75E0,-9.1E0,-1.E1)); +#583=DIRECTION('',(0.E0,0.E0,-1.E0)); +#584=DIRECTION('',(-1.E0,0.E0,0.E0)); +#585=AXIS2_PLACEMENT_3D('',#582,#583,#584); +#587=DIRECTION('',(1.628327102783E-14,-1.E0,0.E0)); +#588=VECTOR('',#587,1.2E0); +#589=CARTESIAN_POINT('',(-8.25E0,-9.1E0,-1.E1)); +#590=LINE('',#589,#588); +#591=DIRECTION('',(0.E0,1.E0,0.E0)); +#592=VECTOR('',#591,1.2E0); +#593=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-1.E1)); +#594=LINE('',#593,#592); +#595=CARTESIAN_POINT('',(-1.75E0,-9.1E0,-1.E1)); +#596=DIRECTION('',(0.E0,0.E0,-1.E0)); +#597=DIRECTION('',(-1.E0,-1.136868377216E-13,0.E0)); +#598=AXIS2_PLACEMENT_3D('',#595,#596,#597); +#600=DIRECTION('',(0.E0,-1.E0,0.E0)); +#601=VECTOR('',#600,1.2E0); +#602=CARTESIAN_POINT('',(-1.25E0,-9.1E0,-1.E1)); +#603=LINE('',#602,#601); +#604=DIRECTION('',(0.E0,1.E0,0.E0)); +#605=VECTOR('',#604,1.2E0); +#606=CARTESIAN_POINT('',(1.25E0,-1.03E1,-1.E1)); +#607=LINE('',#606,#605); +#608=CARTESIAN_POINT('',(1.75E0,-9.1E0,-1.E1)); +#609=DIRECTION('',(0.E0,0.E0,-1.E0)); +#610=DIRECTION('',(-1.E0,0.E0,0.E0)); +#611=AXIS2_PLACEMENT_3D('',#608,#609,#610); +#613=DIRECTION('',(0.E0,-1.E0,0.E0)); +#614=VECTOR('',#613,1.2E0); +#615=CARTESIAN_POINT('',(2.25E0,-9.1E0,-1.E1)); +#616=LINE('',#615,#614); +#617=DIRECTION('',(0.E0,1.E0,0.E0)); +#618=VECTOR('',#617,1.2E0); +#619=CARTESIAN_POINT('',(4.75E0,-1.03E1,-1.E1)); +#620=LINE('',#619,#618); +#621=CARTESIAN_POINT('',(5.25E0,-9.1E0,-1.E1)); +#622=DIRECTION('',(0.E0,0.E0,-1.E0)); +#623=DIRECTION('',(-1.E0,0.E0,0.E0)); +#624=AXIS2_PLACEMENT_3D('',#621,#622,#623); +#626=DIRECTION('',(0.E0,-1.E0,0.E0)); +#627=VECTOR('',#626,1.2E0); +#628=CARTESIAN_POINT('',(5.75E0,-9.1E0,-1.E1)); +#629=LINE('',#628,#627); +#630=DIRECTION('',(0.E0,1.E0,0.E0)); +#631=VECTOR('',#630,1.2E0); +#632=CARTESIAN_POINT('',(8.25E0,-1.03E1,-1.E1)); +#633=LINE('',#632,#631); +#634=CARTESIAN_POINT('',(8.75E0,-9.1E0,-1.E1)); +#635=DIRECTION('',(0.E0,0.E0,-1.E0)); +#636=DIRECTION('',(-1.E0,-1.136868377216E-13,0.E0)); +#637=AXIS2_PLACEMENT_3D('',#634,#635,#636); +#639=DIRECTION('',(0.E0,-1.E0,0.E0)); +#640=VECTOR('',#639,1.2E0); +#641=CARTESIAN_POINT('',(9.25E0,-9.1E0,-1.E1)); +#642=LINE('',#641,#640); +#643=DIRECTION('',(0.E0,1.E0,0.E0)); +#644=VECTOR('',#643,1.2E0); +#645=CARTESIAN_POINT('',(1.175E1,-1.03E1,-1.E1)); +#646=LINE('',#645,#644); +#647=CARTESIAN_POINT('',(1.225E1,-9.1E0,-1.E1)); +#648=DIRECTION('',(0.E0,0.E0,-1.E0)); +#649=DIRECTION('',(-1.E0,0.E0,0.E0)); +#650=AXIS2_PLACEMENT_3D('',#647,#648,#649); +#652=DIRECTION('',(0.E0,-1.E0,0.E0)); +#653=VECTOR('',#652,1.2E0); +#654=CARTESIAN_POINT('',(1.275E1,-9.1E0,-1.E1)); +#655=LINE('',#654,#653); +#656=DIRECTION('',(0.E0,1.E0,0.E0)); +#657=VECTOR('',#656,1.2E0); +#658=CARTESIAN_POINT('',(1.525E1,-1.03E1,-1.E1)); +#659=LINE('',#658,#657); +#660=CARTESIAN_POINT('',(1.575E1,-9.1E0,-1.E1)); +#661=DIRECTION('',(0.E0,0.E0,-1.E0)); +#662=DIRECTION('',(-1.E0,2.273736754432E-13,0.E0)); +#663=AXIS2_PLACEMENT_3D('',#660,#661,#662); +#665=DIRECTION('',(0.E0,-1.E0,0.E0)); +#666=VECTOR('',#665,1.2E0); +#667=CARTESIAN_POINT('',(1.625E1,-9.1E0,-1.E1)); +#668=LINE('',#667,#666); +#669=DIRECTION('',(0.E0,1.E0,0.E0)); +#670=VECTOR('',#669,1.2E0); +#671=CARTESIAN_POINT('',(1.875E1,-1.03E1,-1.E1)); +#672=LINE('',#671,#670); +#673=CARTESIAN_POINT('',(1.925E1,-9.1E0,-1.E1)); +#674=DIRECTION('',(0.E0,0.E0,-1.E0)); +#675=DIRECTION('',(-1.E0,4.547473508865E-13,0.E0)); +#676=AXIS2_PLACEMENT_3D('',#673,#674,#675); +#678=DIRECTION('',(0.E0,-1.E0,0.E0)); +#679=VECTOR('',#678,1.2E0); +#680=CARTESIAN_POINT('',(1.975E1,-9.1E0,-1.E1)); +#681=LINE('',#680,#679); +#682=DIRECTION('',(-1.E0,0.E0,0.E0)); +#683=VECTOR('',#682,1.2E0); +#684=CARTESIAN_POINT('',(2.82E1,-5.2E0,-1.E1)); +#685=LINE('',#684,#683); +#686=CARTESIAN_POINT('',(2.7E1,-4.7E0,-1.E1)); +#687=DIRECTION('',(0.E0,0.E0,-1.E0)); +#688=DIRECTION('',(-1.065814103640E-13,-1.E0,0.E0)); +#689=AXIS2_PLACEMENT_3D('',#686,#687,#688); +#691=DIRECTION('',(1.E0,0.E0,0.E0)); +#692=VECTOR('',#691,1.2E0); +#693=CARTESIAN_POINT('',(2.7E1,-4.2E0,-1.E1)); +#694=LINE('',#693,#692); +#695=DIRECTION('',(-1.E0,0.E0,0.E0)); +#696=VECTOR('',#695,1.2E0); +#697=CARTESIAN_POINT('',(2.82E1,4.2E0,-1.E1)); +#698=LINE('',#697,#696); +#699=CARTESIAN_POINT('',(2.7E1,4.7E0,-1.E1)); +#700=DIRECTION('',(0.E0,0.E0,-1.E0)); +#701=DIRECTION('',(0.E0,-1.E0,0.E0)); +#702=AXIS2_PLACEMENT_3D('',#699,#700,#701); +#704=DIRECTION('',(1.E0,0.E0,0.E0)); +#705=VECTOR('',#704,1.2E0); +#706=CARTESIAN_POINT('',(2.7E1,5.2E0,-1.E1)); +#707=LINE('',#706,#705); +#708=DIRECTION('',(1.E0,0.E0,0.E0)); +#709=VECTOR('',#708,1.35E0); +#710=CARTESIAN_POINT('',(1.075E0,1.03E1,-1.E1)); +#711=LINE('',#710,#709); +#712=DIRECTION('',(0.E0,1.E0,0.E0)); +#713=VECTOR('',#712,2.225E0); +#714=CARTESIAN_POINT('',(2.425E0,8.075E0,-1.E1)); +#715=LINE('',#714,#713); +#716=CARTESIAN_POINT('',(1.75E0,8.075E0,-1.E1)); +#717=DIRECTION('',(0.E0,0.E0,1.E0)); +#718=DIRECTION('',(-1.E0,0.E0,0.E0)); +#719=AXIS2_PLACEMENT_3D('',#716,#717,#718); +#721=DIRECTION('',(0.E0,-1.E0,0.E0)); +#722=VECTOR('',#721,2.225E0); +#723=CARTESIAN_POINT('',(1.075E0,1.03E1,-1.E1)); +#724=LINE('',#723,#722); +#725=DIRECTION('',(-1.E0,0.E0,0.E0)); +#726=VECTOR('',#725,1.35E0); +#727=CARTESIAN_POINT('',(-4.575E0,-1.03E1,-1.E1)); +#728=LINE('',#727,#726); +#729=DIRECTION('',(0.E0,-1.E0,0.E0)); +#730=VECTOR('',#729,2.225E0); +#731=CARTESIAN_POINT('',(-5.925E0,-8.075E0,-1.E1)); +#732=LINE('',#731,#730); +#733=CARTESIAN_POINT('',(-5.25E0,-8.075E0,-1.E1)); +#734=DIRECTION('',(0.E0,0.E0,1.E0)); +#735=DIRECTION('',(1.E0,0.E0,0.E0)); +#736=AXIS2_PLACEMENT_3D('',#733,#734,#735); +#738=DIRECTION('',(0.E0,1.E0,0.E0)); +#739=VECTOR('',#738,2.225E0); +#740=CARTESIAN_POINT('',(-4.575E0,-1.03E1,-1.E1)); +#741=LINE('',#740,#739); +#742=CARTESIAN_POINT('',(2.35E1,-5.6E0,-2.E1)); +#743=DIRECTION('',(0.E0,0.E0,-1.E0)); +#744=DIRECTION('',(6.021445372205E-1,-7.983870967742E-1,0.E0)); +#745=AXIS2_PLACEMENT_3D('',#742,#743,#744); +#747=DIRECTION('',(1.E0,0.E0,0.E0)); +#748=VECTOR('',#747,5.966703869233E0); +#749=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-2.E1)); +#750=LINE('',#749,#748); +#751=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.E1)); +#752=DIRECTION('',(0.E0,0.E0,1.E0)); +#753=DIRECTION('',(0.E0,-1.E0,0.E0)); +#754=AXIS2_PLACEMENT_3D('',#751,#752,#753); +#756=DIRECTION('',(0.E0,1.E0,0.E0)); +#757=VECTOR('',#756,4.690415759823E0); +#758=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-2.E1)); +#759=LINE('',#758,#757); +#760=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.E1)); +#761=DIRECTION('',(0.E0,0.E0,1.E0)); +#762=DIRECTION('',(6.923076923077E-1,7.216024245882E-1,0.E0)); +#763=AXIS2_PLACEMENT_3D('',#760,#761,#762); +#765=DIRECTION('',(-1.E0,0.E0,0.E0)); +#766=VECTOR('',#765,2.5E0); +#767=CARTESIAN_POINT('',(3.32E1,-4.05E0,-2.E1)); +#768=LINE('',#767,#766); +#769=CARTESIAN_POINT('',(3.07E1,-3.05E0,-2.E1)); +#770=DIRECTION('',(0.E0,0.E0,1.E0)); +#771=DIRECTION('',(-1.E0,0.E0,0.E0)); +#772=AXIS2_PLACEMENT_3D('',#769,#770,#771); +#774=CARTESIAN_POINT('',(2.35E1,5.6E0,-2.E1)); +#775=DIRECTION('',(0.E0,0.E0,-1.E0)); +#776=DIRECTION('',(9.912571127514E-1,1.319444444445E-1,0.E0)); +#777=AXIS2_PLACEMENT_3D('',#774,#775,#776); +#779=CARTESIAN_POINT('',(3.063705121181E1,6.55E0,-2.E1)); +#780=DIRECTION('',(0.E0,0.E0,1.E0)); +#781=DIRECTION('',(1.776356839400E-14,1.E0,0.E0)); +#782=AXIS2_PLACEMENT_3D('',#779,#780,#781); +#784=DIRECTION('',(1.E0,0.E0,0.E0)); +#785=VECTOR('',#784,1.062948788190E0); +#786=CARTESIAN_POINT('',(3.063705121181E1,7.55E0,-2.E1)); +#787=LINE('',#786,#785); +#788=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#789=DIRECTION('',(0.E0,0.E0,1.E0)); +#790=DIRECTION('',(0.E0,-1.E0,0.E0)); +#791=AXIS2_PLACEMENT_3D('',#788,#789,#790); +#793=DIRECTION('',(-1.E0,0.E0,0.E0)); +#794=VECTOR('',#793,4.690415759824E0); +#795=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-2.E1)); +#796=LINE('',#795,#794); +#797=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#798=DIRECTION('',(0.E0,0.E0,1.E0)); +#799=DIRECTION('',(-7.216024245883E-1,6.923076923076E-1,0.E0)); +#800=AXIS2_PLACEMENT_3D('',#797,#798,#799); +#802=CARTESIAN_POINT('',(2.795E1,1.28E1,-2.E1)); +#803=DIRECTION('',(0.E0,0.E0,1.E0)); +#804=DIRECTION('',(0.E0,-1.E0,0.E0)); +#805=AXIS2_PLACEMENT_3D('',#802,#803,#804); +#807=CARTESIAN_POINT('',(-2.795E1,1.28E1,-2.E1)); +#808=DIRECTION('',(0.E0,0.E0,1.E0)); +#809=DIRECTION('',(-8.823529411766E-1,-4.705882352938E-1,0.E0)); +#810=AXIS2_PLACEMENT_3D('',#807,#808,#809); +#812=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#813=DIRECTION('',(0.E0,0.E0,1.E0)); +#814=DIRECTION('',(8.823529411765E-1,4.705882352941E-1,0.E0)); +#815=AXIS2_PLACEMENT_3D('',#812,#813,#814); +#817=DIRECTION('',(-1.E0,0.E0,0.E0)); +#818=VECTOR('',#817,4.690415759824E0); +#819=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-2.E1)); +#820=LINE('',#819,#818); +#821=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#822=DIRECTION('',(0.E0,0.E0,1.E0)); +#823=DIRECTION('',(-7.216024245883E-1,6.923076923076E-1,0.E0)); +#824=AXIS2_PLACEMENT_3D('',#821,#822,#823); +#826=DIRECTION('',(1.E0,0.E0,0.E0)); +#827=VECTOR('',#826,1.062948788190E0); +#828=CARTESIAN_POINT('',(-3.17E1,7.55E0,-2.E1)); +#829=LINE('',#828,#827); +#830=CARTESIAN_POINT('',(-3.063705121181E1,6.55E0,-2.E1)); +#831=DIRECTION('',(0.E0,0.E0,1.E0)); +#832=DIRECTION('',(9.912571127514E-1,-1.319444444445E-1,0.E0)); +#833=AXIS2_PLACEMENT_3D('',#830,#831,#832); +#835=CARTESIAN_POINT('',(-2.35E1,5.6E0,-2.E1)); +#836=DIRECTION('',(0.E0,0.E0,-1.E0)); +#837=DIRECTION('',(-1.E0,-1.890960506458E-14,0.E0)); +#838=AXIS2_PLACEMENT_3D('',#835,#836,#837); +#840=CARTESIAN_POINT('',(-3.07E1,-3.05E0,-2.E1)); +#841=DIRECTION('',(0.E0,0.E0,1.E0)); +#842=DIRECTION('',(1.776356839400E-14,-1.E0,0.E0)); +#843=AXIS2_PLACEMENT_3D('',#840,#841,#842); +#845=DIRECTION('',(-1.E0,0.E0,0.E0)); +#846=VECTOR('',#845,2.5E0); +#847=CARTESIAN_POINT('',(-3.07E1,-4.05E0,-2.E1)); +#848=LINE('',#847,#846); +#849=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.E1)); +#850=DIRECTION('',(0.E0,0.E0,1.E0)); +#851=DIRECTION('',(0.E0,1.E0,0.E0)); +#852=AXIS2_PLACEMENT_3D('',#849,#850,#851); +#854=DIRECTION('',(0.E0,-1.E0,0.E0)); +#855=VECTOR('',#854,4.690415759823E0); +#856=CARTESIAN_POINT('',(-3.545E1,-4.954792120088E0,-2.E1)); +#857=LINE('',#856,#855); +#858=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.E1)); +#859=DIRECTION('',(0.E0,0.E0,1.E0)); +#860=DIRECTION('',(-6.923076923077E-1,-7.216024245882E-1,0.E0)); +#861=AXIS2_PLACEMENT_3D('',#858,#859,#860); +#863=DIRECTION('',(1.E0,0.E0,0.E0)); +#864=VECTOR('',#863,5.966703869233E0); +#865=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-2.E1)); +#866=LINE('',#865,#864); +#867=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-2.E1)); +#868=DIRECTION('',(0.E0,0.E0,-1.E0)); +#869=DIRECTION('',(2.865091676452E-14,-1.E0,0.E0)); +#870=AXIS2_PLACEMENT_3D('',#867,#868,#869); +#872=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#873=DIRECTION('',(0.E0,0.E0,1.E0)); +#874=DIRECTION('',(-1.E0,0.E0,0.E0)); +#875=AXIS2_PLACEMENT_3D('',#872,#873,#874); +#877=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#878=DIRECTION('',(0.E0,0.E0,1.E0)); +#879=DIRECTION('',(1.E0,0.E0,0.E0)); +#880=AXIS2_PLACEMENT_3D('',#877,#878,#879); +#882=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.E1)); +#883=DIRECTION('',(0.E0,0.E0,1.E0)); +#884=DIRECTION('',(-1.E0,0.E0,0.E0)); +#885=AXIS2_PLACEMENT_3D('',#882,#883,#884); +#887=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.E1)); +#888=DIRECTION('',(0.E0,0.E0,1.E0)); +#889=DIRECTION('',(1.E0,0.E0,0.E0)); +#890=AXIS2_PLACEMENT_3D('',#887,#888,#889); +#892=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.E1)); +#893=DIRECTION('',(0.E0,0.E0,1.E0)); +#894=DIRECTION('',(-1.E0,0.E0,0.E0)); +#895=AXIS2_PLACEMENT_3D('',#892,#893,#894); +#897=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.E1)); +#898=DIRECTION('',(0.E0,0.E0,1.E0)); +#899=DIRECTION('',(1.E0,0.E0,0.E0)); +#900=AXIS2_PLACEMENT_3D('',#897,#898,#899); +#902=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#903=DIRECTION('',(0.E0,0.E0,1.E0)); +#904=DIRECTION('',(-1.E0,0.E0,0.E0)); +#905=AXIS2_PLACEMENT_3D('',#902,#903,#904); +#907=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#908=DIRECTION('',(0.E0,0.E0,1.E0)); +#909=DIRECTION('',(1.E0,0.E0,0.E0)); +#910=AXIS2_PLACEMENT_3D('',#907,#908,#909); +#912=DIRECTION('',(1.E0,0.E0,0.E0)); +#913=VECTOR('',#912,2.903225806452E-1); +#914=CARTESIAN_POINT('',(-2.480967741935E1,3.2E0,-2.E1)); +#915=LINE('',#914,#913); +#916=DIRECTION('',(0.E0,1.E0,0.E0)); +#917=VECTOR('',#916,2.E0); +#918=CARTESIAN_POINT('',(-2.451935483871E1,3.2E0,-2.E1)); +#919=LINE('',#918,#917); +#920=DIRECTION('',(-1.E0,0.E0,0.E0)); +#921=VECTOR('',#920,2.903225806452E-1); +#922=CARTESIAN_POINT('',(-2.451935483871E1,5.2E0,-2.E1)); +#923=LINE('',#922,#921); +#924=DIRECTION('',(-6.979732812036E-1,-7.161238012564E-1,0.E0)); +#925=VECTOR('',#924,4.159508515061E-1); +#926=CARTESIAN_POINT('',(-2.480967741935E1,5.2E0,-2.E1)); +#927=LINE('',#926,#925); +#928=DIRECTION('',(0.E0,-1.E0,0.E0)); +#929=VECTOR('',#928,3.404256105423E-1); +#930=CARTESIAN_POINT('',(-2.51E1,4.902127695084E0,-2.E1)); +#931=LINE('',#930,#929); +#932=DIRECTION('',(6.979731379535E-1,7.161239408757E-1,0.E0)); +#933=VECTOR('',#932,4.159509368747E-1); +#934=CARTESIAN_POINT('',(-2.51E1,4.561702084541E0,-2.E1)); +#935=LINE('',#934,#933); +#936=DIRECTION('',(0.E0,-1.E0,0.E0)); +#937=VECTOR('',#936,1.659574508667E0); +#938=CARTESIAN_POINT('',(-2.480967741935E1,4.859574508667E0,-2.E1)); +#939=LINE('',#938,#937); +#940=DIRECTION('',(-5.131025932281E-1,-8.583272853770E-1,0.E0)); +#941=VECTOR('',#940,1.239422033934E0); +#942=CARTESIAN_POINT('',(-2.436404934028E1,-4.923404288292E0,-2.E1)); +#943=LINE('',#942,#941); +#944=DIRECTION('',(0.E0,-1.E0,0.E0)); +#945=VECTOR('',#944,2.127659618855E-1); +#946=CARTESIAN_POINT('',(-2.5E1,-5.987234038115E0,-2.E1)); +#947=LINE('',#946,#945); +#948=DIRECTION('',(1.E0,0.E0,0.E0)); +#949=VECTOR('',#948,8.176508760545E-1); +#950=CARTESIAN_POINT('',(-2.5E1,-6.2E0,-2.E1)); +#951=LINE('',#950,#949); +#952=DIRECTION('',(0.E0,1.E0,0.E0)); +#953=VECTOR('',#952,2.127659618855E-1); +#954=CARTESIAN_POINT('',(-2.418234912395E1,-6.2E0,-2.E1)); +#955=LINE('',#954,#953); +#956=DIRECTION('',(-1.E0,0.E0,0.E0)); +#957=VECTOR('',#956,6.359506759606E-1); +#958=CARTESIAN_POINT('',(-2.418234912395E1,-5.987234038115E0,-2.E1)); +#959=LINE('',#958,#957); +#960=DIRECTION('',(5.185937410258E-1,8.550207785597E-1,0.E0)); +#961=VECTOR('',#960,1.094909286473E0); +#962=CARTESIAN_POINT('',(-2.481829979991E1,-5.987234038115E0,-2.E1)); +#963=LINE('',#962,#961); +#964=DIRECTION('',(3.352390012686E-1,9.421331179979E-1,0.E0)); +#965=VECTOR('',#964,1.355005538083E-1); +#966=CARTESIAN_POINT('',(-2.425048669695E1,-5.051063847542E0,-2.E1)); +#967=LINE('',#966,#965); +#968=DIRECTION('',(1.322636764909E-1,9.912145680331E-1,0.E0)); +#969=VECTOR('',#968,1.717213922756E-1); +#970=CARTESIAN_POINT('',(-2.420506162662E1,-4.923404288292E0,-2.E1)); +#971=LINE('',#970,#969); +#972=DIRECTION('',(0.E0,1.E0,0.E0)); +#973=VECTOR('',#972,8.510649204254E-2); +#974=CARTESIAN_POINT('',(-2.418234912395E1,-4.753191542625E0,-2.E1)); +#975=LINE('',#974,#973); +#976=DIRECTION('',(-1.322636764909E-1,9.912145680331E-1,0.E0)); +#977=VECTOR('',#976,1.717213922756E-1); +#978=CARTESIAN_POINT('',(-2.418234912395E1,-4.668085050583E0,-2.E1)); +#979=LINE('',#978,#977); +#980=DIRECTION('',(-4.708707112585E-1,8.822022292416E-1,0.E0)); +#981=VECTOR('',#980,1.929407340229E-1); +#982=CARTESIAN_POINT('',(-2.420506162662E1,-4.497872304916E0,-2.E1)); +#983=LINE('',#982,#981); +#984=DIRECTION('',(-7.297999776124E-1,6.836607292195E-1,0.E0)); +#985=VECTOR('',#984,1.244862681091E-1); +#986=CARTESIAN_POINT('',(-2.429591176728E1,-4.327659559250E0,-2.E1)); +#987=LINE('',#986,#985); +#988=DIRECTION('',(-9.545453575187E-1,2.980656981935E-1,0.E0)); +#989=VECTOR('',#988,1.427644531878E-1); +#990=CARTESIAN_POINT('',(-2.438676184296E1,-4.242553186417E0,-2.E1)); +#991=LINE('',#990,#989); +#992=DIRECTION('',(-1.E0,0.E0,0.E0)); +#993=VECTOR('',#992,9.085010816957E-2); +#994=CARTESIAN_POINT('',(-2.452303698897E1,-4.2E0,-2.E1)); +#995=LINE('',#994,#993); +#996=DIRECTION('',(-9.659978342114E-1,-2.585501581878E-1,0.E0)); +#997=VECTOR('',#996,1.645838730670E-1); +#998=CARTESIAN_POINT('',(-2.461388709714E1,-4.2E0,-2.E1)); +#999=LINE('',#998,#997); +#1000=DIRECTION('',(-7.298000386063E-1,-6.836606641092E-1,0.E0)); +#1001=VECTOR('',#1000,1.244862799649E-1); +#1002=CARTESIAN_POINT('',(-2.477287476207E1,-4.242553186417E0,-2.E1)); +#1003=LINE('',#1002,#1001); +#1004=DIRECTION('',(-4.708705310514E-1,-8.822023254262E-1,0.E0)); +#1005=VECTOR('',#1004,1.929407129870E-1); +#1006=CARTESIAN_POINT('',(-2.486372485399E1,-4.327659559250E0,-2.E1)); +#1007=LINE('',#1006,#1005); +#1008=DIRECTION('',(-1.322638042936E-1,-9.912145509796E-1,0.E0)); +#1009=VECTOR('',#1008,1.717213952300E-1); +#1010=CARTESIAN_POINT('',(-2.495457494998E1,-4.497872304916E0,-2.E1)); +#1011=LINE('',#1010,#1009); +#1012=DIRECTION('',(1.E0,0.E0,0.E0)); +#1013=VECTOR('',#1012,1.135626209973E-1); +#1014=CARTESIAN_POINT('',(-2.497728747499E1,-4.668085050583E0,-2.E1)); +#1015=LINE('',#1014,#1013); +#1016=DIRECTION('',(3.352388948518E-1,9.421331558641E-1,0.E0)); +#1017=VECTOR('',#1016,1.355005483623E-1); +#1018=CARTESIAN_POINT('',(-2.486372485399E1,-4.668085050583E0,-2.E1)); +#1019=LINE('',#1018,#1017); +#1020=DIRECTION('',(6.249884924060E-1,7.806339631095E-1,0.E0)); +#1021=VECTOR('',#1020,1.090221241390E-1); +#1022=CARTESIAN_POINT('',(-2.481829979991E1,-4.540425491333E0,-2.E1)); +#1023=LINE('',#1022,#1021); +#1024=DIRECTION('',(9.364180635474E-1,3.508863209961E-1,0.E0)); +#1025=VECTOR('',#1024,1.212734263787E-1); +#1026=CARTESIAN_POINT('',(-2.475016222690E1,-4.455319118500E0,-2.E1)); +#1027=LINE('',#1026,#1025); +#1028=DIRECTION('',(1.E0,0.E0,0.E0)); +#1029=VECTOR('',#1028,9.085007567904E-2); +#1030=CARTESIAN_POINT('',(-2.463659959981E1,-4.412765932083E0,-2.E1)); +#1031=LINE('',#1030,#1029); +#1032=DIRECTION('',(9.364180470546E-1,-3.508863650108E-1,0.E0)); +#1033=VECTOR('',#1032,1.212734111664E-1); +#1034=CARTESIAN_POINT('',(-2.454574952413E1,-4.412765932083E0,-2.E1)); +#1035=LINE('',#1034,#1033); +#1036=DIRECTION('',(6.249884924060E-1,-7.806339631095E-1,0.E0)); +#1037=VECTOR('',#1036,1.090221241390E-1); +#1038=CARTESIAN_POINT('',(-2.443218691329E1,-4.455319118500E0,-2.E1)); +#1039=LINE('',#1038,#1037); +#1040=DIRECTION('',(3.352390012686E-1,-9.421331179979E-1,0.E0)); +#1041=VECTOR('',#1040,1.355005538083E-1); +#1042=CARTESIAN_POINT('',(-2.436404934028E1,-4.540425491333E0,-2.E1)); +#1043=LINE('',#1042,#1041); +#1044=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1045=VECTOR('',#1044,8.510649204254E-2); +#1046=CARTESIAN_POINT('',(-2.431862426995E1,-4.668085050583E0,-2.E1)); +#1047=LINE('',#1046,#1045); +#1048=DIRECTION('',(-2.578481534210E-1,-9.661854530976E-1,0.E0)); +#1049=VECTOR('',#1048,1.761698492984E-1); +#1050=CARTESIAN_POINT('',(-2.431862426995E1,-4.753191542625E0,-2.E1)); +#1051=LINE('',#1050,#1049); +#1052=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1053=VECTOR('',#1052,1.362751460091E-1); +#1054=CARTESIAN_POINT('',(-2.331927324254E1,-4.2E0,-2.E1)); +#1055=LINE('',#1054,#1053); +#1056=DIRECTION('',(-4.286434830844E-1,-9.034737209290E-1,0.E0)); +#1057=VECTOR('',#1056,1.271687405890E0); +#1058=CARTESIAN_POINT('',(-2.345554838855E1,-4.2E0,-2.E1)); +#1059=LINE('',#1058,#1057); +#1060=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1061=VECTOR('',#1060,2.553191781044E-1); +#1062=CARTESIAN_POINT('',(-2.400064890761E1,-5.348936152458E0,-2.E1)); +#1063=LINE('',#1062,#1061); +#1064=DIRECTION('',(1.E0,0.E0,0.E0)); +#1065=VECTOR('',#1064,5.451005190553E-1); +#1066=CARTESIAN_POINT('',(-2.400064890761E1,-5.604255330563E0,-2.E1)); +#1067=LINE('',#1066,#1065); +#1068=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1069=VECTOR('',#1068,5.957446694374E-1); +#1070=CARTESIAN_POINT('',(-2.345554838855E1,-5.604255330563E0,-2.E1)); +#1071=LINE('',#1070,#1069); +#1072=DIRECTION('',(1.E0,0.E0,0.E0)); +#1073=VECTOR('',#1072,1.362751460091E-1); +#1074=CARTESIAN_POINT('',(-2.345554838855E1,-6.2E0,-2.E1)); +#1075=LINE('',#1074,#1073); +#1076=DIRECTION('',(0.E0,1.E0,0.E0)); +#1077=VECTOR('',#1076,5.957446694374E-1); +#1078=CARTESIAN_POINT('',(-2.331927324254E1,-6.2E0,-2.E1)); +#1079=LINE('',#1078,#1077); +#1080=DIRECTION('',(1.E0,0.E0,0.E0)); +#1081=VECTOR('',#1080,2.271252866692E-1); +#1082=CARTESIAN_POINT('',(-2.331927324254E1,-5.604255330563E0,-2.E1)); +#1083=LINE('',#1082,#1081); +#1084=DIRECTION('',(0.E0,1.E0,0.E0)); +#1085=VECTOR('',#1084,2.553191781044E-1); +#1086=CARTESIAN_POINT('',(-2.309214795587E1,-5.604255330563E0,-2.E1)); +#1087=LINE('',#1086,#1085); +#1088=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1089=VECTOR('',#1088,2.271252866692E-1); +#1090=CARTESIAN_POINT('',(-2.309214795587E1,-5.348936152458E0,-2.E1)); +#1091=LINE('',#1090,#1089); +#1092=DIRECTION('',(0.E0,1.E0,0.E0)); +#1093=VECTOR('',#1092,1.148936152458E0); +#1094=CARTESIAN_POINT('',(-2.331927324254E1,-5.348936152458E0,-2.E1)); +#1095=LINE('',#1094,#1093); +#1096=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1097=VECTOR('',#1096,3.861128703717E-1); +#1098=CARTESIAN_POINT('',(-2.345554838855E1,-5.348936152458E0,-2.E1)); +#1099=LINE('',#1098,#1097); +#1100=DIRECTION('',(4.309411811097E-1,9.023800188522E-1,0.E0)); +#1101=VECTOR('',#1100,8.959758020280E-1); +#1102=CARTESIAN_POINT('',(-2.384166125892E1,-5.348936152458E0,-2.E1)); +#1103=LINE('',#1102,#1101); +#1104=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1105=VECTOR('',#1104,8.085106611252E-1); +#1106=CARTESIAN_POINT('',(-2.345554838855E1,-4.540425491333E0,-2.E1)); +#1107=LINE('',#1106,#1105); +#1108=DIRECTION('',(1.E0,0.E0,0.E0)); +#1109=VECTOR('',#1108,1.903409090909E-1); +#1110=CARTESIAN_POINT('',(-2.330965909091E1,-8.5E-1,-2.E1)); +#1111=LINE('',#1110,#1109); +#1112=DIRECTION('',(0.E0,1.E0,0.E0)); +#1113=VECTOR('',#1112,2.E0); +#1114=CARTESIAN_POINT('',(-2.311931818182E1,-8.5E-1,-2.E1)); +#1115=LINE('',#1114,#1113); +#1116=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1117=VECTOR('',#1116,1.903409090909E-1); +#1118=CARTESIAN_POINT('',(-2.311931818182E1,1.15E0,-2.E1)); +#1119=LINE('',#1118,#1117); +#1120=DIRECTION('',(-5.384567811798E-1,-8.426531283995E-1,0.E0)); +#1121=VECTOR('',#1120,3.534933828373E-1); +#1122=CARTESIAN_POINT('',(-2.330965909091E1,1.15E0,-2.E1)); +#1123=LINE('',#1122,#1121); +#1124=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1125=VECTOR('',#1124,3.404256105423E-1); +#1126=CARTESIAN_POINT('',(-2.35E1,8.521276950836E-1,-2.E1)); +#1127=LINE('',#1126,#1125); +#1128=DIRECTION('',(5.384566281667E-1,8.426532261751E-1,0.E0)); +#1129=VECTOR('',#1128,3.534934832894E-1); +#1130=CARTESIAN_POINT('',(-2.35E1,5.117020845413E-1,-2.E1)); +#1131=LINE('',#1130,#1129); +#1132=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1133=VECTOR('',#1132,1.659574508667E0); +#1134=CARTESIAN_POINT('',(-2.330965909091E1,8.095745086670E-1,-2.E1)); +#1135=LINE('',#1134,#1133); +#1136=DIRECTION('',(7.454208084990E-1,6.665941930866E-1,0.E0)); +#1137=VECTOR('',#1136,1.276734610308E-1); +#1138=CARTESIAN_POINT('',(-2.191382581808E1,-7.223404258490E-1,-2.E1)); +#1139=LINE('',#1138,#1137); +#1140=DIRECTION('',(4.450647363362E-1,8.954983978042E-1,0.E0)); +#1141=VECTOR('',#1140,1.425569934719E-1); +#1142=CARTESIAN_POINT('',(-2.181865536354E1,-6.372340381145E-1,-2.E1)); +#1143=LINE('',#1142,#1141); +#1144=DIRECTION('',(2.411649726592E-1,9.704841348329E-1,0.E0)); +#1145=VECTOR('',#1144,1.315421700059E-1); +#1146=CARTESIAN_POINT('',(-2.175520827283E1,-5.095744788647E-1,-2.E1)); +#1147=LINE('',#1146,#1145); +#1148=DIRECTION('',(0.E0,1.E0,0.E0)); +#1149=VECTOR('',#1148,1.702127456665E-1); +#1150=CARTESIAN_POINT('',(-2.172348490899E1,-3.819148898125E-1,-2.E1)); +#1151=LINE('',#1150,#1149); +#1152=DIRECTION('',(-1.832197644970E-1,9.830719800186E-1,0.E0)); +#1153=VECTOR('',#1152,1.731437867530E-1); +#1154=CARTESIAN_POINT('',(-2.172348490899E1,-2.117021441460E-1,-2.E1)); +#1155=LINE('',#1154,#1153); +#1156=DIRECTION('',(-4.450647363362E-1,8.954983978042E-1,0.E0)); +#1157=VECTOR('',#1156,1.425569934719E-1); +#1158=CARTESIAN_POINT('',(-2.175520827283E1,-4.148933887482E-2,-2.E1)); +#1159=LINE('',#1158,#1157); +#1160=DIRECTION('',(-8.811727274958E-1,4.727944842293E-1,0.E0)); +#1161=VECTOR('',#1160,1.800071186786E-1); +#1162=CARTESIAN_POINT('',(-2.181865536354E1,8.617022037506E-2,-2.E1)); +#1163=LINE('',#1162,#1161); +#1164=DIRECTION('',(7.049769984727E-1,7.092301682983E-1,0.E0)); +#1165=VECTOR('',#1164,1.799973618666E-1); +#1166=CARTESIAN_POINT('',(-2.197727272727E1,1.712765932083E-1,-2.E1)); +#1167=LINE('',#1166,#1165); +#1168=DIRECTION('',(4.450637152235E-1,8.954989052989E-1,0.E0)); +#1169=VECTOR('',#1168,1.425569126824E-1); +#1170=CARTESIAN_POINT('',(-2.185037872737E1,2.989361524582E-1,-2.E1)); +#1171=LINE('',#1170,#1169); +#1172=DIRECTION('',(1.832208397044E-1,9.830717796265E-1,0.E0)); +#1173=VECTOR('',#1172,1.731437614161E-1); +#1174=CARTESIAN_POINT('',(-2.178693181818E1,4.265957117081E-1,-2.E1)); +#1175=LINE('',#1174,#1173); +#1176=DIRECTION('',(0.E0,1.E0,0.E0)); +#1177=VECTOR('',#1176,8.510649204254E-2); +#1178=CARTESIAN_POINT('',(-2.175520827283E1,5.968084573746E-1,-2.E1)); +#1179=LINE('',#1178,#1177); +#1180=DIRECTION('',(-1.832208397044E-1,9.830717796265E-1,0.E0)); +#1181=VECTOR('',#1180,1.731437614161E-1); +#1182=CARTESIAN_POINT('',(-2.175520827283E1,6.819149494171E-1,-2.E1)); +#1183=LINE('',#1182,#1181); +#1184=DIRECTION('',(-5.976897280962E-1,8.017275029137E-1,0.E0)); +#1185=VECTOR('',#1184,2.123074798456E-1); +#1186=CARTESIAN_POINT('',(-2.178693181818E1,8.521276950836E-1,-2.E1)); +#1187=LINE('',#1186,#1185); +#1188=DIRECTION('',(-8.305052070449E-1,5.570108626152E-1,0.E0)); +#1189=VECTOR('',#1188,1.527912264290E-1); +#1190=CARTESIAN_POINT('',(-2.191382581808E1,1.022340440750E0,-2.E1)); +#1191=LINE('',#1190,#1189); +#1192=DIRECTION('',(-9.821304926347E-1,1.882012099777E-1,0.E0)); +#1193=VECTOR('',#1192,2.261047440751E-1); +#1194=CARTESIAN_POINT('',(-2.204071972722E1,1.107446813583E0,-2.E1)); +#1195=LINE('',#1194,#1193); +#1196=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1197=VECTOR('',#1196,6.344699995084E-2); +#1198=CARTESIAN_POINT('',(-2.226278409091E1,1.15E0,-2.E1)); +#1199=LINE('',#1198,#1197); +#1200=DIRECTION('',(-9.821304926347E-1,-1.882012099777E-1,0.E0)); +#1201=VECTOR('',#1200,2.261047440751E-1); +#1202=CARTESIAN_POINT('',(-2.232623109086E1,1.15E0,-2.E1)); +#1203=LINE('',#1202,#1201); +#1204=DIRECTION('',(-8.305052070449E-1,-5.570108626152E-1,0.E0)); +#1205=VECTOR('',#1204,1.527912264290E-1); +#1206=CARTESIAN_POINT('',(-2.254829545455E1,1.107446813583E0,-2.E1)); +#1207=LINE('',#1206,#1205); +#1208=DIRECTION('',(-5.976895907045E-1,-8.017276053396E-1,0.E0)); +#1209=VECTOR('',#1208,2.123074527219E-1); +#1210=CARTESIAN_POINT('',(-2.267518936369E1,1.022340440750E0,-2.E1)); +#1211=LINE('',#1210,#1209); +#1212=DIRECTION('',(-1.832205864040E-1,-9.830718268355E-1,0.E0)); +#1213=VECTOR('',#1212,1.731437531013E-1); +#1214=CARTESIAN_POINT('',(-2.280208331821E1,8.521276950836E-1,-2.E1)); +#1215=LINE('',#1214,#1213); +#1216=DIRECTION('',(1.E0,0.E0,0.E0)); +#1217=VECTOR('',#1216,1.586174544963E-1); +#1218=CARTESIAN_POINT('',(-2.283380681818E1,6.819149494171E-1,-2.E1)); +#1219=LINE('',#1218,#1217); +#1220=DIRECTION('',(4.450637152235E-1,8.954989052989E-1,0.E0)); +#1221=VECTOR('',#1220,1.425569126824E-1); +#1222=CARTESIAN_POINT('',(-2.267518936369E1,6.819149494171E-1,-2.E1)); +#1223=LINE('',#1222,#1221); +#1224=DIRECTION('',(7.454208664929E-1,6.665941282347E-1,0.E0)); +#1225=VECTOR('',#1224,1.276734510978E-1); +#1226=CARTESIAN_POINT('',(-2.261174245450E1,8.095745086670E-1,-2.E1)); +#1227=LINE('',#1226,#1225); +#1228=DIRECTION('',(9.658469762536E-1,2.591131383426E-1,0.E0)); +#1229=VECTOR('',#1228,1.642262784852E-1); +#1230=CARTESIAN_POINT('',(-2.251657199995E1,8.946808815002E-1,-2.E1)); +#1231=LINE('',#1230,#1229); +#1232=DIRECTION('',(1.E0,0.E0,0.E0)); +#1233=VECTOR('',#1232,1.268939091401E-1); +#1234=CARTESIAN_POINT('',(-2.235795454545E1,9.372340679169E-1,-2.E1)); +#1235=LINE('',#1234,#1233); +#1236=DIRECTION('',(9.658469762536E-1,-2.591131383426E-1,0.E0)); +#1237=VECTOR('',#1236,1.642262784852E-1); +#1238=CARTESIAN_POINT('',(-2.223106063631E1,9.372340679169E-1,-2.E1)); +#1239=LINE('',#1238,#1237); +#1240=DIRECTION('',(7.454208664929E-1,-6.665941282347E-1,0.E0)); +#1241=VECTOR('',#1240,1.276734510978E-1); +#1242=CARTESIAN_POINT('',(-2.207244318182E1,8.946808815002E-1,-2.E1)); +#1243=LINE('',#1242,#1241); +#1244=DIRECTION('',(4.450637152235E-1,-8.954989052989E-1,0.E0)); +#1245=VECTOR('',#1244,1.425569126824E-1); +#1246=CARTESIAN_POINT('',(-2.197727272727E1,8.095745086670E-1,-2.E1)); +#1247=LINE('',#1246,#1245); +#1248=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1249=VECTOR('',#1248,8.510649204254E-2); +#1250=CARTESIAN_POINT('',(-2.191382581808E1,6.819149494171E-1,-2.E1)); +#1251=LINE('',#1250,#1249); +#1252=DIRECTION('',(-3.492749114305E-1,-9.370202966026E-1,0.E0)); +#1253=VECTOR('',#1252,1.816532110176E-1); +#1254=CARTESIAN_POINT('',(-2.191382581808E1,5.968084573746E-1,-2.E1)); +#1255=LINE('',#1254,#1253); +#1256=DIRECTION('',(-5.976897280962E-1,-8.017275029138E-1,0.E0)); +#1257=VECTOR('',#1256,1.061537399228E-1); +#1258=CARTESIAN_POINT('',(-2.197727272727E1,4.265957117081E-1,-2.E1)); +#1259=LINE('',#1258,#1257); +#1260=DIRECTION('',(-9.129007220280E-1,-4.081816650962E-1,0.E0)); +#1261=VECTOR('',#1260,2.085012143139E-1); +#1262=CARTESIAN_POINT('',(-2.204071972722E1,3.414893388748E-1,-2.E1)); +#1263=LINE('',#1262,#1261); +#1264=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1265=VECTOR('',#1264,1.268939091401E-1); +#1266=CARTESIAN_POINT('',(-2.223106063631E1,2.563829660416E-1,-2.E1)); +#1267=LINE('',#1266,#1265); +#1268=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1269=VECTOR('',#1268,1.702127456665E-1); +#1270=CARTESIAN_POINT('',(-2.235795454545E1,2.563829660416E-1,-2.E1)); +#1271=LINE('',#1270,#1269); +#1272=DIRECTION('',(1.E0,0.E0,0.E0)); +#1273=VECTOR('',#1272,1.268939091401E-1); +#1274=CARTESIAN_POINT('',(-2.235795454545E1,8.617022037506E-2,-2.E1)); +#1275=LINE('',#1274,#1273); +#1276=DIRECTION('',(9.759091726016E-1,-2.181771913655E-1,0.E0)); +#1277=VECTOR('',#1276,1.950395737992E-1); +#1278=CARTESIAN_POINT('',(-2.223106063631E1,8.617022037506E-2,-2.E1)); +#1279=LINE('',#1278,#1277); +#1280=DIRECTION('',(7.454211823744E-1,-6.665937749991E-1,0.E0)); +#1281=VECTOR('',#1280,1.276735187534E-1); +#1282=CARTESIAN_POINT('',(-2.204071972722E1,4.361703395843E-2,-2.E1)); +#1283=LINE('',#1282,#1281); +#1284=DIRECTION('',(3.492748040431E-1,-9.370203366313E-1,0.E0)); +#1285=VECTOR('',#1284,1.816532668683E-1); +#1286=CARTESIAN_POINT('',(-2.194554918192E1,-4.148933887482E-2,-2.E1)); +#1287=LINE('',#1286,#1285); +#1288=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1289=VECTOR('',#1288,1.702127456665E-1); +#1290=CARTESIAN_POINT('',(-2.188210227273E1,-2.117021441460E-1,-2.E1)); +#1291=LINE('',#1290,#1289); +#1292=DIRECTION('',(-3.492757888088E-1,-9.370199695588E-1,0.E0)); +#1293=VECTOR('',#1292,9.082663720958E-2); +#1294=CARTESIAN_POINT('',(-2.188210227273E1,-3.819148898125E-1,-2.E1)); +#1295=LINE('',#1294,#1293); +#1296=DIRECTION('',(-5.976890439995E-1,-8.017280129090E-1,0.E0)); +#1297=VECTOR('',#1296,1.061537095689E-1); +#1298=CARTESIAN_POINT('',(-2.191382581808E1,-4.670212626457E-1,-2.E1)); +#1299=LINE('',#1298,#1297); +#1300=DIRECTION('',(-8.305053913472E-1,-5.570105878197E-1,0.E0)); +#1301=VECTOR('',#1300,7.639565090350E-2); +#1302=CARTESIAN_POINT('',(-2.197727272727E1,-5.521276652813E-1,-2.E1)); +#1303=LINE('',#1302,#1301); +#1304=DIRECTION('',(-9.658469391481E-1,-2.591132766539E-1,0.E0)); +#1305=VECTOR('',#1304,1.642261908233E-1); +#1306=CARTESIAN_POINT('',(-2.204071972722E1,-5.946808516979E-1,-2.E1)); +#1307=LINE('',#1306,#1305); +#1308=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1309=VECTOR('',#1308,1.903409090909E-1); +#1310=CARTESIAN_POINT('',(-2.219933709096E1,-6.372340381145E-1,-2.E1)); +#1311=LINE('',#1310,#1309); +#1312=DIRECTION('',(-9.658469762536E-1,2.591131383426E-1,0.E0)); +#1313=VECTOR('',#1312,1.642262784852E-1); +#1314=CARTESIAN_POINT('',(-2.238967800005E1,-6.372340381145E-1,-2.E1)); +#1315=LINE('',#1314,#1313); +#1316=DIRECTION('',(-8.305053913472E-1,5.570105878197E-1,0.E0)); +#1317=VECTOR('',#1316,7.639565090350E-2); +#1318=CARTESIAN_POINT('',(-2.254829545455E1,-5.946808516979E-1,-2.E1)); +#1319=LINE('',#1318,#1317); +#1320=DIRECTION('',(-5.976890439995E-1,8.017280129090E-1,0.E0)); +#1321=VECTOR('',#1320,1.061537095689E-1); +#1322=CARTESIAN_POINT('',(-2.261174245450E1,-5.521276652813E-1,-2.E1)); +#1323=LINE('',#1322,#1321); +#1324=DIRECTION('',(-3.492757888088E-1,9.370199695588E-1,0.E0)); +#1325=VECTOR('',#1324,9.082663720958E-2); +#1326=CARTESIAN_POINT('',(-2.267518936369E1,-4.670212626457E-1,-2.E1)); +#1327=LINE('',#1326,#1325); +#1328=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1329=VECTOR('',#1328,1.586174091155E-1); +#1330=CARTESIAN_POINT('',(-2.270691290904E1,-3.819148898125E-1,-2.E1)); +#1331=LINE('',#1330,#1329); +#1332=DIRECTION('',(2.411659474360E-1,-9.704838926006E-1,0.E0)); +#1333=VECTOR('',#1332,1.315422028387E-1); +#1334=CARTESIAN_POINT('',(-2.286553031816E1,-3.819148898125E-1,-2.E1)); +#1335=LINE('',#1334,#1333); +#1336=DIRECTION('',(4.450639705018E-1,-8.954987784253E-1,0.E0)); +#1337=VECTOR('',#1336,1.425569328798E-1); +#1338=CARTESIAN_POINT('',(-2.283380681818E1,-5.095744788647E-1,-2.E1)); +#1339=LINE('',#1338,#1337); +#1340=DIRECTION('',(7.454209664398E-1,-6.665940164687E-1,0.E0)); +#1341=VECTOR('',#1340,1.276734948586E-1); +#1342=CARTESIAN_POINT('',(-2.277035986361E1,-6.372340381145E-1,-2.E1)); +#1343=LINE('',#1342,#1341); +#1344=DIRECTION('',(8.305051732081E-1,-5.570109130659E-1,0.E0)); +#1345=VECTOR('',#1344,1.527912326541E-1); +#1346=CARTESIAN_POINT('',(-2.267518936369E1,-7.223404258490E-1,-2.E1)); +#1347=LINE('',#1346,#1345); +#1348=DIRECTION('',(9.481096686620E-1,-3.179434795521E-1,0.E0)); +#1349=VECTOR('',#1348,1.338388514898E-1); +#1350=CARTESIAN_POINT('',(-2.254829545455E1,-8.074468098581E-1,-2.E1)); +#1351=LINE('',#1350,#1349); +#1352=DIRECTION('',(1.E0,0.E0,0.E0)); +#1353=VECTOR('',#1352,2.537879090418E-1); +#1354=CARTESIAN_POINT('',(-2.242140154541E1,-8.5E-1,-2.E1)); +#1355=LINE('',#1354,#1353); +#1356=DIRECTION('',(9.481096686620E-1,3.179434795521E-1,0.E0)); +#1357=VECTOR('',#1356,1.338388514898E-1); +#1358=CARTESIAN_POINT('',(-2.216761363636E1,-8.5E-1,-2.E1)); +#1359=LINE('',#1358,#1357); +#1360=DIRECTION('',(8.305051732081E-1,5.570109130660E-1,0.E0)); +#1361=VECTOR('',#1360,1.527912326541E-1); +#1362=CARTESIAN_POINT('',(-2.204071972722E1,-8.074468098581E-1,-2.E1)); +#1363=LINE('',#1362,#1361); +#1364=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1365=VECTOR('',#1364,2.21E1); +#1366=CARTESIAN_POINT('',(3.575E1,1.105E1,-2.E1)); +#1367=LINE('',#1366,#1365); +#1368=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.E1)); +#1369=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1370=DIRECTION('',(1.E0,7.491591887905E-14,0.E0)); +#1371=AXIS2_PLACEMENT_3D('',#1368,#1369,#1370); +#1373=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1374=VECTOR('',#1373,6.69E1); +#1375=CARTESIAN_POINT('',(3.345E1,-1.335E1,-2.E1)); +#1376=LINE('',#1375,#1374); +#1377=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.E1)); +#1378=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1379=DIRECTION('',(5.560769236383E-14,-1.E0,0.E0)); +#1380=AXIS2_PLACEMENT_3D('',#1377,#1378,#1379); +#1382=DIRECTION('',(0.E0,1.E0,0.E0)); +#1383=VECTOR('',#1382,2.21E1); +#1384=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-2.E1)); +#1385=LINE('',#1384,#1383); +#1386=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.E1)); +#1387=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1388=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1389=AXIS2_PLACEMENT_3D('',#1386,#1387,#1388); +#1391=DIRECTION('',(1.E0,0.E0,0.E0)); +#1392=VECTOR('',#1391,6.69E1); +#1393=CARTESIAN_POINT('',(-3.345E1,1.335E1,-2.E1)); +#1394=LINE('',#1393,#1392); +#1395=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.E1)); +#1396=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1397=DIRECTION('',(1.853589745461E-14,1.E0,0.E0)); +#1398=AXIS2_PLACEMENT_3D('',#1395,#1396,#1397); +#1400=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.E1)); +#1401=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1402=DIRECTION('',(0.E0,1.E0,0.E0)); +#1403=AXIS2_PLACEMENT_3D('',#1400,#1401,#1402); +#1405=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.E1)); +#1406=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1407=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1408=AXIS2_PLACEMENT_3D('',#1405,#1406,#1407); +#1410=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.E1)); +#1411=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1412=DIRECTION('',(0.E0,1.E0,0.E0)); +#1413=AXIS2_PLACEMENT_3D('',#1410,#1411,#1412); +#1415=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.E1)); +#1416=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1417=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1418=AXIS2_PLACEMENT_3D('',#1415,#1416,#1417); +#1420=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.E1)); +#1421=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1422=DIRECTION('',(0.E0,1.E0,0.E0)); +#1423=AXIS2_PLACEMENT_3D('',#1420,#1421,#1422); +#1425=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.E1)); +#1426=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1427=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1428=AXIS2_PLACEMENT_3D('',#1425,#1426,#1427); +#1430=CARTESIAN_POINT('',(-1.E1,4.E0,-2.E1)); +#1431=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1432=DIRECTION('',(0.E0,1.E0,0.E0)); +#1433=AXIS2_PLACEMENT_3D('',#1430,#1431,#1432); +#1435=CARTESIAN_POINT('',(-1.E1,4.E0,-2.E1)); +#1436=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1437=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1438=AXIS2_PLACEMENT_3D('',#1435,#1436,#1437); +#1440=CARTESIAN_POINT('',(-6.E0,4.E0,-2.E1)); +#1441=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1442=DIRECTION('',(0.E0,1.E0,0.E0)); +#1443=AXIS2_PLACEMENT_3D('',#1440,#1441,#1442); +#1445=CARTESIAN_POINT('',(-6.E0,4.E0,-2.E1)); +#1446=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1447=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1448=AXIS2_PLACEMENT_3D('',#1445,#1446,#1447); +#1450=CARTESIAN_POINT('',(-2.E0,4.E0,-2.E1)); +#1451=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1452=DIRECTION('',(0.E0,1.E0,0.E0)); +#1453=AXIS2_PLACEMENT_3D('',#1450,#1451,#1452); +#1455=CARTESIAN_POINT('',(-2.E0,4.E0,-2.E1)); +#1456=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1457=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1458=AXIS2_PLACEMENT_3D('',#1455,#1456,#1457); +#1460=CARTESIAN_POINT('',(2.E0,4.E0,-2.E1)); +#1461=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1462=DIRECTION('',(0.E0,1.E0,0.E0)); +#1463=AXIS2_PLACEMENT_3D('',#1460,#1461,#1462); +#1465=CARTESIAN_POINT('',(2.E0,4.E0,-2.E1)); +#1466=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1467=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1468=AXIS2_PLACEMENT_3D('',#1465,#1466,#1467); +#1470=CARTESIAN_POINT('',(6.E0,4.E0,-2.E1)); +#1471=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1472=DIRECTION('',(0.E0,1.E0,0.E0)); +#1473=AXIS2_PLACEMENT_3D('',#1470,#1471,#1472); +#1475=CARTESIAN_POINT('',(6.E0,4.E0,-2.E1)); +#1476=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1477=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1478=AXIS2_PLACEMENT_3D('',#1475,#1476,#1477); +#1480=CARTESIAN_POINT('',(1.E1,4.E0,-2.E1)); +#1481=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1482=DIRECTION('',(0.E0,1.E0,0.E0)); +#1483=AXIS2_PLACEMENT_3D('',#1480,#1481,#1482); +#1485=CARTESIAN_POINT('',(1.E1,4.E0,-2.E1)); +#1486=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1487=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1488=AXIS2_PLACEMENT_3D('',#1485,#1486,#1487); +#1490=CARTESIAN_POINT('',(1.4E1,4.E0,-2.E1)); +#1491=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1492=DIRECTION('',(0.E0,1.E0,0.E0)); +#1493=AXIS2_PLACEMENT_3D('',#1490,#1491,#1492); +#1495=CARTESIAN_POINT('',(1.4E1,4.E0,-2.E1)); +#1496=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1497=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1498=AXIS2_PLACEMENT_3D('',#1495,#1496,#1497); +#1500=CARTESIAN_POINT('',(1.8E1,4.E0,-2.E1)); +#1501=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1502=DIRECTION('',(0.E0,1.E0,0.E0)); +#1503=AXIS2_PLACEMENT_3D('',#1500,#1501,#1502); +#1505=CARTESIAN_POINT('',(1.8E1,4.E0,-2.E1)); +#1506=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1507=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1508=AXIS2_PLACEMENT_3D('',#1505,#1506,#1507); +#1510=CARTESIAN_POINT('',(2.2E1,4.E0,-2.E1)); +#1511=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1512=DIRECTION('',(0.E0,1.E0,0.E0)); +#1513=AXIS2_PLACEMENT_3D('',#1510,#1511,#1512); +#1515=CARTESIAN_POINT('',(2.2E1,4.E0,-2.E1)); +#1516=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1517=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1518=AXIS2_PLACEMENT_3D('',#1515,#1516,#1517); +#1520=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.E1)); +#1521=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1522=DIRECTION('',(0.E0,1.E0,0.E0)); +#1523=AXIS2_PLACEMENT_3D('',#1520,#1521,#1522); +#1525=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.E1)); +#1526=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1527=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1528=AXIS2_PLACEMENT_3D('',#1525,#1526,#1527); +#1530=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.E1)); +#1531=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1532=DIRECTION('',(0.E0,1.E0,0.E0)); +#1533=AXIS2_PLACEMENT_3D('',#1530,#1531,#1532); +#1535=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.E1)); +#1536=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1537=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1538=AXIS2_PLACEMENT_3D('',#1535,#1536,#1537); +#1540=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.E1)); +#1541=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1542=DIRECTION('',(0.E0,1.E0,0.E0)); +#1543=AXIS2_PLACEMENT_3D('',#1540,#1541,#1542); +#1545=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.E1)); +#1546=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1547=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1548=AXIS2_PLACEMENT_3D('',#1545,#1546,#1547); +#1550=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.E1)); +#1551=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1552=DIRECTION('',(0.E0,1.E0,0.E0)); +#1553=AXIS2_PLACEMENT_3D('',#1550,#1551,#1552); +#1555=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.E1)); +#1556=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1557=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1558=AXIS2_PLACEMENT_3D('',#1555,#1556,#1557); +#1560=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.E1)); +#1561=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1562=DIRECTION('',(0.E0,1.E0,0.E0)); +#1563=AXIS2_PLACEMENT_3D('',#1560,#1561,#1562); +#1565=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.E1)); +#1566=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1567=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1568=AXIS2_PLACEMENT_3D('',#1565,#1566,#1567); +#1570=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.E1)); +#1571=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1572=DIRECTION('',(0.E0,1.E0,0.E0)); +#1573=AXIS2_PLACEMENT_3D('',#1570,#1571,#1572); +#1575=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.E1)); +#1576=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1577=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1578=AXIS2_PLACEMENT_3D('',#1575,#1576,#1577); +#1580=CARTESIAN_POINT('',(2.E0,-4.E0,-2.E1)); +#1581=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1582=DIRECTION('',(0.E0,1.E0,0.E0)); +#1583=AXIS2_PLACEMENT_3D('',#1580,#1581,#1582); +#1585=CARTESIAN_POINT('',(2.E0,-4.E0,-2.E1)); +#1586=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1587=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1588=AXIS2_PLACEMENT_3D('',#1585,#1586,#1587); +#1590=CARTESIAN_POINT('',(6.E0,-4.E0,-2.E1)); +#1591=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1592=DIRECTION('',(0.E0,1.E0,0.E0)); +#1593=AXIS2_PLACEMENT_3D('',#1590,#1591,#1592); +#1595=CARTESIAN_POINT('',(6.E0,-4.E0,-2.E1)); +#1596=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1597=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1598=AXIS2_PLACEMENT_3D('',#1595,#1596,#1597); +#1600=CARTESIAN_POINT('',(1.E1,-4.E0,-2.E1)); +#1601=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1602=DIRECTION('',(0.E0,1.E0,0.E0)); +#1603=AXIS2_PLACEMENT_3D('',#1600,#1601,#1602); +#1605=CARTESIAN_POINT('',(1.E1,-4.E0,-2.E1)); +#1606=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1607=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1608=AXIS2_PLACEMENT_3D('',#1605,#1606,#1607); +#1610=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.E1)); +#1611=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1612=DIRECTION('',(0.E0,1.E0,0.E0)); +#1613=AXIS2_PLACEMENT_3D('',#1610,#1611,#1612); +#1615=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.E1)); +#1616=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1617=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1618=AXIS2_PLACEMENT_3D('',#1615,#1616,#1617); +#1620=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.E1)); +#1621=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1622=DIRECTION('',(0.E0,1.E0,0.E0)); +#1623=AXIS2_PLACEMENT_3D('',#1620,#1621,#1622); +#1625=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.E1)); +#1626=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1627=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1628=AXIS2_PLACEMENT_3D('',#1625,#1626,#1627); +#1630=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.E1)); +#1631=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1632=DIRECTION('',(0.E0,1.E0,0.E0)); +#1633=AXIS2_PLACEMENT_3D('',#1630,#1631,#1632); +#1635=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.E1)); +#1636=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1637=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1638=AXIS2_PLACEMENT_3D('',#1635,#1636,#1637); +#1640=CARTESIAN_POINT('',(-2.E1,0.E0,-2.E1)); +#1641=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1642=DIRECTION('',(0.E0,1.E0,0.E0)); +#1643=AXIS2_PLACEMENT_3D('',#1640,#1641,#1642); +#1645=CARTESIAN_POINT('',(-2.E1,0.E0,-2.E1)); +#1646=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1647=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1648=AXIS2_PLACEMENT_3D('',#1645,#1646,#1647); +#1650=CARTESIAN_POINT('',(-1.6E1,0.E0,-2.E1)); +#1651=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1652=DIRECTION('',(0.E0,1.E0,0.E0)); +#1653=AXIS2_PLACEMENT_3D('',#1650,#1651,#1652); +#1655=CARTESIAN_POINT('',(-1.6E1,0.E0,-2.E1)); +#1656=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1657=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1658=AXIS2_PLACEMENT_3D('',#1655,#1656,#1657); +#1660=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.E1)); +#1661=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1662=DIRECTION('',(0.E0,1.E0,0.E0)); +#1663=AXIS2_PLACEMENT_3D('',#1660,#1661,#1662); +#1665=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.E1)); +#1666=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1667=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1668=AXIS2_PLACEMENT_3D('',#1665,#1666,#1667); +#1670=CARTESIAN_POINT('',(-8.E0,0.E0,-2.E1)); +#1671=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1672=DIRECTION('',(0.E0,1.E0,0.E0)); +#1673=AXIS2_PLACEMENT_3D('',#1670,#1671,#1672); +#1675=CARTESIAN_POINT('',(-8.E0,0.E0,-2.E1)); +#1676=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1677=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1678=AXIS2_PLACEMENT_3D('',#1675,#1676,#1677); +#1680=CARTESIAN_POINT('',(-4.E0,0.E0,-2.E1)); +#1681=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1682=DIRECTION('',(0.E0,1.E0,0.E0)); +#1683=AXIS2_PLACEMENT_3D('',#1680,#1681,#1682); +#1685=CARTESIAN_POINT('',(-4.E0,0.E0,-2.E1)); +#1686=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1687=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1688=AXIS2_PLACEMENT_3D('',#1685,#1686,#1687); +#1690=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#1691=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1692=DIRECTION('',(0.E0,1.E0,0.E0)); +#1693=AXIS2_PLACEMENT_3D('',#1690,#1691,#1692); +#1695=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#1696=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1697=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1698=AXIS2_PLACEMENT_3D('',#1695,#1696,#1697); +#1700=CARTESIAN_POINT('',(4.E0,0.E0,-2.E1)); +#1701=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1702=DIRECTION('',(0.E0,1.E0,0.E0)); +#1703=AXIS2_PLACEMENT_3D('',#1700,#1701,#1702); +#1705=CARTESIAN_POINT('',(4.E0,0.E0,-2.E1)); +#1706=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1707=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1708=AXIS2_PLACEMENT_3D('',#1705,#1706,#1707); +#1710=CARTESIAN_POINT('',(8.E0,0.E0,-2.E1)); +#1711=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1712=DIRECTION('',(0.E0,1.E0,0.E0)); +#1713=AXIS2_PLACEMENT_3D('',#1710,#1711,#1712); +#1715=CARTESIAN_POINT('',(8.E0,0.E0,-2.E1)); +#1716=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1717=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1718=AXIS2_PLACEMENT_3D('',#1715,#1716,#1717); +#1720=CARTESIAN_POINT('',(1.2E1,0.E0,-2.E1)); +#1721=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1722=DIRECTION('',(0.E0,1.E0,0.E0)); +#1723=AXIS2_PLACEMENT_3D('',#1720,#1721,#1722); +#1725=CARTESIAN_POINT('',(1.2E1,0.E0,-2.E1)); +#1726=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1727=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1728=AXIS2_PLACEMENT_3D('',#1725,#1726,#1727); +#1730=CARTESIAN_POINT('',(1.6E1,0.E0,-2.E1)); +#1731=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1732=DIRECTION('',(0.E0,1.E0,0.E0)); +#1733=AXIS2_PLACEMENT_3D('',#1730,#1731,#1732); +#1735=CARTESIAN_POINT('',(1.6E1,0.E0,-2.E1)); +#1736=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1737=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1738=AXIS2_PLACEMENT_3D('',#1735,#1736,#1737); +#1740=CARTESIAN_POINT('',(2.E1,0.E0,-2.E1)); +#1741=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1742=DIRECTION('',(0.E0,1.E0,0.E0)); +#1743=AXIS2_PLACEMENT_3D('',#1740,#1741,#1742); +#1745=CARTESIAN_POINT('',(2.E1,0.E0,-2.E1)); +#1746=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1747=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1748=AXIS2_PLACEMENT_3D('',#1745,#1746,#1747); +#1750=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1751=VECTOR('',#1750,2.225E0); +#1752=CARTESIAN_POINT('',(1.075E0,1.03E1,-2.E1)); +#1753=LINE('',#1752,#1751); +#1754=CARTESIAN_POINT('',(1.75E0,8.075E0,-2.E1)); +#1755=DIRECTION('',(0.E0,0.E0,1.E0)); +#1756=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1757=AXIS2_PLACEMENT_3D('',#1754,#1755,#1756); +#1759=DIRECTION('',(0.E0,1.E0,0.E0)); +#1760=VECTOR('',#1759,2.225E0); +#1761=CARTESIAN_POINT('',(2.425E0,8.075E0,-2.E1)); +#1762=LINE('',#1761,#1760); +#1763=DIRECTION('',(0.E0,1.E0,0.E0)); +#1764=VECTOR('',#1763,1.2E0); +#1765=CARTESIAN_POINT('',(4.75E0,9.1E0,-2.E1)); +#1766=LINE('',#1765,#1764); +#1767=CARTESIAN_POINT('',(5.25E0,9.1E0,-2.E1)); +#1768=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1769=DIRECTION('',(1.E0,-6.039613253961E-14,0.E0)); +#1770=AXIS2_PLACEMENT_3D('',#1767,#1768,#1769); +#1772=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1773=VECTOR('',#1772,1.2E0); +#1774=CARTESIAN_POINT('',(5.75E0,1.03E1,-2.E1)); +#1775=LINE('',#1774,#1773); +#1776=DIRECTION('',(0.E0,1.E0,0.E0)); +#1777=VECTOR('',#1776,1.2E0); +#1778=CARTESIAN_POINT('',(8.25E0,9.1E0,-2.E1)); +#1779=LINE('',#1778,#1777); +#1780=CARTESIAN_POINT('',(8.75E0,9.1E0,-2.E1)); +#1781=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1782=DIRECTION('',(1.E0,-1.136868377216E-13,0.E0)); +#1783=AXIS2_PLACEMENT_3D('',#1780,#1781,#1782); +#1785=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1786=VECTOR('',#1785,1.2E0); +#1787=CARTESIAN_POINT('',(9.25E0,1.03E1,-2.E1)); +#1788=LINE('',#1787,#1786); +#1789=DIRECTION('',(0.E0,1.E0,0.E0)); +#1790=VECTOR('',#1789,1.2E0); +#1791=CARTESIAN_POINT('',(1.175E1,9.1E0,-2.E1)); +#1792=LINE('',#1791,#1790); +#1793=CARTESIAN_POINT('',(1.225E1,9.1E0,-2.E1)); +#1794=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1795=DIRECTION('',(1.E0,1.172395514004E-13,0.E0)); +#1796=AXIS2_PLACEMENT_3D('',#1793,#1794,#1795); +#1798=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1799=VECTOR('',#1798,1.2E0); +#1800=CARTESIAN_POINT('',(1.275E1,1.03E1,-2.E1)); +#1801=LINE('',#1800,#1799); +#1802=DIRECTION('',(0.E0,1.E0,0.E0)); +#1803=VECTOR('',#1802,1.2E0); +#1804=CARTESIAN_POINT('',(1.525E1,9.1E0,-2.E1)); +#1805=LINE('',#1804,#1803); +#1806=CARTESIAN_POINT('',(1.575E1,9.1E0,-2.E1)); +#1807=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1808=DIRECTION('',(1.E0,1.243449787580E-13,0.E0)); +#1809=AXIS2_PLACEMENT_3D('',#1806,#1807,#1808); +#1811=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1812=VECTOR('',#1811,1.2E0); +#1813=CARTESIAN_POINT('',(1.625E1,1.03E1,-2.E1)); +#1814=LINE('',#1813,#1812); +#1815=DIRECTION('',(0.E0,1.E0,0.E0)); +#1816=VECTOR('',#1815,1.2E0); +#1817=CARTESIAN_POINT('',(1.875E1,9.1E0,-2.E1)); +#1818=LINE('',#1817,#1816); +#1819=CARTESIAN_POINT('',(1.925E1,9.1E0,-2.E1)); +#1820=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1821=DIRECTION('',(1.E0,1.172395514004E-13,0.E0)); +#1822=AXIS2_PLACEMENT_3D('',#1819,#1820,#1821); +#1824=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1825=VECTOR('',#1824,1.2E0); +#1826=CARTESIAN_POINT('',(1.975E1,1.03E1,-2.E1)); +#1827=LINE('',#1826,#1825); +#1828=CARTESIAN_POINT('',(2.35E1,5.6E0,-2.E1)); +#1829=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1830=DIRECTION('',(-9.599885898035E-14,1.E0,0.E0)); +#1831=AXIS2_PLACEMENT_3D('',#1828,#1829,#1830); +#1833=DIRECTION('',(1.E0,0.E0,0.E0)); +#1834=VECTOR('',#1833,1.2E0); +#1835=CARTESIAN_POINT('',(2.7E1,5.2E0,-2.E1)); +#1836=LINE('',#1835,#1834); +#1837=CARTESIAN_POINT('',(2.7E1,4.7E0,-2.E1)); +#1838=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1839=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1840=AXIS2_PLACEMENT_3D('',#1837,#1838,#1839); +#1842=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1843=VECTOR('',#1842,1.2E0); +#1844=CARTESIAN_POINT('',(2.82E1,4.2E0,-2.E1)); +#1845=LINE('',#1844,#1843); +#1846=DIRECTION('',(1.E0,0.E0,0.E0)); +#1847=VECTOR('',#1846,1.2E0); +#1848=CARTESIAN_POINT('',(2.7E1,-4.2E0,-2.E1)); +#1849=LINE('',#1848,#1847); +#1850=CARTESIAN_POINT('',(2.7E1,-4.7E0,-2.E1)); +#1851=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1852=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1853=AXIS2_PLACEMENT_3D('',#1850,#1851,#1852); +#1855=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1856=VECTOR('',#1855,1.2E0); +#1857=CARTESIAN_POINT('',(2.82E1,-5.2E0,-2.E1)); +#1858=LINE('',#1857,#1856); +#1859=CARTESIAN_POINT('',(2.35E1,-5.6E0,-2.E1)); +#1860=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1861=DIRECTION('',(1.E0,2.456663714064E-14,0.E0)); +#1862=AXIS2_PLACEMENT_3D('',#1859,#1860,#1861); +#1864=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1865=VECTOR('',#1864,1.2E0); +#1866=CARTESIAN_POINT('',(1.975E1,-9.1E0,-2.E1)); +#1867=LINE('',#1866,#1865); +#1868=CARTESIAN_POINT('',(1.925E1,-9.1E0,-2.E1)); +#1869=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1870=DIRECTION('',(-1.E0,3.517186542012E-13,0.E0)); +#1871=AXIS2_PLACEMENT_3D('',#1868,#1869,#1870); +#1873=DIRECTION('',(0.E0,1.E0,0.E0)); +#1874=VECTOR('',#1873,1.2E0); +#1875=CARTESIAN_POINT('',(1.875E1,-1.03E1,-2.E1)); +#1876=LINE('',#1875,#1874); +#1877=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1878=VECTOR('',#1877,1.2E0); +#1879=CARTESIAN_POINT('',(1.625E1,-9.1E0,-2.E1)); +#1880=LINE('',#1879,#1878); +#1881=CARTESIAN_POINT('',(1.575E1,-9.1E0,-2.E1)); +#1882=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1883=DIRECTION('',(-1.E0,1.243449787580E-13,0.E0)); +#1884=AXIS2_PLACEMENT_3D('',#1881,#1882,#1883); +#1886=DIRECTION('',(0.E0,1.E0,0.E0)); +#1887=VECTOR('',#1886,1.2E0); +#1888=CARTESIAN_POINT('',(1.525E1,-1.03E1,-2.E1)); +#1889=LINE('',#1888,#1887); +#1890=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1891=VECTOR('',#1890,1.2E0); +#1892=CARTESIAN_POINT('',(1.275E1,-9.1E0,-2.E1)); +#1893=LINE('',#1892,#1891); +#1894=CARTESIAN_POINT('',(1.225E1,-9.1E0,-2.E1)); +#1895=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1896=DIRECTION('',(-1.E0,1.172395514004E-13,0.E0)); +#1897=AXIS2_PLACEMENT_3D('',#1894,#1895,#1896); +#1899=DIRECTION('',(0.E0,1.E0,0.E0)); +#1900=VECTOR('',#1899,1.2E0); +#1901=CARTESIAN_POINT('',(1.175E1,-1.03E1,-2.E1)); +#1902=LINE('',#1901,#1900); +#1903=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1904=VECTOR('',#1903,1.2E0); +#1905=CARTESIAN_POINT('',(9.25E0,-9.1E0,-2.E1)); +#1906=LINE('',#1905,#1904); +#1907=CARTESIAN_POINT('',(8.75E0,-9.1E0,-2.E1)); +#1908=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1909=DIRECTION('',(-1.E0,-1.172395514004E-13,0.E0)); +#1910=AXIS2_PLACEMENT_3D('',#1907,#1908,#1909); +#1912=DIRECTION('',(0.E0,1.E0,0.E0)); +#1913=VECTOR('',#1912,1.2E0); +#1914=CARTESIAN_POINT('',(8.25E0,-1.03E1,-2.E1)); +#1915=LINE('',#1914,#1913); +#1916=DIRECTION('',(-1.184237892933E-14,-1.E0,0.E0)); +#1917=VECTOR('',#1916,1.2E0); +#1918=CARTESIAN_POINT('',(5.75E0,-9.1E0,-2.E1)); +#1919=LINE('',#1918,#1917); +#1920=CARTESIAN_POINT('',(5.25E0,-9.1E0,-2.E1)); +#1921=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1922=DIRECTION('',(-1.E0,-6.394884621841E-14,0.E0)); +#1923=AXIS2_PLACEMENT_3D('',#1920,#1921,#1922); +#1925=DIRECTION('',(0.E0,1.E0,0.E0)); +#1926=VECTOR('',#1925,1.2E0); +#1927=CARTESIAN_POINT('',(4.75E0,-1.03E1,-2.E1)); +#1928=LINE('',#1927,#1926); +#1929=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1930=VECTOR('',#1929,1.2E0); +#1931=CARTESIAN_POINT('',(2.25E0,-9.1E0,-2.E1)); +#1932=LINE('',#1931,#1930); +#1933=CARTESIAN_POINT('',(1.75E0,-9.1E0,-2.E1)); +#1934=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1935=DIRECTION('',(-1.E0,-6.039613253961E-14,0.E0)); +#1936=AXIS2_PLACEMENT_3D('',#1933,#1934,#1935); +#1938=DIRECTION('',(0.E0,1.E0,0.E0)); +#1939=VECTOR('',#1938,1.2E0); +#1940=CARTESIAN_POINT('',(1.25E0,-1.03E1,-2.E1)); +#1941=LINE('',#1940,#1939); +#1942=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1943=VECTOR('',#1942,1.2E0); +#1944=CARTESIAN_POINT('',(-1.25E0,-9.1E0,-2.E1)); +#1945=LINE('',#1944,#1943); +#1946=CARTESIAN_POINT('',(-1.75E0,-9.1E0,-2.E1)); +#1947=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1948=DIRECTION('',(-1.E0,-1.207922650792E-13,0.E0)); +#1949=AXIS2_PLACEMENT_3D('',#1946,#1947,#1948); +#1951=DIRECTION('',(0.E0,1.E0,0.E0)); +#1952=VECTOR('',#1951,1.2E0); +#1953=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-2.E1)); +#1954=LINE('',#1953,#1952); +#1955=DIRECTION('',(0.E0,1.E0,0.E0)); +#1956=VECTOR('',#1955,2.225E0); +#1957=CARTESIAN_POINT('',(-4.575E0,-1.03E1,-2.E1)); +#1958=LINE('',#1957,#1956); +#1959=CARTESIAN_POINT('',(-5.25E0,-8.075E0,-2.E1)); +#1960=DIRECTION('',(0.E0,0.E0,1.E0)); +#1961=DIRECTION('',(1.E0,0.E0,0.E0)); +#1962=AXIS2_PLACEMENT_3D('',#1959,#1960,#1961); +#1964=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1965=VECTOR('',#1964,2.225E0); +#1966=CARTESIAN_POINT('',(-5.925E0,-8.075E0,-2.E1)); +#1967=LINE('',#1966,#1965); +#1968=DIRECTION('',(1.628327102783E-14,-1.E0,0.E0)); +#1969=VECTOR('',#1968,1.2E0); +#1970=CARTESIAN_POINT('',(-8.25E0,-9.1E0,-2.E1)); +#1971=LINE('',#1970,#1969); +#1972=CARTESIAN_POINT('',(-8.75E0,-9.1E0,-2.E1)); +#1973=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1974=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1975=AXIS2_PLACEMENT_3D('',#1972,#1973,#1974); +#1977=DIRECTION('',(0.E0,1.E0,0.E0)); +#1978=VECTOR('',#1977,1.2E0); +#1979=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-2.E1)); +#1980=LINE('',#1979,#1978); +#1981=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1982=VECTOR('',#1981,1.2E0); +#1983=CARTESIAN_POINT('',(-1.175E1,-9.1E0,-2.E1)); +#1984=LINE('',#1983,#1982); +#1985=CARTESIAN_POINT('',(-1.225E1,-9.1E0,-2.E1)); +#1986=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1987=DIRECTION('',(-1.E0,-1.136868377216E-13,0.E0)); +#1988=AXIS2_PLACEMENT_3D('',#1985,#1986,#1987); +#1990=DIRECTION('',(0.E0,1.E0,0.E0)); +#1991=VECTOR('',#1990,1.2E0); +#1992=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-2.E1)); +#1993=LINE('',#1992,#1991); +#1994=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1995=VECTOR('',#1994,1.2E0); +#1996=CARTESIAN_POINT('',(-1.525E1,-9.1E0,-2.E1)); +#1997=LINE('',#1996,#1995); +#1998=CARTESIAN_POINT('',(-1.575E1,-9.1E0,-2.E1)); +#1999=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2000=DIRECTION('',(-1.E0,1.207922650792E-13,0.E0)); +#2001=AXIS2_PLACEMENT_3D('',#1998,#1999,#2000); +#2003=DIRECTION('',(0.E0,1.E0,0.E0)); +#2004=VECTOR('',#2003,1.2E0); +#2005=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-2.E1)); +#2006=LINE('',#2005,#2004); +#2007=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2008=VECTOR('',#2007,1.2E0); +#2009=CARTESIAN_POINT('',(-1.875E1,-9.1E0,-2.E1)); +#2010=LINE('',#2009,#2008); +#2011=CARTESIAN_POINT('',(-1.925E1,-9.1E0,-2.E1)); +#2012=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2013=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2014=AXIS2_PLACEMENT_3D('',#2011,#2012,#2013); +#2016=DIRECTION('',(0.E0,1.E0,0.E0)); +#2017=VECTOR('',#2016,1.2E0); +#2018=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-2.E1)); +#2019=LINE('',#2018,#2017); +#2020=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-2.E1)); +#2021=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2022=DIRECTION('',(9.599885898035E-14,-1.E0,0.E0)); +#2023=AXIS2_PLACEMENT_3D('',#2020,#2021,#2022); +#2025=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2026=VECTOR('',#2025,1.2E0); +#2027=CARTESIAN_POINT('',(-2.7E1,-5.2E0,-2.E1)); +#2028=LINE('',#2027,#2026); +#2029=CARTESIAN_POINT('',(-2.7E1,-4.7E0,-2.E1)); +#2030=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2031=DIRECTION('',(0.E0,1.E0,0.E0)); +#2032=AXIS2_PLACEMENT_3D('',#2029,#2030,#2031); +#2034=DIRECTION('',(1.E0,0.E0,0.E0)); +#2035=VECTOR('',#2034,1.2E0); +#2036=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-2.E1)); +#2037=LINE('',#2036,#2035); +#2038=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2039=VECTOR('',#2038,1.2E0); +#2040=CARTESIAN_POINT('',(-2.7E1,4.2E0,-2.E1)); +#2041=LINE('',#2040,#2039); +#2042=CARTESIAN_POINT('',(-2.7E1,4.7E0,-2.E1)); +#2043=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2044=DIRECTION('',(0.E0,1.E0,0.E0)); +#2045=AXIS2_PLACEMENT_3D('',#2042,#2043,#2044); +#2047=DIRECTION('',(1.E0,0.E0,0.E0)); +#2048=VECTOR('',#2047,1.2E0); +#2049=CARTESIAN_POINT('',(-2.82E1,5.2E0,-2.E1)); +#2050=LINE('',#2049,#2048); +#2051=CARTESIAN_POINT('',(-2.35E1,5.6E0,-2.E1)); +#2052=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2053=DIRECTION('',(-1.E0,-2.399971474509E-14,0.E0)); +#2054=AXIS2_PLACEMENT_3D('',#2051,#2052,#2053); +#2056=DIRECTION('',(0.E0,1.E0,0.E0)); +#2057=VECTOR('',#2056,1.2E0); +#2058=CARTESIAN_POINT('',(-1.975E1,9.1E0,-2.E1)); +#2059=LINE('',#2058,#2057); +#2060=CARTESIAN_POINT('',(-1.925E1,9.1E0,-2.E1)); +#2061=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2062=DIRECTION('',(1.E0,1.172395514004E-13,0.E0)); +#2063=AXIS2_PLACEMENT_3D('',#2060,#2061,#2062); +#2065=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2066=VECTOR('',#2065,1.2E0); +#2067=CARTESIAN_POINT('',(-1.875E1,1.03E1,-2.E1)); +#2068=LINE('',#2067,#2066); +#2069=DIRECTION('',(0.E0,1.E0,0.E0)); +#2070=VECTOR('',#2069,1.2E0); +#2071=CARTESIAN_POINT('',(-1.625E1,9.1E0,-2.E1)); +#2072=LINE('',#2071,#2070); +#2073=CARTESIAN_POINT('',(-1.575E1,9.1E0,-2.E1)); +#2074=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2075=DIRECTION('',(1.E0,-6.394884621841E-14,0.E0)); +#2076=AXIS2_PLACEMENT_3D('',#2073,#2074,#2075); +#2078=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2079=VECTOR('',#2078,1.2E0); +#2080=CARTESIAN_POINT('',(-1.525E1,1.03E1,-2.E1)); +#2081=LINE('',#2080,#2079); +#2082=DIRECTION('',(0.E0,1.E0,0.E0)); +#2083=VECTOR('',#2082,1.2E0); +#2084=CARTESIAN_POINT('',(-1.275E1,9.1E0,-2.E1)); +#2085=LINE('',#2084,#2083); +#2086=CARTESIAN_POINT('',(-1.225E1,9.1E0,-2.E1)); +#2087=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2088=DIRECTION('',(1.E0,0.E0,0.E0)); +#2089=AXIS2_PLACEMENT_3D('',#2086,#2087,#2088); +#2091=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2092=VECTOR('',#2091,1.2E0); +#2093=CARTESIAN_POINT('',(-1.175E1,1.03E1,-2.E1)); +#2094=LINE('',#2093,#2092); +#2095=DIRECTION('',(0.E0,1.E0,0.E0)); +#2096=VECTOR('',#2095,1.2E0); +#2097=CARTESIAN_POINT('',(-9.25E0,9.1E0,-2.E1)); +#2098=LINE('',#2097,#2096); +#2099=CARTESIAN_POINT('',(-8.75E0,9.1E0,-2.E1)); +#2100=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2101=DIRECTION('',(1.E0,2.486899575160E-14,0.E0)); +#2102=AXIS2_PLACEMENT_3D('',#2099,#2100,#2101); +#2104=DIRECTION('',(2.664535259100E-14,-1.E0,0.E0)); +#2105=VECTOR('',#2104,1.2E0); +#2106=CARTESIAN_POINT('',(-8.25E0,1.03E1,-2.E1)); +#2107=LINE('',#2106,#2105); +#2108=DIRECTION('',(0.E0,1.E0,0.E0)); +#2109=VECTOR('',#2108,1.2E0); +#2110=CARTESIAN_POINT('',(-5.75E0,9.1E0,-2.E1)); +#2111=LINE('',#2110,#2109); +#2112=CARTESIAN_POINT('',(-5.25E0,9.1E0,-2.E1)); +#2113=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2114=DIRECTION('',(1.E0,6.039613253961E-14,0.E0)); +#2115=AXIS2_PLACEMENT_3D('',#2112,#2113,#2114); +#2117=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2118=VECTOR('',#2117,1.2E0); +#2119=CARTESIAN_POINT('',(-4.75E0,1.03E1,-2.E1)); +#2120=LINE('',#2119,#2118); +#2121=DIRECTION('',(0.E0,1.E0,0.E0)); +#2122=VECTOR('',#2121,1.2E0); +#2123=CARTESIAN_POINT('',(-2.25E0,9.1E0,-2.E1)); +#2124=LINE('',#2123,#2122); +#2125=CARTESIAN_POINT('',(-1.75E0,9.1E0,-2.E1)); +#2126=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2127=DIRECTION('',(1.E0,-5.684341886081E-14,0.E0)); +#2128=AXIS2_PLACEMENT_3D('',#2125,#2126,#2127); +#2130=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2131=VECTOR('',#2130,1.2E0); +#2132=CARTESIAN_POINT('',(-1.25E0,1.03E1,-2.E1)); +#2133=LINE('',#2132,#2131); +#2134=CARTESIAN_POINT('',(2.35E1,-5.6E0,-5.E-1)); +#2135=DIRECTION('',(0.E0,0.E0,1.E0)); +#2136=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2137=AXIS2_PLACEMENT_3D('',#2134,#2135,#2136); +#2139=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2140=VECTOR('',#2139,1.8775E1); +#2141=CARTESIAN_POINT('',(2.97E1,-5.6E0,-5.E-1)); +#2142=LINE('',#2141,#2140); +#2143=CARTESIAN_POINT('',(2.35E1,-1.13E1,-5.E-1)); +#2144=DIRECTION('',(1.E0,0.E0,0.E0)); +#2145=DIRECTION('',(0.E0,0.E0,1.E0)); +#2146=AXIS2_PLACEMENT_3D('',#2143,#2144,#2145); +#2148=CARTESIAN_POINT('',(2.92E1,-5.6E0,-5.E-1)); +#2149=DIRECTION('',(0.E0,1.E0,0.E0)); +#2150=DIRECTION('',(0.E0,0.E0,1.E0)); +#2151=AXIS2_PLACEMENT_3D('',#2148,#2149,#2150); +#2153=CARTESIAN_POINT('',(2.92E1,5.6E0,-5.E-1)); +#2154=DIRECTION('',(0.E0,1.E0,0.E0)); +#2155=DIRECTION('',(-1.421085471520E-14,0.E0,1.E0)); +#2156=AXIS2_PLACEMENT_3D('',#2153,#2154,#2155); +#2158=CARTESIAN_POINT('',(2.35E1,1.13E1,-5.E-1)); +#2159=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2160=DIRECTION('',(0.E0,0.E0,1.E0)); +#2161=AXIS2_PLACEMENT_3D('',#2158,#2159,#2160); +#2163=CARTESIAN_POINT('',(4.543744331763E0,1.13E1,0.E0)); +#2164=CARTESIAN_POINT('',(4.540105906632E0,1.134158738954E1,0.E0)); +#2165=CARTESIAN_POINT('',(4.533684532337E0,1.141498403359E1, +-9.815485926214E-3)); +#2166=CARTESIAN_POINT('',(4.522870491953E0,1.153858908078E1, +-5.272327399693E-2)); +#2167=CARTESIAN_POINT('',(4.512214902506E0,1.166038302548E1, +-1.391953292943E-1)); +#2168=CARTESIAN_POINT('',(4.504633267249E0,1.174704151301E1, +-2.609370535014E-1)); +#2169=CARTESIAN_POINT('',(4.500864568175E0,1.179011794054E1, +-3.846810674798E-1)); +#2170=CARTESIAN_POINT('',(4.5E0,1.18E1,-4.582537533845E-1)); +#2171=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.E-1)); +#2173=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2174=VECTOR('',#2173,5.4E0); +#2175=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#2176=LINE('',#2175,#2174); +#2177=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2178=VECTOR('',#2177,4.9E0); +#2179=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.E-1)); +#2180=LINE('',#2179,#2178); +#2181=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2182=VECTOR('',#2181,1.8E0); +#2183=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.4E0)); +#2184=LINE('',#2183,#2182); +#2185=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2186=VECTOR('',#2185,4.9E0); +#2187=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#2188=LINE('',#2187,#2186); +#2189=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2190=VECTOR('',#2189,1.9E1); +#2191=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#2192=LINE('',#2191,#2190); +#2193=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2194=VECTOR('',#2193,1.8775E1); +#2195=CARTESIAN_POINT('',(-2.35E1,1.18E1,-5.E-1)); +#2196=LINE('',#2195,#2194); +#2197=DIRECTION('',(1.E0,0.E0,0.E0)); +#2198=VECTOR('',#2197,5.59E1); +#2199=CARTESIAN_POINT('',(-2.795E1,1.18E1,-2.E1)); +#2200=LINE('',#2199,#2198); +#2201=DIRECTION('',(0.E0,0.E0,1.E0)); +#2202=VECTOR('',#2201,7.25E-1); +#2203=CARTESIAN_POINT('',(2.795E1,1.18E1,-2.E1)); +#2204=LINE('',#2203,#2202); +#2205=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2206=VECTOR('',#2205,1.9E1); +#2207=CARTESIAN_POINT('',(2.35E1,1.18E1,-5.E-1)); +#2208=LINE('',#2207,#2206); +#2209=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2210=VECTOR('',#2209,4.9E0); +#2211=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.E-1)); +#2212=LINE('',#2211,#2210); +#2213=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2214=VECTOR('',#2213,1.8E0); +#2215=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.4E0)); +#2216=LINE('',#2215,#2214); +#2217=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2218=VECTOR('',#2217,4.9E0); +#2219=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#2220=LINE('',#2219,#2218); +#2221=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#2222=CARTESIAN_POINT('',(2.7E0,1.18E1,-4.582537533845E-1)); +#2223=CARTESIAN_POINT('',(2.699135431825E0,1.179011794054E1, +-3.846810674798E-1)); +#2224=CARTESIAN_POINT('',(2.695366732751E0,1.174704151301E1, +-2.609370535014E-1)); +#2225=CARTESIAN_POINT('',(2.687785097494E0,1.166038302548E1, +-1.391953292943E-1)); +#2226=CARTESIAN_POINT('',(2.677129508047E0,1.153858908078E1, +-5.272327399692E-2)); +#2227=CARTESIAN_POINT('',(2.666315467663E0,1.141498403359E1, +-9.815485926211E-3)); +#2228=CARTESIAN_POINT('',(2.659894093368E0,1.134158738954E1,0.E0)); +#2229=CARTESIAN_POINT('',(2.656255668237E0,1.13E1,0.E0)); +#2231=CARTESIAN_POINT('',(-2.656255668237E0,1.13E1,0.E0)); +#2232=CARTESIAN_POINT('',(-2.659894093368E0,1.134158738954E1,0.E0)); +#2233=CARTESIAN_POINT('',(-2.666315467663E0,1.141498403359E1, +-9.815485926211E-3)); +#2234=CARTESIAN_POINT('',(-2.677129508047E0,1.153858908078E1, +-5.272327399692E-2)); +#2235=CARTESIAN_POINT('',(-2.687785097494E0,1.166038302548E1, +-1.391953292943E-1)); +#2236=CARTESIAN_POINT('',(-2.695366732751E0,1.174704151301E1, +-2.609370535014E-1)); +#2237=CARTESIAN_POINT('',(-2.699135431825E0,1.179011794054E1, +-3.846810674798E-1)); +#2238=CARTESIAN_POINT('',(-2.7E0,1.18E1,-4.582537533845E-1)); +#2239=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.E-1)); +#2241=CARTESIAN_POINT('',(2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#2242=CARTESIAN_POINT('',(2.710160632670E0,1.191613656285E1, +-2.264662975577E-1)); +#2243=CARTESIAN_POINT('',(2.705291299141E0,1.186047982593E1, +-1.507214237123E-1)); +#2244=CARTESIAN_POINT('',(2.696320077438E0,1.175793829264E1, +-6.846648175832E-2)); +#2245=CARTESIAN_POINT('',(2.685824804069E0,1.163797676910E1, +-1.488071967026E-2)); +#2246=CARTESIAN_POINT('',(2.677719936213E0,1.154533770560E1,0.E0)); +#2247=CARTESIAN_POINT('',(2.673271973258E0,1.149449725639E1,0.E0)); +#2249=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2250=VECTOR('',#2249,1.775607112880E0); +#2251=CARTESIAN_POINT('',(4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#2252=LINE('',#2251,#2250); +#2253=DIRECTION('',(3.989075718141E-2,4.559534409803E-1,-8.891092099116E-1)); +#2254=VECTOR('',#2253,4.080666675536E0); +#2255=CARTESIAN_POINT('',(2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#2256=LINE('',#2255,#2254); +#2257=DIRECTION('',(-3.989075718142E-2,4.559534409803E-1,-8.891092099116E-1)); +#2258=VECTOR('',#2257,4.080666675536E0); +#2259=CARTESIAN_POINT('',(4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#2260=LINE('',#2259,#2258); +#2261=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2262=VECTOR('',#2261,1.775607112880E0); +#2263=CARTESIAN_POINT('',(-2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#2264=LINE('',#2263,#2262); +#2265=DIRECTION('',(3.989075718142E-2,4.559534409803E-1,-8.891092099116E-1)); +#2266=VECTOR('',#2265,4.080666675536E0); +#2267=CARTESIAN_POINT('',(-4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#2268=LINE('',#2267,#2266); +#2269=DIRECTION('',(-3.989075718141E-2,4.559534409803E-1,-8.891092099116E-1)); +#2270=VECTOR('',#2269,4.080666675536E0); +#2271=CARTESIAN_POINT('',(-2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#2272=LINE('',#2271,#2270); +#2273=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2274=VECTOR('',#2273,1.5E0); +#2275=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-3.9E0)); +#2276=LINE('',#2275,#2274); +#2277=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2278=VECTOR('',#2277,1.450045345896E0); +#2279=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-5.4E0)); +#2280=LINE('',#2279,#2278); +#2281=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2282=VECTOR('',#2281,1.5E0); +#2283=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-3.9E0)); +#2284=LINE('',#2283,#2282); +#2285=DIRECTION('',(1.E0,0.E0,0.E0)); +#2286=VECTOR('',#2285,1.450045345896E0); +#2287=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-3.9E0)); +#2288=LINE('',#2287,#2286); +#2289=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2290=VECTOR('',#2289,1.5E0); +#2291=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-3.9E0)); +#2292=LINE('',#2291,#2290); +#2293=DIRECTION('',(1.E0,0.E0,0.E0)); +#2294=VECTOR('',#2293,1.450045345896E0); +#2295=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-3.9E0)); +#2296=LINE('',#2295,#2294); +#2297=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2298=VECTOR('',#2297,1.5E0); +#2299=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-3.9E0)); +#2300=LINE('',#2299,#2298); +#2301=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2302=VECTOR('',#2301,1.450045345896E0); +#2303=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-5.4E0)); +#2304=LINE('',#2303,#2302); +#2305=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#2306=VECTOR('',#2305,2.007639675087E0); +#2307=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.4E0)); +#2308=LINE('',#2307,#2306); +#2309=DIRECTION('',(8.715574274766E-2,-9.961946980917E-1,0.E0)); +#2310=VECTOR('',#2309,2.007639675087E0); +#2311=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-5.4E0)); +#2312=LINE('',#2311,#2310); +#2313=CARTESIAN_POINT('',(4.526728026742E0,1.149449725639E1,0.E0)); +#2314=CARTESIAN_POINT('',(4.522280063788E0,1.154533770560E1,0.E0)); +#2315=CARTESIAN_POINT('',(4.514175195931E0,1.163797676910E1, +-1.488071967026E-2)); +#2316=CARTESIAN_POINT('',(4.503679922562E0,1.175793829264E1, +-6.846648175832E-2)); +#2317=CARTESIAN_POINT('',(4.494708700859E0,1.186047982593E1, +-1.507214237123E-1)); +#2318=CARTESIAN_POINT('',(4.489839367330E0,1.191613656285E1, +-2.264662975577E-1)); +#2319=CARTESIAN_POINT('',(4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#2321=CARTESIAN_POINT('',(-2.673271973258E0,1.149449725639E1,0.E0)); +#2322=CARTESIAN_POINT('',(-2.677719936213E0,1.154533770560E1,0.E0)); +#2323=CARTESIAN_POINT('',(-2.685824804069E0,1.163797676910E1, +-1.488071967026E-2)); +#2324=CARTESIAN_POINT('',(-2.696320077438E0,1.175793829264E1, +-6.846648175832E-2)); +#2325=CARTESIAN_POINT('',(-2.705291299141E0,1.186047982593E1, +-1.507214237123E-1)); +#2326=CARTESIAN_POINT('',(-2.710160632670E0,1.191613656285E1, +-2.264662975577E-1)); +#2327=CARTESIAN_POINT('',(-2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#2329=CARTESIAN_POINT('',(-4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#2330=CARTESIAN_POINT('',(-4.489839367330E0,1.191613656285E1, +-2.264662975577E-1)); +#2331=CARTESIAN_POINT('',(-4.494708700859E0,1.186047982593E1, +-1.507214237123E-1)); +#2332=CARTESIAN_POINT('',(-4.503679922562E0,1.175793829264E1, +-6.846648175832E-2)); +#2333=CARTESIAN_POINT('',(-4.514175195931E0,1.163797676910E1, +-1.488071967026E-2)); +#2334=CARTESIAN_POINT('',(-4.522280063787E0,1.154533770560E1,0.E0)); +#2335=CARTESIAN_POINT('',(-4.526728026742E0,1.149449725639E1,0.E0)); +#2337=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#2338=CARTESIAN_POINT('',(-4.5E0,1.18E1,-4.582537533845E-1)); +#2339=CARTESIAN_POINT('',(-4.500864568175E0,1.179011794054E1, +-3.846810674798E-1)); +#2340=CARTESIAN_POINT('',(-4.504633267249E0,1.174704151301E1, +-2.609370535014E-1)); +#2341=CARTESIAN_POINT('',(-4.512214902506E0,1.166038302548E1, +-1.391953292943E-1)); +#2342=CARTESIAN_POINT('',(-4.522870491953E0,1.153858908078E1, +-5.272327399693E-2)); +#2343=CARTESIAN_POINT('',(-4.533684532337E0,1.141498403359E1, +-9.815485926214E-3)); +#2344=CARTESIAN_POINT('',(-4.540105906632E0,1.134158738954E1,0.E0)); +#2345=CARTESIAN_POINT('',(-4.543744331763E0,1.13E1,0.E0)); +#2347=CARTESIAN_POINT('',(-2.35E1,1.13E1,-5.E-1)); +#2348=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2349=DIRECTION('',(0.E0,1.065814103640E-14,1.E0)); +#2350=AXIS2_PLACEMENT_3D('',#2347,#2348,#2349); +#2352=CARTESIAN_POINT('',(-2.92E1,5.6E0,-5.E-1)); +#2353=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2354=DIRECTION('',(0.E0,0.E0,1.E0)); +#2355=AXIS2_PLACEMENT_3D('',#2352,#2353,#2354); +#2357=CARTESIAN_POINT('',(-2.92E1,-5.6E0,-5.E-1)); +#2358=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2359=DIRECTION('',(0.E0,0.E0,1.E0)); +#2360=AXIS2_PLACEMENT_3D('',#2357,#2358,#2359); +#2362=CARTESIAN_POINT('',(-2.35E1,-1.13E1,-5.E-1)); +#2363=DIRECTION('',(1.E0,0.E0,0.E0)); +#2364=DIRECTION('',(0.E0,0.E0,1.E0)); +#2365=AXIS2_PLACEMENT_3D('',#2362,#2363,#2364); +#2367=DIRECTION('',(1.E0,0.E0,0.E0)); +#2368=VECTOR('',#2367,4.7E1); +#2369=CARTESIAN_POINT('',(-2.35E1,-1.18E1,-5.E-1)); +#2370=LINE('',#2369,#2368); +#2371=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2372=VECTOR('',#2371,1.95E1); +#2373=CARTESIAN_POINT('',(2.35E1,-1.18E1,-5.E-1)); +#2374=LINE('',#2373,#2372); +#2375=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2376=VECTOR('',#2375,4.7E1); +#2377=CARTESIAN_POINT('',(2.35E1,-1.18E1,-2.E1)); +#2378=LINE('',#2377,#2376); +#2379=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-5.E-1)); +#2380=DIRECTION('',(0.E0,0.E0,1.E0)); +#2381=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2382=AXIS2_PLACEMENT_3D('',#2379,#2380,#2381); +#2384=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2385=VECTOR('',#2384,1.95E1); +#2386=CARTESIAN_POINT('',(-2.35E1,-1.18E1,-5.E-1)); +#2387=LINE('',#2386,#2385); +#2388=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2389=VECTOR('',#2388,7.25E-1); +#2390=CARTESIAN_POINT('',(-2.723329613077E1,-1.055E1,-1.9275E1)); +#2391=LINE('',#2390,#2389); +#2392=DIRECTION('',(0.E0,0.E0,1.E0)); +#2393=VECTOR('',#2392,7.25E-1); +#2394=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-2.E1)); +#2395=LINE('',#2394,#2393); +#2396=DIRECTION('',(0.E0,0.E0,1.E0)); +#2397=VECTOR('',#2396,7.25E-1); +#2398=CARTESIAN_POINT('',(-3.545E1,-9.645207879912E0,-2.E1)); +#2399=LINE('',#2398,#2397); +#2400=DIRECTION('',(0.E0,0.E0,1.E0)); +#2401=VECTOR('',#2400,7.25E-1); +#2402=CARTESIAN_POINT('',(-3.545E1,-4.954792120088E0,-2.E1)); +#2403=LINE('',#2402,#2401); +#2404=DIRECTION('',(0.E0,0.E0,1.E0)); +#2405=VECTOR('',#2404,7.25E-1); +#2406=CARTESIAN_POINT('',(-3.32E1,-4.05E0,-2.E1)); +#2407=LINE('',#2406,#2405); +#2408=DIRECTION('',(0.E0,0.E0,1.E0)); +#2409=VECTOR('',#2408,7.25E-1); +#2410=CARTESIAN_POINT('',(-3.07E1,-4.05E0,-2.E1)); +#2411=LINE('',#2410,#2409); +#2412=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2413=VECTOR('',#2412,1.12E1); +#2414=CARTESIAN_POINT('',(-2.97E1,5.6E0,-5.E-1)); +#2415=LINE('',#2414,#2413); +#2416=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2417=VECTOR('',#2416,1.8775E1); +#2418=CARTESIAN_POINT('',(-2.97E1,-5.6E0,-5.E-1)); +#2419=LINE('',#2418,#2417); +#2420=DIRECTION('',(0.E0,1.E0,0.E0)); +#2421=VECTOR('',#2420,2.55E0); +#2422=CARTESIAN_POINT('',(-2.97E1,-5.6E0,-1.9275E1)); +#2423=LINE('',#2422,#2421); +#2424=DIRECTION('',(0.E0,0.E0,1.E0)); +#2425=VECTOR('',#2424,7.25E-1); +#2426=CARTESIAN_POINT('',(-2.97E1,-3.05E0,-2.E1)); +#2427=LINE('',#2426,#2425); +#2428=DIRECTION('',(0.E0,1.E0,0.E0)); +#2429=VECTOR('',#2428,8.65E0); +#2430=CARTESIAN_POINT('',(-2.97E1,-3.05E0,-2.E1)); +#2431=LINE('',#2430,#2429); +#2432=CARTESIAN_POINT('',(-3.17E1,1.08E1,-1.9275E1)); +#2433=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2434=DIRECTION('',(1.E0,0.E0,0.E0)); +#2435=AXIS2_PLACEMENT_3D('',#2432,#2433,#2434); +#2437=CARTESIAN_POINT('',(-3.17E1,1.08E1,-1.9275E1)); +#2438=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2439=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2440=AXIS2_PLACEMENT_3D('',#2437,#2438,#2439); +#2442=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-1.9275E1)); +#2443=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2444=DIRECTION('',(1.E0,0.E0,0.E0)); +#2445=AXIS2_PLACEMENT_3D('',#2442,#2443,#2444); +#2447=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-1.9275E1)); +#2448=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2449=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2450=AXIS2_PLACEMENT_3D('',#2447,#2448,#2449); +#2452=CARTESIAN_POINT('',(3.32E1,-7.3E0,-1.9275E1)); +#2453=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2454=DIRECTION('',(1.E0,0.E0,0.E0)); +#2455=AXIS2_PLACEMENT_3D('',#2452,#2453,#2454); +#2457=CARTESIAN_POINT('',(3.32E1,-7.3E0,-1.9275E1)); +#2458=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2459=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2460=AXIS2_PLACEMENT_3D('',#2457,#2458,#2459); +#2462=CARTESIAN_POINT('',(3.17E1,1.08E1,-1.9275E1)); +#2463=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2464=DIRECTION('',(1.E0,0.E0,0.E0)); +#2465=AXIS2_PLACEMENT_3D('',#2462,#2463,#2464); +#2467=CARTESIAN_POINT('',(3.17E1,1.08E1,-1.9275E1)); +#2468=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2469=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2470=AXIS2_PLACEMENT_3D('',#2467,#2468,#2469); +#2472=CARTESIAN_POINT('',(3.07E1,-3.05E0,-1.9275E1)); +#2473=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2474=DIRECTION('',(-1.776356839400E-14,-1.E0,0.E0)); +#2475=AXIS2_PLACEMENT_3D('',#2472,#2473,#2474); +#2477=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2478=VECTOR('',#2477,2.5E0); +#2479=CARTESIAN_POINT('',(3.32E1,-4.05E0,-1.9275E1)); +#2480=LINE('',#2479,#2478); +#2481=CARTESIAN_POINT('',(3.32E1,-7.3E0,-1.9275E1)); +#2482=DIRECTION('',(0.E0,0.E0,1.E0)); +#2483=DIRECTION('',(6.923076923077E-1,7.216024245882E-1,0.E0)); +#2484=AXIS2_PLACEMENT_3D('',#2481,#2482,#2483); +#2486=DIRECTION('',(0.E0,1.E0,0.E0)); +#2487=VECTOR('',#2486,4.690415759823E0); +#2488=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-1.9275E1)); +#2489=LINE('',#2488,#2487); +#2490=CARTESIAN_POINT('',(3.32E1,-7.3E0,-1.9275E1)); +#2491=DIRECTION('',(0.E0,0.E0,1.E0)); +#2492=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2493=AXIS2_PLACEMENT_3D('',#2490,#2491,#2492); +#2495=DIRECTION('',(1.E0,0.E0,0.E0)); +#2496=VECTOR('',#2495,5.966703869233E0); +#2497=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-1.9275E1)); +#2498=LINE('',#2497,#2496); +#2499=CARTESIAN_POINT('',(2.35E1,-5.6E0,-1.9275E1)); +#2500=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2501=DIRECTION('',(1.E0,0.E0,0.E0)); +#2502=AXIS2_PLACEMENT_3D('',#2499,#2500,#2501); +#2504=DIRECTION('',(1.E0,0.E0,0.E0)); +#2505=VECTOR('',#2504,5.966703869233E0); +#2506=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-1.9275E1)); +#2507=LINE('',#2506,#2505); +#2508=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-1.9275E1)); +#2509=DIRECTION('',(0.E0,0.E0,1.E0)); +#2510=DIRECTION('',(-6.923076923077E-1,-7.216024245882E-1,0.E0)); +#2511=AXIS2_PLACEMENT_3D('',#2508,#2509,#2510); +#2513=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2514=VECTOR('',#2513,4.690415759823E0); +#2515=CARTESIAN_POINT('',(-3.545E1,-4.954792120088E0,-1.9275E1)); +#2516=LINE('',#2515,#2514); +#2517=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-1.9275E1)); +#2518=DIRECTION('',(0.E0,0.E0,1.E0)); +#2519=DIRECTION('',(0.E0,1.E0,0.E0)); +#2520=AXIS2_PLACEMENT_3D('',#2517,#2518,#2519); +#2522=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2523=VECTOR('',#2522,2.5E0); +#2524=CARTESIAN_POINT('',(-3.07E1,-4.05E0,-1.9275E1)); +#2525=LINE('',#2524,#2523); +#2526=CARTESIAN_POINT('',(-3.07E1,-3.05E0,-1.9275E1)); +#2527=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2528=DIRECTION('',(1.E0,0.E0,0.E0)); +#2529=AXIS2_PLACEMENT_3D('',#2526,#2527,#2528); +#2531=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-1.9275E1)); +#2532=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2533=DIRECTION('',(-6.021445372205E-1,-7.983870967742E-1,0.E0)); +#2534=AXIS2_PLACEMENT_3D('',#2531,#2532,#2533); +#2536=CARTESIAN_POINT('',(-3.063705121181E1,6.55E0,-1.9275E1)); +#2537=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2538=DIRECTION('',(-1.776356839400E-14,1.E0,0.E0)); +#2539=AXIS2_PLACEMENT_3D('',#2536,#2537,#2538); +#2541=DIRECTION('',(1.E0,0.E0,0.E0)); +#2542=VECTOR('',#2541,1.062948788190E0); +#2543=CARTESIAN_POINT('',(-3.17E1,7.55E0,-1.9275E1)); +#2544=LINE('',#2543,#2542); +#2545=CARTESIAN_POINT('',(-3.17E1,1.08E1,-1.9275E1)); +#2546=DIRECTION('',(0.E0,0.E0,1.E0)); +#2547=DIRECTION('',(-7.216024245883E-1,6.923076923076E-1,0.E0)); +#2548=AXIS2_PLACEMENT_3D('',#2545,#2546,#2547); +#2550=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2551=VECTOR('',#2550,4.690415759824E0); +#2552=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-1.9275E1)); +#2553=LINE('',#2552,#2551); +#2554=CARTESIAN_POINT('',(-3.17E1,1.08E1,-1.9275E1)); +#2555=DIRECTION('',(0.E0,0.E0,1.E0)); +#2556=DIRECTION('',(8.823529411764E-1,4.705882352942E-1,0.E0)); +#2557=AXIS2_PLACEMENT_3D('',#2554,#2555,#2556); +#2559=CARTESIAN_POINT('',(-2.795E1,1.28E1,-1.9275E1)); +#2560=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2561=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2562=AXIS2_PLACEMENT_3D('',#2559,#2560,#2561); +#2564=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2565=VECTOR('',#2564,4.45E0); +#2566=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.9275E1)); +#2567=LINE('',#2566,#2565); +#2568=CARTESIAN_POINT('',(-2.35E1,5.6E0,-1.9275E1)); +#2569=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2570=DIRECTION('',(-9.912571127514E-1,1.319444444442E-1,0.E0)); +#2571=AXIS2_PLACEMENT_3D('',#2568,#2569,#2570); +#2573=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2574=VECTOR('',#2573,4.45E0); +#2575=CARTESIAN_POINT('',(2.795E1,1.18E1,-1.9275E1)); +#2576=LINE('',#2575,#2574); +#2577=CARTESIAN_POINT('',(2.795E1,1.28E1,-1.9275E1)); +#2578=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2579=DIRECTION('',(8.823529411763E-1,-4.705882352945E-1,0.E0)); +#2580=AXIS2_PLACEMENT_3D('',#2577,#2578,#2579); +#2582=CARTESIAN_POINT('',(3.17E1,1.08E1,-1.9275E1)); +#2583=DIRECTION('',(0.E0,0.E0,1.E0)); +#2584=DIRECTION('',(-7.216024245883E-1,6.923076923076E-1,0.E0)); +#2585=AXIS2_PLACEMENT_3D('',#2582,#2583,#2584); +#2587=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2588=VECTOR('',#2587,4.690415759824E0); +#2589=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-1.9275E1)); +#2590=LINE('',#2589,#2588); +#2591=CARTESIAN_POINT('',(3.17E1,1.08E1,-1.9275E1)); +#2592=DIRECTION('',(0.E0,0.E0,1.E0)); +#2593=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2594=AXIS2_PLACEMENT_3D('',#2591,#2592,#2593); +#2596=DIRECTION('',(1.E0,0.E0,0.E0)); +#2597=VECTOR('',#2596,1.062948788190E0); +#2598=CARTESIAN_POINT('',(3.063705121181E1,7.55E0,-1.9275E1)); +#2599=LINE('',#2598,#2597); +#2600=CARTESIAN_POINT('',(3.063705121181E1,6.55E0,-1.9275E1)); +#2601=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2602=DIRECTION('',(-9.912571127512E-1,-1.319444444461E-1,0.E0)); +#2603=AXIS2_PLACEMENT_3D('',#2600,#2601,#2602); +#2605=CARTESIAN_POINT('',(2.35E1,5.6E0,-1.9275E1)); +#2606=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2607=DIRECTION('',(-2.750488009394E-14,1.E0,0.E0)); +#2608=AXIS2_PLACEMENT_3D('',#2605,#2606,#2607); +#2610=DIRECTION('',(0.E0,0.E0,1.E0)); +#2611=VECTOR('',#2610,7.25E-1); +#2612=CARTESIAN_POINT('',(-3.0615E1,1.08E1,-2.E1)); +#2613=LINE('',#2612,#2611); +#2614=DIRECTION('',(0.E0,0.E0,1.E0)); +#2615=VECTOR('',#2614,7.25E-1); +#2616=CARTESIAN_POINT('',(-3.2785E1,1.08E1,-2.E1)); +#2617=LINE('',#2616,#2615); +#2618=DIRECTION('',(0.E0,0.E0,1.E0)); +#2619=VECTOR('',#2618,7.25E-1); +#2620=CARTESIAN_POINT('',(-3.2115E1,-7.3E0,-2.E1)); +#2621=LINE('',#2620,#2619); +#2622=DIRECTION('',(0.E0,0.E0,1.E0)); +#2623=VECTOR('',#2622,7.25E-1); +#2624=CARTESIAN_POINT('',(-3.4285E1,-7.3E0,-2.E1)); +#2625=LINE('',#2624,#2623); +#2626=DIRECTION('',(0.E0,0.E0,1.E0)); +#2627=VECTOR('',#2626,7.25E-1); +#2628=CARTESIAN_POINT('',(3.4285E1,-7.3E0,-2.E1)); +#2629=LINE('',#2628,#2627); +#2630=DIRECTION('',(0.E0,0.E0,1.E0)); +#2631=VECTOR('',#2630,7.25E-1); +#2632=CARTESIAN_POINT('',(3.2115E1,-7.3E0,-2.E1)); +#2633=LINE('',#2632,#2631); +#2634=DIRECTION('',(0.E0,0.E0,1.E0)); +#2635=VECTOR('',#2634,7.25E-1); +#2636=CARTESIAN_POINT('',(3.2785E1,1.08E1,-2.E1)); +#2637=LINE('',#2636,#2635); +#2638=DIRECTION('',(0.E0,0.E0,1.E0)); +#2639=VECTOR('',#2638,7.25E-1); +#2640=CARTESIAN_POINT('',(3.0615E1,1.08E1,-2.E1)); +#2641=LINE('',#2640,#2639); +#2642=DIRECTION('',(0.E0,0.E0,1.E0)); +#2643=VECTOR('',#2642,7.25E-1); +#2644=CARTESIAN_POINT('',(3.07E1,-4.05E0,-2.E1)); +#2645=LINE('',#2644,#2643); +#2646=DIRECTION('',(0.E0,1.E0,0.E0)); +#2647=VECTOR('',#2646,1.12E1); +#2648=CARTESIAN_POINT('',(2.97E1,-5.6E0,-5.E-1)); +#2649=LINE('',#2648,#2647); +#2650=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2651=VECTOR('',#2650,1.95E1); +#2652=CARTESIAN_POINT('',(2.97E1,5.6E0,-5.E-1)); +#2653=LINE('',#2652,#2651); +#2654=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2655=VECTOR('',#2654,8.65E0); +#2656=CARTESIAN_POINT('',(2.97E1,5.6E0,-2.E1)); +#2657=LINE('',#2656,#2655); +#2658=DIRECTION('',(0.E0,-6.186622095842E-14,1.E0)); +#2659=VECTOR('',#2658,7.25E-1); +#2660=CARTESIAN_POINT('',(2.97E1,-3.05E0,-2.E1)); +#2661=LINE('',#2660,#2659); +#2662=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2663=VECTOR('',#2662,2.55E0); +#2664=CARTESIAN_POINT('',(2.97E1,-3.05E0,-1.9275E1)); +#2665=LINE('',#2664,#2663); +#2666=CARTESIAN_POINT('',(2.35E1,5.6E0,-5.E-1)); +#2667=DIRECTION('',(0.E0,0.E0,1.E0)); +#2668=DIRECTION('',(1.E0,0.E0,0.E0)); +#2669=AXIS2_PLACEMENT_3D('',#2666,#2667,#2668); +#2671=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2672=VECTOR('',#2671,1.8775E1); +#2673=CARTESIAN_POINT('',(2.35E1,1.18E1,-5.E-1)); +#2674=LINE('',#2673,#2672); +#2675=DIRECTION('',(-5.390324202318E-14,7.362692830893E-13,-1.E0)); +#2676=VECTOR('',#2675,7.25E-1); +#2677=CARTESIAN_POINT('',(2.964579409906E1,6.418055555555E0,-1.9275E1)); +#2678=LINE('',#2677,#2676); +#2679=DIRECTION('',(0.E0,0.E0,1.E0)); +#2680=VECTOR('',#2679,7.25E-1); +#2681=CARTESIAN_POINT('',(3.063705121181E1,7.55E0,-2.E1)); +#2682=LINE('',#2681,#2680); +#2683=DIRECTION('',(0.E0,0.E0,1.E0)); +#2684=VECTOR('',#2683,7.25E-1); +#2685=CARTESIAN_POINT('',(3.17E1,7.55E0,-2.E1)); +#2686=LINE('',#2685,#2684); +#2687=DIRECTION('',(0.E0,0.E0,1.E0)); +#2688=VECTOR('',#2687,7.25E-1); +#2689=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-2.E1)); +#2690=LINE('',#2689,#2688); +#2691=DIRECTION('',(0.E0,0.E0,1.E0)); +#2692=VECTOR('',#2691,7.25E-1); +#2693=CARTESIAN_POINT('',(2.935479212009E1,1.305E1,-2.E1)); +#2694=LINE('',#2693,#2692); +#2695=DIRECTION('',(-4.410265256442E-14,-8.085486303477E-14,1.E0)); +#2696=VECTOR('',#2695,7.25E-1); +#2697=CARTESIAN_POINT('',(2.883235294118E1,1.232941176471E1,-2.E1)); +#2698=LINE('',#2697,#2696); +#2699=DIRECTION('',(0.E0,0.E0,1.E0)); +#2700=VECTOR('',#2699,7.25E-1); +#2701=CARTESIAN_POINT('',(3.32E1,-4.05E0,-2.E1)); +#2702=LINE('',#2701,#2700); +#2703=DIRECTION('',(0.E0,0.E0,1.E0)); +#2704=VECTOR('',#2703,7.25E-1); +#2705=CARTESIAN_POINT('',(3.545E1,-4.954792120088E0,-2.E1)); +#2706=LINE('',#2705,#2704); +#2707=DIRECTION('',(0.E0,0.E0,1.E0)); +#2708=VECTOR('',#2707,7.25E-1); +#2709=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-2.E1)); +#2710=LINE('',#2709,#2708); +#2711=DIRECTION('',(0.E0,0.E0,1.E0)); +#2712=VECTOR('',#2711,7.25E-1); +#2713=CARTESIAN_POINT('',(3.32E1,-1.055E1,-2.E1)); +#2714=LINE('',#2713,#2712); +#2715=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2716=VECTOR('',#2715,7.25E-1); +#2717=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-1.9275E1)); +#2718=LINE('',#2717,#2716); +#2719=DIRECTION('',(0.E0,0.E0,1.E0)); +#2720=VECTOR('',#2719,7.25E-1); +#2721=CARTESIAN_POINT('',(-3.063705121181E1,7.55E0,-2.E1)); +#2722=LINE('',#2721,#2720); +#2723=CARTESIAN_POINT('',(-2.35E1,5.6E0,-5.E-1)); +#2724=DIRECTION('',(0.E0,0.E0,1.E0)); +#2725=DIRECTION('',(0.E0,1.E0,0.E0)); +#2726=AXIS2_PLACEMENT_3D('',#2723,#2724,#2725); +#2728=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2729=VECTOR('',#2728,1.95E1); +#2730=CARTESIAN_POINT('',(-2.97E1,5.6E0,-5.E-1)); +#2731=LINE('',#2730,#2729); +#2732=DIRECTION('',(6.860412621132E-14,7.913975987949E-13,-1.E0)); +#2733=VECTOR('',#2732,7.25E-1); +#2734=CARTESIAN_POINT('',(-2.964579409906E1,6.418055555555E0,-1.9275E1)); +#2735=LINE('',#2734,#2733); +#2736=DIRECTION('',(0.E0,0.E0,1.E0)); +#2737=VECTOR('',#2736,7.25E-1); +#2738=CARTESIAN_POINT('',(-3.17E1,7.55E0,-2.E1)); +#2739=LINE('',#2738,#2737); +#2740=DIRECTION('',(0.E0,0.E0,1.E0)); +#2741=VECTOR('',#2740,7.25E-1); +#2742=CARTESIAN_POINT('',(-3.404520787991E1,1.305E1,-2.E1)); +#2743=LINE('',#2742,#2741); +#2744=DIRECTION('',(0.E0,0.E0,1.E0)); +#2745=VECTOR('',#2744,7.25E-1); +#2746=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-2.E1)); +#2747=LINE('',#2746,#2745); +#2748=DIRECTION('',(-4.410265256442E-14,8.085486303477E-14,1.E0)); +#2749=VECTOR('',#2748,7.25E-1); +#2750=CARTESIAN_POINT('',(-2.883235294118E1,1.232941176471E1,-2.E1)); +#2751=LINE('',#2750,#2749); +#2752=DIRECTION('',(0.E0,0.E0,1.E0)); +#2753=VECTOR('',#2752,7.25E-1); +#2754=CARTESIAN_POINT('',(-2.795E1,1.18E1,-2.E1)); +#2755=LINE('',#2754,#2753); +#2756=DIRECTION('',(8.715574274766E-2,-9.961946980917E-1,0.E0)); +#2757=VECTOR('',#2756,2.007639675087E0); +#2758=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-5.4E0)); +#2759=LINE('',#2758,#2757); +#2760=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#2761=VECTOR('',#2760,2.007639675087E0); +#2762=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#2763=LINE('',#2762,#2761); +#2764=DIRECTION('',(0.E0,0.E0,1.E0)); +#2765=VECTOR('',#2764,2.E-1); +#2766=CARTESIAN_POINT('',(-2.480967741935E1,3.2E0,-2.E1)); +#2767=LINE('',#2766,#2765); +#2768=DIRECTION('',(0.E0,0.E0,1.E0)); +#2769=VECTOR('',#2768,2.E-1); +#2770=CARTESIAN_POINT('',(-2.480967741935E1,4.859574508667E0,-2.E1)); +#2771=LINE('',#2770,#2769); +#2772=DIRECTION('',(0.E0,0.E0,1.E0)); +#2773=VECTOR('',#2772,2.E-1); +#2774=CARTESIAN_POINT('',(-2.51E1,4.561702084541E0,-2.E1)); +#2775=LINE('',#2774,#2773); +#2776=DIRECTION('',(0.E0,0.E0,1.E0)); +#2777=VECTOR('',#2776,2.E-1); +#2778=CARTESIAN_POINT('',(-2.51E1,4.902127695084E0,-2.E1)); +#2779=LINE('',#2778,#2777); +#2780=DIRECTION('',(0.E0,0.E0,1.E0)); +#2781=VECTOR('',#2780,2.E-1); +#2782=CARTESIAN_POINT('',(-2.480967741935E1,5.2E0,-2.E1)); +#2783=LINE('',#2782,#2781); +#2784=DIRECTION('',(0.E0,0.E0,1.E0)); +#2785=VECTOR('',#2784,2.E-1); +#2786=CARTESIAN_POINT('',(-2.451935483871E1,5.2E0,-2.E1)); +#2787=LINE('',#2786,#2785); +#2788=DIRECTION('',(0.E0,0.E0,1.E0)); +#2789=VECTOR('',#2788,2.E-1); +#2790=CARTESIAN_POINT('',(-2.451935483871E1,3.2E0,-2.E1)); +#2791=LINE('',#2790,#2789); +#2792=DIRECTION('',(0.E0,1.E0,0.E0)); +#2793=VECTOR('',#2792,2.E0); +#2794=CARTESIAN_POINT('',(-2.451935483871E1,3.2E0,-1.98E1)); +#2795=LINE('',#2794,#2793); +#2796=DIRECTION('',(1.E0,0.E0,0.E0)); +#2797=VECTOR('',#2796,2.903225806452E-1); +#2798=CARTESIAN_POINT('',(-2.480967741935E1,3.2E0,-1.98E1)); +#2799=LINE('',#2798,#2797); +#2800=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2801=VECTOR('',#2800,1.659574508667E0); +#2802=CARTESIAN_POINT('',(-2.480967741935E1,4.859574508667E0,-1.98E1)); +#2803=LINE('',#2802,#2801); +#2804=DIRECTION('',(6.979731379535E-1,7.161239408757E-1,0.E0)); +#2805=VECTOR('',#2804,4.159509368747E-1); +#2806=CARTESIAN_POINT('',(-2.51E1,4.561702084541E0,-1.98E1)); +#2807=LINE('',#2806,#2805); +#2808=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2809=VECTOR('',#2808,3.404256105423E-1); +#2810=CARTESIAN_POINT('',(-2.51E1,4.902127695084E0,-1.98E1)); +#2811=LINE('',#2810,#2809); +#2812=DIRECTION('',(-6.979732812036E-1,-7.161238012564E-1,0.E0)); +#2813=VECTOR('',#2812,4.159508515061E-1); +#2814=CARTESIAN_POINT('',(-2.480967741935E1,5.2E0,-1.98E1)); +#2815=LINE('',#2814,#2813); +#2816=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2817=VECTOR('',#2816,2.903225806452E-1); +#2818=CARTESIAN_POINT('',(-2.451935483871E1,5.2E0,-1.98E1)); +#2819=LINE('',#2818,#2817); +#2820=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2821=VECTOR('',#2820,2.127659618855E-1); +#2822=CARTESIAN_POINT('',(-2.5E1,-5.987234038115E0,-1.98E1)); +#2823=LINE('',#2822,#2821); +#2824=DIRECTION('',(-5.131025932281E-1,-8.583272853770E-1,0.E0)); +#2825=VECTOR('',#2824,1.239422033934E0); +#2826=CARTESIAN_POINT('',(-2.436404934028E1,-4.923404288292E0,-1.98E1)); +#2827=LINE('',#2826,#2825); +#2828=DIRECTION('',(-2.578481534210E-1,-9.661854530976E-1,0.E0)); +#2829=VECTOR('',#2828,1.761698492984E-1); +#2830=CARTESIAN_POINT('',(-2.431862426995E1,-4.753191542625E0,-1.98E1)); +#2831=LINE('',#2830,#2829); +#2832=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2833=VECTOR('',#2832,8.510649204254E-2); +#2834=CARTESIAN_POINT('',(-2.431862426995E1,-4.668085050583E0,-1.98E1)); +#2835=LINE('',#2834,#2833); +#2836=DIRECTION('',(3.352390012686E-1,-9.421331179979E-1,0.E0)); +#2837=VECTOR('',#2836,1.355005538083E-1); +#2838=CARTESIAN_POINT('',(-2.436404934028E1,-4.540425491333E0,-1.98E1)); +#2839=LINE('',#2838,#2837); +#2840=DIRECTION('',(6.249884924060E-1,-7.806339631095E-1,0.E0)); +#2841=VECTOR('',#2840,1.090221241390E-1); +#2842=CARTESIAN_POINT('',(-2.443218691329E1,-4.455319118500E0,-1.98E1)); +#2843=LINE('',#2842,#2841); +#2844=DIRECTION('',(9.364180470546E-1,-3.508863650108E-1,0.E0)); +#2845=VECTOR('',#2844,1.212734111664E-1); +#2846=CARTESIAN_POINT('',(-2.454574952413E1,-4.412765932083E0,-1.98E1)); +#2847=LINE('',#2846,#2845); +#2848=DIRECTION('',(1.E0,0.E0,0.E0)); +#2849=VECTOR('',#2848,9.085007567904E-2); +#2850=CARTESIAN_POINT('',(-2.463659959981E1,-4.412765932083E0,-1.98E1)); +#2851=LINE('',#2850,#2849); +#2852=DIRECTION('',(9.364180635474E-1,3.508863209961E-1,0.E0)); +#2853=VECTOR('',#2852,1.212734263787E-1); +#2854=CARTESIAN_POINT('',(-2.475016222690E1,-4.455319118500E0,-1.98E1)); +#2855=LINE('',#2854,#2853); +#2856=DIRECTION('',(6.249884924060E-1,7.806339631095E-1,0.E0)); +#2857=VECTOR('',#2856,1.090221241390E-1); +#2858=CARTESIAN_POINT('',(-2.481829979991E1,-4.540425491333E0,-1.98E1)); +#2859=LINE('',#2858,#2857); +#2860=DIRECTION('',(3.352388948518E-1,9.421331558641E-1,0.E0)); +#2861=VECTOR('',#2860,1.355005483623E-1); +#2862=CARTESIAN_POINT('',(-2.486372485399E1,-4.668085050583E0,-1.98E1)); +#2863=LINE('',#2862,#2861); +#2864=DIRECTION('',(1.E0,0.E0,0.E0)); +#2865=VECTOR('',#2864,1.135626209973E-1); +#2866=CARTESIAN_POINT('',(-2.497728747499E1,-4.668085050583E0,-1.98E1)); +#2867=LINE('',#2866,#2865); +#2868=DIRECTION('',(-1.322638042936E-1,-9.912145509796E-1,0.E0)); +#2869=VECTOR('',#2868,1.717213952300E-1); +#2870=CARTESIAN_POINT('',(-2.495457494998E1,-4.497872304916E0,-1.98E1)); +#2871=LINE('',#2870,#2869); +#2872=DIRECTION('',(-4.708705310514E-1,-8.822023254262E-1,0.E0)); +#2873=VECTOR('',#2872,1.929407129870E-1); +#2874=CARTESIAN_POINT('',(-2.486372485399E1,-4.327659559250E0,-1.98E1)); +#2875=LINE('',#2874,#2873); +#2876=DIRECTION('',(-7.298000386063E-1,-6.836606641092E-1,0.E0)); +#2877=VECTOR('',#2876,1.244862799649E-1); +#2878=CARTESIAN_POINT('',(-2.477287476207E1,-4.242553186417E0,-1.98E1)); +#2879=LINE('',#2878,#2877); +#2880=DIRECTION('',(-9.659978342114E-1,-2.585501581878E-1,0.E0)); +#2881=VECTOR('',#2880,1.645838730670E-1); +#2882=CARTESIAN_POINT('',(-2.461388709714E1,-4.2E0,-1.98E1)); +#2883=LINE('',#2882,#2881); +#2884=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2885=VECTOR('',#2884,9.085010816957E-2); +#2886=CARTESIAN_POINT('',(-2.452303698897E1,-4.2E0,-1.98E1)); +#2887=LINE('',#2886,#2885); +#2888=DIRECTION('',(-9.545453575187E-1,2.980656981935E-1,0.E0)); +#2889=VECTOR('',#2888,1.427644531878E-1); +#2890=CARTESIAN_POINT('',(-2.438676184296E1,-4.242553186417E0,-1.98E1)); +#2891=LINE('',#2890,#2889); +#2892=DIRECTION('',(-7.297999776124E-1,6.836607292195E-1,0.E0)); +#2893=VECTOR('',#2892,1.244862681091E-1); +#2894=CARTESIAN_POINT('',(-2.429591176728E1,-4.327659559250E0,-1.98E1)); +#2895=LINE('',#2894,#2893); +#2896=DIRECTION('',(-4.708707112585E-1,8.822022292416E-1,0.E0)); +#2897=VECTOR('',#2896,1.929407340229E-1); +#2898=CARTESIAN_POINT('',(-2.420506162662E1,-4.497872304916E0,-1.98E1)); +#2899=LINE('',#2898,#2897); +#2900=DIRECTION('',(-1.322636764909E-1,9.912145680331E-1,0.E0)); +#2901=VECTOR('',#2900,1.717213922756E-1); +#2902=CARTESIAN_POINT('',(-2.418234912395E1,-4.668085050583E0,-1.98E1)); +#2903=LINE('',#2902,#2901); +#2904=DIRECTION('',(0.E0,1.E0,0.E0)); +#2905=VECTOR('',#2904,8.510649204254E-2); +#2906=CARTESIAN_POINT('',(-2.418234912395E1,-4.753191542625E0,-1.98E1)); +#2907=LINE('',#2906,#2905); +#2908=DIRECTION('',(1.322636764909E-1,9.912145680331E-1,0.E0)); +#2909=VECTOR('',#2908,1.717213922756E-1); +#2910=CARTESIAN_POINT('',(-2.420506162662E1,-4.923404288292E0,-1.98E1)); +#2911=LINE('',#2910,#2909); +#2912=DIRECTION('',(3.352390012686E-1,9.421331179979E-1,0.E0)); +#2913=VECTOR('',#2912,1.355005538083E-1); +#2914=CARTESIAN_POINT('',(-2.425048669695E1,-5.051063847542E0,-1.98E1)); +#2915=LINE('',#2914,#2913); +#2916=DIRECTION('',(5.185937410258E-1,8.550207785597E-1,0.E0)); +#2917=VECTOR('',#2916,1.094909286473E0); +#2918=CARTESIAN_POINT('',(-2.481829979991E1,-5.987234038115E0,-1.98E1)); +#2919=LINE('',#2918,#2917); +#2920=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2921=VECTOR('',#2920,6.359506759606E-1); +#2922=CARTESIAN_POINT('',(-2.418234912395E1,-5.987234038115E0,-1.98E1)); +#2923=LINE('',#2922,#2921); +#2924=DIRECTION('',(0.E0,1.E0,0.E0)); +#2925=VECTOR('',#2924,2.127659618855E-1); +#2926=CARTESIAN_POINT('',(-2.418234912395E1,-6.2E0,-1.98E1)); +#2927=LINE('',#2926,#2925); +#2928=DIRECTION('',(1.E0,0.E0,0.E0)); +#2929=VECTOR('',#2928,8.176508760545E-1); +#2930=CARTESIAN_POINT('',(-2.5E1,-6.2E0,-1.98E1)); +#2931=LINE('',#2930,#2929); +#2932=DIRECTION('',(-4.286434830844E-1,-9.034737209290E-1,0.E0)); +#2933=VECTOR('',#2932,1.271687405890E0); +#2934=CARTESIAN_POINT('',(-2.345554838855E1,-4.2E0,-1.98E1)); +#2935=LINE('',#2934,#2933); +#2936=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2937=VECTOR('',#2936,1.362751460091E-1); +#2938=CARTESIAN_POINT('',(-2.331927324254E1,-4.2E0,-1.98E1)); +#2939=LINE('',#2938,#2937); +#2940=DIRECTION('',(0.E0,1.E0,0.E0)); +#2941=VECTOR('',#2940,1.148936152458E0); +#2942=CARTESIAN_POINT('',(-2.331927324254E1,-5.348936152458E0,-1.98E1)); +#2943=LINE('',#2942,#2941); +#2944=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2945=VECTOR('',#2944,2.271252866692E-1); +#2946=CARTESIAN_POINT('',(-2.309214795587E1,-5.348936152458E0,-1.98E1)); +#2947=LINE('',#2946,#2945); +#2948=DIRECTION('',(0.E0,1.E0,0.E0)); +#2949=VECTOR('',#2948,2.553191781044E-1); +#2950=CARTESIAN_POINT('',(-2.309214795587E1,-5.604255330563E0,-1.98E1)); +#2951=LINE('',#2950,#2949); +#2952=DIRECTION('',(1.E0,0.E0,0.E0)); +#2953=VECTOR('',#2952,2.271252866692E-1); +#2954=CARTESIAN_POINT('',(-2.331927324254E1,-5.604255330563E0,-1.98E1)); +#2955=LINE('',#2954,#2953); +#2956=DIRECTION('',(0.E0,1.E0,0.E0)); +#2957=VECTOR('',#2956,5.957446694374E-1); +#2958=CARTESIAN_POINT('',(-2.331927324254E1,-6.2E0,-1.98E1)); +#2959=LINE('',#2958,#2957); +#2960=DIRECTION('',(1.E0,0.E0,0.E0)); +#2961=VECTOR('',#2960,1.362751460091E-1); +#2962=CARTESIAN_POINT('',(-2.345554838855E1,-6.2E0,-1.98E1)); +#2963=LINE('',#2962,#2961); +#2964=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2965=VECTOR('',#2964,5.957446694374E-1); +#2966=CARTESIAN_POINT('',(-2.345554838855E1,-5.604255330563E0,-1.98E1)); +#2967=LINE('',#2966,#2965); +#2968=DIRECTION('',(1.E0,0.E0,0.E0)); +#2969=VECTOR('',#2968,5.451005190553E-1); +#2970=CARTESIAN_POINT('',(-2.400064890761E1,-5.604255330563E0,-1.98E1)); +#2971=LINE('',#2970,#2969); +#2972=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2973=VECTOR('',#2972,2.553191781044E-1); +#2974=CARTESIAN_POINT('',(-2.400064890761E1,-5.348936152458E0,-1.98E1)); +#2975=LINE('',#2974,#2973); +#2976=DIRECTION('',(4.309411811097E-1,9.023800188522E-1,0.E0)); +#2977=VECTOR('',#2976,8.959758020280E-1); +#2978=CARTESIAN_POINT('',(-2.384166125892E1,-5.348936152458E0,-1.98E1)); +#2979=LINE('',#2978,#2977); +#2980=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2981=VECTOR('',#2980,3.861128703717E-1); +#2982=CARTESIAN_POINT('',(-2.345554838855E1,-5.348936152458E0,-1.98E1)); +#2983=LINE('',#2982,#2981); +#2984=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2985=VECTOR('',#2984,8.085106611252E-1); +#2986=CARTESIAN_POINT('',(-2.345554838855E1,-4.540425491333E0,-1.98E1)); +#2987=LINE('',#2986,#2985); +#2988=DIRECTION('',(0.E0,1.E0,0.E0)); +#2989=VECTOR('',#2988,2.E0); +#2990=CARTESIAN_POINT('',(-2.311931818182E1,-8.5E-1,-1.98E1)); +#2991=LINE('',#2990,#2989); +#2992=DIRECTION('',(1.E0,0.E0,0.E0)); +#2993=VECTOR('',#2992,1.903409090909E-1); +#2994=CARTESIAN_POINT('',(-2.330965909091E1,-8.5E-1,-1.98E1)); +#2995=LINE('',#2994,#2993); +#2996=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2997=VECTOR('',#2996,1.659574508667E0); +#2998=CARTESIAN_POINT('',(-2.330965909091E1,8.095745086670E-1,-1.98E1)); +#2999=LINE('',#2998,#2997); +#3000=DIRECTION('',(5.384566281667E-1,8.426532261751E-1,0.E0)); +#3001=VECTOR('',#3000,3.534934832894E-1); +#3002=CARTESIAN_POINT('',(-2.35E1,5.117020845413E-1,-1.98E1)); +#3003=LINE('',#3002,#3001); +#3004=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3005=VECTOR('',#3004,3.404256105423E-1); +#3006=CARTESIAN_POINT('',(-2.35E1,8.521276950836E-1,-1.98E1)); +#3007=LINE('',#3006,#3005); +#3008=DIRECTION('',(-5.384567811798E-1,-8.426531283995E-1,0.E0)); +#3009=VECTOR('',#3008,3.534933828373E-1); +#3010=CARTESIAN_POINT('',(-2.330965909091E1,1.15E0,-1.98E1)); +#3011=LINE('',#3010,#3009); +#3012=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3013=VECTOR('',#3012,1.903409090909E-1); +#3014=CARTESIAN_POINT('',(-2.311931818182E1,1.15E0,-1.98E1)); +#3015=LINE('',#3014,#3013); +#3016=DIRECTION('',(4.450647363362E-1,8.954983978042E-1,0.E0)); +#3017=VECTOR('',#3016,1.425569934719E-1); +#3018=CARTESIAN_POINT('',(-2.181865536354E1,-6.372340381145E-1,-1.98E1)); +#3019=LINE('',#3018,#3017); +#3020=DIRECTION('',(7.454208084989E-1,6.665941930866E-1,0.E0)); +#3021=VECTOR('',#3020,1.276734610308E-1); +#3022=CARTESIAN_POINT('',(-2.191382581808E1,-7.223404258490E-1,-1.98E1)); +#3023=LINE('',#3022,#3021); +#3024=DIRECTION('',(8.305051732081E-1,5.570109130659E-1,0.E0)); +#3025=VECTOR('',#3024,1.527912326541E-1); +#3026=CARTESIAN_POINT('',(-2.204071972722E1,-8.074468098581E-1,-1.98E1)); +#3027=LINE('',#3026,#3025); +#3028=DIRECTION('',(9.481096686620E-1,3.179434795521E-1,0.E0)); +#3029=VECTOR('',#3028,1.338388514898E-1); +#3030=CARTESIAN_POINT('',(-2.216761363636E1,-8.5E-1,-1.98E1)); +#3031=LINE('',#3030,#3029); +#3032=DIRECTION('',(1.E0,0.E0,0.E0)); +#3033=VECTOR('',#3032,2.537879090418E-1); +#3034=CARTESIAN_POINT('',(-2.242140154541E1,-8.5E-1,-1.98E1)); +#3035=LINE('',#3034,#3033); +#3036=DIRECTION('',(9.481096686620E-1,-3.179434795521E-1,0.E0)); +#3037=VECTOR('',#3036,1.338388514898E-1); +#3038=CARTESIAN_POINT('',(-2.254829545455E1,-8.074468098581E-1,-1.98E1)); +#3039=LINE('',#3038,#3037); +#3040=DIRECTION('',(8.305051732081E-1,-5.570109130659E-1,0.E0)); +#3041=VECTOR('',#3040,1.527912326541E-1); +#3042=CARTESIAN_POINT('',(-2.267518936369E1,-7.223404258490E-1,-1.98E1)); +#3043=LINE('',#3042,#3041); +#3044=DIRECTION('',(7.454209664398E-1,-6.665940164687E-1,0.E0)); +#3045=VECTOR('',#3044,1.276734948586E-1); +#3046=CARTESIAN_POINT('',(-2.277035986361E1,-6.372340381145E-1,-1.98E1)); +#3047=LINE('',#3046,#3045); +#3048=DIRECTION('',(4.450639705018E-1,-8.954987784253E-1,0.E0)); +#3049=VECTOR('',#3048,1.425569328798E-1); +#3050=CARTESIAN_POINT('',(-2.283380681818E1,-5.095744788647E-1,-1.98E1)); +#3051=LINE('',#3050,#3049); +#3052=DIRECTION('',(2.411659474360E-1,-9.704838926006E-1,0.E0)); +#3053=VECTOR('',#3052,1.315422028387E-1); +#3054=CARTESIAN_POINT('',(-2.286553031816E1,-3.819148898125E-1,-1.98E1)); +#3055=LINE('',#3054,#3053); +#3056=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3057=VECTOR('',#3056,1.586174091155E-1); +#3058=CARTESIAN_POINT('',(-2.270691290904E1,-3.819148898125E-1,-1.98E1)); +#3059=LINE('',#3058,#3057); +#3060=DIRECTION('',(-3.492757888088E-1,9.370199695588E-1,0.E0)); +#3061=VECTOR('',#3060,9.082663720958E-2); +#3062=CARTESIAN_POINT('',(-2.267518936369E1,-4.670212626457E-1,-1.98E1)); +#3063=LINE('',#3062,#3061); +#3064=DIRECTION('',(-5.976890439995E-1,8.017280129090E-1,0.E0)); +#3065=VECTOR('',#3064,1.061537095689E-1); +#3066=CARTESIAN_POINT('',(-2.261174245450E1,-5.521276652813E-1,-1.98E1)); +#3067=LINE('',#3066,#3065); +#3068=DIRECTION('',(-8.305053913472E-1,5.570105878197E-1,0.E0)); +#3069=VECTOR('',#3068,7.639565090350E-2); +#3070=CARTESIAN_POINT('',(-2.254829545455E1,-5.946808516979E-1,-1.98E1)); +#3071=LINE('',#3070,#3069); +#3072=DIRECTION('',(-9.658469762536E-1,2.591131383426E-1,0.E0)); +#3073=VECTOR('',#3072,1.642262784852E-1); +#3074=CARTESIAN_POINT('',(-2.238967800005E1,-6.372340381145E-1,-1.98E1)); +#3075=LINE('',#3074,#3073); +#3076=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3077=VECTOR('',#3076,1.903409090909E-1); +#3078=CARTESIAN_POINT('',(-2.219933709096E1,-6.372340381145E-1,-1.98E1)); +#3079=LINE('',#3078,#3077); +#3080=DIRECTION('',(-9.658469391481E-1,-2.591132766539E-1,0.E0)); +#3081=VECTOR('',#3080,1.642261908233E-1); +#3082=CARTESIAN_POINT('',(-2.204071972722E1,-5.946808516979E-1,-1.98E1)); +#3083=LINE('',#3082,#3081); +#3084=DIRECTION('',(-8.305053913472E-1,-5.570105878197E-1,0.E0)); +#3085=VECTOR('',#3084,7.639565090350E-2); +#3086=CARTESIAN_POINT('',(-2.197727272727E1,-5.521276652813E-1,-1.98E1)); +#3087=LINE('',#3086,#3085); +#3088=DIRECTION('',(-5.976890439995E-1,-8.017280129090E-1,0.E0)); +#3089=VECTOR('',#3088,1.061537095689E-1); +#3090=CARTESIAN_POINT('',(-2.191382581808E1,-4.670212626457E-1,-1.98E1)); +#3091=LINE('',#3090,#3089); +#3092=DIRECTION('',(-3.492757888088E-1,-9.370199695588E-1,0.E0)); +#3093=VECTOR('',#3092,9.082663720958E-2); +#3094=CARTESIAN_POINT('',(-2.188210227273E1,-3.819148898125E-1,-1.98E1)); +#3095=LINE('',#3094,#3093); +#3096=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3097=VECTOR('',#3096,1.702127456665E-1); +#3098=CARTESIAN_POINT('',(-2.188210227273E1,-2.117021441460E-1,-1.98E1)); +#3099=LINE('',#3098,#3097); +#3100=DIRECTION('',(3.492748040431E-1,-9.370203366313E-1,0.E0)); +#3101=VECTOR('',#3100,1.816532668683E-1); +#3102=CARTESIAN_POINT('',(-2.194554918192E1,-4.148933887482E-2,-1.98E1)); +#3103=LINE('',#3102,#3101); +#3104=DIRECTION('',(7.454211823744E-1,-6.665937749991E-1,0.E0)); +#3105=VECTOR('',#3104,1.276735187534E-1); +#3106=CARTESIAN_POINT('',(-2.204071972722E1,4.361703395844E-2,-1.98E1)); +#3107=LINE('',#3106,#3105); +#3108=DIRECTION('',(9.759091726016E-1,-2.181771913655E-1,0.E0)); +#3109=VECTOR('',#3108,1.950395737992E-1); +#3110=CARTESIAN_POINT('',(-2.223106063631E1,8.617022037506E-2,-1.98E1)); +#3111=LINE('',#3110,#3109); +#3112=DIRECTION('',(1.E0,0.E0,0.E0)); +#3113=VECTOR('',#3112,1.268939091401E-1); +#3114=CARTESIAN_POINT('',(-2.235795454545E1,8.617022037506E-2,-1.98E1)); +#3115=LINE('',#3114,#3113); +#3116=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3117=VECTOR('',#3116,1.702127456665E-1); +#3118=CARTESIAN_POINT('',(-2.235795454545E1,2.563829660416E-1,-1.98E1)); +#3119=LINE('',#3118,#3117); +#3120=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3121=VECTOR('',#3120,1.268939091401E-1); +#3122=CARTESIAN_POINT('',(-2.223106063631E1,2.563829660416E-1,-1.98E1)); +#3123=LINE('',#3122,#3121); +#3124=DIRECTION('',(-9.129007220280E-1,-4.081816650962E-1,0.E0)); +#3125=VECTOR('',#3124,2.085012143139E-1); +#3126=CARTESIAN_POINT('',(-2.204071972722E1,3.414893388748E-1,-1.98E1)); +#3127=LINE('',#3126,#3125); +#3128=DIRECTION('',(-5.976897280962E-1,-8.017275029138E-1,0.E0)); +#3129=VECTOR('',#3128,1.061537399228E-1); +#3130=CARTESIAN_POINT('',(-2.197727272727E1,4.265957117081E-1,-1.98E1)); +#3131=LINE('',#3130,#3129); +#3132=DIRECTION('',(-3.492749114305E-1,-9.370202966026E-1,0.E0)); +#3133=VECTOR('',#3132,1.816532110176E-1); +#3134=CARTESIAN_POINT('',(-2.191382581808E1,5.968084573746E-1,-1.98E1)); +#3135=LINE('',#3134,#3133); +#3136=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3137=VECTOR('',#3136,8.510649204254E-2); +#3138=CARTESIAN_POINT('',(-2.191382581808E1,6.819149494171E-1,-1.98E1)); +#3139=LINE('',#3138,#3137); +#3140=DIRECTION('',(4.450637152235E-1,-8.954989052989E-1,0.E0)); +#3141=VECTOR('',#3140,1.425569126824E-1); +#3142=CARTESIAN_POINT('',(-2.197727272727E1,8.095745086670E-1,-1.98E1)); +#3143=LINE('',#3142,#3141); +#3144=DIRECTION('',(7.454208664929E-1,-6.665941282347E-1,0.E0)); +#3145=VECTOR('',#3144,1.276734510978E-1); +#3146=CARTESIAN_POINT('',(-2.207244318182E1,8.946808815002E-1,-1.98E1)); +#3147=LINE('',#3146,#3145); +#3148=DIRECTION('',(9.658469762536E-1,-2.591131383426E-1,0.E0)); +#3149=VECTOR('',#3148,1.642262784852E-1); +#3150=CARTESIAN_POINT('',(-2.223106063631E1,9.372340679169E-1,-1.98E1)); +#3151=LINE('',#3150,#3149); +#3152=DIRECTION('',(1.E0,0.E0,0.E0)); +#3153=VECTOR('',#3152,1.268939091401E-1); +#3154=CARTESIAN_POINT('',(-2.235795454545E1,9.372340679169E-1,-1.98E1)); +#3155=LINE('',#3154,#3153); +#3156=DIRECTION('',(9.658469762536E-1,2.591131383426E-1,0.E0)); +#3157=VECTOR('',#3156,1.642262784852E-1); +#3158=CARTESIAN_POINT('',(-2.251657199995E1,8.946808815002E-1,-1.98E1)); +#3159=LINE('',#3158,#3157); +#3160=DIRECTION('',(7.454208664929E-1,6.665941282347E-1,0.E0)); +#3161=VECTOR('',#3160,1.276734510978E-1); +#3162=CARTESIAN_POINT('',(-2.261174245450E1,8.095745086670E-1,-1.98E1)); +#3163=LINE('',#3162,#3161); +#3164=DIRECTION('',(4.450637152235E-1,8.954989052989E-1,0.E0)); +#3165=VECTOR('',#3164,1.425569126824E-1); +#3166=CARTESIAN_POINT('',(-2.267518936369E1,6.819149494171E-1,-1.98E1)); +#3167=LINE('',#3166,#3165); +#3168=DIRECTION('',(1.E0,0.E0,0.E0)); +#3169=VECTOR('',#3168,1.586174544963E-1); +#3170=CARTESIAN_POINT('',(-2.283380681818E1,6.819149494171E-1,-1.98E1)); +#3171=LINE('',#3170,#3169); +#3172=DIRECTION('',(-1.832205864040E-1,-9.830718268355E-1,0.E0)); +#3173=VECTOR('',#3172,1.731437531013E-1); +#3174=CARTESIAN_POINT('',(-2.280208331821E1,8.521276950836E-1,-1.98E1)); +#3175=LINE('',#3174,#3173); +#3176=DIRECTION('',(-5.976895907045E-1,-8.017276053396E-1,0.E0)); +#3177=VECTOR('',#3176,2.123074527219E-1); +#3178=CARTESIAN_POINT('',(-2.267518936369E1,1.022340440750E0,-1.98E1)); +#3179=LINE('',#3178,#3177); +#3180=DIRECTION('',(-8.305052070449E-1,-5.570108626152E-1,0.E0)); +#3181=VECTOR('',#3180,1.527912264290E-1); +#3182=CARTESIAN_POINT('',(-2.254829545455E1,1.107446813583E0,-1.98E1)); +#3183=LINE('',#3182,#3181); +#3184=DIRECTION('',(-9.821304926347E-1,-1.882012099777E-1,0.E0)); +#3185=VECTOR('',#3184,2.261047440751E-1); +#3186=CARTESIAN_POINT('',(-2.232623109086E1,1.15E0,-1.98E1)); +#3187=LINE('',#3186,#3185); +#3188=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3189=VECTOR('',#3188,6.344699995084E-2); +#3190=CARTESIAN_POINT('',(-2.226278409091E1,1.15E0,-1.98E1)); +#3191=LINE('',#3190,#3189); +#3192=DIRECTION('',(-9.821304926347E-1,1.882012099777E-1,0.E0)); +#3193=VECTOR('',#3192,2.261047440751E-1); +#3194=CARTESIAN_POINT('',(-2.204071972722E1,1.107446813583E0,-1.98E1)); +#3195=LINE('',#3194,#3193); +#3196=DIRECTION('',(-8.305052070449E-1,5.570108626152E-1,0.E0)); +#3197=VECTOR('',#3196,1.527912264290E-1); +#3198=CARTESIAN_POINT('',(-2.191382581808E1,1.022340440750E0,-1.98E1)); +#3199=LINE('',#3198,#3197); +#3200=DIRECTION('',(-5.976897280962E-1,8.017275029138E-1,0.E0)); +#3201=VECTOR('',#3200,2.123074798456E-1); +#3202=CARTESIAN_POINT('',(-2.178693181818E1,8.521276950836E-1,-1.98E1)); +#3203=LINE('',#3202,#3201); +#3204=DIRECTION('',(-1.832208397044E-1,9.830717796265E-1,0.E0)); +#3205=VECTOR('',#3204,1.731437614161E-1); +#3206=CARTESIAN_POINT('',(-2.175520827283E1,6.819149494171E-1,-1.98E1)); +#3207=LINE('',#3206,#3205); +#3208=DIRECTION('',(0.E0,1.E0,0.E0)); +#3209=VECTOR('',#3208,8.510649204254E-2); +#3210=CARTESIAN_POINT('',(-2.175520827283E1,5.968084573746E-1,-1.98E1)); +#3211=LINE('',#3210,#3209); +#3212=DIRECTION('',(1.832208397044E-1,9.830717796265E-1,0.E0)); +#3213=VECTOR('',#3212,1.731437614161E-1); +#3214=CARTESIAN_POINT('',(-2.178693181818E1,4.265957117081E-1,-1.98E1)); +#3215=LINE('',#3214,#3213); +#3216=DIRECTION('',(4.450637152235E-1,8.954989052989E-1,0.E0)); +#3217=VECTOR('',#3216,1.425569126824E-1); +#3218=CARTESIAN_POINT('',(-2.185037872737E1,2.989361524582E-1,-1.98E1)); +#3219=LINE('',#3218,#3217); +#3220=DIRECTION('',(7.049769984727E-1,7.092301682983E-1,0.E0)); +#3221=VECTOR('',#3220,1.799973618666E-1); +#3222=CARTESIAN_POINT('',(-2.197727272727E1,1.712765932083E-1,-1.98E1)); +#3223=LINE('',#3222,#3221); +#3224=DIRECTION('',(-8.811727274958E-1,4.727944842293E-1,0.E0)); +#3225=VECTOR('',#3224,1.800071186786E-1); +#3226=CARTESIAN_POINT('',(-2.181865536354E1,8.617022037506E-2,-1.98E1)); +#3227=LINE('',#3226,#3225); +#3228=DIRECTION('',(-4.450647363362E-1,8.954983978042E-1,0.E0)); +#3229=VECTOR('',#3228,1.425569934719E-1); +#3230=CARTESIAN_POINT('',(-2.175520827283E1,-4.148933887482E-2,-1.98E1)); +#3231=LINE('',#3230,#3229); +#3232=DIRECTION('',(-1.832197644970E-1,9.830719800186E-1,0.E0)); +#3233=VECTOR('',#3232,1.731437867530E-1); +#3234=CARTESIAN_POINT('',(-2.172348490899E1,-2.117021441460E-1,-1.98E1)); +#3235=LINE('',#3234,#3233); +#3236=DIRECTION('',(0.E0,1.E0,0.E0)); +#3237=VECTOR('',#3236,1.702127456665E-1); +#3238=CARTESIAN_POINT('',(-2.172348490899E1,-3.819148898125E-1,-1.98E1)); +#3239=LINE('',#3238,#3237); +#3240=DIRECTION('',(2.411649726592E-1,9.704841348329E-1,0.E0)); +#3241=VECTOR('',#3240,1.315421700059E-1); +#3242=CARTESIAN_POINT('',(-2.175520827283E1,-5.095744788647E-1,-1.98E1)); +#3243=LINE('',#3242,#3241); +#3244=DIRECTION('',(0.E0,0.E0,1.E0)); +#3245=VECTOR('',#3244,2.E-1); +#3246=CARTESIAN_POINT('',(-2.5E1,-5.987234038115E0,-2.E1)); +#3247=LINE('',#3246,#3245); +#3248=DIRECTION('',(0.E0,0.E0,1.E0)); +#3249=VECTOR('',#3248,2.E-1); +#3250=CARTESIAN_POINT('',(-2.436404934028E1,-4.923404288292E0,-2.E1)); +#3251=LINE('',#3250,#3249); +#3252=DIRECTION('',(0.E0,0.E0,1.E0)); +#3253=VECTOR('',#3252,2.E-1); +#3254=CARTESIAN_POINT('',(-2.431862426995E1,-4.753191542625E0,-2.E1)); +#3255=LINE('',#3254,#3253); +#3256=DIRECTION('',(0.E0,0.E0,1.E0)); +#3257=VECTOR('',#3256,2.E-1); +#3258=CARTESIAN_POINT('',(-2.431862426995E1,-4.668085050583E0,-2.E1)); +#3259=LINE('',#3258,#3257); +#3260=DIRECTION('',(0.E0,0.E0,1.E0)); +#3261=VECTOR('',#3260,2.E-1); +#3262=CARTESIAN_POINT('',(-2.436404934028E1,-4.540425491333E0,-2.E1)); +#3263=LINE('',#3262,#3261); +#3264=DIRECTION('',(0.E0,0.E0,1.E0)); +#3265=VECTOR('',#3264,2.E-1); +#3266=CARTESIAN_POINT('',(-2.443218691329E1,-4.455319118500E0,-2.E1)); +#3267=LINE('',#3266,#3265); +#3268=DIRECTION('',(0.E0,0.E0,1.E0)); +#3269=VECTOR('',#3268,2.E-1); +#3270=CARTESIAN_POINT('',(-2.454574952413E1,-4.412765932083E0,-2.E1)); +#3271=LINE('',#3270,#3269); +#3272=DIRECTION('',(0.E0,0.E0,1.E0)); +#3273=VECTOR('',#3272,2.E-1); +#3274=CARTESIAN_POINT('',(-2.463659959981E1,-4.412765932083E0,-2.E1)); +#3275=LINE('',#3274,#3273); +#3276=DIRECTION('',(0.E0,0.E0,1.E0)); +#3277=VECTOR('',#3276,2.E-1); +#3278=CARTESIAN_POINT('',(-2.475016222690E1,-4.455319118500E0,-2.E1)); +#3279=LINE('',#3278,#3277); +#3280=DIRECTION('',(0.E0,0.E0,1.E0)); +#3281=VECTOR('',#3280,2.E-1); +#3282=CARTESIAN_POINT('',(-2.481829979991E1,-4.540425491333E0,-2.E1)); +#3283=LINE('',#3282,#3281); +#3284=DIRECTION('',(0.E0,0.E0,1.E0)); +#3285=VECTOR('',#3284,2.E-1); +#3286=CARTESIAN_POINT('',(-2.486372485399E1,-4.668085050583E0,-2.E1)); +#3287=LINE('',#3286,#3285); +#3288=DIRECTION('',(0.E0,0.E0,1.E0)); +#3289=VECTOR('',#3288,2.E-1); +#3290=CARTESIAN_POINT('',(-2.497728747499E1,-4.668085050583E0,-2.E1)); +#3291=LINE('',#3290,#3289); +#3292=DIRECTION('',(0.E0,0.E0,1.E0)); +#3293=VECTOR('',#3292,2.E-1); +#3294=CARTESIAN_POINT('',(-2.495457494998E1,-4.497872304916E0,-2.E1)); +#3295=LINE('',#3294,#3293); +#3296=DIRECTION('',(0.E0,0.E0,1.E0)); +#3297=VECTOR('',#3296,2.E-1); +#3298=CARTESIAN_POINT('',(-2.486372485399E1,-4.327659559250E0,-2.E1)); +#3299=LINE('',#3298,#3297); +#3300=DIRECTION('',(0.E0,0.E0,1.E0)); +#3301=VECTOR('',#3300,2.E-1); +#3302=CARTESIAN_POINT('',(-2.477287476207E1,-4.242553186417E0,-2.E1)); +#3303=LINE('',#3302,#3301); +#3304=DIRECTION('',(0.E0,0.E0,1.E0)); +#3305=VECTOR('',#3304,2.E-1); +#3306=CARTESIAN_POINT('',(-2.461388709714E1,-4.2E0,-2.E1)); +#3307=LINE('',#3306,#3305); +#3308=DIRECTION('',(0.E0,0.E0,1.E0)); +#3309=VECTOR('',#3308,2.E-1); +#3310=CARTESIAN_POINT('',(-2.452303698897E1,-4.2E0,-2.E1)); +#3311=LINE('',#3310,#3309); +#3312=DIRECTION('',(0.E0,0.E0,1.E0)); +#3313=VECTOR('',#3312,2.E-1); +#3314=CARTESIAN_POINT('',(-2.438676184296E1,-4.242553186417E0,-2.E1)); +#3315=LINE('',#3314,#3313); +#3316=DIRECTION('',(0.E0,0.E0,1.E0)); +#3317=VECTOR('',#3316,2.E-1); +#3318=CARTESIAN_POINT('',(-2.429591176728E1,-4.327659559250E0,-2.E1)); +#3319=LINE('',#3318,#3317); +#3320=DIRECTION('',(0.E0,0.E0,1.E0)); +#3321=VECTOR('',#3320,2.E-1); +#3322=CARTESIAN_POINT('',(-2.420506162662E1,-4.497872304916E0,-2.E1)); +#3323=LINE('',#3322,#3321); +#3324=DIRECTION('',(0.E0,0.E0,1.E0)); +#3325=VECTOR('',#3324,2.E-1); +#3326=CARTESIAN_POINT('',(-2.418234912395E1,-4.668085050583E0,-2.E1)); +#3327=LINE('',#3326,#3325); +#3328=DIRECTION('',(0.E0,0.E0,1.E0)); +#3329=VECTOR('',#3328,2.E-1); +#3330=CARTESIAN_POINT('',(-2.418234912395E1,-4.753191542625E0,-2.E1)); +#3331=LINE('',#3330,#3329); +#3332=DIRECTION('',(0.E0,0.E0,1.E0)); +#3333=VECTOR('',#3332,2.E-1); +#3334=CARTESIAN_POINT('',(-2.420506162662E1,-4.923404288292E0,-2.E1)); +#3335=LINE('',#3334,#3333); +#3336=DIRECTION('',(0.E0,0.E0,1.E0)); +#3337=VECTOR('',#3336,2.E-1); +#3338=CARTESIAN_POINT('',(-2.425048669695E1,-5.051063847542E0,-2.E1)); +#3339=LINE('',#3338,#3337); +#3340=DIRECTION('',(0.E0,0.E0,1.E0)); +#3341=VECTOR('',#3340,2.E-1); +#3342=CARTESIAN_POINT('',(-2.481829979991E1,-5.987234038115E0,-2.E1)); +#3343=LINE('',#3342,#3341); +#3344=DIRECTION('',(0.E0,0.E0,1.E0)); +#3345=VECTOR('',#3344,2.E-1); +#3346=CARTESIAN_POINT('',(-2.418234912395E1,-5.987234038115E0,-2.E1)); +#3347=LINE('',#3346,#3345); +#3348=DIRECTION('',(0.E0,0.E0,1.E0)); +#3349=VECTOR('',#3348,2.E-1); +#3350=CARTESIAN_POINT('',(-2.418234912395E1,-6.2E0,-2.E1)); +#3351=LINE('',#3350,#3349); +#3352=DIRECTION('',(0.E0,0.E0,1.E0)); +#3353=VECTOR('',#3352,2.E-1); +#3354=CARTESIAN_POINT('',(-2.5E1,-6.2E0,-2.E1)); +#3355=LINE('',#3354,#3353); +#3356=DIRECTION('',(0.E0,0.E0,1.E0)); +#3357=VECTOR('',#3356,2.E-1); +#3358=CARTESIAN_POINT('',(-2.345554838855E1,-4.2E0,-2.E1)); +#3359=LINE('',#3358,#3357); +#3360=DIRECTION('',(0.E0,0.E0,1.E0)); +#3361=VECTOR('',#3360,2.E-1); +#3362=CARTESIAN_POINT('',(-2.331927324254E1,-4.2E0,-2.E1)); +#3363=LINE('',#3362,#3361); +#3364=DIRECTION('',(0.E0,0.E0,1.E0)); +#3365=VECTOR('',#3364,2.E-1); +#3366=CARTESIAN_POINT('',(-2.331927324254E1,-5.348936152458E0,-2.E1)); +#3367=LINE('',#3366,#3365); +#3368=DIRECTION('',(0.E0,0.E0,1.E0)); +#3369=VECTOR('',#3368,2.E-1); +#3370=CARTESIAN_POINT('',(-2.309214795587E1,-5.348936152458E0,-2.E1)); +#3371=LINE('',#3370,#3369); +#3372=DIRECTION('',(0.E0,0.E0,1.E0)); +#3373=VECTOR('',#3372,2.E-1); +#3374=CARTESIAN_POINT('',(-2.309214795587E1,-5.604255330563E0,-2.E1)); +#3375=LINE('',#3374,#3373); +#3376=DIRECTION('',(0.E0,0.E0,1.E0)); +#3377=VECTOR('',#3376,2.E-1); +#3378=CARTESIAN_POINT('',(-2.331927324254E1,-5.604255330563E0,-2.E1)); +#3379=LINE('',#3378,#3377); +#3380=DIRECTION('',(0.E0,0.E0,1.E0)); +#3381=VECTOR('',#3380,2.E-1); +#3382=CARTESIAN_POINT('',(-2.331927324254E1,-6.2E0,-2.E1)); +#3383=LINE('',#3382,#3381); +#3384=DIRECTION('',(0.E0,0.E0,1.E0)); +#3385=VECTOR('',#3384,2.E-1); +#3386=CARTESIAN_POINT('',(-2.345554838855E1,-6.2E0,-2.E1)); +#3387=LINE('',#3386,#3385); +#3388=DIRECTION('',(0.E0,0.E0,1.E0)); +#3389=VECTOR('',#3388,2.E-1); +#3390=CARTESIAN_POINT('',(-2.345554838855E1,-5.604255330563E0,-2.E1)); +#3391=LINE('',#3390,#3389); +#3392=DIRECTION('',(0.E0,0.E0,1.E0)); +#3393=VECTOR('',#3392,2.E-1); +#3394=CARTESIAN_POINT('',(-2.400064890761E1,-5.604255330563E0,-2.E1)); +#3395=LINE('',#3394,#3393); +#3396=DIRECTION('',(0.E0,0.E0,1.E0)); +#3397=VECTOR('',#3396,2.E-1); +#3398=CARTESIAN_POINT('',(-2.400064890761E1,-5.348936152458E0,-2.E1)); +#3399=LINE('',#3398,#3397); +#3400=DIRECTION('',(0.E0,0.E0,1.E0)); +#3401=VECTOR('',#3400,2.E-1); +#3402=CARTESIAN_POINT('',(-2.384166125892E1,-5.348936152458E0,-2.E1)); +#3403=LINE('',#3402,#3401); +#3404=DIRECTION('',(0.E0,0.E0,1.E0)); +#3405=VECTOR('',#3404,2.E-1); +#3406=CARTESIAN_POINT('',(-2.345554838855E1,-5.348936152458E0,-2.E1)); +#3407=LINE('',#3406,#3405); +#3408=DIRECTION('',(0.E0,0.E0,1.E0)); +#3409=VECTOR('',#3408,2.E-1); +#3410=CARTESIAN_POINT('',(-2.345554838855E1,-4.540425491333E0,-2.E1)); +#3411=LINE('',#3410,#3409); +#3412=DIRECTION('',(0.E0,0.E0,1.E0)); +#3413=VECTOR('',#3412,2.E-1); +#3414=CARTESIAN_POINT('',(-2.311931818182E1,-8.5E-1,-2.E1)); +#3415=LINE('',#3414,#3413); +#3416=DIRECTION('',(0.E0,0.E0,1.E0)); +#3417=VECTOR('',#3416,2.E-1); +#3418=CARTESIAN_POINT('',(-2.330965909091E1,-8.5E-1,-2.E1)); +#3419=LINE('',#3418,#3417); +#3420=DIRECTION('',(0.E0,0.E0,1.E0)); +#3421=VECTOR('',#3420,2.E-1); +#3422=CARTESIAN_POINT('',(-2.330965909091E1,8.095745086670E-1,-2.E1)); +#3423=LINE('',#3422,#3421); +#3424=DIRECTION('',(0.E0,0.E0,1.E0)); +#3425=VECTOR('',#3424,2.E-1); +#3426=CARTESIAN_POINT('',(-2.35E1,5.117020845413E-1,-2.E1)); +#3427=LINE('',#3426,#3425); +#3428=DIRECTION('',(0.E0,0.E0,1.E0)); +#3429=VECTOR('',#3428,2.E-1); +#3430=CARTESIAN_POINT('',(-2.35E1,8.521276950836E-1,-2.E1)); +#3431=LINE('',#3430,#3429); +#3432=DIRECTION('',(0.E0,0.E0,1.E0)); +#3433=VECTOR('',#3432,2.E-1); +#3434=CARTESIAN_POINT('',(-2.330965909091E1,1.15E0,-2.E1)); +#3435=LINE('',#3434,#3433); +#3436=DIRECTION('',(0.E0,0.E0,1.E0)); +#3437=VECTOR('',#3436,2.E-1); +#3438=CARTESIAN_POINT('',(-2.311931818182E1,1.15E0,-2.E1)); +#3439=LINE('',#3438,#3437); +#3440=DIRECTION('',(0.E0,0.E0,1.E0)); +#3441=VECTOR('',#3440,2.E-1); +#3442=CARTESIAN_POINT('',(-2.181865536354E1,-6.372340381145E-1,-2.E1)); +#3443=LINE('',#3442,#3441); +#3444=DIRECTION('',(0.E0,0.E0,1.E0)); +#3445=VECTOR('',#3444,2.E-1); +#3446=CARTESIAN_POINT('',(-2.191382581808E1,-7.223404258490E-1,-2.E1)); +#3447=LINE('',#3446,#3445); +#3448=DIRECTION('',(0.E0,0.E0,1.E0)); +#3449=VECTOR('',#3448,2.E-1); +#3450=CARTESIAN_POINT('',(-2.204071972722E1,-8.074468098581E-1,-2.E1)); +#3451=LINE('',#3450,#3449); +#3452=DIRECTION('',(0.E0,0.E0,1.E0)); +#3453=VECTOR('',#3452,2.E-1); +#3454=CARTESIAN_POINT('',(-2.216761363636E1,-8.5E-1,-2.E1)); +#3455=LINE('',#3454,#3453); +#3456=DIRECTION('',(0.E0,0.E0,1.E0)); +#3457=VECTOR('',#3456,2.E-1); +#3458=CARTESIAN_POINT('',(-2.242140154541E1,-8.5E-1,-2.E1)); +#3459=LINE('',#3458,#3457); +#3460=DIRECTION('',(0.E0,0.E0,1.E0)); +#3461=VECTOR('',#3460,2.E-1); +#3462=CARTESIAN_POINT('',(-2.254829545455E1,-8.074468098581E-1,-2.E1)); +#3463=LINE('',#3462,#3461); +#3464=DIRECTION('',(0.E0,0.E0,1.E0)); +#3465=VECTOR('',#3464,2.E-1); +#3466=CARTESIAN_POINT('',(-2.267518936369E1,-7.223404258490E-1,-2.E1)); +#3467=LINE('',#3466,#3465); +#3468=DIRECTION('',(0.E0,0.E0,1.E0)); +#3469=VECTOR('',#3468,2.E-1); +#3470=CARTESIAN_POINT('',(-2.277035986361E1,-6.372340381145E-1,-2.E1)); +#3471=LINE('',#3470,#3469); +#3472=DIRECTION('',(0.E0,0.E0,1.E0)); +#3473=VECTOR('',#3472,2.E-1); +#3474=CARTESIAN_POINT('',(-2.283380681818E1,-5.095744788647E-1,-2.E1)); +#3475=LINE('',#3474,#3473); +#3476=DIRECTION('',(0.E0,0.E0,1.E0)); +#3477=VECTOR('',#3476,2.E-1); +#3478=CARTESIAN_POINT('',(-2.286553031816E1,-3.819148898125E-1,-2.E1)); +#3479=LINE('',#3478,#3477); +#3480=DIRECTION('',(0.E0,0.E0,1.E0)); +#3481=VECTOR('',#3480,2.E-1); +#3482=CARTESIAN_POINT('',(-2.270691290904E1,-3.819148898125E-1,-2.E1)); +#3483=LINE('',#3482,#3481); +#3484=DIRECTION('',(0.E0,0.E0,1.E0)); +#3485=VECTOR('',#3484,2.E-1); +#3486=CARTESIAN_POINT('',(-2.267518936369E1,-4.670212626457E-1,-2.E1)); +#3487=LINE('',#3486,#3485); +#3488=DIRECTION('',(0.E0,0.E0,1.E0)); +#3489=VECTOR('',#3488,2.E-1); +#3490=CARTESIAN_POINT('',(-2.261174245450E1,-5.521276652813E-1,-2.E1)); +#3491=LINE('',#3490,#3489); +#3492=DIRECTION('',(0.E0,0.E0,1.E0)); +#3493=VECTOR('',#3492,2.E-1); +#3494=CARTESIAN_POINT('',(-2.254829545455E1,-5.946808516979E-1,-2.E1)); +#3495=LINE('',#3494,#3493); +#3496=DIRECTION('',(0.E0,0.E0,1.E0)); +#3497=VECTOR('',#3496,2.E-1); +#3498=CARTESIAN_POINT('',(-2.238967800005E1,-6.372340381145E-1,-2.E1)); +#3499=LINE('',#3498,#3497); +#3500=DIRECTION('',(0.E0,0.E0,1.E0)); +#3501=VECTOR('',#3500,2.E-1); +#3502=CARTESIAN_POINT('',(-2.219933709096E1,-6.372340381145E-1,-2.E1)); +#3503=LINE('',#3502,#3501); +#3504=DIRECTION('',(0.E0,0.E0,1.E0)); +#3505=VECTOR('',#3504,2.E-1); +#3506=CARTESIAN_POINT('',(-2.204071972722E1,-5.946808516979E-1,-2.E1)); +#3507=LINE('',#3506,#3505); +#3508=DIRECTION('',(0.E0,0.E0,1.E0)); +#3509=VECTOR('',#3508,2.E-1); +#3510=CARTESIAN_POINT('',(-2.197727272727E1,-5.521276652813E-1,-2.E1)); +#3511=LINE('',#3510,#3509); +#3512=DIRECTION('',(0.E0,0.E0,1.E0)); +#3513=VECTOR('',#3512,2.E-1); +#3514=CARTESIAN_POINT('',(-2.191382581808E1,-4.670212626457E-1,-2.E1)); +#3515=LINE('',#3514,#3513); +#3516=DIRECTION('',(0.E0,0.E0,1.E0)); +#3517=VECTOR('',#3516,2.E-1); +#3518=CARTESIAN_POINT('',(-2.188210227273E1,-3.819148898125E-1,-2.E1)); +#3519=LINE('',#3518,#3517); +#3520=DIRECTION('',(0.E0,0.E0,1.E0)); +#3521=VECTOR('',#3520,2.E-1); +#3522=CARTESIAN_POINT('',(-2.188210227273E1,-2.117021441460E-1,-2.E1)); +#3523=LINE('',#3522,#3521); +#3524=DIRECTION('',(0.E0,0.E0,1.E0)); +#3525=VECTOR('',#3524,2.E-1); +#3526=CARTESIAN_POINT('',(-2.194554918192E1,-4.148933887482E-2,-2.E1)); +#3527=LINE('',#3526,#3525); +#3528=DIRECTION('',(0.E0,0.E0,1.E0)); +#3529=VECTOR('',#3528,2.E-1); +#3530=CARTESIAN_POINT('',(-2.204071972722E1,4.361703395843E-2,-2.E1)); +#3531=LINE('',#3530,#3529); +#3532=DIRECTION('',(0.E0,0.E0,1.E0)); +#3533=VECTOR('',#3532,2.E-1); +#3534=CARTESIAN_POINT('',(-2.223106063631E1,8.617022037506E-2,-2.E1)); +#3535=LINE('',#3534,#3533); +#3536=DIRECTION('',(0.E0,0.E0,1.E0)); +#3537=VECTOR('',#3536,2.E-1); +#3538=CARTESIAN_POINT('',(-2.235795454545E1,8.617022037506E-2,-2.E1)); +#3539=LINE('',#3538,#3537); +#3540=DIRECTION('',(0.E0,0.E0,1.E0)); +#3541=VECTOR('',#3540,2.E-1); +#3542=CARTESIAN_POINT('',(-2.235795454545E1,2.563829660416E-1,-2.E1)); +#3543=LINE('',#3542,#3541); +#3544=DIRECTION('',(0.E0,0.E0,1.E0)); +#3545=VECTOR('',#3544,2.E-1); +#3546=CARTESIAN_POINT('',(-2.223106063631E1,2.563829660416E-1,-2.E1)); +#3547=LINE('',#3546,#3545); +#3548=DIRECTION('',(0.E0,0.E0,1.E0)); +#3549=VECTOR('',#3548,2.E-1); +#3550=CARTESIAN_POINT('',(-2.204071972722E1,3.414893388748E-1,-2.E1)); +#3551=LINE('',#3550,#3549); +#3552=DIRECTION('',(0.E0,0.E0,1.E0)); +#3553=VECTOR('',#3552,2.E-1); +#3554=CARTESIAN_POINT('',(-2.197727272727E1,4.265957117081E-1,-2.E1)); +#3555=LINE('',#3554,#3553); +#3556=DIRECTION('',(0.E0,0.E0,1.E0)); +#3557=VECTOR('',#3556,2.E-1); +#3558=CARTESIAN_POINT('',(-2.191382581808E1,5.968084573746E-1,-2.E1)); +#3559=LINE('',#3558,#3557); +#3560=DIRECTION('',(0.E0,0.E0,1.E0)); +#3561=VECTOR('',#3560,2.E-1); +#3562=CARTESIAN_POINT('',(-2.191382581808E1,6.819149494171E-1,-2.E1)); +#3563=LINE('',#3562,#3561); +#3564=DIRECTION('',(0.E0,0.E0,1.E0)); +#3565=VECTOR('',#3564,2.E-1); +#3566=CARTESIAN_POINT('',(-2.197727272727E1,8.095745086670E-1,-2.E1)); +#3567=LINE('',#3566,#3565); +#3568=DIRECTION('',(0.E0,0.E0,1.E0)); +#3569=VECTOR('',#3568,2.E-1); +#3570=CARTESIAN_POINT('',(-2.207244318182E1,8.946808815002E-1,-2.E1)); +#3571=LINE('',#3570,#3569); +#3572=DIRECTION('',(0.E0,0.E0,1.E0)); +#3573=VECTOR('',#3572,2.E-1); +#3574=CARTESIAN_POINT('',(-2.223106063631E1,9.372340679169E-1,-2.E1)); +#3575=LINE('',#3574,#3573); +#3576=DIRECTION('',(0.E0,0.E0,1.E0)); +#3577=VECTOR('',#3576,2.E-1); +#3578=CARTESIAN_POINT('',(-2.235795454545E1,9.372340679169E-1,-2.E1)); +#3579=LINE('',#3578,#3577); +#3580=DIRECTION('',(0.E0,0.E0,1.E0)); +#3581=VECTOR('',#3580,2.E-1); +#3582=CARTESIAN_POINT('',(-2.251657199995E1,8.946808815002E-1,-2.E1)); +#3583=LINE('',#3582,#3581); +#3584=DIRECTION('',(0.E0,0.E0,1.E0)); +#3585=VECTOR('',#3584,2.E-1); +#3586=CARTESIAN_POINT('',(-2.261174245450E1,8.095745086670E-1,-2.E1)); +#3587=LINE('',#3586,#3585); +#3588=DIRECTION('',(0.E0,0.E0,1.E0)); +#3589=VECTOR('',#3588,2.E-1); +#3590=CARTESIAN_POINT('',(-2.267518936369E1,6.819149494171E-1,-2.E1)); +#3591=LINE('',#3590,#3589); +#3592=DIRECTION('',(0.E0,0.E0,1.E0)); +#3593=VECTOR('',#3592,2.E-1); +#3594=CARTESIAN_POINT('',(-2.283380681818E1,6.819149494171E-1,-2.E1)); +#3595=LINE('',#3594,#3593); +#3596=DIRECTION('',(0.E0,0.E0,1.E0)); +#3597=VECTOR('',#3596,2.E-1); +#3598=CARTESIAN_POINT('',(-2.280208331821E1,8.521276950836E-1,-2.E1)); +#3599=LINE('',#3598,#3597); +#3600=DIRECTION('',(-1.776356839400E-14,0.E0,1.E0)); +#3601=VECTOR('',#3600,2.E-1); +#3602=CARTESIAN_POINT('',(-2.267518936369E1,1.022340440750E0,-2.E1)); +#3603=LINE('',#3602,#3601); +#3604=DIRECTION('',(0.E0,0.E0,1.E0)); +#3605=VECTOR('',#3604,2.E-1); +#3606=CARTESIAN_POINT('',(-2.254829545455E1,1.107446813583E0,-2.E1)); +#3607=LINE('',#3606,#3605); +#3608=DIRECTION('',(0.E0,0.E0,1.E0)); +#3609=VECTOR('',#3608,2.E-1); +#3610=CARTESIAN_POINT('',(-2.232623109086E1,1.15E0,-2.E1)); +#3611=LINE('',#3610,#3609); +#3612=DIRECTION('',(0.E0,0.E0,1.E0)); +#3613=VECTOR('',#3612,2.E-1); +#3614=CARTESIAN_POINT('',(-2.226278409091E1,1.15E0,-2.E1)); +#3615=LINE('',#3614,#3613); +#3616=DIRECTION('',(0.E0,0.E0,1.E0)); +#3617=VECTOR('',#3616,2.E-1); +#3618=CARTESIAN_POINT('',(-2.204071972722E1,1.107446813583E0,-2.E1)); +#3619=LINE('',#3618,#3617); +#3620=DIRECTION('',(0.E0,0.E0,1.E0)); +#3621=VECTOR('',#3620,2.E-1); +#3622=CARTESIAN_POINT('',(-2.191382581808E1,1.022340440750E0,-2.E1)); +#3623=LINE('',#3622,#3621); +#3624=DIRECTION('',(0.E0,0.E0,1.E0)); +#3625=VECTOR('',#3624,2.E-1); +#3626=CARTESIAN_POINT('',(-2.178693181818E1,8.521276950836E-1,-2.E1)); +#3627=LINE('',#3626,#3625); +#3628=DIRECTION('',(0.E0,0.E0,1.E0)); +#3629=VECTOR('',#3628,2.E-1); +#3630=CARTESIAN_POINT('',(-2.175520827283E1,6.819149494171E-1,-2.E1)); +#3631=LINE('',#3630,#3629); +#3632=DIRECTION('',(0.E0,0.E0,1.E0)); +#3633=VECTOR('',#3632,2.E-1); +#3634=CARTESIAN_POINT('',(-2.175520827283E1,5.968084573746E-1,-2.E1)); +#3635=LINE('',#3634,#3633); +#3636=DIRECTION('',(0.E0,0.E0,1.E0)); +#3637=VECTOR('',#3636,2.E-1); +#3638=CARTESIAN_POINT('',(-2.178693181818E1,4.265957117081E-1,-2.E1)); +#3639=LINE('',#3638,#3637); +#3640=DIRECTION('',(0.E0,0.E0,1.E0)); +#3641=VECTOR('',#3640,2.E-1); +#3642=CARTESIAN_POINT('',(-2.185037872737E1,2.989361524582E-1,-2.E1)); +#3643=LINE('',#3642,#3641); +#3644=DIRECTION('',(0.E0,0.E0,1.E0)); +#3645=VECTOR('',#3644,2.E-1); +#3646=CARTESIAN_POINT('',(-2.197727272727E1,1.712765932083E-1,-2.E1)); +#3647=LINE('',#3646,#3645); +#3648=DIRECTION('',(0.E0,0.E0,1.E0)); +#3649=VECTOR('',#3648,2.E-1); +#3650=CARTESIAN_POINT('',(-2.181865536354E1,8.617022037506E-2,-2.E1)); +#3651=LINE('',#3650,#3649); +#3652=DIRECTION('',(0.E0,0.E0,1.E0)); +#3653=VECTOR('',#3652,2.E-1); +#3654=CARTESIAN_POINT('',(-2.175520827283E1,-4.148933887482E-2,-2.E1)); +#3655=LINE('',#3654,#3653); +#3656=DIRECTION('',(0.E0,0.E0,1.E0)); +#3657=VECTOR('',#3656,2.E-1); +#3658=CARTESIAN_POINT('',(-2.172348490899E1,-2.117021441460E-1,-2.E1)); +#3659=LINE('',#3658,#3657); +#3660=DIRECTION('',(0.E0,0.E0,1.E0)); +#3661=VECTOR('',#3660,2.E-1); +#3662=CARTESIAN_POINT('',(-2.172348490899E1,-3.819148898125E-1,-2.E1)); +#3663=LINE('',#3662,#3661); +#3664=DIRECTION('',(0.E0,0.E0,1.E0)); +#3665=VECTOR('',#3664,2.E-1); +#3666=CARTESIAN_POINT('',(-2.175520827283E1,-5.095744788647E-1,-2.E1)); +#3667=LINE('',#3666,#3665); +#3668=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3669=VECTOR('',#3668,2.21E1); +#3670=CARTESIAN_POINT('',(3.575E1,1.105E1,-1.98E1)); +#3671=LINE('',#3670,#3669); +#3672=DIRECTION('',(3.552713678800E-14,0.E0,-1.E0)); +#3673=VECTOR('',#3672,2.E-1); +#3674=CARTESIAN_POINT('',(3.345E1,1.335E1,-1.98E1)); +#3675=LINE('',#3674,#3673); +#3676=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.98E1)); +#3677=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3678=DIRECTION('',(0.E0,1.E0,0.E0)); +#3679=AXIS2_PLACEMENT_3D('',#3676,#3677,#3678); +#3681=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3682=VECTOR('',#3681,2.E-1); +#3683=CARTESIAN_POINT('',(3.575E1,1.105E1,-1.98E1)); +#3684=LINE('',#3683,#3682); +#3685=DIRECTION('',(-3.552713678800E-14,0.E0,-1.E0)); +#3686=VECTOR('',#3685,2.E-1); +#3687=CARTESIAN_POINT('',(-3.345E1,1.335E1,-1.98E1)); +#3688=LINE('',#3687,#3686); +#3689=DIRECTION('',(1.E0,0.E0,0.E0)); +#3690=VECTOR('',#3689,6.69E1); +#3691=CARTESIAN_POINT('',(-3.345E1,1.335E1,-1.98E1)); +#3692=LINE('',#3691,#3690); +#3693=DIRECTION('',(3.552713678800E-14,0.E0,-1.E0)); +#3694=VECTOR('',#3693,2.E-1); +#3695=CARTESIAN_POINT('',(-3.575E1,1.105E1,-1.98E1)); +#3696=LINE('',#3695,#3694); +#3697=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.98E1)); +#3698=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3699=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3700=AXIS2_PLACEMENT_3D('',#3697,#3698,#3699); +#3702=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3703=VECTOR('',#3702,2.E-1); +#3704=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-1.98E1)); +#3705=LINE('',#3704,#3703); +#3706=DIRECTION('',(0.E0,1.E0,0.E0)); +#3707=VECTOR('',#3706,2.21E1); +#3708=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-1.98E1)); +#3709=LINE('',#3708,#3707); +#3710=DIRECTION('',(2.486899575160E-13,0.E0,-1.E0)); +#3711=VECTOR('',#3710,2.E-1); +#3712=CARTESIAN_POINT('',(-3.345E1,-1.335E1,-1.98E1)); +#3713=LINE('',#3712,#3711); +#3714=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.98E1)); +#3715=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3716=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3717=AXIS2_PLACEMENT_3D('',#3714,#3715,#3716); +#3719=DIRECTION('',(1.776356839400E-13,0.E0,-1.E0)); +#3720=VECTOR('',#3719,2.E-1); +#3721=CARTESIAN_POINT('',(3.345E1,-1.335E1,-1.98E1)); +#3722=LINE('',#3721,#3720); +#3723=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3724=VECTOR('',#3723,6.69E1); +#3725=CARTESIAN_POINT('',(3.345E1,-1.335E1,-1.98E1)); +#3726=LINE('',#3725,#3724); +#3727=DIRECTION('',(0.E0,2.842170943040E-13,-1.E0)); +#3728=VECTOR('',#3727,2.E-1); +#3729=CARTESIAN_POINT('',(3.575E1,-1.105E1,-1.98E1)); +#3730=LINE('',#3729,#3728); +#3731=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.98E1)); +#3732=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3733=DIRECTION('',(1.E0,0.E0,0.E0)); +#3734=AXIS2_PLACEMENT_3D('',#3731,#3732,#3733); +#3736=CARTESIAN_POINT('',(3.705E1,-1.105E1,-1.98E1)); +#3737=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3738=DIRECTION('',(1.E0,0.E0,0.E0)); +#3739=AXIS2_PLACEMENT_3D('',#3736,#3737,#3738); +#3741=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.98E1)); +#3742=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3743=DIRECTION('',(1.E0,0.E0,0.E0)); +#3744=AXIS2_PLACEMENT_3D('',#3741,#3742,#3743); +#3746=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3747=VECTOR('',#3746,2.21E1); +#3748=CARTESIAN_POINT('',(3.835E1,1.105E1,-1.98E1)); +#3749=LINE('',#3748,#3747); +#3750=CARTESIAN_POINT('',(3.345E1,1.465E1,-1.98E1)); +#3751=DIRECTION('',(1.E0,0.E0,0.E0)); +#3752=DIRECTION('',(0.E0,1.E0,0.E0)); +#3753=AXIS2_PLACEMENT_3D('',#3750,#3751,#3752); +#3755=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.98E1)); +#3756=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3757=DIRECTION('',(0.E0,1.E0,0.E0)); +#3758=AXIS2_PLACEMENT_3D('',#3755,#3756,#3757); +#3760=CARTESIAN_POINT('',(3.705E1,1.105E1,-1.98E1)); +#3761=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3762=DIRECTION('',(1.E0,0.E0,0.E0)); +#3763=AXIS2_PLACEMENT_3D('',#3760,#3761,#3762); +#3765=CARTESIAN_POINT('',(-3.345E1,1.465E1,-1.98E1)); +#3766=DIRECTION('',(1.E0,0.E0,0.E0)); +#3767=DIRECTION('',(0.E0,1.E0,0.E0)); +#3768=AXIS2_PLACEMENT_3D('',#3765,#3766,#3767); +#3770=DIRECTION('',(1.E0,0.E0,0.E0)); +#3771=VECTOR('',#3770,6.69E1); +#3772=CARTESIAN_POINT('',(-3.345E1,1.595E1,-1.98E1)); +#3773=LINE('',#3772,#3771); +#3774=CARTESIAN_POINT('',(-3.705E1,1.105E1,-1.98E1)); +#3775=DIRECTION('',(0.E0,1.E0,0.E0)); +#3776=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3777=AXIS2_PLACEMENT_3D('',#3774,#3775,#3776); +#3779=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.98E1)); +#3780=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3781=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3782=AXIS2_PLACEMENT_3D('',#3779,#3780,#3781); +#3784=CARTESIAN_POINT('',(-3.705E1,-1.105E1,-1.98E1)); +#3785=DIRECTION('',(0.E0,1.E0,0.E0)); +#3786=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3787=AXIS2_PLACEMENT_3D('',#3784,#3785,#3786); +#3789=DIRECTION('',(0.E0,1.E0,0.E0)); +#3790=VECTOR('',#3789,2.21E1); +#3791=CARTESIAN_POINT('',(-3.835E1,-1.105E1,-1.98E1)); +#3792=LINE('',#3791,#3790); +#3793=CARTESIAN_POINT('',(-3.345E1,-1.465E1,-1.98E1)); +#3794=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3795=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3796=AXIS2_PLACEMENT_3D('',#3793,#3794,#3795); +#3798=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.98E1)); +#3799=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3800=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3801=AXIS2_PLACEMENT_3D('',#3798,#3799,#3800); +#3803=CARTESIAN_POINT('',(3.345E1,-1.465E1,-1.98E1)); +#3804=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3805=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3806=AXIS2_PLACEMENT_3D('',#3803,#3804,#3805); +#3808=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3809=VECTOR('',#3808,6.69E1); +#3810=CARTESIAN_POINT('',(3.345E1,-1.595E1,-1.98E1)); +#3811=LINE('',#3810,#3809); +#3812=DIRECTION('',(0.E0,0.E0,1.E0)); +#3813=VECTOR('',#3812,4.E-1); +#3814=CARTESIAN_POINT('',(3.345E1,-1.595E1,-2.02E1)); +#3815=LINE('',#3814,#3813); +#3816=DIRECTION('',(1.E0,0.E0,0.E0)); +#3817=VECTOR('',#3816,6.69E1); +#3818=CARTESIAN_POINT('',(-3.345E1,-1.595E1,-2.02E1)); +#3819=LINE('',#3818,#3817); +#3820=DIRECTION('',(0.E0,0.E0,1.E0)); +#3821=VECTOR('',#3820,4.E-1); +#3822=CARTESIAN_POINT('',(3.835E1,-1.105E1,-2.02E1)); +#3823=LINE('',#3822,#3821); +#3824=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.02E1)); +#3825=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3826=DIRECTION('',(1.E0,0.E0,0.E0)); +#3827=AXIS2_PLACEMENT_3D('',#3824,#3825,#3826); +#3829=DIRECTION('',(0.E0,1.E0,0.E0)); +#3830=VECTOR('',#3829,2.21E1); +#3831=CARTESIAN_POINT('',(3.835E1,-1.105E1,-2.02E1)); +#3832=LINE('',#3831,#3830); +#3833=DIRECTION('',(0.E0,0.E0,1.E0)); +#3834=VECTOR('',#3833,4.E-1); +#3835=CARTESIAN_POINT('',(3.835E1,1.105E1,-2.02E1)); +#3836=LINE('',#3835,#3834); +#3837=DIRECTION('',(0.E0,0.E0,1.E0)); +#3838=VECTOR('',#3837,4.E-1); +#3839=CARTESIAN_POINT('',(3.345E1,1.595E1,-2.02E1)); +#3840=LINE('',#3839,#3838); +#3841=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.02E1)); +#3842=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3843=DIRECTION('',(0.E0,1.E0,0.E0)); +#3844=AXIS2_PLACEMENT_3D('',#3841,#3842,#3843); +#3846=DIRECTION('',(0.E0,0.E0,1.E0)); +#3847=VECTOR('',#3846,4.E-1); +#3848=CARTESIAN_POINT('',(-3.345E1,1.595E1,-2.02E1)); +#3849=LINE('',#3848,#3847); +#3850=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3851=VECTOR('',#3850,6.69E1); +#3852=CARTESIAN_POINT('',(3.345E1,1.595E1,-2.02E1)); +#3853=LINE('',#3852,#3851); +#3854=DIRECTION('',(0.E0,0.E0,1.E0)); +#3855=VECTOR('',#3854,4.E-1); +#3856=CARTESIAN_POINT('',(-3.835E1,1.105E1,-2.02E1)); +#3857=LINE('',#3856,#3855); +#3858=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.02E1)); +#3859=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3860=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3861=AXIS2_PLACEMENT_3D('',#3858,#3859,#3860); +#3863=DIRECTION('',(-1.776356839400E-14,0.E0,1.E0)); +#3864=VECTOR('',#3863,4.E-1); +#3865=CARTESIAN_POINT('',(-3.835E1,-1.105E1,-2.02E1)); +#3866=LINE('',#3865,#3864); +#3867=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3868=VECTOR('',#3867,2.21E1); +#3869=CARTESIAN_POINT('',(-3.835E1,1.105E1,-2.02E1)); +#3870=LINE('',#3869,#3868); +#3871=DIRECTION('',(0.E0,0.E0,1.E0)); +#3872=VECTOR('',#3871,4.E-1); +#3873=CARTESIAN_POINT('',(-3.345E1,-1.595E1,-2.02E1)); +#3874=LINE('',#3873,#3872); +#3875=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.02E1)); +#3876=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3877=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3878=AXIS2_PLACEMENT_3D('',#3875,#3876,#3877); +#3880=CARTESIAN_POINT('',(-3.795E1,-1.105E1,-2.05E1)); +#3881=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3882=DIRECTION('',(-8.E-1,0.E0,6.E-1)); +#3883=AXIS2_PLACEMENT_3D('',#3880,#3881,#3882); +#3885=CARTESIAN_POINT('',(-3.345E1,-1.555E1,-2.05E1)); +#3886=DIRECTION('',(1.E0,0.E0,0.E0)); +#3887=DIRECTION('',(0.E0,-8.E-1,6.E-1)); +#3888=AXIS2_PLACEMENT_3D('',#3885,#3886,#3887); +#3890=CARTESIAN_POINT('',(3.345E1,-1.555E1,-2.05E1)); +#3891=DIRECTION('',(1.E0,0.E0,0.E0)); +#3892=DIRECTION('',(0.E0,-8.E-1,6.E-1)); +#3893=AXIS2_PLACEMENT_3D('',#3890,#3891,#3892); +#3895=CARTESIAN_POINT('',(3.795E1,-1.105E1,-2.05E1)); +#3896=DIRECTION('',(0.E0,1.E0,0.E0)); +#3897=DIRECTION('',(8.E-1,0.E0,6.E-1)); +#3898=AXIS2_PLACEMENT_3D('',#3895,#3896,#3897); +#3900=CARTESIAN_POINT('',(3.795E1,1.105E1,-2.05E1)); +#3901=DIRECTION('',(0.E0,1.E0,0.E0)); +#3902=DIRECTION('',(8.E-1,0.E0,6.E-1)); +#3903=AXIS2_PLACEMENT_3D('',#3900,#3901,#3902); +#3905=CARTESIAN_POINT('',(3.345E1,1.555E1,-2.05E1)); +#3906=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3907=DIRECTION('',(0.E0,8.E-1,6.E-1)); +#3908=AXIS2_PLACEMENT_3D('',#3905,#3906,#3907); +#3910=CARTESIAN_POINT('',(-3.345E1,1.555E1,-2.05E1)); +#3911=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3912=DIRECTION('',(0.E0,8.E-1,6.E-1)); +#3913=AXIS2_PLACEMENT_3D('',#3910,#3911,#3912); +#3915=CARTESIAN_POINT('',(-3.795E1,1.105E1,-2.05E1)); +#3916=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3917=DIRECTION('',(-8.E-1,0.E0,6.E-1)); +#3918=AXIS2_PLACEMENT_3D('',#3915,#3916,#3917); +#3920=CARTESIAN_POINT('',(-3.845E1,-6.55E0,-2.236602540378E1)); +#3921=CARTESIAN_POINT('',(-3.845E1,-6.55E0,-2.234110937866E1)); +#3922=CARTESIAN_POINT('',(-3.845E1,-6.547211109574E0,-2.229009891708E1)); +#3923=CARTESIAN_POINT('',(-3.845E1,-6.533926231701E0,-2.221007508797E1)); +#3924=CARTESIAN_POINT('',(-3.845E1,-6.510587745522E0,-2.212645627582E1)); +#3925=CARTESIAN_POINT('',(-3.845E1,-6.475919979250E0,-2.203890250201E1)); +#3926=CARTESIAN_POINT('',(-3.845E1,-6.427735917213E0,-2.194594789603E1)); +#3927=CARTESIAN_POINT('',(-3.845E1,-6.362281385231E0,-2.184525579648E1)); +#3928=CARTESIAN_POINT('',(-3.845E1,-6.277419922947E0,-2.173746192972E1)); +#3929=CARTESIAN_POINT('',(-3.845E1,-6.172619440284E0,-2.162277761850E1)); +#3930=CARTESIAN_POINT('',(-3.845E1,-6.092213030764E0,-2.154221303076E1)); +#3931=CARTESIAN_POINT('',(-3.845E1,-6.05E0,-2.15E1)); +#3933=DIRECTION('',(0.E0,1.E0,0.E0)); +#3934=VECTOR('',#3933,1.56E1); +#3935=CARTESIAN_POINT('',(-3.845E1,-6.05E0,-2.15E1)); +#3936=LINE('',#3935,#3934); +#3937=CARTESIAN_POINT('',(-3.845E1,9.55E0,-2.15E1)); +#3938=CARTESIAN_POINT('',(-3.845E1,9.592212613740E0,-2.154221261374E1)); +#3939=CARTESIAN_POINT('',(-3.845E1,9.672618587250E0,-2.162277674195E1)); +#3940=CARTESIAN_POINT('',(-3.845E1,9.777419921469E0,-2.173746184863E1)); +#3941=CARTESIAN_POINT('',(-3.845E1,9.862282467573E0,-2.184525725950E1)); +#3942=CARTESIAN_POINT('',(-3.845E1,9.927736615721E0,-2.194594909663E1)); +#3943=CARTESIAN_POINT('',(-3.845E1,9.975920676726E0,-2.203890403407E1)); +#3944=CARTESIAN_POINT('',(-3.845E1,1.001058799786E1,-2.212645709513E1)); +#3945=CARTESIAN_POINT('',(-3.845E1,1.003392636283E1,-2.221007570174E1)); +#3946=CARTESIAN_POINT('',(-3.845E1,1.004721112627E1,-2.229009918836E1)); +#3947=CARTESIAN_POINT('',(-3.845E1,1.005E1,-2.234110946891E1)); +#3948=CARTESIAN_POINT('',(-3.845E1,1.005E1,-2.236602540378E1)); +#3950=DIRECTION('',(0.E0,0.E0,1.E0)); +#3951=VECTOR('',#3950,6.133974596216E0); +#3952=CARTESIAN_POINT('',(-3.845E1,1.005E1,-2.85E1)); +#3953=LINE('',#3952,#3951); +#3954=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3955=VECTOR('',#3954,2.21E1); +#3956=CARTESIAN_POINT('',(-3.845E1,1.105E1,-2.05E1)); +#3957=LINE('',#3956,#3955); +#3958=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3959=VECTOR('',#3958,1.E0); +#3960=CARTESIAN_POINT('',(-3.845E1,-1.105E1,-2.05E1)); +#3961=LINE('',#3960,#3959); +#3962=DIRECTION('',(0.E0,1.E0,0.E0)); +#3963=VECTOR('',#3962,2.5E0); +#3964=CARTESIAN_POINT('',(-3.845E1,-1.105E1,-2.15E1)); +#3965=LINE('',#3964,#3963); +#3966=CARTESIAN_POINT('',(-3.845E1,-8.55E0,-2.15E1)); +#3967=CARTESIAN_POINT('',(-3.845E1,-8.507787386260E0,-2.154221261374E1)); +#3968=CARTESIAN_POINT('',(-3.845E1,-8.427381412750E0,-2.162277674195E1)); +#3969=CARTESIAN_POINT('',(-3.845E1,-8.322580078531E0,-2.173746184863E1)); +#3970=CARTESIAN_POINT('',(-3.845E1,-8.237717532427E0,-2.184525725950E1)); +#3971=CARTESIAN_POINT('',(-3.845E1,-8.172263384279E0,-2.194594909663E1)); +#3972=CARTESIAN_POINT('',(-3.845E1,-8.124079323274E0,-2.203890403407E1)); +#3973=CARTESIAN_POINT('',(-3.845E1,-8.089412002144E0,-2.212645709513E1)); +#3974=CARTESIAN_POINT('',(-3.845E1,-8.066073637173E0,-2.221007570174E1)); +#3975=CARTESIAN_POINT('',(-3.845E1,-8.052788873732E0,-2.229009918836E1)); +#3976=CARTESIAN_POINT('',(-3.845E1,-8.05E0,-2.234110946891E1)); +#3977=CARTESIAN_POINT('',(-3.845E1,-8.05E0,-2.236602540378E1)); +#3979=DIRECTION('',(0.E0,0.E0,1.E0)); +#3980=VECTOR('',#3979,6.133974596216E0); +#3981=CARTESIAN_POINT('',(-3.845E1,-8.05E0,-2.85E1)); +#3982=LINE('',#3981,#3980); +#3983=DIRECTION('',(1.E0,0.E0,-1.170757384172E-13)); +#3984=VECTOR('',#3983,1.153126357516E0); +#3985=CARTESIAN_POINT('',(-3.795E1,-6.55E0,-2.25E1)); +#3986=LINE('',#3985,#3984); +#3987=CARTESIAN_POINT('',(-3.795E1,-6.55E0,-2.15E1)); +#3988=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3989=DIRECTION('',(-5.E-1,0.E0,-8.660254037844E-1)); +#3990=AXIS2_PLACEMENT_3D('',#3987,#3988,#3989); +#3992=DIRECTION('',(0.E0,0.E0,1.E0)); +#3993=VECTOR('',#3992,6.133974596216E0); +#3994=CARTESIAN_POINT('',(-3.845E1,-6.55E0,-2.85E1)); +#3995=LINE('',#3994,#3993); +#3996=CARTESIAN_POINT('',(-3.679687364248E1,-6.55E0,-2.8E1)); +#3997=CARTESIAN_POINT('',(-3.685372004600E1,-6.55E0,-2.804019647741E1)); +#3998=CARTESIAN_POINT('',(-3.696273950843E1,-6.55E0,-2.811719341692E1)); +#3999=CARTESIAN_POINT('',(-3.711571833988E1,-6.55E0,-2.821880398781E1)); +#4000=CARTESIAN_POINT('',(-3.725783044295E1,-6.55E0,-2.830345149662E1)); +#4001=CARTESIAN_POINT('',(-3.739213270343E1,-6.55E0,-2.837204434177E1)); +#4002=CARTESIAN_POINT('',(-3.751487404576E1,-6.55E0,-2.842332669605E1)); +#4003=CARTESIAN_POINT('',(-3.762672633076E1,-6.55E0,-2.845967796875E1)); +#4004=CARTESIAN_POINT('',(-3.772953336386E1,-6.55E0,-2.848361571783E1)); +#4005=CARTESIAN_POINT('',(-3.782510049377E1,-6.55E0,-2.849712054410E1)); +#4006=CARTESIAN_POINT('',(-3.788559711651E1,-6.55E0,-2.85E1)); +#4007=CARTESIAN_POINT('',(-3.791490763123E1,-6.55E0,-2.85E1)); +#4009=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4010=VECTOR('',#4009,5.5E0); +#4011=CARTESIAN_POINT('',(-3.679687364248E1,-6.55E0,-2.25E1)); +#4012=LINE('',#4011,#4010); +#4013=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4014=VECTOR('',#4013,2.653126357515E0); +#4015=CARTESIAN_POINT('',(-3.529687364248E1,9.55E0,-2.2E1)); +#4016=LINE('',#4015,#4014); +#4017=DIRECTION('',(0.E0,1.E0,0.E0)); +#4018=VECTOR('',#4017,1.56E1); +#4019=CARTESIAN_POINT('',(-3.795E1,-6.05E0,-2.2E1)); +#4020=LINE('',#4019,#4018); +#4021=DIRECTION('',(1.E0,0.E0,0.E0)); +#4022=VECTOR('',#4021,1.153126357516E0); +#4023=CARTESIAN_POINT('',(-3.795E1,-6.05E0,-2.2E1)); +#4024=LINE('',#4023,#4022); +#4025=CARTESIAN_POINT('',(-3.679687364248E1,-5.55E0,-2.2E1)); +#4026=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4027=DIRECTION('',(8.993231350921E-1,-4.372846883761E-1,0.E0)); +#4028=AXIS2_PLACEMENT_3D('',#4025,#4026,#4027); +#4030=DIRECTION('',(1.E0,0.E0,0.E0)); +#4031=VECTOR('',#4030,4.108207765149E0); +#4032=CARTESIAN_POINT('',(-3.015820776515E1,-5.568671030682E0,-2.2E1)); +#4033=LINE('',#4032,#4031); +#4034=DIRECTION('',(5.211311565163E-1,-8.534766064211E-1,0.E0)); +#4035=VECTOR('',#4034,3.106504559552E0); +#4036=CARTESIAN_POINT('',(-2.605E1,-5.568671030682E0,-2.2E1)); +#4037=LINE('',#4036,#4035); +#4038=DIRECTION('',(0.E0,1.E0,0.E0)); +#4039=VECTOR('',#4038,1.15E0); +#4040=CARTESIAN_POINT('',(-2.443110368616E1,-9.37E0,-2.2E1)); +#4041=LINE('',#4040,#4039); +#4042=DIRECTION('',(-7.071067811866E-1,-7.071067811865E-1,0.E0)); +#4043=VECTOR('',#4042,4.242640687119E-1); +#4044=CARTESIAN_POINT('',(-2.443110368616E1,-9.37E0,-2.2E1)); +#4045=LINE('',#4044,#4043); +#4046=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4047=VECTOR('',#4046,1.1E0); +#4048=CARTESIAN_POINT('',(-2.473110368616E1,-9.67E0,-2.2E1)); +#4049=LINE('',#4048,#4047); +#4050=DIRECTION('',(7.071067811865E-1,-7.071067811866E-1,0.E0)); +#4051=VECTOR('',#4050,4.242640687119E-1); +#4052=CARTESIAN_POINT('',(-2.473110368616E1,-1.077E1,-2.2E1)); +#4053=LINE('',#4052,#4051); +#4054=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4055=VECTOR('',#4054,6.5E-1); +#4056=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-2.2E1)); +#4057=LINE('',#4056,#4055); +#4058=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4059=VECTOR('',#4058,1.3E0); +#4060=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-2.2E1)); +#4061=LINE('',#4060,#4059); +#4062=DIRECTION('',(-2.732856676E-14,1.E0,0.E0)); +#4063=VECTOR('',#4062,6.5E-1); +#4064=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-2.2E1)); +#4065=LINE('',#4064,#4063); +#4066=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4067=VECTOR('',#4066,5.018896313842E0); +#4068=CARTESIAN_POINT('',(-2.573110368616E1,-1.107E1,-2.2E1)); +#4069=LINE('',#4068,#4067); +#4070=DIRECTION('',(0.E0,1.E0,0.E0)); +#4071=VECTOR('',#4070,2.700000000002E-1); +#4072=CARTESIAN_POINT('',(-3.075E1,-1.107E1,-2.2E1)); +#4073=LINE('',#4072,#4071); +#4074=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4075=VECTOR('',#4074,2.45E0); +#4076=CARTESIAN_POINT('',(-3.075E1,-1.08E1,-2.2E1)); +#4077=LINE('',#4076,#4075); +#4078=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.2E1)); +#4079=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4080=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4081=AXIS2_PLACEMENT_3D('',#4078,#4079,#4080); +#4083=CARTESIAN_POINT('',(-3.679687364248E1,-9.05E0,-2.2E1)); +#4084=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4085=DIRECTION('',(0.E0,1.E0,0.E0)); +#4086=AXIS2_PLACEMENT_3D('',#4083,#4084,#4085); +#4088=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4089=VECTOR('',#4088,1.153126357516E0); +#4090=CARTESIAN_POINT('',(-3.679687364248E1,-8.55E0,-2.2E1)); +#4091=LINE('',#4090,#4089); +#4092=DIRECTION('',(0.E0,1.E0,0.E0)); +#4093=VECTOR('',#4092,2.5E0); +#4094=CARTESIAN_POINT('',(-3.795E1,-1.105E1,-2.2E1)); +#4095=LINE('',#4094,#4093); +#4096=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.2E1)); +#4097=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4098=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4099=AXIS2_PLACEMENT_3D('',#4096,#4097,#4098); +#4101=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4102=VECTOR('',#4101,6.69E1); +#4103=CARTESIAN_POINT('',(3.345E1,-1.555E1,-2.2E1)); +#4104=LINE('',#4103,#4102); +#4105=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.2E1)); +#4106=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4107=DIRECTION('',(1.E0,0.E0,0.E0)); +#4108=AXIS2_PLACEMENT_3D('',#4105,#4106,#4107); +#4110=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4111=VECTOR('',#4110,2.5E0); +#4112=CARTESIAN_POINT('',(3.795E1,-8.55E0,-2.2E1)); +#4113=LINE('',#4112,#4111); +#4114=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4115=VECTOR('',#4114,1.153126357516E0); +#4116=CARTESIAN_POINT('',(3.795E1,-8.55E0,-2.2E1)); +#4117=LINE('',#4116,#4115); +#4118=CARTESIAN_POINT('',(3.679687364248E1,-9.05E0,-2.2E1)); +#4119=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4120=DIRECTION('',(-8.992425228418E-1,4.374504373219E-1,0.E0)); +#4121=AXIS2_PLACEMENT_3D('',#4118,#4119,#4120); +#4123=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.2E1)); +#4124=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4125=DIRECTION('',(8.992149657830E-1,-4.375070802991E-1,0.E0)); +#4126=AXIS2_PLACEMENT_3D('',#4123,#4124,#4125); +#4128=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4129=VECTOR('',#4128,2.45E0); +#4130=CARTESIAN_POINT('',(3.32E1,-1.08E1,-2.2E1)); +#4131=LINE('',#4130,#4129); +#4132=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4133=VECTOR('',#4132,2.700000000002E-1); +#4134=CARTESIAN_POINT('',(3.075E1,-1.08E1,-2.2E1)); +#4135=LINE('',#4134,#4133); +#4136=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4137=VECTOR('',#4136,5.018896313842E0); +#4138=CARTESIAN_POINT('',(3.075E1,-1.107E1,-2.2E1)); +#4139=LINE('',#4138,#4137); +#4140=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4141=VECTOR('',#4140,6.5E-1); +#4142=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-2.2E1)); +#4143=LINE('',#4142,#4141); +#4144=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4145=VECTOR('',#4144,1.3E0); +#4146=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-2.2E1)); +#4147=LINE('',#4146,#4145); +#4148=DIRECTION('',(0.E0,1.E0,0.E0)); +#4149=VECTOR('',#4148,6.5E-1); +#4150=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-2.2E1)); +#4151=LINE('',#4150,#4149); +#4152=DIRECTION('',(7.071067811865E-1,7.071067811866E-1,0.E0)); +#4153=VECTOR('',#4152,4.242640687119E-1); +#4154=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-2.2E1)); +#4155=LINE('',#4154,#4153); +#4156=DIRECTION('',(0.E0,1.E0,0.E0)); +#4157=VECTOR('',#4156,1.1E0); +#4158=CARTESIAN_POINT('',(2.473110368616E1,-1.077E1,-2.2E1)); +#4159=LINE('',#4158,#4157); +#4160=DIRECTION('',(-7.071067811866E-1,7.071067811865E-1,0.E0)); +#4161=VECTOR('',#4160,4.242640687119E-1); +#4162=CARTESIAN_POINT('',(2.473110368616E1,-9.67E0,-2.2E1)); +#4163=LINE('',#4162,#4161); +#4164=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4165=VECTOR('',#4164,1.15E0); +#4166=CARTESIAN_POINT('',(2.443110368616E1,-8.22E0,-2.2E1)); +#4167=LINE('',#4166,#4165); +#4168=DIRECTION('',(5.211311565163E-1,8.534766064211E-1,0.E0)); +#4169=VECTOR('',#4168,3.106504559552E0); +#4170=CARTESIAN_POINT('',(2.443110368616E1,-8.22E0,-2.2E1)); +#4171=LINE('',#4170,#4169); +#4172=DIRECTION('',(1.E0,0.E0,0.E0)); +#4173=VECTOR('',#4172,4.108207765149E0); +#4174=CARTESIAN_POINT('',(2.605E1,-5.568671030682E0,-2.2E1)); +#4175=LINE('',#4174,#4173); +#4176=CARTESIAN_POINT('',(3.679687364248E1,-5.55E0,-2.2E1)); +#4177=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4178=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4179=AXIS2_PLACEMENT_3D('',#4176,#4177,#4178); +#4181=DIRECTION('',(1.E0,0.E0,0.E0)); +#4182=VECTOR('',#4181,1.153126357516E0); +#4183=CARTESIAN_POINT('',(3.679687364248E1,-6.05E0,-2.2E1)); +#4184=LINE('',#4183,#4182); +#4185=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4186=VECTOR('',#4185,1.56E1); +#4187=CARTESIAN_POINT('',(3.795E1,9.55E0,-2.2E1)); +#4188=LINE('',#4187,#4186); +#4189=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4190=VECTOR('',#4189,2.653126357515E0); +#4191=CARTESIAN_POINT('',(3.795E1,9.55E0,-2.2E1)); +#4192=LINE('',#4191,#4190); +#4193=CARTESIAN_POINT('',(3.529687364248E1,9.05E0,-2.2E1)); +#4194=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4195=DIRECTION('',(-8.992237908914E-1,4.374889414544E-1,0.E0)); +#4196=AXIS2_PLACEMENT_3D('',#4193,#4194,#4195); +#4198=CARTESIAN_POINT('',(3.529687364248E1,1.255E1,-2.2E1)); +#4199=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4200=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4201=AXIS2_PLACEMENT_3D('',#4198,#4199,#4200); +#4203=DIRECTION('',(-1.E0,0.E0,-1.822013444581E-8)); +#4204=VECTOR('',#4203,2.540608310227E0); +#4205=CARTESIAN_POINT('',(3.783748195271E1,1.205E1,-2.199999995371E1)); +#4206=LINE('',#4205,#4204); +#4207=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.2E1)); +#4208=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4209=DIRECTION('',(0.E0,1.E0,0.E0)); +#4210=AXIS2_PLACEMENT_3D('',#4207,#4208,#4209); +#4212=DIRECTION('',(1.E0,0.E0,0.E0)); +#4213=VECTOR('',#4212,6.69E1); +#4214=CARTESIAN_POINT('',(-3.345E1,1.555E1,-2.2E1)); +#4215=LINE('',#4214,#4213); +#4216=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.2E1)); +#4217=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4218=DIRECTION('',(-9.749960343981E-1,2.222222601541E-1,0.E0)); +#4219=AXIS2_PLACEMENT_3D('',#4216,#4217,#4218); +#4221=DIRECTION('',(-1.E0,0.E0,2.130072361873E-8)); +#4222=VECTOR('',#4221,2.540608354256E0); +#4223=CARTESIAN_POINT('',(-3.529687364248E1,1.205E1,-2.2E1)); +#4224=LINE('',#4223,#4222); +#4225=CARTESIAN_POINT('',(-3.529687364248E1,1.255E1,-2.2E1)); +#4226=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4227=DIRECTION('',(8.992237908914E-1,-4.374889414544E-1,0.E0)); +#4228=AXIS2_PLACEMENT_3D('',#4225,#4226,#4227); +#4230=CARTESIAN_POINT('',(-3.529687364248E1,9.05E0,-2.2E1)); +#4231=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4232=DIRECTION('',(0.E0,1.E0,0.E0)); +#4233=AXIS2_PLACEMENT_3D('',#4230,#4231,#4232); +#4235=DIRECTION('',(0.E0,1.E0,0.E0)); +#4236=VECTOR('',#4235,4.75E0); +#4237=CARTESIAN_POINT('',(-2.47E1,7.5E-1,-2.2E1)); +#4238=LINE('',#4237,#4236); +#4239=DIRECTION('',(1.E0,0.E0,0.E0)); +#4240=VECTOR('',#4239,4.64E1); +#4241=CARTESIAN_POINT('',(-2.32E1,7.E0,-2.2E1)); +#4242=LINE('',#4241,#4240); +#4243=DIRECTION('',(0.E0,1.E0,0.E0)); +#4244=VECTOR('',#4243,4.75E0); +#4245=CARTESIAN_POINT('',(2.47E1,7.5E-1,-2.2E1)); +#4246=LINE('',#4245,#4244); +#4247=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4248=VECTOR('',#4247,1.4E0); +#4249=CARTESIAN_POINT('',(2.47E1,7.5E-1,-2.2E1)); +#4250=LINE('',#4249,#4248); +#4251=DIRECTION('',(0.E0,1.E0,0.E0)); +#4252=VECTOR('',#4251,1.843154304743E0); +#4253=CARTESIAN_POINT('',(2.47E1,-5.093154304743E0,-2.2E1)); +#4254=LINE('',#4253,#4252); +#4255=DIRECTION('',(5.211311565163E-1,8.534766064211E-1,0.E0)); +#4256=VECTOR('',#4255,5.684200400604E0); +#4257=CARTESIAN_POINT('',(2.173778607136E1,-9.944486372867E0,-2.2E1)); +#4258=LINE('',#4257,#4256); +#4259=DIRECTION('',(1.E0,0.E0,0.E0)); +#4260=VECTOR('',#4259,4.347557214273E1); +#4261=CARTESIAN_POINT('',(-2.173778607136E1,-9.944486372867E0,-2.2E1)); +#4262=LINE('',#4261,#4260); +#4263=DIRECTION('',(5.211311565163E-1,-8.534766064211E-1,0.E0)); +#4264=VECTOR('',#4263,5.684200400604E0); +#4265=CARTESIAN_POINT('',(-2.47E1,-5.093154304743E0,-2.2E1)); +#4266=LINE('',#4265,#4264); +#4267=DIRECTION('',(0.E0,1.E0,0.E0)); +#4268=VECTOR('',#4267,1.843154304743E0); +#4269=CARTESIAN_POINT('',(-2.47E1,-5.093154304743E0,-2.2E1)); +#4270=LINE('',#4269,#4268); +#4271=DIRECTION('',(1.E0,0.E0,0.E0)); +#4272=VECTOR('',#4271,1.4E0); +#4273=CARTESIAN_POINT('',(-2.47E1,-3.25E0,-2.2E1)); +#4274=LINE('',#4273,#4272); +#4275=CARTESIAN_POINT('',(-3.529687364248E1,9.55E0,-2.25E1)); +#4276=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4277=DIRECTION('',(0.E0,0.E0,1.E0)); +#4278=AXIS2_PLACEMENT_3D('',#4275,#4276,#4277); +#4280=DIRECTION('',(-1.E0,0.E0,3.213760552704E-14)); +#4281=VECTOR('',#4280,2.653126357515E0); +#4282=CARTESIAN_POINT('',(-3.529687364248E1,1.005E1,-2.25E1)); +#4283=LINE('',#4282,#4281); +#4284=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4285=VECTOR('',#4284,5.5E0); +#4286=CARTESIAN_POINT('',(-3.529687364248E1,1.005E1,-2.25E1)); +#4287=LINE('',#4286,#4285); +#4288=CARTESIAN_POINT('',(-3.641490763123E1,1.005E1,-2.85E1)); +#4289=CARTESIAN_POINT('',(-3.638559836932E1,1.005E1,-2.85E1)); +#4290=CARTESIAN_POINT('',(-3.632510490886E1,1.005E1,-2.849712078195E1)); +#4291=CARTESIAN_POINT('',(-3.622954491529E1,1.005E1,-2.848361765279E1)); +#4292=CARTESIAN_POINT('',(-3.612674614840E1,1.005E1,-2.845968328105E1)); +#4293=CARTESIAN_POINT('',(-3.601490254699E1,1.005E1,-2.842333702466E1)); +#4294=CARTESIAN_POINT('',(-3.589217201071E1,1.005E1,-2.837206238617E1)); +#4295=CARTESIAN_POINT('',(-3.575786927312E1,1.005E1,-2.830347366256E1)); +#4296=CARTESIAN_POINT('',(-3.561572676619E1,1.005E1,-2.821880989492E1)); +#4297=CARTESIAN_POINT('',(-3.546273951359E1,1.005E1,-2.811719339520E1)); +#4298=CARTESIAN_POINT('',(-3.535371883266E1,1.005E1,-2.804019561945E1)); +#4299=CARTESIAN_POINT('',(-3.529687364248E1,1.005E1,-2.8E1)); +#4301=CARTESIAN_POINT('',(-3.795E1,1.005E1,-2.15E1)); +#4302=DIRECTION('',(0.E0,1.E0,0.E0)); +#4303=DIRECTION('',(-1.421085471520E-14,0.E0,-1.E0)); +#4304=AXIS2_PLACEMENT_3D('',#4301,#4302,#4303); +#4306=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4307=VECTOR('',#4306,5.5E0); +#4308=CARTESIAN_POINT('',(-3.439765523186E1,9.4875E0,-2.25E1)); +#4309=LINE('',#4308,#4307); +#4310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4311=VECTOR('',#4310,5.5E0); +#4312=CARTESIAN_POINT('',(-3.439765523186E1,1.21125E1,-2.25E1)); +#4313=LINE('',#4312,#4311); +#4314=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.85E1)); +#4315=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4316=DIRECTION('',(-8.992175176612E-1,4.375018353462E-1,0.E0)); +#4317=AXIS2_PLACEMENT_3D('',#4314,#4315,#4316); +#4319=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.8E1)); +#4320=DIRECTION('',(0.E0,0.E0,1.E0)); +#4321=DIRECTION('',(-8.992184106211E-1,-4.375E-1,0.E0)); +#4322=AXIS2_PLACEMENT_3D('',#4319,#4320,#4321); +#4324=CARTESIAN_POINT('',(3.529687364248E1,9.05E0,-2.85E1)); +#4325=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4326=DIRECTION('',(-8.992198988798E-1,4.374969410849E-1,0.E0)); +#4327=AXIS2_PLACEMENT_3D('',#4324,#4325,#4326); +#4329=DIRECTION('',(1.E0,0.E0,0.E0)); +#4330=VECTOR('',#4329,2.035092368766E0); +#4331=CARTESIAN_POINT('',(3.641490763123E1,1.005E1,-2.85E1)); +#4332=LINE('',#4331,#4330); +#4333=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4334=VECTOR('',#4333,9.999999999998E-1); +#4335=CARTESIAN_POINT('',(3.845E1,1.105E1,-2.85E1)); +#4336=LINE('',#4335,#4334); +#4337=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.85E1)); +#4338=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4339=DIRECTION('',(9.949874371066E-1,1.E-1,0.E0)); +#4340=AXIS2_PLACEMENT_3D('',#4337,#4338,#4339); +#4342=CARTESIAN_POINT('',(3.529687364248E1,1.255E1,-2.85E1)); +#4343=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4344=DIRECTION('',(7.453559924999E-1,-6.666666666667E-1,0.E0)); +#4345=AXIS2_PLACEMENT_3D('',#4342,#4343,#4344); +#4347=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.85E1)); +#4348=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4349=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4350=AXIS2_PLACEMENT_3D('',#4347,#4348,#4349); +#4352=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4353=VECTOR('',#4352,2.45E0); +#4354=CARTESIAN_POINT('',(-3.075E1,-9.8E0,-2.85E1)); +#4355=LINE('',#4354,#4353); +#4356=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4357=VECTOR('',#4356,3.8E0); +#4358=CARTESIAN_POINT('',(-2.695E1,-1.107E1,-2.85E1)); +#4359=LINE('',#4358,#4357); +#4360=DIRECTION('',(0.E0,1.E0,0.E0)); +#4361=VECTOR('',#4360,3.851328969318E0); +#4362=CARTESIAN_POINT('',(-2.695E1,-1.107E1,-2.85E1)); +#4363=LINE('',#4362,#4361); +#4364=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4365=VECTOR('',#4364,3.8E0); +#4366=CARTESIAN_POINT('',(-2.695E1,-7.218671030682E0,-2.85E1)); +#4367=LINE('',#4366,#4365); +#4368=DIRECTION('',(0.E0,1.E0,0.E0)); +#4369=VECTOR('',#4368,4.161647492351E-1); +#4370=CARTESIAN_POINT('',(-3.075E1,-7.218671030682E0,-2.85E1)); +#4371=LINE('',#4370,#4369); +#4372=CARTESIAN_POINT('',(-3.679687364248E1,-5.55E0,-2.85E1)); +#4373=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4374=DIRECTION('',(8.992184106262E-1,-4.374999999895E-1,0.E0)); +#4375=AXIS2_PLACEMENT_3D('',#4372,#4373,#4374); +#4377=DIRECTION('',(1.E0,0.E0,0.E0)); +#4378=VECTOR('',#4377,5.350923687656E-1); +#4379=CARTESIAN_POINT('',(-3.845E1,-6.55E0,-2.85E1)); +#4380=LINE('',#4379,#4378); +#4381=DIRECTION('',(0.E0,1.E0,0.E0)); +#4382=VECTOR('',#4381,1.5E0); +#4383=CARTESIAN_POINT('',(-3.845E1,-8.05E0,-2.85E1)); +#4384=LINE('',#4383,#4382); +#4385=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4386=VECTOR('',#4385,5.350923687656E-1); +#4387=CARTESIAN_POINT('',(-3.791490763123E1,-8.05E0,-2.85E1)); +#4388=LINE('',#4387,#4386); +#4389=CARTESIAN_POINT('',(-3.679687364248E1,-9.05E0,-2.85E1)); +#4390=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4391=DIRECTION('',(-7.453559924999E-1,6.666666666667E-1,0.E0)); +#4392=AXIS2_PLACEMENT_3D('',#4389,#4390,#4391); +#4394=CARTESIAN_POINT('',(-3.529687364248E1,1.255E1,-2.85E1)); +#4395=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4396=DIRECTION('',(8.992198988791E-1,-4.374969410864E-1,0.E0)); +#4397=AXIS2_PLACEMENT_3D('',#4394,#4395,#4396); +#4399=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.85E1)); +#4400=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4401=DIRECTION('',(-1.E0,-1.065814103640E-14,0.E0)); +#4402=AXIS2_PLACEMENT_3D('',#4399,#4400,#4401); +#4404=DIRECTION('',(0.E0,1.E0,0.E0)); +#4405=VECTOR('',#4404,9.999999999998E-1); +#4406=CARTESIAN_POINT('',(-3.845E1,1.005E1,-2.85E1)); +#4407=LINE('',#4406,#4405); +#4408=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4409=VECTOR('',#4408,2.035092368766E0); +#4410=CARTESIAN_POINT('',(-3.641490763123E1,1.005E1,-2.85E1)); +#4411=LINE('',#4410,#4409); +#4412=CARTESIAN_POINT('',(-3.529687364248E1,9.05E0,-2.85E1)); +#4413=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4414=DIRECTION('',(-7.453559924999E-1,6.666666666667E-1,0.E0)); +#4415=AXIS2_PLACEMENT_3D('',#4412,#4413,#4414); +#4417=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4418=VECTOR('',#4417,2.45E0); +#4419=CARTESIAN_POINT('',(3.32E1,-9.8E0,-2.85E1)); +#4420=LINE('',#4419,#4418); +#4421=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.85E1)); +#4422=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4423=DIRECTION('',(8.992184106176E-1,-4.375000000073E-1,0.E0)); +#4424=AXIS2_PLACEMENT_3D('',#4421,#4422,#4423); +#4426=CARTESIAN_POINT('',(3.679687364248E1,-9.05E0,-2.85E1)); +#4427=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4428=DIRECTION('',(-8.992184106270E-1,4.374999999880E-1,0.E0)); +#4429=AXIS2_PLACEMENT_3D('',#4426,#4427,#4428); +#4431=DIRECTION('',(1.E0,0.E0,0.E0)); +#4432=VECTOR('',#4431,5.350923687656E-1); +#4433=CARTESIAN_POINT('',(3.791490763123E1,-8.05E0,-2.85E1)); +#4434=LINE('',#4433,#4432); +#4435=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4436=VECTOR('',#4435,1.5E0); +#4437=CARTESIAN_POINT('',(3.845E1,-6.55E0,-2.85E1)); +#4438=LINE('',#4437,#4436); +#4439=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4440=VECTOR('',#4439,5.350923687656E-1); +#4441=CARTESIAN_POINT('',(3.845E1,-6.55E0,-2.85E1)); +#4442=LINE('',#4441,#4440); +#4443=CARTESIAN_POINT('',(3.679687364248E1,-5.55E0,-2.85E1)); +#4444=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4445=DIRECTION('',(7.453559924999E-1,-6.666666666667E-1,0.E0)); +#4446=AXIS2_PLACEMENT_3D('',#4443,#4444,#4445); +#4448=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4449=VECTOR('',#4448,4.161647492352E-1); +#4450=CARTESIAN_POINT('',(3.075E1,-6.802506281446E0,-2.85E1)); +#4451=LINE('',#4450,#4449); +#4452=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4453=VECTOR('',#4452,3.8E0); +#4454=CARTESIAN_POINT('',(3.075E1,-7.218671030682E0,-2.85E1)); +#4455=LINE('',#4454,#4453); +#4456=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4457=VECTOR('',#4456,3.851328969318E0); +#4458=CARTESIAN_POINT('',(2.695E1,-7.218671030682E0,-2.85E1)); +#4459=LINE('',#4458,#4457); +#4460=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4461=VECTOR('',#4460,3.8E0); +#4462=CARTESIAN_POINT('',(3.075E1,-1.107E1,-2.85E1)); +#4463=LINE('',#4462,#4461); +#4464=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.85E1)); +#4465=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4466=DIRECTION('',(8.992175176607E-1,-4.375018353471E-1,0.E0)); +#4467=AXIS2_PLACEMENT_3D('',#4464,#4465,#4466); +#4469=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.8E1)); +#4470=DIRECTION('',(0.E0,0.E0,1.E0)); +#4471=DIRECTION('',(8.992184106211E-1,4.375E-1,0.E0)); +#4472=AXIS2_PLACEMENT_3D('',#4469,#4470,#4471); +#4474=CARTESIAN_POINT('',(3.529687364248E1,1.255E1,-2.8E1)); +#4475=DIRECTION('',(0.E0,0.E0,1.E0)); +#4476=DIRECTION('',(-8.992184106211E-1,-4.375E-1,0.E0)); +#4477=AXIS2_PLACEMENT_3D('',#4474,#4475,#4476); +#4479=CARTESIAN_POINT('',(3.394802574490E1,1.189375832887E1,-2.849999999972E1)); +#4480=CARTESIAN_POINT('',(3.396612653749E1,1.190255376572E1,-2.849999999972E1)); +#4481=CARTESIAN_POINT('',(3.400234962542E1,1.192016728360E1,-2.849756759566E1)); +#4482=CARTESIAN_POINT('',(3.405588477832E1,1.194621804878E1,-2.848665951736E1)); +#4483=CARTESIAN_POINT('',(3.410784922044E1,1.197149939557E1,-2.846865523966E1)); +#4484=CARTESIAN_POINT('',(3.415748287164E1,1.199564813148E1,-2.844381605745E1)); +#4485=CARTESIAN_POINT('',(3.420406209925E1,1.201831041318E1,-2.841250478202E1)); +#4486=CARTESIAN_POINT('',(3.424690790670E1,1.203915636073E1,-2.837517768055E1)); +#4487=CARTESIAN_POINT('',(3.428539525646E1,1.205788174515E1,-2.833237928480E1)); +#4488=CARTESIAN_POINT('',(3.431896294733E1,1.207421355725E1,-2.828473367687E1)); +#4489=CARTESIAN_POINT('',(3.434712143883E1,1.208791361001E1,-2.823293567930E1)); +#4490=CARTESIAN_POINT('',(3.436946005689E1,1.209878209911E1,-2.817774075352E1)); +#4491=CARTESIAN_POINT('',(3.438565306925E1,1.210666054422E1,-2.811995374285E1)); +#4492=CARTESIAN_POINT('',(3.439546430609E1,1.211143404093E1,-2.806041740456E1)); +#4493=CARTESIAN_POINT('',(3.439765523186E1,1.21125E1,-2.802013916220E1)); +#4494=CARTESIAN_POINT('',(3.439765523186E1,1.21125E1,-2.8E1)); +#4496=DIRECTION('',(-1.E0,-3.560894847469E-8,-2.656905168057E-14)); +#4497=VECTOR('',#4496,2.540608551211E0); +#4498=CARTESIAN_POINT('',(3.783748219370E1,1.155000009047E1,-2.25E1)); +#4499=LINE('',#4498,#4497); +#4500=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4501=VECTOR('',#4500,5.5E0); +#4502=CARTESIAN_POINT('',(3.529687364248E1,1.155E1,-2.25E1)); +#4503=LINE('',#4502,#4501); +#4504=CARTESIAN_POINT('',(3.641490763123E1,1.155E1,-2.85E1)); +#4505=CARTESIAN_POINT('',(3.638559836932E1,1.155E1,-2.85E1)); +#4506=CARTESIAN_POINT('',(3.632510490886E1,1.155E1,-2.849712078195E1)); +#4507=CARTESIAN_POINT('',(3.622954491529E1,1.155E1,-2.848361765279E1)); +#4508=CARTESIAN_POINT('',(3.612674614840E1,1.155E1,-2.845968328105E1)); +#4509=CARTESIAN_POINT('',(3.601490254699E1,1.155E1,-2.842333702466E1)); +#4510=CARTESIAN_POINT('',(3.589217201071E1,1.155E1,-2.837206238617E1)); +#4511=CARTESIAN_POINT('',(3.575786927312E1,1.155E1,-2.830347366256E1)); +#4512=CARTESIAN_POINT('',(3.561572676619E1,1.155E1,-2.821880989492E1)); +#4513=CARTESIAN_POINT('',(3.546273951359E1,1.155E1,-2.811719339520E1)); +#4514=CARTESIAN_POINT('',(3.535371883266E1,1.155E1,-2.804019561945E1)); +#4515=CARTESIAN_POINT('',(3.529687364248E1,1.155E1,-2.8E1)); +#4517=DIRECTION('',(1.E0,0.E0,0.E0)); +#4518=VECTOR('',#4517,2.010029554299E0); +#4519=CARTESIAN_POINT('',(3.641490763123E1,1.155E1,-2.85E1)); +#4520=LINE('',#4519,#4518); +#4521=CARTESIAN_POINT('',(3.783748219370E1,1.155000009047E1,-2.25E1)); +#4522=CARTESIAN_POINT('',(3.786693689431E1,1.155000024946E1,-2.249999969006E1)); +#4523=CARTESIAN_POINT('',(3.792591102316E1,1.154999988433E1,-2.249754275373E1)); +#4524=CARTESIAN_POINT('',(3.801515067590E1,1.155000003068E1,-2.248617122206E1)); +#4525=CARTESIAN_POINT('',(3.810341642809E1,1.154999999297E1,-2.246705562001E1)); +#4526=CARTESIAN_POINT('',(3.818972511790E1,1.154999999744E1,-2.244033437005E1)); +#4527=CARTESIAN_POINT('',(3.827286771523E1,1.155000001726E1,-2.240640967872E1)); +#4528=CARTESIAN_POINT('',(3.835197831758E1,1.154999993351E1,-2.236577372261E1)); +#4529=CARTESIAN_POINT('',(3.840113903187E1,1.155000014364E1,-2.233491625103E1)); +#4530=CARTESIAN_POINT('',(3.842493718301E1,1.155000004996E1,-2.231854263062E1)); +#4532=CARTESIAN_POINT('',(3.529687364248E1,1.205E1,-2.25E1)); +#4533=DIRECTION('',(1.E0,0.E0,0.E0)); +#4534=DIRECTION('',(0.E0,0.E0,1.E0)); +#4535=AXIS2_PLACEMENT_3D('',#4532,#4533,#4534); +#4537=CARTESIAN_POINT('',(3.783748195271E1,1.205E1,-2.199999995371E1)); +#4538=CARTESIAN_POINT('',(3.785516841237E1,1.204634725597E1,-2.199999984367E1)); +#4539=CARTESIAN_POINT('',(3.789005254756E1,1.203929347162E1,-2.199834850633E1)); +#4540=CARTESIAN_POINT('',(3.793924199370E1,1.202970641697E1,-2.199165921375E1)); +#4541=CARTESIAN_POINT('',(3.798870611847E1,1.202040336651E1,-2.198041780062E1)); +#4542=CARTESIAN_POINT('',(3.803618776504E1,1.201178491810E1,-2.196493612930E1)); +#4543=CARTESIAN_POINT('',(3.808139955217E1,1.200384517378E1,-2.194548400020E1)); +#4544=CARTESIAN_POINT('',(3.812368010403E1,1.199664407089E1,-2.192260916606E1)); +#4545=CARTESIAN_POINT('',(3.816572080824E1,1.198968870626E1,-2.189461283513E1)); +#4546=CARTESIAN_POINT('',(3.820642117409E1,1.198314917273E1,-2.186110535552E1)); +#4547=CARTESIAN_POINT('',(3.824273524019E1,1.197746785947E1,-2.182433727526E1)); +#4548=CARTESIAN_POINT('',(3.827509561345E1,1.197252079391E1,-2.178409012944E1)); +#4549=CARTESIAN_POINT('',(3.830284037810E1,1.196836482579E1,-2.174114060901E1)); +#4550=CARTESIAN_POINT('',(3.832584087535E1,1.196497723111E1,-2.169588247788E1)); +#4551=CARTESIAN_POINT('',(3.834394188919E1,1.196234744625E1,-2.164870163701E1)); +#4552=CARTESIAN_POINT('',(3.835700740646E1,1.196046866989E1,-2.159999445369E1)); +#4553=CARTESIAN_POINT('',(3.836490118956E1,1.195934141547E1,-2.155025057527E1)); +#4554=CARTESIAN_POINT('',(3.836666089827E1,1.195909087226E1,-2.151675111124E1)); +#4555=CARTESIAN_POINT('',(3.836666081507E1,1.195909092003E1,-2.15E1)); +#4557=CARTESIAN_POINT('',(3.783748220633E1,1.205E1,-2.249999962968E1)); +#4558=DIRECTION('',(-1.E0,0.E0,-7.897874260333E-8)); +#4559=DIRECTION('',(5.684343991116E-14,-9.999999999997E-1,-7.406433056257E-7)); +#4560=AXIS2_PLACEMENT_3D('',#4557,#4558,#4559); +#4562=CARTESIAN_POINT('',(3.836666081507E1,1.195909092003E1,-2.15E1)); +#4563=CARTESIAN_POINT('',(3.837423998893E1,1.191810132016E1,-2.154371332683E1)); +#4564=CARTESIAN_POINT('',(3.838710252765E1,1.184281276997E1,-2.162806467643E1)); +#4565=CARTESIAN_POINT('',(3.840071594224E1,1.175182898454E1,-2.174673077596E1)); +#4566=CARTESIAN_POINT('',(3.841002355103E1,1.168196333361E1,-2.185697655328E1)); +#4567=CARTESIAN_POINT('',(3.841630441553E1,1.163005952916E1,-2.195931600828E1)); +#4568=CARTESIAN_POINT('',(3.842051020472E1,1.159253708712E1,-2.205598112303E1)); +#4569=CARTESIAN_POINT('',(3.842317938831E1,1.156730940267E1,-2.214803567240E1)); +#4570=CARTESIAN_POINT('',(3.842463807528E1,1.155299054489E1,-2.223576434147E1)); +#4571=CARTESIAN_POINT('',(3.842493719379E1,1.154999994266E1,-2.229142386200E1)); +#4572=CARTESIAN_POINT('',(3.842493718301E1,1.155000004996E1,-2.231854263062E1)); +#4574=DIRECTION('',(-4.080967672506E-10,8.081658635202E-9,1.E0)); +#4575=VECTOR('',#4574,6.181457369381E0); +#4576=CARTESIAN_POINT('',(3.842493718553E1,1.155E1,-2.85E1)); +#4577=LINE('',#4576,#4575); +#4578=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.05E1)); +#4579=DIRECTION('',(0.E0,0.E0,1.E0)); +#4580=DIRECTION('',(1.E0,0.E0,0.E0)); +#4581=AXIS2_PLACEMENT_3D('',#4578,#4579,#4580); +#4583=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4584=VECTOR('',#4583,1.E0); +#4585=CARTESIAN_POINT('',(3.345E1,1.605E1,-2.05E1)); +#4586=LINE('',#4585,#4584); +#4587=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.15E1)); +#4588=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4589=DIRECTION('',(0.E0,1.E0,0.E0)); +#4590=AXIS2_PLACEMENT_3D('',#4587,#4588,#4589); +#4592=CARTESIAN_POINT('',(3.845E1,-6.05E0,-2.15E1)); +#4593=CARTESIAN_POINT('',(3.845E1,-6.092212613740E0,-2.154221261374E1)); +#4594=CARTESIAN_POINT('',(3.845E1,-6.172618587249E0,-2.162277674195E1)); +#4595=CARTESIAN_POINT('',(3.845E1,-6.277419921469E0,-2.173746184863E1)); +#4596=CARTESIAN_POINT('',(3.845E1,-6.362282467573E0,-2.184525725950E1)); +#4597=CARTESIAN_POINT('',(3.845E1,-6.427736615721E0,-2.194594909663E1)); +#4598=CARTESIAN_POINT('',(3.845E1,-6.475920676726E0,-2.203890403407E1)); +#4599=CARTESIAN_POINT('',(3.845E1,-6.510587997855E0,-2.212645709513E1)); +#4600=CARTESIAN_POINT('',(3.845E1,-6.533926362827E0,-2.221007570174E1)); +#4601=CARTESIAN_POINT('',(3.845E1,-6.547211126268E0,-2.229009918836E1)); +#4602=CARTESIAN_POINT('',(3.845E1,-6.55E0,-2.234110946891E1)); +#4603=CARTESIAN_POINT('',(3.845E1,-6.55E0,-2.236602540378E1)); +#4605=DIRECTION('',(0.E0,0.E0,1.E0)); +#4606=VECTOR('',#4605,6.133974596216E0); +#4607=CARTESIAN_POINT('',(3.845E1,-6.55E0,-2.85E1)); +#4608=LINE('',#4607,#4606); +#4609=CARTESIAN_POINT('',(3.845E1,-8.05E0,-2.236602540378E1)); +#4610=CARTESIAN_POINT('',(3.845E1,-8.05E0,-2.234110937866E1)); +#4611=CARTESIAN_POINT('',(3.845E1,-8.052788890426E0,-2.229009891708E1)); +#4612=CARTESIAN_POINT('',(3.845E1,-8.066073768298E0,-2.221007508797E1)); +#4613=CARTESIAN_POINT('',(3.845E1,-8.089412254478E0,-2.212645627582E1)); +#4614=CARTESIAN_POINT('',(3.845E1,-8.124080020750E0,-2.203890250201E1)); +#4615=CARTESIAN_POINT('',(3.845E1,-8.172264082787E0,-2.194594789603E1)); +#4616=CARTESIAN_POINT('',(3.845E1,-8.237718614769E0,-2.184525579648E1)); +#4617=CARTESIAN_POINT('',(3.845E1,-8.322580077052E0,-2.173746192972E1)); +#4618=CARTESIAN_POINT('',(3.845E1,-8.427380559716E0,-2.162277761850E1)); +#4619=CARTESIAN_POINT('',(3.845E1,-8.507786969236E0,-2.154221303076E1)); +#4620=CARTESIAN_POINT('',(3.845E1,-8.55E0,-2.15E1)); +#4622=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4623=VECTOR('',#4622,2.5E0); +#4624=CARTESIAN_POINT('',(3.845E1,-8.55E0,-2.15E1)); +#4625=LINE('',#4624,#4623); +#4626=DIRECTION('',(0.E0,1.E0,0.E0)); +#4627=VECTOR('',#4626,2.21E1); +#4628=CARTESIAN_POINT('',(3.845E1,-1.105E1,-2.05E1)); +#4629=LINE('',#4628,#4627); +#4630=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4631=VECTOR('',#4630,8.E0); +#4632=CARTESIAN_POINT('',(3.845E1,1.105E1,-2.05E1)); +#4633=LINE('',#4632,#4631); +#4634=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.236602540378E1)); +#4635=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.234110937866E1)); +#4636=CARTESIAN_POINT('',(3.845E1,1.004721110957E1,-2.229009891708E1)); +#4637=CARTESIAN_POINT('',(3.845E1,1.003392623170E1,-2.221007508797E1)); +#4638=CARTESIAN_POINT('',(3.845E1,1.001058774552E1,-2.212645627582E1)); +#4639=CARTESIAN_POINT('',(3.845E1,9.975919979251E0,-2.203890250201E1)); +#4640=CARTESIAN_POINT('',(3.845E1,9.927735917213E0,-2.194594789603E1)); +#4641=CARTESIAN_POINT('',(3.845E1,9.862281385231E0,-2.184525579648E1)); +#4642=CARTESIAN_POINT('',(3.845E1,9.777419922948E0,-2.173746192972E1)); +#4643=CARTESIAN_POINT('',(3.845E1,9.672619440285E0,-2.162277761850E1)); +#4644=CARTESIAN_POINT('',(3.845E1,9.592213030764E0,-2.154221303076E1)); +#4645=CARTESIAN_POINT('',(3.845E1,9.55E0,-2.15E1)); +#4647=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4648=VECTOR('',#4647,1.56E1); +#4649=CARTESIAN_POINT('',(3.845E1,9.55E0,-2.15E1)); +#4650=LINE('',#4649,#4648); +#4651=CARTESIAN_POINT('',(3.795E1,-6.05E0,-2.15E1)); +#4652=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4653=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4654=AXIS2_PLACEMENT_3D('',#4651,#4652,#4653); +#4656=CARTESIAN_POINT('',(3.795E1,-6.05E0,-2.25E1)); +#4657=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4658=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4659=AXIS2_PLACEMENT_3D('',#4656,#4657,#4658); +#4661=DIRECTION('',(1.E0,0.E0,8.010445260121E-14)); +#4662=VECTOR('',#4661,1.153126357516E0); +#4663=CARTESIAN_POINT('',(3.679687364248E1,-6.55E0,-2.25E1)); +#4664=LINE('',#4663,#4662); +#4665=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4666=VECTOR('',#4665,5.5E0); +#4667=CARTESIAN_POINT('',(3.679687364248E1,-6.55E0,-2.25E1)); +#4668=LINE('',#4667,#4666); +#4669=CARTESIAN_POINT('',(3.791490763123E1,-6.55E0,-2.85E1)); +#4670=CARTESIAN_POINT('',(3.788559819386E1,-6.55E0,-2.85E1)); +#4671=CARTESIAN_POINT('',(3.782510436464E1,-6.55E0,-2.849712075155E1)); +#4672=CARTESIAN_POINT('',(3.772954359602E1,-6.55E0,-2.848361742507E1)); +#4673=CARTESIAN_POINT('',(3.762674433707E1,-6.55E0,-2.845968278228E1)); +#4674=CARTESIAN_POINT('',(3.751490056926E1,-6.55E0,-2.842333628665E1)); +#4675=CARTESIAN_POINT('',(3.739217004768E1,-6.55E0,-2.837206147214E1)); +#4676=CARTESIAN_POINT('',(3.725786738292E1,-6.55E0,-2.830347259387E1)); +#4677=CARTESIAN_POINT('',(3.711572586057E1,-6.55E0,-2.821880929957E1)); +#4678=CARTESIAN_POINT('',(3.696273911410E1,-6.55E0,-2.811719311480E1)); +#4679=CARTESIAN_POINT('',(3.685371871873E1,-6.55E0,-2.804019553889E1)); +#4680=CARTESIAN_POINT('',(3.679687364248E1,-6.55E0,-2.8E1)); +#4682=CARTESIAN_POINT('',(3.795E1,-6.55E0,-2.15E1)); +#4683=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4684=DIRECTION('',(1.421085471520E-14,0.E0,-1.E0)); +#4685=AXIS2_PLACEMENT_3D('',#4682,#4683,#4684); +#4687=CARTESIAN_POINT('',(3.679687364248E1,-6.05E0,-2.25E1)); +#4688=DIRECTION('',(1.E0,0.E0,0.E0)); +#4689=DIRECTION('',(0.E0,0.E0,1.E0)); +#4690=AXIS2_PLACEMENT_3D('',#4687,#4688,#4689); +#4692=CARTESIAN_POINT('',(3.634726443717E1,-5.76875E0,-2.25E1)); +#4693=DIRECTION('',(-4.375E-1,8.992184106211E-1,0.E0)); +#4694=DIRECTION('',(-8.992184106211E-1,-4.375E-1,0.E0)); +#4695=AXIS2_PLACEMENT_3D('',#4692,#4693,#4694); +#4697=CARTESIAN_POINT('',(3.679687364248E1,-5.55E0,-2.25E1)); +#4698=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4699=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4700=AXIS2_PLACEMENT_3D('',#4697,#4698,#4699); +#4702=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.25E1)); +#4703=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4704=DIRECTION('',(-8.166666666667E-1,5.771096564394E-1,0.E0)); +#4705=AXIS2_PLACEMENT_3D('',#4702,#4703,#4704); +#4707=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.2E1)); +#4708=DIRECTION('',(0.E0,0.E0,1.E0)); +#4709=DIRECTION('',(8.991902828567E-1,4.375578078565E-1,0.E0)); +#4710=AXIS2_PLACEMENT_3D('',#4707,#4708,#4709); +#4712=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4713=VECTOR('',#4712,5.5E0); +#4714=CARTESIAN_POINT('',(3.589765523186E1,-5.9875E0,-2.25E1)); +#4715=LINE('',#4714,#4713); +#4716=DIRECTION('',(0.E0,0.E0,1.E0)); +#4717=VECTOR('',#4716,5.5E0); +#4718=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-2.8E1)); +#4719=LINE('',#4718,#4717); +#4720=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.85E1)); +#4721=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4722=DIRECTION('',(-9.8E-1,1.989974874213E-1,0.E0)); +#4723=AXIS2_PLACEMENT_3D('',#4720,#4721,#4722); +#4725=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.8E1)); +#4726=DIRECTION('',(0.E0,0.E0,1.E0)); +#4727=DIRECTION('',(8.992184106211E-1,4.375E-1,0.E0)); +#4728=AXIS2_PLACEMENT_3D('',#4725,#4726,#4727); +#4730=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-2.8E1)); +#4731=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-2.801311692504E1)); +#4732=CARTESIAN_POINT('',(3.075E1,-5.570446738825E0,-2.803948577503E1)); +#4733=CARTESIAN_POINT('',(3.075E1,-5.578787856980E0,-2.807968080833E1)); +#4734=CARTESIAN_POINT('',(3.075E1,-5.592984885364E0,-2.811980892520E1)); +#4735=CARTESIAN_POINT('',(3.075E1,-5.613628095080E0,-2.816026345226E1)); +#4736=CARTESIAN_POINT('',(3.075E1,-5.641213466230E0,-2.820061353373E1)); +#4737=CARTESIAN_POINT('',(3.075E1,-5.676603494928E0,-2.824082870088E1)); +#4738=CARTESIAN_POINT('',(3.075E1,-5.720849897377E0,-2.828062507828E1)); +#4739=CARTESIAN_POINT('',(3.075E1,-5.774724035969E0,-2.831922959259E1)); +#4740=CARTESIAN_POINT('',(3.075E1,-5.837978830126E0,-2.835536422935E1)); +#4741=CARTESIAN_POINT('',(3.075E1,-5.911034597205E0,-2.838852766756E1)); +#4742=CARTESIAN_POINT('',(3.075E1,-5.996428167550E0,-2.841876014159E1)); +#4743=CARTESIAN_POINT('',(3.075E1,-6.094975301453E0,-2.844514888108E1)); +#4744=CARTESIAN_POINT('',(3.075E1,-6.209255833325E0,-2.846703024356E1)); +#4745=CARTESIAN_POINT('',(3.075E1,-6.333016471006E0,-2.848282200947E1)); +#4746=CARTESIAN_POINT('',(3.075E1,-6.469121882692E0,-2.849331975992E1)); +#4747=CARTESIAN_POINT('',(3.075E1,-6.621901601967E0,-2.849901704529E1)); +#4748=CARTESIAN_POINT('',(3.075E1,-6.739893770898E0,-2.85E1)); +#4749=CARTESIAN_POINT('',(3.075E1,-6.802506281446E0,-2.85E1)); +#4751=CARTESIAN_POINT('',(3.679687364248E1,-5.55E0,-2.8E1)); +#4752=DIRECTION('',(0.E0,0.E0,1.E0)); +#4753=DIRECTION('',(-8.992184106211E-1,-4.375E-1,0.E0)); +#4754=AXIS2_PLACEMENT_3D('',#4751,#4752,#4753); +#4756=CARTESIAN_POINT('',(3.544804602655E1,-6.20625E0,-2.8E1)); +#4757=DIRECTION('',(4.375E-1,-8.992184106211E-1,0.E0)); +#4758=DIRECTION('',(5.684341886081E-14,3.019806626980E-14,-1.E0)); +#4759=AXIS2_PLACEMENT_3D('',#4756,#4757,#4758); +#4761=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4762=VECTOR('',#4761,5.1E0); +#4763=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-2.8E1)); +#4764=LINE('',#4763,#4762); +#4765=CARTESIAN_POINT('',(3.015820776515E1,-5.568671030682E0,-2.2E1)); +#4766=CARTESIAN_POINT('',(3.018173543884E1,-5.568671030682E0,-2.2E1)); +#4767=CARTESIAN_POINT('',(3.022854960922E1,-5.568671030682E0, +-2.200247697089E1)); +#4768=CARTESIAN_POINT('',(3.029891720904E1,-5.568671030682E0, +-2.201372406190E1)); +#4769=CARTESIAN_POINT('',(3.036682153057E1,-5.568671030682E0, +-2.203212551425E1)); +#4770=CARTESIAN_POINT('',(3.043134823268E1,-5.568671030682E0, +-2.205711192535E1)); +#4771=CARTESIAN_POINT('',(3.049198255981E1,-5.568671030682E0, +-2.208835365227E1)); +#4772=CARTESIAN_POINT('',(3.054697761023E1,-5.568671030682E0, +-2.212471758393E1)); +#4773=CARTESIAN_POINT('',(3.059522551917E1,-5.568671030682E0, +-2.216473718372E1)); +#4774=CARTESIAN_POINT('',(3.063732155750E1,-5.568671030682E0, +-2.220826750487E1)); +#4775=CARTESIAN_POINT('',(3.067272221788E1,-5.568671030682E0, +-2.225432014510E1)); +#4776=CARTESIAN_POINT('',(3.070123586325E1,-5.568671030682E0, +-2.230186042398E1)); +#4777=CARTESIAN_POINT('',(3.072318295295E1,-5.568671030682E0, +-2.235052731396E1)); +#4778=CARTESIAN_POINT('',(3.073884361103E1,-5.568671030682E0, +-2.240045677274E1)); +#4779=CARTESIAN_POINT('',(3.074804214380E1,-5.568671030682E0, +-2.245068570240E1)); +#4780=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-2.248361284128E1)); +#4781=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-2.25E1)); +#4783=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4784=VECTOR('',#4783,1.58E1); +#4785=CARTESIAN_POINT('',(2.605E1,-5.568671030682E0,-2.2E1)); +#4786=LINE('',#4785,#4784); +#4787=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4788=VECTOR('',#4787,1.58E1); +#4789=CARTESIAN_POINT('',(2.443110368616E1,-8.22E0,-2.2E1)); +#4790=LINE('',#4789,#4788); +#4791=DIRECTION('',(0.E0,0.E0,1.E0)); +#4792=VECTOR('',#4791,1.58E1); +#4793=CARTESIAN_POINT('',(2.443110368616E1,-9.37E0,-3.78E1)); +#4794=LINE('',#4793,#4792); +#4795=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4796=VECTOR('',#4795,1.58E1); +#4797=CARTESIAN_POINT('',(2.473110368616E1,-9.67E0,-2.2E1)); +#4798=LINE('',#4797,#4796); +#4799=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4800=VECTOR('',#4799,1.58E1); +#4801=CARTESIAN_POINT('',(2.473110368616E1,-1.077E1,-2.2E1)); +#4802=LINE('',#4801,#4800); +#4803=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4804=VECTOR('',#4803,8.8E0); +#4805=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-2.4E1)); +#4806=LINE('',#4805,#4804); +#4807=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4808=VECTOR('',#4807,5.E0); +#4809=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-3.28E1)); +#4810=LINE('',#4809,#4808); +#4811=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4812=VECTOR('',#4811,2.E0); +#4813=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-2.2E1)); +#4814=LINE('',#4813,#4812); +#4815=DIRECTION('',(1.E0,0.E0,0.E0)); +#4816=VECTOR('',#4815,1.3E0); +#4817=CARTESIAN_POINT('',(-2.573110368616E1,-1.107E1,-3.28E1)); +#4818=LINE('',#4817,#4816); +#4819=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4820=VECTOR('',#4819,5.E0); +#4821=CARTESIAN_POINT('',(-2.573110368616E1,-1.107E1,-3.28E1)); +#4822=LINE('',#4821,#4820); +#4823=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4824=VECTOR('',#4823,2.E0); +#4825=CARTESIAN_POINT('',(-2.573110368616E1,-1.107E1,-2.2E1)); +#4826=LINE('',#4825,#4824); +#4827=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4828=VECTOR('',#4827,1.3E0); +#4829=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-2.4E1)); +#4830=LINE('',#4829,#4828); +#4831=DIRECTION('',(1.E0,0.E0,0.E0)); +#4832=VECTOR('',#4831,1.3E0); +#4833=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-3.28E1)); +#4834=LINE('',#4833,#4832); +#4835=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4836=VECTOR('',#4835,1.3E0); +#4837=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-2.4E1)); +#4838=LINE('',#4837,#4836); +#4839=DIRECTION('',(0.E0,0.E0,1.E0)); +#4840=VECTOR('',#4839,6.5E0); +#4841=CARTESIAN_POINT('',(3.075E1,-1.107E1,-2.85E1)); +#4842=LINE('',#4841,#4840); +#4843=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4844=VECTOR('',#4843,9.3E0); +#4845=CARTESIAN_POINT('',(2.695E1,-1.107E1,-2.85E1)); +#4846=LINE('',#4845,#4844); +#4847=DIRECTION('',(0.E0,-1.E0,1.093142670400E-14)); +#4848=VECTOR('',#4847,6.5E-1); +#4849=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-3.28E1)); +#4850=LINE('',#4849,#4848); +#4851=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4852=VECTOR('',#4851,5.E0); +#4853=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-3.28E1)); +#4854=LINE('',#4853,#4852); +#4855=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4856=VECTOR('',#4855,6.5E-1); +#4857=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-2.4E1)); +#4858=LINE('',#4857,#4856); +#4859=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4860=VECTOR('',#4859,2.E0); +#4861=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-2.2E1)); +#4862=LINE('',#4861,#4860); +#4863=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4864=VECTOR('',#4863,8.8E0); +#4865=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-2.4E1)); +#4866=LINE('',#4865,#4864); +#4867=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4868=VECTOR('',#4867,1.58E1); +#4869=CARTESIAN_POINT('',(-2.473110368616E1,-1.077E1,-2.2E1)); +#4870=LINE('',#4869,#4868); +#4871=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4872=VECTOR('',#4871,1.58E1); +#4873=CARTESIAN_POINT('',(-2.473110368616E1,-9.67E0,-2.2E1)); +#4874=LINE('',#4873,#4872); +#4875=DIRECTION('',(0.E0,0.E0,1.E0)); +#4876=VECTOR('',#4875,1.58E1); +#4877=CARTESIAN_POINT('',(-2.443110368616E1,-9.37E0,-3.78E1)); +#4878=LINE('',#4877,#4876); +#4879=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4880=VECTOR('',#4879,1.58E1); +#4881=CARTESIAN_POINT('',(-2.443110368616E1,-8.22E0,-2.2E1)); +#4882=LINE('',#4881,#4880); +#4883=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4884=VECTOR('',#4883,1.58E1); +#4885=CARTESIAN_POINT('',(-2.605E1,-5.568671030682E0,-2.2E1)); +#4886=LINE('',#4885,#4884); +#4887=CARTESIAN_POINT('',(-3.025E1,-5.568671030682E0,-3.26E1)); +#4888=DIRECTION('',(0.E0,1.E0,0.E0)); +#4889=DIRECTION('',(8.526512829121E-14,0.E0,-1.E0)); +#4890=AXIS2_PLACEMENT_3D('',#4887,#4888,#4889); +#4892=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4893=VECTOR('',#4892,4.7E0); +#4894=CARTESIAN_POINT('',(-2.695E1,-5.568671030682E0,-3.31E1)); +#4895=LINE('',#4894,#4893); +#4896=CARTESIAN_POINT('',(-3.075E1,-5.568671030682E0,-2.25E1)); +#4897=CARTESIAN_POINT('',(-3.075E1,-5.568671030682E0,-2.248361284128E1)); +#4898=CARTESIAN_POINT('',(-3.074804214380E1,-5.568671030682E0, +-2.245068570240E1)); +#4899=CARTESIAN_POINT('',(-3.073884361103E1,-5.568671030682E0, +-2.240045677274E1)); +#4900=CARTESIAN_POINT('',(-3.072318295295E1,-5.568671030682E0, +-2.235052731396E1)); +#4901=CARTESIAN_POINT('',(-3.070123586325E1,-5.568671030682E0, +-2.230186042398E1)); +#4902=CARTESIAN_POINT('',(-3.067272221788E1,-5.568671030682E0, +-2.225432014510E1)); +#4903=CARTESIAN_POINT('',(-3.063732155750E1,-5.568671030682E0, +-2.220826750487E1)); +#4904=CARTESIAN_POINT('',(-3.059522551917E1,-5.568671030682E0, +-2.216473718372E1)); +#4905=CARTESIAN_POINT('',(-3.054697761023E1,-5.568671030682E0, +-2.212471758393E1)); +#4906=CARTESIAN_POINT('',(-3.049198255981E1,-5.568671030682E0, +-2.208835365227E1)); +#4907=CARTESIAN_POINT('',(-3.043134823268E1,-5.568671030682E0, +-2.205711192535E1)); +#4908=CARTESIAN_POINT('',(-3.036682153057E1,-5.568671030682E0, +-2.203212551425E1)); +#4909=CARTESIAN_POINT('',(-3.029891720904E1,-5.568671030682E0, +-2.201372406190E1)); +#4910=CARTESIAN_POINT('',(-3.022854960922E1,-5.568671030682E0, +-2.200247697089E1)); +#4911=CARTESIAN_POINT('',(-3.018173543884E1,-5.568671030682E0,-2.2E1)); +#4912=CARTESIAN_POINT('',(-3.015820776515E1,-5.568671030682E0,-2.2E1)); +#4914=DIRECTION('',(0.E0,0.E0,1.E0)); +#4915=VECTOR('',#4914,5.5E0); +#4916=CARTESIAN_POINT('',(-3.075E1,-5.568671030682E0,-2.8E1)); +#4917=LINE('',#4916,#4915); +#4918=DIRECTION('',(0.E0,0.E0,1.E0)); +#4919=VECTOR('',#4918,4.6E0); +#4920=CARTESIAN_POINT('',(-3.075E1,-5.568671030682E0,-3.26E1)); +#4921=LINE('',#4920,#4919); +#4922=DIRECTION('',(0.E0,0.E0,1.E0)); +#4923=VECTOR('',#4922,4.1E0); +#4924=CARTESIAN_POINT('',(-3.075E1,-7.218671030682E0,-3.26E1)); +#4925=LINE('',#4924,#4923); +#4926=DIRECTION('',(0.E0,1.E0,0.E0)); +#4927=VECTOR('',#4926,1.65E0); +#4928=CARTESIAN_POINT('',(-3.075E1,-7.218671030682E0,-3.26E1)); +#4929=LINE('',#4928,#4927); +#4930=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.85E1)); +#4931=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4932=DIRECTION('',(-8.992184106181E-1,4.375000000063E-1,0.E0)); +#4933=AXIS2_PLACEMENT_3D('',#4930,#4931,#4932); +#4935=CARTESIAN_POINT('',(-3.075E1,-6.802506281447E0,-2.85E1)); +#4936=CARTESIAN_POINT('',(-3.075E1,-6.739890371041E0,-2.85E1)); +#4937=CARTESIAN_POINT('',(-3.075E1,-6.621891459069E0,-2.849901695327E1)); +#4938=CARTESIAN_POINT('',(-3.075E1,-6.469099778645E0,-2.849331871217E1)); +#4939=CARTESIAN_POINT('',(-3.075E1,-6.332986605908E0,-2.848281909794E1)); +#4940=CARTESIAN_POINT('',(-3.075E1,-6.209225637163E0,-2.846702554437E1)); +#4941=CARTESIAN_POINT('',(-3.075E1,-6.094943351536E0,-2.844514174761E1)); +#4942=CARTESIAN_POINT('',(-3.075E1,-5.996393978526E0,-2.841874971150E1)); +#4943=CARTESIAN_POINT('',(-3.075E1,-5.911002020442E0,-2.838851460051E1)); +#4944=CARTESIAN_POINT('',(-3.075E1,-5.837950143878E0,-2.835534959136E1)); +#4945=CARTESIAN_POINT('',(-3.075E1,-5.774700014308E0,-2.831921412859E1)); +#4946=CARTESIAN_POINT('',(-3.075E1,-5.720832057527E0,-2.828061057705E1)); +#4947=CARTESIAN_POINT('',(-3.075E1,-5.676591475269E0,-2.824081633548E1)); +#4948=CARTESIAN_POINT('',(-3.075E1,-5.641206211627E0,-2.820060397400E1)); +#4949=CARTESIAN_POINT('',(-3.075E1,-5.613623856301E0,-2.816025612780E1)); +#4950=CARTESIAN_POINT('',(-3.075E1,-5.592982595453E0,-2.811980339449E1)); +#4951=CARTESIAN_POINT('',(-3.075E1,-5.578786872249E0,-2.807967697011E1)); +#4952=CARTESIAN_POINT('',(-3.075E1,-5.570446571090E0,-2.803948388442E1)); +#4953=CARTESIAN_POINT('',(-3.075E1,-5.568671030682E0,-2.801311629012E1)); +#4954=CARTESIAN_POINT('',(-3.075E1,-5.568671030682E0,-2.8E1)); +#4956=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.8E1)); +#4957=DIRECTION('',(0.E0,0.E0,1.E0)); +#4958=DIRECTION('',(8.166666666667E-1,5.771096564394E-1,0.E0)); +#4959=AXIS2_PLACEMENT_3D('',#4956,#4957,#4958); +#4961=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4962=VECTOR('',#4961,5.5E0); +#4963=CARTESIAN_POINT('',(-3.589765523186E1,-5.9875E0,-2.25E1)); +#4964=LINE('',#4963,#4962); +#4965=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.25E1)); +#4966=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4967=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#4968=AXIS2_PLACEMENT_3D('',#4965,#4966,#4967); +#4970=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.2E1)); +#4971=DIRECTION('',(0.E0,0.E0,1.E0)); +#4972=DIRECTION('',(8.690834956717E-1,4.946654198052E-1,0.E0)); +#4973=AXIS2_PLACEMENT_3D('',#4970,#4971,#4972); +#4975=CARTESIAN_POINT('',(-3.634726443717E1,-5.76875E0,-2.25E1)); +#4976=DIRECTION('',(4.375E-1,8.992184106211E-1,0.E0)); +#4977=DIRECTION('',(0.E0,0.E0,1.E0)); +#4978=AXIS2_PLACEMENT_3D('',#4975,#4976,#4977); +#4980=CARTESIAN_POINT('',(-3.679687364248E1,-6.05E0,-2.25E1)); +#4981=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4982=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4983=AXIS2_PLACEMENT_3D('',#4980,#4981,#4982); +#4985=CARTESIAN_POINT('',(-3.679687364248E1,-5.55E0,-2.25E1)); +#4986=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4987=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#4988=AXIS2_PLACEMENT_3D('',#4985,#4986,#4987); +#4990=CARTESIAN_POINT('',(-3.544804602655E1,-6.20625E0,-2.8E1)); +#4991=DIRECTION('',(-4.375E-1,-8.992184106211E-1,0.E0)); +#4992=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#4993=AXIS2_PLACEMENT_3D('',#4990,#4991,#4992); +#4995=CARTESIAN_POINT('',(-3.679687364248E1,-5.55E0,-2.8E1)); +#4996=DIRECTION('',(0.E0,0.E0,1.E0)); +#4997=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4998=AXIS2_PLACEMENT_3D('',#4995,#4996,#4997); +#5000=CARTESIAN_POINT('',(-2.89E1,-7.218671030682E0,-3.13E1)); +#5001=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5002=DIRECTION('',(-6.6E-1,0.E0,-7.512655988397E-1)); +#5003=AXIS2_PLACEMENT_3D('',#5000,#5001,#5002); +#5005=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5006=VECTOR('',#5005,1.502531197679E0); +#5007=CARTESIAN_POINT('',(-2.956E1,-7.218671030682E0,-3.054873440116E1)); +#5008=LINE('',#5007,#5006); +#5009=CARTESIAN_POINT('',(-3.025E1,-7.218671030682E0,-3.26E1)); +#5010=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5011=DIRECTION('',(-1.E0,0.E0,1.421085471520E-14)); +#5012=AXIS2_PLACEMENT_3D('',#5009,#5010,#5011); +#5014=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5015=VECTOR('',#5014,1.065116279070E0); +#5016=CARTESIAN_POINT('',(-2.956E1,-1.356867103068E1,-3.205126559884E1)); +#5017=LINE('',#5016,#5015); +#5018=DIRECTION('',(0.E0,1.E0,0.E0)); +#5019=VECTOR('',#5018,1.065116279070E0); +#5020=CARTESIAN_POINT('',(-2.956E1,-1.463378730975E1,-3.054873440116E1)); +#5021=LINE('',#5020,#5019); +#5022=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5023=VECTOR('',#5022,6.35E0); +#5024=CARTESIAN_POINT('',(-2.956E1,-7.218671030682E0,-3.205126559884E1)); +#5025=LINE('',#5024,#5023); +#5026=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5027=VECTOR('',#5026,6.E-1); +#5028=CARTESIAN_POINT('',(-3.019830658937E1,-1.356867103068E1, +-3.205126559884E1)); +#5029=LINE('',#5028,#5027); +#5030=CARTESIAN_POINT('',(-2.956E1,-1.463378730975E1,-3.205126559884E1)); +#5031=CARTESIAN_POINT('',(-2.958627226275E1,-1.461765736239E1, +-3.205126559884E1)); +#5032=CARTESIAN_POINT('',(-2.964137239707E1,-1.458272709628E1, +-3.205126559884E1)); +#5033=CARTESIAN_POINT('',(-2.973047271025E1,-1.452283433427E1, +-3.205126559884E1)); +#5034=CARTESIAN_POINT('',(-2.982915767069E1,-1.445306230056E1, +-3.205126559884E1)); +#5035=CARTESIAN_POINT('',(-2.993895403990E1,-1.437190712973E1, +-3.205126559884E1)); +#5036=CARTESIAN_POINT('',(-3.006071682568E1,-1.427839993825E1, +-3.205126559884E1)); +#5037=CARTESIAN_POINT('',(-3.015100303556E1,-1.420675755199E1, +-3.205126559884E1)); +#5038=CARTESIAN_POINT('',(-3.019830658937E1,-1.416867103068E1, +-3.205126559884E1)); +#5040=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5041=VECTOR('',#5040,6.E-1); +#5042=CARTESIAN_POINT('',(-3.019830658937E1,-1.356867103068E1, +-3.054873440116E1)); +#5043=LINE('',#5042,#5041); +#5044=CARTESIAN_POINT('',(-2.89E1,-1.356867103068E1,-3.13E1)); +#5045=DIRECTION('',(0.E0,1.E0,0.E0)); +#5046=DIRECTION('',(-8.655377262463E-1,0.E0,-5.008437325598E-1)); +#5047=AXIS2_PLACEMENT_3D('',#5044,#5045,#5046); +#5049=CARTESIAN_POINT('',(-3.019830658937E1,-1.416867103068E1, +-3.054873440116E1)); +#5050=CARTESIAN_POINT('',(-3.015127346980E1,-1.420653981149E1, +-3.054873440116E1)); +#5051=CARTESIAN_POINT('',(-3.006139428234E1,-1.427786687330E1, +-3.054873440116E1)); +#5052=CARTESIAN_POINT('',(-2.993980431294E1,-1.437126516268E1, +-3.054873440116E1)); +#5053=CARTESIAN_POINT('',(-2.982980061601E1,-1.445260133747E1, +-3.054873440116E1)); +#5054=CARTESIAN_POINT('',(-2.973050593142E1,-1.452281845873E1, +-3.054873440116E1)); +#5055=CARTESIAN_POINT('',(-2.964103110929E1,-1.458295152850E1, +-3.054873440116E1)); +#5056=CARTESIAN_POINT('',(-2.958609908351E1,-1.461776368639E1, +-3.054873440116E1)); +#5057=CARTESIAN_POINT('',(-2.956E1,-1.463378730975E1,-3.054873440116E1)); +#5059=CARTESIAN_POINT('',(-2.89E1,-1.463378730975E1,-3.13E1)); +#5060=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5061=DIRECTION('',(1.E0,0.E0,0.E0)); +#5062=AXIS2_PLACEMENT_3D('',#5059,#5060,#5061); +#5064=DIRECTION('',(-7.321867381631E-1,-6.811039424773E-1,0.E0)); +#5065=VECTOR('',#5064,7.853187855363E-1); +#5066=CARTESIAN_POINT('',(-2.79E1,-1.463378730975E1,-3.13E1)); +#5067=LINE('',#5066,#5065); +#5068=DIRECTION('',(7.321867381631E-1,-6.811039424773E-1,0.E0)); +#5069=VECTOR('',#5068,1.468204686003E0); +#5070=CARTESIAN_POINT('',(-3.04E1,-1.416867103068E1,-3.13E1)); +#5071=LINE('',#5070,#5069); +#5072=CARTESIAN_POINT('',(-2.89E1,-1.416867103068E1,-3.13E1)); +#5073=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5074=DIRECTION('',(-8.655377262463E-1,0.E0,5.008437325598E-1)); +#5075=AXIS2_PLACEMENT_3D('',#5072,#5073,#5074); +#5077=CARTESIAN_POINT('',(-2.89E1,-1.416867103068E1,-3.13E1)); +#5078=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5079=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5080=AXIS2_PLACEMENT_3D('',#5077,#5078,#5079); +#5082=CARTESIAN_POINT('',(-2.89E1,-1.463378730975E1,-3.13E1)); +#5083=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5084=DIRECTION('',(-6.6E-1,0.E0,-7.512655988397E-1)); +#5085=AXIS2_PLACEMENT_3D('',#5082,#5083,#5084); +#5087=CARTESIAN_POINT('',(-2.89E1,-1.516867103068E1,-3.13E1)); +#5088=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5089=DIRECTION('',(1.E0,0.E0,0.E0)); +#5090=AXIS2_PLACEMENT_3D('',#5087,#5088,#5089); +#5092=CARTESIAN_POINT('',(-2.89E1,-1.516867103068E1,-3.13E1)); +#5093=DIRECTION('',(0.E0,1.E0,0.E0)); +#5094=DIRECTION('',(1.E0,0.E0,0.E0)); +#5095=AXIS2_PLACEMENT_3D('',#5092,#5093,#5094); +#5097=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5098=VECTOR('',#5097,6.383065893694E-1); +#5099=CARTESIAN_POINT('',(-2.956E1,-1.356867103068E1,-3.054873440116E1)); +#5100=LINE('',#5099,#5098); +#5101=DIRECTION('',(1.E0,0.E0,-1.113168417174E-14)); +#5102=VECTOR('',#5101,6.383065893694E-1); +#5103=CARTESIAN_POINT('',(-3.019830658937E1,-1.356867103068E1, +-3.205126559884E1)); +#5104=LINE('',#5103,#5102); +#5105=DIRECTION('',(1.E0,0.E0,1.113168417174E-14)); +#5106=VECTOR('',#5105,6.383065893694E-1); +#5107=CARTESIAN_POINT('',(2.956E1,-1.356867103068E1,-3.205126559884E1)); +#5108=LINE('',#5107,#5106); +#5109=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5110=VECTOR('',#5109,6.383065893694E-1); +#5111=CARTESIAN_POINT('',(3.019830658937E1,-1.356867103068E1, +-3.054873440116E1)); +#5112=LINE('',#5111,#5110); +#5113=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5114=VECTOR('',#5113,1.502531197679E0); +#5115=CARTESIAN_POINT('',(-2.956E1,-1.356867103068E1,-3.054873440116E1)); +#5116=LINE('',#5115,#5114); +#5117=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5118=VECTOR('',#5117,6.35E0); +#5119=CARTESIAN_POINT('',(-2.956E1,-7.218671030682E0,-3.054873440116E1)); +#5120=LINE('',#5119,#5118); +#5121=DIRECTION('',(0.E0,0.E0,1.E0)); +#5122=VECTOR('',#5121,1.502531197679E0); +#5123=CARTESIAN_POINT('',(2.956E1,-1.356867103068E1,-3.205126559884E1)); +#5124=LINE('',#5123,#5122); +#5125=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5126=VECTOR('',#5125,6.35E0); +#5127=CARTESIAN_POINT('',(2.956E1,-7.218671030682E0,-3.205126559884E1)); +#5128=LINE('',#5127,#5126); +#5129=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5130=VECTOR('',#5129,1.065116279070E0); +#5131=CARTESIAN_POINT('',(2.956E1,-1.356867103068E1,-3.054873440116E1)); +#5132=LINE('',#5131,#5130); +#5133=DIRECTION('',(0.E0,1.E0,0.E0)); +#5134=VECTOR('',#5133,1.065116279070E0); +#5135=CARTESIAN_POINT('',(2.956E1,-1.463378730975E1,-3.205126559884E1)); +#5136=LINE('',#5135,#5134); +#5137=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5138=VECTOR('',#5137,6.35E0); +#5139=CARTESIAN_POINT('',(2.956E1,-7.218671030682E0,-3.054873440116E1)); +#5140=LINE('',#5139,#5138); +#5141=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5142=VECTOR('',#5141,6.E-1); +#5143=CARTESIAN_POINT('',(3.019830658937E1,-1.356867103068E1, +-3.054873440116E1)); +#5144=LINE('',#5143,#5142); +#5145=CARTESIAN_POINT('',(2.956E1,-1.463378730975E1,-3.054873440116E1)); +#5146=CARTESIAN_POINT('',(2.958627226275E1,-1.461765736239E1, +-3.054873440116E1)); +#5147=CARTESIAN_POINT('',(2.964137239707E1,-1.458272709628E1, +-3.054873440116E1)); +#5148=CARTESIAN_POINT('',(2.973047271025E1,-1.452283433427E1, +-3.054873440116E1)); +#5149=CARTESIAN_POINT('',(2.982915767069E1,-1.445306230056E1, +-3.054873440116E1)); +#5150=CARTESIAN_POINT('',(2.993895403990E1,-1.437190712973E1, +-3.054873440116E1)); +#5151=CARTESIAN_POINT('',(3.006071682568E1,-1.427839993825E1, +-3.054873440116E1)); +#5152=CARTESIAN_POINT('',(3.015100303556E1,-1.420675755199E1, +-3.054873440116E1)); +#5153=CARTESIAN_POINT('',(3.019830658937E1,-1.416867103068E1, +-3.054873440116E1)); +#5155=CARTESIAN_POINT('',(2.89E1,-1.356867103068E1,-3.13E1)); +#5156=DIRECTION('',(0.E0,1.E0,0.E0)); +#5157=DIRECTION('',(8.655377262463E-1,0.E0,5.008437325598E-1)); +#5158=AXIS2_PLACEMENT_3D('',#5155,#5156,#5157); +#5160=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5161=VECTOR('',#5160,6.E-1); +#5162=CARTESIAN_POINT('',(3.019830658937E1,-1.356867103068E1, +-3.205126559884E1)); +#5163=LINE('',#5162,#5161); +#5164=CARTESIAN_POINT('',(2.89E1,-1.463378730975E1,-3.13E1)); +#5165=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5166=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5167=AXIS2_PLACEMENT_3D('',#5164,#5165,#5166); +#5169=CARTESIAN_POINT('',(2.89E1,-1.416867103068E1,-3.13E1)); +#5170=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5171=DIRECTION('',(8.655377262463E-1,0.E0,-5.008437325598E-1)); +#5172=AXIS2_PLACEMENT_3D('',#5169,#5170,#5171); +#5174=CARTESIAN_POINT('',(3.019830658937E1,-1.416867103068E1, +-3.205126559884E1)); +#5175=CARTESIAN_POINT('',(3.015127346980E1,-1.420653981149E1, +-3.205126559884E1)); +#5176=CARTESIAN_POINT('',(3.006139428234E1,-1.427786687330E1, +-3.205126559884E1)); +#5177=CARTESIAN_POINT('',(2.993980431294E1,-1.437126516268E1, +-3.205126559884E1)); +#5178=CARTESIAN_POINT('',(2.982980061601E1,-1.445260133747E1, +-3.205126559884E1)); +#5179=CARTESIAN_POINT('',(2.973050593142E1,-1.452281845873E1, +-3.205126559884E1)); +#5180=CARTESIAN_POINT('',(2.964103110929E1,-1.458295152850E1, +-3.205126559884E1)); +#5181=CARTESIAN_POINT('',(2.958609908351E1,-1.461776368639E1, +-3.205126559884E1)); +#5182=CARTESIAN_POINT('',(2.956E1,-1.463378730975E1,-3.205126559884E1)); +#5184=CARTESIAN_POINT('',(2.89E1,-1.416867103068E1,-3.13E1)); +#5185=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5186=DIRECTION('',(1.E0,0.E0,0.E0)); +#5187=AXIS2_PLACEMENT_3D('',#5184,#5185,#5186); +#5189=DIRECTION('',(-7.321867381631E-1,-6.811039424773E-1,0.E0)); +#5190=VECTOR('',#5189,1.468204686003E0); +#5191=CARTESIAN_POINT('',(3.04E1,-1.416867103068E1,-3.13E1)); +#5192=LINE('',#5191,#5190); +#5193=DIRECTION('',(7.321867381631E-1,-6.811039424773E-1,0.E0)); +#5194=VECTOR('',#5193,7.853187855363E-1); +#5195=CARTESIAN_POINT('',(2.79E1,-1.463378730975E1,-3.13E1)); +#5196=LINE('',#5195,#5194); +#5197=CARTESIAN_POINT('',(2.89E1,-1.463378730975E1,-3.13E1)); +#5198=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5199=DIRECTION('',(6.6E-1,0.E0,7.512655988397E-1)); +#5200=AXIS2_PLACEMENT_3D('',#5197,#5198,#5199); +#5202=CARTESIAN_POINT('',(2.89E1,-1.516867103068E1,-3.13E1)); +#5203=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5204=DIRECTION('',(1.E0,0.E0,0.E0)); +#5205=AXIS2_PLACEMENT_3D('',#5202,#5203,#5204); +#5207=CARTESIAN_POINT('',(2.89E1,-1.516867103068E1,-3.13E1)); +#5208=DIRECTION('',(0.E0,1.E0,0.E0)); +#5209=DIRECTION('',(1.E0,0.E0,0.E0)); +#5210=AXIS2_PLACEMENT_3D('',#5207,#5208,#5209); +#5212=DIRECTION('',(0.E0,0.E0,1.E0)); +#5213=VECTOR('',#5212,4.6E0); +#5214=CARTESIAN_POINT('',(3.075E1,-7.218671030682E0,-3.31E1)); +#5215=LINE('',#5214,#5213); +#5216=DIRECTION('',(0.E0,0.E0,1.E0)); +#5217=VECTOR('',#5216,1.502531197679E0); +#5218=CARTESIAN_POINT('',(2.956E1,-7.218671030682E0,-3.205126559884E1)); +#5219=LINE('',#5218,#5217); +#5220=CARTESIAN_POINT('',(2.89E1,-7.218671030682E0,-3.13E1)); +#5221=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5222=DIRECTION('',(6.6E-1,0.E0,7.512655988397E-1)); +#5223=AXIS2_PLACEMENT_3D('',#5220,#5221,#5222); +#5225=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5226=VECTOR('',#5225,3.8E0); +#5227=CARTESIAN_POINT('',(3.075E1,-7.218671030682E0,-3.31E1)); +#5228=LINE('',#5227,#5226); +#5229=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5230=VECTOR('',#5229,1.65E0); +#5231=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-3.31E1)); +#5232=LINE('',#5231,#5230); +#5233=DIRECTION('',(1.E0,0.E0,0.E0)); +#5234=VECTOR('',#5233,3.8E0); +#5235=CARTESIAN_POINT('',(2.695E1,-5.568671030682E0,-3.31E1)); +#5236=LINE('',#5235,#5234); +#5237=DIRECTION('',(0.E0,1.E0,0.E0)); +#5238=VECTOR('',#5237,1.65E0); +#5239=CARTESIAN_POINT('',(-3.025E1,-7.218671030682E0,-3.31E1)); +#5240=LINE('',#5239,#5238); +#5241=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5242=VECTOR('',#5241,3.3E0); +#5243=CARTESIAN_POINT('',(-2.695E1,-7.218671030682E0,-3.31E1)); +#5244=LINE('',#5243,#5242); +#5245=DIRECTION('',(1.E0,0.E0,0.E0)); +#5246=VECTOR('',#5245,3.3E0); +#5247=CARTESIAN_POINT('',(-3.025E1,-5.568671030682E0,-3.31E1)); +#5248=LINE('',#5247,#5246); +#5249=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5250=VECTOR('',#5249,1.65E0); +#5251=CARTESIAN_POINT('',(2.695E1,-5.568671030682E0,-3.31E1)); +#5252=LINE('',#5251,#5250); +#5253=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5254=VECTOR('',#5253,4.7E0); +#5255=CARTESIAN_POINT('',(2.695E1,-5.568671030682E0,-3.31E1)); +#5256=LINE('',#5255,#5254); +#5257=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5258=VECTOR('',#5257,4.6E0); +#5259=CARTESIAN_POINT('',(2.695E1,-7.218671030682E0,-2.85E1)); +#5260=LINE('',#5259,#5258); +#5261=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5262=VECTOR('',#5261,5.501328969318E0); +#5263=CARTESIAN_POINT('',(2.695E1,-5.568671030682E0,-3.78E1)); +#5264=LINE('',#5263,#5262); +#5265=DIRECTION('',(1.E0,0.E0,0.E0)); +#5266=VECTOR('',#5265,8.999999999998E-1); +#5267=CARTESIAN_POINT('',(2.605E1,-5.568671030682E0,-3.78E1)); +#5268=LINE('',#5267,#5266); +#5269=DIRECTION('',(5.211311565163E-1,8.534766064211E-1,0.E0)); +#5270=VECTOR('',#5269,3.106504559552E0); +#5271=CARTESIAN_POINT('',(2.443110368616E1,-8.22E0,-3.78E1)); +#5272=LINE('',#5271,#5270); +#5273=DIRECTION('',(0.E0,1.E0,0.E0)); +#5274=VECTOR('',#5273,1.15E0); +#5275=CARTESIAN_POINT('',(2.443110368616E1,-9.37E0,-3.78E1)); +#5276=LINE('',#5275,#5274); +#5277=DIRECTION('',(-7.071067811866E-1,7.071067811865E-1,0.E0)); +#5278=VECTOR('',#5277,4.242640687119E-1); +#5279=CARTESIAN_POINT('',(2.473110368616E1,-9.67E0,-3.78E1)); +#5280=LINE('',#5279,#5278); +#5281=DIRECTION('',(0.E0,1.E0,0.E0)); +#5282=VECTOR('',#5281,1.1E0); +#5283=CARTESIAN_POINT('',(2.473110368616E1,-1.077E1,-3.78E1)); +#5284=LINE('',#5283,#5282); +#5285=DIRECTION('',(7.071067811865E-1,7.071067811866E-1,0.E0)); +#5286=VECTOR('',#5285,4.242640687119E-1); +#5287=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-3.78E1)); +#5288=LINE('',#5287,#5286); +#5289=DIRECTION('',(0.E0,1.E0,0.E0)); +#5290=VECTOR('',#5289,6.5E-1); +#5291=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-3.78E1)); +#5292=LINE('',#5291,#5290); +#5293=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5294=VECTOR('',#5293,1.3E0); +#5295=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-3.78E1)); +#5296=LINE('',#5295,#5294); +#5297=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5298=VECTOR('',#5297,6.5E-1); +#5299=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-3.78E1)); +#5300=LINE('',#5299,#5298); +#5301=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5302=VECTOR('',#5301,1.218896313842E0); +#5303=CARTESIAN_POINT('',(2.695E1,-1.107E1,-3.78E1)); +#5304=LINE('',#5303,#5302); +#5305=DIRECTION('',(1.E0,0.E0,0.E0)); +#5306=VECTOR('',#5305,8.999999999998E-1); +#5307=CARTESIAN_POINT('',(-2.695E1,-5.568671030682E0,-3.78E1)); +#5308=LINE('',#5307,#5306); +#5309=DIRECTION('',(0.E0,1.E0,0.E0)); +#5310=VECTOR('',#5309,5.501328969318E0); +#5311=CARTESIAN_POINT('',(-2.695E1,-1.107E1,-3.78E1)); +#5312=LINE('',#5311,#5310); +#5313=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5314=VECTOR('',#5313,1.218896313842E0); +#5315=CARTESIAN_POINT('',(-2.573110368616E1,-1.107E1,-3.78E1)); +#5316=LINE('',#5315,#5314); +#5317=DIRECTION('',(0.E0,1.E0,0.E0)); +#5318=VECTOR('',#5317,6.5E-1); +#5319=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-3.78E1)); +#5320=LINE('',#5319,#5318); +#5321=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5322=VECTOR('',#5321,1.3E0); +#5323=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-3.78E1)); +#5324=LINE('',#5323,#5322); +#5325=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5326=VECTOR('',#5325,6.5E-1); +#5327=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-3.78E1)); +#5328=LINE('',#5327,#5326); +#5329=DIRECTION('',(7.071067811865E-1,-7.071067811866E-1,0.E0)); +#5330=VECTOR('',#5329,4.242640687119E-1); +#5331=CARTESIAN_POINT('',(-2.473110368616E1,-1.077E1,-3.78E1)); +#5332=LINE('',#5331,#5330); +#5333=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5334=VECTOR('',#5333,1.1E0); +#5335=CARTESIAN_POINT('',(-2.473110368616E1,-9.67E0,-3.78E1)); +#5336=LINE('',#5335,#5334); +#5337=DIRECTION('',(-7.071067811866E-1,-7.071067811865E-1,0.E0)); +#5338=VECTOR('',#5337,4.242640687119E-1); +#5339=CARTESIAN_POINT('',(-2.443110368616E1,-9.37E0,-3.78E1)); +#5340=LINE('',#5339,#5338); +#5341=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5342=VECTOR('',#5341,1.15E0); +#5343=CARTESIAN_POINT('',(-2.443110368616E1,-8.22E0,-3.78E1)); +#5344=LINE('',#5343,#5342); +#5345=DIRECTION('',(5.211311565163E-1,-8.534766064211E-1,0.E0)); +#5346=VECTOR('',#5345,3.106504559552E0); +#5347=CARTESIAN_POINT('',(-2.605E1,-5.568671030682E0,-3.78E1)); +#5348=LINE('',#5347,#5346); +#5349=DIRECTION('',(-3.279428011201E-14,1.E0,0.E0)); +#5350=VECTOR('',#5349,6.5E-1); +#5351=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-2.4E1)); +#5352=LINE('',#5351,#5350); +#5353=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5354=VECTOR('',#5353,2.E0); +#5355=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-2.2E1)); +#5356=LINE('',#5355,#5354); +#5357=DIRECTION('',(-2.732856676E-14,1.E0,-1.093142670400E-14)); +#5358=VECTOR('',#5357,6.5E-1); +#5359=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-3.28E1)); +#5360=LINE('',#5359,#5358); +#5361=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5362=VECTOR('',#5361,5.E0); +#5363=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-3.28E1)); +#5364=LINE('',#5363,#5362); +#5365=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5366=VECTOR('',#5365,6.5E-1); +#5367=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-2.4E1)); +#5368=LINE('',#5367,#5366); +#5369=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5370=VECTOR('',#5369,2.E0); +#5371=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-2.2E1)); +#5372=LINE('',#5371,#5370); +#5373=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5374=VECTOR('',#5373,6.5E-1); +#5375=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-3.28E1)); +#5376=LINE('',#5375,#5374); +#5377=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5378=VECTOR('',#5377,5.E0); +#5379=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-3.28E1)); +#5380=LINE('',#5379,#5378); +#5381=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5382=VECTOR('',#5381,1.3E0); +#5383=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-2.4E1)); +#5384=LINE('',#5383,#5382); +#5385=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5386=VECTOR('',#5385,2.E0); +#5387=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-2.2E1)); +#5388=LINE('',#5387,#5386); +#5389=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5390=VECTOR('',#5389,1.3E0); +#5391=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-3.28E1)); +#5392=LINE('',#5391,#5390); +#5393=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5394=VECTOR('',#5393,5.E0); +#5395=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-3.28E1)); +#5396=LINE('',#5395,#5394); +#5397=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5398=VECTOR('',#5397,1.65E0); +#5399=CARTESIAN_POINT('',(-2.695E1,-5.568671030682E0,-3.31E1)); +#5400=LINE('',#5399,#5398); +#5401=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5402=VECTOR('',#5401,4.6E0); +#5403=CARTESIAN_POINT('',(-2.695E1,-7.218671030682E0,-2.85E1)); +#5404=LINE('',#5403,#5402); +#5405=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5406=VECTOR('',#5405,9.3E0); +#5407=CARTESIAN_POINT('',(-2.695E1,-1.107E1,-2.85E1)); +#5408=LINE('',#5407,#5406); +#5409=DIRECTION('',(-2.732856676E-14,1.E0,0.E0)); +#5410=VECTOR('',#5409,6.5E-1); +#5411=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-3.28E1)); +#5412=LINE('',#5411,#5410); +#5413=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5414=VECTOR('',#5413,5.E0); +#5415=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-3.28E1)); +#5416=LINE('',#5415,#5414); +#5417=DIRECTION('',(-2.732856676E-14,1.E0,0.E0)); +#5418=VECTOR('',#5417,6.5E-1); +#5419=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-2.4E1)); +#5420=LINE('',#5419,#5418); +#5421=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5422=VECTOR('',#5421,2.E0); +#5423=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-2.2E1)); +#5424=LINE('',#5423,#5422); +#5425=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5426=VECTOR('',#5425,1.3E0); +#5427=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-3.28E1)); +#5428=LINE('',#5427,#5426); +#5429=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5430=VECTOR('',#5429,5.E0); +#5431=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-3.28E1)); +#5432=LINE('',#5431,#5430); +#5433=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5434=VECTOR('',#5433,1.3E0); +#5435=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-2.4E1)); +#5436=LINE('',#5435,#5434); +#5437=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5438=VECTOR('',#5437,2.E0); +#5439=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-2.2E1)); +#5440=LINE('',#5439,#5438); +#5441=DIRECTION('',(1.118964938205E-14,1.E0,0.E0)); +#5442=VECTOR('',#5441,1.27E0); +#5443=CARTESIAN_POINT('',(-3.075E1,-1.107E1,-2.85E1)); +#5444=LINE('',#5443,#5442); +#5445=CARTESIAN_POINT('',(-3.075E1,-1.08E1,-2.25E1)); +#5446=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5447=DIRECTION('',(0.E0,-2.131628207280E-14,1.E0)); +#5448=AXIS2_PLACEMENT_3D('',#5445,#5446,#5447); +#5450=DIRECTION('',(0.E0,0.E0,1.E0)); +#5451=VECTOR('',#5450,6.5E0); +#5452=CARTESIAN_POINT('',(-3.075E1,-1.107E1,-2.85E1)); +#5453=LINE('',#5452,#5451); +#5454=CARTESIAN_POINT('',(-3.075E1,-9.8E0,-2.8E1)); +#5455=DIRECTION('',(1.E0,0.E0,0.E0)); +#5456=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5457=AXIS2_PLACEMENT_3D('',#5454,#5455,#5456); +#5459=CARTESIAN_POINT('',(-3.32E1,-9.8E0,-2.8E1)); +#5460=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5461=DIRECTION('',(0.E0,2.842170943040E-14,-1.E0)); +#5462=AXIS2_PLACEMENT_3D('',#5459,#5460,#5461); +#5464=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.8E1)); +#5465=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5466=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5467=AXIS2_PLACEMENT_3D('',#5464,#5465,#5466); +#5469=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5470=VECTOR('',#5469,5.5E0); +#5471=CARTESIAN_POINT('',(-3.589765523186E1,-8.6125E0,-2.25E1)); +#5472=LINE('',#5471,#5470); +#5473=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.25E1)); +#5474=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5475=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5476=AXIS2_PLACEMENT_3D('',#5473,#5474,#5475); +#5478=DIRECTION('',(0.E0,0.E0,1.E0)); +#5479=VECTOR('',#5478,5.5E0); +#5480=CARTESIAN_POINT('',(-3.32E1,-1.03E1,-2.8E1)); +#5481=LINE('',#5480,#5479); +#5482=CARTESIAN_POINT('',(-3.679687364248E1,-9.05E0,-2.8E1)); +#5483=DIRECTION('',(0.E0,0.E0,1.E0)); +#5484=DIRECTION('',(8.992184106211E-1,4.375E-1,0.E0)); +#5485=AXIS2_PLACEMENT_3D('',#5482,#5483,#5484); +#5487=CARTESIAN_POINT('',(-3.544804602655E1,-8.39375E0,-2.8E1)); +#5488=DIRECTION('',(-4.375E-1,8.992184106211E-1,0.E0)); +#5489=DIRECTION('',(-5.684341886081E-14,-2.842170943040E-14,-1.E0)); +#5490=AXIS2_PLACEMENT_3D('',#5487,#5488,#5489); +#5492=DIRECTION('',(-1.E0,0.E0,8.010445260122E-14)); +#5493=VECTOR('',#5492,1.153126357516E0); +#5494=CARTESIAN_POINT('',(-3.679687364248E1,-8.05E0,-2.25E1)); +#5495=LINE('',#5494,#5493); +#5496=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5497=VECTOR('',#5496,5.5E0); +#5498=CARTESIAN_POINT('',(-3.679687364248E1,-8.05E0,-2.25E1)); +#5499=LINE('',#5498,#5497); +#5500=CARTESIAN_POINT('',(-3.791490763123E1,-8.05E0,-2.85E1)); +#5501=CARTESIAN_POINT('',(-3.788559819366E1,-8.05E0,-2.85E1)); +#5502=CARTESIAN_POINT('',(-3.782510436401E1,-8.05E0,-2.849712075151E1)); +#5503=CARTESIAN_POINT('',(-3.772954359449E1,-8.05E0,-2.848361742480E1)); +#5504=CARTESIAN_POINT('',(-3.762674433502E1,-8.05E0,-2.845968278171E1)); +#5505=CARTESIAN_POINT('',(-3.751490056711E1,-8.05E0,-2.842333628585E1)); +#5506=CARTESIAN_POINT('',(-3.739217004570E1,-8.05E0,-2.837206147122E1)); +#5507=CARTESIAN_POINT('',(-3.725786738103E1,-8.05E0,-2.830347259281E1)); +#5508=CARTESIAN_POINT('',(-3.711572585953E1,-8.05E0,-2.821880929888E1)); +#5509=CARTESIAN_POINT('',(-3.696273911359E1,-8.05E0,-2.811719311444E1)); +#5510=CARTESIAN_POINT('',(-3.685371871857E1,-8.05E0,-2.804019553878E1)); +#5511=CARTESIAN_POINT('',(-3.679687364248E1,-8.05E0,-2.8E1)); +#5513=CARTESIAN_POINT('',(-3.795E1,-8.05E0,-2.15E1)); +#5514=DIRECTION('',(0.E0,1.E0,0.E0)); +#5515=DIRECTION('',(-1.421085471520E-14,0.E0,-1.E0)); +#5516=AXIS2_PLACEMENT_3D('',#5513,#5514,#5515); +#5518=CARTESIAN_POINT('',(-3.679687364248E1,-8.55E0,-2.25E1)); +#5519=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5520=DIRECTION('',(0.E0,0.E0,1.E0)); +#5521=AXIS2_PLACEMENT_3D('',#5518,#5519,#5520); +#5523=CARTESIAN_POINT('',(-3.795E1,-8.55E0,-2.15E1)); +#5524=DIRECTION('',(0.E0,1.E0,0.E0)); +#5525=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5526=AXIS2_PLACEMENT_3D('',#5523,#5524,#5525); +#5528=CARTESIAN_POINT('',(-3.795E1,-8.55E0,-2.25E1)); +#5529=DIRECTION('',(1.E0,0.E0,0.E0)); +#5530=DIRECTION('',(0.E0,1.E0,0.E0)); +#5531=AXIS2_PLACEMENT_3D('',#5528,#5529,#5530); +#5533=CARTESIAN_POINT('',(-3.795E1,-1.105E1,-2.15E1)); +#5534=DIRECTION('',(0.E0,1.E0,0.E0)); +#5535=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5536=AXIS2_PLACEMENT_3D('',#5533,#5534,#5535); +#5538=CARTESIAN_POINT('',(-3.345E1,-1.555E1,-2.15E1)); +#5539=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5540=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5541=AXIS2_PLACEMENT_3D('',#5538,#5539,#5540); +#5543=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.05E1)); +#5544=DIRECTION('',(0.E0,0.E0,1.E0)); +#5545=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5546=AXIS2_PLACEMENT_3D('',#5543,#5544,#5545); +#5548=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5549=VECTOR('',#5548,1.E0); +#5550=CARTESIAN_POINT('',(-3.345E1,-1.605E1,-2.05E1)); +#5551=LINE('',#5550,#5549); +#5552=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.15E1)); +#5553=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5554=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5555=AXIS2_PLACEMENT_3D('',#5552,#5553,#5554); +#5557=DIRECTION('',(1.E0,0.E0,0.E0)); +#5558=VECTOR('',#5557,6.69E1); +#5559=CARTESIAN_POINT('',(-3.345E1,-1.605E1,-2.05E1)); +#5560=LINE('',#5559,#5558); +#5561=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5562=VECTOR('',#5561,1.E0); +#5563=CARTESIAN_POINT('',(3.345E1,-1.605E1,-2.05E1)); +#5564=LINE('',#5563,#5562); +#5565=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5566=VECTOR('',#5565,6.69E1); +#5567=CARTESIAN_POINT('',(3.345E1,-1.605E1,-2.15E1)); +#5568=LINE('',#5567,#5566); +#5569=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.05E1)); +#5570=DIRECTION('',(0.E0,0.E0,1.E0)); +#5571=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5572=AXIS2_PLACEMENT_3D('',#5569,#5570,#5571); +#5574=DIRECTION('',(0.E0,2.842170943040E-14,-1.E0)); +#5575=VECTOR('',#5574,1.E0); +#5576=CARTESIAN_POINT('',(3.845E1,-1.105E1,-2.05E1)); +#5577=LINE('',#5576,#5575); +#5578=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.15E1)); +#5579=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5580=DIRECTION('',(1.E0,0.E0,0.E0)); +#5581=AXIS2_PLACEMENT_3D('',#5578,#5579,#5580); +#5583=CARTESIAN_POINT('',(3.795E1,-1.105E1,-2.15E1)); +#5584=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5585=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5586=AXIS2_PLACEMENT_3D('',#5583,#5584,#5585); +#5588=CARTESIAN_POINT('',(3.345E1,-1.555E1,-2.15E1)); +#5589=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5590=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5591=AXIS2_PLACEMENT_3D('',#5588,#5589,#5590); +#5593=CARTESIAN_POINT('',(3.795E1,-8.55E0,-2.25E1)); +#5594=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5595=DIRECTION('',(0.E0,0.E0,1.E0)); +#5596=AXIS2_PLACEMENT_3D('',#5593,#5594,#5595); +#5598=CARTESIAN_POINT('',(3.795E1,-8.55E0,-2.15E1)); +#5599=DIRECTION('',(0.E0,1.E0,0.E0)); +#5600=DIRECTION('',(1.E0,0.E0,0.E0)); +#5601=AXIS2_PLACEMENT_3D('',#5598,#5599,#5600); +#5603=DIRECTION('',(-1.E0,0.E0,-1.170757384172E-13)); +#5604=VECTOR('',#5603,1.153126357516E0); +#5605=CARTESIAN_POINT('',(3.795E1,-8.05E0,-2.25E1)); +#5606=LINE('',#5605,#5604); +#5607=CARTESIAN_POINT('',(3.795E1,-8.05E0,-2.15E1)); +#5608=DIRECTION('',(0.E0,1.E0,0.E0)); +#5609=DIRECTION('',(5.E-1,0.E0,-8.660254037844E-1)); +#5610=AXIS2_PLACEMENT_3D('',#5607,#5608,#5609); +#5612=DIRECTION('',(0.E0,0.E0,1.E0)); +#5613=VECTOR('',#5612,6.133974596216E0); +#5614=CARTESIAN_POINT('',(3.845E1,-8.05E0,-2.85E1)); +#5615=LINE('',#5614,#5613); +#5616=CARTESIAN_POINT('',(3.679687364248E1,-8.05E0,-2.8E1)); +#5617=CARTESIAN_POINT('',(3.685372004485E1,-8.05E0,-2.804019647660E1)); +#5618=CARTESIAN_POINT('',(3.696273950481E1,-8.05E0,-2.811719341437E1)); +#5619=CARTESIAN_POINT('',(3.711571833250E1,-8.05E0,-2.821880398299E1)); +#5620=CARTESIAN_POINT('',(3.725783042963E1,-8.05E0,-2.830345148912E1)); +#5621=CARTESIAN_POINT('',(3.739213268946E1,-8.05E0,-2.837204433524E1)); +#5622=CARTESIAN_POINT('',(3.751487403066E1,-8.05E0,-2.842332669039E1)); +#5623=CARTESIAN_POINT('',(3.762672631635E1,-8.05E0,-2.845967796476E1)); +#5624=CARTESIAN_POINT('',(3.772953335311E1,-8.05E0,-2.848361571597E1)); +#5625=CARTESIAN_POINT('',(3.782510048932E1,-8.05E0,-2.849712054385E1)); +#5626=CARTESIAN_POINT('',(3.788559711506E1,-8.05E0,-2.85E1)); +#5627=CARTESIAN_POINT('',(3.791490763123E1,-8.05E0,-2.85E1)); +#5629=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5630=VECTOR('',#5629,5.5E0); +#5631=CARTESIAN_POINT('',(3.679687364248E1,-8.05E0,-2.25E1)); +#5632=LINE('',#5631,#5630); +#5633=CARTESIAN_POINT('',(3.679687364248E1,-8.55E0,-2.25E1)); +#5634=DIRECTION('',(1.E0,0.E0,0.E0)); +#5635=DIRECTION('',(0.E0,1.E0,0.E0)); +#5636=AXIS2_PLACEMENT_3D('',#5633,#5634,#5635); +#5638=CARTESIAN_POINT('',(3.679687364248E1,-9.05E0,-2.25E1)); +#5639=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5640=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#5641=AXIS2_PLACEMENT_3D('',#5638,#5639,#5640); +#5643=CARTESIAN_POINT('',(3.544804602655E1,-8.39375E0,-2.8E1)); +#5644=DIRECTION('',(4.375E-1,8.992184106211E-1,0.E0)); +#5645=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#5646=AXIS2_PLACEMENT_3D('',#5643,#5644,#5645); +#5648=CARTESIAN_POINT('',(3.679687364248E1,-9.05E0,-2.8E1)); +#5649=DIRECTION('',(0.E0,0.E0,1.E0)); +#5650=DIRECTION('',(0.E0,1.E0,0.E0)); +#5651=AXIS2_PLACEMENT_3D('',#5648,#5649,#5650); +#5653=CARTESIAN_POINT('',(3.32E1,-9.8E0,-2.8E1)); +#5654=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5655=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5656=AXIS2_PLACEMENT_3D('',#5653,#5654,#5655); +#5658=CARTESIAN_POINT('',(3.075E1,-9.8E0,-2.8E1)); +#5659=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5660=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5661=AXIS2_PLACEMENT_3D('',#5658,#5659,#5660); +#5663=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5664=VECTOR('',#5663,2.45E0); +#5665=CARTESIAN_POINT('',(3.32E1,-1.03E1,-2.8E1)); +#5666=LINE('',#5665,#5664); +#5667=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5668=VECTOR('',#5667,2.45E0); +#5669=CARTESIAN_POINT('',(3.32E1,-1.03E1,-2.25E1)); +#5670=LINE('',#5669,#5668); +#5671=DIRECTION('',(-2.842170943040E-14,0.E0,1.E0)); +#5672=VECTOR('',#5671,5.5E0); +#5673=CARTESIAN_POINT('',(3.32E1,-1.03E1,-2.8E1)); +#5674=LINE('',#5673,#5672); +#5675=DIRECTION('',(0.E0,0.E0,1.E0)); +#5676=VECTOR('',#5675,5.5E0); +#5677=CARTESIAN_POINT('',(3.075E1,-1.03E1,-2.8E1)); +#5678=LINE('',#5677,#5676); +#5679=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5680=VECTOR('',#5679,1.27E0); +#5681=CARTESIAN_POINT('',(3.075E1,-9.8E0,-2.85E1)); +#5682=LINE('',#5681,#5680); +#5683=CARTESIAN_POINT('',(3.075E1,-1.08E1,-2.25E1)); +#5684=DIRECTION('',(1.E0,0.E0,0.E0)); +#5685=DIRECTION('',(0.E0,1.E0,0.E0)); +#5686=AXIS2_PLACEMENT_3D('',#5683,#5684,#5685); +#5688=CARTESIAN_POINT('',(3.32E1,-1.08E1,-2.25E1)); +#5689=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5690=DIRECTION('',(0.E0,0.E0,1.E0)); +#5691=AXIS2_PLACEMENT_3D('',#5688,#5689,#5690); +#5693=CARTESIAN_POINT('',(3.634726443717E1,-8.83125E0,-2.25E1)); +#5694=DIRECTION('',(-4.375E-1,-8.992184106211E-1,0.E0)); +#5695=DIRECTION('',(0.E0,0.E0,1.E0)); +#5696=AXIS2_PLACEMENT_3D('',#5693,#5694,#5695); +#5698=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.8E1)); +#5699=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5700=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#5701=AXIS2_PLACEMENT_3D('',#5698,#5699,#5700); +#5703=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.25E1)); +#5704=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5705=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#5706=AXIS2_PLACEMENT_3D('',#5703,#5704,#5705); +#5708=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5709=VECTOR('',#5708,5.5E0); +#5710=CARTESIAN_POINT('',(3.589765523186E1,-8.6125E0,-2.25E1)); +#5711=LINE('',#5710,#5709); +#5712=CARTESIAN_POINT('',(-3.634726443717E1,-8.83125E0,-2.25E1)); +#5713=DIRECTION('',(4.375E-1,-8.992184106211E-1,0.E0)); +#5714=DIRECTION('',(8.992184106211E-1,4.375E-1,0.E0)); +#5715=AXIS2_PLACEMENT_3D('',#5712,#5713,#5714); +#5717=CARTESIAN_POINT('',(-3.679687364248E1,-9.05E0,-2.25E1)); +#5718=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5719=DIRECTION('',(0.E0,1.E0,0.E0)); +#5720=AXIS2_PLACEMENT_3D('',#5717,#5718,#5719); +#5722=CARTESIAN_POINT('',(-3.32E1,-1.08E1,-2.25E1)); +#5723=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5724=DIRECTION('',(0.E0,2.486899575160E-14,1.E0)); +#5725=AXIS2_PLACEMENT_3D('',#5722,#5723,#5724); +#5727=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5728=VECTOR('',#5727,2.45E0); +#5729=CARTESIAN_POINT('',(-3.075E1,-1.03E1,-2.8E1)); +#5730=LINE('',#5729,#5728); +#5731=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5732=VECTOR('',#5731,2.45E0); +#5733=CARTESIAN_POINT('',(-3.075E1,-1.03E1,-2.25E1)); +#5734=LINE('',#5733,#5732); +#5735=DIRECTION('',(0.E0,0.E0,1.E0)); +#5736=VECTOR('',#5735,5.5E0); +#5737=CARTESIAN_POINT('',(-3.075E1,-1.03E1,-2.8E1)); +#5738=LINE('',#5737,#5736); +#5739=CARTESIAN_POINT('',(3.795E1,9.55E0,-2.25E1)); +#5740=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5741=DIRECTION('',(0.E0,1.065814103640E-14,1.E0)); +#5742=AXIS2_PLACEMENT_3D('',#5739,#5740,#5741); +#5744=CARTESIAN_POINT('',(3.795E1,9.55E0,-2.15E1)); +#5745=DIRECTION('',(0.E0,1.E0,0.E0)); +#5746=DIRECTION('',(1.E0,0.E0,0.E0)); +#5747=AXIS2_PLACEMENT_3D('',#5744,#5745,#5746); +#5749=DIRECTION('',(-1.E0,0.E0,-5.088454208447E-14)); +#5750=VECTOR('',#5749,2.653126357515E0); +#5751=CARTESIAN_POINT('',(3.795E1,1.005E1,-2.25E1)); +#5752=LINE('',#5751,#5750); +#5753=CARTESIAN_POINT('',(3.795E1,1.005E1,-2.15E1)); +#5754=DIRECTION('',(0.E0,1.E0,0.E0)); +#5755=DIRECTION('',(5.E-1,0.E0,-8.660254037844E-1)); +#5756=AXIS2_PLACEMENT_3D('',#5753,#5754,#5755); +#5758=DIRECTION('',(0.E0,0.E0,1.E0)); +#5759=VECTOR('',#5758,6.133974596216E0); +#5760=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.85E1)); +#5761=LINE('',#5760,#5759); +#5762=CARTESIAN_POINT('',(3.529687364248E1,1.005E1,-2.8E1)); +#5763=CARTESIAN_POINT('',(3.535372003251E1,1.005E1,-2.804019646788E1)); +#5764=CARTESIAN_POINT('',(3.546273947963E1,1.005E1,-2.811719339659E1)); +#5765=CARTESIAN_POINT('',(3.561571831100E1,1.005E1,-2.821880397042E1)); +#5766=CARTESIAN_POINT('',(3.575783047511E1,1.005E1,-2.830345151573E1)); +#5767=CARTESIAN_POINT('',(3.589213273250E1,1.005E1,-2.837204435419E1)); +#5768=CARTESIAN_POINT('',(3.601487402684E1,1.005E1,-2.842332668765E1)); +#5769=CARTESIAN_POINT('',(3.612672628603E1,1.005E1,-2.845967795580E1)); +#5770=CARTESIAN_POINT('',(3.622953331878E1,1.005E1,-2.848361570979E1)); +#5771=CARTESIAN_POINT('',(3.632510047370E1,1.005E1,-2.849712054294E1)); +#5772=CARTESIAN_POINT('',(3.638559710930E1,1.005E1,-2.85E1)); +#5773=CARTESIAN_POINT('',(3.641490763123E1,1.005E1,-2.85E1)); +#5775=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5776=VECTOR('',#5775,5.5E0); +#5777=CARTESIAN_POINT('',(3.529687364248E1,1.005E1,-2.25E1)); +#5778=LINE('',#5777,#5776); +#5779=CARTESIAN_POINT('',(3.529687364248E1,9.55E0,-2.25E1)); +#5780=DIRECTION('',(1.E0,0.E0,0.E0)); +#5781=DIRECTION('',(0.E0,1.E0,0.E0)); +#5782=AXIS2_PLACEMENT_3D('',#5779,#5780,#5781); +#5784=CARTESIAN_POINT('',(3.529687364248E1,9.05E0,-2.25E1)); +#5785=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5786=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#5787=AXIS2_PLACEMENT_3D('',#5784,#5785,#5786); +#5789=CARTESIAN_POINT('',(3.394804602655E1,9.70625E0,-2.8E1)); +#5790=DIRECTION('',(4.375E-1,8.992184106211E-1,0.E0)); +#5791=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#5792=AXIS2_PLACEMENT_3D('',#5789,#5790,#5791); +#5794=CARTESIAN_POINT('',(3.529687364248E1,9.05E0,-2.8E1)); +#5795=DIRECTION('',(0.E0,0.E0,1.E0)); +#5796=DIRECTION('',(0.E0,1.E0,0.E0)); +#5797=AXIS2_PLACEMENT_3D('',#5794,#5795,#5796); +#5799=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5800=VECTOR('',#5799,5.5E0); +#5801=CARTESIAN_POINT('',(3.439765523186E1,1.21125E1,-2.25E1)); +#5802=LINE('',#5801,#5800); +#5803=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5804=VECTOR('',#5803,5.5E0); +#5805=CARTESIAN_POINT('',(3.439765523186E1,9.4875E0,-2.25E1)); +#5806=LINE('',#5805,#5804); +#5807=CARTESIAN_POINT('',(3.484726443717E1,1.233125E1,-2.25E1)); +#5808=DIRECTION('',(-4.375E-1,8.992184106211E-1,0.E0)); +#5809=DIRECTION('',(-8.992184106211E-1,-4.375E-1,0.E0)); +#5810=AXIS2_PLACEMENT_3D('',#5807,#5808,#5809); +#5812=CARTESIAN_POINT('',(3.529687364248E1,1.255E1,-2.25E1)); +#5813=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5814=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5815=AXIS2_PLACEMENT_3D('',#5812,#5813,#5814); +#5817=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.25E1)); +#5818=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5819=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#5820=AXIS2_PLACEMENT_3D('',#5817,#5818,#5819); +#5822=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.2E1)); +#5823=DIRECTION('',(0.E0,0.E0,1.E0)); +#5824=DIRECTION('',(8.992228738700E-1,4.374908263140E-1,0.E0)); +#5825=AXIS2_PLACEMENT_3D('',#5822,#5823,#5824); +#5827=CARTESIAN_POINT('',(3.484726443717E1,9.26875E0,-2.25E1)); +#5828=DIRECTION('',(-4.375E-1,-8.992184106211E-1,0.E0)); +#5829=DIRECTION('',(0.E0,0.E0,1.E0)); +#5830=AXIS2_PLACEMENT_3D('',#5827,#5828,#5829); +#5832=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5833=VECTOR('',#5832,6.69E1); +#5834=CARTESIAN_POINT('',(3.345E1,1.605E1,-2.05E1)); +#5835=LINE('',#5834,#5833); +#5836=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5837=VECTOR('',#5836,1.E0); +#5838=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.05E1)); +#5839=LINE('',#5838,#5837); +#5840=DIRECTION('',(1.E0,0.E0,0.E0)); +#5841=VECTOR('',#5840,6.69E1); +#5842=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.15E1)); +#5843=LINE('',#5842,#5841); +#5844=CARTESIAN_POINT('',(-3.842493718319E1,1.155000004649E1, +-2.231854263082E1)); +#5845=CARTESIAN_POINT('',(-3.842493719086E1,1.154999997011E1, +-2.229142459411E1)); +#5846=CARTESIAN_POINT('',(-3.842463809292E1,1.155299036822E1, +-2.223576675085E1)); +#5847=CARTESIAN_POINT('',(-3.842317954252E1,1.156730789437E1, +-2.214804289481E1)); +#5848=CARTESIAN_POINT('',(-3.842051052953E1,1.159253410751E1, +-2.205599047532E1)); +#5849=CARTESIAN_POINT('',(-3.841630508078E1,1.163005361903E1, +-2.195932999819E1)); +#5850=CARTESIAN_POINT('',(-3.841002514915E1,1.168195087142E1, +-2.185699815952E1)); +#5851=CARTESIAN_POINT('',(-3.840071735727E1,1.175181856392E1, +-2.174674592844E1)); +#5852=CARTESIAN_POINT('',(-3.838710682266E1,1.184278583550E1, +-2.162809785660E1)); +#5853=CARTESIAN_POINT('',(-3.837424238198E1,1.191808832609E1, +-2.154372525415E1)); +#5854=CARTESIAN_POINT('',(-3.836666081835E1,1.195909091780E1,-2.15E1)); +#5856=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.15E1)); +#5857=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5858=DIRECTION('',(-9.833321656460E-1,1.818181839255E-1,0.E0)); +#5859=AXIS2_PLACEMENT_3D('',#5856,#5857,#5858); +#5861=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.05E1)); +#5862=DIRECTION('',(0.E0,0.E0,1.E0)); +#5863=DIRECTION('',(0.E0,1.E0,0.E0)); +#5864=AXIS2_PLACEMENT_3D('',#5861,#5862,#5863); +#5866=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5867=VECTOR('',#5866,8.E0); +#5868=CARTESIAN_POINT('',(-3.845E1,1.105E1,-2.05E1)); +#5869=LINE('',#5868,#5867); +#5870=DIRECTION('',(-1.E0,4.178177960115E-8,3.635764966815E-14)); +#5871=VECTOR('',#5870,2.540608551210E0); +#5872=CARTESIAN_POINT('',(-3.529687364248E1,1.155E1,-2.25E1)); +#5873=LINE('',#5872,#5871); +#5874=CARTESIAN_POINT('',(-3.842493718319E1,1.155000004649E1, +-2.231854263082E1)); +#5875=CARTESIAN_POINT('',(-3.840114295098E1,1.155000011168E1, +-2.233491361664E1)); +#5876=CARTESIAN_POINT('',(-3.835198947398E1,1.154999994837E1, +-2.236576673348E1)); +#5877=CARTESIAN_POINT('',(-3.827289560058E1,1.155000001317E1, +-2.240639669553E1)); +#5878=CARTESIAN_POINT('',(-3.818975362778E1,1.154999999894E1, +-2.244032408697E1)); +#5879=CARTESIAN_POINT('',(-3.810345029959E1,1.154999999107E1, +-2.246704673510E1)); +#5880=CARTESIAN_POINT('',(-3.801517711747E1,1.155000003678E1, +-2.248616699157E1)); +#5881=CARTESIAN_POINT('',(-3.792592630631E1,1.154999986182E1, +-2.249754185189E1)); +#5882=CARTESIAN_POINT('',(-3.786694238785E1,1.155000029791E1, +-2.249999962415E1)); +#5883=CARTESIAN_POINT('',(-3.783748219369E1,1.155000010615E1,-2.25E1)); +#5885=DIRECTION('',(3.798288913987E-10,7.521597034357E-9,1.E0)); +#5886=VECTOR('',#5885,6.181457369179E0); +#5887=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.85E1)); +#5888=LINE('',#5887,#5886); +#5889=DIRECTION('',(1.E0,2.032617242808E-14,0.E0)); +#5890=VECTOR('',#5889,2.010029554299E0); +#5891=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.85E1)); +#5892=LINE('',#5891,#5890); +#5893=CARTESIAN_POINT('',(-3.529687364248E1,1.155E1,-2.8E1)); +#5894=CARTESIAN_POINT('',(-3.535372003251E1,1.155E1,-2.804019646788E1)); +#5895=CARTESIAN_POINT('',(-3.546273947963E1,1.155E1,-2.811719339659E1)); +#5896=CARTESIAN_POINT('',(-3.561571831100E1,1.155E1,-2.821880397042E1)); +#5897=CARTESIAN_POINT('',(-3.575783047511E1,1.155E1,-2.830345151573E1)); +#5898=CARTESIAN_POINT('',(-3.589213273250E1,1.155E1,-2.837204435419E1)); +#5899=CARTESIAN_POINT('',(-3.601487402684E1,1.155E1,-2.842332668765E1)); +#5900=CARTESIAN_POINT('',(-3.612672628603E1,1.155E1,-2.845967795580E1)); +#5901=CARTESIAN_POINT('',(-3.622953331878E1,1.155E1,-2.848361570979E1)); +#5902=CARTESIAN_POINT('',(-3.632510047370E1,1.155E1,-2.849712054294E1)); +#5903=CARTESIAN_POINT('',(-3.638559710930E1,1.155E1,-2.85E1)); +#5904=CARTESIAN_POINT('',(-3.641490763123E1,1.155E1,-2.85E1)); +#5906=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5907=VECTOR('',#5906,5.5E0); +#5908=CARTESIAN_POINT('',(-3.529687364248E1,1.155E1,-2.25E1)); +#5909=LINE('',#5908,#5907); +#5910=CARTESIAN_POINT('',(-3.529687364248E1,1.205E1,-2.25E1)); +#5911=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5912=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5913=AXIS2_PLACEMENT_3D('',#5910,#5911,#5912); +#5915=CARTESIAN_POINT('',(-3.783748220833E1,1.205E1,-2.249999956707E1)); +#5916=DIRECTION('',(1.E0,0.E0,-9.148612702499E-8)); +#5917=DIRECTION('',(9.148612586966E-8,0.E0,1.E0)); +#5918=AXIS2_PLACEMENT_3D('',#5915,#5916,#5917); +#5920=CARTESIAN_POINT('',(-3.836666081835E1,1.195909091780E1,-2.15E1)); +#5921=CARTESIAN_POINT('',(-3.836666089638E1,1.195909087301E1, +-2.151678542271E1)); +#5922=CARTESIAN_POINT('',(-3.836489395922E1,1.195934243406E1, +-2.155035600186E1)); +#5923=CARTESIAN_POINT('',(-3.835696617153E1,1.196047456446E1, +-2.160020511827E1)); +#5924=CARTESIAN_POINT('',(-3.834384214901E1,1.196236180273E1, +-2.164902939927E1)); +#5925=CARTESIAN_POINT('',(-3.832560982145E1,1.196501096713E1, +-2.169642328726E1)); +#5926=CARTESIAN_POINT('',(-3.830238538303E1,1.196843203483E1, +-2.174197037991E1)); +#5927=CARTESIAN_POINT('',(-3.827423675677E1,1.197265084176E1, +-2.178527637188E1)); +#5928=CARTESIAN_POINT('',(-3.824180255955E1,1.197761116982E1, +-2.182542106992E1)); +#5929=CARTESIAN_POINT('',(-3.820457965352E1,1.198344146273E1, +-2.186276898548E1)); +#5930=CARTESIAN_POINT('',(-3.816546078412E1,1.198973522434E1, +-2.189466240990E1)); +#5931=CARTESIAN_POINT('',(-3.812601264485E1,1.199625459329E1, +-2.192115513120E1)); +#5932=CARTESIAN_POINT('',(-3.808356961967E1,1.200346994069E1, +-2.194444251961E1)); +#5933=CARTESIAN_POINT('',(-3.803847995013E1,1.201137541422E1, +-2.196407396275E1)); +#5934=CARTESIAN_POINT('',(-3.799095821735E1,1.201999040777E1, +-2.197975510085E1)); +#5935=CARTESIAN_POINT('',(-3.794328334071E1,1.202893491074E1, +-2.199089755657E1)); +#5936=CARTESIAN_POINT('',(-3.789275868405E1,1.203875150751E1, +-2.199816324281E1)); +#5937=CARTESIAN_POINT('',(-3.785626075617E1,1.204612168718E1, +-2.199999980436E1)); +#5938=CARTESIAN_POINT('',(-3.783748199674E1,1.205E1,-2.199999994588E1)); +#5940=CARTESIAN_POINT('',(-3.345E1,1.555E1,-2.15E1)); +#5941=DIRECTION('',(1.E0,0.E0,0.E0)); +#5942=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5943=AXIS2_PLACEMENT_3D('',#5940,#5941,#5942); +#5945=CARTESIAN_POINT('',(3.345E1,1.555E1,-2.15E1)); +#5946=DIRECTION('',(1.E0,0.E0,0.E0)); +#5947=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5948=AXIS2_PLACEMENT_3D('',#5945,#5946,#5947); +#5950=CARTESIAN_POINT('',(-3.529687364248E1,1.255E1,-2.25E1)); +#5951=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5952=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#5953=AXIS2_PLACEMENT_3D('',#5950,#5951,#5952); +#5955=CARTESIAN_POINT('',(-3.484726443717E1,1.233125E1,-2.25E1)); +#5956=DIRECTION('',(4.375E-1,8.992184106211E-1,0.E0)); +#5957=DIRECTION('',(0.E0,0.E0,1.E0)); +#5958=AXIS2_PLACEMENT_3D('',#5955,#5956,#5957); +#5960=CARTESIAN_POINT('',(-3.394804602655E1,1.189375E1,-2.8E1)); +#5961=DIRECTION('',(-4.375E-1,-8.992184106211E-1,0.E0)); +#5962=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#5963=AXIS2_PLACEMENT_3D('',#5960,#5961,#5962); +#5965=CARTESIAN_POINT('',(-3.529687364248E1,1.255E1,-2.8E1)); +#5966=DIRECTION('',(0.E0,0.E0,1.E0)); +#5967=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5968=AXIS2_PLACEMENT_3D('',#5965,#5966,#5967); +#5970=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.25E1)); +#5971=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5972=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#5973=AXIS2_PLACEMENT_3D('',#5970,#5971,#5972); +#5975=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.2E1)); +#5976=DIRECTION('',(0.E0,0.E0,1.E0)); +#5977=DIRECTION('',(-8.992228738701E-1,-4.374908263139E-1,0.E0)); +#5978=AXIS2_PLACEMENT_3D('',#5975,#5976,#5977); +#5980=CARTESIAN_POINT('',(-3.484726443717E1,9.26875E0,-2.25E1)); +#5981=DIRECTION('',(4.375E-1,-8.992184106211E-1,0.E0)); +#5982=DIRECTION('',(8.992184106211E-1,4.375E-1,0.E0)); +#5983=AXIS2_PLACEMENT_3D('',#5980,#5981,#5982); +#5985=CARTESIAN_POINT('',(-3.529687364248E1,9.05E0,-2.25E1)); +#5986=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5987=DIRECTION('',(0.E0,1.E0,0.E0)); +#5988=AXIS2_PLACEMENT_3D('',#5985,#5986,#5987); +#5990=CARTESIAN_POINT('',(-3.529687364248E1,9.05E0,-2.8E1)); +#5991=DIRECTION('',(0.E0,0.E0,1.E0)); +#5992=DIRECTION('',(8.992184106211E-1,4.375E-1,0.E0)); +#5993=AXIS2_PLACEMENT_3D('',#5990,#5991,#5992); +#5995=CARTESIAN_POINT('',(-3.394802574490E1,9.706241671128E0, +-2.849999999972E1)); +#5996=CARTESIAN_POINT('',(-3.396612653749E1,9.697446234276E0, +-2.849999999972E1)); +#5997=CARTESIAN_POINT('',(-3.400234962542E1,9.679832716399E0, +-2.849756759566E1)); +#5998=CARTESIAN_POINT('',(-3.405588477832E1,9.653781951218E0, +-2.848665951736E1)); +#5999=CARTESIAN_POINT('',(-3.410784922044E1,9.628500604427E0, +-2.846865523966E1)); +#6000=CARTESIAN_POINT('',(-3.415748287164E1,9.604351868517E0, +-2.844381605745E1)); +#6001=CARTESIAN_POINT('',(-3.420406209925E1,9.581689586816E0, +-2.841250478202E1)); +#6002=CARTESIAN_POINT('',(-3.424690790670E1,9.560843639266E0, +-2.837517768055E1)); +#6003=CARTESIAN_POINT('',(-3.428539525646E1,9.542118254851E0, +-2.833237928480E1)); +#6004=CARTESIAN_POINT('',(-3.431896294733E1,9.525786442747E0, +-2.828473367687E1)); +#6005=CARTESIAN_POINT('',(-3.434712143883E1,9.512086389990E0, +-2.823293567930E1)); +#6006=CARTESIAN_POINT('',(-3.436946005689E1,9.501217900886E0, +-2.817774075352E1)); +#6007=CARTESIAN_POINT('',(-3.438565306925E1,9.493339455785E0, +-2.811995374285E1)); +#6008=CARTESIAN_POINT('',(-3.439546430609E1,9.488565959073E0, +-2.806041740456E1)); +#6009=CARTESIAN_POINT('',(-3.439765523186E1,9.4875E0,-2.802013916220E1)); +#6010=CARTESIAN_POINT('',(-3.439765523186E1,9.4875E0,-2.8E1)); +#6012=CARTESIAN_POINT('',(-3.795E1,9.55E0,-2.15E1)); +#6013=DIRECTION('',(0.E0,1.E0,0.E0)); +#6014=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6015=AXIS2_PLACEMENT_3D('',#6012,#6013,#6014); +#6017=CARTESIAN_POINT('',(-3.795E1,9.55E0,-2.25E1)); +#6018=DIRECTION('',(1.E0,0.E0,0.E0)); +#6019=DIRECTION('',(0.E0,1.E0,0.E0)); +#6020=AXIS2_PLACEMENT_3D('',#6017,#6018,#6019); +#6022=CARTESIAN_POINT('',(-3.795E1,-6.05E0,-2.25E1)); +#6023=DIRECTION('',(1.E0,0.E0,0.E0)); +#6024=DIRECTION('',(0.E0,0.E0,1.E0)); +#6025=AXIS2_PLACEMENT_3D('',#6022,#6023,#6024); +#6027=CARTESIAN_POINT('',(-3.795E1,-6.05E0,-2.15E1)); +#6028=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6029=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6030=AXIS2_PLACEMENT_3D('',#6027,#6028,#6029); +#6032=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6033=VECTOR('',#6032,7.690529061079E0); +#6034=CARTESIAN_POINT('',(-2.47E1,-5.093154304743E0,-2.2E1)); +#6035=LINE('',#6034,#6033); +#6036=DIRECTION('',(0.E0,7.943578329772E-1,-6.074501075708E-1)); +#6037=VECTOR('',#6036,2.320307332823E0); +#6038=CARTESIAN_POINT('',(-2.47E1,-5.093154304743E0,-2.969052906108E1)); +#6039=LINE('',#6038,#6037); +#6040=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6041=VECTOR('',#6040,9.1E0); +#6042=CARTESIAN_POINT('',(-2.47E1,-3.25E0,-2.2E1)); +#6043=LINE('',#6042,#6041); +#6044=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6045=VECTOR('',#6044,7.444982324106E0); +#6046=CARTESIAN_POINT('',(-2.47E1,5.5E0,-2.2E1)); +#6047=LINE('',#6046,#6045); +#6048=CARTESIAN_POINT('',(-2.47E1,7.5E-1,-3.32E1)); +#6049=DIRECTION('',(1.E0,0.E0,0.E0)); +#6050=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6051=AXIS2_PLACEMENT_3D('',#6048,#6049,#6050); +#6053=DIRECTION('',(0.E0,3.197439046264E-1,9.475040028698E-1)); +#6054=VECTOR('',#6053,5.076677825732E0); +#6055=CARTESIAN_POINT('',(-2.47E1,3.876763209470E0,-3.425515488527E1)); +#6056=LINE('',#6055,#6054); +#6057=DIRECTION('',(2.919941300220E-1,4.782100553190E-1,-8.282841125028E-1)); +#6058=VECTOR('',#6057,6.157307526508E0); +#6059=CARTESIAN_POINT('',(2.173778607136E1,-9.944486372867E0,-2.2E1)); +#6060=LINE('',#6059,#6058); +#6061=DIRECTION('',(-2.919941300220E-1,4.782100553190E-1,-8.282841125028E-1)); +#6062=VECTOR('',#6061,6.157307526508E0); +#6063=CARTESIAN_POINT('',(-2.173778607136E1,-9.944486372867E0,-2.2E1)); +#6064=LINE('',#6063,#6062); +#6065=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6066=VECTOR('',#6065,7.690529061079E0); +#6067=CARTESIAN_POINT('',(2.47E1,-5.093154304743E0,-2.2E1)); +#6068=LINE('',#6067,#6066); +#6069=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6070=VECTOR('',#6069,9.1E0); +#6071=CARTESIAN_POINT('',(2.47E1,-3.25E0,-2.2E1)); +#6072=LINE('',#6071,#6070); +#6073=DIRECTION('',(0.E0,7.943578329772E-1,-6.074501075708E-1)); +#6074=VECTOR('',#6073,2.320307332823E0); +#6075=CARTESIAN_POINT('',(2.47E1,-5.093154304743E0,-2.969052906108E1)); +#6076=LINE('',#6075,#6074); +#6077=DIRECTION('',(0.E0,0.E0,1.E0)); +#6078=VECTOR('',#6077,7.444982324106E0); +#6079=CARTESIAN_POINT('',(2.47E1,5.5E0,-2.944498232411E1)); +#6080=LINE('',#6079,#6078); +#6081=DIRECTION('',(0.E0,3.197439046264E-1,9.475040028698E-1)); +#6082=VECTOR('',#6081,5.076677825732E0); +#6083=CARTESIAN_POINT('',(2.47E1,3.876763209470E0,-3.425515488527E1)); +#6084=LINE('',#6083,#6082); +#6085=CARTESIAN_POINT('',(2.47E1,7.5E-1,-3.32E1)); +#6086=DIRECTION('',(1.E0,0.E0,0.E0)); +#6087=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6088=AXIS2_PLACEMENT_3D('',#6085,#6086,#6087); +#6090=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6091=VECTOR('',#6090,1.4E0); +#6092=CARTESIAN_POINT('',(2.47E1,-3.25E0,-2.2E1)); +#6093=LINE('',#6092,#6091); +#6094=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6095=VECTOR('',#6094,9.1E0); +#6096=CARTESIAN_POINT('',(2.33E1,-3.25E0,-2.2E1)); +#6097=LINE('',#6096,#6095); +#6098=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6099=VECTOR('',#6098,4.E0); +#6100=CARTESIAN_POINT('',(2.33E1,7.5E-1,-2.2E1)); +#6101=LINE('',#6100,#6099); +#6102=DIRECTION('',(0.E0,0.E0,1.E0)); +#6103=VECTOR('',#6102,1.31E1); +#6104=CARTESIAN_POINT('',(2.33E1,7.5E-1,-3.51E1)); +#6105=LINE('',#6104,#6103); +#6106=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6107=VECTOR('',#6106,1.4E0); +#6108=CARTESIAN_POINT('',(2.33E1,-3.25E0,-3.11E1)); +#6109=LINE('',#6108,#6107); +#6110=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6111=VECTOR('',#6110,1.4E0); +#6112=CARTESIAN_POINT('',(-2.33E1,-3.25E0,-3.11E1)); +#6113=LINE('',#6112,#6111); +#6114=DIRECTION('',(1.E0,0.E0,0.E0)); +#6115=VECTOR('',#6114,4.66E1); +#6116=CARTESIAN_POINT('',(-2.33E1,-3.25E0,-3.11E1)); +#6117=LINE('',#6116,#6115); +#6118=CARTESIAN_POINT('',(-2.E1,-3.25E0,-3.18E1)); +#6119=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6120=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6121=AXIS2_PLACEMENT_3D('',#6118,#6119,#6120); +#6123=CARTESIAN_POINT('',(-2.E1,-3.25E0,-3.18E1)); +#6124=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6125=DIRECTION('',(0.E0,0.E0,1.E0)); +#6126=AXIS2_PLACEMENT_3D('',#6123,#6124,#6125); +#6128=CARTESIAN_POINT('',(-1.6E1,-3.25E0,-3.18E1)); +#6129=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6130=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6131=AXIS2_PLACEMENT_3D('',#6128,#6129,#6130); +#6133=CARTESIAN_POINT('',(-1.6E1,-3.25E0,-3.18E1)); +#6134=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6135=DIRECTION('',(0.E0,0.E0,1.E0)); +#6136=AXIS2_PLACEMENT_3D('',#6133,#6134,#6135); +#6138=CARTESIAN_POINT('',(-1.2E1,-3.25E0,-3.18E1)); +#6139=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6140=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6141=AXIS2_PLACEMENT_3D('',#6138,#6139,#6140); +#6143=CARTESIAN_POINT('',(-1.2E1,-3.25E0,-3.18E1)); +#6144=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6145=DIRECTION('',(0.E0,0.E0,1.E0)); +#6146=AXIS2_PLACEMENT_3D('',#6143,#6144,#6145); +#6148=CARTESIAN_POINT('',(-8.E0,-3.25E0,-3.18E1)); +#6149=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6150=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6151=AXIS2_PLACEMENT_3D('',#6148,#6149,#6150); +#6153=CARTESIAN_POINT('',(-8.E0,-3.25E0,-3.18E1)); +#6154=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6155=DIRECTION('',(0.E0,0.E0,1.E0)); +#6156=AXIS2_PLACEMENT_3D('',#6153,#6154,#6155); +#6158=CARTESIAN_POINT('',(-4.E0,-3.25E0,-3.18E1)); +#6159=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6160=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6161=AXIS2_PLACEMENT_3D('',#6158,#6159,#6160); +#6163=CARTESIAN_POINT('',(-4.E0,-3.25E0,-3.18E1)); +#6164=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6165=DIRECTION('',(0.E0,0.E0,1.E0)); +#6166=AXIS2_PLACEMENT_3D('',#6163,#6164,#6165); +#6168=CARTESIAN_POINT('',(0.E0,-3.25E0,-3.18E1)); +#6169=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6170=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6171=AXIS2_PLACEMENT_3D('',#6168,#6169,#6170); +#6173=CARTESIAN_POINT('',(0.E0,-3.25E0,-3.18E1)); +#6174=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6175=DIRECTION('',(0.E0,0.E0,1.E0)); +#6176=AXIS2_PLACEMENT_3D('',#6173,#6174,#6175); +#6178=CARTESIAN_POINT('',(4.E0,-3.25E0,-3.18E1)); +#6179=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6180=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6181=AXIS2_PLACEMENT_3D('',#6178,#6179,#6180); +#6183=CARTESIAN_POINT('',(4.E0,-3.25E0,-3.18E1)); +#6184=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6185=DIRECTION('',(0.E0,0.E0,1.E0)); +#6186=AXIS2_PLACEMENT_3D('',#6183,#6184,#6185); +#6188=CARTESIAN_POINT('',(8.E0,-3.25E0,-3.18E1)); +#6189=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6190=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6191=AXIS2_PLACEMENT_3D('',#6188,#6189,#6190); +#6193=CARTESIAN_POINT('',(8.E0,-3.25E0,-3.18E1)); +#6194=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6195=DIRECTION('',(0.E0,0.E0,1.E0)); +#6196=AXIS2_PLACEMENT_3D('',#6193,#6194,#6195); +#6198=CARTESIAN_POINT('',(1.2E1,-3.25E0,-3.18E1)); +#6199=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6200=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6201=AXIS2_PLACEMENT_3D('',#6198,#6199,#6200); +#6203=CARTESIAN_POINT('',(1.2E1,-3.25E0,-3.18E1)); +#6204=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6205=DIRECTION('',(0.E0,0.E0,1.E0)); +#6206=AXIS2_PLACEMENT_3D('',#6203,#6204,#6205); +#6208=CARTESIAN_POINT('',(1.6E1,-3.25E0,-3.18E1)); +#6209=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6210=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6211=AXIS2_PLACEMENT_3D('',#6208,#6209,#6210); +#6213=CARTESIAN_POINT('',(1.6E1,-3.25E0,-3.18E1)); +#6214=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6215=DIRECTION('',(0.E0,0.E0,1.E0)); +#6216=AXIS2_PLACEMENT_3D('',#6213,#6214,#6215); +#6218=CARTESIAN_POINT('',(2.E1,-3.25E0,-3.18E1)); +#6219=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6220=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6221=AXIS2_PLACEMENT_3D('',#6218,#6219,#6220); +#6223=CARTESIAN_POINT('',(2.E1,-3.25E0,-3.18E1)); +#6224=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6225=DIRECTION('',(0.E0,0.E0,1.E0)); +#6226=AXIS2_PLACEMENT_3D('',#6223,#6224,#6225); +#6228=DIRECTION('',(0.E0,1.E0,0.E0)); +#6229=VECTOR('',#6228,6.E-1); +#6230=CARTESIAN_POINT('',(2.33E1,-3.25E0,-3.25E1)); +#6231=LINE('',#6230,#6229); +#6232=DIRECTION('',(0.E0,1.E0,0.E0)); +#6233=VECTOR('',#6232,6.E-1); +#6234=CARTESIAN_POINT('',(-2.33E1,-3.25E0,-3.25E1)); +#6235=LINE('',#6234,#6233); +#6236=DIRECTION('',(1.E0,0.E0,0.E0)); +#6237=VECTOR('',#6236,4.66E1); +#6238=CARTESIAN_POINT('',(-2.33E1,-3.25E0,-3.25E1)); +#6239=LINE('',#6238,#6237); +#6240=DIRECTION('',(0.E0,7.943578329772E-1,-6.074501075708E-1)); +#6241=VECTOR('',#6240,4.280186911807E0); +#6242=CARTESIAN_POINT('',(2.33E1,-2.65E0,-3.25E1)); +#6243=LINE('',#6242,#6241); +#6244=DIRECTION('',(0.E0,7.943578329772E-1,-6.074501075708E-1)); +#6245=VECTOR('',#6244,4.280186911807E0); +#6246=CARTESIAN_POINT('',(-2.33E1,-2.65E0,-3.25E1)); +#6247=LINE('',#6246,#6245); +#6248=DIRECTION('',(1.E0,0.E0,0.E0)); +#6249=VECTOR('',#6248,4.66E1); +#6250=CARTESIAN_POINT('',(-2.33E1,-2.65E0,-3.25E1)); +#6251=LINE('',#6250,#6249); +#6252=DIRECTION('',(1.E0,0.E0,0.E0)); +#6253=VECTOR('',#6252,4.66E1); +#6254=CARTESIAN_POINT('',(-2.33E1,7.5E-1,-3.51E1)); +#6255=LINE('',#6254,#6253); +#6256=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6257=VECTOR('',#6256,1.45E1); +#6258=CARTESIAN_POINT('',(2.47E1,7.5E-1,-2.2E1)); +#6259=LINE('',#6258,#6257); +#6260=DIRECTION('',(0.E0,0.E0,1.E0)); +#6261=VECTOR('',#6260,1.45E1); +#6262=CARTESIAN_POINT('',(-2.47E1,7.5E-1,-3.65E1)); +#6263=LINE('',#6262,#6261); +#6264=DIRECTION('',(1.E0,0.E0,0.E0)); +#6265=VECTOR('',#6264,1.4E0); +#6266=CARTESIAN_POINT('',(-2.47E1,7.5E-1,-2.2E1)); +#6267=LINE('',#6266,#6265); +#6268=CARTESIAN_POINT('',(-2.2E1,7.5E-1,-3.58E1)); +#6269=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6270=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6271=AXIS2_PLACEMENT_3D('',#6268,#6269,#6270); +#6273=CARTESIAN_POINT('',(-2.2E1,7.5E-1,-3.58E1)); +#6274=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6275=DIRECTION('',(0.E0,0.E0,1.E0)); +#6276=AXIS2_PLACEMENT_3D('',#6273,#6274,#6275); +#6278=CARTESIAN_POINT('',(-1.8E1,7.5E-1,-3.58E1)); +#6279=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6280=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6281=AXIS2_PLACEMENT_3D('',#6278,#6279,#6280); +#6283=CARTESIAN_POINT('',(-1.8E1,7.5E-1,-3.58E1)); +#6284=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6285=DIRECTION('',(0.E0,0.E0,1.E0)); +#6286=AXIS2_PLACEMENT_3D('',#6283,#6284,#6285); +#6288=CARTESIAN_POINT('',(-1.4E1,7.5E-1,-3.58E1)); +#6289=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6290=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6291=AXIS2_PLACEMENT_3D('',#6288,#6289,#6290); +#6293=CARTESIAN_POINT('',(-1.4E1,7.5E-1,-3.58E1)); +#6294=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6295=DIRECTION('',(0.E0,0.E0,1.E0)); +#6296=AXIS2_PLACEMENT_3D('',#6293,#6294,#6295); +#6298=CARTESIAN_POINT('',(-1.E1,7.5E-1,-3.58E1)); +#6299=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6300=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6301=AXIS2_PLACEMENT_3D('',#6298,#6299,#6300); +#6303=CARTESIAN_POINT('',(-1.E1,7.5E-1,-3.58E1)); +#6304=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6305=DIRECTION('',(0.E0,0.E0,1.E0)); +#6306=AXIS2_PLACEMENT_3D('',#6303,#6304,#6305); +#6308=CARTESIAN_POINT('',(-6.E0,7.5E-1,-3.58E1)); +#6309=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6311=AXIS2_PLACEMENT_3D('',#6308,#6309,#6310); +#6313=CARTESIAN_POINT('',(-6.E0,7.5E-1,-3.58E1)); +#6314=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6315=DIRECTION('',(0.E0,0.E0,1.E0)); +#6316=AXIS2_PLACEMENT_3D('',#6313,#6314,#6315); +#6318=CARTESIAN_POINT('',(-2.E0,7.5E-1,-3.58E1)); +#6319=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6320=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6321=AXIS2_PLACEMENT_3D('',#6318,#6319,#6320); +#6323=CARTESIAN_POINT('',(-2.E0,7.5E-1,-3.58E1)); +#6324=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6325=DIRECTION('',(0.E0,0.E0,1.E0)); +#6326=AXIS2_PLACEMENT_3D('',#6323,#6324,#6325); +#6328=CARTESIAN_POINT('',(2.E0,7.5E-1,-3.58E1)); +#6329=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6330=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6331=AXIS2_PLACEMENT_3D('',#6328,#6329,#6330); +#6333=CARTESIAN_POINT('',(2.E0,7.5E-1,-3.58E1)); +#6334=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6335=DIRECTION('',(0.E0,0.E0,1.E0)); +#6336=AXIS2_PLACEMENT_3D('',#6333,#6334,#6335); +#6338=CARTESIAN_POINT('',(6.E0,7.5E-1,-3.58E1)); +#6339=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6340=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6341=AXIS2_PLACEMENT_3D('',#6338,#6339,#6340); +#6343=CARTESIAN_POINT('',(6.E0,7.5E-1,-3.58E1)); +#6344=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6345=DIRECTION('',(0.E0,0.E0,1.E0)); +#6346=AXIS2_PLACEMENT_3D('',#6343,#6344,#6345); +#6348=CARTESIAN_POINT('',(1.E1,7.5E-1,-3.58E1)); +#6349=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6350=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6351=AXIS2_PLACEMENT_3D('',#6348,#6349,#6350); +#6353=CARTESIAN_POINT('',(1.E1,7.5E-1,-3.58E1)); +#6354=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6355=DIRECTION('',(0.E0,0.E0,1.E0)); +#6356=AXIS2_PLACEMENT_3D('',#6353,#6354,#6355); +#6358=CARTESIAN_POINT('',(1.4E1,7.5E-1,-3.58E1)); +#6359=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6360=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6361=AXIS2_PLACEMENT_3D('',#6358,#6359,#6360); +#6363=CARTESIAN_POINT('',(1.4E1,7.5E-1,-3.58E1)); +#6364=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6365=DIRECTION('',(0.E0,0.E0,1.E0)); +#6366=AXIS2_PLACEMENT_3D('',#6363,#6364,#6365); +#6368=CARTESIAN_POINT('',(1.8E1,7.5E-1,-3.58E1)); +#6369=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6370=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6371=AXIS2_PLACEMENT_3D('',#6368,#6369,#6370); +#6373=CARTESIAN_POINT('',(1.8E1,7.5E-1,-3.58E1)); +#6374=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6375=DIRECTION('',(0.E0,0.E0,1.E0)); +#6376=AXIS2_PLACEMENT_3D('',#6373,#6374,#6375); +#6378=CARTESIAN_POINT('',(2.2E1,7.5E-1,-3.58E1)); +#6379=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6380=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6381=AXIS2_PLACEMENT_3D('',#6378,#6379,#6380); +#6383=CARTESIAN_POINT('',(2.2E1,7.5E-1,-3.58E1)); +#6384=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6385=DIRECTION('',(0.E0,0.E0,1.E0)); +#6386=AXIS2_PLACEMENT_3D('',#6383,#6384,#6385); +#6388=CARTESIAN_POINT('',(2.264098518058E1,3.876763209470E0,-3.425515488527E1)); +#6389=CARTESIAN_POINT('',(2.263981469135E1,3.851127015606E0,-3.433112317099E1)); +#6390=CARTESIAN_POINT('',(2.263705540502E1,3.794332947955E0,-3.448118680195E1)); +#6391=CARTESIAN_POINT('',(2.263129549165E1,3.692568466682E0,-3.470021035134E1)); +#6392=CARTESIAN_POINT('',(2.262361484672E1,3.575956587756E0,-3.490966367157E1)); +#6393=CARTESIAN_POINT('',(2.261363220642E1,3.444921001044E0,-3.510963818622E1)); +#6394=CARTESIAN_POINT('',(2.260068413737E1,3.297540853491E0,-3.530231759692E1)); +#6395=CARTESIAN_POINT('',(2.258451946199E1,3.137790662094E0,-3.548185675522E1)); +#6396=CARTESIAN_POINT('',(2.256565387474E1,2.974761764925E0,-3.564060076009E1)); +#6397=CARTESIAN_POINT('',(2.254454150405E1,2.813741572106E0,-3.577771108788E1)); +#6398=CARTESIAN_POINT('',(2.252190320247E1,2.660106052957E0,-3.589303645359E1)); +#6399=CARTESIAN_POINT('',(2.249858408417E1,2.518016073778E0,-3.598795718220E1)); +#6400=CARTESIAN_POINT('',(2.247505484045E1,2.388241280086E0,-3.606581573877E1)); +#6401=CARTESIAN_POINT('',(2.245158413359E1,2.270329375725E0,-3.612983356346E1)); +#6402=CARTESIAN_POINT('',(2.242824219530E1,2.163041142544E0,-3.618287831452E1)); +#6403=CARTESIAN_POINT('',(2.240516703243E1,2.065710334683E0,-3.622692833540E1)); +#6404=CARTESIAN_POINT('',(2.238241212397E1,1.977430733180E0,-3.626368167645E1)); +#6405=CARTESIAN_POINT('',(2.235990074161E1,1.897013587517E0,-3.629460756497E1)); +#6406=CARTESIAN_POINT('',(2.233745169052E1,1.823185550191E0,-3.632092167630E1)); +#6407=CARTESIAN_POINT('',(2.231466021580E1,1.754319618368E0,-3.634372197151E1)); +#6408=CARTESIAN_POINT('',(2.229110680936E1,1.689294717694E0,-3.636372408835E1)); +#6409=CARTESIAN_POINT('',(2.226722799391E1,1.629494563173E0,-3.638082311535E1)); +#6410=CARTESIAN_POINT('',(2.224366726422E1,1.576240086864E0,-3.639502971824E1)); +#6411=CARTESIAN_POINT('',(2.222047499862E1,1.529145045045E0,-3.640680893556E1)); +#6412=CARTESIAN_POINT('',(2.219741197115E1,1.487370715271E0,-3.641664855172E1)); +#6413=CARTESIAN_POINT('',(2.217413151340E1,1.450181379542E0,-3.642492964334E1)); +#6414=CARTESIAN_POINT('',(2.215057909807E1,1.417553596565E0,-3.643182425054E1)); +#6415=CARTESIAN_POINT('',(2.212701003328E1,1.389831538219E0,-3.643741174643E1)); +#6416=CARTESIAN_POINT('',(2.210356523226E1,1.367056704341E0,-3.644181768553E1)); +#6417=CARTESIAN_POINT('',(2.208814230091E1,1.355159594021E0,-3.644404213865E1)); +#6418=CARTESIAN_POINT('',(2.208049825570E1,1.35E0,-3.644499614792E1)); +#6420=CARTESIAN_POINT('',(2.191950174430E1,1.35E0,-3.644499614792E1)); +#6421=CARTESIAN_POINT('',(2.191144874699E1,1.355435629389E0,-3.644399109969E1)); +#6422=CARTESIAN_POINT('',(2.189544441004E1,1.367877075389E0,-3.644166331568E1)); +#6423=CARTESIAN_POINT('',(2.187152258582E1,1.391405362500E0,-3.643710177870E1)); +#6424=CARTESIAN_POINT('',(2.184824401720E1,1.419117298260E0,-3.643149879849E1)); +#6425=CARTESIAN_POINT('',(2.182573433291E1,1.450461800652E0,-3.642486251069E1)); +#6426=CARTESIAN_POINT('',(2.180351724153E1,1.485855073020E0,-3.641698823412E1)); +#6427=CARTESIAN_POINT('',(2.178117626568E1,1.526029778151E0,-3.640755706359E1)); +#6428=CARTESIAN_POINT('',(2.175849595561E1,1.571660350025E0,-3.639620221654E1)); +#6429=CARTESIAN_POINT('',(2.173539875555E1,1.623311785826E0,-3.638251535226E1)); +#6430=CARTESIAN_POINT('',(2.171186641748E1,1.681537900704E0,-3.636600734275E1)); +#6431=CARTESIAN_POINT('',(2.168836983509E1,1.745618483606E0,-3.634648186135E1)); +#6432=CARTESIAN_POINT('',(2.166561440619E1,1.813585172311E0,-3.632419654264E1)); +#6433=CARTESIAN_POINT('',(2.164331620249E1,1.886069694913E0,-3.629862715774E1)); +#6434=CARTESIAN_POINT('',(2.162098298905E1,1.964889895124E0,-3.626865692922E1)); +#6435=CARTESIAN_POINT('',(2.159841817297E1,2.051326456867E0,-3.623311459469E1)); +#6436=CARTESIAN_POINT('',(2.157551546443E1,2.146637248847E0,-3.619056197304E1)); +#6437=CARTESIAN_POINT('',(2.155230549962E1,2.251804940778E0,-3.613933190426E1)); +#6438=CARTESIAN_POINT('',(2.152892109403E1,2.367510582288E0,-3.607751612806E1)); +#6439=CARTESIAN_POINT('',(2.150541241587E1,2.495076551144E0,-3.600231049775E1)); +#6440=CARTESIAN_POINT('',(2.148198755064E1,2.635334178585E0,-3.591037097598E1)); +#6441=CARTESIAN_POINT('',(2.145904099209E1,2.788191609859E0,-3.579792116094E1)); +#6442=CARTESIAN_POINT('',(2.143738795974E1,2.950225808918E0,-3.566275617697E1)); +#6443=CARTESIAN_POINT('',(2.141784630890E1,3.115988931057E0,-3.550455413805E1)); +#6444=CARTESIAN_POINT('',(2.140093586811E1,3.280292394057E0,-3.532326248796E1)); +#6445=CARTESIAN_POINT('',(2.138734870132E1,3.432821284940E0,-3.512684926195E1)); +#6446=CARTESIAN_POINT('',(2.137694763189E1,3.567912475833E0,-3.492312776666E1)); +#6447=CARTESIAN_POINT('',(2.136898505397E1,3.687858231586E0,-3.470968642178E1)); +#6448=CARTESIAN_POINT('',(2.136304414573E1,3.792325147151E0,-3.448627886933E1)); +#6449=CARTESIAN_POINT('',(2.136021226830E1,3.850536542063E0,-3.433287293397E1)); +#6450=CARTESIAN_POINT('',(2.135901481942E1,3.876763209470E0,-3.425515488527E1)); +#6452=CARTESIAN_POINT('',(1.864098518058E1,3.876763209470E0,-3.425515488527E1)); +#6453=CARTESIAN_POINT('',(1.863981469135E1,3.851127015606E0,-3.433112317099E1)); +#6454=CARTESIAN_POINT('',(1.863705540502E1,3.794332947955E0,-3.448118680195E1)); +#6455=CARTESIAN_POINT('',(1.863129549165E1,3.692568466682E0,-3.470021035134E1)); +#6456=CARTESIAN_POINT('',(1.862361484672E1,3.575956587756E0,-3.490966367157E1)); +#6457=CARTESIAN_POINT('',(1.861363220642E1,3.444921001044E0,-3.510963818622E1)); +#6458=CARTESIAN_POINT('',(1.860068413737E1,3.297540853491E0,-3.530231759692E1)); +#6459=CARTESIAN_POINT('',(1.858451946199E1,3.137790662094E0,-3.548185675522E1)); +#6460=CARTESIAN_POINT('',(1.856565387474E1,2.974761764925E0,-3.564060076009E1)); +#6461=CARTESIAN_POINT('',(1.854454150405E1,2.813741572106E0,-3.577771108788E1)); +#6462=CARTESIAN_POINT('',(1.852190320247E1,2.660106052957E0,-3.589303645359E1)); +#6463=CARTESIAN_POINT('',(1.849858408417E1,2.518016073778E0,-3.598795718220E1)); +#6464=CARTESIAN_POINT('',(1.847505484045E1,2.388241280085E0,-3.606581573877E1)); +#6465=CARTESIAN_POINT('',(1.845158413359E1,2.270329375725E0,-3.612983356346E1)); +#6466=CARTESIAN_POINT('',(1.842824219530E1,2.163041142544E0,-3.618287831452E1)); +#6467=CARTESIAN_POINT('',(1.840516703243E1,2.065710334683E0,-3.622692833540E1)); +#6468=CARTESIAN_POINT('',(1.838241212397E1,1.977430733180E0,-3.626368167645E1)); +#6469=CARTESIAN_POINT('',(1.835990074161E1,1.897013587517E0,-3.629460756497E1)); +#6470=CARTESIAN_POINT('',(1.833745169052E1,1.823185550191E0,-3.632092167630E1)); +#6471=CARTESIAN_POINT('',(1.831466021580E1,1.754319618368E0,-3.634372197151E1)); +#6472=CARTESIAN_POINT('',(1.829110680936E1,1.689294717694E0,-3.636372408835E1)); +#6473=CARTESIAN_POINT('',(1.826722799391E1,1.629494563173E0,-3.638082311535E1)); +#6474=CARTESIAN_POINT('',(1.824366726422E1,1.576240086864E0,-3.639502971824E1)); +#6475=CARTESIAN_POINT('',(1.822047499862E1,1.529145045045E0,-3.640680893556E1)); +#6476=CARTESIAN_POINT('',(1.819741197115E1,1.487370715271E0,-3.641664855172E1)); +#6477=CARTESIAN_POINT('',(1.817413151340E1,1.450181379542E0,-3.642492964334E1)); +#6478=CARTESIAN_POINT('',(1.815057909807E1,1.417553596565E0,-3.643182425054E1)); +#6479=CARTESIAN_POINT('',(1.812701003328E1,1.389831538219E0,-3.643741174643E1)); +#6480=CARTESIAN_POINT('',(1.810356523226E1,1.367056704341E0,-3.644181768553E1)); +#6481=CARTESIAN_POINT('',(1.808814230091E1,1.355159594021E0,-3.644404213865E1)); +#6482=CARTESIAN_POINT('',(1.808049825570E1,1.35E0,-3.644499614792E1)); +#6484=CARTESIAN_POINT('',(1.791950174430E1,1.35E0,-3.644499614792E1)); +#6485=CARTESIAN_POINT('',(1.791144874699E1,1.355435629389E0,-3.644399109969E1)); +#6486=CARTESIAN_POINT('',(1.789544441004E1,1.367877075389E0,-3.644166331568E1)); +#6487=CARTESIAN_POINT('',(1.787152258582E1,1.391405362500E0,-3.643710177870E1)); +#6488=CARTESIAN_POINT('',(1.784824401720E1,1.419117298260E0,-3.643149879849E1)); +#6489=CARTESIAN_POINT('',(1.782573433291E1,1.450461800652E0,-3.642486251069E1)); +#6490=CARTESIAN_POINT('',(1.780351724153E1,1.485855073020E0,-3.641698823412E1)); +#6491=CARTESIAN_POINT('',(1.778117626568E1,1.526029778151E0,-3.640755706359E1)); +#6492=CARTESIAN_POINT('',(1.775849595561E1,1.571660350025E0,-3.639620221654E1)); +#6493=CARTESIAN_POINT('',(1.773539875555E1,1.623311785826E0,-3.638251535226E1)); +#6494=CARTESIAN_POINT('',(1.771186641748E1,1.681537900704E0,-3.636600734275E1)); +#6495=CARTESIAN_POINT('',(1.768836983509E1,1.745618483606E0,-3.634648186135E1)); +#6496=CARTESIAN_POINT('',(1.766561440619E1,1.813585172311E0,-3.632419654264E1)); +#6497=CARTESIAN_POINT('',(1.764331620249E1,1.886069694913E0,-3.629862715774E1)); +#6498=CARTESIAN_POINT('',(1.762098298905E1,1.964889895124E0,-3.626865692922E1)); +#6499=CARTESIAN_POINT('',(1.759841817297E1,2.051326456867E0,-3.623311459469E1)); +#6500=CARTESIAN_POINT('',(1.757551546443E1,2.146637248847E0,-3.619056197304E1)); +#6501=CARTESIAN_POINT('',(1.755230549962E1,2.251804940778E0,-3.613933190426E1)); +#6502=CARTESIAN_POINT('',(1.752892109403E1,2.367510582287E0,-3.607751612806E1)); +#6503=CARTESIAN_POINT('',(1.750541241587E1,2.495076551144E0,-3.600231049775E1)); +#6504=CARTESIAN_POINT('',(1.748198755064E1,2.635334178585E0,-3.591037097598E1)); +#6505=CARTESIAN_POINT('',(1.745904099209E1,2.788191609859E0,-3.579792116094E1)); +#6506=CARTESIAN_POINT('',(1.743738795974E1,2.950225808918E0,-3.566275617697E1)); +#6507=CARTESIAN_POINT('',(1.741784630890E1,3.115988931057E0,-3.550455413805E1)); +#6508=CARTESIAN_POINT('',(1.740093586811E1,3.280292394057E0,-3.532326248796E1)); +#6509=CARTESIAN_POINT('',(1.738734870132E1,3.432821284940E0,-3.512684926195E1)); +#6510=CARTESIAN_POINT('',(1.737694763189E1,3.567912475833E0,-3.492312776666E1)); +#6511=CARTESIAN_POINT('',(1.736898505397E1,3.687858231586E0,-3.470968642178E1)); +#6512=CARTESIAN_POINT('',(1.736304414573E1,3.792325147151E0,-3.448627886933E1)); +#6513=CARTESIAN_POINT('',(1.736021226830E1,3.850536542063E0,-3.433287293397E1)); +#6514=CARTESIAN_POINT('',(1.735901481942E1,3.876763209470E0,-3.425515488527E1)); +#6516=CARTESIAN_POINT('',(1.464098518058E1,3.876763209470E0,-3.425515488527E1)); +#6517=CARTESIAN_POINT('',(1.463981469135E1,3.851127015606E0,-3.433112317099E1)); +#6518=CARTESIAN_POINT('',(1.463705540502E1,3.794332947955E0,-3.448118680195E1)); +#6519=CARTESIAN_POINT('',(1.463129549165E1,3.692568466682E0,-3.470021035134E1)); +#6520=CARTESIAN_POINT('',(1.462361484672E1,3.575956587756E0,-3.490966367157E1)); +#6521=CARTESIAN_POINT('',(1.461363220642E1,3.444921001044E0,-3.510963818622E1)); +#6522=CARTESIAN_POINT('',(1.460068413737E1,3.297540853491E0,-3.530231759692E1)); +#6523=CARTESIAN_POINT('',(1.458451946199E1,3.137790662094E0,-3.548185675522E1)); +#6524=CARTESIAN_POINT('',(1.456565387474E1,2.974761764925E0,-3.564060076009E1)); +#6525=CARTESIAN_POINT('',(1.454454150405E1,2.813741572106E0,-3.577771108788E1)); +#6526=CARTESIAN_POINT('',(1.452190320247E1,2.660106052957E0,-3.589303645359E1)); +#6527=CARTESIAN_POINT('',(1.449858408417E1,2.518016073778E0,-3.598795718220E1)); +#6528=CARTESIAN_POINT('',(1.447505484045E1,2.388241280085E0,-3.606581573877E1)); +#6529=CARTESIAN_POINT('',(1.445158413359E1,2.270329375724E0,-3.612983356346E1)); +#6530=CARTESIAN_POINT('',(1.442824219530E1,2.163041142544E0,-3.618287831452E1)); +#6531=CARTESIAN_POINT('',(1.440516703243E1,2.065710334683E0,-3.622692833540E1)); +#6532=CARTESIAN_POINT('',(1.438241212397E1,1.977430733180E0,-3.626368167645E1)); +#6533=CARTESIAN_POINT('',(1.435990074161E1,1.897013587517E0,-3.629460756497E1)); +#6534=CARTESIAN_POINT('',(1.433745169052E1,1.823185550191E0,-3.632092167630E1)); +#6535=CARTESIAN_POINT('',(1.431466021580E1,1.754319618367E0,-3.634372197151E1)); +#6536=CARTESIAN_POINT('',(1.429110680936E1,1.689294717695E0,-3.636372408835E1)); +#6537=CARTESIAN_POINT('',(1.426722799391E1,1.629494563171E0,-3.638082311535E1)); +#6538=CARTESIAN_POINT('',(1.424366726423E1,1.576240086870E0,-3.639502971824E1)); +#6539=CARTESIAN_POINT('',(1.422047499860E1,1.529145045023E0,-3.640680893556E1)); +#6540=CARTESIAN_POINT('',(1.419741197122E1,1.487370715354E0,-3.641664855171E1)); +#6541=CARTESIAN_POINT('',(1.417413151311E1,1.450181379235E0,-3.642492964339E1)); +#6542=CARTESIAN_POINT('',(1.415057909914E1,1.417553597709E0,-3.643182425036E1)); +#6543=CARTESIAN_POINT('',(1.412701002929E1,1.389831533949E0,-3.643741174711E1)); +#6544=CARTESIAN_POINT('',(1.410356524715E1,1.367056720275E0,-3.644181768298E1)); +#6545=CARTESIAN_POINT('',(1.408814226883E1,1.355159559689E0,-3.644404214413E1)); +#6546=CARTESIAN_POINT('',(1.408049817941E1,1.349999940653E0,-3.644499615793E1)); +#6548=CARTESIAN_POINT('',(1.391950166188E1,1.350000064341E0,-3.644499613709E1)); +#6549=CARTESIAN_POINT('',(1.391144871208E1,1.355435667191E0,-3.644399109365E1)); +#6550=CARTESIAN_POINT('',(1.389544442624E1,1.367877057845E0,-3.644166331849E1)); +#6551=CARTESIAN_POINT('',(1.387152258147E1,1.391405367201E0,-3.643710177795E1)); +#6552=CARTESIAN_POINT('',(1.384824401836E1,1.419117297001E0,-3.643149879869E1)); +#6553=CARTESIAN_POINT('',(1.382573433260E1,1.450461800989E0,-3.642486251064E1)); +#6554=CARTESIAN_POINT('',(1.380351724161E1,1.485855072930E0,-3.641698823413E1)); +#6555=CARTESIAN_POINT('',(1.378117626566E1,1.526029778175E0,-3.640755706358E1)); +#6556=CARTESIAN_POINT('',(1.375849595561E1,1.571660350019E0,-3.639620221654E1)); +#6557=CARTESIAN_POINT('',(1.373539875555E1,1.623311785828E0,-3.638251535226E1)); +#6558=CARTESIAN_POINT('',(1.371186641749E1,1.681537900704E0,-3.636600734275E1)); +#6559=CARTESIAN_POINT('',(1.368836983509E1,1.745618483606E0,-3.634648186135E1)); +#6560=CARTESIAN_POINT('',(1.366561440619E1,1.813585172311E0,-3.632419654264E1)); +#6561=CARTESIAN_POINT('',(1.364331620249E1,1.886069694913E0,-3.629862715774E1)); +#6562=CARTESIAN_POINT('',(1.362098298905E1,1.964889895124E0,-3.626865692922E1)); +#6563=CARTESIAN_POINT('',(1.359841817297E1,2.051326456867E0,-3.623311459469E1)); +#6564=CARTESIAN_POINT('',(1.357551546443E1,2.146637248847E0,-3.619056197304E1)); +#6565=CARTESIAN_POINT('',(1.355230549962E1,2.251804940778E0,-3.613933190426E1)); +#6566=CARTESIAN_POINT('',(1.352892109403E1,2.367510582288E0,-3.607751612806E1)); +#6567=CARTESIAN_POINT('',(1.350541241587E1,2.495076551144E0,-3.600231049775E1)); +#6568=CARTESIAN_POINT('',(1.348198755064E1,2.635334178585E0,-3.591037097598E1)); +#6569=CARTESIAN_POINT('',(1.345904099209E1,2.788191609859E0,-3.579792116094E1)); +#6570=CARTESIAN_POINT('',(1.343738795974E1,2.950225808918E0,-3.566275617697E1)); +#6571=CARTESIAN_POINT('',(1.341784630890E1,3.115988931057E0,-3.550455413805E1)); +#6572=CARTESIAN_POINT('',(1.340093586811E1,3.280292394057E0,-3.532326248796E1)); +#6573=CARTESIAN_POINT('',(1.338734870132E1,3.432821284940E0,-3.512684926195E1)); +#6574=CARTESIAN_POINT('',(1.337694763189E1,3.567912475833E0,-3.492312776666E1)); +#6575=CARTESIAN_POINT('',(1.336898505397E1,3.687858231586E0,-3.470968642178E1)); +#6576=CARTESIAN_POINT('',(1.336304414573E1,3.792325147151E0,-3.448627886933E1)); +#6577=CARTESIAN_POINT('',(1.336021226830E1,3.850536542063E0,-3.433287293397E1)); +#6578=CARTESIAN_POINT('',(1.335901481942E1,3.876763209470E0,-3.425515488527E1)); +#6580=CARTESIAN_POINT('',(1.064098518058E1,3.876763209470E0,-3.425515488527E1)); +#6581=CARTESIAN_POINT('',(1.063981469135E1,3.851127015606E0,-3.433112317099E1)); +#6582=CARTESIAN_POINT('',(1.063705540502E1,3.794332947955E0,-3.448118680195E1)); +#6583=CARTESIAN_POINT('',(1.063129549165E1,3.692568466682E0,-3.470021035134E1)); +#6584=CARTESIAN_POINT('',(1.062361484672E1,3.575956587756E0,-3.490966367157E1)); +#6585=CARTESIAN_POINT('',(1.061363220642E1,3.444921001044E0,-3.510963818622E1)); +#6586=CARTESIAN_POINT('',(1.060068413737E1,3.297540853491E0,-3.530231759692E1)); +#6587=CARTESIAN_POINT('',(1.058451946199E1,3.137790662094E0,-3.548185675522E1)); +#6588=CARTESIAN_POINT('',(1.056565387474E1,2.974761764925E0,-3.564060076009E1)); +#6589=CARTESIAN_POINT('',(1.054454150405E1,2.813741572106E0,-3.577771108788E1)); +#6590=CARTESIAN_POINT('',(1.052190320247E1,2.660106052957E0,-3.589303645359E1)); +#6591=CARTESIAN_POINT('',(1.049858408417E1,2.518016073778E0,-3.598795718220E1)); +#6592=CARTESIAN_POINT('',(1.047505484045E1,2.388241280086E0,-3.606581573877E1)); +#6593=CARTESIAN_POINT('',(1.045158413359E1,2.270329375725E0,-3.612983356346E1)); +#6594=CARTESIAN_POINT('',(1.042824219530E1,2.163041142544E0,-3.618287831452E1)); +#6595=CARTESIAN_POINT('',(1.040516703243E1,2.065710334683E0,-3.622692833540E1)); +#6596=CARTESIAN_POINT('',(1.038241212397E1,1.977430733180E0,-3.626368167645E1)); +#6597=CARTESIAN_POINT('',(1.035990074161E1,1.897013587517E0,-3.629460756497E1)); +#6598=CARTESIAN_POINT('',(1.033745169052E1,1.823185550191E0,-3.632092167630E1)); +#6599=CARTESIAN_POINT('',(1.031466021580E1,1.754319618368E0,-3.634372197151E1)); +#6600=CARTESIAN_POINT('',(1.029110680936E1,1.689294717694E0,-3.636372408835E1)); +#6601=CARTESIAN_POINT('',(1.026722799391E1,1.629494563173E0,-3.638082311535E1)); +#6602=CARTESIAN_POINT('',(1.024366726422E1,1.576240086864E0,-3.639502971824E1)); +#6603=CARTESIAN_POINT('',(1.022047499862E1,1.529145045045E0,-3.640680893556E1)); +#6604=CARTESIAN_POINT('',(1.019741197115E1,1.487370715271E0,-3.641664855172E1)); +#6605=CARTESIAN_POINT('',(1.017413151340E1,1.450181379542E0,-3.642492964334E1)); +#6606=CARTESIAN_POINT('',(1.015057909807E1,1.417553596565E0,-3.643182425054E1)); +#6607=CARTESIAN_POINT('',(1.012701003328E1,1.389831538219E0,-3.643741174643E1)); +#6608=CARTESIAN_POINT('',(1.010356523226E1,1.367056704341E0,-3.644181768553E1)); +#6609=CARTESIAN_POINT('',(1.008814230091E1,1.355159594021E0,-3.644404213865E1)); +#6610=CARTESIAN_POINT('',(1.008049825570E1,1.35E0,-3.644499614792E1)); +#6612=CARTESIAN_POINT('',(9.919501744295E0,1.35E0,-3.644499614792E1)); +#6613=CARTESIAN_POINT('',(9.911448746986E0,1.355435629389E0,-3.644399109969E1)); +#6614=CARTESIAN_POINT('',(9.895444410042E0,1.367877075389E0,-3.644166331568E1)); +#6615=CARTESIAN_POINT('',(9.871522585815E0,1.391405362500E0,-3.643710177870E1)); +#6616=CARTESIAN_POINT('',(9.848244017199E0,1.419117298260E0,-3.643149879849E1)); +#6617=CARTESIAN_POINT('',(9.825734332911E0,1.450461800652E0,-3.642486251069E1)); +#6618=CARTESIAN_POINT('',(9.803517241529E0,1.485855073020E0,-3.641698823412E1)); +#6619=CARTESIAN_POINT('',(9.781176265684E0,1.526029778151E0,-3.640755706359E1)); +#6620=CARTESIAN_POINT('',(9.758495955609E0,1.571660350025E0,-3.639620221654E1)); +#6621=CARTESIAN_POINT('',(9.735398755550E0,1.623311785826E0,-3.638251535226E1)); +#6622=CARTESIAN_POINT('',(9.711866417485E0,1.681537900704E0,-3.636600734275E1)); +#6623=CARTESIAN_POINT('',(9.688369835091E0,1.745618483606E0,-3.634648186135E1)); +#6624=CARTESIAN_POINT('',(9.665614406193E0,1.813585172311E0,-3.632419654264E1)); +#6625=CARTESIAN_POINT('',(9.643316202488E0,1.886069694913E0,-3.629862715774E1)); +#6626=CARTESIAN_POINT('',(9.620982989055E0,1.964889895124E0,-3.626865692922E1)); +#6627=CARTESIAN_POINT('',(9.598418172966E0,2.051326456867E0,-3.623311459469E1)); +#6628=CARTESIAN_POINT('',(9.575515464426E0,2.146637248847E0,-3.619056197304E1)); +#6629=CARTESIAN_POINT('',(9.552305499616E0,2.251804940778E0,-3.613933190426E1)); +#6630=CARTESIAN_POINT('',(9.528921094026E0,2.367510582288E0,-3.607751612806E1)); +#6631=CARTESIAN_POINT('',(9.505412415867E0,2.495076551144E0,-3.600231049775E1)); +#6632=CARTESIAN_POINT('',(9.481987550644E0,2.635334178585E0,-3.591037097598E1)); +#6633=CARTESIAN_POINT('',(9.459040992094E0,2.788191609859E0,-3.579792116094E1)); +#6634=CARTESIAN_POINT('',(9.437387959740E0,2.950225808918E0,-3.566275617697E1)); +#6635=CARTESIAN_POINT('',(9.417846308895E0,3.115988931057E0,-3.550455413805E1)); +#6636=CARTESIAN_POINT('',(9.400935868111E0,3.280292394057E0,-3.532326248796E1)); +#6637=CARTESIAN_POINT('',(9.387348701320E0,3.432821284940E0,-3.512684926195E1)); +#6638=CARTESIAN_POINT('',(9.376947631889E0,3.567912475833E0,-3.492312776666E1)); +#6639=CARTESIAN_POINT('',(9.368985053975E0,3.687858231586E0,-3.470968642178E1)); +#6640=CARTESIAN_POINT('',(9.363044145734E0,3.792325147151E0,-3.448627886933E1)); +#6641=CARTESIAN_POINT('',(9.360212268303E0,3.850536542063E0,-3.433287293397E1)); +#6642=CARTESIAN_POINT('',(9.359014819423E0,3.876763209470E0,-3.425515488527E1)); +#6644=CARTESIAN_POINT('',(6.640985180577E0,3.876763209470E0,-3.425515488527E1)); +#6645=CARTESIAN_POINT('',(6.639814691351E0,3.851127015606E0,-3.433112317099E1)); +#6646=CARTESIAN_POINT('',(6.637055405023E0,3.794332947955E0,-3.448118680195E1)); +#6647=CARTESIAN_POINT('',(6.631295491655E0,3.692568466682E0,-3.470021035134E1)); +#6648=CARTESIAN_POINT('',(6.623614846722E0,3.575956587756E0,-3.490966367157E1)); +#6649=CARTESIAN_POINT('',(6.613632206416E0,3.444921001044E0,-3.510963818622E1)); +#6650=CARTESIAN_POINT('',(6.600684137365E0,3.297540853491E0,-3.530231759692E1)); +#6651=CARTESIAN_POINT('',(6.584519461993E0,3.137790662094E0,-3.548185675522E1)); +#6652=CARTESIAN_POINT('',(6.565653874744E0,2.974761764925E0,-3.564060076009E1)); +#6653=CARTESIAN_POINT('',(6.544541504051E0,2.813741572106E0,-3.577771108788E1)); +#6654=CARTESIAN_POINT('',(6.521903202473E0,2.660106052957E0,-3.589303645359E1)); +#6655=CARTESIAN_POINT('',(6.498584084173E0,2.518016073778E0,-3.598795718220E1)); +#6656=CARTESIAN_POINT('',(6.475054840454E0,2.388241280086E0,-3.606581573877E1)); +#6657=CARTESIAN_POINT('',(6.451584133585E0,2.270329375725E0,-3.612983356346E1)); +#6658=CARTESIAN_POINT('',(6.428242195305E0,2.163041142544E0,-3.618287831452E1)); +#6659=CARTESIAN_POINT('',(6.405167032428E0,2.065710334683E0,-3.622692833540E1)); +#6660=CARTESIAN_POINT('',(6.382412123966E0,1.977430733180E0,-3.626368167645E1)); +#6661=CARTESIAN_POINT('',(6.359900741613E0,1.897013587517E0,-3.629460756497E1)); +#6662=CARTESIAN_POINT('',(6.337451690523E0,1.823185550191E0,-3.632092167630E1)); +#6663=CARTESIAN_POINT('',(6.314660215799E0,1.754319618368E0,-3.634372197151E1)); +#6664=CARTESIAN_POINT('',(6.291106809364E0,1.689294717694E0,-3.636372408835E1)); +#6665=CARTESIAN_POINT('',(6.267227993910E0,1.629494563173E0,-3.638082311535E1)); +#6666=CARTESIAN_POINT('',(6.243667264224E0,1.576240086864E0,-3.639502971824E1)); +#6667=CARTESIAN_POINT('',(6.220474998624E0,1.529145045045E0,-3.640680893556E1)); +#6668=CARTESIAN_POINT('',(6.197411971147E0,1.487370715271E0,-3.641664855172E1)); +#6669=CARTESIAN_POINT('',(6.174131513401E0,1.450181379542E0,-3.642492964334E1)); +#6670=CARTESIAN_POINT('',(6.150579098074E0,1.417553596565E0,-3.643182425054E1)); +#6671=CARTESIAN_POINT('',(6.127010033280E0,1.389831538219E0,-3.643741174643E1)); +#6672=CARTESIAN_POINT('',(6.103565232264E0,1.367056704341E0,-3.644181768553E1)); +#6673=CARTESIAN_POINT('',(6.088142300909E0,1.355159594021E0,-3.644404213865E1)); +#6674=CARTESIAN_POINT('',(6.080498255705E0,1.35E0,-3.644499614792E1)); +#6676=CARTESIAN_POINT('',(5.919501744296E0,1.35E0,-3.644499614792E1)); +#6677=CARTESIAN_POINT('',(5.911448746986E0,1.355435629389E0,-3.644399109969E1)); +#6678=CARTESIAN_POINT('',(5.895444410042E0,1.367877075389E0,-3.644166331568E1)); +#6679=CARTESIAN_POINT('',(5.871522585815E0,1.391405362500E0,-3.643710177870E1)); +#6680=CARTESIAN_POINT('',(5.848244017199E0,1.419117298260E0,-3.643149879849E1)); +#6681=CARTESIAN_POINT('',(5.825734332911E0,1.450461800652E0,-3.642486251069E1)); +#6682=CARTESIAN_POINT('',(5.803517241529E0,1.485855073020E0,-3.641698823412E1)); +#6683=CARTESIAN_POINT('',(5.781176265684E0,1.526029778151E0,-3.640755706359E1)); +#6684=CARTESIAN_POINT('',(5.758495955609E0,1.571660350025E0,-3.639620221654E1)); +#6685=CARTESIAN_POINT('',(5.735398755550E0,1.623311785826E0,-3.638251535226E1)); +#6686=CARTESIAN_POINT('',(5.711866417485E0,1.681537900704E0,-3.636600734275E1)); +#6687=CARTESIAN_POINT('',(5.688369835091E0,1.745618483606E0,-3.634648186135E1)); +#6688=CARTESIAN_POINT('',(5.665614406193E0,1.813585172310E0,-3.632419654264E1)); +#6689=CARTESIAN_POINT('',(5.643316202489E0,1.886069694913E0,-3.629862715774E1)); +#6690=CARTESIAN_POINT('',(5.620982989055E0,1.964889895124E0,-3.626865692922E1)); +#6691=CARTESIAN_POINT('',(5.598418172966E0,2.051326456867E0,-3.623311459469E1)); +#6692=CARTESIAN_POINT('',(5.575515464426E0,2.146637248847E0,-3.619056197304E1)); +#6693=CARTESIAN_POINT('',(5.552305499616E0,2.251804940778E0,-3.613933190426E1)); +#6694=CARTESIAN_POINT('',(5.528921094026E0,2.367510582287E0,-3.607751612806E1)); +#6695=CARTESIAN_POINT('',(5.505412415867E0,2.495076551144E0,-3.600231049775E1)); +#6696=CARTESIAN_POINT('',(5.481987550644E0,2.635334178585E0,-3.591037097598E1)); +#6697=CARTESIAN_POINT('',(5.459040992094E0,2.788191609859E0,-3.579792116094E1)); +#6698=CARTESIAN_POINT('',(5.437387959740E0,2.950225808918E0,-3.566275617697E1)); +#6699=CARTESIAN_POINT('',(5.417846308895E0,3.115988931057E0,-3.550455413805E1)); +#6700=CARTESIAN_POINT('',(5.400935868111E0,3.280292394057E0,-3.532326248796E1)); +#6701=CARTESIAN_POINT('',(5.387348701320E0,3.432821284940E0,-3.512684926195E1)); +#6702=CARTESIAN_POINT('',(5.376947631889E0,3.567912475833E0,-3.492312776666E1)); +#6703=CARTESIAN_POINT('',(5.368985053975E0,3.687858231586E0,-3.470968642178E1)); +#6704=CARTESIAN_POINT('',(5.363044145734E0,3.792325147151E0,-3.448627886933E1)); +#6705=CARTESIAN_POINT('',(5.360212268303E0,3.850536542063E0,-3.433287293397E1)); +#6706=CARTESIAN_POINT('',(5.359014819423E0,3.876763209470E0,-3.425515488527E1)); +#6708=CARTESIAN_POINT('',(2.640985180577E0,3.876763209470E0,-3.425515488527E1)); +#6709=CARTESIAN_POINT('',(2.639814691351E0,3.851127015606E0,-3.433112317099E1)); +#6710=CARTESIAN_POINT('',(2.637055405023E0,3.794332947955E0,-3.448118680195E1)); +#6711=CARTESIAN_POINT('',(2.631295491655E0,3.692568466682E0,-3.470021035134E1)); +#6712=CARTESIAN_POINT('',(2.623614846722E0,3.575956587756E0,-3.490966367157E1)); +#6713=CARTESIAN_POINT('',(2.613632206416E0,3.444921001044E0,-3.510963818622E1)); +#6714=CARTESIAN_POINT('',(2.600684137365E0,3.297540853491E0,-3.530231759692E1)); +#6715=CARTESIAN_POINT('',(2.584519461993E0,3.137790662094E0,-3.548185675522E1)); +#6716=CARTESIAN_POINT('',(2.565653874744E0,2.974761764925E0,-3.564060076009E1)); +#6717=CARTESIAN_POINT('',(2.544541504051E0,2.813741572106E0,-3.577771108788E1)); +#6718=CARTESIAN_POINT('',(2.521903202473E0,2.660106052957E0,-3.589303645359E1)); +#6719=CARTESIAN_POINT('',(2.498584084173E0,2.518016073778E0,-3.598795718220E1)); +#6720=CARTESIAN_POINT('',(2.475054840454E0,2.388241280085E0,-3.606581573877E1)); +#6721=CARTESIAN_POINT('',(2.451584133585E0,2.270329375724E0,-3.612983356346E1)); +#6722=CARTESIAN_POINT('',(2.428242195305E0,2.163041142544E0,-3.618287831452E1)); +#6723=CARTESIAN_POINT('',(2.405167032428E0,2.065710334683E0,-3.622692833540E1)); +#6724=CARTESIAN_POINT('',(2.382412123966E0,1.977430733180E0,-3.626368167645E1)); +#6725=CARTESIAN_POINT('',(2.359900741613E0,1.897013587517E0,-3.629460756497E1)); +#6726=CARTESIAN_POINT('',(2.337451690523E0,1.823185550191E0,-3.632092167630E1)); +#6727=CARTESIAN_POINT('',(2.314660215799E0,1.754319618368E0,-3.634372197151E1)); +#6728=CARTESIAN_POINT('',(2.291106809364E0,1.689294717694E0,-3.636372408835E1)); +#6729=CARTESIAN_POINT('',(2.267227993910E0,1.629494563173E0,-3.638082311535E1)); +#6730=CARTESIAN_POINT('',(2.243667264224E0,1.576240086864E0,-3.639502971824E1)); +#6731=CARTESIAN_POINT('',(2.220474998624E0,1.529145045045E0,-3.640680893556E1)); +#6732=CARTESIAN_POINT('',(2.197411971147E0,1.487370715271E0,-3.641664855172E1)); +#6733=CARTESIAN_POINT('',(2.174131513401E0,1.450181379542E0,-3.642492964334E1)); +#6734=CARTESIAN_POINT('',(2.150579098074E0,1.417553596565E0,-3.643182425054E1)); +#6735=CARTESIAN_POINT('',(2.127010033280E0,1.389831538219E0,-3.643741174643E1)); +#6736=CARTESIAN_POINT('',(2.103565232264E0,1.367056704341E0,-3.644181768553E1)); +#6737=CARTESIAN_POINT('',(2.088142300909E0,1.355159594021E0,-3.644404213865E1)); +#6738=CARTESIAN_POINT('',(2.080498255705E0,1.35E0,-3.644499614792E1)); +#6740=CARTESIAN_POINT('',(1.919501744296E0,1.35E0,-3.644499614792E1)); +#6741=CARTESIAN_POINT('',(1.911448746986E0,1.355435629389E0,-3.644399109969E1)); +#6742=CARTESIAN_POINT('',(1.895444410042E0,1.367877075389E0,-3.644166331568E1)); +#6743=CARTESIAN_POINT('',(1.871522585815E0,1.391405362500E0,-3.643710177870E1)); +#6744=CARTESIAN_POINT('',(1.848244017199E0,1.419117298260E0,-3.643149879849E1)); +#6745=CARTESIAN_POINT('',(1.825734332911E0,1.450461800652E0,-3.642486251069E1)); +#6746=CARTESIAN_POINT('',(1.803517241529E0,1.485855073020E0,-3.641698823412E1)); +#6747=CARTESIAN_POINT('',(1.781176265684E0,1.526029778151E0,-3.640755706359E1)); +#6748=CARTESIAN_POINT('',(1.758495955609E0,1.571660350025E0,-3.639620221654E1)); +#6749=CARTESIAN_POINT('',(1.735398755550E0,1.623311785826E0,-3.638251535226E1)); +#6750=CARTESIAN_POINT('',(1.711866417485E0,1.681537900704E0,-3.636600734275E1)); +#6751=CARTESIAN_POINT('',(1.688369835091E0,1.745618483605E0,-3.634648186135E1)); +#6752=CARTESIAN_POINT('',(1.665614406193E0,1.813585172310E0,-3.632419654264E1)); +#6753=CARTESIAN_POINT('',(1.643316202489E0,1.886069694913E0,-3.629862715774E1)); +#6754=CARTESIAN_POINT('',(1.620982989055E0,1.964889895124E0,-3.626865692922E1)); +#6755=CARTESIAN_POINT('',(1.598418172966E0,2.051326456867E0,-3.623311459469E1)); +#6756=CARTESIAN_POINT('',(1.575515464426E0,2.146637248847E0,-3.619056197304E1)); +#6757=CARTESIAN_POINT('',(1.552305499616E0,2.251804940778E0,-3.613933190426E1)); +#6758=CARTESIAN_POINT('',(1.528921094026E0,2.367510582287E0,-3.607751612806E1)); +#6759=CARTESIAN_POINT('',(1.505412415867E0,2.495076551144E0,-3.600231049775E1)); +#6760=CARTESIAN_POINT('',(1.481987550644E0,2.635334178585E0,-3.591037097598E1)); +#6761=CARTESIAN_POINT('',(1.459040992094E0,2.788191609859E0,-3.579792116094E1)); +#6762=CARTESIAN_POINT('',(1.437387959740E0,2.950225808918E0,-3.566275617697E1)); +#6763=CARTESIAN_POINT('',(1.417846308895E0,3.115988931057E0,-3.550455413805E1)); +#6764=CARTESIAN_POINT('',(1.400935868111E0,3.280292394057E0,-3.532326248796E1)); +#6765=CARTESIAN_POINT('',(1.387348701320E0,3.432821284940E0,-3.512684926195E1)); +#6766=CARTESIAN_POINT('',(1.376947631889E0,3.567912475833E0,-3.492312776666E1)); +#6767=CARTESIAN_POINT('',(1.368985053975E0,3.687858231586E0,-3.470968642178E1)); +#6768=CARTESIAN_POINT('',(1.363044145734E0,3.792325147151E0,-3.448627886933E1)); +#6769=CARTESIAN_POINT('',(1.360212268303E0,3.850536542063E0,-3.433287293397E1)); +#6770=CARTESIAN_POINT('',(1.359014819423E0,3.876763209470E0,-3.425515488527E1)); +#6772=CARTESIAN_POINT('',(-1.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#6773=CARTESIAN_POINT('',(-1.360185308649E0,3.851127015606E0, +-3.433112317099E1)); +#6774=CARTESIAN_POINT('',(-1.362944594977E0,3.794332947955E0, +-3.448118680195E1)); +#6775=CARTESIAN_POINT('',(-1.368704508345E0,3.692568466682E0, +-3.470021035134E1)); +#6776=CARTESIAN_POINT('',(-1.376385153278E0,3.575956587756E0, +-3.490966367157E1)); +#6777=CARTESIAN_POINT('',(-1.386367793584E0,3.444921001044E0, +-3.510963818622E1)); +#6778=CARTESIAN_POINT('',(-1.399315862635E0,3.297540853491E0, +-3.530231759692E1)); +#6779=CARTESIAN_POINT('',(-1.415480538007E0,3.137790662094E0, +-3.548185675522E1)); +#6780=CARTESIAN_POINT('',(-1.434346125256E0,2.974761764925E0, +-3.564060076009E1)); +#6781=CARTESIAN_POINT('',(-1.455458495949E0,2.813741572106E0, +-3.577771108788E1)); +#6782=CARTESIAN_POINT('',(-1.478096797527E0,2.660106052957E0, +-3.589303645359E1)); +#6783=CARTESIAN_POINT('',(-1.501415915827E0,2.518016073778E0, +-3.598795718220E1)); +#6784=CARTESIAN_POINT('',(-1.524945159546E0,2.388241280085E0, +-3.606581573877E1)); +#6785=CARTESIAN_POINT('',(-1.548415866415E0,2.270329375724E0, +-3.612983356346E1)); +#6786=CARTESIAN_POINT('',(-1.571757804695E0,2.163041142544E0, +-3.618287831452E1)); +#6787=CARTESIAN_POINT('',(-1.594832967572E0,2.065710334683E0, +-3.622692833540E1)); +#6788=CARTESIAN_POINT('',(-1.617587876034E0,1.977430733180E0, +-3.626368167645E1)); +#6789=CARTESIAN_POINT('',(-1.640099258387E0,1.897013587517E0, +-3.629460756497E1)); +#6790=CARTESIAN_POINT('',(-1.662548309477E0,1.823185550191E0, +-3.632092167630E1)); +#6791=CARTESIAN_POINT('',(-1.685339784201E0,1.754319618367E0, +-3.634372197151E1)); +#6792=CARTESIAN_POINT('',(-1.708893190636E0,1.689294717694E0, +-3.636372408835E1)); +#6793=CARTESIAN_POINT('',(-1.732772006090E0,1.629494563173E0, +-3.638082311535E1)); +#6794=CARTESIAN_POINT('',(-1.756332735776E0,1.576240086864E0, +-3.639502971824E1)); +#6795=CARTESIAN_POINT('',(-1.779525001376E0,1.529145045045E0, +-3.640680893556E1)); +#6796=CARTESIAN_POINT('',(-1.802588028853E0,1.487370715271E0, +-3.641664855172E1)); +#6797=CARTESIAN_POINT('',(-1.825868486599E0,1.450181379542E0, +-3.642492964334E1)); +#6798=CARTESIAN_POINT('',(-1.849420901926E0,1.417553596565E0, +-3.643182425054E1)); +#6799=CARTESIAN_POINT('',(-1.872989966720E0,1.389831538219E0, +-3.643741174643E1)); +#6800=CARTESIAN_POINT('',(-1.896434767736E0,1.367056704341E0, +-3.644181768553E1)); +#6801=CARTESIAN_POINT('',(-1.911857699091E0,1.355159594021E0, +-3.644404213865E1)); +#6802=CARTESIAN_POINT('',(-1.919501744296E0,1.35E0,-3.644499614792E1)); +#6804=CARTESIAN_POINT('',(-2.080498255704E0,1.35E0,-3.644499614792E1)); +#6805=CARTESIAN_POINT('',(-2.088551253014E0,1.355435629389E0, +-3.644399109969E1)); +#6806=CARTESIAN_POINT('',(-2.104555589958E0,1.367877075389E0, +-3.644166331568E1)); +#6807=CARTESIAN_POINT('',(-2.128477414185E0,1.391405362500E0, +-3.643710177870E1)); +#6808=CARTESIAN_POINT('',(-2.151755982801E0,1.419117298260E0, +-3.643149879849E1)); +#6809=CARTESIAN_POINT('',(-2.174265667089E0,1.450461800652E0, +-3.642486251069E1)); +#6810=CARTESIAN_POINT('',(-2.196482758471E0,1.485855073020E0, +-3.641698823412E1)); +#6811=CARTESIAN_POINT('',(-2.218823734316E0,1.526029778151E0, +-3.640755706359E1)); +#6812=CARTESIAN_POINT('',(-2.241504044391E0,1.571660350025E0, +-3.639620221654E1)); +#6813=CARTESIAN_POINT('',(-2.264601244450E0,1.623311785826E0, +-3.638251535226E1)); +#6814=CARTESIAN_POINT('',(-2.288133582515E0,1.681537900704E0, +-3.636600734275E1)); +#6815=CARTESIAN_POINT('',(-2.311630164909E0,1.745618483606E0, +-3.634648186135E1)); +#6816=CARTESIAN_POINT('',(-2.334385593807E0,1.813585172310E0, +-3.632419654264E1)); +#6817=CARTESIAN_POINT('',(-2.356683797511E0,1.886069694913E0, +-3.629862715774E1)); +#6818=CARTESIAN_POINT('',(-2.379017010945E0,1.964889895124E0, +-3.626865692922E1)); +#6819=CARTESIAN_POINT('',(-2.401581827034E0,2.051326456867E0, +-3.623311459469E1)); +#6820=CARTESIAN_POINT('',(-2.424484535574E0,2.146637248847E0, +-3.619056197304E1)); +#6821=CARTESIAN_POINT('',(-2.447694500384E0,2.251804940778E0, +-3.613933190426E1)); +#6822=CARTESIAN_POINT('',(-2.471078905974E0,2.367510582287E0, +-3.607751612806E1)); +#6823=CARTESIAN_POINT('',(-2.494587584133E0,2.495076551144E0, +-3.600231049775E1)); +#6824=CARTESIAN_POINT('',(-2.518012449356E0,2.635334178585E0, +-3.591037097598E1)); +#6825=CARTESIAN_POINT('',(-2.540959007906E0,2.788191609859E0, +-3.579792116094E1)); +#6826=CARTESIAN_POINT('',(-2.562612040260E0,2.950225808918E0, +-3.566275617697E1)); +#6827=CARTESIAN_POINT('',(-2.582153691105E0,3.115988931057E0, +-3.550455413805E1)); +#6828=CARTESIAN_POINT('',(-2.599064131889E0,3.280292394057E0, +-3.532326248796E1)); +#6829=CARTESIAN_POINT('',(-2.612651298680E0,3.432821284940E0, +-3.512684926195E1)); +#6830=CARTESIAN_POINT('',(-2.623052368111E0,3.567912475833E0, +-3.492312776666E1)); +#6831=CARTESIAN_POINT('',(-2.631014946025E0,3.687858231586E0, +-3.470968642178E1)); +#6832=CARTESIAN_POINT('',(-2.636955854266E0,3.792325147151E0, +-3.448627886933E1)); +#6833=CARTESIAN_POINT('',(-2.639787731697E0,3.850536542063E0, +-3.433287293397E1)); +#6834=CARTESIAN_POINT('',(-2.640985180577E0,3.876763209470E0, +-3.425515488527E1)); +#6836=CARTESIAN_POINT('',(-5.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#6837=CARTESIAN_POINT('',(-5.360185308052E0,3.851127028678E0, +-3.433112313225E1)); +#6838=CARTESIAN_POINT('',(-5.362944592765E0,3.794332992730E0, +-3.448118668786E1)); +#6839=CARTESIAN_POINT('',(-5.368704501903E0,3.692568575236E0, +-3.470021013193E1)); +#6840=CARTESIAN_POINT('',(-5.376385140297E0,3.575956774329E0, +-3.490966335755E1)); +#6841=CARTESIAN_POINT('',(-5.386367770991E0,3.444921281078E0, +-3.510963778570E1)); +#6842=CARTESIAN_POINT('',(-5.399315826374E0,3.297541242266E0, +-3.530231712121E1)); +#6843=CARTESIAN_POINT('',(-5.415480484824E0,3.137791157072E0, +-3.548185623435E1)); +#6844=CARTESIAN_POINT('',(-5.434346054253E0,2.974762344267E0, +-3.564060023042E1)); +#6845=CARTESIAN_POINT('',(-5.455458406753E0,2.813742216160E0, +-3.577771057158E1)); +#6846=CARTESIAN_POINT('',(-5.478096691802E0,2.660106734382E0, +-3.589303597039E1)); +#6847=CARTESIAN_POINT('',(-5.501415796471E0,2.518016766912E0, +-3.598795674303E1)); +#6848=CARTESIAN_POINT('',(-5.524945027974E0,2.388241973710E0, +-3.606581534266E1)); +#6849=CARTESIAN_POINT('',(-5.548415723755E0,2.270330062281E0, +-3.612983320755E1)); +#6850=CARTESIAN_POINT('',(-5.571757651197E0,2.163041819354E0, +-3.618287799424E1)); +#6851=CARTESIAN_POINT('',(-5.594832804598E0,2.065710994792E0, +-3.622692804880E1)); +#6852=CARTESIAN_POINT('',(-5.617587703803E0,1.977431375248E0, +-3.626368141947E1)); +#6853=CARTESIAN_POINT('',(-5.640099076104E0,1.897014213274E0, +-3.629460733323E1)); +#6854=CARTESIAN_POINT('',(-5.662548115276E0,1.823186163554E0, +-3.632092146549E1)); +#6855=CARTESIAN_POINT('',(-5.685339573354E0,1.754320229178E0, +-3.634372177638E1)); +#6856=CARTESIAN_POINT('',(-5.708892959204E0,1.689295327820E0, +-3.636372390734E1)); +#6857=CARTESIAN_POINT('',(-5.732771764111E0,1.629495139794E0, +-3.638082295621E1)); +#6858=CARTESIAN_POINT('',(-5.756332487077E0,1.576240620750E0, +-3.639502958042E1)); +#6859=CARTESIAN_POINT('',(-5.779524743621E0,1.529145540653E0, +-3.640680881533E1)); +#6860=CARTESIAN_POINT('',(-5.802587759378E0,1.487371175315E0, +-3.641664844642E1)); +#6861=CARTESIAN_POINT('',(-5.825868200077E0,1.450181807914E0, +-3.642492955044E1)); +#6862=CARTESIAN_POINT('',(-5.849420600770E0,1.417553982462E0, +-3.643182417109E1)); +#6863=CARTESIAN_POINT('',(-5.872989662497E0,1.389831865495E0, +-3.643741168158E1)); +#6864=CARTESIAN_POINT('',(-5.896434420374E0,1.367057013041E0, +-3.644181762782E1)); +#6865=CARTESIAN_POINT('',(-5.911857435649E0,1.355159768916E0, +-3.644404210431E1)); +#6866=CARTESIAN_POINT('',(-5.919501562437E0,1.350000107829E0, +-3.644499612615E1)); +#6868=CARTESIAN_POINT('',(-6.080498255704E0,1.35E0,-3.644499614792E1)); +#6869=CARTESIAN_POINT('',(-6.088551253014E0,1.355435629389E0, +-3.644399109969E1)); +#6870=CARTESIAN_POINT('',(-6.104555589958E0,1.367877075389E0, +-3.644166331568E1)); +#6871=CARTESIAN_POINT('',(-6.128477414185E0,1.391405362500E0, +-3.643710177870E1)); +#6872=CARTESIAN_POINT('',(-6.151755982801E0,1.419117298260E0, +-3.643149879849E1)); +#6873=CARTESIAN_POINT('',(-6.174265667089E0,1.450461800652E0, +-3.642486251069E1)); +#6874=CARTESIAN_POINT('',(-6.196482758471E0,1.485855073020E0, +-3.641698823412E1)); +#6875=CARTESIAN_POINT('',(-6.218823734316E0,1.526029778151E0, +-3.640755706359E1)); +#6876=CARTESIAN_POINT('',(-6.241504044391E0,1.571660350025E0, +-3.639620221654E1)); +#6877=CARTESIAN_POINT('',(-6.264601244450E0,1.623311785826E0, +-3.638251535226E1)); +#6878=CARTESIAN_POINT('',(-6.288133582515E0,1.681537900704E0, +-3.636600734275E1)); +#6879=CARTESIAN_POINT('',(-6.311630164909E0,1.745618483605E0, +-3.634648186135E1)); +#6880=CARTESIAN_POINT('',(-6.334385593807E0,1.813585172310E0, +-3.632419654264E1)); +#6881=CARTESIAN_POINT('',(-6.356683797511E0,1.886069694913E0, +-3.629862715774E1)); +#6882=CARTESIAN_POINT('',(-6.379017010945E0,1.964889895124E0, +-3.626865692922E1)); +#6883=CARTESIAN_POINT('',(-6.401581827034E0,2.051326456867E0, +-3.623311459469E1)); +#6884=CARTESIAN_POINT('',(-6.424484535574E0,2.146637248847E0, +-3.619056197304E1)); +#6885=CARTESIAN_POINT('',(-6.447694500384E0,2.251804940778E0, +-3.613933190426E1)); +#6886=CARTESIAN_POINT('',(-6.471078905974E0,2.367510582287E0, +-3.607751612806E1)); +#6887=CARTESIAN_POINT('',(-6.494587584133E0,2.495076551144E0, +-3.600231049775E1)); +#6888=CARTESIAN_POINT('',(-6.518012449356E0,2.635334178585E0, +-3.591037097598E1)); +#6889=CARTESIAN_POINT('',(-6.540959007906E0,2.788191609859E0, +-3.579792116094E1)); +#6890=CARTESIAN_POINT('',(-6.562612040260E0,2.950225808918E0, +-3.566275617697E1)); +#6891=CARTESIAN_POINT('',(-6.582153691105E0,3.115988931057E0, +-3.550455413805E1)); +#6892=CARTESIAN_POINT('',(-6.599064131889E0,3.280292394057E0, +-3.532326248796E1)); +#6893=CARTESIAN_POINT('',(-6.612651298680E0,3.432821284940E0, +-3.512684926195E1)); +#6894=CARTESIAN_POINT('',(-6.623052368111E0,3.567912475833E0, +-3.492312776666E1)); +#6895=CARTESIAN_POINT('',(-6.631014946025E0,3.687858231586E0, +-3.470968642178E1)); +#6896=CARTESIAN_POINT('',(-6.636955854266E0,3.792325147151E0, +-3.448627886933E1)); +#6897=CARTESIAN_POINT('',(-6.639787731697E0,3.850536542063E0, +-3.433287293397E1)); +#6898=CARTESIAN_POINT('',(-6.640985180577E0,3.876763209470E0, +-3.425515488527E1)); +#6900=CARTESIAN_POINT('',(-9.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#6901=CARTESIAN_POINT('',(-9.360185308649E0,3.851127015606E0, +-3.433112317099E1)); +#6902=CARTESIAN_POINT('',(-9.362944594977E0,3.794332947955E0, +-3.448118680195E1)); +#6903=CARTESIAN_POINT('',(-9.368704508345E0,3.692568466682E0, +-3.470021035134E1)); +#6904=CARTESIAN_POINT('',(-9.376385153278E0,3.575956587756E0, +-3.490966367157E1)); +#6905=CARTESIAN_POINT('',(-9.386367793584E0,3.444921001044E0, +-3.510963818622E1)); +#6906=CARTESIAN_POINT('',(-9.399315862635E0,3.297540853491E0, +-3.530231759692E1)); +#6907=CARTESIAN_POINT('',(-9.415480538007E0,3.137790662094E0, +-3.548185675522E1)); +#6908=CARTESIAN_POINT('',(-9.434346125256E0,2.974761764925E0, +-3.564060076009E1)); +#6909=CARTESIAN_POINT('',(-9.455458495949E0,2.813741572106E0, +-3.577771108788E1)); +#6910=CARTESIAN_POINT('',(-9.478096797527E0,2.660106052957E0, +-3.589303645359E1)); +#6911=CARTESIAN_POINT('',(-9.501415915827E0,2.518016073778E0, +-3.598795718220E1)); +#6912=CARTESIAN_POINT('',(-9.524945159546E0,2.388241280086E0, +-3.606581573877E1)); +#6913=CARTESIAN_POINT('',(-9.548415866415E0,2.270329375725E0, +-3.612983356346E1)); +#6914=CARTESIAN_POINT('',(-9.571757804695E0,2.163041142544E0, +-3.618287831452E1)); +#6915=CARTESIAN_POINT('',(-9.594832967572E0,2.065710334683E0, +-3.622692833540E1)); +#6916=CARTESIAN_POINT('',(-9.617587876034E0,1.977430733180E0, +-3.626368167645E1)); +#6917=CARTESIAN_POINT('',(-9.640099258387E0,1.897013587517E0, +-3.629460756497E1)); +#6918=CARTESIAN_POINT('',(-9.662548309477E0,1.823185550191E0, +-3.632092167630E1)); +#6919=CARTESIAN_POINT('',(-9.685339784201E0,1.754319618368E0, +-3.634372197151E1)); +#6920=CARTESIAN_POINT('',(-9.708893190636E0,1.689294717695E0, +-3.636372408835E1)); +#6921=CARTESIAN_POINT('',(-9.732772006090E0,1.629494563173E0, +-3.638082311535E1)); +#6922=CARTESIAN_POINT('',(-9.756332735776E0,1.576240086864E0, +-3.639502971824E1)); +#6923=CARTESIAN_POINT('',(-9.779525001376E0,1.529145045045E0, +-3.640680893556E1)); +#6924=CARTESIAN_POINT('',(-9.802588028853E0,1.487370715271E0, +-3.641664855172E1)); +#6925=CARTESIAN_POINT('',(-9.825868486599E0,1.450181379542E0, +-3.642492964334E1)); +#6926=CARTESIAN_POINT('',(-9.849420901926E0,1.417553596565E0, +-3.643182425054E1)); +#6927=CARTESIAN_POINT('',(-9.872989966720E0,1.389831538219E0, +-3.643741174643E1)); +#6928=CARTESIAN_POINT('',(-9.896434767736E0,1.367056704341E0, +-3.644181768553E1)); +#6929=CARTESIAN_POINT('',(-9.911857699091E0,1.355159594021E0, +-3.644404213865E1)); +#6930=CARTESIAN_POINT('',(-9.919501744295E0,1.35E0,-3.644499614792E1)); +#6932=CARTESIAN_POINT('',(-1.008049825570E1,1.35E0,-3.644499614792E1)); +#6933=CARTESIAN_POINT('',(-1.008855125301E1,1.355435629389E0, +-3.644399109969E1)); +#6934=CARTESIAN_POINT('',(-1.010455558996E1,1.367877075389E0, +-3.644166331568E1)); +#6935=CARTESIAN_POINT('',(-1.012847741418E1,1.391405362500E0, +-3.643710177870E1)); +#6936=CARTESIAN_POINT('',(-1.015175598280E1,1.419117298260E0, +-3.643149879849E1)); +#6937=CARTESIAN_POINT('',(-1.017426566709E1,1.450461800652E0, +-3.642486251069E1)); +#6938=CARTESIAN_POINT('',(-1.019648275847E1,1.485855073020E0, +-3.641698823412E1)); +#6939=CARTESIAN_POINT('',(-1.021882373432E1,1.526029778151E0, +-3.640755706359E1)); +#6940=CARTESIAN_POINT('',(-1.024150404439E1,1.571660350025E0, +-3.639620221654E1)); +#6941=CARTESIAN_POINT('',(-1.026460124445E1,1.623311785826E0, +-3.638251535226E1)); +#6942=CARTESIAN_POINT('',(-1.028813358252E1,1.681537900704E0, +-3.636600734275E1)); +#6943=CARTESIAN_POINT('',(-1.031163016491E1,1.745618483606E0, +-3.634648186135E1)); +#6944=CARTESIAN_POINT('',(-1.033438559381E1,1.813585172311E0, +-3.632419654264E1)); +#6945=CARTESIAN_POINT('',(-1.035668379751E1,1.886069694913E0, +-3.629862715774E1)); +#6946=CARTESIAN_POINT('',(-1.037901701095E1,1.964889895124E0, +-3.626865692922E1)); +#6947=CARTESIAN_POINT('',(-1.040158182703E1,2.051326456867E0, +-3.623311459469E1)); +#6948=CARTESIAN_POINT('',(-1.042448453557E1,2.146637248847E0, +-3.619056197304E1)); +#6949=CARTESIAN_POINT('',(-1.044769450038E1,2.251804940778E0, +-3.613933190426E1)); +#6950=CARTESIAN_POINT('',(-1.047107890597E1,2.367510582288E0, +-3.607751612806E1)); +#6951=CARTESIAN_POINT('',(-1.049458758413E1,2.495076551144E0, +-3.600231049775E1)); +#6952=CARTESIAN_POINT('',(-1.051801244936E1,2.635334178585E0, +-3.591037097598E1)); +#6953=CARTESIAN_POINT('',(-1.054095900791E1,2.788191609859E0, +-3.579792116094E1)); +#6954=CARTESIAN_POINT('',(-1.056261204026E1,2.950225808918E0, +-3.566275617697E1)); +#6955=CARTESIAN_POINT('',(-1.058215369110E1,3.115988931057E0, +-3.550455413805E1)); +#6956=CARTESIAN_POINT('',(-1.059906413189E1,3.280292394057E0, +-3.532326248796E1)); +#6957=CARTESIAN_POINT('',(-1.061265129868E1,3.432821284940E0, +-3.512684926195E1)); +#6958=CARTESIAN_POINT('',(-1.062305236811E1,3.567912475833E0, +-3.492312776666E1)); +#6959=CARTESIAN_POINT('',(-1.063101494603E1,3.687858231586E0, +-3.470968642178E1)); +#6960=CARTESIAN_POINT('',(-1.063695585427E1,3.792325147151E0, +-3.448627886933E1)); +#6961=CARTESIAN_POINT('',(-1.063978773170E1,3.850536542063E0, +-3.433287293397E1)); +#6962=CARTESIAN_POINT('',(-1.064098518058E1,3.876763209470E0, +-3.425515488527E1)); +#6964=CARTESIAN_POINT('',(-1.335901481942E1,3.876763209470E0, +-3.425515488527E1)); +#6965=CARTESIAN_POINT('',(-1.336018530865E1,3.851127015606E0, +-3.433112317099E1)); +#6966=CARTESIAN_POINT('',(-1.336294459498E1,3.794332947955E0, +-3.448118680195E1)); +#6967=CARTESIAN_POINT('',(-1.336870450835E1,3.692568466682E0, +-3.470021035134E1)); +#6968=CARTESIAN_POINT('',(-1.337638515328E1,3.575956587756E0, +-3.490966367157E1)); +#6969=CARTESIAN_POINT('',(-1.338636779358E1,3.444921001044E0, +-3.510963818622E1)); +#6970=CARTESIAN_POINT('',(-1.339931586263E1,3.297540853491E0, +-3.530231759692E1)); +#6971=CARTESIAN_POINT('',(-1.341548053801E1,3.137790662094E0, +-3.548185675522E1)); +#6972=CARTESIAN_POINT('',(-1.343434612526E1,2.974761764925E0, +-3.564060076009E1)); +#6973=CARTESIAN_POINT('',(-1.345545849595E1,2.813741572106E0, +-3.577771108788E1)); +#6974=CARTESIAN_POINT('',(-1.347809679753E1,2.660106052957E0, +-3.589303645359E1)); +#6975=CARTESIAN_POINT('',(-1.350141591583E1,2.518016073778E0, +-3.598795718220E1)); +#6976=CARTESIAN_POINT('',(-1.352494515955E1,2.388241280086E0, +-3.606581573877E1)); +#6977=CARTESIAN_POINT('',(-1.354841586641E1,2.270329375725E0, +-3.612983356346E1)); +#6978=CARTESIAN_POINT('',(-1.357175780470E1,2.163041142544E0, +-3.618287831452E1)); +#6979=CARTESIAN_POINT('',(-1.359483296757E1,2.065710334683E0, +-3.622692833540E1)); +#6980=CARTESIAN_POINT('',(-1.361758787603E1,1.977430733180E0, +-3.626368167645E1)); +#6981=CARTESIAN_POINT('',(-1.364009925839E1,1.897013587517E0, +-3.629460756497E1)); +#6982=CARTESIAN_POINT('',(-1.366254830948E1,1.823185550191E0, +-3.632092167630E1)); +#6983=CARTESIAN_POINT('',(-1.368533978420E1,1.754319618368E0, +-3.634372197151E1)); +#6984=CARTESIAN_POINT('',(-1.370889319064E1,1.689294717695E0, +-3.636372408835E1)); +#6985=CARTESIAN_POINT('',(-1.373277200609E1,1.629494563173E0, +-3.638082311535E1)); +#6986=CARTESIAN_POINT('',(-1.375633273578E1,1.576240086864E0, +-3.639502971824E1)); +#6987=CARTESIAN_POINT('',(-1.377952500138E1,1.529145045045E0, +-3.640680893556E1)); +#6988=CARTESIAN_POINT('',(-1.380258802885E1,1.487370715271E0, +-3.641664855172E1)); +#6989=CARTESIAN_POINT('',(-1.382586848660E1,1.450181379542E0, +-3.642492964334E1)); +#6990=CARTESIAN_POINT('',(-1.384942090193E1,1.417553596565E0, +-3.643182425054E1)); +#6991=CARTESIAN_POINT('',(-1.387298996672E1,1.389831538219E0, +-3.643741174643E1)); +#6992=CARTESIAN_POINT('',(-1.389643476774E1,1.367056704341E0, +-3.644181768553E1)); +#6993=CARTESIAN_POINT('',(-1.391185769909E1,1.355159594021E0, +-3.644404213865E1)); +#6994=CARTESIAN_POINT('',(-1.391950174430E1,1.35E0,-3.644499614792E1)); +#6996=CARTESIAN_POINT('',(-1.408049825570E1,1.35E0,-3.644499614792E1)); +#6997=CARTESIAN_POINT('',(-1.408855125301E1,1.355435629389E0, +-3.644399109969E1)); +#6998=CARTESIAN_POINT('',(-1.410455558996E1,1.367877075389E0, +-3.644166331568E1)); +#6999=CARTESIAN_POINT('',(-1.412847741418E1,1.391405362500E0, +-3.643710177870E1)); +#7000=CARTESIAN_POINT('',(-1.415175598280E1,1.419117298260E0, +-3.643149879849E1)); +#7001=CARTESIAN_POINT('',(-1.417426566709E1,1.450461800652E0, +-3.642486251069E1)); +#7002=CARTESIAN_POINT('',(-1.419648275847E1,1.485855073020E0, +-3.641698823412E1)); +#7003=CARTESIAN_POINT('',(-1.421882373432E1,1.526029778151E0, +-3.640755706359E1)); +#7004=CARTESIAN_POINT('',(-1.424150404439E1,1.571660350025E0, +-3.639620221654E1)); +#7005=CARTESIAN_POINT('',(-1.426460124445E1,1.623311785826E0, +-3.638251535226E1)); +#7006=CARTESIAN_POINT('',(-1.428813358252E1,1.681537900704E0, +-3.636600734275E1)); +#7007=CARTESIAN_POINT('',(-1.431163016491E1,1.745618483606E0, +-3.634648186135E1)); +#7008=CARTESIAN_POINT('',(-1.433438559381E1,1.813585172311E0, +-3.632419654264E1)); +#7009=CARTESIAN_POINT('',(-1.435668379751E1,1.886069694913E0, +-3.629862715774E1)); +#7010=CARTESIAN_POINT('',(-1.437901701095E1,1.964889895124E0, +-3.626865692922E1)); +#7011=CARTESIAN_POINT('',(-1.440158182703E1,2.051326456867E0, +-3.623311459469E1)); +#7012=CARTESIAN_POINT('',(-1.442448453557E1,2.146637248847E0, +-3.619056197304E1)); +#7013=CARTESIAN_POINT('',(-1.444769450038E1,2.251804940778E0, +-3.613933190426E1)); +#7014=CARTESIAN_POINT('',(-1.447107890597E1,2.367510582288E0, +-3.607751612806E1)); +#7015=CARTESIAN_POINT('',(-1.449458758413E1,2.495076551144E0, +-3.600231049775E1)); +#7016=CARTESIAN_POINT('',(-1.451801244936E1,2.635334178585E0, +-3.591037097598E1)); +#7017=CARTESIAN_POINT('',(-1.454095900791E1,2.788191609859E0, +-3.579792116094E1)); +#7018=CARTESIAN_POINT('',(-1.456261204026E1,2.950225808918E0, +-3.566275617697E1)); +#7019=CARTESIAN_POINT('',(-1.458215369110E1,3.115988931057E0, +-3.550455413805E1)); +#7020=CARTESIAN_POINT('',(-1.459906413189E1,3.280292394057E0, +-3.532326248796E1)); +#7021=CARTESIAN_POINT('',(-1.461265129868E1,3.432821284940E0, +-3.512684926195E1)); +#7022=CARTESIAN_POINT('',(-1.462305236811E1,3.567912475833E0, +-3.492312776666E1)); +#7023=CARTESIAN_POINT('',(-1.463101494603E1,3.687858231586E0, +-3.470968642178E1)); +#7024=CARTESIAN_POINT('',(-1.463695585427E1,3.792325147151E0, +-3.448627886933E1)); +#7025=CARTESIAN_POINT('',(-1.463978773170E1,3.850536542063E0, +-3.433287293397E1)); +#7026=CARTESIAN_POINT('',(-1.464098518058E1,3.876763209470E0, +-3.425515488527E1)); +#7028=CARTESIAN_POINT('',(-1.735901481942E1,3.876763209470E0, +-3.425515488527E1)); +#7029=CARTESIAN_POINT('',(-1.736018530865E1,3.851127015606E0, +-3.433112317099E1)); +#7030=CARTESIAN_POINT('',(-1.736294459498E1,3.794332947955E0, +-3.448118680195E1)); +#7031=CARTESIAN_POINT('',(-1.736870450835E1,3.692568466682E0, +-3.470021035134E1)); +#7032=CARTESIAN_POINT('',(-1.737638515328E1,3.575956587756E0, +-3.490966367157E1)); +#7033=CARTESIAN_POINT('',(-1.738636779358E1,3.444921001044E0, +-3.510963818622E1)); +#7034=CARTESIAN_POINT('',(-1.739931586263E1,3.297540853491E0, +-3.530231759692E1)); +#7035=CARTESIAN_POINT('',(-1.741548053801E1,3.137790662094E0, +-3.548185675522E1)); +#7036=CARTESIAN_POINT('',(-1.743434612526E1,2.974761764925E0, +-3.564060076009E1)); +#7037=CARTESIAN_POINT('',(-1.745545849595E1,2.813741572106E0, +-3.577771108788E1)); +#7038=CARTESIAN_POINT('',(-1.747809679753E1,2.660106052957E0, +-3.589303645359E1)); +#7039=CARTESIAN_POINT('',(-1.750141591583E1,2.518016073778E0, +-3.598795718220E1)); +#7040=CARTESIAN_POINT('',(-1.752494515955E1,2.388241280086E0, +-3.606581573877E1)); +#7041=CARTESIAN_POINT('',(-1.754841586641E1,2.270329375725E0, +-3.612983356346E1)); +#7042=CARTESIAN_POINT('',(-1.757175780470E1,2.163041142544E0, +-3.618287831452E1)); +#7043=CARTESIAN_POINT('',(-1.759483296757E1,2.065710334683E0, +-3.622692833540E1)); +#7044=CARTESIAN_POINT('',(-1.761758787603E1,1.977430733180E0, +-3.626368167645E1)); +#7045=CARTESIAN_POINT('',(-1.764009925839E1,1.897013587517E0, +-3.629460756497E1)); +#7046=CARTESIAN_POINT('',(-1.766254830948E1,1.823185550191E0, +-3.632092167630E1)); +#7047=CARTESIAN_POINT('',(-1.768533978420E1,1.754319618368E0, +-3.634372197151E1)); +#7048=CARTESIAN_POINT('',(-1.770889319064E1,1.689294717695E0, +-3.636372408835E1)); +#7049=CARTESIAN_POINT('',(-1.773277200609E1,1.629494563173E0, +-3.638082311535E1)); +#7050=CARTESIAN_POINT('',(-1.775633273578E1,1.576240086864E0, +-3.639502971824E1)); +#7051=CARTESIAN_POINT('',(-1.777952500138E1,1.529145045045E0, +-3.640680893556E1)); +#7052=CARTESIAN_POINT('',(-1.780258802885E1,1.487370715271E0, +-3.641664855172E1)); +#7053=CARTESIAN_POINT('',(-1.782586848660E1,1.450181379542E0, +-3.642492964334E1)); +#7054=CARTESIAN_POINT('',(-1.784942090193E1,1.417553596565E0, +-3.643182425054E1)); +#7055=CARTESIAN_POINT('',(-1.787298996672E1,1.389831538219E0, +-3.643741174643E1)); +#7056=CARTESIAN_POINT('',(-1.789643476774E1,1.367056704341E0, +-3.644181768553E1)); +#7057=CARTESIAN_POINT('',(-1.791185769909E1,1.355159594021E0, +-3.644404213865E1)); +#7058=CARTESIAN_POINT('',(-1.791950174430E1,1.35E0,-3.644499614792E1)); +#7060=CARTESIAN_POINT('',(-1.808049825570E1,1.35E0,-3.644499614792E1)); +#7061=CARTESIAN_POINT('',(-1.808855125301E1,1.355435629389E0, +-3.644399109969E1)); +#7062=CARTESIAN_POINT('',(-1.810455558996E1,1.367877075389E0, +-3.644166331568E1)); +#7063=CARTESIAN_POINT('',(-1.812847741418E1,1.391405362500E0, +-3.643710177870E1)); +#7064=CARTESIAN_POINT('',(-1.815175598280E1,1.419117298260E0, +-3.643149879849E1)); +#7065=CARTESIAN_POINT('',(-1.817426566709E1,1.450461800652E0, +-3.642486251069E1)); +#7066=CARTESIAN_POINT('',(-1.819648275847E1,1.485855073020E0, +-3.641698823412E1)); +#7067=CARTESIAN_POINT('',(-1.821882373432E1,1.526029778151E0, +-3.640755706359E1)); +#7068=CARTESIAN_POINT('',(-1.824150404439E1,1.571660350025E0, +-3.639620221654E1)); +#7069=CARTESIAN_POINT('',(-1.826460124445E1,1.623311785826E0, +-3.638251535226E1)); +#7070=CARTESIAN_POINT('',(-1.828813358252E1,1.681537900704E0, +-3.636600734275E1)); +#7071=CARTESIAN_POINT('',(-1.831163016491E1,1.745618483606E0, +-3.634648186135E1)); +#7072=CARTESIAN_POINT('',(-1.833438559381E1,1.813585172311E0, +-3.632419654264E1)); +#7073=CARTESIAN_POINT('',(-1.835668379751E1,1.886069694913E0, +-3.629862715774E1)); +#7074=CARTESIAN_POINT('',(-1.837901701095E1,1.964889895124E0, +-3.626865692922E1)); +#7075=CARTESIAN_POINT('',(-1.840158182703E1,2.051326456867E0, +-3.623311459469E1)); +#7076=CARTESIAN_POINT('',(-1.842448453557E1,2.146637248847E0, +-3.619056197304E1)); +#7077=CARTESIAN_POINT('',(-1.844769450038E1,2.251804940778E0, +-3.613933190426E1)); +#7078=CARTESIAN_POINT('',(-1.847107890597E1,2.367510582288E0, +-3.607751612806E1)); +#7079=CARTESIAN_POINT('',(-1.849458758413E1,2.495076551144E0, +-3.600231049775E1)); +#7080=CARTESIAN_POINT('',(-1.851801244936E1,2.635334178585E0, +-3.591037097598E1)); +#7081=CARTESIAN_POINT('',(-1.854095900791E1,2.788191609859E0, +-3.579792116094E1)); +#7082=CARTESIAN_POINT('',(-1.856261204026E1,2.950225808918E0, +-3.566275617697E1)); +#7083=CARTESIAN_POINT('',(-1.858215369110E1,3.115988931057E0, +-3.550455413805E1)); +#7084=CARTESIAN_POINT('',(-1.859906413189E1,3.280292394057E0, +-3.532326248796E1)); +#7085=CARTESIAN_POINT('',(-1.861265129868E1,3.432821284940E0, +-3.512684926195E1)); +#7086=CARTESIAN_POINT('',(-1.862305236811E1,3.567912475833E0, +-3.492312776666E1)); +#7087=CARTESIAN_POINT('',(-1.863101494603E1,3.687858231586E0, +-3.470968642178E1)); +#7088=CARTESIAN_POINT('',(-1.863695585427E1,3.792325147151E0, +-3.448627886933E1)); +#7089=CARTESIAN_POINT('',(-1.863978773170E1,3.850536542063E0, +-3.433287293397E1)); +#7090=CARTESIAN_POINT('',(-1.864098518058E1,3.876763209470E0, +-3.425515488527E1)); +#7092=CARTESIAN_POINT('',(-2.135901481942E1,3.876763209470E0, +-3.425515488527E1)); +#7093=CARTESIAN_POINT('',(-2.136018530865E1,3.851127015606E0, +-3.433112317099E1)); +#7094=CARTESIAN_POINT('',(-2.136294459498E1,3.794332947955E0, +-3.448118680195E1)); +#7095=CARTESIAN_POINT('',(-2.136870450835E1,3.692568466682E0, +-3.470021035134E1)); +#7096=CARTESIAN_POINT('',(-2.137638515328E1,3.575956587756E0, +-3.490966367157E1)); +#7097=CARTESIAN_POINT('',(-2.138636779358E1,3.444921001044E0, +-3.510963818622E1)); +#7098=CARTESIAN_POINT('',(-2.139931586263E1,3.297540853491E0, +-3.530231759692E1)); +#7099=CARTESIAN_POINT('',(-2.141548053801E1,3.137790662094E0, +-3.548185675522E1)); +#7100=CARTESIAN_POINT('',(-2.143434612526E1,2.974761764925E0, +-3.564060076009E1)); +#7101=CARTESIAN_POINT('',(-2.145545849595E1,2.813741572106E0, +-3.577771108788E1)); +#7102=CARTESIAN_POINT('',(-2.147809679753E1,2.660106052957E0, +-3.589303645359E1)); +#7103=CARTESIAN_POINT('',(-2.150141591583E1,2.518016073778E0, +-3.598795718220E1)); +#7104=CARTESIAN_POINT('',(-2.152494515955E1,2.388241280086E0, +-3.606581573877E1)); +#7105=CARTESIAN_POINT('',(-2.154841586641E1,2.270329375725E0, +-3.612983356346E1)); +#7106=CARTESIAN_POINT('',(-2.157175780470E1,2.163041142544E0, +-3.618287831452E1)); +#7107=CARTESIAN_POINT('',(-2.159483296757E1,2.065710334683E0, +-3.622692833540E1)); +#7108=CARTESIAN_POINT('',(-2.161758787603E1,1.977430733180E0, +-3.626368167645E1)); +#7109=CARTESIAN_POINT('',(-2.164009925839E1,1.897013587517E0, +-3.629460756497E1)); +#7110=CARTESIAN_POINT('',(-2.166254830948E1,1.823185550191E0, +-3.632092167630E1)); +#7111=CARTESIAN_POINT('',(-2.168533978420E1,1.754319618368E0, +-3.634372197151E1)); +#7112=CARTESIAN_POINT('',(-2.170889319064E1,1.689294717695E0, +-3.636372408835E1)); +#7113=CARTESIAN_POINT('',(-2.173277200609E1,1.629494563173E0, +-3.638082311535E1)); +#7114=CARTESIAN_POINT('',(-2.175633273578E1,1.576240086865E0, +-3.639502971824E1)); +#7115=CARTESIAN_POINT('',(-2.177952500138E1,1.529145045045E0, +-3.640680893556E1)); +#7116=CARTESIAN_POINT('',(-2.180258802885E1,1.487370715271E0, +-3.641664855172E1)); +#7117=CARTESIAN_POINT('',(-2.182586848660E1,1.450181379542E0, +-3.642492964334E1)); +#7118=CARTESIAN_POINT('',(-2.184942090193E1,1.417553596565E0, +-3.643182425054E1)); +#7119=CARTESIAN_POINT('',(-2.187298996672E1,1.389831538219E0, +-3.643741174643E1)); +#7120=CARTESIAN_POINT('',(-2.189643476774E1,1.367056704341E0, +-3.644181768553E1)); +#7121=CARTESIAN_POINT('',(-2.191185769909E1,1.355159594021E0, +-3.644404213865E1)); +#7122=CARTESIAN_POINT('',(-2.191950174430E1,1.35E0,-3.644499614792E1)); +#7124=CARTESIAN_POINT('',(-2.208049825570E1,1.35E0,-3.644499614792E1)); +#7125=CARTESIAN_POINT('',(-2.208855125301E1,1.355435629389E0, +-3.644399109969E1)); +#7126=CARTESIAN_POINT('',(-2.210455558996E1,1.367877075389E0, +-3.644166331568E1)); +#7127=CARTESIAN_POINT('',(-2.212847741418E1,1.391405362500E0, +-3.643710177870E1)); +#7128=CARTESIAN_POINT('',(-2.215175598280E1,1.419117298260E0, +-3.643149879849E1)); +#7129=CARTESIAN_POINT('',(-2.217426566709E1,1.450461800652E0, +-3.642486251069E1)); +#7130=CARTESIAN_POINT('',(-2.219648275847E1,1.485855073020E0, +-3.641698823412E1)); +#7131=CARTESIAN_POINT('',(-2.221882373432E1,1.526029778151E0, +-3.640755706359E1)); +#7132=CARTESIAN_POINT('',(-2.224150404439E1,1.571660350025E0, +-3.639620221654E1)); +#7133=CARTESIAN_POINT('',(-2.226460124445E1,1.623311785826E0, +-3.638251535226E1)); +#7134=CARTESIAN_POINT('',(-2.228813358252E1,1.681537900704E0, +-3.636600734275E1)); +#7135=CARTESIAN_POINT('',(-2.231163016491E1,1.745618483606E0, +-3.634648186135E1)); +#7136=CARTESIAN_POINT('',(-2.233438559381E1,1.813585172311E0, +-3.632419654264E1)); +#7137=CARTESIAN_POINT('',(-2.235668379751E1,1.886069694913E0, +-3.629862715774E1)); +#7138=CARTESIAN_POINT('',(-2.237901701095E1,1.964889895124E0, +-3.626865692922E1)); +#7139=CARTESIAN_POINT('',(-2.240158182703E1,2.051326456867E0, +-3.623311459469E1)); +#7140=CARTESIAN_POINT('',(-2.242448453557E1,2.146637248847E0, +-3.619056197304E1)); +#7141=CARTESIAN_POINT('',(-2.244769450038E1,2.251804940778E0, +-3.613933190426E1)); +#7142=CARTESIAN_POINT('',(-2.247107890597E1,2.367510582288E0, +-3.607751612806E1)); +#7143=CARTESIAN_POINT('',(-2.249458758413E1,2.495076551144E0, +-3.600231049775E1)); +#7144=CARTESIAN_POINT('',(-2.251801244936E1,2.635334178585E0, +-3.591037097598E1)); +#7145=CARTESIAN_POINT('',(-2.254095900791E1,2.788191609859E0, +-3.579792116094E1)); +#7146=CARTESIAN_POINT('',(-2.256261204026E1,2.950225808918E0, +-3.566275617697E1)); +#7147=CARTESIAN_POINT('',(-2.258215369110E1,3.115988931057E0, +-3.550455413805E1)); +#7148=CARTESIAN_POINT('',(-2.259906413189E1,3.280292394057E0, +-3.532326248796E1)); +#7149=CARTESIAN_POINT('',(-2.261265129868E1,3.432821284940E0, +-3.512684926195E1)); +#7150=CARTESIAN_POINT('',(-2.262305236811E1,3.567912475833E0, +-3.492312776666E1)); +#7151=CARTESIAN_POINT('',(-2.263101494603E1,3.687858231586E0, +-3.470968642178E1)); +#7152=CARTESIAN_POINT('',(-2.263695585427E1,3.792325147151E0, +-3.448627886933E1)); +#7153=CARTESIAN_POINT('',(-2.263978773170E1,3.850536542063E0, +-3.433287293397E1)); +#7154=CARTESIAN_POINT('',(-2.264098518058E1,3.876763209470E0, +-3.425515488527E1)); +#7156=DIRECTION('',(1.E0,0.E0,0.E0)); +#7157=VECTOR('',#7156,4.94E1); +#7158=CARTESIAN_POINT('',(-2.47E1,7.5E-1,-3.65E1)); +#7159=LINE('',#7158,#7157); +#7160=CARTESIAN_POINT('',(2.2E1,4.E0,-3.315E1)); +#7161=DIRECTION('',(0.E0,0.E0,1.E0)); +#7162=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#7163=AXIS2_PLACEMENT_3D('',#7160,#7161,#7162); +#7165=CARTESIAN_POINT('',(2.260012164638E1,4.249707848397E0,-3.315E1)); +#7166=CARTESIAN_POINT('',(2.258761911208E1,4.279755127711E0,-3.306096024971E1)); +#7167=CARTESIAN_POINT('',(2.256261404348E1,4.328192224127E0,-3.291742555811E1)); +#7168=CARTESIAN_POINT('',(2.252510644058E1,4.384834501295E0,-3.274957627757E1)); +#7169=CARTESIAN_POINT('',(2.248759883768E1,4.431051102353E0,-3.261262162771E1)); +#7170=CARTESIAN_POINT('',(2.243758870048E1,4.482844343698E0,-3.245914159952E1)); +#7171=CARTESIAN_POINT('',(2.237507602898E1,4.533455814871E0,-3.230916353635E1)); +#7172=CARTESIAN_POINT('',(2.230006082319E1,4.578646523472E0,-3.217524893572E1)); +#7173=CARTESIAN_POINT('',(2.222504561739E1,4.611536979772E0,-3.207778393779E1)); +#7174=CARTESIAN_POINT('',(2.212502534299E1,4.641484785470E0,-3.198903895981E1)); +#7175=CARTESIAN_POINT('',(2.2E1,4.655676809687E0,-3.194698342862E1)); +#7176=CARTESIAN_POINT('',(2.187497465701E1,4.641484785470E0,-3.198903895981E1)); +#7177=CARTESIAN_POINT('',(2.177495438261E1,4.611536979772E0,-3.207778393779E1)); +#7178=CARTESIAN_POINT('',(2.169993917681E1,4.578646523472E0,-3.217524893572E1)); +#7179=CARTESIAN_POINT('',(2.162492397102E1,4.533455814871E0,-3.230916353635E1)); +#7180=CARTESIAN_POINT('',(2.156241129952E1,4.482844343698E0,-3.245914159952E1)); +#7181=CARTESIAN_POINT('',(2.151240116232E1,4.431051102353E0,-3.261262162771E1)); +#7182=CARTESIAN_POINT('',(2.147489355942E1,4.384834501295E0,-3.274957627757E1)); +#7183=CARTESIAN_POINT('',(2.143738595652E1,4.328192224127E0,-3.291742555811E1)); +#7184=CARTESIAN_POINT('',(2.141238088792E1,4.279755127711E0,-3.306096024971E1)); +#7185=CARTESIAN_POINT('',(2.139987835362E1,4.249707848397E0,-3.315E1)); +#7187=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7188=VECTOR('',#7187,7.525E0); +#7189=CARTESIAN_POINT('',(2.2E1,3.35E0,-1.2475E1)); +#7190=LINE('',#7189,#7188); +#7191=CARTESIAN_POINT('',(2.260012164638E1,4.249707848397E0,-3.315E1)); +#7192=CARTESIAN_POINT('',(2.260767467777E1,4.231555685116E0,-3.320379069662E1)); +#7193=CARTESIAN_POINT('',(2.262010237556E1,4.194078221433E0,-3.331484847237E1)); +#7194=CARTESIAN_POINT('',(2.263218434419E1,4.133942124225E0,-3.349305106512E1)); +#7195=CARTESIAN_POINT('',(2.263903704922E1,4.071329855963E0,-3.367859134892E1)); +#7196=CARTESIAN_POINT('',(2.264227446857E1,4.006985384941E0,-3.386926470648E1)); +#7197=CARTESIAN_POINT('',(2.264298275701E1,3.941731931639E0,-3.406263167083E1)); +#7198=CARTESIAN_POINT('',(2.264197445888E1,3.898430500192E0,-3.419094773575E1)); +#7199=CARTESIAN_POINT('',(2.264098518058E1,3.876763209470E0,-3.425515488527E1)); +#7201=DIRECTION('',(1.E0,0.E0,2.070532166328E-14)); +#7202=VECTOR('',#7201,2.059014819423E0); +#7203=CARTESIAN_POINT('',(2.264098518058E1,3.876763209470E0,-3.425515488527E1)); +#7204=LINE('',#7203,#7202); +#7205=CARTESIAN_POINT('',(2.32E1,7.E0,-2.5E1)); +#7206=CARTESIAN_POINT('',(2.326449223335E1,7.E0,-2.5E1)); +#7207=CARTESIAN_POINT('',(2.336998119747E1,6.992487456263E0,-2.502226208275E1)); +#7208=CARTESIAN_POINT('',(2.349836414934E1,6.971674127052E0,-2.508393866971E1)); +#7209=CARTESIAN_POINT('',(2.360779762418E1,6.944801818065E0,-2.516356996202E1)); +#7210=CARTESIAN_POINT('',(2.373643373372E1,6.903448435964E0,-2.528611333034E1)); +#7211=CARTESIAN_POINT('',(2.387300394929E1,6.844010937399E0,-2.546224575068E1)); +#7212=CARTESIAN_POINT('',(2.401153490028E1,6.764579373522E0,-2.569762701562E1)); +#7213=CARTESIAN_POINT('',(2.413091642079E1,6.679024895970E0,-2.595115244259E1)); +#7214=CARTESIAN_POINT('',(2.426956319918E1,6.558388370179E0,-2.630863725912E1)); +#7215=CARTESIAN_POINT('',(2.441053929699E1,6.397254633054E0,-2.678612833467E1)); +#7216=CARTESIAN_POINT('',(2.453859414098E1,6.190747406760E0,-2.739807564846E1)); +#7217=CARTESIAN_POINT('',(2.463008395517E1,5.972548735066E0,-2.804466847434E1)); +#7218=CARTESIAN_POINT('',(2.468651118845E1,5.743139016844E0,-2.872448323599E1)); +#7219=CARTESIAN_POINT('',(2.47E1,5.582483994039E0,-2.920055572709E1)); +#7220=CARTESIAN_POINT('',(2.47E1,5.5E0,-2.944498232411E1)); +#7222=CARTESIAN_POINT('',(-2.47E1,5.5E0,-2.944498232411E1)); +#7223=CARTESIAN_POINT('',(-2.47E1,5.582483994039E0,-2.920055572709E1)); +#7224=CARTESIAN_POINT('',(-2.468651118845E1,5.743139016844E0, +-2.872448323599E1)); +#7225=CARTESIAN_POINT('',(-2.463008395517E1,5.972548735066E0, +-2.804466847434E1)); +#7226=CARTESIAN_POINT('',(-2.453859414098E1,6.190747406760E0, +-2.739807564846E1)); +#7227=CARTESIAN_POINT('',(-2.441053929699E1,6.397254633054E0, +-2.678612833467E1)); +#7228=CARTESIAN_POINT('',(-2.426956319918E1,6.558388370179E0, +-2.630863725912E1)); +#7229=CARTESIAN_POINT('',(-2.413091642079E1,6.679024895970E0, +-2.595115244259E1)); +#7230=CARTESIAN_POINT('',(-2.401153490028E1,6.764579373522E0, +-2.569762701562E1)); +#7231=CARTESIAN_POINT('',(-2.387300394929E1,6.844010937399E0, +-2.546224575068E1)); +#7232=CARTESIAN_POINT('',(-2.373643373372E1,6.903448435964E0, +-2.528611333034E1)); +#7233=CARTESIAN_POINT('',(-2.360779762418E1,6.944801818065E0, +-2.516356996202E1)); +#7234=CARTESIAN_POINT('',(-2.349836414934E1,6.971674127052E0, +-2.508393866971E1)); +#7235=CARTESIAN_POINT('',(-2.336998119747E1,6.992487456263E0, +-2.502226208275E1)); +#7236=CARTESIAN_POINT('',(-2.326449223335E1,7.E0,-2.5E1)); +#7237=CARTESIAN_POINT('',(-2.32E1,7.E0,-2.5E1)); +#7239=DIRECTION('',(1.E0,0.E0,-2.070532166328E-14)); +#7240=VECTOR('',#7239,2.059014819423E0); +#7241=CARTESIAN_POINT('',(-2.47E1,3.876763209470E0,-3.425515488527E1)); +#7242=LINE('',#7241,#7240); +#7243=CARTESIAN_POINT('',(-2.264098518058E1,3.876763209470E0, +-3.425515488527E1)); +#7244=CARTESIAN_POINT('',(-2.264197458489E1,3.898433260023E0, +-3.419093955749E1)); +#7245=CARTESIAN_POINT('',(-2.264298409753E1,3.941763413913E0, +-3.406253837873E1)); +#7246=CARTESIAN_POINT('',(-2.264227142300E1,4.007167258605E0, +-3.386872575634E1)); +#7247=CARTESIAN_POINT('',(-2.263901479196E1,4.071656489940E0, +-3.367762342742E1)); +#7248=CARTESIAN_POINT('',(-2.263212575575E1,4.134346074682E0, +-3.349185403003E1)); +#7249=CARTESIAN_POINT('',(-2.262000507749E1,4.194428211800E0, +-3.331381133837E1)); +#7250=CARTESIAN_POINT('',(-2.260761639464E1,4.231695756677E0, +-3.320337561955E1)); +#7251=CARTESIAN_POINT('',(-2.260012164638E1,4.249707848397E0,-3.315E1)); +#7253=CARTESIAN_POINT('',(-2.139987835362E1,4.249707848397E0,-3.315E1)); +#7254=CARTESIAN_POINT('',(-2.139232532223E1,4.231555685116E0, +-3.320379069662E1)); +#7255=CARTESIAN_POINT('',(-2.137989762444E1,4.194078221433E0, +-3.331484847237E1)); +#7256=CARTESIAN_POINT('',(-2.136781565581E1,4.133942124225E0, +-3.349305106512E1)); +#7257=CARTESIAN_POINT('',(-2.136096295078E1,4.071329855963E0, +-3.367859134892E1)); +#7258=CARTESIAN_POINT('',(-2.135772553143E1,4.006985384941E0, +-3.386926470648E1)); +#7259=CARTESIAN_POINT('',(-2.135701724299E1,3.941731931639E0, +-3.406263167083E1)); +#7260=CARTESIAN_POINT('',(-2.135802554112E1,3.898430500192E0, +-3.419094773575E1)); +#7261=CARTESIAN_POINT('',(-2.135901481942E1,3.876763209470E0, +-3.425515488527E1)); +#7263=DIRECTION('',(1.E0,0.E0,0.E0)); +#7264=VECTOR('',#7263,2.718029638846E0); +#7265=CARTESIAN_POINT('',(-2.135901481942E1,3.876763209470E0, +-3.425515488527E1)); +#7266=LINE('',#7265,#7264); +#7267=CARTESIAN_POINT('',(-1.864098518058E1,3.876763209470E0, +-3.425515488527E1)); +#7268=CARTESIAN_POINT('',(-1.864197458489E1,3.898433260023E0, +-3.419093955749E1)); +#7269=CARTESIAN_POINT('',(-1.864298409753E1,3.941763413913E0, +-3.406253837873E1)); +#7270=CARTESIAN_POINT('',(-1.864227142300E1,4.007167258605E0, +-3.386872575634E1)); +#7271=CARTESIAN_POINT('',(-1.863901479196E1,4.071656489940E0, +-3.367762342742E1)); +#7272=CARTESIAN_POINT('',(-1.863212575575E1,4.134346074682E0, +-3.349185403003E1)); +#7273=CARTESIAN_POINT('',(-1.862000507749E1,4.194428211800E0, +-3.331381133837E1)); +#7274=CARTESIAN_POINT('',(-1.860761639464E1,4.231695756677E0, +-3.320337561955E1)); +#7275=CARTESIAN_POINT('',(-1.860012164638E1,4.249707848397E0,-3.315E1)); +#7277=CARTESIAN_POINT('',(-1.739987835362E1,4.249707848397E0,-3.315E1)); +#7278=CARTESIAN_POINT('',(-1.739232532223E1,4.231555685116E0, +-3.320379069662E1)); +#7279=CARTESIAN_POINT('',(-1.737989762444E1,4.194078221433E0, +-3.331484847237E1)); +#7280=CARTESIAN_POINT('',(-1.736781565581E1,4.133942124225E0, +-3.349305106512E1)); +#7281=CARTESIAN_POINT('',(-1.736096295078E1,4.071329855963E0, +-3.367859134892E1)); +#7282=CARTESIAN_POINT('',(-1.735772553143E1,4.006985384941E0, +-3.386926470648E1)); +#7283=CARTESIAN_POINT('',(-1.735701724299E1,3.941731931639E0, +-3.406263167083E1)); +#7284=CARTESIAN_POINT('',(-1.735802554112E1,3.898430500192E0, +-3.419094773575E1)); +#7285=CARTESIAN_POINT('',(-1.735901481942E1,3.876763209470E0, +-3.425515488527E1)); +#7287=DIRECTION('',(1.E0,0.E0,0.E0)); +#7288=VECTOR('',#7287,2.718029638846E0); +#7289=CARTESIAN_POINT('',(-1.735901481942E1,3.876763209470E0, +-3.425515488527E1)); +#7290=LINE('',#7289,#7288); +#7291=CARTESIAN_POINT('',(-1.464098518058E1,3.876763209470E0, +-3.425515488527E1)); +#7292=CARTESIAN_POINT('',(-1.464197458489E1,3.898433260023E0, +-3.419093955749E1)); +#7293=CARTESIAN_POINT('',(-1.464298409753E1,3.941763413913E0, +-3.406253837873E1)); +#7294=CARTESIAN_POINT('',(-1.464227142300E1,4.007167258605E0, +-3.386872575634E1)); +#7295=CARTESIAN_POINT('',(-1.463901479196E1,4.071656489940E0, +-3.367762342742E1)); +#7296=CARTESIAN_POINT('',(-1.463212575575E1,4.134346074682E0, +-3.349185403003E1)); +#7297=CARTESIAN_POINT('',(-1.462000507749E1,4.194428211800E0, +-3.331381133837E1)); +#7298=CARTESIAN_POINT('',(-1.460761639464E1,4.231695756677E0, +-3.320337561955E1)); +#7299=CARTESIAN_POINT('',(-1.460012164638E1,4.249707848397E0,-3.315E1)); +#7301=CARTESIAN_POINT('',(-1.339987834105E1,4.249707819627E0, +-3.315000008159E1)); +#7302=CARTESIAN_POINT('',(-1.339232530733E1,4.231555646807E0, +-3.320379080648E1)); +#7303=CARTESIAN_POINT('',(-1.337989761111E1,4.194078168488E0, +-3.331484863096E1)); +#7304=CARTESIAN_POINT('',(-1.336781565059E1,4.133942086130E0, +-3.349305117755E1)); +#7305=CARTESIAN_POINT('',(-1.336096294855E1,4.071329824800E0, +-3.367859144139E1)); +#7306=CARTESIAN_POINT('',(-1.335772553099E1,4.006985364148E0, +-3.386926476807E1)); +#7307=CARTESIAN_POINT('',(-1.335701724315E1,3.941731921129E0, +-3.406263170198E1)); +#7308=CARTESIAN_POINT('',(-1.335802554128E1,3.898430496676E0, +-3.419094774617E1)); +#7309=CARTESIAN_POINT('',(-1.335901481942E1,3.876763209470E0, +-3.425515488527E1)); +#7311=DIRECTION('',(1.E0,0.E0,-1.045673270968E-14)); +#7312=VECTOR('',#7311,2.718029638846E0); +#7313=CARTESIAN_POINT('',(-1.335901481942E1,3.876763209470E0, +-3.425515488527E1)); +#7314=LINE('',#7313,#7312); +#7315=CARTESIAN_POINT('',(-1.064098518058E1,3.876763209470E0, +-3.425515488527E1)); +#7316=CARTESIAN_POINT('',(-1.064197458489E1,3.898433260023E0, +-3.419093955749E1)); +#7317=CARTESIAN_POINT('',(-1.064298409753E1,3.941763413913E0, +-3.406253837873E1)); +#7318=CARTESIAN_POINT('',(-1.064227142300E1,4.007167258605E0, +-3.386872575634E1)); +#7319=CARTESIAN_POINT('',(-1.063901479196E1,4.071656489940E0, +-3.367762342742E1)); +#7320=CARTESIAN_POINT('',(-1.063212575575E1,4.134346074682E0, +-3.349185403003E1)); +#7321=CARTESIAN_POINT('',(-1.062000507749E1,4.194428211800E0, +-3.331381133837E1)); +#7322=CARTESIAN_POINT('',(-1.060761639464E1,4.231695756677E0, +-3.320337561955E1)); +#7323=CARTESIAN_POINT('',(-1.060012164638E1,4.249707848397E0,-3.315E1)); +#7325=CARTESIAN_POINT('',(-9.399878353624E0,4.249707848397E0,-3.315E1)); +#7326=CARTESIAN_POINT('',(-9.392325322228E0,4.231555685116E0, +-3.320379069662E1)); +#7327=CARTESIAN_POINT('',(-9.379897624440E0,4.194078221433E0, +-3.331484847237E1)); +#7328=CARTESIAN_POINT('',(-9.367815655808E0,4.133942124225E0, +-3.349305106512E1)); +#7329=CARTESIAN_POINT('',(-9.360962950780E0,4.071329855963E0, +-3.367859134892E1)); +#7330=CARTESIAN_POINT('',(-9.357725531428E0,4.006985384941E0, +-3.386926470648E1)); +#7331=CARTESIAN_POINT('',(-9.357017242985E0,3.941731931639E0, +-3.406263167083E1)); +#7332=CARTESIAN_POINT('',(-9.358025541121E0,3.898430500192E0, +-3.419094773575E1)); +#7333=CARTESIAN_POINT('',(-9.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#7335=DIRECTION('',(1.E0,0.E0,0.E0)); +#7336=VECTOR('',#7335,2.718029638846E0); +#7337=CARTESIAN_POINT('',(-9.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#7338=LINE('',#7337,#7336); +#7339=CARTESIAN_POINT('',(-6.640985180577E0,3.876763209470E0, +-3.425515488527E1)); +#7340=CARTESIAN_POINT('',(-6.641974584887E0,3.898433260023E0, +-3.419093955749E1)); +#7341=CARTESIAN_POINT('',(-6.642984097525E0,3.941763413913E0, +-3.406253837873E1)); +#7342=CARTESIAN_POINT('',(-6.642271423E0,4.007167258605E0,-3.386872575634E1)); +#7343=CARTESIAN_POINT('',(-6.639014791959E0,4.071656489940E0, +-3.367762342742E1)); +#7344=CARTESIAN_POINT('',(-6.632125755749E0,4.134346074682E0, +-3.349185403003E1)); +#7345=CARTESIAN_POINT('',(-6.620005077487E0,4.194428211800E0, +-3.331381133837E1)); +#7346=CARTESIAN_POINT('',(-6.607616394642E0,4.231695756677E0, +-3.320337561955E1)); +#7347=CARTESIAN_POINT('',(-6.600121646376E0,4.249707848397E0,-3.315E1)); +#7349=CARTESIAN_POINT('',(-5.399878353624E0,4.249707848397E0,-3.315E1)); +#7350=CARTESIAN_POINT('',(-5.392325322228E0,4.231555685116E0, +-3.320379069662E1)); +#7351=CARTESIAN_POINT('',(-5.379897624440E0,4.194078221433E0, +-3.331484847237E1)); +#7352=CARTESIAN_POINT('',(-5.367815655808E0,4.133942124225E0, +-3.349305106512E1)); +#7353=CARTESIAN_POINT('',(-5.360962950780E0,4.071329855963E0, +-3.367859134892E1)); +#7354=CARTESIAN_POINT('',(-5.357725531428E0,4.006985384941E0, +-3.386926470648E1)); +#7355=CARTESIAN_POINT('',(-5.357017242985E0,3.941731931639E0, +-3.406263167083E1)); +#7356=CARTESIAN_POINT('',(-5.358025541121E0,3.898430500192E0, +-3.419094773575E1)); +#7357=CARTESIAN_POINT('',(-5.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#7359=DIRECTION('',(1.E0,0.E0,0.E0)); +#7360=VECTOR('',#7359,2.718029638846E0); +#7361=CARTESIAN_POINT('',(-5.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#7362=LINE('',#7361,#7360); +#7363=CARTESIAN_POINT('',(-2.640985180577E0,3.876763209470E0, +-3.425515488527E1)); +#7364=CARTESIAN_POINT('',(-2.641974584887E0,3.898433260023E0, +-3.419093955749E1)); +#7365=CARTESIAN_POINT('',(-2.642984097525E0,3.941763413913E0, +-3.406253837873E1)); +#7366=CARTESIAN_POINT('',(-2.642271423E0,4.007167258605E0,-3.386872575634E1)); +#7367=CARTESIAN_POINT('',(-2.639014791959E0,4.071656489940E0, +-3.367762342742E1)); +#7368=CARTESIAN_POINT('',(-2.632125755749E0,4.134346074682E0, +-3.349185403003E1)); +#7369=CARTESIAN_POINT('',(-2.620005077487E0,4.194428211800E0, +-3.331381133837E1)); +#7370=CARTESIAN_POINT('',(-2.607616394642E0,4.231695756677E0, +-3.320337561955E1)); +#7371=CARTESIAN_POINT('',(-2.600121646376E0,4.249707848397E0,-3.315E1)); +#7373=CARTESIAN_POINT('',(-1.399878353624E0,4.249707848397E0,-3.315E1)); +#7374=CARTESIAN_POINT('',(-1.392325322228E0,4.231555685116E0, +-3.320379069662E1)); +#7375=CARTESIAN_POINT('',(-1.379897624440E0,4.194078221433E0, +-3.331484847237E1)); +#7376=CARTESIAN_POINT('',(-1.367815655808E0,4.133942124225E0, +-3.349305106512E1)); +#7377=CARTESIAN_POINT('',(-1.360962950780E0,4.071329855963E0, +-3.367859134892E1)); +#7378=CARTESIAN_POINT('',(-1.357725531428E0,4.006985384941E0, +-3.386926470648E1)); +#7379=CARTESIAN_POINT('',(-1.357017242985E0,3.941731931639E0, +-3.406263167083E1)); +#7380=CARTESIAN_POINT('',(-1.358025541121E0,3.898430500192E0, +-3.419094773575E1)); +#7381=CARTESIAN_POINT('',(-1.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#7383=DIRECTION('',(1.E0,0.E0,0.E0)); +#7384=VECTOR('',#7383,2.718029638846E0); +#7385=CARTESIAN_POINT('',(-1.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#7386=LINE('',#7385,#7384); +#7387=CARTESIAN_POINT('',(1.359014819423E0,3.876763209470E0,-3.425515488527E1)); +#7388=CARTESIAN_POINT('',(1.358025415113E0,3.898433260023E0,-3.419093955749E1)); +#7389=CARTESIAN_POINT('',(1.357015902475E0,3.941763413913E0,-3.406253837873E1)); +#7390=CARTESIAN_POINT('',(1.357728577E0,4.007167258605E0,-3.386872575634E1)); +#7391=CARTESIAN_POINT('',(1.360985208041E0,4.071656489940E0,-3.367762342742E1)); +#7392=CARTESIAN_POINT('',(1.367874244251E0,4.134346074682E0,-3.349185403003E1)); +#7393=CARTESIAN_POINT('',(1.379994922513E0,4.194428211800E0,-3.331381133837E1)); +#7394=CARTESIAN_POINT('',(1.392383605358E0,4.231695756677E0,-3.320337561955E1)); +#7395=CARTESIAN_POINT('',(1.399878353624E0,4.249707848397E0,-3.315E1)); +#7397=CARTESIAN_POINT('',(2.600121646376E0,4.249707848397E0,-3.315E1)); +#7398=CARTESIAN_POINT('',(2.607674677772E0,4.231555685116E0,-3.320379069662E1)); +#7399=CARTESIAN_POINT('',(2.620102375560E0,4.194078221433E0,-3.331484847237E1)); +#7400=CARTESIAN_POINT('',(2.632184344192E0,4.133942124225E0,-3.349305106512E1)); +#7401=CARTESIAN_POINT('',(2.639037049220E0,4.071329855963E0,-3.367859134892E1)); +#7402=CARTESIAN_POINT('',(2.642274468572E0,4.006985384941E0,-3.386926470648E1)); +#7403=CARTESIAN_POINT('',(2.642982757015E0,3.941731931639E0,-3.406263167083E1)); +#7404=CARTESIAN_POINT('',(2.641974458879E0,3.898430500192E0,-3.419094773575E1)); +#7405=CARTESIAN_POINT('',(2.640985180577E0,3.876763209470E0,-3.425515488527E1)); +#7407=DIRECTION('',(1.E0,0.E0,-1.045673270968E-14)); +#7408=VECTOR('',#7407,2.718029638846E0); +#7409=CARTESIAN_POINT('',(2.640985180577E0,3.876763209470E0,-3.425515488527E1)); +#7410=LINE('',#7409,#7408); +#7411=CARTESIAN_POINT('',(5.359014819423E0,3.876763209470E0,-3.425515488527E1)); +#7412=CARTESIAN_POINT('',(5.358025415113E0,3.898433260023E0,-3.419093955749E1)); +#7413=CARTESIAN_POINT('',(5.357015902475E0,3.941763413913E0,-3.406253837873E1)); +#7414=CARTESIAN_POINT('',(5.357728577E0,4.007167258605E0,-3.386872575634E1)); +#7415=CARTESIAN_POINT('',(5.360985208041E0,4.071656489940E0,-3.367762342742E1)); +#7416=CARTESIAN_POINT('',(5.367874244251E0,4.134346074682E0,-3.349185403003E1)); +#7417=CARTESIAN_POINT('',(5.379994922513E0,4.194428211800E0,-3.331381133837E1)); +#7418=CARTESIAN_POINT('',(5.392383605358E0,4.231695756677E0,-3.320337561955E1)); +#7419=CARTESIAN_POINT('',(5.399878353624E0,4.249707848397E0,-3.315E1)); +#7421=CARTESIAN_POINT('',(6.600121646376E0,4.249707848397E0,-3.315E1)); +#7422=CARTESIAN_POINT('',(6.607674677772E0,4.231555685116E0,-3.320379069662E1)); +#7423=CARTESIAN_POINT('',(6.620102375560E0,4.194078221433E0,-3.331484847237E1)); +#7424=CARTESIAN_POINT('',(6.632184344192E0,4.133942124225E0,-3.349305106512E1)); +#7425=CARTESIAN_POINT('',(6.639037049220E0,4.071329855963E0,-3.367859134892E1)); +#7426=CARTESIAN_POINT('',(6.642274468572E0,4.006985384941E0,-3.386926470648E1)); +#7427=CARTESIAN_POINT('',(6.642982757015E0,3.941731931639E0,-3.406263167083E1)); +#7428=CARTESIAN_POINT('',(6.641974458879E0,3.898430500192E0,-3.419094773575E1)); +#7429=CARTESIAN_POINT('',(6.640985180577E0,3.876763209470E0,-3.425515488527E1)); +#7431=DIRECTION('',(1.E0,0.E0,0.E0)); +#7432=VECTOR('',#7431,2.718029638846E0); +#7433=CARTESIAN_POINT('',(6.640985180577E0,3.876763209470E0,-3.425515488527E1)); +#7434=LINE('',#7433,#7432); +#7435=CARTESIAN_POINT('',(9.359014819423E0,3.876763209470E0,-3.425515488527E1)); +#7436=CARTESIAN_POINT('',(9.358025415113E0,3.898433260023E0,-3.419093955749E1)); +#7437=CARTESIAN_POINT('',(9.357015902475E0,3.941763413913E0,-3.406253837873E1)); +#7438=CARTESIAN_POINT('',(9.357728577E0,4.007167258605E0,-3.386872575634E1)); +#7439=CARTESIAN_POINT('',(9.360985208041E0,4.071656489940E0,-3.367762342742E1)); +#7440=CARTESIAN_POINT('',(9.367874244251E0,4.134346074682E0,-3.349185403003E1)); +#7441=CARTESIAN_POINT('',(9.379994922513E0,4.194428211800E0,-3.331381133837E1)); +#7442=CARTESIAN_POINT('',(9.392383605358E0,4.231695756677E0,-3.320337561955E1)); +#7443=CARTESIAN_POINT('',(9.399878353624E0,4.249707848397E0,-3.315E1)); +#7445=CARTESIAN_POINT('',(1.060012164638E1,4.249707848397E0,-3.315E1)); +#7446=CARTESIAN_POINT('',(1.060767467777E1,4.231555685116E0,-3.320379069662E1)); +#7447=CARTESIAN_POINT('',(1.062010237556E1,4.194078221433E0,-3.331484847237E1)); +#7448=CARTESIAN_POINT('',(1.063218434419E1,4.133942124225E0,-3.349305106512E1)); +#7449=CARTESIAN_POINT('',(1.063903704922E1,4.071329855963E0,-3.367859134892E1)); +#7450=CARTESIAN_POINT('',(1.064227446857E1,4.006985384941E0,-3.386926470648E1)); +#7451=CARTESIAN_POINT('',(1.064298275701E1,3.941731931639E0,-3.406263167083E1)); +#7452=CARTESIAN_POINT('',(1.064197445888E1,3.898430500192E0,-3.419094773575E1)); +#7453=CARTESIAN_POINT('',(1.064098518058E1,3.876763209470E0,-3.425515488527E1)); +#7455=DIRECTION('',(1.E0,0.E0,0.E0)); +#7456=VECTOR('',#7455,2.718029638846E0); +#7457=CARTESIAN_POINT('',(1.064098518058E1,3.876763209470E0,-3.425515488527E1)); +#7458=LINE('',#7457,#7456); +#7459=CARTESIAN_POINT('',(1.335901481942E1,3.876763209470E0,-3.425515488527E1)); +#7460=CARTESIAN_POINT('',(1.335802541511E1,3.898433260023E0,-3.419093955749E1)); +#7461=CARTESIAN_POINT('',(1.335701590247E1,3.941763413913E0,-3.406253837873E1)); +#7462=CARTESIAN_POINT('',(1.335772857700E1,4.007167258605E0,-3.386872575634E1)); +#7463=CARTESIAN_POINT('',(1.336098520804E1,4.071656489940E0,-3.367762342742E1)); +#7464=CARTESIAN_POINT('',(1.336787424425E1,4.134346074682E0,-3.349185403003E1)); +#7465=CARTESIAN_POINT('',(1.337999492251E1,4.194428211800E0,-3.331381133837E1)); +#7466=CARTESIAN_POINT('',(1.339238360536E1,4.231695756677E0,-3.320337561955E1)); +#7467=CARTESIAN_POINT('',(1.339987835362E1,4.249707848397E0,-3.315E1)); +#7469=CARTESIAN_POINT('',(1.460012164638E1,4.249707848397E0,-3.315E1)); +#7470=CARTESIAN_POINT('',(1.460767467777E1,4.231555685116E0,-3.320379069662E1)); +#7471=CARTESIAN_POINT('',(1.462010237556E1,4.194078221433E0,-3.331484847237E1)); +#7472=CARTESIAN_POINT('',(1.463218434419E1,4.133942124225E0,-3.349305106512E1)); +#7473=CARTESIAN_POINT('',(1.463903704922E1,4.071329855963E0,-3.367859134892E1)); +#7474=CARTESIAN_POINT('',(1.464227446857E1,4.006985384941E0,-3.386926470648E1)); +#7475=CARTESIAN_POINT('',(1.464298275701E1,3.941731931639E0,-3.406263167083E1)); +#7476=CARTESIAN_POINT('',(1.464197445888E1,3.898430500192E0,-3.419094773575E1)); +#7477=CARTESIAN_POINT('',(1.464098518058E1,3.876763209470E0,-3.425515488527E1)); +#7479=DIRECTION('',(1.E0,0.E0,0.E0)); +#7480=VECTOR('',#7479,2.718029638846E0); +#7481=CARTESIAN_POINT('',(1.464098518058E1,3.876763209470E0,-3.425515488527E1)); +#7482=LINE('',#7481,#7480); +#7483=CARTESIAN_POINT('',(1.735901481942E1,3.876763209470E0,-3.425515488527E1)); +#7484=CARTESIAN_POINT('',(1.735802541511E1,3.898433260023E0,-3.419093955749E1)); +#7485=CARTESIAN_POINT('',(1.735701590247E1,3.941763413913E0,-3.406253837873E1)); +#7486=CARTESIAN_POINT('',(1.735772857700E1,4.007167258605E0,-3.386872575634E1)); +#7487=CARTESIAN_POINT('',(1.736098520804E1,4.071656489940E0,-3.367762342742E1)); +#7488=CARTESIAN_POINT('',(1.736787424425E1,4.134346074682E0,-3.349185403003E1)); +#7489=CARTESIAN_POINT('',(1.737999492251E1,4.194428211800E0,-3.331381133837E1)); +#7490=CARTESIAN_POINT('',(1.739238360536E1,4.231695756677E0,-3.320337561955E1)); +#7491=CARTESIAN_POINT('',(1.739987835362E1,4.249707848397E0,-3.315E1)); +#7493=CARTESIAN_POINT('',(1.860012164638E1,4.249707848397E0,-3.315E1)); +#7494=CARTESIAN_POINT('',(1.860767467777E1,4.231555685116E0,-3.320379069662E1)); +#7495=CARTESIAN_POINT('',(1.862010237556E1,4.194078221433E0,-3.331484847237E1)); +#7496=CARTESIAN_POINT('',(1.863218434419E1,4.133942124225E0,-3.349305106512E1)); +#7497=CARTESIAN_POINT('',(1.863903704922E1,4.071329855963E0,-3.367859134892E1)); +#7498=CARTESIAN_POINT('',(1.864227446857E1,4.006985384941E0,-3.386926470648E1)); +#7499=CARTESIAN_POINT('',(1.864298275701E1,3.941731931639E0,-3.406263167083E1)); +#7500=CARTESIAN_POINT('',(1.864197445888E1,3.898430500192E0,-3.419094773575E1)); +#7501=CARTESIAN_POINT('',(1.864098518058E1,3.876763209470E0,-3.425515488527E1)); +#7503=DIRECTION('',(1.E0,0.E0,0.E0)); +#7504=VECTOR('',#7503,2.718029638846E0); +#7505=CARTESIAN_POINT('',(1.864098518058E1,3.876763209470E0,-3.425515488527E1)); +#7506=LINE('',#7505,#7504); +#7507=CARTESIAN_POINT('',(2.135901481942E1,3.876763209470E0,-3.425515488527E1)); +#7508=CARTESIAN_POINT('',(2.135802541511E1,3.898433260023E0,-3.419093955749E1)); +#7509=CARTESIAN_POINT('',(2.135701590247E1,3.941763413913E0,-3.406253837873E1)); +#7510=CARTESIAN_POINT('',(2.135772857700E1,4.007167258605E0,-3.386872575634E1)); +#7511=CARTESIAN_POINT('',(2.136098520804E1,4.071656489940E0,-3.367762342742E1)); +#7512=CARTESIAN_POINT('',(2.136787424425E1,4.134346074682E0,-3.349185403003E1)); +#7513=CARTESIAN_POINT('',(2.137999492251E1,4.194428211800E0,-3.331381133837E1)); +#7514=CARTESIAN_POINT('',(2.139238360536E1,4.231695756677E0,-3.320337561955E1)); +#7515=CARTESIAN_POINT('',(2.139987835362E1,4.249707848397E0,-3.315E1)); +#7517=CARTESIAN_POINT('',(2.32E1,5.5E0,-2.2E1)); +#7518=DIRECTION('',(0.E0,0.E0,1.E0)); +#7519=DIRECTION('',(1.E0,0.E0,0.E0)); +#7520=AXIS2_PLACEMENT_3D('',#7517,#7518,#7519); +#7522=DIRECTION('',(0.E0,0.E0,1.E0)); +#7523=VECTOR('',#7522,3.E0); +#7524=CARTESIAN_POINT('',(2.32E1,7.E0,-2.5E1)); +#7525=LINE('',#7524,#7523); +#7526=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7527=VECTOR('',#7526,3.E0); +#7528=CARTESIAN_POINT('',(-2.32E1,7.E0,-2.2E1)); +#7529=LINE('',#7528,#7527); +#7530=DIRECTION('',(1.E0,0.E0,0.E0)); +#7531=VECTOR('',#7530,4.64E1); +#7532=CARTESIAN_POINT('',(-2.32E1,7.E0,-2.5E1)); +#7533=LINE('',#7532,#7531); +#7534=CARTESIAN_POINT('',(-2.32E1,5.5E0,-2.2E1)); +#7535=DIRECTION('',(0.E0,0.E0,1.E0)); +#7536=DIRECTION('',(0.E0,1.E0,0.E0)); +#7537=AXIS2_PLACEMENT_3D('',#7534,#7535,#7536); +#7539=CARTESIAN_POINT('',(-2.2E1,4.E0,-3.315E1)); +#7540=DIRECTION('',(0.E0,0.E0,1.E0)); +#7541=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#7542=AXIS2_PLACEMENT_3D('',#7539,#7540,#7541); +#7544=CARTESIAN_POINT('',(-2.139987835362E1,4.249707848397E0,-3.315E1)); +#7545=CARTESIAN_POINT('',(-2.141238088792E1,4.279755127711E0, +-3.306096024971E1)); +#7546=CARTESIAN_POINT('',(-2.143738595652E1,4.328192224127E0, +-3.291742555811E1)); +#7547=CARTESIAN_POINT('',(-2.147489355942E1,4.384834501295E0, +-3.274957627757E1)); +#7548=CARTESIAN_POINT('',(-2.151240116232E1,4.431051102353E0, +-3.261262162771E1)); +#7549=CARTESIAN_POINT('',(-2.156241129952E1,4.482844343698E0, +-3.245914159952E1)); +#7550=CARTESIAN_POINT('',(-2.162492397102E1,4.533455814871E0, +-3.230916353635E1)); +#7551=CARTESIAN_POINT('',(-2.169993917681E1,4.578646523472E0, +-3.217524893572E1)); +#7552=CARTESIAN_POINT('',(-2.177495438261E1,4.611536979772E0, +-3.207778393779E1)); +#7553=CARTESIAN_POINT('',(-2.187497465701E1,4.641484785470E0, +-3.198903895981E1)); +#7554=CARTESIAN_POINT('',(-2.2E1,4.655676809687E0,-3.194698342862E1)); +#7555=CARTESIAN_POINT('',(-2.212502534299E1,4.641484785470E0, +-3.198903895981E1)); +#7556=CARTESIAN_POINT('',(-2.222504561739E1,4.611536979772E0, +-3.207778393779E1)); +#7557=CARTESIAN_POINT('',(-2.230006082319E1,4.578646523472E0, +-3.217524893572E1)); +#7558=CARTESIAN_POINT('',(-2.237507602898E1,4.533455814871E0, +-3.230916353635E1)); +#7559=CARTESIAN_POINT('',(-2.243758870048E1,4.482844343698E0, +-3.245914159952E1)); +#7560=CARTESIAN_POINT('',(-2.248759883768E1,4.431051102353E0, +-3.261262162771E1)); +#7561=CARTESIAN_POINT('',(-2.252510644058E1,4.384834501295E0, +-3.274957627757E1)); +#7562=CARTESIAN_POINT('',(-2.256261404348E1,4.328192224127E0, +-3.291742555811E1)); +#7563=CARTESIAN_POINT('',(-2.258761911208E1,4.279755127711E0, +-3.306096024971E1)); +#7564=CARTESIAN_POINT('',(-2.260012164638E1,4.249707848397E0,-3.315E1)); +#7566=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7567=VECTOR('',#7566,7.525E0); +#7568=CARTESIAN_POINT('',(-2.2E1,3.35E0,-1.2475E1)); +#7569=LINE('',#7568,#7567); +#7570=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7571=VECTOR('',#7570,7.525E0); +#7572=CARTESIAN_POINT('',(-2.2E1,4.65E0,-1.2475E1)); +#7573=LINE('',#7572,#7571); +#7574=CARTESIAN_POINT('',(-2.2E1,4.E0,-1.2475E1)); +#7575=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7576=DIRECTION('',(0.E0,1.E0,0.E0)); +#7577=AXIS2_PLACEMENT_3D('',#7574,#7575,#7576); +#7579=CARTESIAN_POINT('',(-2.2E1,4.E0,-1.2475E1)); +#7580=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7581=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7582=AXIS2_PLACEMENT_3D('',#7579,#7580,#7581); +#7584=CARTESIAN_POINT('',(-2.2E1,1.35E0,-3.58E1)); +#7585=DIRECTION('',(0.E0,1.E0,0.E0)); +#7586=DIRECTION('',(1.238434703147E-1,0.E0,-9.923017660271E-1)); +#7587=AXIS2_PLACEMENT_3D('',#7584,#7585,#7586); +#7589=CARTESIAN_POINT('',(-2.208049825570E1,1.35E0,-3.644499614792E1)); +#7590=CARTESIAN_POINT('',(-2.207304575930E1,1.344969698001E0, +-3.644592625109E1)); +#7591=CARTESIAN_POINT('',(-2.205846179505E1,1.336417174804E0, +-3.644748405439E1)); +#7592=CARTESIAN_POINT('',(-2.203771836735E1,1.327895581573E0, +-3.644900758478E1)); +#7593=CARTESIAN_POINT('',(-2.201845553490E1,1.323256836482E0, +-3.644982753238E1)); +#7594=CARTESIAN_POINT('',(-2.200002913105E1,1.321786632137E0, +-3.645008608930E1)); +#7595=CARTESIAN_POINT('',(-2.198153329997E1,1.323253585731E0, +-3.644982810898E1)); +#7596=CARTESIAN_POINT('',(-2.196220526292E1,1.327918761548E0, +-3.644900348148E1)); +#7597=CARTESIAN_POINT('',(-2.194146575180E1,1.336455654123E0, +-3.644747710624E1)); +#7598=CARTESIAN_POINT('',(-2.192692518486E1,1.344989310171E0, +-3.644592262479E1)); +#7599=CARTESIAN_POINT('',(-2.191950174430E1,1.35E0,-3.644499614792E1)); +#7601=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7602=VECTOR('',#7601,1.597E1); +#7603=CARTESIAN_POINT('',(-2.2E1,7.5E-1,-3.515E1)); +#7604=LINE('',#7603,#7602); +#7605=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7606=VECTOR('',#7605,1.597E1); +#7607=CARTESIAN_POINT('',(-2.2E1,7.5E-1,-3.645E1)); +#7608=LINE('',#7607,#7606); +#7609=CARTESIAN_POINT('',(-2.2E1,-1.522E1,-3.58E1)); +#7610=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7611=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7612=AXIS2_PLACEMENT_3D('',#7609,#7610,#7611); +#7614=CARTESIAN_POINT('',(-2.2E1,-1.522E1,-3.58E1)); +#7615=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7616=DIRECTION('',(0.E0,0.E0,1.E0)); +#7617=AXIS2_PLACEMENT_3D('',#7614,#7615,#7616); +#7619=CARTESIAN_POINT('',(-1.8E1,4.E0,-3.315E1)); +#7620=DIRECTION('',(0.E0,0.E0,1.E0)); +#7621=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#7622=AXIS2_PLACEMENT_3D('',#7619,#7620,#7621); +#7624=CARTESIAN_POINT('',(-1.739987835362E1,4.249707848397E0,-3.315E1)); +#7625=CARTESIAN_POINT('',(-1.741238088792E1,4.279755127711E0, +-3.306096024971E1)); +#7626=CARTESIAN_POINT('',(-1.743738595652E1,4.328192224127E0, +-3.291742555811E1)); +#7627=CARTESIAN_POINT('',(-1.747489355942E1,4.384834501295E0, +-3.274957627757E1)); +#7628=CARTESIAN_POINT('',(-1.751240116232E1,4.431051102353E0, +-3.261262162771E1)); +#7629=CARTESIAN_POINT('',(-1.756241129952E1,4.482844343698E0, +-3.245914159952E1)); +#7630=CARTESIAN_POINT('',(-1.762492397102E1,4.533455814871E0, +-3.230916353635E1)); +#7631=CARTESIAN_POINT('',(-1.769993917681E1,4.578646523472E0, +-3.217524893572E1)); +#7632=CARTESIAN_POINT('',(-1.777495438261E1,4.611536979772E0, +-3.207778393779E1)); +#7633=CARTESIAN_POINT('',(-1.787497465701E1,4.641484785470E0, +-3.198903895981E1)); +#7634=CARTESIAN_POINT('',(-1.8E1,4.655676809687E0,-3.194698342862E1)); +#7635=CARTESIAN_POINT('',(-1.812502534299E1,4.641484785470E0, +-3.198903895981E1)); +#7636=CARTESIAN_POINT('',(-1.822504561739E1,4.611536979772E0, +-3.207778393779E1)); +#7637=CARTESIAN_POINT('',(-1.830006082319E1,4.578646523472E0, +-3.217524893572E1)); +#7638=CARTESIAN_POINT('',(-1.837507602898E1,4.533455814871E0, +-3.230916353635E1)); +#7639=CARTESIAN_POINT('',(-1.843758870048E1,4.482844343698E0, +-3.245914159952E1)); +#7640=CARTESIAN_POINT('',(-1.848759883768E1,4.431051102353E0, +-3.261262162771E1)); +#7641=CARTESIAN_POINT('',(-1.852510644058E1,4.384834501295E0, +-3.274957627757E1)); +#7642=CARTESIAN_POINT('',(-1.856261404348E1,4.328192224127E0, +-3.291742555811E1)); +#7643=CARTESIAN_POINT('',(-1.858761911208E1,4.279755127711E0, +-3.306096024971E1)); +#7644=CARTESIAN_POINT('',(-1.860012164638E1,4.249707848397E0,-3.315E1)); +#7646=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7647=VECTOR('',#7646,7.525E0); +#7648=CARTESIAN_POINT('',(-1.8E1,3.35E0,-1.2475E1)); +#7649=LINE('',#7648,#7647); +#7650=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7651=VECTOR('',#7650,7.525E0); +#7652=CARTESIAN_POINT('',(-1.8E1,4.65E0,-1.2475E1)); +#7653=LINE('',#7652,#7651); +#7654=CARTESIAN_POINT('',(-1.8E1,4.E0,-1.2475E1)); +#7655=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7656=DIRECTION('',(0.E0,1.E0,0.E0)); +#7657=AXIS2_PLACEMENT_3D('',#7654,#7655,#7656); +#7659=CARTESIAN_POINT('',(-1.8E1,4.E0,-1.2475E1)); +#7660=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7661=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7662=AXIS2_PLACEMENT_3D('',#7659,#7660,#7661); +#7664=CARTESIAN_POINT('',(-1.8E1,1.35E0,-3.58E1)); +#7665=DIRECTION('',(0.E0,1.E0,0.E0)); +#7666=DIRECTION('',(1.238434703146E-1,0.E0,-9.923017660271E-1)); +#7667=AXIS2_PLACEMENT_3D('',#7664,#7665,#7666); +#7669=CARTESIAN_POINT('',(-1.808049825570E1,1.35E0,-3.644499614792E1)); +#7670=CARTESIAN_POINT('',(-1.807304575930E1,1.344969698001E0, +-3.644592625109E1)); +#7671=CARTESIAN_POINT('',(-1.805846179505E1,1.336417174804E0, +-3.644748405439E1)); +#7672=CARTESIAN_POINT('',(-1.803771836735E1,1.327895581573E0, +-3.644900758478E1)); +#7673=CARTESIAN_POINT('',(-1.801845553490E1,1.323256836482E0, +-3.644982753238E1)); +#7674=CARTESIAN_POINT('',(-1.800002913105E1,1.321786632137E0, +-3.645008608930E1)); +#7675=CARTESIAN_POINT('',(-1.798153329997E1,1.323253585731E0, +-3.644982810898E1)); +#7676=CARTESIAN_POINT('',(-1.796220526292E1,1.327918761548E0, +-3.644900348148E1)); +#7677=CARTESIAN_POINT('',(-1.794146575180E1,1.336455654123E0, +-3.644747710624E1)); +#7678=CARTESIAN_POINT('',(-1.792692518486E1,1.344989310171E0, +-3.644592262479E1)); +#7679=CARTESIAN_POINT('',(-1.791950174430E1,1.35E0,-3.644499614792E1)); +#7681=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7682=VECTOR('',#7681,1.597E1); +#7683=CARTESIAN_POINT('',(-1.8E1,7.5E-1,-3.515E1)); +#7684=LINE('',#7683,#7682); +#7685=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7686=VECTOR('',#7685,1.597E1); +#7687=CARTESIAN_POINT('',(-1.8E1,7.5E-1,-3.645E1)); +#7688=LINE('',#7687,#7686); +#7689=CARTESIAN_POINT('',(-1.8E1,-1.522E1,-3.58E1)); +#7690=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7691=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7692=AXIS2_PLACEMENT_3D('',#7689,#7690,#7691); +#7694=CARTESIAN_POINT('',(-1.8E1,-1.522E1,-3.58E1)); +#7695=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7696=DIRECTION('',(0.E0,0.E0,1.E0)); +#7697=AXIS2_PLACEMENT_3D('',#7694,#7695,#7696); +#7699=CARTESIAN_POINT('',(-1.4E1,4.E0,-3.315E1)); +#7700=DIRECTION('',(0.E0,0.E0,1.E0)); +#7701=DIRECTION('',(9.232640885685E-1,3.841658792238E-1,0.E0)); +#7702=AXIS2_PLACEMENT_3D('',#7699,#7700,#7701); +#7704=CARTESIAN_POINT('',(-1.339987834105E1,4.249707819627E0, +-3.315000008159E1)); +#7705=CARTESIAN_POINT('',(-1.340855042272E1,4.270549393590E0, +-3.308823979634E1)); +#7706=CARTESIAN_POINT('',(-1.342753906301E1,4.310047898330E0, +-3.297119303144E1)); +#7707=CARTESIAN_POINT('',(-1.345938537525E1,4.362512638429E0, +-3.281572313437E1)); +#7708=CARTESIAN_POINT('',(-1.349385321886E1,4.409115639770E0, +-3.267762345680E1)); +#7709=CARTESIAN_POINT('',(-1.353026206575E1,4.450339220251E0, +-3.255546473227E1)); +#7710=CARTESIAN_POINT('',(-1.356811898295E1,4.486678994849E0, +-3.244777829514E1)); +#7711=CARTESIAN_POINT('',(-1.360702662664E1,4.518537484174E0, +-3.235337134718E1)); +#7712=CARTESIAN_POINT('',(-1.364666431054E1,4.546264807830E0, +-3.227120637149E1)); +#7713=CARTESIAN_POINT('',(-1.368675548122E1,4.570156887193E0, +-3.220040645785E1)); +#7714=CARTESIAN_POINT('',(-1.372703763262E1,4.590463524760E0, +-3.214023136115E1)); +#7715=CARTESIAN_POINT('',(-1.376723170024E1,4.607396750278E0, +-3.209005276907E1)); +#7716=CARTESIAN_POINT('',(-1.380698812877E1,4.621138628358E0, +-3.204933116563E1)); +#7717=CARTESIAN_POINT('',(-1.384588078010E1,4.631873336768E0, +-3.201752077287E1)); +#7718=CARTESIAN_POINT('',(-1.388329289410E1,4.639792662191E0, +-3.199405326519E1)); +#7719=CARTESIAN_POINT('',(-1.391854880986E1,4.645182790673E0, +-3.197808058131E1)); +#7720=CARTESIAN_POINT('',(-1.395187504458E1,4.648490973360E0, +-3.196827737226E1)); +#7721=CARTESIAN_POINT('',(-1.398408254441E1,4.650066124618E0, +-3.196360969259E1)); +#7722=CARTESIAN_POINT('',(-1.401592368473E1,4.650065982596E0, +-3.196361011345E1)); +#7723=CARTESIAN_POINT('',(-1.404813232858E1,4.648490452067E0, +-3.196827891702E1)); +#7724=CARTESIAN_POINT('',(-1.408146026704E1,4.645181678698E0, +-3.197808387645E1)); +#7725=CARTESIAN_POINT('',(-1.411671671805E1,4.639790872841E0, +-3.199405856761E1)); +#7726=CARTESIAN_POINT('',(-1.415412518913E1,4.631871811077E0, +-3.201752529399E1)); +#7727=CARTESIAN_POINT('',(-1.419301566077E1,4.621137406392E0, +-3.204933478671E1)); +#7728=CARTESIAN_POINT('',(-1.423276984278E1,4.607396108242E0, +-3.209005467163E1)); +#7729=CARTESIAN_POINT('',(-1.427296232364E1,4.590463509580E0, +-3.214023140614E1)); +#7730=CARTESIAN_POINT('',(-1.431324339688E1,4.570157476040E0, +-3.220040471291E1)); +#7731=CARTESIAN_POINT('',(-1.435333395019E1,4.546265915950E0, +-3.227120308777E1)); +#7732=CARTESIAN_POINT('',(-1.439297141010E1,4.518538964564E0, +-3.235336696031E1)); +#7733=CARTESIAN_POINT('',(-1.443187911026E1,4.486680688622E0, +-3.244777327594E1)); +#7734=CARTESIAN_POINT('',(-1.446973629555E1,4.450340940772E0, +-3.255545963384E1)); +#7735=CARTESIAN_POINT('',(-1.450614553355E1,4.409117204443E0, +-3.267761882005E1)); +#7736=CARTESIAN_POINT('',(-1.454061382732E1,4.362513861376E0, +-3.281571951084E1)); +#7737=CARTESIAN_POINT('',(-1.457246058389E1,4.310048599336E0, +-3.297119095244E1)); +#7738=CARTESIAN_POINT('',(-1.459144946392E1,4.270549661917E0, +-3.308823900487E1)); +#7739=CARTESIAN_POINT('',(-1.460012164638E1,4.249707848397E0,-3.315E1)); +#7741=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7742=VECTOR('',#7741,7.525E0); +#7743=CARTESIAN_POINT('',(-1.4E1,3.35E0,-1.2475E1)); +#7744=LINE('',#7743,#7742); +#7745=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7746=VECTOR('',#7745,7.525E0); +#7747=CARTESIAN_POINT('',(-1.4E1,4.65E0,-1.2475E1)); +#7748=LINE('',#7747,#7746); +#7749=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.2475E1)); +#7750=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7751=DIRECTION('',(0.E0,1.E0,0.E0)); +#7752=AXIS2_PLACEMENT_3D('',#7749,#7750,#7751); +#7754=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.2475E1)); +#7755=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7756=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7757=AXIS2_PLACEMENT_3D('',#7754,#7755,#7756); +#7759=CARTESIAN_POINT('',(-1.4E1,1.35E0,-3.58E1)); +#7760=DIRECTION('',(0.E0,1.E0,0.E0)); +#7761=DIRECTION('',(1.238434703146E-1,0.E0,-9.923017660271E-1)); +#7762=AXIS2_PLACEMENT_3D('',#7759,#7760,#7761); +#7764=CARTESIAN_POINT('',(-1.408049825570E1,1.35E0,-3.644499614792E1)); +#7765=CARTESIAN_POINT('',(-1.407304575930E1,1.344969698E0,-3.644592625109E1)); +#7766=CARTESIAN_POINT('',(-1.405846179505E1,1.336417174804E0, +-3.644748405439E1)); +#7767=CARTESIAN_POINT('',(-1.403771836735E1,1.327895581573E0, +-3.644900758478E1)); +#7768=CARTESIAN_POINT('',(-1.401845553490E1,1.323256836482E0, +-3.644982753238E1)); +#7769=CARTESIAN_POINT('',(-1.400002913105E1,1.321786632137E0, +-3.645008608930E1)); +#7770=CARTESIAN_POINT('',(-1.398153329997E1,1.323253585731E0, +-3.644982810898E1)); +#7771=CARTESIAN_POINT('',(-1.396220526292E1,1.327918761548E0, +-3.644900348148E1)); +#7772=CARTESIAN_POINT('',(-1.394146575180E1,1.336455654123E0, +-3.644747710624E1)); +#7773=CARTESIAN_POINT('',(-1.392692518486E1,1.344989310171E0, +-3.644592262479E1)); +#7774=CARTESIAN_POINT('',(-1.391950174430E1,1.35E0,-3.644499614792E1)); +#7776=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7777=VECTOR('',#7776,1.597E1); +#7778=CARTESIAN_POINT('',(-1.4E1,7.5E-1,-3.515E1)); +#7779=LINE('',#7778,#7777); +#7780=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7781=VECTOR('',#7780,1.597E1); +#7782=CARTESIAN_POINT('',(-1.4E1,7.5E-1,-3.645E1)); +#7783=LINE('',#7782,#7781); +#7784=CARTESIAN_POINT('',(-1.4E1,-1.522E1,-3.58E1)); +#7785=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7786=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7787=AXIS2_PLACEMENT_3D('',#7784,#7785,#7786); +#7789=CARTESIAN_POINT('',(-1.4E1,-1.522E1,-3.58E1)); +#7790=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7791=DIRECTION('',(0.E0,0.E0,1.E0)); +#7792=AXIS2_PLACEMENT_3D('',#7789,#7790,#7791); +#7794=CARTESIAN_POINT('',(-1.E1,4.E0,-3.315E1)); +#7795=DIRECTION('',(0.E0,0.E0,1.E0)); +#7796=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#7797=AXIS2_PLACEMENT_3D('',#7794,#7795,#7796); +#7799=CARTESIAN_POINT('',(-9.399878353624E0,4.249707848397E0,-3.315E1)); +#7800=CARTESIAN_POINT('',(-9.412380887924E0,4.279755127711E0, +-3.306096024971E1)); +#7801=CARTESIAN_POINT('',(-9.437385956523E0,4.328192224127E0, +-3.291742555811E1)); +#7802=CARTESIAN_POINT('',(-9.474893559421E0,4.384834501295E0, +-3.274957627757E1)); +#7803=CARTESIAN_POINT('',(-9.512401162320E0,4.431051102353E0, +-3.261262162771E1)); +#7804=CARTESIAN_POINT('',(-9.562411299518E0,4.482844343698E0, +-3.245914159952E1)); +#7805=CARTESIAN_POINT('',(-9.624923971015E0,4.533455814871E0, +-3.230916353635E1)); +#7806=CARTESIAN_POINT('',(-9.699939176812E0,4.578646523472E0, +-3.217524893572E1)); +#7807=CARTESIAN_POINT('',(-9.774954382609E0,4.611536979772E0, +-3.207778393779E1)); +#7808=CARTESIAN_POINT('',(-9.874974657005E0,4.641484785470E0, +-3.198903895981E1)); +#7809=CARTESIAN_POINT('',(-1.E1,4.655676809687E0,-3.194698342862E1)); +#7810=CARTESIAN_POINT('',(-1.012502534299E1,4.641484785470E0, +-3.198903895981E1)); +#7811=CARTESIAN_POINT('',(-1.022504561739E1,4.611536979772E0, +-3.207778393779E1)); +#7812=CARTESIAN_POINT('',(-1.030006082319E1,4.578646523472E0, +-3.217524893572E1)); +#7813=CARTESIAN_POINT('',(-1.037507602898E1,4.533455814871E0, +-3.230916353635E1)); +#7814=CARTESIAN_POINT('',(-1.043758870048E1,4.482844343698E0, +-3.245914159952E1)); +#7815=CARTESIAN_POINT('',(-1.048759883768E1,4.431051102353E0, +-3.261262162771E1)); +#7816=CARTESIAN_POINT('',(-1.052510644058E1,4.384834501295E0, +-3.274957627757E1)); +#7817=CARTESIAN_POINT('',(-1.056261404348E1,4.328192224127E0, +-3.291742555811E1)); +#7818=CARTESIAN_POINT('',(-1.058761911208E1,4.279755127711E0, +-3.306096024971E1)); +#7819=CARTESIAN_POINT('',(-1.060012164638E1,4.249707848397E0,-3.315E1)); +#7821=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7822=VECTOR('',#7821,7.525E0); +#7823=CARTESIAN_POINT('',(-1.E1,3.35E0,-1.2475E1)); +#7824=LINE('',#7823,#7822); +#7825=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7826=VECTOR('',#7825,7.525E0); +#7827=CARTESIAN_POINT('',(-1.E1,4.65E0,-1.2475E1)); +#7828=LINE('',#7827,#7826); +#7829=CARTESIAN_POINT('',(-1.E1,4.E0,-1.2475E1)); +#7830=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7831=DIRECTION('',(0.E0,1.E0,0.E0)); +#7832=AXIS2_PLACEMENT_3D('',#7829,#7830,#7831); +#7834=CARTESIAN_POINT('',(-1.E1,4.E0,-1.2475E1)); +#7835=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7836=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7837=AXIS2_PLACEMENT_3D('',#7834,#7835,#7836); +#7839=CARTESIAN_POINT('',(-1.E1,1.35E0,-3.58E1)); +#7840=DIRECTION('',(0.E0,1.E0,0.E0)); +#7841=DIRECTION('',(1.238434703146E-1,0.E0,-9.923017660271E-1)); +#7842=AXIS2_PLACEMENT_3D('',#7839,#7840,#7841); +#7844=CARTESIAN_POINT('',(-1.008049825570E1,1.35E0,-3.644499614792E1)); +#7845=CARTESIAN_POINT('',(-1.007304575930E1,1.344969698E0,-3.644592625109E1)); +#7846=CARTESIAN_POINT('',(-1.005846179505E1,1.336417174804E0, +-3.644748405439E1)); +#7847=CARTESIAN_POINT('',(-1.003771836735E1,1.327895581573E0, +-3.644900758478E1)); +#7848=CARTESIAN_POINT('',(-1.001845553490E1,1.323256836482E0, +-3.644982753238E1)); +#7849=CARTESIAN_POINT('',(-1.000002913105E1,1.321786632137E0, +-3.645008608930E1)); +#7850=CARTESIAN_POINT('',(-9.981533299969E0,1.323253585731E0, +-3.644982810898E1)); +#7851=CARTESIAN_POINT('',(-9.962205262924E0,1.327918761548E0, +-3.644900348148E1)); +#7852=CARTESIAN_POINT('',(-9.941465751797E0,1.336455654123E0, +-3.644747710624E1)); +#7853=CARTESIAN_POINT('',(-9.926925184861E0,1.344989310171E0, +-3.644592262479E1)); +#7854=CARTESIAN_POINT('',(-9.919501744295E0,1.35E0,-3.644499614792E1)); +#7856=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7857=VECTOR('',#7856,1.597E1); +#7858=CARTESIAN_POINT('',(-1.E1,7.5E-1,-3.515E1)); +#7859=LINE('',#7858,#7857); +#7860=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7861=VECTOR('',#7860,1.597E1); +#7862=CARTESIAN_POINT('',(-1.E1,7.5E-1,-3.645E1)); +#7863=LINE('',#7862,#7861); +#7864=CARTESIAN_POINT('',(-1.E1,-1.522E1,-3.58E1)); +#7865=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7866=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7867=AXIS2_PLACEMENT_3D('',#7864,#7865,#7866); +#7869=CARTESIAN_POINT('',(-1.E1,-1.522E1,-3.58E1)); +#7870=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7871=DIRECTION('',(0.E0,0.E0,1.E0)); +#7872=AXIS2_PLACEMENT_3D('',#7869,#7870,#7871); +#7874=CARTESIAN_POINT('',(-6.E0,4.E0,-3.315E1)); +#7875=DIRECTION('',(0.E0,0.E0,1.E0)); +#7876=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#7877=AXIS2_PLACEMENT_3D('',#7874,#7875,#7876); +#7879=CARTESIAN_POINT('',(-5.399878353624E0,4.249707848397E0,-3.315E1)); +#7880=CARTESIAN_POINT('',(-5.412380887924E0,4.279755127711E0, +-3.306096024971E1)); +#7881=CARTESIAN_POINT('',(-5.437385956523E0,4.328192224127E0, +-3.291742555811E1)); +#7882=CARTESIAN_POINT('',(-5.474893559421E0,4.384834501295E0, +-3.274957627757E1)); +#7883=CARTESIAN_POINT('',(-5.512401162320E0,4.431051102353E0, +-3.261262162771E1)); +#7884=CARTESIAN_POINT('',(-5.562411299518E0,4.482844343698E0, +-3.245914159952E1)); +#7885=CARTESIAN_POINT('',(-5.624923971015E0,4.533455814871E0, +-3.230916353635E1)); +#7886=CARTESIAN_POINT('',(-5.699939176812E0,4.578646523472E0, +-3.217524893572E1)); +#7887=CARTESIAN_POINT('',(-5.774954382609E0,4.611536979772E0, +-3.207778393779E1)); +#7888=CARTESIAN_POINT('',(-5.874974657005E0,4.641484785470E0, +-3.198903895981E1)); +#7889=CARTESIAN_POINT('',(-6.E0,4.655676809687E0,-3.194698342862E1)); +#7890=CARTESIAN_POINT('',(-6.125025342995E0,4.641484785470E0, +-3.198903895981E1)); +#7891=CARTESIAN_POINT('',(-6.225045617391E0,4.611536979772E0, +-3.207778393779E1)); +#7892=CARTESIAN_POINT('',(-6.300060823188E0,4.578646523472E0, +-3.217524893572E1)); +#7893=CARTESIAN_POINT('',(-6.375076028985E0,4.533455814871E0, +-3.230916353635E1)); +#7894=CARTESIAN_POINT('',(-6.437588700482E0,4.482844343698E0, +-3.245914159952E1)); +#7895=CARTESIAN_POINT('',(-6.487598837680E0,4.431051102353E0, +-3.261262162771E1)); +#7896=CARTESIAN_POINT('',(-6.525106440579E0,4.384834501295E0, +-3.274957627757E1)); +#7897=CARTESIAN_POINT('',(-6.562614043477E0,4.328192224127E0, +-3.291742555811E1)); +#7898=CARTESIAN_POINT('',(-6.587619112076E0,4.279755127711E0, +-3.306096024971E1)); +#7899=CARTESIAN_POINT('',(-6.600121646376E0,4.249707848397E0,-3.315E1)); +#7901=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7902=VECTOR('',#7901,7.525E0); +#7903=CARTESIAN_POINT('',(-6.E0,3.35E0,-1.2475E1)); +#7904=LINE('',#7903,#7902); +#7905=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7906=VECTOR('',#7905,7.525E0); +#7907=CARTESIAN_POINT('',(-6.E0,4.65E0,-1.2475E1)); +#7908=LINE('',#7907,#7906); +#7909=CARTESIAN_POINT('',(-6.E0,4.E0,-1.2475E1)); +#7910=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7911=DIRECTION('',(0.E0,1.E0,0.E0)); +#7912=AXIS2_PLACEMENT_3D('',#7909,#7910,#7911); +#7914=CARTESIAN_POINT('',(-6.E0,4.E0,-1.2475E1)); +#7915=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7916=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7917=AXIS2_PLACEMENT_3D('',#7914,#7915,#7916); +#7919=CARTESIAN_POINT('',(-6.E0,1.35E0,-3.58E1)); +#7920=DIRECTION('',(0.E0,1.E0,0.E0)); +#7921=DIRECTION('',(1.238437578863E-1,0.E0,-9.923017301369E-1)); +#7922=AXIS2_PLACEMENT_3D('',#7919,#7920,#7921); +#7924=CARTESIAN_POINT('',(-6.080498255704E0,1.35E0,-3.644499614792E1)); +#7925=CARTESIAN_POINT('',(-6.073045759303E0,1.344969698E0,-3.644592625109E1)); +#7926=CARTESIAN_POINT('',(-6.058461795068E0,1.336417174797E0, +-3.644748405439E1)); +#7927=CARTESIAN_POINT('',(-6.037718367290E0,1.327895581599E0, +-3.644900758477E1)); +#7928=CARTESIAN_POINT('',(-6.018455535138E0,1.323256836387E0, +-3.644982753240E1)); +#7929=CARTESIAN_POINT('',(-6.000029130186E0,1.321786632492E0, +-3.645008608922E1)); +#7930=CARTESIAN_POINT('',(-5.981533303208E0,1.323253584406E0, +-3.644982810928E1)); +#7931=CARTESIAN_POINT('',(-5.962205250835E0,1.327918766493E0, +-3.644900348036E1)); +#7932=CARTESIAN_POINT('',(-5.941465796913E0,1.336455635668E0, +-3.644747711043E1)); +#7933=CARTESIAN_POINT('',(-5.926925087649E0,1.344989349937E0, +-3.644592261577E1)); +#7934=CARTESIAN_POINT('',(-5.919501562437E0,1.350000107829E0, +-3.644499612615E1)); +#7936=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7937=VECTOR('',#7936,1.597E1); +#7938=CARTESIAN_POINT('',(-6.E0,7.5E-1,-3.515E1)); +#7939=LINE('',#7938,#7937); +#7940=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7941=VECTOR('',#7940,1.597E1); +#7942=CARTESIAN_POINT('',(-6.E0,7.5E-1,-3.645E1)); +#7943=LINE('',#7942,#7941); +#7944=CARTESIAN_POINT('',(-6.E0,-1.522E1,-3.58E1)); +#7945=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7946=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7947=AXIS2_PLACEMENT_3D('',#7944,#7945,#7946); +#7949=CARTESIAN_POINT('',(-6.E0,-1.522E1,-3.58E1)); +#7950=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7951=DIRECTION('',(0.E0,0.E0,1.E0)); +#7952=AXIS2_PLACEMENT_3D('',#7949,#7950,#7951); +#7954=CARTESIAN_POINT('',(-2.E0,4.E0,-3.315E1)); +#7955=DIRECTION('',(0.E0,0.E0,1.E0)); +#7956=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#7957=AXIS2_PLACEMENT_3D('',#7954,#7955,#7956); +#7959=CARTESIAN_POINT('',(-1.399878353624E0,4.249707848397E0,-3.315E1)); +#7960=CARTESIAN_POINT('',(-1.412380887924E0,4.279755127711E0, +-3.306096024971E1)); +#7961=CARTESIAN_POINT('',(-1.437385956523E0,4.328192224127E0, +-3.291742555811E1)); +#7962=CARTESIAN_POINT('',(-1.474893559421E0,4.384834501295E0, +-3.274957627757E1)); +#7963=CARTESIAN_POINT('',(-1.512401162320E0,4.431051102353E0, +-3.261262162771E1)); +#7964=CARTESIAN_POINT('',(-1.562411299518E0,4.482844343698E0, +-3.245914159952E1)); +#7965=CARTESIAN_POINT('',(-1.624923971015E0,4.533455814871E0, +-3.230916353635E1)); +#7966=CARTESIAN_POINT('',(-1.699939176812E0,4.578646523472E0, +-3.217524893572E1)); +#7967=CARTESIAN_POINT('',(-1.774954382609E0,4.611536979772E0, +-3.207778393779E1)); +#7968=CARTESIAN_POINT('',(-1.874974657005E0,4.641484785470E0, +-3.198903895981E1)); +#7969=CARTESIAN_POINT('',(-2.E0,4.655676809687E0,-3.194698342862E1)); +#7970=CARTESIAN_POINT('',(-2.125025342995E0,4.641484785470E0, +-3.198903895981E1)); +#7971=CARTESIAN_POINT('',(-2.225045617391E0,4.611536979772E0, +-3.207778393779E1)); +#7972=CARTESIAN_POINT('',(-2.300060823188E0,4.578646523472E0, +-3.217524893572E1)); +#7973=CARTESIAN_POINT('',(-2.375076028985E0,4.533455814871E0, +-3.230916353635E1)); +#7974=CARTESIAN_POINT('',(-2.437588700482E0,4.482844343698E0, +-3.245914159952E1)); +#7975=CARTESIAN_POINT('',(-2.487598837680E0,4.431051102353E0, +-3.261262162771E1)); +#7976=CARTESIAN_POINT('',(-2.525106440579E0,4.384834501295E0, +-3.274957627757E1)); +#7977=CARTESIAN_POINT('',(-2.562614043477E0,4.328192224127E0, +-3.291742555811E1)); +#7978=CARTESIAN_POINT('',(-2.587619112076E0,4.279755127711E0, +-3.306096024971E1)); +#7979=CARTESIAN_POINT('',(-2.600121646376E0,4.249707848397E0,-3.315E1)); +#7981=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7982=VECTOR('',#7981,7.525E0); +#7983=CARTESIAN_POINT('',(-2.E0,3.35E0,-1.2475E1)); +#7984=LINE('',#7983,#7982); +#7985=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7986=VECTOR('',#7985,7.525E0); +#7987=CARTESIAN_POINT('',(-2.E0,4.65E0,-1.2475E1)); +#7988=LINE('',#7987,#7986); +#7989=CARTESIAN_POINT('',(-2.E0,4.E0,-1.2475E1)); +#7990=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7991=DIRECTION('',(0.E0,1.E0,0.E0)); +#7992=AXIS2_PLACEMENT_3D('',#7989,#7990,#7991); +#7994=CARTESIAN_POINT('',(-2.E0,4.E0,-1.2475E1)); +#7995=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7996=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7997=AXIS2_PLACEMENT_3D('',#7994,#7995,#7996); +#7999=CARTESIAN_POINT('',(-2.E0,1.35E0,-3.58E1)); +#8000=DIRECTION('',(0.E0,1.E0,0.E0)); +#8001=DIRECTION('',(1.238434703147E-1,0.E0,-9.923017660271E-1)); +#8002=AXIS2_PLACEMENT_3D('',#7999,#8000,#8001); +#8004=CARTESIAN_POINT('',(-2.080498255704E0,1.35E0,-3.644499614792E1)); +#8005=CARTESIAN_POINT('',(-2.073045759303E0,1.344969698E0,-3.644592625109E1)); +#8006=CARTESIAN_POINT('',(-2.058461795050E0,1.336417174804E0, +-3.644748405439E1)); +#8007=CARTESIAN_POINT('',(-2.037718367353E0,1.327895581573E0, +-3.644900758478E1)); +#8008=CARTESIAN_POINT('',(-2.018455534905E0,1.323256836482E0, +-3.644982753238E1)); +#8009=CARTESIAN_POINT('',(-2.000029131054E0,1.321786632137E0, +-3.645008608930E1)); +#8010=CARTESIAN_POINT('',(-1.981533299969E0,1.323253585731E0, +-3.644982810898E1)); +#8011=CARTESIAN_POINT('',(-1.962205262924E0,1.327918761548E0, +-3.644900348148E1)); +#8012=CARTESIAN_POINT('',(-1.941465751797E0,1.336455654124E0, +-3.644747710624E1)); +#8013=CARTESIAN_POINT('',(-1.926925184861E0,1.344989310171E0, +-3.644592262479E1)); +#8014=CARTESIAN_POINT('',(-1.919501744296E0,1.35E0,-3.644499614792E1)); +#8016=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8017=VECTOR('',#8016,1.597E1); +#8018=CARTESIAN_POINT('',(-2.E0,7.5E-1,-3.515E1)); +#8019=LINE('',#8018,#8017); +#8020=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8021=VECTOR('',#8020,1.597E1); +#8022=CARTESIAN_POINT('',(-2.E0,7.5E-1,-3.645E1)); +#8023=LINE('',#8022,#8021); +#8024=CARTESIAN_POINT('',(-2.E0,-1.522E1,-3.58E1)); +#8025=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8026=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8027=AXIS2_PLACEMENT_3D('',#8024,#8025,#8026); +#8029=CARTESIAN_POINT('',(-2.E0,-1.522E1,-3.58E1)); +#8030=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8031=DIRECTION('',(0.E0,0.E0,1.E0)); +#8032=AXIS2_PLACEMENT_3D('',#8029,#8030,#8031); +#8034=CARTESIAN_POINT('',(2.E0,4.E0,-3.315E1)); +#8035=DIRECTION('',(0.E0,0.E0,1.E0)); +#8036=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#8037=AXIS2_PLACEMENT_3D('',#8034,#8035,#8036); +#8039=CARTESIAN_POINT('',(2.600121646376E0,4.249707848397E0,-3.315E1)); +#8040=CARTESIAN_POINT('',(2.587619112076E0,4.279755127711E0,-3.306096024971E1)); +#8041=CARTESIAN_POINT('',(2.562614043477E0,4.328192224127E0,-3.291742555811E1)); +#8042=CARTESIAN_POINT('',(2.525106440579E0,4.384834501295E0,-3.274957627757E1)); +#8043=CARTESIAN_POINT('',(2.487598837680E0,4.431051102353E0,-3.261262162771E1)); +#8044=CARTESIAN_POINT('',(2.437588700482E0,4.482844343698E0,-3.245914159952E1)); +#8045=CARTESIAN_POINT('',(2.375076028985E0,4.533455814871E0,-3.230916353635E1)); +#8046=CARTESIAN_POINT('',(2.300060823188E0,4.578646523472E0,-3.217524893572E1)); +#8047=CARTESIAN_POINT('',(2.225045617391E0,4.611536979772E0,-3.207778393779E1)); +#8048=CARTESIAN_POINT('',(2.125025342995E0,4.641484785470E0,-3.198903895981E1)); +#8049=CARTESIAN_POINT('',(2.E0,4.655676809687E0,-3.194698342862E1)); +#8050=CARTESIAN_POINT('',(1.874974657005E0,4.641484785470E0,-3.198903895981E1)); +#8051=CARTESIAN_POINT('',(1.774954382609E0,4.611536979772E0,-3.207778393779E1)); +#8052=CARTESIAN_POINT('',(1.699939176812E0,4.578646523472E0,-3.217524893572E1)); +#8053=CARTESIAN_POINT('',(1.624923971015E0,4.533455814871E0,-3.230916353635E1)); +#8054=CARTESIAN_POINT('',(1.562411299518E0,4.482844343698E0,-3.245914159952E1)); +#8055=CARTESIAN_POINT('',(1.512401162320E0,4.431051102353E0,-3.261262162771E1)); +#8056=CARTESIAN_POINT('',(1.474893559421E0,4.384834501295E0,-3.274957627757E1)); +#8057=CARTESIAN_POINT('',(1.437385956523E0,4.328192224127E0,-3.291742555811E1)); +#8058=CARTESIAN_POINT('',(1.412380887924E0,4.279755127711E0,-3.306096024971E1)); +#8059=CARTESIAN_POINT('',(1.399878353624E0,4.249707848397E0,-3.315E1)); +#8061=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8062=VECTOR('',#8061,7.525E0); +#8063=CARTESIAN_POINT('',(2.E0,3.35E0,-1.2475E1)); +#8064=LINE('',#8063,#8062); +#8065=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8066=VECTOR('',#8065,7.525E0); +#8067=CARTESIAN_POINT('',(2.E0,4.65E0,-1.2475E1)); +#8068=LINE('',#8067,#8066); +#8069=CARTESIAN_POINT('',(2.E0,4.E0,-1.2475E1)); +#8070=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8071=DIRECTION('',(0.E0,1.E0,0.E0)); +#8072=AXIS2_PLACEMENT_3D('',#8069,#8070,#8071); +#8074=CARTESIAN_POINT('',(2.E0,4.E0,-1.2475E1)); +#8075=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8076=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8077=AXIS2_PLACEMENT_3D('',#8074,#8075,#8076); +#8079=CARTESIAN_POINT('',(2.E0,1.35E0,-3.58E1)); +#8080=DIRECTION('',(0.E0,1.E0,0.E0)); +#8081=DIRECTION('',(1.238434703146E-1,0.E0,-9.923017660271E-1)); +#8082=AXIS2_PLACEMENT_3D('',#8079,#8080,#8081); +#8084=CARTESIAN_POINT('',(1.919501744296E0,1.35E0,-3.644499614792E1)); +#8085=CARTESIAN_POINT('',(1.926954240697E0,1.344969698E0,-3.644592625109E1)); +#8086=CARTESIAN_POINT('',(1.941538204950E0,1.336417174804E0,-3.644748405439E1)); +#8087=CARTESIAN_POINT('',(1.962281632647E0,1.327895581573E0,-3.644900758478E1)); +#8088=CARTESIAN_POINT('',(1.981544465095E0,1.323256836482E0,-3.644982753238E1)); +#8089=CARTESIAN_POINT('',(1.999970868946E0,1.321786632137E0,-3.645008608930E1)); +#8090=CARTESIAN_POINT('',(2.018466700031E0,1.323253585731E0,-3.644982810898E1)); +#8091=CARTESIAN_POINT('',(2.037794737076E0,1.327918761548E0,-3.644900348148E1)); +#8092=CARTESIAN_POINT('',(2.058534248203E0,1.336455654123E0,-3.644747710624E1)); +#8093=CARTESIAN_POINT('',(2.073074815139E0,1.344989310171E0,-3.644592262479E1)); +#8094=CARTESIAN_POINT('',(2.080498255705E0,1.35E0,-3.644499614792E1)); +#8096=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8097=VECTOR('',#8096,1.597E1); +#8098=CARTESIAN_POINT('',(2.E0,7.5E-1,-3.515E1)); +#8099=LINE('',#8098,#8097); +#8100=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8101=VECTOR('',#8100,1.597E1); +#8102=CARTESIAN_POINT('',(2.E0,7.5E-1,-3.645E1)); +#8103=LINE('',#8102,#8101); +#8104=CARTESIAN_POINT('',(2.E0,-1.522E1,-3.58E1)); +#8105=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8106=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8107=AXIS2_PLACEMENT_3D('',#8104,#8105,#8106); +#8109=CARTESIAN_POINT('',(2.E0,-1.522E1,-3.58E1)); +#8110=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8111=DIRECTION('',(0.E0,0.E0,1.E0)); +#8112=AXIS2_PLACEMENT_3D('',#8109,#8110,#8111); +#8114=CARTESIAN_POINT('',(6.E0,4.E0,-3.315E1)); +#8115=DIRECTION('',(0.E0,0.E0,1.E0)); +#8116=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#8117=AXIS2_PLACEMENT_3D('',#8114,#8115,#8116); +#8119=CARTESIAN_POINT('',(6.600121646376E0,4.249707848397E0,-3.315E1)); +#8120=CARTESIAN_POINT('',(6.587619112076E0,4.279755127711E0,-3.306096024971E1)); +#8121=CARTESIAN_POINT('',(6.562614043477E0,4.328192224127E0,-3.291742555811E1)); +#8122=CARTESIAN_POINT('',(6.525106440579E0,4.384834501295E0,-3.274957627757E1)); +#8123=CARTESIAN_POINT('',(6.487598837680E0,4.431051102353E0,-3.261262162771E1)); +#8124=CARTESIAN_POINT('',(6.437588700482E0,4.482844343698E0,-3.245914159952E1)); +#8125=CARTESIAN_POINT('',(6.375076028985E0,4.533455814871E0,-3.230916353635E1)); +#8126=CARTESIAN_POINT('',(6.300060823188E0,4.578646523472E0,-3.217524893572E1)); +#8127=CARTESIAN_POINT('',(6.225045617391E0,4.611536979772E0,-3.207778393779E1)); +#8128=CARTESIAN_POINT('',(6.125025342995E0,4.641484785470E0,-3.198903895981E1)); +#8129=CARTESIAN_POINT('',(6.E0,4.655676809687E0,-3.194698342862E1)); +#8130=CARTESIAN_POINT('',(5.874974657005E0,4.641484785470E0,-3.198903895981E1)); +#8131=CARTESIAN_POINT('',(5.774954382609E0,4.611536979772E0,-3.207778393779E1)); +#8132=CARTESIAN_POINT('',(5.699939176812E0,4.578646523472E0,-3.217524893572E1)); +#8133=CARTESIAN_POINT('',(5.624923971015E0,4.533455814871E0,-3.230916353635E1)); +#8134=CARTESIAN_POINT('',(5.562411299518E0,4.482844343698E0,-3.245914159952E1)); +#8135=CARTESIAN_POINT('',(5.512401162320E0,4.431051102353E0,-3.261262162771E1)); +#8136=CARTESIAN_POINT('',(5.474893559421E0,4.384834501295E0,-3.274957627757E1)); +#8137=CARTESIAN_POINT('',(5.437385956523E0,4.328192224127E0,-3.291742555811E1)); +#8138=CARTESIAN_POINT('',(5.412380887924E0,4.279755127711E0,-3.306096024971E1)); +#8139=CARTESIAN_POINT('',(5.399878353624E0,4.249707848397E0,-3.315E1)); +#8141=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8142=VECTOR('',#8141,7.525E0); +#8143=CARTESIAN_POINT('',(6.E0,3.35E0,-1.2475E1)); +#8144=LINE('',#8143,#8142); +#8145=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8146=VECTOR('',#8145,7.525E0); +#8147=CARTESIAN_POINT('',(6.E0,4.65E0,-1.2475E1)); +#8148=LINE('',#8147,#8146); +#8149=CARTESIAN_POINT('',(6.E0,4.E0,-1.2475E1)); +#8150=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8151=DIRECTION('',(0.E0,1.E0,0.E0)); +#8152=AXIS2_PLACEMENT_3D('',#8149,#8150,#8151); +#8154=CARTESIAN_POINT('',(6.E0,4.E0,-1.2475E1)); +#8155=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8156=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8157=AXIS2_PLACEMENT_3D('',#8154,#8155,#8156); +#8159=CARTESIAN_POINT('',(6.E0,1.35E0,-3.58E1)); +#8160=DIRECTION('',(0.E0,1.E0,0.E0)); +#8161=DIRECTION('',(1.238434703147E-1,0.E0,-9.923017660271E-1)); +#8162=AXIS2_PLACEMENT_3D('',#8159,#8160,#8161); +#8164=CARTESIAN_POINT('',(5.919501744296E0,1.35E0,-3.644499614792E1)); +#8165=CARTESIAN_POINT('',(5.926954240697E0,1.344969698E0,-3.644592625109E1)); +#8166=CARTESIAN_POINT('',(5.941538204950E0,1.336417174804E0,-3.644748405439E1)); +#8167=CARTESIAN_POINT('',(5.962281632647E0,1.327895581573E0,-3.644900758478E1)); +#8168=CARTESIAN_POINT('',(5.981544465095E0,1.323256836482E0,-3.644982753238E1)); +#8169=CARTESIAN_POINT('',(5.999970868946E0,1.321786632137E0,-3.645008608930E1)); +#8170=CARTESIAN_POINT('',(6.018466700031E0,1.323253585731E0,-3.644982810898E1)); +#8171=CARTESIAN_POINT('',(6.037794737076E0,1.327918761548E0,-3.644900348148E1)); +#8172=CARTESIAN_POINT('',(6.058534248203E0,1.336455654124E0,-3.644747710624E1)); +#8173=CARTESIAN_POINT('',(6.073074815139E0,1.344989310171E0,-3.644592262479E1)); +#8174=CARTESIAN_POINT('',(6.080498255705E0,1.35E0,-3.644499614792E1)); +#8176=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8177=VECTOR('',#8176,1.597E1); +#8178=CARTESIAN_POINT('',(6.E0,7.5E-1,-3.515E1)); +#8179=LINE('',#8178,#8177); +#8180=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8181=VECTOR('',#8180,1.597E1); +#8182=CARTESIAN_POINT('',(6.E0,7.5E-1,-3.645E1)); +#8183=LINE('',#8182,#8181); +#8184=CARTESIAN_POINT('',(6.E0,-1.522E1,-3.58E1)); +#8185=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8186=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8187=AXIS2_PLACEMENT_3D('',#8184,#8185,#8186); +#8189=CARTESIAN_POINT('',(6.E0,-1.522E1,-3.58E1)); +#8190=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8191=DIRECTION('',(0.E0,0.E0,1.E0)); +#8192=AXIS2_PLACEMENT_3D('',#8189,#8190,#8191); +#8194=CARTESIAN_POINT('',(1.E1,4.E0,-3.315E1)); +#8195=DIRECTION('',(0.E0,0.E0,1.E0)); +#8196=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#8197=AXIS2_PLACEMENT_3D('',#8194,#8195,#8196); +#8199=CARTESIAN_POINT('',(1.060012164638E1,4.249707848397E0,-3.315E1)); +#8200=CARTESIAN_POINT('',(1.058761911208E1,4.279755127711E0,-3.306096024971E1)); +#8201=CARTESIAN_POINT('',(1.056261404348E1,4.328192224127E0,-3.291742555811E1)); +#8202=CARTESIAN_POINT('',(1.052510644058E1,4.384834501295E0,-3.274957627757E1)); +#8203=CARTESIAN_POINT('',(1.048759883768E1,4.431051102353E0,-3.261262162771E1)); +#8204=CARTESIAN_POINT('',(1.043758870048E1,4.482844343698E0,-3.245914159952E1)); +#8205=CARTESIAN_POINT('',(1.037507602898E1,4.533455814871E0,-3.230916353635E1)); +#8206=CARTESIAN_POINT('',(1.030006082319E1,4.578646523472E0,-3.217524893572E1)); +#8207=CARTESIAN_POINT('',(1.022504561739E1,4.611536979772E0,-3.207778393779E1)); +#8208=CARTESIAN_POINT('',(1.012502534299E1,4.641484785470E0,-3.198903895981E1)); +#8209=CARTESIAN_POINT('',(1.E1,4.655676809687E0,-3.194698342862E1)); +#8210=CARTESIAN_POINT('',(9.874974657005E0,4.641484785470E0,-3.198903895981E1)); +#8211=CARTESIAN_POINT('',(9.774954382609E0,4.611536979772E0,-3.207778393779E1)); +#8212=CARTESIAN_POINT('',(9.699939176812E0,4.578646523472E0,-3.217524893572E1)); +#8213=CARTESIAN_POINT('',(9.624923971015E0,4.533455814871E0,-3.230916353635E1)); +#8214=CARTESIAN_POINT('',(9.562411299518E0,4.482844343698E0,-3.245914159952E1)); +#8215=CARTESIAN_POINT('',(9.512401162320E0,4.431051102353E0,-3.261262162771E1)); +#8216=CARTESIAN_POINT('',(9.474893559421E0,4.384834501295E0,-3.274957627757E1)); +#8217=CARTESIAN_POINT('',(9.437385956523E0,4.328192224127E0,-3.291742555811E1)); +#8218=CARTESIAN_POINT('',(9.412380887924E0,4.279755127711E0,-3.306096024971E1)); +#8219=CARTESIAN_POINT('',(9.399878353624E0,4.249707848397E0,-3.315E1)); +#8221=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8222=VECTOR('',#8221,7.525E0); +#8223=CARTESIAN_POINT('',(1.E1,3.35E0,-1.2475E1)); +#8224=LINE('',#8223,#8222); +#8225=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8226=VECTOR('',#8225,7.525E0); +#8227=CARTESIAN_POINT('',(1.E1,4.65E0,-1.2475E1)); +#8228=LINE('',#8227,#8226); +#8229=CARTESIAN_POINT('',(1.E1,4.E0,-1.2475E1)); +#8230=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8231=DIRECTION('',(0.E0,1.E0,0.E0)); +#8232=AXIS2_PLACEMENT_3D('',#8229,#8230,#8231); +#8234=CARTESIAN_POINT('',(1.E1,4.E0,-1.2475E1)); +#8235=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8236=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8237=AXIS2_PLACEMENT_3D('',#8234,#8235,#8236); +#8239=CARTESIAN_POINT('',(1.E1,1.35E0,-3.58E1)); +#8240=DIRECTION('',(0.E0,1.E0,0.E0)); +#8241=DIRECTION('',(1.238434703146E-1,0.E0,-9.923017660271E-1)); +#8242=AXIS2_PLACEMENT_3D('',#8239,#8240,#8241); +#8244=CARTESIAN_POINT('',(9.919501744295E0,1.35E0,-3.644499614792E1)); +#8245=CARTESIAN_POINT('',(9.926954240697E0,1.344969698001E0,-3.644592625109E1)); +#8246=CARTESIAN_POINT('',(9.941538204950E0,1.336417174804E0,-3.644748405439E1)); +#8247=CARTESIAN_POINT('',(9.962281632647E0,1.327895581573E0,-3.644900758478E1)); +#8248=CARTESIAN_POINT('',(9.981544465095E0,1.323256836482E0,-3.644982753238E1)); +#8249=CARTESIAN_POINT('',(9.999970868946E0,1.321786632137E0,-3.645008608930E1)); +#8250=CARTESIAN_POINT('',(1.001846670003E1,1.323253585731E0,-3.644982810898E1)); +#8251=CARTESIAN_POINT('',(1.003779473708E1,1.327918761548E0,-3.644900348148E1)); +#8252=CARTESIAN_POINT('',(1.005853424820E1,1.336455654124E0,-3.644747710624E1)); +#8253=CARTESIAN_POINT('',(1.007307481514E1,1.344989310171E0,-3.644592262479E1)); +#8254=CARTESIAN_POINT('',(1.008049825570E1,1.35E0,-3.644499614792E1)); +#8256=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8257=VECTOR('',#8256,1.597E1); +#8258=CARTESIAN_POINT('',(1.E1,7.5E-1,-3.515E1)); +#8259=LINE('',#8258,#8257); +#8260=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8261=VECTOR('',#8260,1.597E1); +#8262=CARTESIAN_POINT('',(1.E1,7.5E-1,-3.645E1)); +#8263=LINE('',#8262,#8261); +#8264=CARTESIAN_POINT('',(1.E1,-1.522E1,-3.58E1)); +#8265=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8266=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8267=AXIS2_PLACEMENT_3D('',#8264,#8265,#8266); +#8269=CARTESIAN_POINT('',(1.E1,-1.522E1,-3.58E1)); +#8270=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8271=DIRECTION('',(0.E0,0.E0,1.E0)); +#8272=AXIS2_PLACEMENT_3D('',#8269,#8270,#8271); +#8274=CARTESIAN_POINT('',(1.4E1,4.E0,-3.315E1)); +#8275=DIRECTION('',(0.E0,0.E0,1.E0)); +#8276=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#8277=AXIS2_PLACEMENT_3D('',#8274,#8275,#8276); +#8279=CARTESIAN_POINT('',(1.460012164638E1,4.249707848397E0,-3.315E1)); +#8280=CARTESIAN_POINT('',(1.458761911208E1,4.279755127711E0,-3.306096024971E1)); +#8281=CARTESIAN_POINT('',(1.456261404348E1,4.328192224127E0,-3.291742555811E1)); +#8282=CARTESIAN_POINT('',(1.452510644058E1,4.384834501295E0,-3.274957627757E1)); +#8283=CARTESIAN_POINT('',(1.448759883768E1,4.431051102353E0,-3.261262162771E1)); +#8284=CARTESIAN_POINT('',(1.443758870048E1,4.482844343698E0,-3.245914159952E1)); +#8285=CARTESIAN_POINT('',(1.437507602898E1,4.533455814871E0,-3.230916353635E1)); +#8286=CARTESIAN_POINT('',(1.430006082319E1,4.578646523472E0,-3.217524893572E1)); +#8287=CARTESIAN_POINT('',(1.422504561739E1,4.611536979772E0,-3.207778393779E1)); +#8288=CARTESIAN_POINT('',(1.412502534299E1,4.641484785470E0,-3.198903895981E1)); +#8289=CARTESIAN_POINT('',(1.4E1,4.655676809687E0,-3.194698342862E1)); +#8290=CARTESIAN_POINT('',(1.387497465701E1,4.641484785470E0,-3.198903895981E1)); +#8291=CARTESIAN_POINT('',(1.377495438261E1,4.611536979772E0,-3.207778393779E1)); +#8292=CARTESIAN_POINT('',(1.369993917681E1,4.578646523472E0,-3.217524893572E1)); +#8293=CARTESIAN_POINT('',(1.362492397102E1,4.533455814871E0,-3.230916353635E1)); +#8294=CARTESIAN_POINT('',(1.356241129952E1,4.482844343698E0,-3.245914159952E1)); +#8295=CARTESIAN_POINT('',(1.351240116232E1,4.431051102353E0,-3.261262162771E1)); +#8296=CARTESIAN_POINT('',(1.347489355942E1,4.384834501295E0,-3.274957627757E1)); +#8297=CARTESIAN_POINT('',(1.343738595652E1,4.328192224127E0,-3.291742555811E1)); +#8298=CARTESIAN_POINT('',(1.341238088792E1,4.279755127711E0,-3.306096024971E1)); +#8299=CARTESIAN_POINT('',(1.339987835362E1,4.249707848397E0,-3.315E1)); +#8301=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8302=VECTOR('',#8301,7.525E0); +#8303=CARTESIAN_POINT('',(1.4E1,3.35E0,-1.2475E1)); +#8304=LINE('',#8303,#8302); +#8305=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8306=VECTOR('',#8305,7.525E0); +#8307=CARTESIAN_POINT('',(1.4E1,4.65E0,-1.2475E1)); +#8308=LINE('',#8307,#8306); +#8309=CARTESIAN_POINT('',(1.4E1,4.E0,-1.2475E1)); +#8310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8311=DIRECTION('',(0.E0,1.E0,0.E0)); +#8312=AXIS2_PLACEMENT_3D('',#8309,#8310,#8311); +#8314=CARTESIAN_POINT('',(1.4E1,4.E0,-1.2475E1)); +#8315=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8316=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8317=AXIS2_PLACEMENT_3D('',#8314,#8315,#8316); +#8319=CARTESIAN_POINT('',(1.4E1,1.35E0,-3.58E1)); +#8320=DIRECTION('',(0.E0,1.E0,0.E0)); +#8321=DIRECTION('',(1.238433570355E-1,0.E0,-9.923017801648E-1)); +#8322=AXIS2_PLACEMENT_3D('',#8319,#8320,#8321); +#8324=CARTESIAN_POINT('',(1.391950166188E1,1.350000064341E0,-3.644499613709E1)); +#8325=CARTESIAN_POINT('',(1.392695411236E1,1.344969788828E0,-3.644592623547E1)); +#8326=CARTESIAN_POINT('',(1.394153799976E1,1.336417274547E0,-3.644748403592E1)); +#8327=CARTESIAN_POINT('',(1.396228147362E1,1.327895632265E0,-3.644900757592E1)); +#8328=CARTESIAN_POINT('',(1.398154431020E1,1.323256861289E0,-3.644982752797E1)); +#8329=CARTESIAN_POINT('',(1.399997072305E1,1.321786632072E0,-3.645008608932E1)); +#8330=CARTESIAN_POINT('',(1.401846654890E1,1.323253561319E0,-3.644982811331E1)); +#8331=CARTESIAN_POINT('',(1.403779458592E1,1.327918713039E0,-3.644900348996E1)); +#8332=CARTESIAN_POINT('',(1.405853405725E1,1.336455561010E0,-3.644747712347E1)); +#8333=CARTESIAN_POINT('',(1.407307469574E1,1.344989225849E0,-3.644592263932E1)); +#8334=CARTESIAN_POINT('',(1.408049817941E1,1.349999940653E0,-3.644499615793E1)); +#8336=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8337=VECTOR('',#8336,1.597E1); +#8338=CARTESIAN_POINT('',(1.4E1,7.5E-1,-3.515E1)); +#8339=LINE('',#8338,#8337); +#8340=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8341=VECTOR('',#8340,1.597E1); +#8342=CARTESIAN_POINT('',(1.4E1,7.5E-1,-3.645E1)); +#8343=LINE('',#8342,#8341); +#8344=CARTESIAN_POINT('',(1.4E1,-1.522E1,-3.58E1)); +#8345=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8346=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8347=AXIS2_PLACEMENT_3D('',#8344,#8345,#8346); +#8349=CARTESIAN_POINT('',(1.4E1,-1.522E1,-3.58E1)); +#8350=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8351=DIRECTION('',(0.E0,0.E0,1.E0)); +#8352=AXIS2_PLACEMENT_3D('',#8349,#8350,#8351); +#8354=CARTESIAN_POINT('',(1.8E1,4.E0,-3.315E1)); +#8355=DIRECTION('',(0.E0,0.E0,1.E0)); +#8356=DIRECTION('',(9.232640713473E-1,3.841659206113E-1,0.E0)); +#8357=AXIS2_PLACEMENT_3D('',#8354,#8355,#8356); +#8359=CARTESIAN_POINT('',(1.860012164638E1,4.249707848397E0,-3.315E1)); +#8360=CARTESIAN_POINT('',(1.858761911208E1,4.279755127711E0,-3.306096024971E1)); +#8361=CARTESIAN_POINT('',(1.856261404348E1,4.328192224127E0,-3.291742555811E1)); +#8362=CARTESIAN_POINT('',(1.852510644058E1,4.384834501295E0,-3.274957627757E1)); +#8363=CARTESIAN_POINT('',(1.848759883768E1,4.431051102353E0,-3.261262162771E1)); +#8364=CARTESIAN_POINT('',(1.843758870048E1,4.482844343698E0,-3.245914159952E1)); +#8365=CARTESIAN_POINT('',(1.837507602898E1,4.533455814871E0,-3.230916353635E1)); +#8366=CARTESIAN_POINT('',(1.830006082319E1,4.578646523472E0,-3.217524893572E1)); +#8367=CARTESIAN_POINT('',(1.822504561739E1,4.611536979772E0,-3.207778393779E1)); +#8368=CARTESIAN_POINT('',(1.812502534299E1,4.641484785470E0,-3.198903895981E1)); +#8369=CARTESIAN_POINT('',(1.8E1,4.655676809687E0,-3.194698342862E1)); +#8370=CARTESIAN_POINT('',(1.787497465701E1,4.641484785470E0,-3.198903895981E1)); +#8371=CARTESIAN_POINT('',(1.777495438261E1,4.611536979772E0,-3.207778393779E1)); +#8372=CARTESIAN_POINT('',(1.769993917681E1,4.578646523472E0,-3.217524893572E1)); +#8373=CARTESIAN_POINT('',(1.762492397102E1,4.533455814871E0,-3.230916353635E1)); +#8374=CARTESIAN_POINT('',(1.756241129952E1,4.482844343698E0,-3.245914159952E1)); +#8375=CARTESIAN_POINT('',(1.751240116232E1,4.431051102353E0,-3.261262162771E1)); +#8376=CARTESIAN_POINT('',(1.747489355942E1,4.384834501295E0,-3.274957627757E1)); +#8377=CARTESIAN_POINT('',(1.743738595652E1,4.328192224127E0,-3.291742555811E1)); +#8378=CARTESIAN_POINT('',(1.741238088792E1,4.279755127711E0,-3.306096024971E1)); +#8379=CARTESIAN_POINT('',(1.739987835362E1,4.249707848397E0,-3.315E1)); +#8381=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8382=VECTOR('',#8381,7.525E0); +#8383=CARTESIAN_POINT('',(1.8E1,3.35E0,-1.2475E1)); +#8384=LINE('',#8383,#8382); +#8385=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8386=VECTOR('',#8385,7.525E0); +#8387=CARTESIAN_POINT('',(1.8E1,4.65E0,-1.2475E1)); +#8388=LINE('',#8387,#8386); +#8389=CARTESIAN_POINT('',(1.8E1,4.E0,-1.2475E1)); +#8390=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8391=DIRECTION('',(0.E0,1.E0,0.E0)); +#8392=AXIS2_PLACEMENT_3D('',#8389,#8390,#8391); +#8394=CARTESIAN_POINT('',(1.8E1,4.E0,-1.2475E1)); +#8395=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8396=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8397=AXIS2_PLACEMENT_3D('',#8394,#8395,#8396); +#8399=CARTESIAN_POINT('',(1.8E1,1.35E0,-3.58E1)); +#8400=DIRECTION('',(0.E0,1.E0,0.E0)); +#8401=DIRECTION('',(1.238434703146E-1,0.E0,-9.923017660271E-1)); +#8402=AXIS2_PLACEMENT_3D('',#8399,#8400,#8401); +#8404=CARTESIAN_POINT('',(1.791950174430E1,1.35E0,-3.644499614792E1)); +#8405=CARTESIAN_POINT('',(1.792695424070E1,1.344969698001E0,-3.644592625109E1)); +#8406=CARTESIAN_POINT('',(1.794153820495E1,1.336417174804E0,-3.644748405439E1)); +#8407=CARTESIAN_POINT('',(1.796228163265E1,1.327895581573E0,-3.644900758478E1)); +#8408=CARTESIAN_POINT('',(1.798154446510E1,1.323256836482E0,-3.644982753238E1)); +#8409=CARTESIAN_POINT('',(1.799997086895E1,1.321786632137E0,-3.645008608930E1)); +#8410=CARTESIAN_POINT('',(1.801846670003E1,1.323253585731E0,-3.644982810898E1)); +#8411=CARTESIAN_POINT('',(1.803779473708E1,1.327918761548E0,-3.644900348148E1)); +#8412=CARTESIAN_POINT('',(1.805853424820E1,1.336455654123E0,-3.644747710624E1)); +#8413=CARTESIAN_POINT('',(1.807307481514E1,1.344989310171E0,-3.644592262479E1)); +#8414=CARTESIAN_POINT('',(1.808049825570E1,1.35E0,-3.644499614792E1)); +#8416=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8417=VECTOR('',#8416,1.597E1); +#8418=CARTESIAN_POINT('',(1.8E1,7.5E-1,-3.515E1)); +#8419=LINE('',#8418,#8417); +#8420=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8421=VECTOR('',#8420,1.597E1); +#8422=CARTESIAN_POINT('',(1.8E1,7.5E-1,-3.645E1)); +#8423=LINE('',#8422,#8421); +#8424=CARTESIAN_POINT('',(1.8E1,-1.522E1,-3.58E1)); +#8425=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8426=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8427=AXIS2_PLACEMENT_3D('',#8424,#8425,#8426); +#8429=CARTESIAN_POINT('',(1.8E1,-1.522E1,-3.58E1)); +#8430=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8431=DIRECTION('',(0.E0,0.E0,1.E0)); +#8432=AXIS2_PLACEMENT_3D('',#8429,#8430,#8431); +#8434=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8435=VECTOR('',#8434,7.525E0); +#8436=CARTESIAN_POINT('',(2.2E1,4.65E0,-1.2475E1)); +#8437=LINE('',#8436,#8435); +#8438=CARTESIAN_POINT('',(2.2E1,4.E0,-1.2475E1)); +#8439=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8440=DIRECTION('',(0.E0,1.E0,0.E0)); +#8441=AXIS2_PLACEMENT_3D('',#8438,#8439,#8440); +#8443=CARTESIAN_POINT('',(2.2E1,4.E0,-1.2475E1)); +#8444=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8445=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8446=AXIS2_PLACEMENT_3D('',#8443,#8444,#8445); +#8448=CARTESIAN_POINT('',(2.2E1,1.35E0,-3.58E1)); +#8449=DIRECTION('',(0.E0,1.E0,0.E0)); +#8450=DIRECTION('',(1.238434703146E-1,0.E0,-9.923017660271E-1)); +#8451=AXIS2_PLACEMENT_3D('',#8448,#8449,#8450); +#8453=CARTESIAN_POINT('',(2.191950174430E1,1.35E0,-3.644499614792E1)); +#8454=CARTESIAN_POINT('',(2.192695424070E1,1.344969698E0,-3.644592625109E1)); +#8455=CARTESIAN_POINT('',(2.194153820495E1,1.336417174804E0,-3.644748405439E1)); +#8456=CARTESIAN_POINT('',(2.196228163265E1,1.327895581573E0,-3.644900758478E1)); +#8457=CARTESIAN_POINT('',(2.198154446510E1,1.323256836482E0,-3.644982753238E1)); +#8458=CARTESIAN_POINT('',(2.199997086895E1,1.321786632137E0,-3.645008608930E1)); +#8459=CARTESIAN_POINT('',(2.201846670003E1,1.323253585731E0,-3.644982810898E1)); +#8460=CARTESIAN_POINT('',(2.203779473708E1,1.327918761548E0,-3.644900348148E1)); +#8461=CARTESIAN_POINT('',(2.205853424820E1,1.336455654123E0,-3.644747710624E1)); +#8462=CARTESIAN_POINT('',(2.207307481514E1,1.344989310171E0,-3.644592262479E1)); +#8463=CARTESIAN_POINT('',(2.208049825570E1,1.35E0,-3.644499614792E1)); +#8465=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8466=VECTOR('',#8465,1.597E1); +#8467=CARTESIAN_POINT('',(2.2E1,7.5E-1,-3.515E1)); +#8468=LINE('',#8467,#8466); +#8469=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8470=VECTOR('',#8469,1.597E1); +#8471=CARTESIAN_POINT('',(2.2E1,7.5E-1,-3.645E1)); +#8472=LINE('',#8471,#8470); +#8473=CARTESIAN_POINT('',(2.2E1,-1.522E1,-3.58E1)); +#8474=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8475=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8476=AXIS2_PLACEMENT_3D('',#8473,#8474,#8475); +#8478=CARTESIAN_POINT('',(2.2E1,-1.522E1,-3.58E1)); +#8479=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8480=DIRECTION('',(0.E0,0.E0,1.E0)); +#8481=AXIS2_PLACEMENT_3D('',#8478,#8479,#8480); +#8483=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8484=VECTOR('',#8483,1.31E1); +#8485=CARTESIAN_POINT('',(-2.33E1,7.5E-1,-2.2E1)); +#8486=LINE('',#8485,#8484); +#8487=DIRECTION('',(0.E0,1.E0,0.E0)); +#8488=VECTOR('',#8487,4.E0); +#8489=CARTESIAN_POINT('',(-2.33E1,-3.25E0,-2.2E1)); +#8490=LINE('',#8489,#8488); +#8491=DIRECTION('',(0.E0,0.E0,1.E0)); +#8492=VECTOR('',#8491,9.1E0); +#8493=CARTESIAN_POINT('',(-2.33E1,-3.25E0,-3.11E1)); +#8494=LINE('',#8493,#8492); +#8495=DIRECTION('',(4.364081738927E-1,7.147225081652E-1,-5.465525062440E-1)); +#8496=VECTOR('',#8495,2.178251947394E0); +#8497=CARTESIAN_POINT('',(2.374939304536E1,-6.65E0,-2.85E1)); +#8498=LINE('',#8497,#8496); +#8499=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8500=VECTOR('',#8499,1.4E0); +#8501=CARTESIAN_POINT('',(2.47E1,-3.25E0,-3.11E1)); +#8502=LINE('',#8501,#8500); +#8503=DIRECTION('',(1.E0,0.E0,0.E0)); +#8504=VECTOR('',#8503,1.4E0); +#8505=CARTESIAN_POINT('',(-2.47E1,-3.25E0,-3.11E1)); +#8506=LINE('',#8505,#8504); +#8507=DIRECTION('',(-4.364081738927E-1,7.147225081652E-1,-5.465525062440E-1)); +#8508=VECTOR('',#8507,2.178251947394E0); +#8509=CARTESIAN_POINT('',(-2.374939304536E1,-6.65E0,-2.85E1)); +#8510=LINE('',#8509,#8508); +#8511=DIRECTION('',(1.E0,0.E0,0.E0)); +#8512=VECTOR('',#8511,4.749878609072E1); +#8513=CARTESIAN_POINT('',(-2.374939304536E1,-6.65E0,-2.85E1)); +#8514=LINE('',#8513,#8512); +#8515=DIRECTION('',(5.211311565163E-1,8.534766064211E-1,0.E0)); +#8516=VECTOR('',#8515,4.100873970848E-1); +#8517=CARTESIAN_POINT('',(2.353568372584E1,-7.E0,-2.85E1)); +#8518=LINE('',#8517,#8516); +#8519=DIRECTION('',(-5.211311565163E-1,8.534766064211E-1,0.E0)); +#8520=VECTOR('',#8519,4.100873970848E-1); +#8521=CARTESIAN_POINT('',(-2.353568372584E1,-7.E0,-2.85E1)); +#8522=LINE('',#8521,#8520); +#8523=DIRECTION('',(1.E0,0.E0,0.E0)); +#8524=VECTOR('',#8523,4.707136745169E1); +#8525=CARTESIAN_POINT('',(-2.353568372584E1,-7.E0,-2.85E1)); +#8526=LINE('',#8525,#8524); +#8527=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8528=VECTOR('',#8527,1.4E0); +#8529=CARTESIAN_POINT('',(2.353568372584E1,-7.E0,-2.71E1)); +#8530=LINE('',#8529,#8528); +#8531=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8532=VECTOR('',#8531,1.4E0); +#8533=CARTESIAN_POINT('',(-2.353568372584E1,-7.E0,-2.71E1)); +#8534=LINE('',#8533,#8532); +#8535=DIRECTION('',(1.E0,0.E0,0.E0)); +#8536=VECTOR('',#8535,4.707136745169E1); +#8537=CARTESIAN_POINT('',(-2.353568372584E1,-7.E0,-2.71E1)); +#8538=LINE('',#8537,#8536); +#8539=CARTESIAN_POINT('',(-2.2E1,-7.E0,-2.78E1)); +#8540=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8541=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8542=AXIS2_PLACEMENT_3D('',#8539,#8540,#8541); +#8544=CARTESIAN_POINT('',(-2.2E1,-7.E0,-2.78E1)); +#8545=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8546=DIRECTION('',(0.E0,0.E0,1.E0)); +#8547=AXIS2_PLACEMENT_3D('',#8544,#8545,#8546); +#8549=CARTESIAN_POINT('',(-1.8E1,-7.E0,-2.78E1)); +#8550=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8551=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8552=AXIS2_PLACEMENT_3D('',#8549,#8550,#8551); +#8554=CARTESIAN_POINT('',(-1.8E1,-7.E0,-2.78E1)); +#8555=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8556=DIRECTION('',(0.E0,0.E0,1.E0)); +#8557=AXIS2_PLACEMENT_3D('',#8554,#8555,#8556); +#8559=CARTESIAN_POINT('',(-1.4E1,-7.E0,-2.78E1)); +#8560=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8561=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8562=AXIS2_PLACEMENT_3D('',#8559,#8560,#8561); +#8564=CARTESIAN_POINT('',(-1.4E1,-7.E0,-2.78E1)); +#8565=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8566=DIRECTION('',(0.E0,0.E0,1.E0)); +#8567=AXIS2_PLACEMENT_3D('',#8564,#8565,#8566); +#8569=CARTESIAN_POINT('',(-1.E1,-7.E0,-2.78E1)); +#8570=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8571=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8572=AXIS2_PLACEMENT_3D('',#8569,#8570,#8571); +#8574=CARTESIAN_POINT('',(-1.E1,-7.E0,-2.78E1)); +#8575=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8576=DIRECTION('',(0.E0,0.E0,1.E0)); +#8577=AXIS2_PLACEMENT_3D('',#8574,#8575,#8576); +#8579=CARTESIAN_POINT('',(-6.E0,-7.E0,-2.78E1)); +#8580=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8581=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8582=AXIS2_PLACEMENT_3D('',#8579,#8580,#8581); +#8584=CARTESIAN_POINT('',(-6.E0,-7.E0,-2.78E1)); +#8585=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8586=DIRECTION('',(0.E0,0.E0,1.E0)); +#8587=AXIS2_PLACEMENT_3D('',#8584,#8585,#8586); +#8589=CARTESIAN_POINT('',(-2.E0,-7.E0,-2.78E1)); +#8590=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8591=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8592=AXIS2_PLACEMENT_3D('',#8589,#8590,#8591); +#8594=CARTESIAN_POINT('',(-2.E0,-7.E0,-2.78E1)); +#8595=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8596=DIRECTION('',(0.E0,0.E0,1.E0)); +#8597=AXIS2_PLACEMENT_3D('',#8594,#8595,#8596); +#8599=CARTESIAN_POINT('',(2.E0,-7.E0,-2.78E1)); +#8600=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8601=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8602=AXIS2_PLACEMENT_3D('',#8599,#8600,#8601); +#8604=CARTESIAN_POINT('',(2.E0,-7.E0,-2.78E1)); +#8605=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8606=DIRECTION('',(0.E0,0.E0,1.E0)); +#8607=AXIS2_PLACEMENT_3D('',#8604,#8605,#8606); +#8609=CARTESIAN_POINT('',(6.E0,-7.E0,-2.78E1)); +#8610=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8611=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8612=AXIS2_PLACEMENT_3D('',#8609,#8610,#8611); +#8614=CARTESIAN_POINT('',(6.E0,-7.E0,-2.78E1)); +#8615=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8616=DIRECTION('',(0.E0,0.E0,1.E0)); +#8617=AXIS2_PLACEMENT_3D('',#8614,#8615,#8616); +#8619=CARTESIAN_POINT('',(1.E1,-7.E0,-2.78E1)); +#8620=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8621=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8622=AXIS2_PLACEMENT_3D('',#8619,#8620,#8621); +#8624=CARTESIAN_POINT('',(1.E1,-7.E0,-2.78E1)); +#8625=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8626=DIRECTION('',(0.E0,0.E0,1.E0)); +#8627=AXIS2_PLACEMENT_3D('',#8624,#8625,#8626); +#8629=CARTESIAN_POINT('',(1.4E1,-7.E0,-2.78E1)); +#8630=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8631=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8632=AXIS2_PLACEMENT_3D('',#8629,#8630,#8631); +#8634=CARTESIAN_POINT('',(1.4E1,-7.E0,-2.78E1)); +#8635=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8636=DIRECTION('',(0.E0,0.E0,1.E0)); +#8637=AXIS2_PLACEMENT_3D('',#8634,#8635,#8636); +#8639=CARTESIAN_POINT('',(1.8E1,-7.E0,-2.78E1)); +#8640=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8641=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8642=AXIS2_PLACEMENT_3D('',#8639,#8640,#8641); +#8644=CARTESIAN_POINT('',(1.8E1,-7.E0,-2.78E1)); +#8645=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8646=DIRECTION('',(0.E0,0.E0,1.E0)); +#8647=AXIS2_PLACEMENT_3D('',#8644,#8645,#8646); +#8649=CARTESIAN_POINT('',(2.2E1,-7.E0,-2.78E1)); +#8650=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8651=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8652=AXIS2_PLACEMENT_3D('',#8649,#8650,#8651); +#8654=CARTESIAN_POINT('',(2.2E1,-7.E0,-2.78E1)); +#8655=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8656=DIRECTION('',(0.E0,0.E0,1.E0)); +#8657=AXIS2_PLACEMENT_3D('',#8654,#8655,#8656); +#8659=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8660=VECTOR('',#8659,8.22E0); +#8661=CARTESIAN_POINT('',(-2.2E1,-7.E0,-2.845E1)); +#8662=LINE('',#8661,#8660); +#8663=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8664=VECTOR('',#8663,8.22E0); +#8665=CARTESIAN_POINT('',(-2.2E1,-7.E0,-2.715E1)); +#8666=LINE('',#8665,#8664); +#8667=CARTESIAN_POINT('',(-2.2E1,-1.522E1,-2.78E1)); +#8668=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8669=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8670=AXIS2_PLACEMENT_3D('',#8667,#8668,#8669); +#8672=CARTESIAN_POINT('',(-2.2E1,-1.522E1,-2.78E1)); +#8673=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8674=DIRECTION('',(0.E0,0.E0,1.E0)); +#8675=AXIS2_PLACEMENT_3D('',#8672,#8673,#8674); +#8677=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8678=VECTOR('',#8677,8.22E0); +#8679=CARTESIAN_POINT('',(-1.8E1,-7.E0,-2.845E1)); +#8680=LINE('',#8679,#8678); +#8681=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8682=VECTOR('',#8681,8.22E0); +#8683=CARTESIAN_POINT('',(-1.8E1,-7.E0,-2.715E1)); +#8684=LINE('',#8683,#8682); +#8685=CARTESIAN_POINT('',(-1.8E1,-1.522E1,-2.78E1)); +#8686=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8687=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8688=AXIS2_PLACEMENT_3D('',#8685,#8686,#8687); +#8690=CARTESIAN_POINT('',(-1.8E1,-1.522E1,-2.78E1)); +#8691=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8692=DIRECTION('',(0.E0,0.E0,1.E0)); +#8693=AXIS2_PLACEMENT_3D('',#8690,#8691,#8692); +#8695=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8696=VECTOR('',#8695,8.22E0); +#8697=CARTESIAN_POINT('',(-1.4E1,-7.E0,-2.845E1)); +#8698=LINE('',#8697,#8696); +#8699=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8700=VECTOR('',#8699,8.22E0); +#8701=CARTESIAN_POINT('',(-1.4E1,-7.E0,-2.715E1)); +#8702=LINE('',#8701,#8700); +#8703=CARTESIAN_POINT('',(-1.4E1,-1.522E1,-2.78E1)); +#8704=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8705=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8706=AXIS2_PLACEMENT_3D('',#8703,#8704,#8705); +#8708=CARTESIAN_POINT('',(-1.4E1,-1.522E1,-2.78E1)); +#8709=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8710=DIRECTION('',(0.E0,0.E0,1.E0)); +#8711=AXIS2_PLACEMENT_3D('',#8708,#8709,#8710); +#8713=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8714=VECTOR('',#8713,8.22E0); +#8715=CARTESIAN_POINT('',(-1.E1,-7.E0,-2.845E1)); +#8716=LINE('',#8715,#8714); +#8717=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8718=VECTOR('',#8717,8.22E0); +#8719=CARTESIAN_POINT('',(-1.E1,-7.E0,-2.715E1)); +#8720=LINE('',#8719,#8718); +#8721=CARTESIAN_POINT('',(-1.E1,-1.522E1,-2.78E1)); +#8722=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8723=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8724=AXIS2_PLACEMENT_3D('',#8721,#8722,#8723); +#8726=CARTESIAN_POINT('',(-1.E1,-1.522E1,-2.78E1)); +#8727=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8728=DIRECTION('',(0.E0,0.E0,1.E0)); +#8729=AXIS2_PLACEMENT_3D('',#8726,#8727,#8728); +#8731=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8732=VECTOR('',#8731,8.22E0); +#8733=CARTESIAN_POINT('',(-6.E0,-7.E0,-2.845E1)); +#8734=LINE('',#8733,#8732); +#8735=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8736=VECTOR('',#8735,8.22E0); +#8737=CARTESIAN_POINT('',(-6.E0,-7.E0,-2.715E1)); +#8738=LINE('',#8737,#8736); +#8739=CARTESIAN_POINT('',(-6.E0,-1.522E1,-2.78E1)); +#8740=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8741=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8742=AXIS2_PLACEMENT_3D('',#8739,#8740,#8741); +#8744=CARTESIAN_POINT('',(-6.E0,-1.522E1,-2.78E1)); +#8745=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8746=DIRECTION('',(0.E0,0.E0,1.E0)); +#8747=AXIS2_PLACEMENT_3D('',#8744,#8745,#8746); +#8749=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8750=VECTOR('',#8749,8.22E0); +#8751=CARTESIAN_POINT('',(-2.E0,-7.E0,-2.845E1)); +#8752=LINE('',#8751,#8750); +#8753=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8754=VECTOR('',#8753,8.22E0); +#8755=CARTESIAN_POINT('',(-2.E0,-7.E0,-2.715E1)); +#8756=LINE('',#8755,#8754); +#8757=CARTESIAN_POINT('',(-2.E0,-1.522E1,-2.78E1)); +#8758=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8759=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8760=AXIS2_PLACEMENT_3D('',#8757,#8758,#8759); +#8762=CARTESIAN_POINT('',(-2.E0,-1.522E1,-2.78E1)); +#8763=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8764=DIRECTION('',(0.E0,0.E0,1.E0)); +#8765=AXIS2_PLACEMENT_3D('',#8762,#8763,#8764); +#8767=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8768=VECTOR('',#8767,8.22E0); +#8769=CARTESIAN_POINT('',(2.E0,-7.E0,-2.845E1)); +#8770=LINE('',#8769,#8768); +#8771=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8772=VECTOR('',#8771,8.22E0); +#8773=CARTESIAN_POINT('',(2.E0,-7.E0,-2.715E1)); +#8774=LINE('',#8773,#8772); +#8775=CARTESIAN_POINT('',(2.E0,-1.522E1,-2.78E1)); +#8776=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8777=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8778=AXIS2_PLACEMENT_3D('',#8775,#8776,#8777); +#8780=CARTESIAN_POINT('',(2.E0,-1.522E1,-2.78E1)); +#8781=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8782=DIRECTION('',(0.E0,0.E0,1.E0)); +#8783=AXIS2_PLACEMENT_3D('',#8780,#8781,#8782); +#8785=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8786=VECTOR('',#8785,8.22E0); +#8787=CARTESIAN_POINT('',(6.E0,-7.E0,-2.845E1)); +#8788=LINE('',#8787,#8786); +#8789=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8790=VECTOR('',#8789,8.22E0); +#8791=CARTESIAN_POINT('',(6.E0,-7.E0,-2.715E1)); +#8792=LINE('',#8791,#8790); +#8793=CARTESIAN_POINT('',(6.E0,-1.522E1,-2.78E1)); +#8794=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8795=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8796=AXIS2_PLACEMENT_3D('',#8793,#8794,#8795); +#8798=CARTESIAN_POINT('',(6.E0,-1.522E1,-2.78E1)); +#8799=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8800=DIRECTION('',(0.E0,0.E0,1.E0)); +#8801=AXIS2_PLACEMENT_3D('',#8798,#8799,#8800); +#8803=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8804=VECTOR('',#8803,8.22E0); +#8805=CARTESIAN_POINT('',(1.E1,-7.E0,-2.845E1)); +#8806=LINE('',#8805,#8804); +#8807=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8808=VECTOR('',#8807,8.22E0); +#8809=CARTESIAN_POINT('',(1.E1,-7.E0,-2.715E1)); +#8810=LINE('',#8809,#8808); +#8811=CARTESIAN_POINT('',(1.E1,-1.522E1,-2.78E1)); +#8812=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8813=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8814=AXIS2_PLACEMENT_3D('',#8811,#8812,#8813); +#8816=CARTESIAN_POINT('',(1.E1,-1.522E1,-2.78E1)); +#8817=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8818=DIRECTION('',(0.E0,0.E0,1.E0)); +#8819=AXIS2_PLACEMENT_3D('',#8816,#8817,#8818); +#8821=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8822=VECTOR('',#8821,8.22E0); +#8823=CARTESIAN_POINT('',(1.4E1,-7.E0,-2.845E1)); +#8824=LINE('',#8823,#8822); +#8825=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8826=VECTOR('',#8825,8.22E0); +#8827=CARTESIAN_POINT('',(1.4E1,-7.E0,-2.715E1)); +#8828=LINE('',#8827,#8826); +#8829=CARTESIAN_POINT('',(1.4E1,-1.522E1,-2.78E1)); +#8830=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8831=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8832=AXIS2_PLACEMENT_3D('',#8829,#8830,#8831); +#8834=CARTESIAN_POINT('',(1.4E1,-1.522E1,-2.78E1)); +#8835=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8836=DIRECTION('',(0.E0,0.E0,1.E0)); +#8837=AXIS2_PLACEMENT_3D('',#8834,#8835,#8836); +#8839=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8840=VECTOR('',#8839,8.22E0); +#8841=CARTESIAN_POINT('',(1.8E1,-7.E0,-2.845E1)); +#8842=LINE('',#8841,#8840); +#8843=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8844=VECTOR('',#8843,8.22E0); +#8845=CARTESIAN_POINT('',(1.8E1,-7.E0,-2.715E1)); +#8846=LINE('',#8845,#8844); +#8847=CARTESIAN_POINT('',(1.8E1,-1.522E1,-2.78E1)); +#8848=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8849=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8850=AXIS2_PLACEMENT_3D('',#8847,#8848,#8849); +#8852=CARTESIAN_POINT('',(1.8E1,-1.522E1,-2.78E1)); +#8853=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8854=DIRECTION('',(0.E0,0.E0,1.E0)); +#8855=AXIS2_PLACEMENT_3D('',#8852,#8853,#8854); +#8857=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8858=VECTOR('',#8857,8.22E0); +#8859=CARTESIAN_POINT('',(2.2E1,-7.E0,-2.845E1)); +#8860=LINE('',#8859,#8858); +#8861=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8862=VECTOR('',#8861,8.22E0); +#8863=CARTESIAN_POINT('',(2.2E1,-7.E0,-2.715E1)); +#8864=LINE('',#8863,#8862); +#8865=CARTESIAN_POINT('',(2.2E1,-1.522E1,-2.78E1)); +#8866=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8867=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8868=AXIS2_PLACEMENT_3D('',#8865,#8866,#8867); +#8870=CARTESIAN_POINT('',(2.2E1,-1.522E1,-2.78E1)); +#8871=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8872=DIRECTION('',(0.E0,0.E0,1.E0)); +#8873=AXIS2_PLACEMENT_3D('',#8870,#8871,#8872); +#8875=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8876=VECTOR('',#8875,1.197E1); +#8877=CARTESIAN_POINT('',(-2.E1,-3.25E0,-3.245E1)); +#8878=LINE('',#8877,#8876); +#8879=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8880=VECTOR('',#8879,1.197E1); +#8881=CARTESIAN_POINT('',(-2.E1,-3.25E0,-3.115E1)); +#8882=LINE('',#8881,#8880); +#8883=CARTESIAN_POINT('',(-2.E1,-1.522E1,-3.18E1)); +#8884=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8885=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8886=AXIS2_PLACEMENT_3D('',#8883,#8884,#8885); +#8888=CARTESIAN_POINT('',(-2.E1,-1.522E1,-3.18E1)); +#8889=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8890=DIRECTION('',(0.E0,0.E0,1.E0)); +#8891=AXIS2_PLACEMENT_3D('',#8888,#8889,#8890); +#8893=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8894=VECTOR('',#8893,1.197E1); +#8895=CARTESIAN_POINT('',(-1.6E1,-3.25E0,-3.245E1)); +#8896=LINE('',#8895,#8894); +#8897=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8898=VECTOR('',#8897,1.197E1); +#8899=CARTESIAN_POINT('',(-1.6E1,-3.25E0,-3.115E1)); +#8900=LINE('',#8899,#8898); +#8901=CARTESIAN_POINT('',(-1.6E1,-1.522E1,-3.18E1)); +#8902=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8903=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8904=AXIS2_PLACEMENT_3D('',#8901,#8902,#8903); +#8906=CARTESIAN_POINT('',(-1.6E1,-1.522E1,-3.18E1)); +#8907=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8908=DIRECTION('',(0.E0,0.E0,1.E0)); +#8909=AXIS2_PLACEMENT_3D('',#8906,#8907,#8908); +#8911=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8912=VECTOR('',#8911,1.197E1); +#8913=CARTESIAN_POINT('',(-1.2E1,-3.25E0,-3.245E1)); +#8914=LINE('',#8913,#8912); +#8915=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8916=VECTOR('',#8915,1.197E1); +#8917=CARTESIAN_POINT('',(-1.2E1,-3.25E0,-3.115E1)); +#8918=LINE('',#8917,#8916); +#8919=CARTESIAN_POINT('',(-1.2E1,-1.522E1,-3.18E1)); +#8920=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8921=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8922=AXIS2_PLACEMENT_3D('',#8919,#8920,#8921); +#8924=CARTESIAN_POINT('',(-1.2E1,-1.522E1,-3.18E1)); +#8925=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8926=DIRECTION('',(0.E0,0.E0,1.E0)); +#8927=AXIS2_PLACEMENT_3D('',#8924,#8925,#8926); +#8929=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8930=VECTOR('',#8929,1.197E1); +#8931=CARTESIAN_POINT('',(-8.E0,-3.25E0,-3.245E1)); +#8932=LINE('',#8931,#8930); +#8933=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8934=VECTOR('',#8933,1.197E1); +#8935=CARTESIAN_POINT('',(-8.E0,-3.25E0,-3.115E1)); +#8936=LINE('',#8935,#8934); +#8937=CARTESIAN_POINT('',(-8.E0,-1.522E1,-3.18E1)); +#8938=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8939=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8940=AXIS2_PLACEMENT_3D('',#8937,#8938,#8939); +#8942=CARTESIAN_POINT('',(-8.E0,-1.522E1,-3.18E1)); +#8943=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8944=DIRECTION('',(0.E0,0.E0,1.E0)); +#8945=AXIS2_PLACEMENT_3D('',#8942,#8943,#8944); +#8947=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8948=VECTOR('',#8947,1.197E1); +#8949=CARTESIAN_POINT('',(-4.E0,-3.25E0,-3.245E1)); +#8950=LINE('',#8949,#8948); +#8951=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8952=VECTOR('',#8951,1.197E1); +#8953=CARTESIAN_POINT('',(-4.E0,-3.25E0,-3.115E1)); +#8954=LINE('',#8953,#8952); +#8955=CARTESIAN_POINT('',(-4.E0,-1.522E1,-3.18E1)); +#8956=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8957=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8958=AXIS2_PLACEMENT_3D('',#8955,#8956,#8957); +#8960=CARTESIAN_POINT('',(-4.E0,-1.522E1,-3.18E1)); +#8961=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8962=DIRECTION('',(0.E0,0.E0,1.E0)); +#8963=AXIS2_PLACEMENT_3D('',#8960,#8961,#8962); +#8965=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8966=VECTOR('',#8965,1.197E1); +#8967=CARTESIAN_POINT('',(0.E0,-3.25E0,-3.245E1)); +#8968=LINE('',#8967,#8966); +#8969=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8970=VECTOR('',#8969,1.197E1); +#8971=CARTESIAN_POINT('',(0.E0,-3.25E0,-3.115E1)); +#8972=LINE('',#8971,#8970); +#8973=CARTESIAN_POINT('',(0.E0,-1.522E1,-3.18E1)); +#8974=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8975=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8976=AXIS2_PLACEMENT_3D('',#8973,#8974,#8975); +#8978=CARTESIAN_POINT('',(0.E0,-1.522E1,-3.18E1)); +#8979=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8980=DIRECTION('',(0.E0,0.E0,1.E0)); +#8981=AXIS2_PLACEMENT_3D('',#8978,#8979,#8980); +#8983=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8984=VECTOR('',#8983,1.197E1); +#8985=CARTESIAN_POINT('',(4.E0,-3.25E0,-3.245E1)); +#8986=LINE('',#8985,#8984); +#8987=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8988=VECTOR('',#8987,1.197E1); +#8989=CARTESIAN_POINT('',(4.E0,-3.25E0,-3.115E1)); +#8990=LINE('',#8989,#8988); +#8991=CARTESIAN_POINT('',(4.E0,-1.522E1,-3.18E1)); +#8992=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8993=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8994=AXIS2_PLACEMENT_3D('',#8991,#8992,#8993); +#8996=CARTESIAN_POINT('',(4.E0,-1.522E1,-3.18E1)); +#8997=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8998=DIRECTION('',(0.E0,0.E0,1.E0)); +#8999=AXIS2_PLACEMENT_3D('',#8996,#8997,#8998); +#9001=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9002=VECTOR('',#9001,1.197E1); +#9003=CARTESIAN_POINT('',(8.E0,-3.25E0,-3.245E1)); +#9004=LINE('',#9003,#9002); +#9005=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9006=VECTOR('',#9005,1.197E1); +#9007=CARTESIAN_POINT('',(8.E0,-3.25E0,-3.115E1)); +#9008=LINE('',#9007,#9006); +#9009=CARTESIAN_POINT('',(8.E0,-1.522E1,-3.18E1)); +#9010=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9011=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9012=AXIS2_PLACEMENT_3D('',#9009,#9010,#9011); +#9014=CARTESIAN_POINT('',(8.E0,-1.522E1,-3.18E1)); +#9015=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9016=DIRECTION('',(0.E0,0.E0,1.E0)); +#9017=AXIS2_PLACEMENT_3D('',#9014,#9015,#9016); +#9019=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9020=VECTOR('',#9019,1.197E1); +#9021=CARTESIAN_POINT('',(1.2E1,-3.25E0,-3.245E1)); +#9022=LINE('',#9021,#9020); +#9023=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9024=VECTOR('',#9023,1.197E1); +#9025=CARTESIAN_POINT('',(1.2E1,-3.25E0,-3.115E1)); +#9026=LINE('',#9025,#9024); +#9027=CARTESIAN_POINT('',(1.2E1,-1.522E1,-3.18E1)); +#9028=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9029=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9030=AXIS2_PLACEMENT_3D('',#9027,#9028,#9029); +#9032=CARTESIAN_POINT('',(1.2E1,-1.522E1,-3.18E1)); +#9033=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9034=DIRECTION('',(0.E0,0.E0,1.E0)); +#9035=AXIS2_PLACEMENT_3D('',#9032,#9033,#9034); +#9037=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9038=VECTOR('',#9037,1.197E1); +#9039=CARTESIAN_POINT('',(1.6E1,-3.25E0,-3.245E1)); +#9040=LINE('',#9039,#9038); +#9041=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9042=VECTOR('',#9041,1.197E1); +#9043=CARTESIAN_POINT('',(1.6E1,-3.25E0,-3.115E1)); +#9044=LINE('',#9043,#9042); +#9045=CARTESIAN_POINT('',(1.6E1,-1.522E1,-3.18E1)); +#9046=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9047=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9048=AXIS2_PLACEMENT_3D('',#9045,#9046,#9047); +#9050=CARTESIAN_POINT('',(1.6E1,-1.522E1,-3.18E1)); +#9051=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9052=DIRECTION('',(0.E0,0.E0,1.E0)); +#9053=AXIS2_PLACEMENT_3D('',#9050,#9051,#9052); +#9055=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9056=VECTOR('',#9055,1.197E1); +#9057=CARTESIAN_POINT('',(2.E1,-3.25E0,-3.245E1)); +#9058=LINE('',#9057,#9056); +#9059=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9060=VECTOR('',#9059,1.197E1); +#9061=CARTESIAN_POINT('',(2.E1,-3.25E0,-3.115E1)); +#9062=LINE('',#9061,#9060); +#9063=CARTESIAN_POINT('',(2.E1,-1.522E1,-3.18E1)); +#9064=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9065=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9066=AXIS2_PLACEMENT_3D('',#9063,#9064,#9065); +#9068=CARTESIAN_POINT('',(2.E1,-1.522E1,-3.18E1)); +#9069=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9070=DIRECTION('',(0.E0,0.E0,1.E0)); +#9071=AXIS2_PLACEMENT_3D('',#9068,#9069,#9070); +#9073=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9074=VECTOR('',#9073,7.525E0); +#9075=CARTESIAN_POINT('',(-2.2E1,-3.35E0,-1.2475E1)); +#9076=LINE('',#9075,#9074); +#9077=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9078=VECTOR('',#9077,7.525E0); +#9079=CARTESIAN_POINT('',(-2.2E1,-4.65E0,-1.2475E1)); +#9080=LINE('',#9079,#9078); +#9081=CARTESIAN_POINT('',(-2.2E1,-4.E0,-1.2475E1)); +#9082=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9083=DIRECTION('',(0.E0,1.E0,0.E0)); +#9084=AXIS2_PLACEMENT_3D('',#9081,#9082,#9083); +#9086=CARTESIAN_POINT('',(-2.2E1,-4.E0,-1.2475E1)); +#9087=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9088=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9089=AXIS2_PLACEMENT_3D('',#9086,#9087,#9088); +#9091=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9092=VECTOR('',#9091,7.525E0); +#9093=CARTESIAN_POINT('',(-1.8E1,-3.35E0,-1.2475E1)); +#9094=LINE('',#9093,#9092); +#9095=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9096=VECTOR('',#9095,7.525E0); +#9097=CARTESIAN_POINT('',(-1.8E1,-4.65E0,-1.2475E1)); +#9098=LINE('',#9097,#9096); +#9099=CARTESIAN_POINT('',(-1.8E1,-4.E0,-1.2475E1)); +#9100=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9101=DIRECTION('',(0.E0,1.E0,0.E0)); +#9102=AXIS2_PLACEMENT_3D('',#9099,#9100,#9101); +#9104=CARTESIAN_POINT('',(-1.8E1,-4.E0,-1.2475E1)); +#9105=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9106=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9107=AXIS2_PLACEMENT_3D('',#9104,#9105,#9106); +#9109=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9110=VECTOR('',#9109,7.525E0); +#9111=CARTESIAN_POINT('',(-1.4E1,-3.35E0,-1.2475E1)); +#9112=LINE('',#9111,#9110); +#9113=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9114=VECTOR('',#9113,7.525E0); +#9115=CARTESIAN_POINT('',(-1.4E1,-4.65E0,-1.2475E1)); +#9116=LINE('',#9115,#9114); +#9117=CARTESIAN_POINT('',(-1.4E1,-4.E0,-1.2475E1)); +#9118=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9119=DIRECTION('',(0.E0,1.E0,0.E0)); +#9120=AXIS2_PLACEMENT_3D('',#9117,#9118,#9119); +#9122=CARTESIAN_POINT('',(-1.4E1,-4.E0,-1.2475E1)); +#9123=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9124=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9125=AXIS2_PLACEMENT_3D('',#9122,#9123,#9124); +#9127=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9128=VECTOR('',#9127,7.525E0); +#9129=CARTESIAN_POINT('',(-1.E1,-3.35E0,-1.2475E1)); +#9130=LINE('',#9129,#9128); +#9131=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9132=VECTOR('',#9131,7.525E0); +#9133=CARTESIAN_POINT('',(-1.E1,-4.65E0,-1.2475E1)); +#9134=LINE('',#9133,#9132); +#9135=CARTESIAN_POINT('',(-1.E1,-4.E0,-1.2475E1)); +#9136=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9137=DIRECTION('',(0.E0,1.E0,0.E0)); +#9138=AXIS2_PLACEMENT_3D('',#9135,#9136,#9137); +#9140=CARTESIAN_POINT('',(-1.E1,-4.E0,-1.2475E1)); +#9141=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9142=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9143=AXIS2_PLACEMENT_3D('',#9140,#9141,#9142); +#9145=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9146=VECTOR('',#9145,7.525E0); +#9147=CARTESIAN_POINT('',(-6.E0,-3.35E0,-1.2475E1)); +#9148=LINE('',#9147,#9146); +#9149=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9150=VECTOR('',#9149,7.525E0); +#9151=CARTESIAN_POINT('',(-6.E0,-4.65E0,-1.2475E1)); +#9152=LINE('',#9151,#9150); +#9153=CARTESIAN_POINT('',(-6.E0,-4.E0,-1.2475E1)); +#9154=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9155=DIRECTION('',(0.E0,1.E0,0.E0)); +#9156=AXIS2_PLACEMENT_3D('',#9153,#9154,#9155); +#9158=CARTESIAN_POINT('',(-6.E0,-4.E0,-1.2475E1)); +#9159=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9160=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9161=AXIS2_PLACEMENT_3D('',#9158,#9159,#9160); +#9163=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9164=VECTOR('',#9163,7.525E0); +#9165=CARTESIAN_POINT('',(-2.E0,-3.35E0,-1.2475E1)); +#9166=LINE('',#9165,#9164); +#9167=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9168=VECTOR('',#9167,7.525E0); +#9169=CARTESIAN_POINT('',(-2.E0,-4.65E0,-1.2475E1)); +#9170=LINE('',#9169,#9168); +#9171=CARTESIAN_POINT('',(-2.E0,-4.E0,-1.2475E1)); +#9172=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9173=DIRECTION('',(0.E0,1.E0,0.E0)); +#9174=AXIS2_PLACEMENT_3D('',#9171,#9172,#9173); +#9176=CARTESIAN_POINT('',(-2.E0,-4.E0,-1.2475E1)); +#9177=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9178=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9179=AXIS2_PLACEMENT_3D('',#9176,#9177,#9178); +#9181=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9182=VECTOR('',#9181,7.525E0); +#9183=CARTESIAN_POINT('',(2.E0,-3.35E0,-1.2475E1)); +#9184=LINE('',#9183,#9182); +#9185=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9186=VECTOR('',#9185,7.525E0); +#9187=CARTESIAN_POINT('',(2.E0,-4.65E0,-1.2475E1)); +#9188=LINE('',#9187,#9186); +#9189=CARTESIAN_POINT('',(2.E0,-4.E0,-1.2475E1)); +#9190=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9191=DIRECTION('',(0.E0,1.E0,0.E0)); +#9192=AXIS2_PLACEMENT_3D('',#9189,#9190,#9191); +#9194=CARTESIAN_POINT('',(2.E0,-4.E0,-1.2475E1)); +#9195=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9196=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9197=AXIS2_PLACEMENT_3D('',#9194,#9195,#9196); +#9199=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9200=VECTOR('',#9199,7.525E0); +#9201=CARTESIAN_POINT('',(6.E0,-3.35E0,-1.2475E1)); +#9202=LINE('',#9201,#9200); +#9203=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9204=VECTOR('',#9203,7.525E0); +#9205=CARTESIAN_POINT('',(6.E0,-4.65E0,-1.2475E1)); +#9206=LINE('',#9205,#9204); +#9207=CARTESIAN_POINT('',(6.E0,-4.E0,-1.2475E1)); +#9208=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9209=DIRECTION('',(0.E0,1.E0,0.E0)); +#9210=AXIS2_PLACEMENT_3D('',#9207,#9208,#9209); +#9212=CARTESIAN_POINT('',(6.E0,-4.E0,-1.2475E1)); +#9213=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9214=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9215=AXIS2_PLACEMENT_3D('',#9212,#9213,#9214); +#9217=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9218=VECTOR('',#9217,7.525E0); +#9219=CARTESIAN_POINT('',(1.E1,-3.35E0,-1.2475E1)); +#9220=LINE('',#9219,#9218); +#9221=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9222=VECTOR('',#9221,7.525E0); +#9223=CARTESIAN_POINT('',(1.E1,-4.65E0,-1.2475E1)); +#9224=LINE('',#9223,#9222); +#9225=CARTESIAN_POINT('',(1.E1,-4.E0,-1.2475E1)); +#9226=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9227=DIRECTION('',(0.E0,1.E0,0.E0)); +#9228=AXIS2_PLACEMENT_3D('',#9225,#9226,#9227); +#9230=CARTESIAN_POINT('',(1.E1,-4.E0,-1.2475E1)); +#9231=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9232=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9233=AXIS2_PLACEMENT_3D('',#9230,#9231,#9232); +#9235=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9236=VECTOR('',#9235,7.525E0); +#9237=CARTESIAN_POINT('',(1.4E1,-3.35E0,-1.2475E1)); +#9238=LINE('',#9237,#9236); +#9239=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9240=VECTOR('',#9239,7.525E0); +#9241=CARTESIAN_POINT('',(1.4E1,-4.65E0,-1.2475E1)); +#9242=LINE('',#9241,#9240); +#9243=CARTESIAN_POINT('',(1.4E1,-4.E0,-1.2475E1)); +#9244=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9245=DIRECTION('',(0.E0,1.E0,0.E0)); +#9246=AXIS2_PLACEMENT_3D('',#9243,#9244,#9245); +#9248=CARTESIAN_POINT('',(1.4E1,-4.E0,-1.2475E1)); +#9249=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9250=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9251=AXIS2_PLACEMENT_3D('',#9248,#9249,#9250); +#9253=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9254=VECTOR('',#9253,7.525E0); +#9255=CARTESIAN_POINT('',(1.8E1,-3.35E0,-1.2475E1)); +#9256=LINE('',#9255,#9254); +#9257=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9258=VECTOR('',#9257,7.525E0); +#9259=CARTESIAN_POINT('',(1.8E1,-4.65E0,-1.2475E1)); +#9260=LINE('',#9259,#9258); +#9261=CARTESIAN_POINT('',(1.8E1,-4.E0,-1.2475E1)); +#9262=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9263=DIRECTION('',(0.E0,1.E0,0.E0)); +#9264=AXIS2_PLACEMENT_3D('',#9261,#9262,#9263); +#9266=CARTESIAN_POINT('',(1.8E1,-4.E0,-1.2475E1)); +#9267=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9268=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9269=AXIS2_PLACEMENT_3D('',#9266,#9267,#9268); +#9271=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9272=VECTOR('',#9271,7.525E0); +#9273=CARTESIAN_POINT('',(2.2E1,-3.35E0,-1.2475E1)); +#9274=LINE('',#9273,#9272); +#9275=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9276=VECTOR('',#9275,7.525E0); +#9277=CARTESIAN_POINT('',(2.2E1,-4.65E0,-1.2475E1)); +#9278=LINE('',#9277,#9276); +#9279=CARTESIAN_POINT('',(2.2E1,-4.E0,-1.2475E1)); +#9280=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9281=DIRECTION('',(0.E0,1.E0,0.E0)); +#9282=AXIS2_PLACEMENT_3D('',#9279,#9280,#9281); +#9284=CARTESIAN_POINT('',(2.2E1,-4.E0,-1.2475E1)); +#9285=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9286=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9287=AXIS2_PLACEMENT_3D('',#9284,#9285,#9286); +#9289=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9290=VECTOR('',#9289,7.525E0); +#9291=CARTESIAN_POINT('',(-2.E1,6.5E-1,-1.2475E1)); +#9292=LINE('',#9291,#9290); +#9293=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9294=VECTOR('',#9293,7.525E0); +#9295=CARTESIAN_POINT('',(-2.E1,-6.5E-1,-1.2475E1)); +#9296=LINE('',#9295,#9294); +#9297=CARTESIAN_POINT('',(-2.E1,0.E0,-1.2475E1)); +#9298=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9299=DIRECTION('',(0.E0,1.E0,0.E0)); +#9300=AXIS2_PLACEMENT_3D('',#9297,#9298,#9299); +#9302=CARTESIAN_POINT('',(-2.E1,0.E0,-1.2475E1)); +#9303=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9304=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9305=AXIS2_PLACEMENT_3D('',#9302,#9303,#9304); +#9307=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9308=VECTOR('',#9307,7.525E0); +#9309=CARTESIAN_POINT('',(-1.6E1,6.5E-1,-1.2475E1)); +#9310=LINE('',#9309,#9308); +#9311=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9312=VECTOR('',#9311,7.525E0); +#9313=CARTESIAN_POINT('',(-1.6E1,-6.5E-1,-1.2475E1)); +#9314=LINE('',#9313,#9312); +#9315=CARTESIAN_POINT('',(-1.6E1,0.E0,-1.2475E1)); +#9316=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9317=DIRECTION('',(0.E0,1.E0,0.E0)); +#9318=AXIS2_PLACEMENT_3D('',#9315,#9316,#9317); +#9320=CARTESIAN_POINT('',(-1.6E1,0.E0,-1.2475E1)); +#9321=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9322=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9323=AXIS2_PLACEMENT_3D('',#9320,#9321,#9322); +#9325=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9326=VECTOR('',#9325,7.525E0); +#9327=CARTESIAN_POINT('',(-1.2E1,6.5E-1,-1.2475E1)); +#9328=LINE('',#9327,#9326); +#9329=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9330=VECTOR('',#9329,7.525E0); +#9331=CARTESIAN_POINT('',(-1.2E1,-6.5E-1,-1.2475E1)); +#9332=LINE('',#9331,#9330); +#9333=CARTESIAN_POINT('',(-1.2E1,0.E0,-1.2475E1)); +#9334=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9335=DIRECTION('',(0.E0,1.E0,0.E0)); +#9336=AXIS2_PLACEMENT_3D('',#9333,#9334,#9335); +#9338=CARTESIAN_POINT('',(-1.2E1,0.E0,-1.2475E1)); +#9339=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9340=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9341=AXIS2_PLACEMENT_3D('',#9338,#9339,#9340); +#9343=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9344=VECTOR('',#9343,7.525E0); +#9345=CARTESIAN_POINT('',(-8.E0,6.5E-1,-1.2475E1)); +#9346=LINE('',#9345,#9344); +#9347=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9348=VECTOR('',#9347,7.525E0); +#9349=CARTESIAN_POINT('',(-8.E0,-6.5E-1,-1.2475E1)); +#9350=LINE('',#9349,#9348); +#9351=CARTESIAN_POINT('',(-8.E0,0.E0,-1.2475E1)); +#9352=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9353=DIRECTION('',(0.E0,1.E0,0.E0)); +#9354=AXIS2_PLACEMENT_3D('',#9351,#9352,#9353); +#9356=CARTESIAN_POINT('',(-8.E0,0.E0,-1.2475E1)); +#9357=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9358=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9359=AXIS2_PLACEMENT_3D('',#9356,#9357,#9358); +#9361=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9362=VECTOR('',#9361,7.525E0); +#9363=CARTESIAN_POINT('',(-4.E0,6.5E-1,-1.2475E1)); +#9364=LINE('',#9363,#9362); +#9365=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9366=VECTOR('',#9365,7.525E0); +#9367=CARTESIAN_POINT('',(-4.E0,-6.5E-1,-1.2475E1)); +#9368=LINE('',#9367,#9366); +#9369=CARTESIAN_POINT('',(-4.E0,0.E0,-1.2475E1)); +#9370=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9371=DIRECTION('',(0.E0,1.E0,0.E0)); +#9372=AXIS2_PLACEMENT_3D('',#9369,#9370,#9371); +#9374=CARTESIAN_POINT('',(-4.E0,0.E0,-1.2475E1)); +#9375=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9376=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9377=AXIS2_PLACEMENT_3D('',#9374,#9375,#9376); +#9379=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9380=VECTOR('',#9379,7.525E0); +#9381=CARTESIAN_POINT('',(0.E0,6.5E-1,-1.2475E1)); +#9382=LINE('',#9381,#9380); +#9383=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9384=VECTOR('',#9383,7.525E0); +#9385=CARTESIAN_POINT('',(0.E0,-6.5E-1,-1.2475E1)); +#9386=LINE('',#9385,#9384); +#9387=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#9388=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9389=DIRECTION('',(0.E0,1.E0,0.E0)); +#9390=AXIS2_PLACEMENT_3D('',#9387,#9388,#9389); +#9392=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#9393=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9394=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9395=AXIS2_PLACEMENT_3D('',#9392,#9393,#9394); +#9397=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9398=VECTOR('',#9397,7.525E0); +#9399=CARTESIAN_POINT('',(4.E0,6.5E-1,-1.2475E1)); +#9400=LINE('',#9399,#9398); +#9401=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9402=VECTOR('',#9401,7.525E0); +#9403=CARTESIAN_POINT('',(4.E0,-6.5E-1,-1.2475E1)); +#9404=LINE('',#9403,#9402); +#9405=CARTESIAN_POINT('',(4.E0,0.E0,-1.2475E1)); +#9406=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9407=DIRECTION('',(0.E0,1.E0,0.E0)); +#9408=AXIS2_PLACEMENT_3D('',#9405,#9406,#9407); +#9410=CARTESIAN_POINT('',(4.E0,0.E0,-1.2475E1)); +#9411=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9412=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9413=AXIS2_PLACEMENT_3D('',#9410,#9411,#9412); +#9415=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9416=VECTOR('',#9415,7.525E0); +#9417=CARTESIAN_POINT('',(8.E0,6.5E-1,-1.2475E1)); +#9418=LINE('',#9417,#9416); +#9419=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9420=VECTOR('',#9419,7.525E0); +#9421=CARTESIAN_POINT('',(8.E0,-6.5E-1,-1.2475E1)); +#9422=LINE('',#9421,#9420); +#9423=CARTESIAN_POINT('',(8.E0,0.E0,-1.2475E1)); +#9424=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9425=DIRECTION('',(0.E0,1.E0,0.E0)); +#9426=AXIS2_PLACEMENT_3D('',#9423,#9424,#9425); +#9428=CARTESIAN_POINT('',(8.E0,0.E0,-1.2475E1)); +#9429=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9430=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9431=AXIS2_PLACEMENT_3D('',#9428,#9429,#9430); +#9433=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9434=VECTOR('',#9433,7.525E0); +#9435=CARTESIAN_POINT('',(1.2E1,6.5E-1,-1.2475E1)); +#9436=LINE('',#9435,#9434); +#9437=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9438=VECTOR('',#9437,7.525E0); +#9439=CARTESIAN_POINT('',(1.2E1,-6.5E-1,-1.2475E1)); +#9440=LINE('',#9439,#9438); +#9441=CARTESIAN_POINT('',(1.2E1,0.E0,-1.2475E1)); +#9442=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9443=DIRECTION('',(0.E0,1.E0,0.E0)); +#9444=AXIS2_PLACEMENT_3D('',#9441,#9442,#9443); +#9446=CARTESIAN_POINT('',(1.2E1,0.E0,-1.2475E1)); +#9447=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9448=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9449=AXIS2_PLACEMENT_3D('',#9446,#9447,#9448); +#9451=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9452=VECTOR('',#9451,7.525E0); +#9453=CARTESIAN_POINT('',(1.6E1,6.5E-1,-1.2475E1)); +#9454=LINE('',#9453,#9452); +#9455=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9456=VECTOR('',#9455,7.525E0); +#9457=CARTESIAN_POINT('',(1.6E1,-6.5E-1,-1.2475E1)); +#9458=LINE('',#9457,#9456); +#9459=CARTESIAN_POINT('',(1.6E1,0.E0,-1.2475E1)); +#9460=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9461=DIRECTION('',(0.E0,1.E0,0.E0)); +#9462=AXIS2_PLACEMENT_3D('',#9459,#9460,#9461); +#9464=CARTESIAN_POINT('',(1.6E1,0.E0,-1.2475E1)); +#9465=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9466=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9467=AXIS2_PLACEMENT_3D('',#9464,#9465,#9466); +#9469=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9470=VECTOR('',#9469,7.525E0); +#9471=CARTESIAN_POINT('',(2.E1,6.5E-1,-1.2475E1)); +#9472=LINE('',#9471,#9470); +#9473=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9474=VECTOR('',#9473,7.525E0); +#9475=CARTESIAN_POINT('',(2.E1,-6.5E-1,-1.2475E1)); +#9476=LINE('',#9475,#9474); +#9477=CARTESIAN_POINT('',(2.E1,0.E0,-1.2475E1)); +#9478=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9479=DIRECTION('',(0.E0,1.E0,0.E0)); +#9480=AXIS2_PLACEMENT_3D('',#9477,#9478,#9479); +#9482=CARTESIAN_POINT('',(2.E1,0.E0,-1.2475E1)); +#9483=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9484=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9485=AXIS2_PLACEMENT_3D('',#9482,#9483,#9484); +#9487=DIRECTION('',(0.E0,0.E0,1.E0)); +#9488=VECTOR('',#9487,1.E1); +#9489=CARTESIAN_POINT('',(1.075E0,8.075E0,-2.E1)); +#9490=LINE('',#9489,#9488); +#9491=DIRECTION('',(0.E0,0.E0,1.E0)); +#9492=VECTOR('',#9491,1.E1); +#9493=CARTESIAN_POINT('',(2.425E0,8.075E0,-2.E1)); +#9494=LINE('',#9493,#9492); +#9495=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9496=VECTOR('',#9495,1.E1); +#9497=CARTESIAN_POINT('',(4.75E0,9.1E0,-1.E1)); +#9498=LINE('',#9497,#9496); +#9499=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9500=VECTOR('',#9499,1.E1); +#9501=CARTESIAN_POINT('',(5.75E0,9.1E0,-1.E1)); +#9502=LINE('',#9501,#9500); +#9503=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9504=VECTOR('',#9503,1.E1); +#9505=CARTESIAN_POINT('',(8.25E0,9.1E0,-1.E1)); +#9506=LINE('',#9505,#9504); +#9507=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9508=VECTOR('',#9507,1.E1); +#9509=CARTESIAN_POINT('',(9.25E0,9.1E0,-1.E1)); +#9510=LINE('',#9509,#9508); +#9511=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9512=VECTOR('',#9511,1.E1); +#9513=CARTESIAN_POINT('',(1.175E1,9.1E0,-1.E1)); +#9514=LINE('',#9513,#9512); +#9515=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9516=VECTOR('',#9515,1.E1); +#9517=CARTESIAN_POINT('',(1.275E1,9.1E0,-1.E1)); +#9518=LINE('',#9517,#9516); +#9519=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9520=VECTOR('',#9519,1.E1); +#9521=CARTESIAN_POINT('',(1.525E1,9.1E0,-1.E1)); +#9522=LINE('',#9521,#9520); +#9523=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9524=VECTOR('',#9523,1.E1); +#9525=CARTESIAN_POINT('',(1.625E1,9.1E0,-1.E1)); +#9526=LINE('',#9525,#9524); +#9527=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9528=VECTOR('',#9527,1.E1); +#9529=CARTESIAN_POINT('',(1.875E1,9.1E0,-1.E1)); +#9530=LINE('',#9529,#9528); +#9531=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9532=VECTOR('',#9531,1.E1); +#9533=CARTESIAN_POINT('',(1.975E1,9.1E0,-1.E1)); +#9534=LINE('',#9533,#9532); +#9535=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9536=VECTOR('',#9535,1.8E1); +#9537=CARTESIAN_POINT('',(2.82E1,5.6E0,-2.E0)); +#9538=LINE('',#9537,#9536); +#9539=DIRECTION('',(0.E0,1.E0,0.E0)); +#9540=VECTOR('',#9539,1.E0); +#9541=CARTESIAN_POINT('',(2.82E1,-5.2E0,-1.E1)); +#9542=LINE('',#9541,#9540); +#9543=DIRECTION('',(0.E0,0.E0,1.E0)); +#9544=VECTOR('',#9543,1.E1); +#9545=CARTESIAN_POINT('',(2.82E1,-4.2E0,-2.E1)); +#9546=LINE('',#9545,#9544); +#9547=DIRECTION('',(0.E0,1.E0,0.E0)); +#9548=VECTOR('',#9547,8.4E0); +#9549=CARTESIAN_POINT('',(2.82E1,-4.2E0,-2.E1)); +#9550=LINE('',#9549,#9548); +#9551=DIRECTION('',(0.E0,0.E0,1.E0)); +#9552=VECTOR('',#9551,1.E1); +#9553=CARTESIAN_POINT('',(2.82E1,4.2E0,-2.E1)); +#9554=LINE('',#9553,#9552); +#9555=DIRECTION('',(0.E0,1.E0,0.E0)); +#9556=VECTOR('',#9555,1.E0); +#9557=CARTESIAN_POINT('',(2.82E1,4.2E0,-1.E1)); +#9558=LINE('',#9557,#9556); +#9559=DIRECTION('',(0.E0,0.E0,1.E0)); +#9560=VECTOR('',#9559,1.E1); +#9561=CARTESIAN_POINT('',(2.82E1,5.2E0,-2.E1)); +#9562=LINE('',#9561,#9560); +#9563=DIRECTION('',(0.E0,1.E0,0.E0)); +#9564=VECTOR('',#9563,4.000000000002E-1); +#9565=CARTESIAN_POINT('',(2.82E1,5.2E0,-2.E1)); +#9566=LINE('',#9565,#9564); +#9567=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9568=VECTOR('',#9567,1.8E1); +#9569=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E0)); +#9570=LINE('',#9569,#9568); +#9571=DIRECTION('',(0.E0,1.E0,0.E0)); +#9572=VECTOR('',#9571,4.000000000002E-1); +#9573=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E1)); +#9574=LINE('',#9573,#9572); +#9575=DIRECTION('',(0.E0,0.E0,1.E0)); +#9576=VECTOR('',#9575,1.E1); +#9577=CARTESIAN_POINT('',(2.82E1,-5.2E0,-2.E1)); +#9578=LINE('',#9577,#9576); +#9579=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9580=VECTOR('',#9579,1.E1); +#9581=CARTESIAN_POINT('',(2.7E1,-4.2E0,-1.E1)); +#9582=LINE('',#9581,#9580); +#9583=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9584=VECTOR('',#9583,1.E1); +#9585=CARTESIAN_POINT('',(2.7E1,-5.2E0,-1.E1)); +#9586=LINE('',#9585,#9584); +#9587=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9588=VECTOR('',#9587,1.E1); +#9589=CARTESIAN_POINT('',(2.7E1,4.2E0,-1.E1)); +#9590=LINE('',#9589,#9588); +#9591=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9592=VECTOR('',#9591,1.E1); +#9593=CARTESIAN_POINT('',(2.7E1,5.2E0,-1.E1)); +#9594=LINE('',#9593,#9592); +#9595=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9596=VECTOR('',#9595,1.8E1); +#9597=CARTESIAN_POINT('',(2.35E1,-1.03E1,-2.E0)); +#9598=LINE('',#9597,#9596); +#9599=DIRECTION('',(0.E0,0.E0,1.E0)); +#9600=VECTOR('',#9599,1.E1); +#9601=CARTESIAN_POINT('',(-4.575E0,-1.03E1,-2.E1)); +#9602=LINE('',#9601,#9600); +#9603=DIRECTION('',(1.E0,0.E0,0.E0)); +#9604=VECTOR('',#9603,2.325E0); +#9605=CARTESIAN_POINT('',(-4.575E0,-1.03E1,-2.E1)); +#9606=LINE('',#9605,#9604); +#9607=DIRECTION('',(0.E0,0.E0,1.E0)); +#9608=VECTOR('',#9607,1.E1); +#9609=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-2.E1)); +#9610=LINE('',#9609,#9608); +#9611=DIRECTION('',(1.E0,0.E0,0.E0)); +#9612=VECTOR('',#9611,1.E0); +#9613=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-1.E1)); +#9614=LINE('',#9613,#9612); +#9615=DIRECTION('',(0.E0,0.E0,1.E0)); +#9616=VECTOR('',#9615,1.E1); +#9617=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#9618=LINE('',#9617,#9616); +#9619=DIRECTION('',(1.E0,0.E0,0.E0)); +#9620=VECTOR('',#9619,2.5E0); +#9621=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#9622=LINE('',#9621,#9620); +#9623=DIRECTION('',(0.E0,0.E0,1.E0)); +#9624=VECTOR('',#9623,1.E1); +#9625=CARTESIAN_POINT('',(1.25E0,-1.03E1,-2.E1)); +#9626=LINE('',#9625,#9624); +#9627=DIRECTION('',(1.E0,0.E0,0.E0)); +#9628=VECTOR('',#9627,1.E0); +#9629=CARTESIAN_POINT('',(1.25E0,-1.03E1,-1.E1)); +#9630=LINE('',#9629,#9628); +#9631=DIRECTION('',(0.E0,0.E0,1.E0)); +#9632=VECTOR('',#9631,1.E1); +#9633=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#9634=LINE('',#9633,#9632); +#9635=DIRECTION('',(1.E0,0.E0,0.E0)); +#9636=VECTOR('',#9635,2.5E0); +#9637=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#9638=LINE('',#9637,#9636); +#9639=DIRECTION('',(0.E0,0.E0,1.E0)); +#9640=VECTOR('',#9639,1.E1); +#9641=CARTESIAN_POINT('',(4.75E0,-1.03E1,-2.E1)); +#9642=LINE('',#9641,#9640); +#9643=DIRECTION('',(1.E0,0.E0,0.E0)); +#9644=VECTOR('',#9643,1.E0); +#9645=CARTESIAN_POINT('',(4.75E0,-1.03E1,-1.E1)); +#9646=LINE('',#9645,#9644); +#9647=DIRECTION('',(0.E0,0.E0,1.E0)); +#9648=VECTOR('',#9647,1.E1); +#9649=CARTESIAN_POINT('',(5.75E0,-1.03E1,-2.E1)); +#9650=LINE('',#9649,#9648); +#9651=DIRECTION('',(1.E0,0.E0,0.E0)); +#9652=VECTOR('',#9651,2.5E0); +#9653=CARTESIAN_POINT('',(5.75E0,-1.03E1,-2.E1)); +#9654=LINE('',#9653,#9652); +#9655=DIRECTION('',(0.E0,0.E0,1.E0)); +#9656=VECTOR('',#9655,1.E1); +#9657=CARTESIAN_POINT('',(8.25E0,-1.03E1,-2.E1)); +#9658=LINE('',#9657,#9656); +#9659=DIRECTION('',(1.E0,0.E0,0.E0)); +#9660=VECTOR('',#9659,1.E0); +#9661=CARTESIAN_POINT('',(8.25E0,-1.03E1,-1.E1)); +#9662=LINE('',#9661,#9660); +#9663=DIRECTION('',(0.E0,0.E0,1.E0)); +#9664=VECTOR('',#9663,1.E1); +#9665=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#9666=LINE('',#9665,#9664); +#9667=DIRECTION('',(1.E0,0.E0,0.E0)); +#9668=VECTOR('',#9667,2.5E0); +#9669=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#9670=LINE('',#9669,#9668); +#9671=DIRECTION('',(0.E0,0.E0,1.E0)); +#9672=VECTOR('',#9671,1.E1); +#9673=CARTESIAN_POINT('',(1.175E1,-1.03E1,-2.E1)); +#9674=LINE('',#9673,#9672); +#9675=DIRECTION('',(1.E0,0.E0,0.E0)); +#9676=VECTOR('',#9675,1.E0); +#9677=CARTESIAN_POINT('',(1.175E1,-1.03E1,-1.E1)); +#9678=LINE('',#9677,#9676); +#9679=DIRECTION('',(0.E0,0.E0,1.E0)); +#9680=VECTOR('',#9679,1.E1); +#9681=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#9682=LINE('',#9681,#9680); +#9683=DIRECTION('',(1.E0,0.E0,0.E0)); +#9684=VECTOR('',#9683,2.5E0); +#9685=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#9686=LINE('',#9685,#9684); +#9687=DIRECTION('',(0.E0,0.E0,1.E0)); +#9688=VECTOR('',#9687,1.E1); +#9689=CARTESIAN_POINT('',(1.525E1,-1.03E1,-2.E1)); +#9690=LINE('',#9689,#9688); +#9691=DIRECTION('',(1.E0,0.E0,0.E0)); +#9692=VECTOR('',#9691,1.E0); +#9693=CARTESIAN_POINT('',(1.525E1,-1.03E1,-1.E1)); +#9694=LINE('',#9693,#9692); +#9695=DIRECTION('',(0.E0,0.E0,1.E0)); +#9696=VECTOR('',#9695,1.E1); +#9697=CARTESIAN_POINT('',(1.625E1,-1.03E1,-2.E1)); +#9698=LINE('',#9697,#9696); +#9699=DIRECTION('',(1.E0,0.E0,0.E0)); +#9700=VECTOR('',#9699,2.5E0); +#9701=CARTESIAN_POINT('',(1.625E1,-1.03E1,-2.E1)); +#9702=LINE('',#9701,#9700); +#9703=DIRECTION('',(0.E0,0.E0,1.E0)); +#9704=VECTOR('',#9703,1.E1); +#9705=CARTESIAN_POINT('',(1.875E1,-1.03E1,-2.E1)); +#9706=LINE('',#9705,#9704); +#9707=DIRECTION('',(1.E0,0.E0,0.E0)); +#9708=VECTOR('',#9707,1.E0); +#9709=CARTESIAN_POINT('',(1.875E1,-1.03E1,-1.E1)); +#9710=LINE('',#9709,#9708); +#9711=DIRECTION('',(0.E0,0.E0,1.E0)); +#9712=VECTOR('',#9711,1.E1); +#9713=CARTESIAN_POINT('',(1.975E1,-1.03E1,-2.E1)); +#9714=LINE('',#9713,#9712); +#9715=DIRECTION('',(1.E0,0.E0,0.E0)); +#9716=VECTOR('',#9715,3.75E0); +#9717=CARTESIAN_POINT('',(1.975E1,-1.03E1,-2.E1)); +#9718=LINE('',#9717,#9716); +#9719=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9720=VECTOR('',#9719,1.8E1); +#9721=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E0)); +#9722=LINE('',#9721,#9720); +#9723=DIRECTION('',(1.E0,0.E0,0.E0)); +#9724=VECTOR('',#9723,3.75E0); +#9725=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E1)); +#9726=LINE('',#9725,#9724); +#9727=DIRECTION('',(0.E0,0.E0,1.E0)); +#9728=VECTOR('',#9727,1.E1); +#9729=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-2.E1)); +#9730=LINE('',#9729,#9728); +#9731=DIRECTION('',(1.E0,0.E0,0.E0)); +#9732=VECTOR('',#9731,1.E0); +#9733=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-1.E1)); +#9734=LINE('',#9733,#9732); +#9735=DIRECTION('',(0.E0,0.E0,1.E0)); +#9736=VECTOR('',#9735,1.E1); +#9737=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-2.E1)); +#9738=LINE('',#9737,#9736); +#9739=DIRECTION('',(1.E0,0.E0,0.E0)); +#9740=VECTOR('',#9739,2.5E0); +#9741=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-2.E1)); +#9742=LINE('',#9741,#9740); +#9743=DIRECTION('',(0.E0,0.E0,1.E0)); +#9744=VECTOR('',#9743,1.E1); +#9745=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-2.E1)); +#9746=LINE('',#9745,#9744); +#9747=DIRECTION('',(1.E0,0.E0,0.E0)); +#9748=VECTOR('',#9747,1.E0); +#9749=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-1.E1)); +#9750=LINE('',#9749,#9748); +#9751=DIRECTION('',(0.E0,0.E0,1.E0)); +#9752=VECTOR('',#9751,1.E1); +#9753=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-2.E1)); +#9754=LINE('',#9753,#9752); +#9755=DIRECTION('',(1.E0,0.E0,0.E0)); +#9756=VECTOR('',#9755,2.5E0); +#9757=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-2.E1)); +#9758=LINE('',#9757,#9756); +#9759=DIRECTION('',(0.E0,0.E0,1.E0)); +#9760=VECTOR('',#9759,1.E1); +#9761=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-2.E1)); +#9762=LINE('',#9761,#9760); +#9763=DIRECTION('',(1.E0,0.E0,0.E0)); +#9764=VECTOR('',#9763,1.E0); +#9765=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-1.E1)); +#9766=LINE('',#9765,#9764); +#9767=DIRECTION('',(0.E0,0.E0,1.E0)); +#9768=VECTOR('',#9767,1.E1); +#9769=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#9770=LINE('',#9769,#9768); +#9771=DIRECTION('',(1.E0,0.E0,0.E0)); +#9772=VECTOR('',#9771,2.5E0); +#9773=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#9774=LINE('',#9773,#9772); +#9775=DIRECTION('',(0.E0,0.E0,1.E0)); +#9776=VECTOR('',#9775,1.E1); +#9777=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-2.E1)); +#9778=LINE('',#9777,#9776); +#9779=DIRECTION('',(1.E0,0.E0,0.E0)); +#9780=VECTOR('',#9779,1.E0); +#9781=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-1.E1)); +#9782=LINE('',#9781,#9780); +#9783=DIRECTION('',(0.E0,0.E0,1.E0)); +#9784=VECTOR('',#9783,1.E1); +#9785=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#9786=LINE('',#9785,#9784); +#9787=DIRECTION('',(1.E0,0.E0,0.E0)); +#9788=VECTOR('',#9787,2.325E0); +#9789=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#9790=LINE('',#9789,#9788); +#9791=DIRECTION('',(0.E0,0.E0,1.E0)); +#9792=VECTOR('',#9791,1.E1); +#9793=CARTESIAN_POINT('',(-5.925E0,-1.03E1,-2.E1)); +#9794=LINE('',#9793,#9792); +#9795=DIRECTION('',(0.E0,0.E0,1.E0)); +#9796=VECTOR('',#9795,1.E1); +#9797=CARTESIAN_POINT('',(-4.575E0,-8.075E0,-2.E1)); +#9798=LINE('',#9797,#9796); +#9799=DIRECTION('',(0.E0,0.E0,1.E0)); +#9800=VECTOR('',#9799,1.E1); +#9801=CARTESIAN_POINT('',(-5.925E0,-8.075E0,-2.E1)); +#9802=LINE('',#9801,#9800); +#9803=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9804=VECTOR('',#9803,1.E1); +#9805=CARTESIAN_POINT('',(-2.25E0,-9.1E0,-1.E1)); +#9806=LINE('',#9805,#9804); +#9807=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9808=VECTOR('',#9807,1.E1); +#9809=CARTESIAN_POINT('',(-1.25E0,-9.1E0,-1.E1)); +#9810=LINE('',#9809,#9808); +#9811=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9812=VECTOR('',#9811,1.E1); +#9813=CARTESIAN_POINT('',(1.25E0,-9.1E0,-1.E1)); +#9814=LINE('',#9813,#9812); +#9815=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9816=VECTOR('',#9815,1.E1); +#9817=CARTESIAN_POINT('',(2.25E0,-9.1E0,-1.E1)); +#9818=LINE('',#9817,#9816); +#9819=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9820=VECTOR('',#9819,1.E1); +#9821=CARTESIAN_POINT('',(4.75E0,-9.1E0,-1.E1)); +#9822=LINE('',#9821,#9820); +#9823=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9824=VECTOR('',#9823,1.E1); +#9825=CARTESIAN_POINT('',(5.75E0,-9.1E0,-1.E1)); +#9826=LINE('',#9825,#9824); +#9827=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9828=VECTOR('',#9827,1.E1); +#9829=CARTESIAN_POINT('',(8.25E0,-9.1E0,-1.E1)); +#9830=LINE('',#9829,#9828); +#9831=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9832=VECTOR('',#9831,1.E1); +#9833=CARTESIAN_POINT('',(9.25E0,-9.1E0,-1.E1)); +#9834=LINE('',#9833,#9832); +#9835=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9836=VECTOR('',#9835,1.E1); +#9837=CARTESIAN_POINT('',(1.175E1,-9.1E0,-1.E1)); +#9838=LINE('',#9837,#9836); +#9839=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9840=VECTOR('',#9839,1.E1); +#9841=CARTESIAN_POINT('',(1.275E1,-9.1E0,-1.E1)); +#9842=LINE('',#9841,#9840); +#9843=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9844=VECTOR('',#9843,1.E1); +#9845=CARTESIAN_POINT('',(1.525E1,-9.1E0,-1.E1)); +#9846=LINE('',#9845,#9844); +#9847=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9848=VECTOR('',#9847,1.E1); +#9849=CARTESIAN_POINT('',(1.625E1,-9.1E0,-1.E1)); +#9850=LINE('',#9849,#9848); +#9851=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9852=VECTOR('',#9851,1.E1); +#9853=CARTESIAN_POINT('',(1.875E1,-9.1E0,-1.E1)); +#9854=LINE('',#9853,#9852); +#9855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9856=VECTOR('',#9855,1.E1); +#9857=CARTESIAN_POINT('',(1.975E1,-9.1E0,-1.E1)); +#9858=LINE('',#9857,#9856); +#9859=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9860=VECTOR('',#9859,1.8E1); +#9861=CARTESIAN_POINT('',(-2.82E1,-5.6E0,-2.E0)); +#9862=LINE('',#9861,#9860); +#9863=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9864=VECTOR('',#9863,1.E0); +#9865=CARTESIAN_POINT('',(-2.82E1,5.2E0,-1.E1)); +#9866=LINE('',#9865,#9864); +#9867=DIRECTION('',(0.E0,0.E0,1.E0)); +#9868=VECTOR('',#9867,1.E1); +#9869=CARTESIAN_POINT('',(-2.82E1,4.2E0,-2.E1)); +#9870=LINE('',#9869,#9868); +#9871=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9872=VECTOR('',#9871,8.4E0); +#9873=CARTESIAN_POINT('',(-2.82E1,4.2E0,-2.E1)); +#9874=LINE('',#9873,#9872); +#9875=DIRECTION('',(0.E0,0.E0,1.E0)); +#9876=VECTOR('',#9875,1.E1); +#9877=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-2.E1)); +#9878=LINE('',#9877,#9876); +#9879=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9880=VECTOR('',#9879,1.E0); +#9881=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-1.E1)); +#9882=LINE('',#9881,#9880); +#9883=DIRECTION('',(0.E0,0.E0,1.E0)); +#9884=VECTOR('',#9883,1.E1); +#9885=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-2.E1)); +#9886=LINE('',#9885,#9884); +#9887=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9888=VECTOR('',#9887,4.000000000002E-1); +#9889=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-2.E1)); +#9890=LINE('',#9889,#9888); +#9891=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9892=VECTOR('',#9891,1.8E1); +#9893=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E0)); +#9894=LINE('',#9893,#9892); +#9895=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9896=VECTOR('',#9895,4.000000000002E-1); +#9897=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E1)); +#9898=LINE('',#9897,#9896); +#9899=DIRECTION('',(0.E0,0.E0,1.E0)); +#9900=VECTOR('',#9899,1.E1); +#9901=CARTESIAN_POINT('',(-2.82E1,5.2E0,-2.E1)); +#9902=LINE('',#9901,#9900); +#9903=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9904=VECTOR('',#9903,1.E1); +#9905=CARTESIAN_POINT('',(-2.7E1,4.2E0,-1.E1)); +#9906=LINE('',#9905,#9904); +#9907=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9908=VECTOR('',#9907,1.E1); +#9909=CARTESIAN_POINT('',(-2.7E1,5.2E0,-1.E1)); +#9910=LINE('',#9909,#9908); +#9911=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9912=VECTOR('',#9911,1.E1); +#9913=CARTESIAN_POINT('',(-2.7E1,-4.2E0,-1.E1)); +#9914=LINE('',#9913,#9912); +#9915=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9916=VECTOR('',#9915,1.E1); +#9917=CARTESIAN_POINT('',(-2.7E1,-5.2E0,-1.E1)); +#9918=LINE('',#9917,#9916); +#9919=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9920=VECTOR('',#9919,1.8E1); +#9921=CARTESIAN_POINT('',(-2.35E1,1.03E1,-2.E0)); +#9922=LINE('',#9921,#9920); +#9923=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9924=VECTOR('',#9923,1.E1); +#9925=CARTESIAN_POINT('',(-1.975E1,-9.1E0,-1.E1)); +#9926=LINE('',#9925,#9924); +#9927=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9928=VECTOR('',#9927,1.E1); +#9929=CARTESIAN_POINT('',(-1.875E1,-9.1E0,-1.E1)); +#9930=LINE('',#9929,#9928); +#9931=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9932=VECTOR('',#9931,1.E1); +#9933=CARTESIAN_POINT('',(-1.625E1,-9.1E0,-1.E1)); +#9934=LINE('',#9933,#9932); +#9935=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9936=VECTOR('',#9935,1.E1); +#9937=CARTESIAN_POINT('',(-1.525E1,-9.1E0,-1.E1)); +#9938=LINE('',#9937,#9936); +#9939=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9940=VECTOR('',#9939,1.E1); +#9941=CARTESIAN_POINT('',(-1.275E1,-9.1E0,-1.E1)); +#9942=LINE('',#9941,#9940); +#9943=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9944=VECTOR('',#9943,1.E1); +#9945=CARTESIAN_POINT('',(-1.175E1,-9.1E0,-1.E1)); +#9946=LINE('',#9945,#9944); +#9947=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9948=VECTOR('',#9947,1.E1); +#9949=CARTESIAN_POINT('',(-9.25E0,-9.1E0,-1.E1)); +#9950=LINE('',#9949,#9948); +#9951=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9952=VECTOR('',#9951,1.E1); +#9953=CARTESIAN_POINT('',(-8.25E0,-9.1E0,-1.E1)); +#9954=LINE('',#9953,#9952); +#9955=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9956=VECTOR('',#9955,1.E1); +#9957=CARTESIAN_POINT('',(-1.975E1,9.1E0,-1.E1)); +#9958=LINE('',#9957,#9956); +#9959=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9960=VECTOR('',#9959,1.E1); +#9961=CARTESIAN_POINT('',(-1.875E1,9.1E0,-1.E1)); +#9962=LINE('',#9961,#9960); +#9963=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9964=VECTOR('',#9963,1.E1); +#9965=CARTESIAN_POINT('',(-1.625E1,9.1E0,-1.E1)); +#9966=LINE('',#9965,#9964); +#9967=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9968=VECTOR('',#9967,1.E1); +#9969=CARTESIAN_POINT('',(-1.525E1,9.1E0,-1.E1)); +#9970=LINE('',#9969,#9968); +#9971=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9972=VECTOR('',#9971,1.E1); +#9973=CARTESIAN_POINT('',(-1.275E1,9.1E0,-1.E1)); +#9974=LINE('',#9973,#9972); +#9975=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9976=VECTOR('',#9975,1.E1); +#9977=CARTESIAN_POINT('',(-1.175E1,9.1E0,-1.E1)); +#9978=LINE('',#9977,#9976); +#9979=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9980=VECTOR('',#9979,1.E1); +#9981=CARTESIAN_POINT('',(-9.25E0,9.1E0,-1.E1)); +#9982=LINE('',#9981,#9980); +#9983=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9984=VECTOR('',#9983,1.E1); +#9985=CARTESIAN_POINT('',(-8.25E0,9.1E0,-1.E1)); +#9986=LINE('',#9985,#9984); +#9987=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9988=VECTOR('',#9987,1.E1); +#9989=CARTESIAN_POINT('',(-5.75E0,9.1E0,-1.E1)); +#9990=LINE('',#9989,#9988); +#9991=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9992=VECTOR('',#9991,1.E1); +#9993=CARTESIAN_POINT('',(-4.75E0,9.1E0,-1.E1)); +#9994=LINE('',#9993,#9992); +#9995=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9996=VECTOR('',#9995,1.E1); +#9997=CARTESIAN_POINT('',(-2.25E0,9.1E0,-1.E1)); +#9998=LINE('',#9997,#9996); +#9999=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10000=VECTOR('',#9999,1.E1); +#10001=CARTESIAN_POINT('',(-1.25E0,9.1E0,-1.E1)); +#10002=LINE('',#10001,#10000); +#10003=CARTESIAN_POINT('',(2.35E1,-1.18E1,-2.E1)); +#10004=CARTESIAN_POINT('',(-2.35E1,-1.18E1,-2.E1)); +#10005=VERTEX_POINT('',#10003); +#10006=VERTEX_POINT('',#10004); +#10007=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E1)); +#10008=CARTESIAN_POINT('',(2.82E1,5.6E0,-2.E1)); +#10009=VERTEX_POINT('',#10007); +#10010=VERTEX_POINT('',#10008); +#10011=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E1)); +#10012=CARTESIAN_POINT('',(-2.35E1,1.03E1,-2.E1)); +#10013=VERTEX_POINT('',#10011); +#10014=VERTEX_POINT('',#10012); +#10015=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E1)); +#10016=CARTESIAN_POINT('',(-2.82E1,-5.6E0,-2.E1)); +#10017=VERTEX_POINT('',#10015); +#10018=VERTEX_POINT('',#10016); +#10019=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E1)); +#10020=CARTESIAN_POINT('',(2.35E1,-1.03E1,-2.E1)); +#10021=VERTEX_POINT('',#10019); +#10022=VERTEX_POINT('',#10020); +#10023=CARTESIAN_POINT('',(2.97E1,-5.6E0,-1.9275E1)); +#10024=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-1.9275E1)); +#10025=VERTEX_POINT('',#10023); +#10026=VERTEX_POINT('',#10024); +#10027=CARTESIAN_POINT('',(-2.723329613077E1,-1.055E1,-1.9275E1)); +#10028=CARTESIAN_POINT('',(-2.97E1,-5.6E0,-1.9275E1)); +#10029=VERTEX_POINT('',#10027); +#10030=VERTEX_POINT('',#10028); +#10031=CARTESIAN_POINT('',(-2.964579409906E1,6.418055555554E0,-1.9275E1)); +#10032=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.9275E1)); +#10033=VERTEX_POINT('',#10031); +#10034=VERTEX_POINT('',#10032); +#10035=CARTESIAN_POINT('',(2.35E1,1.18E1,-1.9275E1)); +#10036=CARTESIAN_POINT('',(2.964579409906E1,6.418055555554E0,-1.9275E1)); +#10037=VERTEX_POINT('',#10035); +#10038=VERTEX_POINT('',#10036); +#10039=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-2.E1)); +#10040=VERTEX_POINT('',#10039); +#10041=CARTESIAN_POINT('',(-2.723329613077E1,-1.055E1,-2.E1)); +#10042=VERTEX_POINT('',#10041); +#10043=CARTESIAN_POINT('',(-2.97E1,5.6E0,-2.E1)); +#10044=CARTESIAN_POINT('',(-2.964579409906E1,6.418055555556E0,-2.E1)); +#10045=VERTEX_POINT('',#10043); +#10046=VERTEX_POINT('',#10044); +#10047=CARTESIAN_POINT('',(2.964579409906E1,6.418055555556E0,-2.E1)); +#10048=CARTESIAN_POINT('',(2.97E1,5.6E0,-2.E1)); +#10049=VERTEX_POINT('',#10047); +#10050=VERTEX_POINT('',#10048); +#10051=CARTESIAN_POINT('',(-3.17E1,7.55E0,-1.9275E1)); +#10052=CARTESIAN_POINT('',(-3.063705121181E1,7.55E0,-1.9275E1)); +#10053=VERTEX_POINT('',#10051); +#10054=VERTEX_POINT('',#10052); +#10055=CARTESIAN_POINT('',(-2.97E1,-3.05E0,-1.9275E1)); +#10056=VERTEX_POINT('',#10055); +#10057=CARTESIAN_POINT('',(-3.17E1,7.55E0,-2.E1)); +#10058=CARTESIAN_POINT('',(-3.063705121181E1,7.55E0,-2.E1)); +#10059=VERTEX_POINT('',#10057); +#10060=VERTEX_POINT('',#10058); +#10061=CARTESIAN_POINT('',(-2.97E1,-3.05E0,-2.E1)); +#10062=VERTEX_POINT('',#10061); +#10063=CARTESIAN_POINT('',(-2.795E1,1.18E1,-1.9275E1)); +#10064=CARTESIAN_POINT('',(-2.883235294118E1,1.232941176471E1,-1.9275E1)); +#10065=VERTEX_POINT('',#10063); +#10066=VERTEX_POINT('',#10064); +#10067=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-1.9275E1)); +#10068=VERTEX_POINT('',#10067); +#10069=CARTESIAN_POINT('',(-3.404520787991E1,1.305E1,-1.9275E1)); +#10070=VERTEX_POINT('',#10069); +#10071=CARTESIAN_POINT('',(3.17E1,7.55E0,-1.9275E1)); +#10072=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-1.9275E1)); +#10073=VERTEX_POINT('',#10071); +#10074=VERTEX_POINT('',#10072); +#10075=CARTESIAN_POINT('',(2.97E1,-3.05E0,-1.9275E1)); +#10076=VERTEX_POINT('',#10075); +#10077=CARTESIAN_POINT('',(-2.883235294118E1,1.232941176471E1,-2.E1)); +#10078=CARTESIAN_POINT('',(-2.795E1,1.18E1,-2.E1)); +#10079=VERTEX_POINT('',#10077); +#10080=VERTEX_POINT('',#10078); +#10081=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-2.E1)); +#10082=VERTEX_POINT('',#10081); +#10083=CARTESIAN_POINT('',(-3.404520787991E1,1.305E1,-2.E1)); +#10084=VERTEX_POINT('',#10083); +#10085=CARTESIAN_POINT('',(3.17E1,7.55E0,-2.E1)); +#10086=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-2.E1)); +#10087=VERTEX_POINT('',#10085); +#10088=VERTEX_POINT('',#10086); +#10089=CARTESIAN_POINT('',(2.97E1,-3.05E0,-2.E1)); +#10090=VERTEX_POINT('',#10089); +#10091=CARTESIAN_POINT('',(2.935479212009E1,1.305E1,-1.9275E1)); +#10092=VERTEX_POINT('',#10091); +#10093=CARTESIAN_POINT('',(2.883235294118E1,1.232941176471E1,-1.9275E1)); +#10094=VERTEX_POINT('',#10093); +#10095=CARTESIAN_POINT('',(2.795E1,1.18E1,-1.9275E1)); +#10096=VERTEX_POINT('',#10095); +#10097=CARTESIAN_POINT('',(3.063705121181E1,7.55E0,-1.9275E1)); +#10098=VERTEX_POINT('',#10097); +#10099=CARTESIAN_POINT('',(2.935479212009E1,1.305E1,-2.E1)); +#10100=VERTEX_POINT('',#10099); +#10101=CARTESIAN_POINT('',(2.883235294118E1,1.232941176471E1,-2.E1)); +#10102=VERTEX_POINT('',#10101); +#10103=CARTESIAN_POINT('',(2.795E1,1.18E1,-2.E1)); +#10104=VERTEX_POINT('',#10103); +#10105=CARTESIAN_POINT('',(3.063705121181E1,7.55E0,-2.E1)); +#10106=VERTEX_POINT('',#10105); +#10107=CARTESIAN_POINT('',(-3.07E1,-4.05E0,-1.9275E1)); +#10108=CARTESIAN_POINT('',(-3.32E1,-4.05E0,-1.9275E1)); +#10109=VERTEX_POINT('',#10107); +#10110=VERTEX_POINT('',#10108); +#10111=CARTESIAN_POINT('',(-3.545E1,-4.954792120088E0,-1.9275E1)); +#10112=VERTEX_POINT('',#10111); +#10113=CARTESIAN_POINT('',(-3.545E1,-9.645207879912E0,-1.9275E1)); +#10114=VERTEX_POINT('',#10113); +#10115=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-1.9275E1)); +#10116=VERTEX_POINT('',#10115); +#10117=CARTESIAN_POINT('',(3.32E1,-1.055E1,-1.9275E1)); +#10118=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-1.9275E1)); +#10119=VERTEX_POINT('',#10117); +#10120=VERTEX_POINT('',#10118); +#10121=CARTESIAN_POINT('',(3.545E1,-4.954792120088E0,-1.9275E1)); +#10122=VERTEX_POINT('',#10121); +#10123=CARTESIAN_POINT('',(3.32E1,-4.05E0,-1.9275E1)); +#10124=VERTEX_POINT('',#10123); +#10125=CARTESIAN_POINT('',(3.07E1,-4.05E0,-1.9275E1)); +#10126=VERTEX_POINT('',#10125); +#10127=CARTESIAN_POINT('',(-3.07E1,-4.05E0,-2.E1)); +#10128=CARTESIAN_POINT('',(-3.32E1,-4.05E0,-2.E1)); +#10129=VERTEX_POINT('',#10127); +#10130=VERTEX_POINT('',#10128); +#10131=CARTESIAN_POINT('',(-3.545E1,-4.954792120088E0,-2.E1)); +#10132=VERTEX_POINT('',#10131); +#10133=CARTESIAN_POINT('',(-3.545E1,-9.645207879912E0,-2.E1)); +#10134=VERTEX_POINT('',#10133); +#10135=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-2.E1)); +#10136=VERTEX_POINT('',#10135); +#10137=CARTESIAN_POINT('',(3.32E1,-1.055E1,-2.E1)); +#10138=VERTEX_POINT('',#10137); +#10139=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-2.E1)); +#10140=VERTEX_POINT('',#10139); +#10141=CARTESIAN_POINT('',(3.545E1,-4.954792120088E0,-2.E1)); +#10142=VERTEX_POINT('',#10141); +#10143=CARTESIAN_POINT('',(3.32E1,-4.05E0,-2.E1)); +#10144=VERTEX_POINT('',#10143); +#10145=CARTESIAN_POINT('',(3.07E1,-4.05E0,-2.E1)); +#10146=VERTEX_POINT('',#10145); +#10147=CARTESIAN_POINT('',(-2.7E1,5.2E0,-1.E1)); +#10148=CARTESIAN_POINT('',(-2.7E1,4.2E0,-1.E1)); +#10149=VERTEX_POINT('',#10147); +#10150=VERTEX_POINT('',#10148); +#10151=CARTESIAN_POINT('',(1.975E1,1.03E1,-1.E1)); +#10152=CARTESIAN_POINT('',(1.875E1,1.03E1,-1.E1)); +#10153=VERTEX_POINT('',#10151); +#10154=VERTEX_POINT('',#10152); +#10155=CARTESIAN_POINT('',(1.625E1,1.03E1,-1.E1)); +#10156=CARTESIAN_POINT('',(1.525E1,1.03E1,-1.E1)); +#10157=VERTEX_POINT('',#10155); +#10158=VERTEX_POINT('',#10156); +#10159=CARTESIAN_POINT('',(1.275E1,1.03E1,-1.E1)); +#10160=CARTESIAN_POINT('',(1.175E1,1.03E1,-1.E1)); +#10161=VERTEX_POINT('',#10159); +#10162=VERTEX_POINT('',#10160); +#10163=CARTESIAN_POINT('',(9.25E0,1.03E1,-1.E1)); +#10164=CARTESIAN_POINT('',(8.25E0,1.03E1,-1.E1)); +#10165=VERTEX_POINT('',#10163); +#10166=VERTEX_POINT('',#10164); +#10167=CARTESIAN_POINT('',(5.75E0,1.03E1,-1.E1)); +#10168=CARTESIAN_POINT('',(4.75E0,1.03E1,-1.E1)); +#10169=VERTEX_POINT('',#10167); +#10170=VERTEX_POINT('',#10168); +#10171=CARTESIAN_POINT('',(-1.25E0,1.03E1,-1.E1)); +#10172=CARTESIAN_POINT('',(-2.25E0,1.03E1,-1.E1)); +#10173=VERTEX_POINT('',#10171); +#10174=VERTEX_POINT('',#10172); +#10175=CARTESIAN_POINT('',(-4.75E0,1.03E1,-1.E1)); +#10176=CARTESIAN_POINT('',(-5.75E0,1.03E1,-1.E1)); +#10177=VERTEX_POINT('',#10175); +#10178=VERTEX_POINT('',#10176); +#10179=CARTESIAN_POINT('',(-8.25E0,1.03E1,-1.E1)); +#10180=CARTESIAN_POINT('',(-9.25E0,1.03E1,-1.E1)); +#10181=VERTEX_POINT('',#10179); +#10182=VERTEX_POINT('',#10180); +#10183=CARTESIAN_POINT('',(-1.175E1,1.03E1,-1.E1)); +#10184=CARTESIAN_POINT('',(-1.275E1,1.03E1,-1.E1)); +#10185=VERTEX_POINT('',#10183); +#10186=VERTEX_POINT('',#10184); +#10187=CARTESIAN_POINT('',(-1.525E1,1.03E1,-1.E1)); +#10188=CARTESIAN_POINT('',(-1.625E1,1.03E1,-1.E1)); +#10189=VERTEX_POINT('',#10187); +#10190=VERTEX_POINT('',#10188); +#10191=CARTESIAN_POINT('',(-1.875E1,1.03E1,-1.E1)); +#10192=CARTESIAN_POINT('',(-1.975E1,1.03E1,-1.E1)); +#10193=VERTEX_POINT('',#10191); +#10194=VERTEX_POINT('',#10192); +#10195=CARTESIAN_POINT('',(-2.82E1,5.2E0,-1.E1)); +#10196=CARTESIAN_POINT('',(-2.82E1,4.2E0,-1.E1)); +#10197=VERTEX_POINT('',#10195); +#10198=VERTEX_POINT('',#10196); +#10199=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-1.E1)); +#10200=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-1.E1)); +#10201=VERTEX_POINT('',#10199); +#10202=VERTEX_POINT('',#10200); +#10203=CARTESIAN_POINT('',(-2.82E1,4.2E0,-2.E1)); +#10204=VERTEX_POINT('',#10203); +#10205=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-1.E1)); +#10206=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-1.E1)); +#10207=VERTEX_POINT('',#10205); +#10208=VERTEX_POINT('',#10206); +#10209=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-1.E1)); +#10210=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-1.E1)); +#10211=VERTEX_POINT('',#10209); +#10212=VERTEX_POINT('',#10210); +#10213=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-1.E1)); +#10214=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-1.E1)); +#10215=VERTEX_POINT('',#10213); +#10216=VERTEX_POINT('',#10214); +#10217=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-1.E1)); +#10218=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-1.E1)); +#10219=VERTEX_POINT('',#10217); +#10220=VERTEX_POINT('',#10218); +#10221=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-1.E1)); +#10222=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-1.E1)); +#10223=VERTEX_POINT('',#10221); +#10224=VERTEX_POINT('',#10222); +#10225=CARTESIAN_POINT('',(1.25E0,-1.03E1,-1.E1)); +#10226=CARTESIAN_POINT('',(2.25E0,-1.03E1,-1.E1)); +#10227=VERTEX_POINT('',#10225); +#10228=VERTEX_POINT('',#10226); +#10229=CARTESIAN_POINT('',(4.75E0,-1.03E1,-1.E1)); +#10230=CARTESIAN_POINT('',(5.75E0,-1.03E1,-1.E1)); +#10231=VERTEX_POINT('',#10229); +#10232=VERTEX_POINT('',#10230); +#10233=CARTESIAN_POINT('',(8.25E0,-1.03E1,-1.E1)); +#10234=CARTESIAN_POINT('',(9.25E0,-1.03E1,-1.E1)); +#10235=VERTEX_POINT('',#10233); +#10236=VERTEX_POINT('',#10234); +#10237=CARTESIAN_POINT('',(1.175E1,-1.03E1,-1.E1)); +#10238=CARTESIAN_POINT('',(1.275E1,-1.03E1,-1.E1)); +#10239=VERTEX_POINT('',#10237); +#10240=VERTEX_POINT('',#10238); +#10241=CARTESIAN_POINT('',(1.525E1,-1.03E1,-1.E1)); +#10242=CARTESIAN_POINT('',(1.625E1,-1.03E1,-1.E1)); +#10243=VERTEX_POINT('',#10241); +#10244=VERTEX_POINT('',#10242); +#10245=CARTESIAN_POINT('',(1.875E1,-1.03E1,-1.E1)); +#10246=CARTESIAN_POINT('',(1.975E1,-1.03E1,-1.E1)); +#10247=VERTEX_POINT('',#10245); +#10248=VERTEX_POINT('',#10246); +#10249=CARTESIAN_POINT('',(2.82E1,-5.2E0,-1.E1)); +#10250=CARTESIAN_POINT('',(2.82E1,-4.2E0,-1.E1)); +#10251=VERTEX_POINT('',#10249); +#10252=VERTEX_POINT('',#10250); +#10253=CARTESIAN_POINT('',(2.82E1,4.2E0,-1.E1)); +#10254=CARTESIAN_POINT('',(2.82E1,5.2E0,-1.E1)); +#10255=VERTEX_POINT('',#10253); +#10256=VERTEX_POINT('',#10254); +#10257=CARTESIAN_POINT('',(-2.82E1,5.2E0,-2.E1)); +#10258=CARTESIAN_POINT('',(-2.7E1,5.2E0,-2.E1)); +#10259=VERTEX_POINT('',#10257); +#10260=VERTEX_POINT('',#10258); +#10261=CARTESIAN_POINT('',(-2.7E1,4.2E0,-2.E1)); +#10262=VERTEX_POINT('',#10261); +#10263=CARTESIAN_POINT('',(1.975E1,1.03E1,-2.E1)); +#10264=VERTEX_POINT('',#10263); +#10265=CARTESIAN_POINT('',(1.875E1,1.03E1,-2.E1)); +#10266=CARTESIAN_POINT('',(1.625E1,1.03E1,-2.E1)); +#10267=VERTEX_POINT('',#10265); +#10268=VERTEX_POINT('',#10266); +#10269=CARTESIAN_POINT('',(1.525E1,1.03E1,-2.E1)); +#10270=CARTESIAN_POINT('',(1.275E1,1.03E1,-2.E1)); +#10271=VERTEX_POINT('',#10269); +#10272=VERTEX_POINT('',#10270); +#10273=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#10274=CARTESIAN_POINT('',(9.25E0,1.03E1,-2.E1)); +#10275=VERTEX_POINT('',#10273); +#10276=VERTEX_POINT('',#10274); +#10277=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#10278=CARTESIAN_POINT('',(5.75E0,1.03E1,-2.E1)); +#10279=VERTEX_POINT('',#10277); +#10280=VERTEX_POINT('',#10278); +#10281=CARTESIAN_POINT('',(-2.25E0,1.03E1,-2.E1)); +#10282=CARTESIAN_POINT('',(-4.75E0,1.03E1,-2.E1)); +#10283=VERTEX_POINT('',#10281); +#10284=VERTEX_POINT('',#10282); +#10285=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#10286=CARTESIAN_POINT('',(-8.25E0,1.03E1,-2.E1)); +#10287=VERTEX_POINT('',#10285); +#10288=VERTEX_POINT('',#10286); +#10289=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#10290=CARTESIAN_POINT('',(-1.175E1,1.03E1,-2.E1)); +#10291=VERTEX_POINT('',#10289); +#10292=VERTEX_POINT('',#10290); +#10293=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#10294=CARTESIAN_POINT('',(-1.525E1,1.03E1,-2.E1)); +#10295=VERTEX_POINT('',#10293); +#10296=VERTEX_POINT('',#10294); +#10297=CARTESIAN_POINT('',(-1.625E1,1.03E1,-2.E1)); +#10298=CARTESIAN_POINT('',(-1.875E1,1.03E1,-2.E1)); +#10299=VERTEX_POINT('',#10297); +#10300=VERTEX_POINT('',#10298); +#10301=CARTESIAN_POINT('',(-1.975E1,1.03E1,-2.E1)); +#10302=VERTEX_POINT('',#10301); +#10303=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-2.E1)); +#10304=VERTEX_POINT('',#10303); +#10305=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-2.E1)); +#10306=VERTEX_POINT('',#10305); +#10307=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-2.E1)); +#10308=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-2.E1)); +#10309=VERTEX_POINT('',#10307); +#10310=VERTEX_POINT('',#10308); +#10311=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-2.E1)); +#10312=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-2.E1)); +#10313=VERTEX_POINT('',#10311); +#10314=VERTEX_POINT('',#10312); +#10315=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#10316=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-2.E1)); +#10317=VERTEX_POINT('',#10315); +#10318=VERTEX_POINT('',#10316); +#10319=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#10320=CARTESIAN_POINT('',(1.25E0,-1.03E1,-2.E1)); +#10321=VERTEX_POINT('',#10319); +#10322=VERTEX_POINT('',#10320); +#10323=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#10324=CARTESIAN_POINT('',(4.75E0,-1.03E1,-2.E1)); +#10325=VERTEX_POINT('',#10323); +#10326=VERTEX_POINT('',#10324); +#10327=CARTESIAN_POINT('',(5.75E0,-1.03E1,-2.E1)); +#10328=CARTESIAN_POINT('',(8.25E0,-1.03E1,-2.E1)); +#10329=VERTEX_POINT('',#10327); +#10330=VERTEX_POINT('',#10328); +#10331=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#10332=CARTESIAN_POINT('',(1.175E1,-1.03E1,-2.E1)); +#10333=VERTEX_POINT('',#10331); +#10334=VERTEX_POINT('',#10332); +#10335=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#10336=CARTESIAN_POINT('',(1.525E1,-1.03E1,-2.E1)); +#10337=VERTEX_POINT('',#10335); +#10338=VERTEX_POINT('',#10336); +#10339=CARTESIAN_POINT('',(1.625E1,-1.03E1,-2.E1)); +#10340=CARTESIAN_POINT('',(1.875E1,-1.03E1,-2.E1)); +#10341=VERTEX_POINT('',#10339); +#10342=VERTEX_POINT('',#10340); +#10343=CARTESIAN_POINT('',(1.975E1,-1.03E1,-2.E1)); +#10344=VERTEX_POINT('',#10343); +#10345=CARTESIAN_POINT('',(2.82E1,-5.2E0,-2.E1)); +#10346=VERTEX_POINT('',#10345); +#10347=CARTESIAN_POINT('',(2.82E1,-4.2E0,-2.E1)); +#10348=CARTESIAN_POINT('',(2.82E1,4.2E0,-2.E1)); +#10349=VERTEX_POINT('',#10347); +#10350=VERTEX_POINT('',#10348); +#10351=CARTESIAN_POINT('',(-2.35E1,-1.083589838486E1,0.E0)); +#10352=CARTESIAN_POINT('',(2.35E1,-1.083589838486E1,0.E0)); +#10353=VERTEX_POINT('',#10351); +#10354=VERTEX_POINT('',#10352); +#10355=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E0)); +#10356=CARTESIAN_POINT('',(2.35E1,-1.03E1,-2.E0)); +#10357=VERTEX_POINT('',#10355); +#10358=VERTEX_POINT('',#10356); +#10359=CARTESIAN_POINT('',(2.873589838486E1,-5.6E0,0.E0)); +#10360=VERTEX_POINT('',#10359); +#10361=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E0)); +#10362=VERTEX_POINT('',#10361); +#10363=CARTESIAN_POINT('',(2.873589838486E1,5.6E0,0.E0)); +#10364=VERTEX_POINT('',#10363); +#10365=CARTESIAN_POINT('',(2.82E1,5.6E0,-2.E0)); +#10366=VERTEX_POINT('',#10365); +#10367=CARTESIAN_POINT('',(2.35E1,1.083589838486E1,0.E0)); +#10368=VERTEX_POINT('',#10367); +#10369=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E0)); +#10370=VERTEX_POINT('',#10369); +#10371=CARTESIAN_POINT('',(-2.35E1,1.083589838486E1,0.E0)); +#10372=VERTEX_POINT('',#10371); +#10373=CARTESIAN_POINT('',(-2.35E1,1.03E1,-2.E0)); +#10374=VERTEX_POINT('',#10373); +#10375=CARTESIAN_POINT('',(-2.873589838486E1,5.6E0,0.E0)); +#10376=VERTEX_POINT('',#10375); +#10377=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E0)); +#10378=VERTEX_POINT('',#10377); +#10379=CARTESIAN_POINT('',(-2.873589838486E1,-5.6E0,0.E0)); +#10380=VERTEX_POINT('',#10379); +#10381=CARTESIAN_POINT('',(-2.82E1,-5.6E0,-2.E0)); +#10382=VERTEX_POINT('',#10381); +#10383=CARTESIAN_POINT('',(-3.0615E1,1.08E1,-1.9275E1)); +#10384=CARTESIAN_POINT('',(-3.2785E1,1.08E1,-1.9275E1)); +#10385=VERTEX_POINT('',#10383); +#10386=VERTEX_POINT('',#10384); +#10387=CARTESIAN_POINT('',(-3.2115E1,-7.3E0,-1.9275E1)); +#10388=CARTESIAN_POINT('',(-3.4285E1,-7.3E0,-1.9275E1)); +#10389=VERTEX_POINT('',#10387); +#10390=VERTEX_POINT('',#10388); +#10391=CARTESIAN_POINT('',(3.4285E1,-7.3E0,-1.9275E1)); +#10392=CARTESIAN_POINT('',(3.2115E1,-7.3E0,-1.9275E1)); +#10393=VERTEX_POINT('',#10391); +#10394=VERTEX_POINT('',#10392); +#10395=CARTESIAN_POINT('',(3.2785E1,1.08E1,-1.9275E1)); +#10396=CARTESIAN_POINT('',(3.0615E1,1.08E1,-1.9275E1)); +#10397=VERTEX_POINT('',#10395); +#10398=VERTEX_POINT('',#10396); +#10399=CARTESIAN_POINT('',(-3.2785E1,1.08E1,-2.E1)); +#10400=CARTESIAN_POINT('',(-3.0615E1,1.08E1,-2.E1)); +#10401=VERTEX_POINT('',#10399); +#10402=VERTEX_POINT('',#10400); +#10403=CARTESIAN_POINT('',(-3.4285E1,-7.3E0,-2.E1)); +#10404=CARTESIAN_POINT('',(-3.2115E1,-7.3E0,-2.E1)); +#10405=VERTEX_POINT('',#10403); +#10406=VERTEX_POINT('',#10404); +#10407=CARTESIAN_POINT('',(3.2115E1,-7.3E0,-2.E1)); +#10408=CARTESIAN_POINT('',(3.4285E1,-7.3E0,-2.E1)); +#10409=VERTEX_POINT('',#10407); +#10410=VERTEX_POINT('',#10408); +#10411=CARTESIAN_POINT('',(3.0615E1,1.08E1,-2.E1)); +#10412=CARTESIAN_POINT('',(3.2785E1,1.08E1,-2.E1)); +#10413=VERTEX_POINT('',#10411); +#10414=VERTEX_POINT('',#10412); +#10415=CARTESIAN_POINT('',(-2.47E1,7.5E-1,-3.65E1)); +#10416=CARTESIAN_POINT('',(-2.47E1,3.876763209470E0,-3.425515488527E1)); +#10417=VERTEX_POINT('',#10415); +#10418=VERTEX_POINT('',#10416); +#10419=CARTESIAN_POINT('',(2.47E1,7.5E-1,-3.65E1)); +#10420=CARTESIAN_POINT('',(2.47E1,3.876763209470E0,-3.425515488527E1)); +#10421=VERTEX_POINT('',#10419); +#10422=VERTEX_POINT('',#10420); +#10423=CARTESIAN_POINT('',(-2.33E1,-3.25E0,-2.2E1)); +#10424=CARTESIAN_POINT('',(-2.33E1,7.5E-1,-2.2E1)); +#10425=VERTEX_POINT('',#10423); +#10426=VERTEX_POINT('',#10424); +#10427=CARTESIAN_POINT('',(-2.47E1,-3.25E0,-2.2E1)); +#10428=CARTESIAN_POINT('',(-2.47E1,-3.25E0,-3.11E1)); +#10429=VERTEX_POINT('',#10427); +#10430=VERTEX_POINT('',#10428); +#10431=CARTESIAN_POINT('',(-2.33E1,-3.25E0,-3.11E1)); +#10432=VERTEX_POINT('',#10431); +#10433=CARTESIAN_POINT('',(-2.33E1,-3.25E0,-3.25E1)); +#10434=VERTEX_POINT('',#10433); +#10435=CARTESIAN_POINT('',(-2.33E1,-2.65E0,-3.25E1)); +#10436=VERTEX_POINT('',#10435); +#10437=CARTESIAN_POINT('',(-2.33E1,7.5E-1,-3.51E1)); +#10438=VERTEX_POINT('',#10437); +#10439=CARTESIAN_POINT('',(-2.47E1,7.5E-1,-2.2E1)); +#10440=VERTEX_POINT('',#10439); +#10441=CARTESIAN_POINT('',(3.075E1,-1.107E1,-2.2E1)); +#10442=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-2.2E1)); +#10443=VERTEX_POINT('',#10441); +#10444=VERTEX_POINT('',#10442); +#10445=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-2.2E1)); +#10446=VERTEX_POINT('',#10445); +#10447=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-2.2E1)); +#10448=VERTEX_POINT('',#10447); +#10449=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-2.2E1)); +#10450=VERTEX_POINT('',#10449); +#10451=CARTESIAN_POINT('',(2.473110368616E1,-1.077E1,-2.2E1)); +#10452=VERTEX_POINT('',#10451); +#10453=CARTESIAN_POINT('',(2.473110368616E1,-9.67E0,-2.2E1)); +#10454=VERTEX_POINT('',#10453); +#10455=CARTESIAN_POINT('',(3.075E1,-1.107E1,-2.85E1)); +#10456=VERTEX_POINT('',#10455); +#10457=CARTESIAN_POINT('',(2.695E1,-5.568671030682E0,-3.78E1)); +#10458=CARTESIAN_POINT('',(2.695E1,-1.107E1,-3.78E1)); +#10459=VERTEX_POINT('',#10457); +#10460=VERTEX_POINT('',#10458); +#10461=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-3.78E1)); +#10462=VERTEX_POINT('',#10461); +#10463=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-3.78E1)); +#10464=VERTEX_POINT('',#10463); +#10465=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-3.78E1)); +#10466=VERTEX_POINT('',#10465); +#10467=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-3.78E1)); +#10468=VERTEX_POINT('',#10467); +#10469=CARTESIAN_POINT('',(2.473110368616E1,-1.077E1,-3.78E1)); +#10470=VERTEX_POINT('',#10469); +#10471=CARTESIAN_POINT('',(2.473110368616E1,-9.67E0,-3.78E1)); +#10472=VERTEX_POINT('',#10471); +#10473=CARTESIAN_POINT('',(2.443110368616E1,-9.37E0,-3.78E1)); +#10474=VERTEX_POINT('',#10473); +#10475=CARTESIAN_POINT('',(2.443110368616E1,-8.22E0,-3.78E1)); +#10476=VERTEX_POINT('',#10475); +#10477=CARTESIAN_POINT('',(2.605E1,-5.568671030682E0,-3.78E1)); +#10478=VERTEX_POINT('',#10477); +#10479=CARTESIAN_POINT('',(2.695E1,-1.107E1,-2.85E1)); +#10480=VERTEX_POINT('',#10479); +#10481=CARTESIAN_POINT('',(2.605E1,-5.568671030682E0,-2.2E1)); +#10482=VERTEX_POINT('',#10481); +#10483=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-3.31E1)); +#10484=CARTESIAN_POINT('',(3.075E1,-7.218671030682E0,-3.31E1)); +#10485=VERTEX_POINT('',#10483); +#10486=VERTEX_POINT('',#10484); +#10487=CARTESIAN_POINT('',(3.075E1,-7.218671030682E0,-2.85E1)); +#10488=CARTESIAN_POINT('',(2.695E1,-7.218671030682E0,-2.85E1)); +#10489=VERTEX_POINT('',#10487); +#10490=VERTEX_POINT('',#10488); +#10491=CARTESIAN_POINT('',(-2.695E1,-7.218671030682E0,-2.85E1)); +#10492=CARTESIAN_POINT('',(-3.075E1,-7.218671030682E0,-2.85E1)); +#10493=VERTEX_POINT('',#10491); +#10494=VERTEX_POINT('',#10492); +#10495=CARTESIAN_POINT('',(2.695E1,-5.568671030682E0,-3.31E1)); +#10496=CARTESIAN_POINT('',(2.695E1,-7.218671030682E0,-3.31E1)); +#10497=VERTEX_POINT('',#10495); +#10498=VERTEX_POINT('',#10496); +#10499=CARTESIAN_POINT('',(2.956E1,-7.218671030682E0,-3.205126559884E1)); +#10500=CARTESIAN_POINT('',(2.956E1,-7.218671030682E0,-3.054873440116E1)); +#10501=VERTEX_POINT('',#10499); +#10502=VERTEX_POINT('',#10500); +#10503=CARTESIAN_POINT('',(-2.956E1,-7.218671030682E0,-3.205126559884E1)); +#10504=CARTESIAN_POINT('',(-2.956E1,-7.218671030682E0,-3.054873440116E1)); +#10505=VERTEX_POINT('',#10503); +#10506=VERTEX_POINT('',#10504); +#10507=CARTESIAN_POINT('',(2.9325E1,-1.516867103068E1,-3.13E1)); +#10508=CARTESIAN_POINT('',(2.8475E1,-1.516867103068E1,-3.13E1)); +#10509=VERTEX_POINT('',#10507); +#10510=VERTEX_POINT('',#10508); +#10511=CARTESIAN_POINT('',(-2.956E1,-1.356867103068E1,-3.054873440116E1)); +#10512=CARTESIAN_POINT('',(-2.956E1,-1.356867103068E1,-3.205126559884E1)); +#10513=VERTEX_POINT('',#10511); +#10514=VERTEX_POINT('',#10512); +#10515=CARTESIAN_POINT('',(2.956E1,-1.356867103068E1,-3.205126559884E1)); +#10516=CARTESIAN_POINT('',(2.956E1,-1.356867103068E1,-3.054873440116E1)); +#10517=VERTEX_POINT('',#10515); +#10518=VERTEX_POINT('',#10516); +#10519=CARTESIAN_POINT('',(3.04E1,-1.416867103068E1,-3.13E1)); +#10520=VERTEX_POINT('',#10519); +#10521=CARTESIAN_POINT('',(3.019830658937E1,-1.356867103068E1, +-3.205126559884E1)); +#10522=VERTEX_POINT('',#10521); +#10523=CARTESIAN_POINT('',(3.019830658937E1,-1.356867103068E1, +-3.054873440116E1)); +#10524=VERTEX_POINT('',#10523); +#10525=CARTESIAN_POINT('',(-3.019830658937E1,-1.356867103068E1, +-3.054873440116E1)); +#10526=VERTEX_POINT('',#10525); +#10527=CARTESIAN_POINT('',(-3.019830658937E1,-1.356867103068E1, +-3.205126559884E1)); +#10528=VERTEX_POINT('',#10527); +#10529=VERTEX_POINT('',#5174); +#10530=VERTEX_POINT('',#5182); +#10531=CARTESIAN_POINT('',(2.79E1,-1.463378730975E1,-3.13E1)); +#10532=VERTEX_POINT('',#10531); +#10533=VERTEX_POINT('',#5145); +#10534=VERTEX_POINT('',#5153); +#10535=CARTESIAN_POINT('',(-3.019830658937E1,-1.416867103068E1, +-3.054873440116E1)); +#10536=VERTEX_POINT('',#10535); +#10537=CARTESIAN_POINT('',(-3.019830658937E1,-1.416867103068E1, +-3.205126559884E1)); +#10538=VERTEX_POINT('',#10537); +#10539=CARTESIAN_POINT('',(-2.956E1,-1.463378730975E1,-3.205126559884E1)); +#10540=VERTEX_POINT('',#10539); +#10541=CARTESIAN_POINT('',(-2.956E1,-1.463378730975E1,-3.054873440116E1)); +#10542=VERTEX_POINT('',#10541); +#10543=CARTESIAN_POINT('',(-2.2E1,4.65E0,-1.2475E1)); +#10544=CARTESIAN_POINT('',(-2.2E1,3.35E0,-1.2475E1)); +#10545=VERTEX_POINT('',#10543); +#10546=VERTEX_POINT('',#10544); +#10547=CARTESIAN_POINT('',(-2.2E1,-1.522E1,-3.645E1)); +#10548=CARTESIAN_POINT('',(-2.2E1,-1.522E1,-3.515E1)); +#10549=VERTEX_POINT('',#10547); +#10550=VERTEX_POINT('',#10548); +#10551=CARTESIAN_POINT('',(-2.2E1,4.65E0,-2.E1)); +#10552=CARTESIAN_POINT('',(-2.2E1,3.35E0,-2.E1)); +#10553=VERTEX_POINT('',#10551); +#10554=VERTEX_POINT('',#10552); +#10555=CARTESIAN_POINT('',(-1.8E1,4.65E0,-1.2475E1)); +#10556=CARTESIAN_POINT('',(-1.8E1,3.35E0,-1.2475E1)); +#10557=VERTEX_POINT('',#10555); +#10558=VERTEX_POINT('',#10556); +#10559=CARTESIAN_POINT('',(-1.8E1,-1.522E1,-3.645E1)); +#10560=CARTESIAN_POINT('',(-1.8E1,-1.522E1,-3.515E1)); +#10561=VERTEX_POINT('',#10559); +#10562=VERTEX_POINT('',#10560); +#10563=CARTESIAN_POINT('',(-1.8E1,4.65E0,-2.E1)); +#10564=CARTESIAN_POINT('',(-1.8E1,3.35E0,-2.E1)); +#10565=VERTEX_POINT('',#10563); +#10566=VERTEX_POINT('',#10564); +#10567=CARTESIAN_POINT('',(-1.4E1,4.65E0,-1.2475E1)); +#10568=CARTESIAN_POINT('',(-1.4E1,3.35E0,-1.2475E1)); +#10569=VERTEX_POINT('',#10567); +#10570=VERTEX_POINT('',#10568); +#10571=CARTESIAN_POINT('',(-1.4E1,-1.522E1,-3.645E1)); +#10572=CARTESIAN_POINT('',(-1.4E1,-1.522E1,-3.515E1)); +#10573=VERTEX_POINT('',#10571); +#10574=VERTEX_POINT('',#10572); +#10575=CARTESIAN_POINT('',(-1.4E1,4.65E0,-2.E1)); +#10576=CARTESIAN_POINT('',(-1.4E1,3.35E0,-2.E1)); +#10577=VERTEX_POINT('',#10575); +#10578=VERTEX_POINT('',#10576); +#10579=CARTESIAN_POINT('',(-1.E1,4.65E0,-1.2475E1)); +#10580=CARTESIAN_POINT('',(-1.E1,3.35E0,-1.2475E1)); +#10581=VERTEX_POINT('',#10579); +#10582=VERTEX_POINT('',#10580); +#10583=CARTESIAN_POINT('',(-1.E1,-1.522E1,-3.645E1)); +#10584=CARTESIAN_POINT('',(-1.E1,-1.522E1,-3.515E1)); +#10585=VERTEX_POINT('',#10583); +#10586=VERTEX_POINT('',#10584); +#10587=CARTESIAN_POINT('',(-1.E1,4.65E0,-2.E1)); +#10588=CARTESIAN_POINT('',(-1.E1,3.35E0,-2.E1)); +#10589=VERTEX_POINT('',#10587); +#10590=VERTEX_POINT('',#10588); +#10591=CARTESIAN_POINT('',(-6.E0,4.65E0,-1.2475E1)); +#10592=CARTESIAN_POINT('',(-6.E0,3.35E0,-1.2475E1)); +#10593=VERTEX_POINT('',#10591); +#10594=VERTEX_POINT('',#10592); +#10595=CARTESIAN_POINT('',(-6.E0,-1.522E1,-3.645E1)); +#10596=CARTESIAN_POINT('',(-6.E0,-1.522E1,-3.515E1)); +#10597=VERTEX_POINT('',#10595); +#10598=VERTEX_POINT('',#10596); +#10599=CARTESIAN_POINT('',(-6.E0,4.65E0,-2.E1)); +#10600=CARTESIAN_POINT('',(-6.E0,3.35E0,-2.E1)); +#10601=VERTEX_POINT('',#10599); +#10602=VERTEX_POINT('',#10600); +#10603=CARTESIAN_POINT('',(-2.E0,4.65E0,-1.2475E1)); +#10604=CARTESIAN_POINT('',(-2.E0,3.35E0,-1.2475E1)); +#10605=VERTEX_POINT('',#10603); +#10606=VERTEX_POINT('',#10604); +#10607=CARTESIAN_POINT('',(-2.E0,-1.522E1,-3.645E1)); +#10608=CARTESIAN_POINT('',(-2.E0,-1.522E1,-3.515E1)); +#10609=VERTEX_POINT('',#10607); +#10610=VERTEX_POINT('',#10608); +#10611=CARTESIAN_POINT('',(-2.E0,4.65E0,-2.E1)); +#10612=CARTESIAN_POINT('',(-2.E0,3.35E0,-2.E1)); +#10613=VERTEX_POINT('',#10611); +#10614=VERTEX_POINT('',#10612); +#10615=CARTESIAN_POINT('',(2.E0,4.65E0,-1.2475E1)); +#10616=CARTESIAN_POINT('',(2.E0,3.35E0,-1.2475E1)); +#10617=VERTEX_POINT('',#10615); +#10618=VERTEX_POINT('',#10616); +#10619=CARTESIAN_POINT('',(2.E0,-1.522E1,-3.645E1)); +#10620=CARTESIAN_POINT('',(2.E0,-1.522E1,-3.515E1)); +#10621=VERTEX_POINT('',#10619); +#10622=VERTEX_POINT('',#10620); +#10623=CARTESIAN_POINT('',(2.E0,4.65E0,-2.E1)); +#10624=CARTESIAN_POINT('',(2.E0,3.35E0,-2.E1)); +#10625=VERTEX_POINT('',#10623); +#10626=VERTEX_POINT('',#10624); +#10627=CARTESIAN_POINT('',(6.E0,4.65E0,-1.2475E1)); +#10628=CARTESIAN_POINT('',(6.E0,3.35E0,-1.2475E1)); +#10629=VERTEX_POINT('',#10627); +#10630=VERTEX_POINT('',#10628); +#10631=CARTESIAN_POINT('',(6.E0,-1.522E1,-3.645E1)); +#10632=CARTESIAN_POINT('',(6.E0,-1.522E1,-3.515E1)); +#10633=VERTEX_POINT('',#10631); +#10634=VERTEX_POINT('',#10632); +#10635=CARTESIAN_POINT('',(6.E0,4.65E0,-2.E1)); +#10636=CARTESIAN_POINT('',(6.E0,3.35E0,-2.E1)); +#10637=VERTEX_POINT('',#10635); +#10638=VERTEX_POINT('',#10636); +#10639=CARTESIAN_POINT('',(1.E1,4.65E0,-1.2475E1)); +#10640=CARTESIAN_POINT('',(1.E1,3.35E0,-1.2475E1)); +#10641=VERTEX_POINT('',#10639); +#10642=VERTEX_POINT('',#10640); +#10643=CARTESIAN_POINT('',(1.E1,-1.522E1,-3.645E1)); +#10644=CARTESIAN_POINT('',(1.E1,-1.522E1,-3.515E1)); +#10645=VERTEX_POINT('',#10643); +#10646=VERTEX_POINT('',#10644); +#10647=CARTESIAN_POINT('',(1.E1,4.65E0,-2.E1)); +#10648=CARTESIAN_POINT('',(1.E1,3.35E0,-2.E1)); +#10649=VERTEX_POINT('',#10647); +#10650=VERTEX_POINT('',#10648); +#10651=CARTESIAN_POINT('',(1.4E1,4.65E0,-1.2475E1)); +#10652=CARTESIAN_POINT('',(1.4E1,3.35E0,-1.2475E1)); +#10653=VERTEX_POINT('',#10651); +#10654=VERTEX_POINT('',#10652); +#10655=CARTESIAN_POINT('',(1.4E1,-1.522E1,-3.645E1)); +#10656=CARTESIAN_POINT('',(1.4E1,-1.522E1,-3.515E1)); +#10657=VERTEX_POINT('',#10655); +#10658=VERTEX_POINT('',#10656); +#10659=CARTESIAN_POINT('',(1.4E1,4.65E0,-2.E1)); +#10660=CARTESIAN_POINT('',(1.4E1,3.35E0,-2.E1)); +#10661=VERTEX_POINT('',#10659); +#10662=VERTEX_POINT('',#10660); +#10663=CARTESIAN_POINT('',(1.8E1,4.65E0,-1.2475E1)); +#10664=CARTESIAN_POINT('',(1.8E1,3.35E0,-1.2475E1)); +#10665=VERTEX_POINT('',#10663); +#10666=VERTEX_POINT('',#10664); +#10667=CARTESIAN_POINT('',(1.8E1,-1.522E1,-3.645E1)); +#10668=CARTESIAN_POINT('',(1.8E1,-1.522E1,-3.515E1)); +#10669=VERTEX_POINT('',#10667); +#10670=VERTEX_POINT('',#10668); +#10671=CARTESIAN_POINT('',(1.8E1,4.65E0,-2.E1)); +#10672=CARTESIAN_POINT('',(1.8E1,3.35E0,-2.E1)); +#10673=VERTEX_POINT('',#10671); +#10674=VERTEX_POINT('',#10672); +#10675=CARTESIAN_POINT('',(2.2E1,4.65E0,-1.2475E1)); +#10676=CARTESIAN_POINT('',(2.2E1,3.35E0,-1.2475E1)); +#10677=VERTEX_POINT('',#10675); +#10678=VERTEX_POINT('',#10676); +#10679=CARTESIAN_POINT('',(2.2E1,-1.522E1,-3.645E1)); +#10680=CARTESIAN_POINT('',(2.2E1,-1.522E1,-3.515E1)); +#10681=VERTEX_POINT('',#10679); +#10682=VERTEX_POINT('',#10680); +#10683=CARTESIAN_POINT('',(2.2E1,4.65E0,-2.E1)); +#10684=CARTESIAN_POINT('',(2.2E1,3.35E0,-2.E1)); +#10685=VERTEX_POINT('',#10683); +#10686=VERTEX_POINT('',#10684); +#10687=CARTESIAN_POINT('',(-2.2E1,-3.35E0,-1.2475E1)); +#10688=CARTESIAN_POINT('',(-2.2E1,-4.65E0,-1.2475E1)); +#10689=VERTEX_POINT('',#10687); +#10690=VERTEX_POINT('',#10688); +#10691=CARTESIAN_POINT('',(-2.2E1,-1.522E1,-2.845E1)); +#10692=CARTESIAN_POINT('',(-2.2E1,-1.522E1,-2.715E1)); +#10693=VERTEX_POINT('',#10691); +#10694=VERTEX_POINT('',#10692); +#10695=CARTESIAN_POINT('',(-2.2E1,-3.35E0,-2.E1)); +#10696=CARTESIAN_POINT('',(-2.2E1,-4.65E0,-2.E1)); +#10697=VERTEX_POINT('',#10695); +#10698=VERTEX_POINT('',#10696); +#10699=CARTESIAN_POINT('',(-1.8E1,-3.35E0,-1.2475E1)); +#10700=CARTESIAN_POINT('',(-1.8E1,-4.65E0,-1.2475E1)); +#10701=VERTEX_POINT('',#10699); +#10702=VERTEX_POINT('',#10700); +#10703=CARTESIAN_POINT('',(-1.8E1,-1.522E1,-2.845E1)); +#10704=CARTESIAN_POINT('',(-1.8E1,-1.522E1,-2.715E1)); +#10705=VERTEX_POINT('',#10703); +#10706=VERTEX_POINT('',#10704); +#10707=CARTESIAN_POINT('',(-1.8E1,-3.35E0,-2.E1)); +#10708=CARTESIAN_POINT('',(-1.8E1,-4.65E0,-2.E1)); +#10709=VERTEX_POINT('',#10707); +#10710=VERTEX_POINT('',#10708); +#10711=CARTESIAN_POINT('',(-1.4E1,-3.35E0,-1.2475E1)); +#10712=CARTESIAN_POINT('',(-1.4E1,-4.65E0,-1.2475E1)); +#10713=VERTEX_POINT('',#10711); +#10714=VERTEX_POINT('',#10712); +#10715=CARTESIAN_POINT('',(-1.4E1,-1.522E1,-2.845E1)); +#10716=CARTESIAN_POINT('',(-1.4E1,-1.522E1,-2.715E1)); +#10717=VERTEX_POINT('',#10715); +#10718=VERTEX_POINT('',#10716); +#10719=CARTESIAN_POINT('',(-1.4E1,-3.35E0,-2.E1)); +#10720=CARTESIAN_POINT('',(-1.4E1,-4.65E0,-2.E1)); +#10721=VERTEX_POINT('',#10719); +#10722=VERTEX_POINT('',#10720); +#10723=CARTESIAN_POINT('',(-1.E1,-3.35E0,-1.2475E1)); +#10724=CARTESIAN_POINT('',(-1.E1,-4.65E0,-1.2475E1)); +#10725=VERTEX_POINT('',#10723); +#10726=VERTEX_POINT('',#10724); +#10727=CARTESIAN_POINT('',(-1.E1,-1.522E1,-2.845E1)); +#10728=CARTESIAN_POINT('',(-1.E1,-1.522E1,-2.715E1)); +#10729=VERTEX_POINT('',#10727); +#10730=VERTEX_POINT('',#10728); +#10731=CARTESIAN_POINT('',(-1.E1,-3.35E0,-2.E1)); +#10732=CARTESIAN_POINT('',(-1.E1,-4.65E0,-2.E1)); +#10733=VERTEX_POINT('',#10731); +#10734=VERTEX_POINT('',#10732); +#10735=CARTESIAN_POINT('',(-6.E0,-3.35E0,-1.2475E1)); +#10736=CARTESIAN_POINT('',(-6.E0,-4.65E0,-1.2475E1)); +#10737=VERTEX_POINT('',#10735); +#10738=VERTEX_POINT('',#10736); +#10739=CARTESIAN_POINT('',(-6.E0,-1.522E1,-2.845E1)); +#10740=CARTESIAN_POINT('',(-6.E0,-1.522E1,-2.715E1)); +#10741=VERTEX_POINT('',#10739); +#10742=VERTEX_POINT('',#10740); +#10743=CARTESIAN_POINT('',(-6.E0,-3.35E0,-2.E1)); +#10744=CARTESIAN_POINT('',(-6.E0,-4.65E0,-2.E1)); +#10745=VERTEX_POINT('',#10743); +#10746=VERTEX_POINT('',#10744); +#10747=CARTESIAN_POINT('',(-2.E0,-3.35E0,-1.2475E1)); +#10748=CARTESIAN_POINT('',(-2.E0,-4.65E0,-1.2475E1)); +#10749=VERTEX_POINT('',#10747); +#10750=VERTEX_POINT('',#10748); +#10751=CARTESIAN_POINT('',(-2.E0,-1.522E1,-2.845E1)); +#10752=CARTESIAN_POINT('',(-2.E0,-1.522E1,-2.715E1)); +#10753=VERTEX_POINT('',#10751); +#10754=VERTEX_POINT('',#10752); +#10755=CARTESIAN_POINT('',(-2.E0,-3.35E0,-2.E1)); +#10756=CARTESIAN_POINT('',(-2.E0,-4.65E0,-2.E1)); +#10757=VERTEX_POINT('',#10755); +#10758=VERTEX_POINT('',#10756); +#10759=CARTESIAN_POINT('',(2.E0,-3.35E0,-1.2475E1)); +#10760=CARTESIAN_POINT('',(2.E0,-4.65E0,-1.2475E1)); +#10761=VERTEX_POINT('',#10759); +#10762=VERTEX_POINT('',#10760); +#10763=CARTESIAN_POINT('',(2.E0,-1.522E1,-2.845E1)); +#10764=CARTESIAN_POINT('',(2.E0,-1.522E1,-2.715E1)); +#10765=VERTEX_POINT('',#10763); +#10766=VERTEX_POINT('',#10764); +#10767=CARTESIAN_POINT('',(2.E0,-3.35E0,-2.E1)); +#10768=CARTESIAN_POINT('',(2.E0,-4.65E0,-2.E1)); +#10769=VERTEX_POINT('',#10767); +#10770=VERTEX_POINT('',#10768); +#10771=CARTESIAN_POINT('',(6.E0,-3.35E0,-1.2475E1)); +#10772=CARTESIAN_POINT('',(6.E0,-4.65E0,-1.2475E1)); +#10773=VERTEX_POINT('',#10771); +#10774=VERTEX_POINT('',#10772); +#10775=CARTESIAN_POINT('',(6.E0,-1.522E1,-2.845E1)); +#10776=CARTESIAN_POINT('',(6.E0,-1.522E1,-2.715E1)); +#10777=VERTEX_POINT('',#10775); +#10778=VERTEX_POINT('',#10776); +#10779=CARTESIAN_POINT('',(6.E0,-3.35E0,-2.E1)); +#10780=CARTESIAN_POINT('',(6.E0,-4.65E0,-2.E1)); +#10781=VERTEX_POINT('',#10779); +#10782=VERTEX_POINT('',#10780); +#10783=CARTESIAN_POINT('',(1.E1,-3.35E0,-1.2475E1)); +#10784=CARTESIAN_POINT('',(1.E1,-4.65E0,-1.2475E1)); +#10785=VERTEX_POINT('',#10783); +#10786=VERTEX_POINT('',#10784); +#10787=CARTESIAN_POINT('',(1.E1,-1.522E1,-2.845E1)); +#10788=CARTESIAN_POINT('',(1.E1,-1.522E1,-2.715E1)); +#10789=VERTEX_POINT('',#10787); +#10790=VERTEX_POINT('',#10788); +#10791=CARTESIAN_POINT('',(1.E1,-3.35E0,-2.E1)); +#10792=CARTESIAN_POINT('',(1.E1,-4.65E0,-2.E1)); +#10793=VERTEX_POINT('',#10791); +#10794=VERTEX_POINT('',#10792); +#10795=CARTESIAN_POINT('',(1.4E1,-3.35E0,-1.2475E1)); +#10796=CARTESIAN_POINT('',(1.4E1,-4.65E0,-1.2475E1)); +#10797=VERTEX_POINT('',#10795); +#10798=VERTEX_POINT('',#10796); +#10799=CARTESIAN_POINT('',(1.4E1,-1.522E1,-2.845E1)); +#10800=CARTESIAN_POINT('',(1.4E1,-1.522E1,-2.715E1)); +#10801=VERTEX_POINT('',#10799); +#10802=VERTEX_POINT('',#10800); +#10803=CARTESIAN_POINT('',(1.4E1,-3.35E0,-2.E1)); +#10804=CARTESIAN_POINT('',(1.4E1,-4.65E0,-2.E1)); +#10805=VERTEX_POINT('',#10803); +#10806=VERTEX_POINT('',#10804); +#10807=CARTESIAN_POINT('',(1.8E1,-3.35E0,-1.2475E1)); +#10808=CARTESIAN_POINT('',(1.8E1,-4.65E0,-1.2475E1)); +#10809=VERTEX_POINT('',#10807); +#10810=VERTEX_POINT('',#10808); +#10811=CARTESIAN_POINT('',(1.8E1,-1.522E1,-2.845E1)); +#10812=CARTESIAN_POINT('',(1.8E1,-1.522E1,-2.715E1)); +#10813=VERTEX_POINT('',#10811); +#10814=VERTEX_POINT('',#10812); +#10815=CARTESIAN_POINT('',(1.8E1,-3.35E0,-2.E1)); +#10816=CARTESIAN_POINT('',(1.8E1,-4.65E0,-2.E1)); +#10817=VERTEX_POINT('',#10815); +#10818=VERTEX_POINT('',#10816); +#10819=CARTESIAN_POINT('',(2.2E1,-3.35E0,-1.2475E1)); +#10820=CARTESIAN_POINT('',(2.2E1,-4.65E0,-1.2475E1)); +#10821=VERTEX_POINT('',#10819); +#10822=VERTEX_POINT('',#10820); +#10823=CARTESIAN_POINT('',(2.2E1,-1.522E1,-2.845E1)); +#10824=CARTESIAN_POINT('',(2.2E1,-1.522E1,-2.715E1)); +#10825=VERTEX_POINT('',#10823); +#10826=VERTEX_POINT('',#10824); +#10827=CARTESIAN_POINT('',(2.2E1,-3.35E0,-2.E1)); +#10828=CARTESIAN_POINT('',(2.2E1,-4.65E0,-2.E1)); +#10829=VERTEX_POINT('',#10827); +#10830=VERTEX_POINT('',#10828); +#10831=CARTESIAN_POINT('',(-2.E1,6.5E-1,-1.2475E1)); +#10832=CARTESIAN_POINT('',(-2.E1,-6.5E-1,-1.2475E1)); +#10833=VERTEX_POINT('',#10831); +#10834=VERTEX_POINT('',#10832); +#10835=CARTESIAN_POINT('',(-2.E1,-1.522E1,-3.245E1)); +#10836=CARTESIAN_POINT('',(-2.E1,-1.522E1,-3.115E1)); +#10837=VERTEX_POINT('',#10835); +#10838=VERTEX_POINT('',#10836); +#10839=CARTESIAN_POINT('',(-2.E1,6.5E-1,-2.E1)); +#10840=CARTESIAN_POINT('',(-2.E1,-6.5E-1,-2.E1)); +#10841=VERTEX_POINT('',#10839); +#10842=VERTEX_POINT('',#10840); +#10843=CARTESIAN_POINT('',(-1.6E1,6.5E-1,-1.2475E1)); +#10844=CARTESIAN_POINT('',(-1.6E1,-6.5E-1,-1.2475E1)); +#10845=VERTEX_POINT('',#10843); +#10846=VERTEX_POINT('',#10844); +#10847=CARTESIAN_POINT('',(-1.6E1,-1.522E1,-3.245E1)); +#10848=CARTESIAN_POINT('',(-1.6E1,-1.522E1,-3.115E1)); +#10849=VERTEX_POINT('',#10847); +#10850=VERTEX_POINT('',#10848); +#10851=CARTESIAN_POINT('',(-1.6E1,6.5E-1,-2.E1)); +#10852=CARTESIAN_POINT('',(-1.6E1,-6.5E-1,-2.E1)); +#10853=VERTEX_POINT('',#10851); +#10854=VERTEX_POINT('',#10852); +#10855=CARTESIAN_POINT('',(-1.2E1,6.5E-1,-1.2475E1)); +#10856=CARTESIAN_POINT('',(-1.2E1,-6.5E-1,-1.2475E1)); +#10857=VERTEX_POINT('',#10855); +#10858=VERTEX_POINT('',#10856); +#10859=CARTESIAN_POINT('',(-1.2E1,-1.522E1,-3.245E1)); +#10860=CARTESIAN_POINT('',(-1.2E1,-1.522E1,-3.115E1)); +#10861=VERTEX_POINT('',#10859); +#10862=VERTEX_POINT('',#10860); +#10863=CARTESIAN_POINT('',(-1.2E1,6.5E-1,-2.E1)); +#10864=CARTESIAN_POINT('',(-1.2E1,-6.5E-1,-2.E1)); +#10865=VERTEX_POINT('',#10863); +#10866=VERTEX_POINT('',#10864); +#10867=CARTESIAN_POINT('',(-8.E0,6.5E-1,-1.2475E1)); +#10868=CARTESIAN_POINT('',(-8.E0,-6.5E-1,-1.2475E1)); +#10869=VERTEX_POINT('',#10867); +#10870=VERTEX_POINT('',#10868); +#10871=CARTESIAN_POINT('',(-8.E0,-1.522E1,-3.245E1)); +#10872=CARTESIAN_POINT('',(-8.E0,-1.522E1,-3.115E1)); +#10873=VERTEX_POINT('',#10871); +#10874=VERTEX_POINT('',#10872); +#10875=CARTESIAN_POINT('',(-8.E0,6.5E-1,-2.E1)); +#10876=CARTESIAN_POINT('',(-8.E0,-6.5E-1,-2.E1)); +#10877=VERTEX_POINT('',#10875); +#10878=VERTEX_POINT('',#10876); +#10879=CARTESIAN_POINT('',(-4.E0,6.5E-1,-1.2475E1)); +#10880=CARTESIAN_POINT('',(-4.E0,-6.5E-1,-1.2475E1)); +#10881=VERTEX_POINT('',#10879); +#10882=VERTEX_POINT('',#10880); +#10883=CARTESIAN_POINT('',(-4.E0,-1.522E1,-3.245E1)); +#10884=CARTESIAN_POINT('',(-4.E0,-1.522E1,-3.115E1)); +#10885=VERTEX_POINT('',#10883); +#10886=VERTEX_POINT('',#10884); +#10887=CARTESIAN_POINT('',(-4.E0,6.5E-1,-2.E1)); +#10888=CARTESIAN_POINT('',(-4.E0,-6.5E-1,-2.E1)); +#10889=VERTEX_POINT('',#10887); +#10890=VERTEX_POINT('',#10888); +#10891=CARTESIAN_POINT('',(0.E0,6.5E-1,-1.2475E1)); +#10892=CARTESIAN_POINT('',(0.E0,-6.5E-1,-1.2475E1)); +#10893=VERTEX_POINT('',#10891); +#10894=VERTEX_POINT('',#10892); +#10895=CARTESIAN_POINT('',(0.E0,-1.522E1,-3.245E1)); +#10896=CARTESIAN_POINT('',(0.E0,-1.522E1,-3.115E1)); +#10897=VERTEX_POINT('',#10895); +#10898=VERTEX_POINT('',#10896); +#10899=CARTESIAN_POINT('',(0.E0,6.5E-1,-2.E1)); +#10900=CARTESIAN_POINT('',(0.E0,-6.5E-1,-2.E1)); +#10901=VERTEX_POINT('',#10899); +#10902=VERTEX_POINT('',#10900); +#10903=CARTESIAN_POINT('',(4.E0,6.5E-1,-1.2475E1)); +#10904=CARTESIAN_POINT('',(4.E0,-6.5E-1,-1.2475E1)); +#10905=VERTEX_POINT('',#10903); +#10906=VERTEX_POINT('',#10904); +#10907=CARTESIAN_POINT('',(4.E0,-1.522E1,-3.245E1)); +#10908=CARTESIAN_POINT('',(4.E0,-1.522E1,-3.115E1)); +#10909=VERTEX_POINT('',#10907); +#10910=VERTEX_POINT('',#10908); +#10911=CARTESIAN_POINT('',(4.E0,6.5E-1,-2.E1)); +#10912=CARTESIAN_POINT('',(4.E0,-6.5E-1,-2.E1)); +#10913=VERTEX_POINT('',#10911); +#10914=VERTEX_POINT('',#10912); +#10915=CARTESIAN_POINT('',(8.E0,6.5E-1,-1.2475E1)); +#10916=CARTESIAN_POINT('',(8.E0,-6.5E-1,-1.2475E1)); +#10917=VERTEX_POINT('',#10915); +#10918=VERTEX_POINT('',#10916); +#10919=CARTESIAN_POINT('',(8.E0,-1.522E1,-3.245E1)); +#10920=CARTESIAN_POINT('',(8.E0,-1.522E1,-3.115E1)); +#10921=VERTEX_POINT('',#10919); +#10922=VERTEX_POINT('',#10920); +#10923=CARTESIAN_POINT('',(8.E0,6.5E-1,-2.E1)); +#10924=CARTESIAN_POINT('',(8.E0,-6.5E-1,-2.E1)); +#10925=VERTEX_POINT('',#10923); +#10926=VERTEX_POINT('',#10924); +#10927=CARTESIAN_POINT('',(1.2E1,6.5E-1,-1.2475E1)); +#10928=CARTESIAN_POINT('',(1.2E1,-6.5E-1,-1.2475E1)); +#10929=VERTEX_POINT('',#10927); +#10930=VERTEX_POINT('',#10928); +#10931=CARTESIAN_POINT('',(1.2E1,-1.522E1,-3.245E1)); +#10932=CARTESIAN_POINT('',(1.2E1,-1.522E1,-3.115E1)); +#10933=VERTEX_POINT('',#10931); +#10934=VERTEX_POINT('',#10932); +#10935=CARTESIAN_POINT('',(1.2E1,6.5E-1,-2.E1)); +#10936=CARTESIAN_POINT('',(1.2E1,-6.5E-1,-2.E1)); +#10937=VERTEX_POINT('',#10935); +#10938=VERTEX_POINT('',#10936); +#10939=CARTESIAN_POINT('',(1.6E1,6.5E-1,-1.2475E1)); +#10940=CARTESIAN_POINT('',(1.6E1,-6.5E-1,-1.2475E1)); +#10941=VERTEX_POINT('',#10939); +#10942=VERTEX_POINT('',#10940); +#10943=CARTESIAN_POINT('',(1.6E1,-1.522E1,-3.245E1)); +#10944=CARTESIAN_POINT('',(1.6E1,-1.522E1,-3.115E1)); +#10945=VERTEX_POINT('',#10943); +#10946=VERTEX_POINT('',#10944); +#10947=CARTESIAN_POINT('',(1.6E1,6.5E-1,-2.E1)); +#10948=CARTESIAN_POINT('',(1.6E1,-6.5E-1,-2.E1)); +#10949=VERTEX_POINT('',#10947); +#10950=VERTEX_POINT('',#10948); +#10951=CARTESIAN_POINT('',(2.E1,6.5E-1,-1.2475E1)); +#10952=CARTESIAN_POINT('',(2.E1,-6.5E-1,-1.2475E1)); +#10953=VERTEX_POINT('',#10951); +#10954=VERTEX_POINT('',#10952); +#10955=CARTESIAN_POINT('',(2.E1,-1.522E1,-3.245E1)); +#10956=CARTESIAN_POINT('',(2.E1,-1.522E1,-3.115E1)); +#10957=VERTEX_POINT('',#10955); +#10958=VERTEX_POINT('',#10956); +#10959=CARTESIAN_POINT('',(2.E1,6.5E-1,-2.E1)); +#10960=CARTESIAN_POINT('',(2.E1,-6.5E-1,-2.E1)); +#10961=VERTEX_POINT('',#10959); +#10962=VERTEX_POINT('',#10960); +#10963=CARTESIAN_POINT('',(-2.480967741935E1,3.2E0,-1.98E1)); +#10964=CARTESIAN_POINT('',(-2.451935483871E1,3.2E0,-1.98E1)); +#10965=VERTEX_POINT('',#10963); +#10966=VERTEX_POINT('',#10964); +#10967=CARTESIAN_POINT('',(-2.480967741935E1,4.859574508667E0,-1.98E1)); +#10968=VERTEX_POINT('',#10967); +#10969=CARTESIAN_POINT('',(-2.51E1,4.561702084541E0,-1.98E1)); +#10970=VERTEX_POINT('',#10969); +#10971=CARTESIAN_POINT('',(-2.51E1,4.902127695084E0,-1.98E1)); +#10972=VERTEX_POINT('',#10971); +#10973=CARTESIAN_POINT('',(-2.480967741935E1,5.2E0,-1.98E1)); +#10974=VERTEX_POINT('',#10973); +#10975=CARTESIAN_POINT('',(-2.451935483871E1,5.2E0,-1.98E1)); +#10976=VERTEX_POINT('',#10975); +#10977=CARTESIAN_POINT('',(-2.436404934028E1,-4.923404288292E0,-1.98E1)); +#10978=CARTESIAN_POINT('',(-2.5E1,-5.987234038115E0,-1.98E1)); +#10979=VERTEX_POINT('',#10977); +#10980=VERTEX_POINT('',#10978); +#10981=CARTESIAN_POINT('',(-2.431862426995E1,-4.753191542625E0,-1.98E1)); +#10982=VERTEX_POINT('',#10981); +#10983=CARTESIAN_POINT('',(-2.431862426995E1,-4.668085050583E0,-1.98E1)); +#10984=VERTEX_POINT('',#10983); +#10985=CARTESIAN_POINT('',(-2.436404934028E1,-4.540425491333E0,-1.98E1)); +#10986=VERTEX_POINT('',#10985); +#10987=CARTESIAN_POINT('',(-2.443218691329E1,-4.455319118500E0,-1.98E1)); +#10988=VERTEX_POINT('',#10987); +#10989=CARTESIAN_POINT('',(-2.454574952413E1,-4.412765932083E0,-1.98E1)); +#10990=VERTEX_POINT('',#10989); +#10991=CARTESIAN_POINT('',(-2.463659959981E1,-4.412765932083E0,-1.98E1)); +#10992=VERTEX_POINT('',#10991); +#10993=CARTESIAN_POINT('',(-2.475016222690E1,-4.455319118500E0,-1.98E1)); +#10994=VERTEX_POINT('',#10993); +#10995=CARTESIAN_POINT('',(-2.481829979991E1,-4.540425491333E0,-1.98E1)); +#10996=VERTEX_POINT('',#10995); +#10997=CARTESIAN_POINT('',(-2.486372485399E1,-4.668085050583E0,-1.98E1)); +#10998=VERTEX_POINT('',#10997); +#10999=CARTESIAN_POINT('',(-2.497728747499E1,-4.668085050583E0,-1.98E1)); +#11000=VERTEX_POINT('',#10999); +#11001=CARTESIAN_POINT('',(-2.495457494998E1,-4.497872304916E0,-1.98E1)); +#11002=VERTEX_POINT('',#11001); +#11003=CARTESIAN_POINT('',(-2.486372485399E1,-4.327659559250E0,-1.98E1)); +#11004=VERTEX_POINT('',#11003); +#11005=CARTESIAN_POINT('',(-2.477287476207E1,-4.242553186417E0,-1.98E1)); +#11006=VERTEX_POINT('',#11005); +#11007=CARTESIAN_POINT('',(-2.461388709714E1,-4.2E0,-1.98E1)); +#11008=VERTEX_POINT('',#11007); +#11009=CARTESIAN_POINT('',(-2.452303698897E1,-4.2E0,-1.98E1)); +#11010=VERTEX_POINT('',#11009); +#11011=CARTESIAN_POINT('',(-2.438676184296E1,-4.242553186417E0,-1.98E1)); +#11012=VERTEX_POINT('',#11011); +#11013=CARTESIAN_POINT('',(-2.429591176728E1,-4.327659559250E0,-1.98E1)); +#11014=VERTEX_POINT('',#11013); +#11015=CARTESIAN_POINT('',(-2.420506162662E1,-4.497872304916E0,-1.98E1)); +#11016=VERTEX_POINT('',#11015); +#11017=CARTESIAN_POINT('',(-2.418234912395E1,-4.668085050583E0,-1.98E1)); +#11018=VERTEX_POINT('',#11017); +#11019=CARTESIAN_POINT('',(-2.418234912395E1,-4.753191542625E0,-1.98E1)); +#11020=VERTEX_POINT('',#11019); +#11021=CARTESIAN_POINT('',(-2.420506162662E1,-4.923404288292E0,-1.98E1)); +#11022=VERTEX_POINT('',#11021); +#11023=CARTESIAN_POINT('',(-2.425048669695E1,-5.051063847542E0,-1.98E1)); +#11024=VERTEX_POINT('',#11023); +#11025=CARTESIAN_POINT('',(-2.481829979991E1,-5.987234038115E0,-1.98E1)); +#11026=VERTEX_POINT('',#11025); +#11027=CARTESIAN_POINT('',(-2.418234912395E1,-5.987234038115E0,-1.98E1)); +#11028=VERTEX_POINT('',#11027); +#11029=CARTESIAN_POINT('',(-2.418234912395E1,-6.2E0,-1.98E1)); +#11030=VERTEX_POINT('',#11029); +#11031=CARTESIAN_POINT('',(-2.5E1,-6.2E0,-1.98E1)); +#11032=VERTEX_POINT('',#11031); +#11033=CARTESIAN_POINT('',(-2.331927324254E1,-4.2E0,-1.98E1)); +#11034=CARTESIAN_POINT('',(-2.345554838855E1,-4.2E0,-1.98E1)); +#11035=VERTEX_POINT('',#11033); +#11036=VERTEX_POINT('',#11034); +#11037=CARTESIAN_POINT('',(-2.331927324254E1,-5.348936152458E0,-1.98E1)); +#11038=VERTEX_POINT('',#11037); +#11039=CARTESIAN_POINT('',(-2.309214795587E1,-5.348936152458E0,-1.98E1)); +#11040=VERTEX_POINT('',#11039); +#11041=CARTESIAN_POINT('',(-2.309214795587E1,-5.604255330563E0,-1.98E1)); +#11042=VERTEX_POINT('',#11041); +#11043=CARTESIAN_POINT('',(-2.331927324254E1,-5.604255330563E0,-1.98E1)); +#11044=VERTEX_POINT('',#11043); +#11045=CARTESIAN_POINT('',(-2.331927324254E1,-6.2E0,-1.98E1)); +#11046=VERTEX_POINT('',#11045); +#11047=CARTESIAN_POINT('',(-2.345554838855E1,-6.2E0,-1.98E1)); +#11048=VERTEX_POINT('',#11047); +#11049=CARTESIAN_POINT('',(-2.345554838855E1,-5.604255330563E0,-1.98E1)); +#11050=VERTEX_POINT('',#11049); +#11051=CARTESIAN_POINT('',(-2.400064890761E1,-5.604255330563E0,-1.98E1)); +#11052=VERTEX_POINT('',#11051); +#11053=CARTESIAN_POINT('',(-2.400064890761E1,-5.348936152458E0,-1.98E1)); +#11054=VERTEX_POINT('',#11053); +#11055=CARTESIAN_POINT('',(-2.345554838855E1,-5.348936152458E0,-1.98E1)); +#11056=CARTESIAN_POINT('',(-2.384166125892E1,-5.348936152458E0,-1.98E1)); +#11057=VERTEX_POINT('',#11055); +#11058=VERTEX_POINT('',#11056); +#11059=CARTESIAN_POINT('',(-2.345554838855E1,-4.540425491333E0,-1.98E1)); +#11060=VERTEX_POINT('',#11059); +#11061=CARTESIAN_POINT('',(-2.330965909091E1,-8.5E-1,-1.98E1)); +#11062=CARTESIAN_POINT('',(-2.311931818182E1,-8.5E-1,-1.98E1)); +#11063=VERTEX_POINT('',#11061); +#11064=VERTEX_POINT('',#11062); +#11065=CARTESIAN_POINT('',(-2.330965909091E1,8.095745086670E-1,-1.98E1)); +#11066=VERTEX_POINT('',#11065); +#11067=CARTESIAN_POINT('',(-2.35E1,5.117020845413E-1,-1.98E1)); +#11068=VERTEX_POINT('',#11067); +#11069=CARTESIAN_POINT('',(-2.35E1,8.521276950836E-1,-1.98E1)); +#11070=VERTEX_POINT('',#11069); +#11071=CARTESIAN_POINT('',(-2.330965909091E1,1.15E0,-1.98E1)); +#11072=VERTEX_POINT('',#11071); +#11073=CARTESIAN_POINT('',(-2.311931818182E1,1.15E0,-1.98E1)); +#11074=VERTEX_POINT('',#11073); +#11075=CARTESIAN_POINT('',(-2.191382581808E1,-7.223404258490E-1,-1.98E1)); +#11076=CARTESIAN_POINT('',(-2.181865536354E1,-6.372340381145E-1,-1.98E1)); +#11077=VERTEX_POINT('',#11075); +#11078=VERTEX_POINT('',#11076); +#11079=CARTESIAN_POINT('',(-2.204071972722E1,-8.074468098581E-1,-1.98E1)); +#11080=VERTEX_POINT('',#11079); +#11081=CARTESIAN_POINT('',(-2.216761363636E1,-8.5E-1,-1.98E1)); +#11082=VERTEX_POINT('',#11081); +#11083=CARTESIAN_POINT('',(-2.242140154541E1,-8.5E-1,-1.98E1)); +#11084=VERTEX_POINT('',#11083); +#11085=CARTESIAN_POINT('',(-2.254829545455E1,-8.074468098581E-1,-1.98E1)); +#11086=VERTEX_POINT('',#11085); +#11087=CARTESIAN_POINT('',(-2.267518936369E1,-7.223404258490E-1,-1.98E1)); +#11088=VERTEX_POINT('',#11087); +#11089=CARTESIAN_POINT('',(-2.277035986361E1,-6.372340381145E-1,-1.98E1)); +#11090=VERTEX_POINT('',#11089); +#11091=CARTESIAN_POINT('',(-2.283380681818E1,-5.095744788647E-1,-1.98E1)); +#11092=VERTEX_POINT('',#11091); +#11093=CARTESIAN_POINT('',(-2.286553031816E1,-3.819148898125E-1,-1.98E1)); +#11094=VERTEX_POINT('',#11093); +#11095=CARTESIAN_POINT('',(-2.270691290904E1,-3.819148898125E-1,-1.98E1)); +#11096=VERTEX_POINT('',#11095); +#11097=CARTESIAN_POINT('',(-2.267518936369E1,-4.670212626457E-1,-1.98E1)); +#11098=VERTEX_POINT('',#11097); +#11099=CARTESIAN_POINT('',(-2.261174245450E1,-5.521276652813E-1,-1.98E1)); +#11100=VERTEX_POINT('',#11099); +#11101=CARTESIAN_POINT('',(-2.254829545455E1,-5.946808516979E-1,-1.98E1)); +#11102=VERTEX_POINT('',#11101); +#11103=CARTESIAN_POINT('',(-2.238967800005E1,-6.372340381145E-1,-1.98E1)); +#11104=VERTEX_POINT('',#11103); +#11105=CARTESIAN_POINT('',(-2.219933709096E1,-6.372340381145E-1,-1.98E1)); +#11106=VERTEX_POINT('',#11105); +#11107=CARTESIAN_POINT('',(-2.204071972722E1,-5.946808516979E-1,-1.98E1)); +#11108=VERTEX_POINT('',#11107); +#11109=CARTESIAN_POINT('',(-2.197727272727E1,-5.521276652813E-1,-1.98E1)); +#11110=VERTEX_POINT('',#11109); +#11111=CARTESIAN_POINT('',(-2.191382581808E1,-4.670212626457E-1,-1.98E1)); +#11112=VERTEX_POINT('',#11111); +#11113=CARTESIAN_POINT('',(-2.188210227273E1,-3.819148898125E-1,-1.98E1)); +#11114=VERTEX_POINT('',#11113); +#11115=CARTESIAN_POINT('',(-2.188210227273E1,-2.117021441460E-1,-1.98E1)); +#11116=VERTEX_POINT('',#11115); +#11117=CARTESIAN_POINT('',(-2.194554918192E1,-4.148933887482E-2,-1.98E1)); +#11118=VERTEX_POINT('',#11117); +#11119=CARTESIAN_POINT('',(-2.204071972722E1,4.361703395844E-2,-1.98E1)); +#11120=VERTEX_POINT('',#11119); +#11121=CARTESIAN_POINT('',(-2.223106063631E1,8.617022037506E-2,-1.98E1)); +#11122=VERTEX_POINT('',#11121); +#11123=CARTESIAN_POINT('',(-2.235795454545E1,8.617022037506E-2,-1.98E1)); +#11124=VERTEX_POINT('',#11123); +#11125=CARTESIAN_POINT('',(-2.235795454545E1,2.563829660416E-1,-1.98E1)); +#11126=VERTEX_POINT('',#11125); +#11127=CARTESIAN_POINT('',(-2.223106063631E1,2.563829660416E-1,-1.98E1)); +#11128=VERTEX_POINT('',#11127); +#11129=CARTESIAN_POINT('',(-2.204071972722E1,3.414893388748E-1,-1.98E1)); +#11130=VERTEX_POINT('',#11129); +#11131=CARTESIAN_POINT('',(-2.197727272727E1,4.265957117081E-1,-1.98E1)); +#11132=VERTEX_POINT('',#11131); +#11133=CARTESIAN_POINT('',(-2.191382581808E1,5.968084573746E-1,-1.98E1)); +#11134=VERTEX_POINT('',#11133); +#11135=CARTESIAN_POINT('',(-2.191382581808E1,6.819149494171E-1,-1.98E1)); +#11136=VERTEX_POINT('',#11135); +#11137=CARTESIAN_POINT('',(-2.197727272727E1,8.095745086670E-1,-1.98E1)); +#11138=VERTEX_POINT('',#11137); +#11139=CARTESIAN_POINT('',(-2.207244318182E1,8.946808815002E-1,-1.98E1)); +#11140=VERTEX_POINT('',#11139); +#11141=CARTESIAN_POINT('',(-2.223106063631E1,9.372340679169E-1,-1.98E1)); +#11142=VERTEX_POINT('',#11141); +#11143=CARTESIAN_POINT('',(-2.235795454545E1,9.372340679169E-1,-1.98E1)); +#11144=VERTEX_POINT('',#11143); +#11145=CARTESIAN_POINT('',(-2.251657199995E1,8.946808815002E-1,-1.98E1)); +#11146=VERTEX_POINT('',#11145); +#11147=CARTESIAN_POINT('',(-2.261174245450E1,8.095745086670E-1,-1.98E1)); +#11148=VERTEX_POINT('',#11147); +#11149=CARTESIAN_POINT('',(-2.267518936369E1,6.819149494171E-1,-1.98E1)); +#11150=VERTEX_POINT('',#11149); +#11151=CARTESIAN_POINT('',(-2.283380681818E1,6.819149494171E-1,-1.98E1)); +#11152=VERTEX_POINT('',#11151); +#11153=CARTESIAN_POINT('',(-2.280208331821E1,8.521276950836E-1,-1.98E1)); +#11154=VERTEX_POINT('',#11153); +#11155=CARTESIAN_POINT('',(-2.267518936369E1,1.022340440750E0,-1.98E1)); +#11156=VERTEX_POINT('',#11155); +#11157=CARTESIAN_POINT('',(-2.254829545455E1,1.107446813583E0,-1.98E1)); +#11158=VERTEX_POINT('',#11157); +#11159=CARTESIAN_POINT('',(-2.232623109086E1,1.15E0,-1.98E1)); +#11160=VERTEX_POINT('',#11159); +#11161=CARTESIAN_POINT('',(-2.226278409091E1,1.15E0,-1.98E1)); +#11162=VERTEX_POINT('',#11161); +#11163=CARTESIAN_POINT('',(-2.204071972722E1,1.107446813583E0,-1.98E1)); +#11164=VERTEX_POINT('',#11163); +#11165=CARTESIAN_POINT('',(-2.191382581808E1,1.022340440750E0,-1.98E1)); +#11166=VERTEX_POINT('',#11165); +#11167=CARTESIAN_POINT('',(-2.178693181818E1,8.521276950836E-1,-1.98E1)); +#11168=VERTEX_POINT('',#11167); +#11169=CARTESIAN_POINT('',(-2.175520827283E1,6.819149494171E-1,-1.98E1)); +#11170=VERTEX_POINT('',#11169); +#11171=CARTESIAN_POINT('',(-2.175520827283E1,5.968084573746E-1,-1.98E1)); +#11172=VERTEX_POINT('',#11171); +#11173=CARTESIAN_POINT('',(-2.178693181818E1,4.265957117081E-1,-1.98E1)); +#11174=VERTEX_POINT('',#11173); +#11175=CARTESIAN_POINT('',(-2.185037872737E1,2.989361524582E-1,-1.98E1)); +#11176=VERTEX_POINT('',#11175); +#11177=CARTESIAN_POINT('',(-2.197727272727E1,1.712765932083E-1,-1.98E1)); +#11178=VERTEX_POINT('',#11177); +#11179=CARTESIAN_POINT('',(-2.181865536354E1,8.617022037506E-2,-1.98E1)); +#11180=VERTEX_POINT('',#11179); +#11181=CARTESIAN_POINT('',(-2.175520827283E1,-4.148933887482E-2,-1.98E1)); +#11182=VERTEX_POINT('',#11181); +#11183=CARTESIAN_POINT('',(-2.172348490899E1,-2.117021441460E-1,-1.98E1)); +#11184=VERTEX_POINT('',#11183); +#11185=CARTESIAN_POINT('',(-2.172348490899E1,-3.819148898125E-1,-1.98E1)); +#11186=VERTEX_POINT('',#11185); +#11187=CARTESIAN_POINT('',(-2.175520827283E1,-5.095744788647E-1,-1.98E1)); +#11188=VERTEX_POINT('',#11187); +#11189=CARTESIAN_POINT('',(-2.480967741935E1,3.2E0,-2.E1)); +#11190=CARTESIAN_POINT('',(-2.451935483871E1,3.2E0,-2.E1)); +#11191=VERTEX_POINT('',#11189); +#11192=VERTEX_POINT('',#11190); +#11193=CARTESIAN_POINT('',(-2.480967741935E1,4.859574508667E0,-2.E1)); +#11194=VERTEX_POINT('',#11193); +#11195=CARTESIAN_POINT('',(-2.51E1,4.561702084541E0,-2.E1)); +#11196=VERTEX_POINT('',#11195); +#11197=CARTESIAN_POINT('',(-2.51E1,4.902127695084E0,-2.E1)); +#11198=VERTEX_POINT('',#11197); +#11199=CARTESIAN_POINT('',(-2.480967741935E1,5.2E0,-2.E1)); +#11200=VERTEX_POINT('',#11199); +#11201=CARTESIAN_POINT('',(-2.451935483871E1,5.2E0,-2.E1)); +#11202=VERTEX_POINT('',#11201); +#11203=CARTESIAN_POINT('',(-2.436404934028E1,-4.923404288292E0,-2.E1)); +#11204=CARTESIAN_POINT('',(-2.5E1,-5.987234038115E0,-2.E1)); +#11205=VERTEX_POINT('',#11203); +#11206=VERTEX_POINT('',#11204); +#11207=CARTESIAN_POINT('',(-2.431862426995E1,-4.753191542625E0,-2.E1)); +#11208=VERTEX_POINT('',#11207); +#11209=CARTESIAN_POINT('',(-2.431862426995E1,-4.668085050583E0,-2.E1)); +#11210=VERTEX_POINT('',#11209); +#11211=CARTESIAN_POINT('',(-2.436404934028E1,-4.540425491333E0,-2.E1)); +#11212=VERTEX_POINT('',#11211); +#11213=CARTESIAN_POINT('',(-2.443218691329E1,-4.455319118500E0,-2.E1)); +#11214=VERTEX_POINT('',#11213); +#11215=CARTESIAN_POINT('',(-2.454574952413E1,-4.412765932083E0,-2.E1)); +#11216=VERTEX_POINT('',#11215); +#11217=CARTESIAN_POINT('',(-2.463659959981E1,-4.412765932083E0,-2.E1)); +#11218=VERTEX_POINT('',#11217); +#11219=CARTESIAN_POINT('',(-2.475016222690E1,-4.455319118500E0,-2.E1)); +#11220=VERTEX_POINT('',#11219); +#11221=CARTESIAN_POINT('',(-2.481829979991E1,-4.540425491333E0,-2.E1)); +#11222=VERTEX_POINT('',#11221); +#11223=CARTESIAN_POINT('',(-2.486372485399E1,-4.668085050583E0,-2.E1)); +#11224=VERTEX_POINT('',#11223); +#11225=CARTESIAN_POINT('',(-2.497728747499E1,-4.668085050583E0,-2.E1)); +#11226=VERTEX_POINT('',#11225); +#11227=CARTESIAN_POINT('',(-2.495457494998E1,-4.497872304916E0,-2.E1)); +#11228=VERTEX_POINT('',#11227); +#11229=CARTESIAN_POINT('',(-2.486372485399E1,-4.327659559250E0,-2.E1)); +#11230=VERTEX_POINT('',#11229); +#11231=CARTESIAN_POINT('',(-2.477287476207E1,-4.242553186417E0,-2.E1)); +#11232=VERTEX_POINT('',#11231); +#11233=CARTESIAN_POINT('',(-2.461388709714E1,-4.2E0,-2.E1)); +#11234=VERTEX_POINT('',#11233); +#11235=CARTESIAN_POINT('',(-2.452303698897E1,-4.2E0,-2.E1)); +#11236=VERTEX_POINT('',#11235); +#11237=CARTESIAN_POINT('',(-2.438676184296E1,-4.242553186417E0,-2.E1)); +#11238=VERTEX_POINT('',#11237); +#11239=CARTESIAN_POINT('',(-2.429591176728E1,-4.327659559250E0,-2.E1)); +#11240=VERTEX_POINT('',#11239); +#11241=CARTESIAN_POINT('',(-2.420506162662E1,-4.497872304916E0,-2.E1)); +#11242=VERTEX_POINT('',#11241); +#11243=CARTESIAN_POINT('',(-2.418234912395E1,-4.668085050583E0,-2.E1)); +#11244=VERTEX_POINT('',#11243); +#11245=CARTESIAN_POINT('',(-2.418234912395E1,-4.753191542625E0,-2.E1)); +#11246=VERTEX_POINT('',#11245); +#11247=CARTESIAN_POINT('',(-2.420506162662E1,-4.923404288292E0,-2.E1)); +#11248=VERTEX_POINT('',#11247); +#11249=CARTESIAN_POINT('',(-2.425048669695E1,-5.051063847542E0,-2.E1)); +#11250=VERTEX_POINT('',#11249); +#11251=CARTESIAN_POINT('',(-2.481829979991E1,-5.987234038115E0,-2.E1)); +#11252=VERTEX_POINT('',#11251); +#11253=CARTESIAN_POINT('',(-2.418234912395E1,-5.987234038115E0,-2.E1)); +#11254=VERTEX_POINT('',#11253); +#11255=CARTESIAN_POINT('',(-2.418234912395E1,-6.2E0,-2.E1)); +#11256=VERTEX_POINT('',#11255); +#11257=CARTESIAN_POINT('',(-2.5E1,-6.2E0,-2.E1)); +#11258=VERTEX_POINT('',#11257); +#11259=CARTESIAN_POINT('',(-2.331927324254E1,-4.2E0,-2.E1)); +#11260=CARTESIAN_POINT('',(-2.345554838855E1,-4.2E0,-2.E1)); +#11261=VERTEX_POINT('',#11259); +#11262=VERTEX_POINT('',#11260); +#11263=CARTESIAN_POINT('',(-2.331927324254E1,-5.348936152458E0,-2.E1)); +#11264=VERTEX_POINT('',#11263); +#11265=CARTESIAN_POINT('',(-2.309214795587E1,-5.348936152458E0,-2.E1)); +#11266=VERTEX_POINT('',#11265); +#11267=CARTESIAN_POINT('',(-2.309214795587E1,-5.604255330563E0,-2.E1)); +#11268=VERTEX_POINT('',#11267); +#11269=CARTESIAN_POINT('',(-2.331927324254E1,-5.604255330563E0,-2.E1)); +#11270=VERTEX_POINT('',#11269); +#11271=CARTESIAN_POINT('',(-2.331927324254E1,-6.2E0,-2.E1)); +#11272=VERTEX_POINT('',#11271); +#11273=CARTESIAN_POINT('',(-2.345554838855E1,-6.2E0,-2.E1)); +#11274=VERTEX_POINT('',#11273); +#11275=CARTESIAN_POINT('',(-2.345554838855E1,-5.604255330563E0,-2.E1)); +#11276=VERTEX_POINT('',#11275); +#11277=CARTESIAN_POINT('',(-2.400064890761E1,-5.604255330563E0,-2.E1)); +#11278=VERTEX_POINT('',#11277); +#11279=CARTESIAN_POINT('',(-2.400064890761E1,-5.348936152458E0,-2.E1)); +#11280=VERTEX_POINT('',#11279); +#11281=CARTESIAN_POINT('',(-2.345554838855E1,-5.348936152458E0,-2.E1)); +#11282=CARTESIAN_POINT('',(-2.384166125892E1,-5.348936152458E0,-2.E1)); +#11283=VERTEX_POINT('',#11281); +#11284=VERTEX_POINT('',#11282); +#11285=CARTESIAN_POINT('',(-2.345554838855E1,-4.540425491333E0,-2.E1)); +#11286=VERTEX_POINT('',#11285); +#11287=CARTESIAN_POINT('',(-2.330965909091E1,-8.5E-1,-2.E1)); +#11288=CARTESIAN_POINT('',(-2.311931818182E1,-8.5E-1,-2.E1)); +#11289=VERTEX_POINT('',#11287); +#11290=VERTEX_POINT('',#11288); +#11291=CARTESIAN_POINT('',(-2.330965909091E1,8.095745086670E-1,-2.E1)); +#11292=VERTEX_POINT('',#11291); +#11293=CARTESIAN_POINT('',(-2.35E1,5.117020845413E-1,-2.E1)); +#11294=VERTEX_POINT('',#11293); +#11295=CARTESIAN_POINT('',(-2.35E1,8.521276950836E-1,-2.E1)); +#11296=VERTEX_POINT('',#11295); +#11297=CARTESIAN_POINT('',(-2.330965909091E1,1.15E0,-2.E1)); +#11298=VERTEX_POINT('',#11297); +#11299=CARTESIAN_POINT('',(-2.311931818182E1,1.15E0,-2.E1)); +#11300=VERTEX_POINT('',#11299); +#11301=CARTESIAN_POINT('',(-2.191382581808E1,-7.223404258490E-1,-2.E1)); +#11302=CARTESIAN_POINT('',(-2.181865536354E1,-6.372340381145E-1,-2.E1)); +#11303=VERTEX_POINT('',#11301); +#11304=VERTEX_POINT('',#11302); +#11305=CARTESIAN_POINT('',(-2.204071972722E1,-8.074468098581E-1,-2.E1)); +#11306=VERTEX_POINT('',#11305); +#11307=CARTESIAN_POINT('',(-2.216761363636E1,-8.5E-1,-2.E1)); +#11308=VERTEX_POINT('',#11307); +#11309=CARTESIAN_POINT('',(-2.242140154541E1,-8.5E-1,-2.E1)); +#11310=VERTEX_POINT('',#11309); +#11311=CARTESIAN_POINT('',(-2.254829545455E1,-8.074468098581E-1,-2.E1)); +#11312=VERTEX_POINT('',#11311); +#11313=CARTESIAN_POINT('',(-2.267518936369E1,-7.223404258490E-1,-2.E1)); +#11314=VERTEX_POINT('',#11313); +#11315=CARTESIAN_POINT('',(-2.277035986361E1,-6.372340381145E-1,-2.E1)); +#11316=VERTEX_POINT('',#11315); +#11317=CARTESIAN_POINT('',(-2.283380681818E1,-5.095744788647E-1,-2.E1)); +#11318=VERTEX_POINT('',#11317); +#11319=CARTESIAN_POINT('',(-2.286553031816E1,-3.819148898125E-1,-2.E1)); +#11320=VERTEX_POINT('',#11319); +#11321=CARTESIAN_POINT('',(-2.270691290904E1,-3.819148898125E-1,-2.E1)); +#11322=VERTEX_POINT('',#11321); +#11323=CARTESIAN_POINT('',(-2.267518936369E1,-4.670212626457E-1,-2.E1)); +#11324=VERTEX_POINT('',#11323); +#11325=CARTESIAN_POINT('',(-2.261174245450E1,-5.521276652813E-1,-2.E1)); +#11326=VERTEX_POINT('',#11325); +#11327=CARTESIAN_POINT('',(-2.254829545455E1,-5.946808516979E-1,-2.E1)); +#11328=VERTEX_POINT('',#11327); +#11329=CARTESIAN_POINT('',(-2.238967800005E1,-6.372340381145E-1,-2.E1)); +#11330=VERTEX_POINT('',#11329); +#11331=CARTESIAN_POINT('',(-2.219933709096E1,-6.372340381145E-1,-2.E1)); +#11332=VERTEX_POINT('',#11331); +#11333=CARTESIAN_POINT('',(-2.204071972722E1,-5.946808516979E-1,-2.E1)); +#11334=VERTEX_POINT('',#11333); +#11335=CARTESIAN_POINT('',(-2.197727272727E1,-5.521276652813E-1,-2.E1)); +#11336=VERTEX_POINT('',#11335); +#11337=CARTESIAN_POINT('',(-2.191382581808E1,-4.670212626457E-1,-2.E1)); +#11338=VERTEX_POINT('',#11337); +#11339=CARTESIAN_POINT('',(-2.188210227273E1,-3.819148898125E-1,-2.E1)); +#11340=VERTEX_POINT('',#11339); +#11341=CARTESIAN_POINT('',(-2.188210227273E1,-2.117021441460E-1,-2.E1)); +#11342=VERTEX_POINT('',#11341); +#11343=CARTESIAN_POINT('',(-2.194554918192E1,-4.148933887482E-2,-2.E1)); +#11344=VERTEX_POINT('',#11343); +#11345=CARTESIAN_POINT('',(-2.204071972722E1,4.361703395843E-2,-2.E1)); +#11346=VERTEX_POINT('',#11345); +#11347=CARTESIAN_POINT('',(-2.223106063631E1,8.617022037506E-2,-2.E1)); +#11348=VERTEX_POINT('',#11347); +#11349=CARTESIAN_POINT('',(-2.235795454545E1,8.617022037506E-2,-2.E1)); +#11350=VERTEX_POINT('',#11349); +#11351=CARTESIAN_POINT('',(-2.235795454545E1,2.563829660416E-1,-2.E1)); +#11352=VERTEX_POINT('',#11351); +#11353=CARTESIAN_POINT('',(-2.223106063631E1,2.563829660416E-1,-2.E1)); +#11354=VERTEX_POINT('',#11353); +#11355=CARTESIAN_POINT('',(-2.204071972722E1,3.414893388748E-1,-2.E1)); +#11356=VERTEX_POINT('',#11355); +#11357=CARTESIAN_POINT('',(-2.197727272727E1,4.265957117081E-1,-2.E1)); +#11358=VERTEX_POINT('',#11357); +#11359=CARTESIAN_POINT('',(-2.191382581808E1,5.968084573746E-1,-2.E1)); +#11360=VERTEX_POINT('',#11359); +#11361=CARTESIAN_POINT('',(-2.191382581808E1,6.819149494171E-1,-2.E1)); +#11362=VERTEX_POINT('',#11361); +#11363=CARTESIAN_POINT('',(-2.197727272727E1,8.095745086670E-1,-2.E1)); +#11364=VERTEX_POINT('',#11363); +#11365=CARTESIAN_POINT('',(-2.207244318182E1,8.946808815002E-1,-2.E1)); +#11366=VERTEX_POINT('',#11365); +#11367=CARTESIAN_POINT('',(-2.223106063631E1,9.372340679169E-1,-2.E1)); +#11368=VERTEX_POINT('',#11367); +#11369=CARTESIAN_POINT('',(-2.235795454545E1,9.372340679169E-1,-2.E1)); +#11370=VERTEX_POINT('',#11369); +#11371=CARTESIAN_POINT('',(-2.251657199995E1,8.946808815002E-1,-2.E1)); +#11372=VERTEX_POINT('',#11371); +#11373=CARTESIAN_POINT('',(-2.261174245450E1,8.095745086670E-1,-2.E1)); +#11374=VERTEX_POINT('',#11373); +#11375=CARTESIAN_POINT('',(-2.267518936369E1,6.819149494171E-1,-2.E1)); +#11376=VERTEX_POINT('',#11375); +#11377=CARTESIAN_POINT('',(-2.283380681818E1,6.819149494171E-1,-2.E1)); +#11378=VERTEX_POINT('',#11377); +#11379=CARTESIAN_POINT('',(-2.280208331821E1,8.521276950836E-1,-2.E1)); +#11380=VERTEX_POINT('',#11379); +#11381=CARTESIAN_POINT('',(-2.267518936369E1,1.022340440750E0,-2.E1)); +#11382=VERTEX_POINT('',#11381); +#11383=CARTESIAN_POINT('',(-2.254829545455E1,1.107446813583E0,-2.E1)); +#11384=VERTEX_POINT('',#11383); +#11385=CARTESIAN_POINT('',(-2.232623109086E1,1.15E0,-2.E1)); +#11386=VERTEX_POINT('',#11385); +#11387=CARTESIAN_POINT('',(-2.226278409091E1,1.15E0,-2.E1)); +#11388=VERTEX_POINT('',#11387); +#11389=CARTESIAN_POINT('',(-2.204071972722E1,1.107446813583E0,-2.E1)); +#11390=VERTEX_POINT('',#11389); +#11391=CARTESIAN_POINT('',(-2.191382581808E1,1.022340440750E0,-2.E1)); +#11392=VERTEX_POINT('',#11391); +#11393=CARTESIAN_POINT('',(-2.178693181818E1,8.521276950836E-1,-2.E1)); +#11394=VERTEX_POINT('',#11393); +#11395=CARTESIAN_POINT('',(-2.175520827283E1,6.819149494171E-1,-2.E1)); +#11396=VERTEX_POINT('',#11395); +#11397=CARTESIAN_POINT('',(-2.175520827283E1,5.968084573746E-1,-2.E1)); +#11398=VERTEX_POINT('',#11397); +#11399=CARTESIAN_POINT('',(-2.178693181818E1,4.265957117081E-1,-2.E1)); +#11400=VERTEX_POINT('',#11399); +#11401=CARTESIAN_POINT('',(-2.185037872737E1,2.989361524582E-1,-2.E1)); +#11402=VERTEX_POINT('',#11401); +#11403=CARTESIAN_POINT('',(-2.197727272727E1,1.712765932083E-1,-2.E1)); +#11404=VERTEX_POINT('',#11403); +#11405=CARTESIAN_POINT('',(-2.181865536354E1,8.617022037506E-2,-2.E1)); +#11406=VERTEX_POINT('',#11405); +#11407=CARTESIAN_POINT('',(-2.175520827283E1,-4.148933887482E-2,-2.E1)); +#11408=VERTEX_POINT('',#11407); +#11409=CARTESIAN_POINT('',(-2.172348490899E1,-2.117021441460E-1,-2.E1)); +#11410=VERTEX_POINT('',#11409); +#11411=CARTESIAN_POINT('',(-2.172348490899E1,-3.819148898125E-1,-2.E1)); +#11412=VERTEX_POINT('',#11411); +#11413=CARTESIAN_POINT('',(-2.175520827283E1,-5.095744788647E-1,-2.E1)); +#11414=VERTEX_POINT('',#11413); +#11415=CARTESIAN_POINT('',(-2.2E1,7.5E-1,-3.645E1)); +#11416=CARTESIAN_POINT('',(-2.2E1,7.5E-1,-3.515E1)); +#11417=VERTEX_POINT('',#11415); +#11418=VERTEX_POINT('',#11416); +#11419=VERTEX_POINT('',#7092); +#11420=VERTEX_POINT('',#7122); +#11421=VERTEX_POINT('',#7124); +#11422=VERTEX_POINT('',#7154); +#11423=VERTEX_POINT('',#7253); +#11424=VERTEX_POINT('',#7251); +#11425=CARTESIAN_POINT('',(-1.8E1,7.5E-1,-3.645E1)); +#11426=CARTESIAN_POINT('',(-1.8E1,7.5E-1,-3.515E1)); +#11427=VERTEX_POINT('',#11425); +#11428=VERTEX_POINT('',#11426); +#11429=VERTEX_POINT('',#7028); +#11430=VERTEX_POINT('',#7058); +#11431=VERTEX_POINT('',#7060); +#11432=VERTEX_POINT('',#7090); +#11433=VERTEX_POINT('',#7277); +#11434=VERTEX_POINT('',#7275); +#11435=CARTESIAN_POINT('',(-1.4E1,7.5E-1,-3.645E1)); +#11436=CARTESIAN_POINT('',(-1.4E1,7.5E-1,-3.515E1)); +#11437=VERTEX_POINT('',#11435); +#11438=VERTEX_POINT('',#11436); +#11439=VERTEX_POINT('',#6964); +#11440=VERTEX_POINT('',#6994); +#11441=VERTEX_POINT('',#6996); +#11442=VERTEX_POINT('',#7026); +#11443=VERTEX_POINT('',#7301); +#11444=VERTEX_POINT('',#7299); +#11445=CARTESIAN_POINT('',(-1.E1,7.5E-1,-3.645E1)); +#11446=CARTESIAN_POINT('',(-1.E1,7.5E-1,-3.515E1)); +#11447=VERTEX_POINT('',#11445); +#11448=VERTEX_POINT('',#11446); +#11449=VERTEX_POINT('',#6900); +#11450=VERTEX_POINT('',#6930); +#11451=VERTEX_POINT('',#6932); +#11452=VERTEX_POINT('',#6962); +#11453=VERTEX_POINT('',#7325); +#11454=VERTEX_POINT('',#7323); +#11455=CARTESIAN_POINT('',(-6.E0,7.5E-1,-3.645E1)); +#11456=CARTESIAN_POINT('',(-6.E0,7.5E-1,-3.515E1)); +#11457=VERTEX_POINT('',#11455); +#11458=VERTEX_POINT('',#11456); +#11459=VERTEX_POINT('',#6836); +#11460=VERTEX_POINT('',#6866); +#11461=VERTEX_POINT('',#6868); +#11462=VERTEX_POINT('',#6898); +#11463=VERTEX_POINT('',#7349); +#11464=VERTEX_POINT('',#7347); +#11465=CARTESIAN_POINT('',(-2.E0,7.5E-1,-3.645E1)); +#11466=CARTESIAN_POINT('',(-2.E0,7.5E-1,-3.515E1)); +#11467=VERTEX_POINT('',#11465); +#11468=VERTEX_POINT('',#11466); +#11469=VERTEX_POINT('',#6772); +#11470=VERTEX_POINT('',#6802); +#11471=VERTEX_POINT('',#6804); +#11472=VERTEX_POINT('',#6834); +#11473=VERTEX_POINT('',#7373); +#11474=VERTEX_POINT('',#7371); +#11475=CARTESIAN_POINT('',(2.E0,7.5E-1,-3.645E1)); +#11476=CARTESIAN_POINT('',(2.E0,7.5E-1,-3.515E1)); +#11477=VERTEX_POINT('',#11475); +#11478=VERTEX_POINT('',#11476); +#11479=VERTEX_POINT('',#6708); +#11480=VERTEX_POINT('',#6738); +#11481=VERTEX_POINT('',#6740); +#11482=VERTEX_POINT('',#6770); +#11483=VERTEX_POINT('',#7397); +#11484=VERTEX_POINT('',#7395); +#11485=CARTESIAN_POINT('',(6.E0,7.5E-1,-3.645E1)); +#11486=CARTESIAN_POINT('',(6.E0,7.5E-1,-3.515E1)); +#11487=VERTEX_POINT('',#11485); +#11488=VERTEX_POINT('',#11486); +#11489=VERTEX_POINT('',#6644); +#11490=VERTEX_POINT('',#6674); +#11491=VERTEX_POINT('',#6676); +#11492=VERTEX_POINT('',#6706); +#11493=VERTEX_POINT('',#7421); +#11494=VERTEX_POINT('',#7419); +#11495=CARTESIAN_POINT('',(1.E1,7.5E-1,-3.645E1)); +#11496=CARTESIAN_POINT('',(1.E1,7.5E-1,-3.515E1)); +#11497=VERTEX_POINT('',#11495); +#11498=VERTEX_POINT('',#11496); +#11499=VERTEX_POINT('',#6580); +#11500=VERTEX_POINT('',#6610); +#11501=VERTEX_POINT('',#6612); +#11502=VERTEX_POINT('',#6642); +#11503=VERTEX_POINT('',#7445); +#11504=VERTEX_POINT('',#7443); +#11505=CARTESIAN_POINT('',(1.4E1,7.5E-1,-3.645E1)); +#11506=CARTESIAN_POINT('',(1.4E1,7.5E-1,-3.515E1)); +#11507=VERTEX_POINT('',#11505); +#11508=VERTEX_POINT('',#11506); +#11509=VERTEX_POINT('',#6516); +#11510=VERTEX_POINT('',#6546); +#11511=VERTEX_POINT('',#6548); +#11512=VERTEX_POINT('',#6578); +#11513=VERTEX_POINT('',#7469); +#11514=VERTEX_POINT('',#7467); +#11515=CARTESIAN_POINT('',(1.8E1,7.5E-1,-3.645E1)); +#11516=CARTESIAN_POINT('',(1.8E1,7.5E-1,-3.515E1)); +#11517=VERTEX_POINT('',#11515); +#11518=VERTEX_POINT('',#11516); +#11519=VERTEX_POINT('',#6452); +#11520=VERTEX_POINT('',#6482); +#11521=VERTEX_POINT('',#6484); +#11522=VERTEX_POINT('',#6514); +#11523=VERTEX_POINT('',#7493); +#11524=VERTEX_POINT('',#7491); +#11525=CARTESIAN_POINT('',(2.2E1,7.5E-1,-3.645E1)); +#11526=CARTESIAN_POINT('',(2.2E1,7.5E-1,-3.515E1)); +#11527=VERTEX_POINT('',#11525); +#11528=VERTEX_POINT('',#11526); +#11529=VERTEX_POINT('',#6388); +#11530=VERTEX_POINT('',#6418); +#11531=VERTEX_POINT('',#6420); +#11532=VERTEX_POINT('',#6450); +#11533=VERTEX_POINT('',#7191); +#11534=VERTEX_POINT('',#7515); +#11535=CARTESIAN_POINT('',(-2.2E1,-7.E0,-2.845E1)); +#11536=CARTESIAN_POINT('',(-2.2E1,-7.E0,-2.715E1)); +#11537=VERTEX_POINT('',#11535); +#11538=VERTEX_POINT('',#11536); +#11539=CARTESIAN_POINT('',(-1.8E1,-7.E0,-2.845E1)); +#11540=CARTESIAN_POINT('',(-1.8E1,-7.E0,-2.715E1)); +#11541=VERTEX_POINT('',#11539); +#11542=VERTEX_POINT('',#11540); +#11543=CARTESIAN_POINT('',(-1.4E1,-7.E0,-2.845E1)); +#11544=CARTESIAN_POINT('',(-1.4E1,-7.E0,-2.715E1)); +#11545=VERTEX_POINT('',#11543); +#11546=VERTEX_POINT('',#11544); +#11547=CARTESIAN_POINT('',(-1.E1,-7.E0,-2.845E1)); +#11548=CARTESIAN_POINT('',(-1.E1,-7.E0,-2.715E1)); +#11549=VERTEX_POINT('',#11547); +#11550=VERTEX_POINT('',#11548); +#11551=CARTESIAN_POINT('',(-6.E0,-7.E0,-2.845E1)); +#11552=CARTESIAN_POINT('',(-6.E0,-7.E0,-2.715E1)); +#11553=VERTEX_POINT('',#11551); +#11554=VERTEX_POINT('',#11552); +#11555=CARTESIAN_POINT('',(-2.E0,-7.E0,-2.845E1)); +#11556=CARTESIAN_POINT('',(-2.E0,-7.E0,-2.715E1)); +#11557=VERTEX_POINT('',#11555); +#11558=VERTEX_POINT('',#11556); +#11559=CARTESIAN_POINT('',(2.E0,-7.E0,-2.845E1)); +#11560=CARTESIAN_POINT('',(2.E0,-7.E0,-2.715E1)); +#11561=VERTEX_POINT('',#11559); +#11562=VERTEX_POINT('',#11560); +#11563=CARTESIAN_POINT('',(6.E0,-7.E0,-2.845E1)); +#11564=CARTESIAN_POINT('',(6.E0,-7.E0,-2.715E1)); +#11565=VERTEX_POINT('',#11563); +#11566=VERTEX_POINT('',#11564); +#11567=CARTESIAN_POINT('',(1.E1,-7.E0,-2.845E1)); +#11568=CARTESIAN_POINT('',(1.E1,-7.E0,-2.715E1)); +#11569=VERTEX_POINT('',#11567); +#11570=VERTEX_POINT('',#11568); +#11571=CARTESIAN_POINT('',(1.4E1,-7.E0,-2.845E1)); +#11572=CARTESIAN_POINT('',(1.4E1,-7.E0,-2.715E1)); +#11573=VERTEX_POINT('',#11571); +#11574=VERTEX_POINT('',#11572); +#11575=CARTESIAN_POINT('',(1.8E1,-7.E0,-2.845E1)); +#11576=CARTESIAN_POINT('',(1.8E1,-7.E0,-2.715E1)); +#11577=VERTEX_POINT('',#11575); +#11578=VERTEX_POINT('',#11576); +#11579=CARTESIAN_POINT('',(2.2E1,-7.E0,-2.845E1)); +#11580=CARTESIAN_POINT('',(2.2E1,-7.E0,-2.715E1)); +#11581=VERTEX_POINT('',#11579); +#11582=VERTEX_POINT('',#11580); +#11583=CARTESIAN_POINT('',(-2.E1,-3.25E0,-3.245E1)); +#11584=CARTESIAN_POINT('',(-2.E1,-3.25E0,-3.115E1)); +#11585=VERTEX_POINT('',#11583); +#11586=VERTEX_POINT('',#11584); +#11587=CARTESIAN_POINT('',(-1.6E1,-3.25E0,-3.245E1)); +#11588=CARTESIAN_POINT('',(-1.6E1,-3.25E0,-3.115E1)); +#11589=VERTEX_POINT('',#11587); +#11590=VERTEX_POINT('',#11588); +#11591=CARTESIAN_POINT('',(-1.2E1,-3.25E0,-3.245E1)); +#11592=CARTESIAN_POINT('',(-1.2E1,-3.25E0,-3.115E1)); +#11593=VERTEX_POINT('',#11591); +#11594=VERTEX_POINT('',#11592); +#11595=CARTESIAN_POINT('',(-8.E0,-3.25E0,-3.245E1)); +#11596=CARTESIAN_POINT('',(-8.E0,-3.25E0,-3.115E1)); +#11597=VERTEX_POINT('',#11595); +#11598=VERTEX_POINT('',#11596); +#11599=CARTESIAN_POINT('',(-4.E0,-3.25E0,-3.245E1)); +#11600=CARTESIAN_POINT('',(-4.E0,-3.25E0,-3.115E1)); +#11601=VERTEX_POINT('',#11599); +#11602=VERTEX_POINT('',#11600); +#11603=CARTESIAN_POINT('',(0.E0,-3.25E0,-3.245E1)); +#11604=CARTESIAN_POINT('',(0.E0,-3.25E0,-3.115E1)); +#11605=VERTEX_POINT('',#11603); +#11606=VERTEX_POINT('',#11604); +#11607=CARTESIAN_POINT('',(4.E0,-3.25E0,-3.245E1)); +#11608=CARTESIAN_POINT('',(4.E0,-3.25E0,-3.115E1)); +#11609=VERTEX_POINT('',#11607); +#11610=VERTEX_POINT('',#11608); +#11611=CARTESIAN_POINT('',(8.E0,-3.25E0,-3.245E1)); +#11612=CARTESIAN_POINT('',(8.E0,-3.25E0,-3.115E1)); +#11613=VERTEX_POINT('',#11611); +#11614=VERTEX_POINT('',#11612); +#11615=CARTESIAN_POINT('',(1.2E1,-3.25E0,-3.245E1)); +#11616=CARTESIAN_POINT('',(1.2E1,-3.25E0,-3.115E1)); +#11617=VERTEX_POINT('',#11615); +#11618=VERTEX_POINT('',#11616); +#11619=CARTESIAN_POINT('',(1.6E1,-3.25E0,-3.245E1)); +#11620=CARTESIAN_POINT('',(1.6E1,-3.25E0,-3.115E1)); +#11621=VERTEX_POINT('',#11619); +#11622=VERTEX_POINT('',#11620); +#11623=CARTESIAN_POINT('',(2.E1,-3.25E0,-3.245E1)); +#11624=CARTESIAN_POINT('',(2.E1,-3.25E0,-3.115E1)); +#11625=VERTEX_POINT('',#11623); +#11626=VERTEX_POINT('',#11624); +#11627=CARTESIAN_POINT('',(-2.7E1,-4.2E0,-1.E1)); +#11628=CARTESIAN_POINT('',(-2.7E1,-5.2E0,-1.E1)); +#11629=VERTEX_POINT('',#11627); +#11630=VERTEX_POINT('',#11628); +#11631=CARTESIAN_POINT('',(2.7E1,-5.2E0,-1.E1)); +#11632=CARTESIAN_POINT('',(2.7E1,-4.2E0,-1.E1)); +#11633=VERTEX_POINT('',#11631); +#11634=VERTEX_POINT('',#11632); +#11635=CARTESIAN_POINT('',(2.7E1,4.2E0,-1.E1)); +#11636=CARTESIAN_POINT('',(2.7E1,5.2E0,-1.E1)); +#11637=VERTEX_POINT('',#11635); +#11638=VERTEX_POINT('',#11636); +#11639=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-2.E1)); +#11640=VERTEX_POINT('',#11639); +#11641=CARTESIAN_POINT('',(2.82E1,5.2E0,-2.E1)); +#11642=VERTEX_POINT('',#11641); +#11643=CARTESIAN_POINT('',(-2.7E1,-4.2E0,-2.E1)); +#11644=VERTEX_POINT('',#11643); +#11645=CARTESIAN_POINT('',(-2.7E1,-5.2E0,-2.E1)); +#11646=VERTEX_POINT('',#11645); +#11647=CARTESIAN_POINT('',(2.7E1,-5.2E0,-2.E1)); +#11648=VERTEX_POINT('',#11647); +#11649=CARTESIAN_POINT('',(2.7E1,-4.2E0,-2.E1)); +#11650=VERTEX_POINT('',#11649); +#11651=CARTESIAN_POINT('',(2.7E1,4.2E0,-2.E1)); +#11652=VERTEX_POINT('',#11651); +#11653=CARTESIAN_POINT('',(2.7E1,5.2E0,-2.E1)); +#11654=VERTEX_POINT('',#11653); +#11655=CARTESIAN_POINT('',(5.75E0,9.1E0,-1.E1)); +#11656=CARTESIAN_POINT('',(4.75E0,9.1E0,-1.E1)); +#11657=VERTEX_POINT('',#11655); +#11658=VERTEX_POINT('',#11656); +#11659=CARTESIAN_POINT('',(9.25E0,9.1E0,-1.E1)); +#11660=CARTESIAN_POINT('',(8.25E0,9.1E0,-1.E1)); +#11661=VERTEX_POINT('',#11659); +#11662=VERTEX_POINT('',#11660); +#11663=CARTESIAN_POINT('',(1.625E1,9.1E0,-1.E1)); +#11664=CARTESIAN_POINT('',(1.525E1,9.1E0,-1.E1)); +#11665=VERTEX_POINT('',#11663); +#11666=VERTEX_POINT('',#11664); +#11667=CARTESIAN_POINT('',(1.275E1,9.1E0,-1.E1)); +#11668=CARTESIAN_POINT('',(1.175E1,9.1E0,-1.E1)); +#11669=VERTEX_POINT('',#11667); +#11670=VERTEX_POINT('',#11668); +#11671=CARTESIAN_POINT('',(1.975E1,9.1E0,-1.E1)); +#11672=CARTESIAN_POINT('',(1.875E1,9.1E0,-1.E1)); +#11673=VERTEX_POINT('',#11671); +#11674=VERTEX_POINT('',#11672); +#11675=CARTESIAN_POINT('',(1.875E1,-9.1E0,-1.E1)); +#11676=CARTESIAN_POINT('',(1.975E1,-9.1E0,-1.E1)); +#11677=VERTEX_POINT('',#11675); +#11678=VERTEX_POINT('',#11676); +#11679=CARTESIAN_POINT('',(1.175E1,-9.1E0,-1.E1)); +#11680=CARTESIAN_POINT('',(1.275E1,-9.1E0,-1.E1)); +#11681=VERTEX_POINT('',#11679); +#11682=VERTEX_POINT('',#11680); +#11683=CARTESIAN_POINT('',(1.525E1,-9.1E0,-1.E1)); +#11684=CARTESIAN_POINT('',(1.625E1,-9.1E0,-1.E1)); +#11685=VERTEX_POINT('',#11683); +#11686=VERTEX_POINT('',#11684); +#11687=CARTESIAN_POINT('',(8.25E0,-9.1E0,-1.E1)); +#11688=CARTESIAN_POINT('',(9.25E0,-9.1E0,-1.E1)); +#11689=VERTEX_POINT('',#11687); +#11690=VERTEX_POINT('',#11688); +#11691=CARTESIAN_POINT('',(1.25E0,-9.1E0,-1.E1)); +#11692=CARTESIAN_POINT('',(2.25E0,-9.1E0,-1.E1)); +#11693=VERTEX_POINT('',#11691); +#11694=VERTEX_POINT('',#11692); +#11695=CARTESIAN_POINT('',(-4.75E0,9.1E0,-1.E1)); +#11696=CARTESIAN_POINT('',(-5.75E0,9.1E0,-1.E1)); +#11697=VERTEX_POINT('',#11695); +#11698=VERTEX_POINT('',#11696); +#11699=CARTESIAN_POINT('',(-8.25E0,9.1E0,-1.E1)); +#11700=CARTESIAN_POINT('',(-9.25E0,9.1E0,-1.E1)); +#11701=VERTEX_POINT('',#11699); +#11702=VERTEX_POINT('',#11700); +#11703=CARTESIAN_POINT('',(-1.525E1,9.1E0,-1.E1)); +#11704=CARTESIAN_POINT('',(-1.625E1,9.1E0,-1.E1)); +#11705=VERTEX_POINT('',#11703); +#11706=VERTEX_POINT('',#11704); +#11707=CARTESIAN_POINT('',(-1.175E1,9.1E0,-1.E1)); +#11708=CARTESIAN_POINT('',(-1.275E1,9.1E0,-1.E1)); +#11709=VERTEX_POINT('',#11707); +#11710=VERTEX_POINT('',#11708); +#11711=CARTESIAN_POINT('',(-1.875E1,9.1E0,-1.E1)); +#11712=CARTESIAN_POINT('',(-1.975E1,9.1E0,-1.E1)); +#11713=VERTEX_POINT('',#11711); +#11714=VERTEX_POINT('',#11712); +#11715=CARTESIAN_POINT('',(-1.975E1,-9.1E0,-1.E1)); +#11716=CARTESIAN_POINT('',(-1.875E1,-9.1E0,-1.E1)); +#11717=VERTEX_POINT('',#11715); +#11718=VERTEX_POINT('',#11716); +#11719=CARTESIAN_POINT('',(-1.275E1,-9.1E0,-1.E1)); +#11720=CARTESIAN_POINT('',(-1.175E1,-9.1E0,-1.E1)); +#11721=VERTEX_POINT('',#11719); +#11722=VERTEX_POINT('',#11720); +#11723=CARTESIAN_POINT('',(-1.625E1,-9.1E0,-1.E1)); +#11724=CARTESIAN_POINT('',(-1.525E1,-9.1E0,-1.E1)); +#11725=VERTEX_POINT('',#11723); +#11726=VERTEX_POINT('',#11724); +#11727=CARTESIAN_POINT('',(-9.25E0,-9.1E0,-1.E1)); +#11728=CARTESIAN_POINT('',(-8.25E0,-9.099999999999E0,-1.E1)); +#11729=VERTEX_POINT('',#11727); +#11730=VERTEX_POINT('',#11728); +#11731=CARTESIAN_POINT('',(-2.25E0,-9.1E0,-1.E1)); +#11732=CARTESIAN_POINT('',(-1.25E0,-9.1E0,-1.E1)); +#11733=VERTEX_POINT('',#11731); +#11734=VERTEX_POINT('',#11732); +#11735=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#11736=VERTEX_POINT('',#11735); +#11737=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#11738=VERTEX_POINT('',#11737); +#11739=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-2.E1)); +#11740=VERTEX_POINT('',#11739); +#11741=CARTESIAN_POINT('',(5.75E0,9.1E0,-2.E1)); +#11742=VERTEX_POINT('',#11741); +#11743=CARTESIAN_POINT('',(4.75E0,9.1E0,-2.E1)); +#11744=VERTEX_POINT('',#11743); +#11745=CARTESIAN_POINT('',(9.25E0,9.1E0,-2.E1)); +#11746=VERTEX_POINT('',#11745); +#11747=CARTESIAN_POINT('',(8.25E0,9.1E0,-2.E1)); +#11748=VERTEX_POINT('',#11747); +#11749=CARTESIAN_POINT('',(1.625E1,9.1E0,-2.E1)); +#11750=VERTEX_POINT('',#11749); +#11751=CARTESIAN_POINT('',(1.525E1,9.1E0,-2.E1)); +#11752=VERTEX_POINT('',#11751); +#11753=CARTESIAN_POINT('',(1.275E1,9.1E0,-2.E1)); +#11754=VERTEX_POINT('',#11753); +#11755=CARTESIAN_POINT('',(1.175E1,9.1E0,-2.E1)); +#11756=VERTEX_POINT('',#11755); +#11757=CARTESIAN_POINT('',(1.975E1,9.1E0,-2.E1)); +#11758=VERTEX_POINT('',#11757); +#11759=CARTESIAN_POINT('',(1.875E1,9.1E0,-2.E1)); +#11760=VERTEX_POINT('',#11759); +#11761=CARTESIAN_POINT('',(1.875E1,-9.1E0,-2.E1)); +#11762=CARTESIAN_POINT('',(1.975E1,-9.1E0,-2.E1)); +#11763=VERTEX_POINT('',#11761); +#11764=VERTEX_POINT('',#11762); +#11765=CARTESIAN_POINT('',(1.175E1,-9.1E0,-2.E1)); +#11766=CARTESIAN_POINT('',(1.275E1,-9.1E0,-2.E1)); +#11767=VERTEX_POINT('',#11765); +#11768=VERTEX_POINT('',#11766); +#11769=CARTESIAN_POINT('',(1.525E1,-9.1E0,-2.E1)); +#11770=CARTESIAN_POINT('',(1.625E1,-9.1E0,-2.E1)); +#11771=VERTEX_POINT('',#11769); +#11772=VERTEX_POINT('',#11770); +#11773=CARTESIAN_POINT('',(8.25E0,-9.1E0,-2.E1)); +#11774=CARTESIAN_POINT('',(9.25E0,-9.1E0,-2.E1)); +#11775=VERTEX_POINT('',#11773); +#11776=VERTEX_POINT('',#11774); +#11777=CARTESIAN_POINT('',(1.25E0,-9.1E0,-2.E1)); +#11778=CARTESIAN_POINT('',(2.25E0,-9.1E0,-2.E1)); +#11779=VERTEX_POINT('',#11777); +#11780=VERTEX_POINT('',#11778); +#11781=CARTESIAN_POINT('',(-4.75E0,9.1E0,-2.E1)); +#11782=VERTEX_POINT('',#11781); +#11783=CARTESIAN_POINT('',(-5.75E0,9.1E0,-2.E1)); +#11784=VERTEX_POINT('',#11783); +#11785=CARTESIAN_POINT('',(-8.25E0,9.1E0,-2.E1)); +#11786=VERTEX_POINT('',#11785); +#11787=CARTESIAN_POINT('',(-9.25E0,9.1E0,-2.E1)); +#11788=VERTEX_POINT('',#11787); +#11789=CARTESIAN_POINT('',(-1.525E1,9.1E0,-2.E1)); +#11790=VERTEX_POINT('',#11789); +#11791=CARTESIAN_POINT('',(-1.625E1,9.1E0,-2.E1)); +#11792=VERTEX_POINT('',#11791); +#11793=CARTESIAN_POINT('',(-1.175E1,9.1E0,-2.E1)); +#11794=VERTEX_POINT('',#11793); +#11795=CARTESIAN_POINT('',(-1.275E1,9.1E0,-2.E1)); +#11796=VERTEX_POINT('',#11795); +#11797=CARTESIAN_POINT('',(-1.875E1,9.1E0,-2.E1)); +#11798=VERTEX_POINT('',#11797); +#11799=CARTESIAN_POINT('',(-1.975E1,9.1E0,-2.E1)); +#11800=VERTEX_POINT('',#11799); +#11801=CARTESIAN_POINT('',(-1.975E1,-9.1E0,-2.E1)); +#11802=CARTESIAN_POINT('',(-1.875E1,-9.1E0,-2.E1)); +#11803=VERTEX_POINT('',#11801); +#11804=VERTEX_POINT('',#11802); +#11805=CARTESIAN_POINT('',(-1.275E1,-9.1E0,-2.E1)); +#11806=CARTESIAN_POINT('',(-1.175E1,-9.1E0,-2.E1)); +#11807=VERTEX_POINT('',#11805); +#11808=VERTEX_POINT('',#11806); +#11809=CARTESIAN_POINT('',(-1.625E1,-9.1E0,-2.E1)); +#11810=CARTESIAN_POINT('',(-1.525E1,-9.1E0,-2.E1)); +#11811=VERTEX_POINT('',#11809); +#11812=VERTEX_POINT('',#11810); +#11813=CARTESIAN_POINT('',(-9.25E0,-9.1E0,-2.E1)); +#11814=CARTESIAN_POINT('',(-8.25E0,-9.099999999999E0,-2.E1)); +#11815=VERTEX_POINT('',#11813); +#11816=VERTEX_POINT('',#11814); +#11817=CARTESIAN_POINT('',(-2.25E0,-9.1E0,-2.E1)); +#11818=CARTESIAN_POINT('',(-1.25E0,-9.1E0,-2.E1)); +#11819=VERTEX_POINT('',#11817); +#11820=VERTEX_POINT('',#11818); +#11821=CARTESIAN_POINT('',(2.33E1,7.5E-1,-2.2E1)); +#11822=CARTESIAN_POINT('',(2.33E1,-3.25E0,-2.2E1)); +#11823=VERTEX_POINT('',#11821); +#11824=VERTEX_POINT('',#11822); +#11825=CARTESIAN_POINT('',(2.47E1,-3.25E0,-2.2E1)); +#11826=CARTESIAN_POINT('',(2.47E1,-3.25E0,-3.11E1)); +#11827=VERTEX_POINT('',#11825); +#11828=VERTEX_POINT('',#11826); +#11829=CARTESIAN_POINT('',(2.33E1,-3.25E0,-3.11E1)); +#11830=VERTEX_POINT('',#11829); +#11831=CARTESIAN_POINT('',(2.33E1,-3.25E0,-3.25E1)); +#11832=VERTEX_POINT('',#11831); +#11833=CARTESIAN_POINT('',(2.33E1,-2.65E0,-3.25E1)); +#11834=VERTEX_POINT('',#11833); +#11835=CARTESIAN_POINT('',(2.33E1,7.5E-1,-3.51E1)); +#11836=VERTEX_POINT('',#11835); +#11837=CARTESIAN_POINT('',(2.47E1,7.5E-1,-2.2E1)); +#11838=VERTEX_POINT('',#11837); +#11839=CARTESIAN_POINT('',(3.842493718553E1,1.155E1,-2.85E1)); +#11840=CARTESIAN_POINT('',(3.845E1,1.105E1,-2.85E1)); +#11841=VERTEX_POINT('',#11839); +#11842=VERTEX_POINT('',#11840); +#11843=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.85E1)); +#11844=VERTEX_POINT('',#11843); +#11845=CARTESIAN_POINT('',(3.845E1,-6.55E0,-2.85E1)); +#11846=CARTESIAN_POINT('',(3.845E1,-8.05E0,-2.85E1)); +#11847=VERTEX_POINT('',#11845); +#11848=VERTEX_POINT('',#11846); +#11849=CARTESIAN_POINT('',(-3.845E1,1.005E1,-2.85E1)); +#11850=CARTESIAN_POINT('',(-3.845E1,1.105E1,-2.85E1)); +#11851=VERTEX_POINT('',#11849); +#11852=VERTEX_POINT('',#11850); +#11853=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.85E1)); +#11854=VERTEX_POINT('',#11853); +#11855=CARTESIAN_POINT('',(-3.845E1,-8.05E0,-2.85E1)); +#11856=CARTESIAN_POINT('',(-3.845E1,-6.55E0,-2.85E1)); +#11857=VERTEX_POINT('',#11855); +#11858=VERTEX_POINT('',#11856); +#11859=CARTESIAN_POINT('',(-2.473110368616E1,-9.67E0,-2.2E1)); +#11860=CARTESIAN_POINT('',(-2.473110368616E1,-1.077E1,-2.2E1)); +#11861=VERTEX_POINT('',#11859); +#11862=VERTEX_POINT('',#11860); +#11863=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-2.2E1)); +#11864=VERTEX_POINT('',#11863); +#11865=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-2.2E1)); +#11866=VERTEX_POINT('',#11865); +#11867=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-2.2E1)); +#11868=VERTEX_POINT('',#11867); +#11869=CARTESIAN_POINT('',(-2.573110368616E1,-1.107E1,-2.2E1)); +#11870=VERTEX_POINT('',#11869); +#11871=CARTESIAN_POINT('',(-3.075E1,-1.107E1,-2.2E1)); +#11872=VERTEX_POINT('',#11871); +#11873=CARTESIAN_POINT('',(-3.075E1,-1.107E1,-2.85E1)); +#11874=VERTEX_POINT('',#11873); +#11875=CARTESIAN_POINT('',(-2.695E1,-1.107E1,-2.85E1)); +#11876=VERTEX_POINT('',#11875); +#11877=CARTESIAN_POINT('',(2.443110368616E1,-8.22E0,-2.2E1)); +#11878=CARTESIAN_POINT('',(2.443110368616E1,-9.37E0,-2.2E1)); +#11879=VERTEX_POINT('',#11877); +#11880=VERTEX_POINT('',#11878); +#11881=CARTESIAN_POINT('',(-2.443110368616E1,-9.37E0,-2.2E1)); +#11882=CARTESIAN_POINT('',(-2.443110368616E1,-8.22E0,-2.2E1)); +#11883=VERTEX_POINT('',#11881); +#11884=VERTEX_POINT('',#11882); +#11885=CARTESIAN_POINT('',(-2.47E1,-5.093154304743E0,-2.2E1)); +#11886=CARTESIAN_POINT('',(-2.47E1,-5.093154304743E0,-2.969052906108E1)); +#11887=VERTEX_POINT('',#11885); +#11888=VERTEX_POINT('',#11886); +#11889=CARTESIAN_POINT('',(2.47E1,-5.093154304743E0,-2.2E1)); +#11890=CARTESIAN_POINT('',(2.47E1,-5.093154304743E0,-2.969052906108E1)); +#11891=VERTEX_POINT('',#11889); +#11892=VERTEX_POINT('',#11890); +#11893=CARTESIAN_POINT('',(2.173778607136E1,-9.944486372867E0,-2.2E1)); +#11894=CARTESIAN_POINT('',(2.353568372584E1,-7.E0,-2.71E1)); +#11895=VERTEX_POINT('',#11893); +#11896=VERTEX_POINT('',#11894); +#11897=CARTESIAN_POINT('',(-2.173778607136E1,-9.944486372867E0,-2.2E1)); +#11898=CARTESIAN_POINT('',(-2.353568372584E1,-7.E0,-2.71E1)); +#11899=VERTEX_POINT('',#11897); +#11900=VERTEX_POINT('',#11898); +#11901=CARTESIAN_POINT('',(2.353568372584E1,-7.E0,-2.85E1)); +#11902=VERTEX_POINT('',#11901); +#11903=CARTESIAN_POINT('',(-2.353568372584E1,-7.E0,-2.85E1)); +#11904=VERTEX_POINT('',#11903); +#11905=CARTESIAN_POINT('',(2.374939304536E1,-6.65E0,-2.85E1)); +#11906=VERTEX_POINT('',#11905); +#11907=CARTESIAN_POINT('',(-2.374939304536E1,-6.65E0,-2.85E1)); +#11908=VERTEX_POINT('',#11907); +#11909=CARTESIAN_POINT('',(-2.8475E1,-1.516867103068E1,-3.13E1)); +#11910=CARTESIAN_POINT('',(-2.9325E1,-1.516867103068E1,-3.13E1)); +#11911=VERTEX_POINT('',#11909); +#11912=VERTEX_POINT('',#11910); +#11913=CARTESIAN_POINT('',(-3.04E1,-1.416867103068E1,-3.13E1)); +#11914=VERTEX_POINT('',#11913); +#11915=CARTESIAN_POINT('',(-2.79E1,-1.463378730975E1,-3.13E1)); +#11916=VERTEX_POINT('',#11915); +#11917=CARTESIAN_POINT('',(-1.25E0,9.1E0,-1.E1)); +#11918=CARTESIAN_POINT('',(-2.25E0,9.1E0,-1.E1)); +#11919=VERTEX_POINT('',#11917); +#11920=VERTEX_POINT('',#11918); +#11921=CARTESIAN_POINT('',(4.75E0,-9.1E0,-1.E1)); +#11922=CARTESIAN_POINT('',(5.75E0,-9.1E0,-1.E1)); +#11923=VERTEX_POINT('',#11921); +#11924=VERTEX_POINT('',#11922); +#11925=CARTESIAN_POINT('',(-1.25E0,1.03E1,-2.E1)); +#11926=VERTEX_POINT('',#11925); +#11927=CARTESIAN_POINT('',(-1.25E0,9.1E0,-2.E1)); +#11928=VERTEX_POINT('',#11927); +#11929=CARTESIAN_POINT('',(-2.25E0,9.1E0,-2.E1)); +#11930=VERTEX_POINT('',#11929); +#11931=CARTESIAN_POINT('',(4.75E0,-9.1E0,-2.E1)); +#11932=CARTESIAN_POINT('',(5.75E0,-9.1E0,-2.E1)); +#11933=VERTEX_POINT('',#11931); +#11934=VERTEX_POINT('',#11932); +#11935=CARTESIAN_POINT('',(-2.695E1,-5.568671030682E0,-3.78E1)); +#11936=CARTESIAN_POINT('',(-2.605E1,-5.568671030682E0,-3.78E1)); +#11937=VERTEX_POINT('',#11935); +#11938=VERTEX_POINT('',#11936); +#11939=CARTESIAN_POINT('',(-2.443110368616E1,-8.22E0,-3.78E1)); +#11940=VERTEX_POINT('',#11939); +#11941=CARTESIAN_POINT('',(-2.443110368616E1,-9.37E0,-3.78E1)); +#11942=VERTEX_POINT('',#11941); +#11943=CARTESIAN_POINT('',(-2.473110368616E1,-9.67E0,-3.78E1)); +#11944=VERTEX_POINT('',#11943); +#11945=CARTESIAN_POINT('',(-2.473110368616E1,-1.077E1,-3.78E1)); +#11946=VERTEX_POINT('',#11945); +#11947=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-3.78E1)); +#11948=VERTEX_POINT('',#11947); +#11949=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-3.78E1)); +#11950=VERTEX_POINT('',#11949); +#11951=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-3.78E1)); +#11952=VERTEX_POINT('',#11951); +#11953=CARTESIAN_POINT('',(-2.573110368616E1,-1.107E1,-3.78E1)); +#11954=VERTEX_POINT('',#11953); +#11955=CARTESIAN_POINT('',(-2.695E1,-1.107E1,-3.78E1)); +#11956=VERTEX_POINT('',#11955); +#11957=CARTESIAN_POINT('',(-2.605E1,-5.568671030682E0,-2.2E1)); +#11958=VERTEX_POINT('',#11957); +#11959=CARTESIAN_POINT('',(-2.695E1,-5.568671030682E0,-3.31E1)); +#11960=CARTESIAN_POINT('',(-2.695E1,-7.218671030682E0,-3.31E1)); +#11961=VERTEX_POINT('',#11959); +#11962=VERTEX_POINT('',#11960); +#11963=CARTESIAN_POINT('',(3.835E1,1.105E1,-1.98E1)); +#11964=CARTESIAN_POINT('',(3.575E1,1.105E1,-1.98E1)); +#11965=VERTEX_POINT('',#11963); +#11966=VERTEX_POINT('',#11964); +#11967=CARTESIAN_POINT('',(3.835E1,-1.105E1,-1.98E1)); +#11968=CARTESIAN_POINT('',(3.575E1,-1.105E1,-1.98E1)); +#11969=VERTEX_POINT('',#11967); +#11970=VERTEX_POINT('',#11968); +#11971=CARTESIAN_POINT('',(3.345E1,-1.595E1,-1.98E1)); +#11972=CARTESIAN_POINT('',(3.345E1,-1.335E1,-1.98E1)); +#11973=VERTEX_POINT('',#11971); +#11974=VERTEX_POINT('',#11972); +#11975=CARTESIAN_POINT('',(-3.345E1,-1.595E1,-1.98E1)); +#11976=CARTESIAN_POINT('',(-3.345E1,-1.335E1,-1.98E1)); +#11977=VERTEX_POINT('',#11975); +#11978=VERTEX_POINT('',#11976); +#11979=CARTESIAN_POINT('',(-3.835E1,-1.105E1,-1.98E1)); +#11980=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-1.98E1)); +#11981=VERTEX_POINT('',#11979); +#11982=VERTEX_POINT('',#11980); +#11983=CARTESIAN_POINT('',(-3.835E1,1.105E1,-1.98E1)); +#11984=CARTESIAN_POINT('',(-3.575E1,1.105E1,-1.98E1)); +#11985=VERTEX_POINT('',#11983); +#11986=VERTEX_POINT('',#11984); +#11987=CARTESIAN_POINT('',(-3.345E1,1.595E1,-1.98E1)); +#11988=CARTESIAN_POINT('',(-3.345E1,1.335E1,-1.98E1)); +#11989=VERTEX_POINT('',#11987); +#11990=VERTEX_POINT('',#11988); +#11991=CARTESIAN_POINT('',(3.345E1,1.595E1,-1.98E1)); +#11992=CARTESIAN_POINT('',(3.345E1,1.335E1,-1.98E1)); +#11993=VERTEX_POINT('',#11991); +#11994=VERTEX_POINT('',#11992); +#11995=CARTESIAN_POINT('',(3.575E1,1.105E1,-2.E1)); +#11996=CARTESIAN_POINT('',(3.575E1,-1.105E1,-2.E1)); +#11997=VERTEX_POINT('',#11995); +#11998=VERTEX_POINT('',#11996); +#11999=CARTESIAN_POINT('',(3.345E1,-1.335E1,-2.E1)); +#12000=VERTEX_POINT('',#11999); +#12001=CARTESIAN_POINT('',(-3.345E1,-1.335E1,-2.E1)); +#12002=VERTEX_POINT('',#12001); +#12003=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-2.E1)); +#12004=VERTEX_POINT('',#12003); +#12005=CARTESIAN_POINT('',(-3.575E1,1.105E1,-2.E1)); +#12006=VERTEX_POINT('',#12005); +#12007=CARTESIAN_POINT('',(-3.345E1,1.335E1,-2.E1)); +#12008=VERTEX_POINT('',#12007); +#12009=CARTESIAN_POINT('',(3.345E1,1.335E1,-2.E1)); +#12010=VERTEX_POINT('',#12009); +#12011=CARTESIAN_POINT('',(3.835E1,1.105E1,-2.02E1)); +#12012=VERTEX_POINT('',#12011); +#12013=CARTESIAN_POINT('',(3.835E1,-1.105E1,-2.02E1)); +#12014=VERTEX_POINT('',#12013); +#12015=CARTESIAN_POINT('',(3.345E1,-1.595E1,-2.02E1)); +#12016=VERTEX_POINT('',#12015); +#12017=CARTESIAN_POINT('',(-3.345E1,-1.595E1,-2.02E1)); +#12018=VERTEX_POINT('',#12017); +#12019=CARTESIAN_POINT('',(-3.835E1,-1.105E1,-2.02E1)); +#12020=VERTEX_POINT('',#12019); +#12021=CARTESIAN_POINT('',(-3.835E1,1.105E1,-2.02E1)); +#12022=VERTEX_POINT('',#12021); +#12023=CARTESIAN_POINT('',(-3.345E1,1.595E1,-2.02E1)); +#12024=VERTEX_POINT('',#12023); +#12025=CARTESIAN_POINT('',(3.345E1,1.595E1,-2.02E1)); +#12026=VERTEX_POINT('',#12025); +#12027=CARTESIAN_POINT('',(1.075E0,8.075E0,-1.E1)); +#12028=CARTESIAN_POINT('',(2.425E0,8.075E0,-1.E1)); +#12029=VERTEX_POINT('',#12027); +#12030=VERTEX_POINT('',#12028); +#12031=CARTESIAN_POINT('',(-4.575E0,-8.075E0,-1.E1)); +#12032=CARTESIAN_POINT('',(-5.925E0,-8.075E0,-1.E1)); +#12033=VERTEX_POINT('',#12031); +#12034=VERTEX_POINT('',#12032); +#12035=CARTESIAN_POINT('',(1.075E0,1.03E1,-2.E1)); +#12036=CARTESIAN_POINT('',(1.075E0,1.03E1,-1.E1)); +#12037=VERTEX_POINT('',#12035); +#12038=VERTEX_POINT('',#12036); +#12039=CARTESIAN_POINT('',(2.425E0,1.03E1,-2.E1)); +#12040=CARTESIAN_POINT('',(2.425E0,1.03E1,-1.E1)); +#12041=VERTEX_POINT('',#12039); +#12042=VERTEX_POINT('',#12040); +#12043=CARTESIAN_POINT('',(-4.575E0,-1.03E1,-2.E1)); +#12044=CARTESIAN_POINT('',(-4.575E0,-1.03E1,-1.E1)); +#12045=VERTEX_POINT('',#12043); +#12046=VERTEX_POINT('',#12044); +#12047=CARTESIAN_POINT('',(-5.925E0,-1.03E1,-2.E1)); +#12048=CARTESIAN_POINT('',(-5.925E0,-1.03E1,-1.E1)); +#12049=VERTEX_POINT('',#12047); +#12050=VERTEX_POINT('',#12048); +#12051=CARTESIAN_POINT('',(1.075E0,8.075E0,-2.E1)); +#12052=VERTEX_POINT('',#12051); +#12053=CARTESIAN_POINT('',(2.425E0,8.075E0,-2.E1)); +#12054=VERTEX_POINT('',#12053); +#12055=CARTESIAN_POINT('',(-4.575E0,-8.075E0,-2.E1)); +#12056=VERTEX_POINT('',#12055); +#12057=CARTESIAN_POINT('',(-5.925E0,-8.075E0,-2.E1)); +#12058=VERTEX_POINT('',#12057); +#12059=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-3.9E0)); +#12060=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-5.4E0)); +#12061=VERTEX_POINT('',#12059); +#12062=VERTEX_POINT('',#12060); +#12063=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-3.9E0)); +#12064=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-5.4E0)); +#12065=VERTEX_POINT('',#12063); +#12066=VERTEX_POINT('',#12064); +#12067=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-3.9E0)); +#12068=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-5.4E0)); +#12069=VERTEX_POINT('',#12067); +#12070=VERTEX_POINT('',#12068); +#12071=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-3.9E0)); +#12072=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-5.4E0)); +#12073=VERTEX_POINT('',#12071); +#12074=VERTEX_POINT('',#12072); +#12075=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.4E0)); +#12076=VERTEX_POINT('',#12075); +#12077=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.4E0)); +#12078=VERTEX_POINT('',#12077); +#12079=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.4E0)); +#12080=VERTEX_POINT('',#12079); +#12081=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#12082=VERTEX_POINT('',#12081); +#12083=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-2.4E1)); +#12084=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-2.4E1)); +#12085=VERTEX_POINT('',#12083); +#12086=VERTEX_POINT('',#12084); +#12087=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-3.28E1)); +#12088=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-3.28E1)); +#12089=VERTEX_POINT('',#12087); +#12090=VERTEX_POINT('',#12088); +#12091=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-2.4E1)); +#12092=VERTEX_POINT('',#12091); +#12093=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-3.28E1)); +#12094=VERTEX_POINT('',#12093); +#12095=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-2.4E1)); +#12096=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-3.28E1)); +#12097=VERTEX_POINT('',#12095); +#12098=VERTEX_POINT('',#12096); +#12099=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-2.4E1)); +#12100=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-3.28E1)); +#12101=VERTEX_POINT('',#12099); +#12102=VERTEX_POINT('',#12100); +#12103=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-3.28E1)); +#12104=VERTEX_POINT('',#12103); +#12105=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-2.4E1)); +#12106=VERTEX_POINT('',#12105); +#12107=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-3.28E1)); +#12108=VERTEX_POINT('',#12107); +#12109=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-2.4E1)); +#12110=VERTEX_POINT('',#12109); +#12111=CARTESIAN_POINT('',(-2.573110368616E1,-1.107E1,-3.28E1)); +#12112=VERTEX_POINT('',#12111); +#12113=CARTESIAN_POINT('',(-2.573110368616E1,-1.107E1,-2.4E1)); +#12114=VERTEX_POINT('',#12113); +#12115=CARTESIAN_POINT('',(4.526728026742E0,1.149449725639E1,0.E0)); +#12116=CARTESIAN_POINT('',(2.673271973258E0,1.149449725639E1,0.E0)); +#12117=VERTEX_POINT('',#12115); +#12118=VERTEX_POINT('',#12116); +#12119=CARTESIAN_POINT('',(4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#12120=CARTESIAN_POINT('',(2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#12121=VERTEX_POINT('',#12119); +#12122=VERTEX_POINT('',#12120); +#12123=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#12124=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.E-1)); +#12125=VERTEX_POINT('',#12123); +#12126=VERTEX_POINT('',#12124); +#12127=CARTESIAN_POINT('',(2.656255668237E0,1.13E1,0.E0)); +#12128=CARTESIAN_POINT('',(-2.656255668237E0,1.13E1,0.E0)); +#12129=VERTEX_POINT('',#12127); +#12130=VERTEX_POINT('',#12128); +#12131=CARTESIAN_POINT('',(-2.673271973258E0,1.149449725639E1,0.E0)); +#12132=VERTEX_POINT('',#12131); +#12133=CARTESIAN_POINT('',(-4.526728026742E0,1.149449725639E1,0.E0)); +#12134=VERTEX_POINT('',#12133); +#12135=CARTESIAN_POINT('',(-2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#12136=CARTESIAN_POINT('',(-4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#12137=VERTEX_POINT('',#12135); +#12138=VERTEX_POINT('',#12136); +#12139=CARTESIAN_POINT('',(2.35E1,1.18E1,-5.E-1)); +#12140=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.E-1)); +#12141=VERTEX_POINT('',#12139); +#12142=VERTEX_POINT('',#12140); +#12143=CARTESIAN_POINT('',(2.35E1,1.13E1,0.E0)); +#12144=CARTESIAN_POINT('',(4.543744331763E0,1.13E1,0.E0)); +#12145=VERTEX_POINT('',#12143); +#12146=VERTEX_POINT('',#12144); +#12147=CARTESIAN_POINT('',(2.92E1,5.6E0,0.E0)); +#12148=VERTEX_POINT('',#12147); +#12149=CARTESIAN_POINT('',(2.97E1,5.6E0,-5.E-1)); +#12150=VERTEX_POINT('',#12149); +#12151=CARTESIAN_POINT('',(2.92E1,-5.6E0,0.E0)); +#12152=VERTEX_POINT('',#12151); +#12153=CARTESIAN_POINT('',(2.97E1,-5.6E0,-5.E-1)); +#12154=VERTEX_POINT('',#12153); +#12155=CARTESIAN_POINT('',(2.35E1,-1.13E1,0.E0)); +#12156=VERTEX_POINT('',#12155); +#12157=CARTESIAN_POINT('',(2.35E1,-1.18E1,-5.E-1)); +#12158=VERTEX_POINT('',#12157); +#12159=CARTESIAN_POINT('',(-2.35E1,-1.13E1,0.E0)); +#12160=VERTEX_POINT('',#12159); +#12161=CARTESIAN_POINT('',(-2.35E1,-1.18E1,-5.E-1)); +#12162=VERTEX_POINT('',#12161); +#12163=CARTESIAN_POINT('',(-2.92E1,-5.6E0,0.E0)); +#12164=VERTEX_POINT('',#12163); +#12165=CARTESIAN_POINT('',(-2.97E1,-5.6E0,-5.E-1)); +#12166=VERTEX_POINT('',#12165); +#12167=CARTESIAN_POINT('',(-2.92E1,5.6E0,0.E0)); +#12168=VERTEX_POINT('',#12167); +#12169=CARTESIAN_POINT('',(-2.97E1,5.6E0,-5.E-1)); +#12170=VERTEX_POINT('',#12169); +#12171=CARTESIAN_POINT('',(-2.35E1,1.13E1,0.E0)); +#12172=VERTEX_POINT('',#12171); +#12173=CARTESIAN_POINT('',(-2.35E1,1.18E1,-5.E-1)); +#12174=VERTEX_POINT('',#12173); +#12175=CARTESIAN_POINT('',(-4.543744331763E0,1.13E1,0.E0)); +#12176=VERTEX_POINT('',#12175); +#12177=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#12178=VERTEX_POINT('',#12177); +#12179=CARTESIAN_POINT('',(3.345E1,1.605E1,-2.05E1)); +#12180=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.05E1)); +#12181=VERTEX_POINT('',#12179); +#12182=VERTEX_POINT('',#12180); +#12183=CARTESIAN_POINT('',(-3.845E1,1.105E1,-2.05E1)); +#12184=VERTEX_POINT('',#12183); +#12185=CARTESIAN_POINT('',(-3.845E1,-1.105E1,-2.05E1)); +#12186=VERTEX_POINT('',#12185); +#12187=CARTESIAN_POINT('',(-3.345E1,-1.605E1,-2.05E1)); +#12188=VERTEX_POINT('',#12187); +#12189=CARTESIAN_POINT('',(3.345E1,-1.605E1,-2.05E1)); +#12190=VERTEX_POINT('',#12189); +#12191=CARTESIAN_POINT('',(3.845E1,-1.105E1,-2.05E1)); +#12192=VERTEX_POINT('',#12191); +#12193=CARTESIAN_POINT('',(3.845E1,1.105E1,-2.05E1)); +#12194=VERTEX_POINT('',#12193); +#12195=CARTESIAN_POINT('',(-3.529687364248E1,1.005E1,-2.25E1)); +#12196=CARTESIAN_POINT('',(-3.795E1,1.005E1,-2.25E1)); +#12197=VERTEX_POINT('',#12195); +#12198=VERTEX_POINT('',#12196); +#12199=CARTESIAN_POINT('',(-3.529687364248E1,9.55E0,-2.2E1)); +#12200=CARTESIAN_POINT('',(-3.795E1,9.55E0,-2.2E1)); +#12201=VERTEX_POINT('',#12199); +#12202=VERTEX_POINT('',#12200); +#12203=CARTESIAN_POINT('',(-3.795E1,-1.105E1,-2.2E1)); +#12204=CARTESIAN_POINT('',(-3.795E1,-8.55E0,-2.2E1)); +#12205=VERTEX_POINT('',#12203); +#12206=VERTEX_POINT('',#12204); +#12207=CARTESIAN_POINT('',(-3.845E1,-1.105E1,-2.15E1)); +#12208=CARTESIAN_POINT('',(-3.845E1,-8.55E0,-2.15E1)); +#12209=VERTEX_POINT('',#12207); +#12210=VERTEX_POINT('',#12208); +#12211=CARTESIAN_POINT('',(-3.795E1,-6.05E0,-2.2E1)); +#12212=CARTESIAN_POINT('',(-3.679687364248E1,-6.05E0,-2.2E1)); +#12213=VERTEX_POINT('',#12211); +#12214=VERTEX_POINT('',#12212); +#12215=CARTESIAN_POINT('',(-3.795E1,-6.55E0,-2.25E1)); +#12216=CARTESIAN_POINT('',(-3.679687364248E1,-6.55E0,-2.25E1)); +#12217=VERTEX_POINT('',#12215); +#12218=VERTEX_POINT('',#12216); +#12219=CARTESIAN_POINT('',(3.679687364248E1,-6.55E0,-2.25E1)); +#12220=CARTESIAN_POINT('',(3.795E1,-6.55E0,-2.25E1)); +#12221=VERTEX_POINT('',#12219); +#12222=VERTEX_POINT('',#12220); +#12223=CARTESIAN_POINT('',(3.679687364248E1,-6.05E0,-2.2E1)); +#12224=CARTESIAN_POINT('',(3.795E1,-6.05E0,-2.2E1)); +#12225=VERTEX_POINT('',#12223); +#12226=VERTEX_POINT('',#12224); +#12227=CARTESIAN_POINT('',(3.845E1,-8.55E0,-2.15E1)); +#12228=CARTESIAN_POINT('',(3.845E1,-1.105E1,-2.15E1)); +#12229=VERTEX_POINT('',#12227); +#12230=VERTEX_POINT('',#12228); +#12231=CARTESIAN_POINT('',(3.795E1,-8.55E0,-2.2E1)); +#12232=CARTESIAN_POINT('',(3.795E1,-1.105E1,-2.2E1)); +#12233=VERTEX_POINT('',#12231); +#12234=VERTEX_POINT('',#12232); +#12235=CARTESIAN_POINT('',(3.795E1,9.55E0,-2.2E1)); +#12236=CARTESIAN_POINT('',(3.529687364248E1,9.55E0,-2.2E1)); +#12237=VERTEX_POINT('',#12235); +#12238=VERTEX_POINT('',#12236); +#12239=CARTESIAN_POINT('',(3.795E1,1.005E1,-2.25E1)); +#12240=CARTESIAN_POINT('',(3.529687364248E1,1.005E1,-2.25E1)); +#12241=VERTEX_POINT('',#12239); +#12242=VERTEX_POINT('',#12240); +#12243=CARTESIAN_POINT('',(-3.679687364248E1,-8.05E0,-2.25E1)); +#12244=CARTESIAN_POINT('',(-3.795E1,-8.05E0,-2.25E1)); +#12245=VERTEX_POINT('',#12243); +#12246=VERTEX_POINT('',#12244); +#12247=CARTESIAN_POINT('',(-3.679687364248E1,-8.55E0,-2.2E1)); +#12248=VERTEX_POINT('',#12247); +#12249=CARTESIAN_POINT('',(-3.845E1,-6.05E0,-2.15E1)); +#12250=CARTESIAN_POINT('',(-3.845E1,9.55E0,-2.15E1)); +#12251=VERTEX_POINT('',#12249); +#12252=VERTEX_POINT('',#12250); +#12253=CARTESIAN_POINT('',(-3.345E1,-1.555E1,-2.2E1)); +#12254=VERTEX_POINT('',#12253); +#12255=CARTESIAN_POINT('',(-3.345E1,-1.605E1,-2.15E1)); +#12256=VERTEX_POINT('',#12255); +#12257=CARTESIAN_POINT('',(3.345E1,-1.555E1,-2.2E1)); +#12258=VERTEX_POINT('',#12257); +#12259=CARTESIAN_POINT('',(3.345E1,-1.605E1,-2.15E1)); +#12260=VERTEX_POINT('',#12259); +#12261=CARTESIAN_POINT('',(3.845E1,9.55E0,-2.15E1)); +#12262=CARTESIAN_POINT('',(3.845E1,-6.05E0,-2.15E1)); +#12263=VERTEX_POINT('',#12261); +#12264=VERTEX_POINT('',#12262); +#12265=CARTESIAN_POINT('',(3.679687364248E1,-8.55E0,-2.2E1)); +#12266=VERTEX_POINT('',#12265); +#12267=CARTESIAN_POINT('',(3.795E1,-8.05E0,-2.25E1)); +#12268=CARTESIAN_POINT('',(3.679687364248E1,-8.05E0,-2.25E1)); +#12269=VERTEX_POINT('',#12267); +#12270=VERTEX_POINT('',#12268); +#12271=CARTESIAN_POINT('',(3.783748219370E1,1.155000009047E1,-2.25E1)); +#12272=CARTESIAN_POINT('',(3.529687364248E1,1.155E1,-2.25E1)); +#12273=VERTEX_POINT('',#12271); +#12274=VERTEX_POINT('',#12272); +#12275=CARTESIAN_POINT('',(3.783748195271E1,1.205E1,-2.199999995371E1)); +#12276=CARTESIAN_POINT('',(3.529687364248E1,1.205E1,-2.2E1)); +#12277=VERTEX_POINT('',#12275); +#12278=VERTEX_POINT('',#12276); +#12279=CARTESIAN_POINT('',(3.345E1,1.605E1,-2.15E1)); +#12280=CARTESIAN_POINT('',(3.836666082772E1,1.195909092237E1,-2.15E1)); +#12281=VERTEX_POINT('',#12279); +#12282=VERTEX_POINT('',#12280); +#12283=CARTESIAN_POINT('',(3.345E1,1.555E1,-2.2E1)); +#12284=VERTEX_POINT('',#12283); +#12285=CARTESIAN_POINT('',(-3.345E1,1.555E1,-2.2E1)); +#12286=VERTEX_POINT('',#12285); +#12287=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.15E1)); +#12288=VERTEX_POINT('',#12287); +#12289=CARTESIAN_POINT('',(-3.783748215479E1,1.205000017069E1,-2.2E1)); +#12290=VERTEX_POINT('',#12289); +#12291=CARTESIAN_POINT('',(-3.836666082823E1,1.195909091963E1,-2.15E1)); +#12292=VERTEX_POINT('',#12291); +#12293=CARTESIAN_POINT('',(-3.529687364248E1,1.205E1,-2.2E1)); +#12294=VERTEX_POINT('',#12293); +#12295=CARTESIAN_POINT('',(-3.529687364248E1,1.155E1,-2.25E1)); +#12296=CARTESIAN_POINT('',(-3.783748219369E1,1.155000010615E1,-2.25E1)); +#12297=VERTEX_POINT('',#12295); +#12298=VERTEX_POINT('',#12296); +#12299=VERTEX_POINT('',#5874); +#12300=VERTEX_POINT('',#4530); +#12301=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.236602540378E1)); +#12302=VERTEX_POINT('',#12301); +#12303=CARTESIAN_POINT('',(3.845E1,-6.55E0,-2.236602540378E1)); +#12304=VERTEX_POINT('',#12303); +#12305=CARTESIAN_POINT('',(3.845E1,-8.05E0,-2.236602540378E1)); +#12306=VERTEX_POINT('',#12305); +#12307=CARTESIAN_POINT('',(-3.845E1,1.005E1,-2.236602540378E1)); +#12308=VERTEX_POINT('',#12307); +#12309=CARTESIAN_POINT('',(-3.845E1,-8.05E0,-2.236602540378E1)); +#12310=VERTEX_POINT('',#12309); +#12311=CARTESIAN_POINT('',(-3.845E1,-6.55E0,-2.236602540378E1)); +#12312=VERTEX_POINT('',#12311); +#12313=CARTESIAN_POINT('',(3.641490763123E1,1.005E1,-2.85E1)); +#12314=VERTEX_POINT('',#12313); +#12315=CARTESIAN_POINT('',(3.641490763123E1,1.155E1,-2.85E1)); +#12316=VERTEX_POINT('',#12315); +#12317=CARTESIAN_POINT('',(3.394804379415E1,9.706245411632E0,-2.85E1)); +#12318=CARTESIAN_POINT('',(3.394804173044E1,1.189375883E1,-2.85E1)); +#12319=VERTEX_POINT('',#12317); +#12320=VERTEX_POINT('',#12318); +#12321=CARTESIAN_POINT('',(3.439765523186E1,1.21125E1,-2.8E1)); +#12322=CARTESIAN_POINT('',(3.439765523186E1,9.4875E0,-2.8E1)); +#12323=VERTEX_POINT('',#12321); +#12324=VERTEX_POINT('',#12322); +#12325=CARTESIAN_POINT('',(-3.32E1,-1.03E1,-2.8E1)); +#12326=CARTESIAN_POINT('',(-3.589765523186E1,-8.6125E0,-2.8E1)); +#12327=VERTEX_POINT('',#12325); +#12328=VERTEX_POINT('',#12326); +#12329=CARTESIAN_POINT('',(-3.32E1,-9.8E0,-2.85E1)); +#12330=CARTESIAN_POINT('',(-3.544804602654E1,-8.393750000019E0,-2.85E1)); +#12331=VERTEX_POINT('',#12329); +#12332=VERTEX_POINT('',#12330); +#12333=CARTESIAN_POINT('',(-3.075E1,-9.8E0,-2.85E1)); +#12334=VERTEX_POINT('',#12333); +#12335=CARTESIAN_POINT('',(-3.075E1,-1.03E1,-2.8E1)); +#12336=VERTEX_POINT('',#12335); +#12337=CARTESIAN_POINT('',(3.32E1,-1.03E1,-2.8E1)); +#12338=CARTESIAN_POINT('',(3.075E1,-1.03E1,-2.8E1)); +#12339=VERTEX_POINT('',#12337); +#12340=VERTEX_POINT('',#12338); +#12341=CARTESIAN_POINT('',(3.32E1,-9.8E0,-2.85E1)); +#12342=CARTESIAN_POINT('',(3.075E1,-9.8E0,-2.85E1)); +#12343=VERTEX_POINT('',#12341); +#12344=VERTEX_POINT('',#12342); +#12345=CARTESIAN_POINT('',(3.544804602654E1,-8.393750000018E0,-2.85E1)); +#12346=VERTEX_POINT('',#12345); +#12347=CARTESIAN_POINT('',(3.589765523186E1,-8.6125E0,-2.8E1)); +#12348=VERTEX_POINT('',#12347); +#12349=CARTESIAN_POINT('',(3.791490763123E1,-8.05E0,-2.85E1)); +#12350=VERTEX_POINT('',#12349); +#12351=CARTESIAN_POINT('',(3.791490763123E1,-6.55E0,-2.85E1)); +#12352=VERTEX_POINT('',#12351); +#12353=CARTESIAN_POINT('',(3.32E1,-1.03E1,-2.25E1)); +#12354=VERTEX_POINT('',#12353); +#12355=CARTESIAN_POINT('',(3.075E1,-1.03E1,-2.25E1)); +#12356=VERTEX_POINT('',#12355); +#12357=CARTESIAN_POINT('',(3.075E1,-6.802506281446E0,-2.85E1)); +#12358=VERTEX_POINT('',#12357); +#12359=CARTESIAN_POINT('',(-3.075E1,-6.802506281447E0,-2.85E1)); +#12360=VERTEX_POINT('',#12359); +#12361=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-2.8E1)); +#12362=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-2.25E1)); +#12363=VERTEX_POINT('',#12361); +#12364=VERTEX_POINT('',#12362); +#12365=CARTESIAN_POINT('',(-3.641490763123E1,1.005E1,-2.85E1)); +#12366=VERTEX_POINT('',#12365); +#12367=CARTESIAN_POINT('',(-3.791490763123E1,-8.05E0,-2.85E1)); +#12368=VERTEX_POINT('',#12367); +#12369=CARTESIAN_POINT('',(-3.791490763123E1,-6.55E0,-2.85E1)); +#12370=VERTEX_POINT('',#12369); +#12371=CARTESIAN_POINT('',(-3.075E1,-1.03E1,-2.25E1)); +#12372=VERTEX_POINT('',#12371); +#12373=CARTESIAN_POINT('',(-3.32E1,-1.03E1,-2.25E1)); +#12374=VERTEX_POINT('',#12373); +#12375=CARTESIAN_POINT('',(-3.641490763123E1,1.155E1,-2.85E1)); +#12376=VERTEX_POINT('',#12375); +#12377=CARTESIAN_POINT('',(-3.075E1,-5.568671030682E0,-2.8E1)); +#12378=CARTESIAN_POINT('',(-3.075E1,-5.568671030682E0,-2.25E1)); +#12379=VERTEX_POINT('',#12377); +#12380=VERTEX_POINT('',#12378); +#12381=CARTESIAN_POINT('',(3.544804602655E1,-6.206249999985E0,-2.85E1)); +#12382=VERTEX_POINT('',#12381); +#12383=CARTESIAN_POINT('',(3.589765523186E1,-5.9875E0,-2.8E1)); +#12384=VERTEX_POINT('',#12383); +#12385=CARTESIAN_POINT('',(-3.544804602655E1,-6.206249999984E0,-2.85E1)); +#12386=VERTEX_POINT('',#12385); +#12387=CARTESIAN_POINT('',(-3.589765523186E1,-5.9875E0,-2.8E1)); +#12388=VERTEX_POINT('',#12387); +#12389=CARTESIAN_POINT('',(-3.394804379415E1,1.189375458837E1,-2.85E1)); +#12390=CARTESIAN_POINT('',(-3.394804173044E1,9.70624117E0,-2.85E1)); +#12391=VERTEX_POINT('',#12389); +#12392=VERTEX_POINT('',#12390); +#12393=CARTESIAN_POINT('',(-3.439765523186E1,9.4875E0,-2.8E1)); +#12394=CARTESIAN_POINT('',(-3.439765523186E1,1.21125E1,-2.8E1)); +#12395=VERTEX_POINT('',#12393); +#12396=VERTEX_POINT('',#12394); +#12397=CARTESIAN_POINT('',(-3.075E1,-5.568671030682E0,-3.26E1)); +#12398=VERTEX_POINT('',#12397); +#12399=VERTEX_POINT('',#4765); +#12400=VERTEX_POINT('',#4912); +#12401=CARTESIAN_POINT('',(-3.075E1,-1.08E1,-2.2E1)); +#12402=VERTEX_POINT('',#12401); +#12403=CARTESIAN_POINT('',(-3.32E1,-1.08E1,-2.2E1)); +#12404=VERTEX_POINT('',#12403); +#12405=CARTESIAN_POINT('',(-3.634727983448E1,-8.831218352643E0,-2.2E1)); +#12406=VERTEX_POINT('',#12405); +#12407=CARTESIAN_POINT('',(-3.589765523186E1,-8.6125E0,-2.25E1)); +#12408=VERTEX_POINT('',#12407); +#12409=CARTESIAN_POINT('',(3.075E1,-1.08E1,-2.2E1)); +#12410=VERTEX_POINT('',#12409); +#12411=CARTESIAN_POINT('',(3.32E1,-1.08E1,-2.2E1)); +#12412=VERTEX_POINT('',#12411); +#12413=CARTESIAN_POINT('',(3.589765523186E1,-8.6125E0,-2.25E1)); +#12414=VERTEX_POINT('',#12413); +#12415=CARTESIAN_POINT('',(3.634725238024E1,-8.831274781046E0,-2.2E1)); +#12416=VERTEX_POINT('',#12415); +#12417=CARTESIAN_POINT('',(-3.589765523186E1,-5.9875E0,-2.25E1)); +#12418=VERTEX_POINT('',#12417); +#12419=CARTESIAN_POINT('',(-3.634721205941E1,-5.768642349705E0,-2.2E1)); +#12420=VERTEX_POINT('',#12419); +#12421=CARTESIAN_POINT('',(-3.439765523186E1,1.21125E1,-2.25E1)); +#12422=CARTESIAN_POINT('',(-3.439765523186E1,9.4875E0,-2.25E1)); +#12423=VERTEX_POINT('',#12421); +#12424=VERTEX_POINT('',#12422); +#12425=CARTESIAN_POINT('',(-3.484728005855E1,9.268782107902E0,-2.2E1)); +#12426=CARTESIAN_POINT('',(-3.484726174698E1,1.233125552929E1,-2.2E1)); +#12427=VERTEX_POINT('',#12425); +#12428=VERTEX_POINT('',#12426); +#12429=CARTESIAN_POINT('',(3.439765523186E1,9.4875E0,-2.25E1)); +#12430=CARTESIAN_POINT('',(3.439765523186E1,1.21125E1,-2.25E1)); +#12431=VERTEX_POINT('',#12429); +#12432=VERTEX_POINT('',#12430); +#12433=CARTESIAN_POINT('',(3.484728005855E1,1.233121789210E1,-2.2E1)); +#12434=CARTESIAN_POINT('',(3.484726174698E1,9.268744470707E0,-2.2E1)); +#12435=VERTEX_POINT('',#12433); +#12436=VERTEX_POINT('',#12434); +#12437=CARTESIAN_POINT('',(3.589765523186E1,-5.9875E0,-2.25E1)); +#12438=VERTEX_POINT('',#12437); +#12439=CARTESIAN_POINT('',(3.634716599E1,-5.768547672502E0,-2.2E1)); +#12440=VERTEX_POINT('',#12439); +#12441=CARTESIAN_POINT('',(-3.025E1,-5.568671030682E0,-3.31E1)); +#12442=VERTEX_POINT('',#12441); +#12443=CARTESIAN_POINT('',(-3.075E1,-7.218671030682E0,-3.26E1)); +#12444=VERTEX_POINT('',#12443); +#12445=CARTESIAN_POINT('',(-3.025E1,-7.218671030682E0,-3.31E1)); +#12446=VERTEX_POINT('',#12445); +#12447=CARTESIAN_POINT('',(-3.679687364248E1,-8.05E0,-2.8E1)); +#12448=VERTEX_POINT('',#12447); +#12449=CARTESIAN_POINT('',(3.679687364248E1,-8.05E0,-2.8E1)); +#12450=VERTEX_POINT('',#12449); +#12451=CARTESIAN_POINT('',(3.679687364248E1,-6.55E0,-2.8E1)); +#12452=VERTEX_POINT('',#12451); +#12453=CARTESIAN_POINT('',(3.529687364248E1,1.005E1,-2.8E1)); +#12454=VERTEX_POINT('',#12453); +#12455=CARTESIAN_POINT('',(3.529687364248E1,1.155E1,-2.8E1)); +#12456=VERTEX_POINT('',#12455); +#12457=CARTESIAN_POINT('',(-3.529687364248E1,1.155E1,-2.8E1)); +#12458=VERTEX_POINT('',#12457); +#12459=CARTESIAN_POINT('',(-3.529687364248E1,1.005E1,-2.8E1)); +#12460=VERTEX_POINT('',#12459); +#12461=CARTESIAN_POINT('',(-3.679687364248E1,-6.55E0,-2.8E1)); +#12462=VERTEX_POINT('',#12461); +#12463=CARTESIAN_POINT('',(2.32E1,7.E0,-2.5E1)); +#12464=CARTESIAN_POINT('',(2.32E1,7.E0,-2.2E1)); +#12465=VERTEX_POINT('',#12463); +#12466=VERTEX_POINT('',#12464); +#12467=VERTEX_POINT('',#7220); +#12468=CARTESIAN_POINT('',(2.47E1,5.5E0,-2.2E1)); +#12469=VERTEX_POINT('',#12468); +#12470=VERTEX_POINT('',#7222); +#12471=VERTEX_POINT('',#7237); +#12472=CARTESIAN_POINT('',(-2.32E1,7.E0,-2.2E1)); +#12473=VERTEX_POINT('',#12472); +#12474=CARTESIAN_POINT('',(-2.47E1,5.5E0,-2.2E1)); +#12475=VERTEX_POINT('',#12474); +#12476=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#12477=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12478=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12479=AXIS2_PLACEMENT_3D('',#12476,#12477,#12478); +#12480=PLANE('',#12479); +#12482=ORIENTED_EDGE('',*,*,#12481,.F.); +#12484=ORIENTED_EDGE('',*,*,#12483,.F.); +#12486=ORIENTED_EDGE('',*,*,#12485,.F.); +#12488=ORIENTED_EDGE('',*,*,#12487,.F.); +#12490=ORIENTED_EDGE('',*,*,#12489,.F.); +#12492=ORIENTED_EDGE('',*,*,#12491,.F.); +#12494=ORIENTED_EDGE('',*,*,#12493,.F.); +#12496=ORIENTED_EDGE('',*,*,#12495,.F.); +#12498=ORIENTED_EDGE('',*,*,#12497,.F.); +#12500=ORIENTED_EDGE('',*,*,#12499,.F.); +#12502=ORIENTED_EDGE('',*,*,#12501,.F.); +#12504=ORIENTED_EDGE('',*,*,#12503,.T.); +#12506=ORIENTED_EDGE('',*,*,#12505,.F.); +#12508=ORIENTED_EDGE('',*,*,#12507,.F.); +#12510=ORIENTED_EDGE('',*,*,#12509,.F.); +#12512=ORIENTED_EDGE('',*,*,#12511,.T.); +#12513=EDGE_LOOP('',(#12482,#12484,#12486,#12488,#12490,#12492,#12494,#12496, +#12498,#12500,#12502,#12504,#12506,#12508,#12510,#12512)); +#12514=FACE_OUTER_BOUND('',#12513,.F.); +#12516=ORIENTED_EDGE('',*,*,#12515,.T.); +#12518=ORIENTED_EDGE('',*,*,#12517,.T.); +#12520=ORIENTED_EDGE('',*,*,#12519,.T.); +#12522=ORIENTED_EDGE('',*,*,#12521,.T.); +#12524=ORIENTED_EDGE('',*,*,#12523,.T.); +#12526=ORIENTED_EDGE('',*,*,#12525,.T.); +#12528=ORIENTED_EDGE('',*,*,#12527,.T.); +#12530=ORIENTED_EDGE('',*,*,#12529,.T.); +#12531=EDGE_LOOP('',(#12516,#12518,#12520,#12522,#12524,#12526,#12528,#12530)); +#12532=FACE_BOUND('',#12531,.F.); +#12534=CARTESIAN_POINT('',(2.35E1,5.6E0,-1.E0)); +#12535=DIRECTION('',(0.E0,0.E0,1.E0)); +#12536=DIRECTION('',(1.E0,0.E0,0.E0)); +#12537=AXIS2_PLACEMENT_3D('',#12534,#12535,#12536); +#12538=CONICAL_SURFACE('',#12537,4.967949192431E0,1.5E1); +#12539=ORIENTED_EDGE('',*,*,#12515,.F.); +#12541=ORIENTED_EDGE('',*,*,#12540,.T.); +#12543=ORIENTED_EDGE('',*,*,#12542,.T.); +#12545=ORIENTED_EDGE('',*,*,#12544,.F.); +#12546=EDGE_LOOP('',(#12539,#12541,#12543,#12545)); +#12547=FACE_OUTER_BOUND('',#12546,.F.); +#12549=CARTESIAN_POINT('',(2.873589838486E1,-5.6E0,0.E0)); +#12550=DIRECTION('',(-9.659258262891E-1,0.E0,2.588190451025E-1)); +#12551=DIRECTION('',(0.E0,1.E0,0.E0)); +#12552=AXIS2_PLACEMENT_3D('',#12549,#12550,#12551); +#12553=PLANE('',#12552); +#12554=ORIENTED_EDGE('',*,*,#12529,.F.); +#12556=ORIENTED_EDGE('',*,*,#12555,.T.); +#12558=ORIENTED_EDGE('',*,*,#12557,.T.); +#12559=ORIENTED_EDGE('',*,*,#12540,.F.); +#12560=EDGE_LOOP('',(#12554,#12556,#12558,#12559)); +#12561=FACE_OUTER_BOUND('',#12560,.F.); +#12563=CARTESIAN_POINT('',(2.35E1,-5.6E0,-1.E0)); +#12564=DIRECTION('',(0.E0,0.E0,1.E0)); +#12565=DIRECTION('',(1.E0,0.E0,0.E0)); +#12566=AXIS2_PLACEMENT_3D('',#12563,#12564,#12565); +#12567=CONICAL_SURFACE('',#12566,4.967949192431E0,1.5E1); +#12568=ORIENTED_EDGE('',*,*,#12527,.F.); +#12570=ORIENTED_EDGE('',*,*,#12569,.T.); +#12572=ORIENTED_EDGE('',*,*,#12571,.T.); +#12573=ORIENTED_EDGE('',*,*,#12555,.F.); +#12574=EDGE_LOOP('',(#12568,#12570,#12572,#12573)); +#12575=FACE_OUTER_BOUND('',#12574,.F.); +#12577=CARTESIAN_POINT('',(-2.35E1,-1.083589838486E1,0.E0)); +#12578=DIRECTION('',(0.E0,9.659258262891E-1,2.588190451025E-1)); +#12579=DIRECTION('',(1.E0,0.E0,0.E0)); +#12580=AXIS2_PLACEMENT_3D('',#12577,#12578,#12579); +#12581=PLANE('',#12580); +#12582=ORIENTED_EDGE('',*,*,#12525,.F.); +#12584=ORIENTED_EDGE('',*,*,#12583,.T.); +#12586=ORIENTED_EDGE('',*,*,#12585,.T.); +#12587=ORIENTED_EDGE('',*,*,#12569,.F.); +#12588=EDGE_LOOP('',(#12582,#12584,#12586,#12587)); +#12589=FACE_OUTER_BOUND('',#12588,.F.); +#12591=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-1.E0)); +#12592=DIRECTION('',(0.E0,0.E0,1.E0)); +#12593=DIRECTION('',(1.E0,0.E0,0.E0)); +#12594=AXIS2_PLACEMENT_3D('',#12591,#12592,#12593); +#12595=CONICAL_SURFACE('',#12594,4.967949192431E0,1.5E1); +#12596=ORIENTED_EDGE('',*,*,#12523,.F.); +#12598=ORIENTED_EDGE('',*,*,#12597,.T.); +#12600=ORIENTED_EDGE('',*,*,#12599,.T.); +#12601=ORIENTED_EDGE('',*,*,#12583,.F.); +#12602=EDGE_LOOP('',(#12596,#12598,#12600,#12601)); +#12603=FACE_OUTER_BOUND('',#12602,.F.); +#12605=CARTESIAN_POINT('',(-2.873589838486E1,5.6E0,0.E0)); +#12606=DIRECTION('',(9.659258262891E-1,0.E0,2.588190451025E-1)); +#12607=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12608=AXIS2_PLACEMENT_3D('',#12605,#12606,#12607); +#12609=PLANE('',#12608); +#12610=ORIENTED_EDGE('',*,*,#12521,.F.); +#12612=ORIENTED_EDGE('',*,*,#12611,.T.); +#12614=ORIENTED_EDGE('',*,*,#12613,.T.); +#12615=ORIENTED_EDGE('',*,*,#12597,.F.); +#12616=EDGE_LOOP('',(#12610,#12612,#12614,#12615)); +#12617=FACE_OUTER_BOUND('',#12616,.F.); +#12619=CARTESIAN_POINT('',(-2.35E1,5.6E0,-1.E0)); +#12620=DIRECTION('',(0.E0,0.E0,1.E0)); +#12621=DIRECTION('',(1.E0,0.E0,0.E0)); +#12622=AXIS2_PLACEMENT_3D('',#12619,#12620,#12621); +#12623=CONICAL_SURFACE('',#12622,4.967949192431E0,1.5E1); +#12624=ORIENTED_EDGE('',*,*,#12519,.F.); +#12626=ORIENTED_EDGE('',*,*,#12625,.T.); +#12628=ORIENTED_EDGE('',*,*,#12627,.T.); +#12629=ORIENTED_EDGE('',*,*,#12611,.F.); +#12630=EDGE_LOOP('',(#12624,#12626,#12628,#12629)); +#12631=FACE_OUTER_BOUND('',#12630,.F.); +#12633=CARTESIAN_POINT('',(2.35E1,1.083589838486E1,0.E0)); +#12634=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); +#12635=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12636=AXIS2_PLACEMENT_3D('',#12633,#12634,#12635); +#12637=PLANE('',#12636); +#12638=ORIENTED_EDGE('',*,*,#12517,.F.); +#12639=ORIENTED_EDGE('',*,*,#12544,.T.); +#12641=ORIENTED_EDGE('',*,*,#12640,.T.); +#12642=ORIENTED_EDGE('',*,*,#12625,.F.); +#12643=EDGE_LOOP('',(#12638,#12639,#12641,#12642)); +#12644=FACE_OUTER_BOUND('',#12643,.F.); +#12646=CARTESIAN_POINT('',(2.35E1,1.03E1,0.E0)); +#12647=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12648=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12649=AXIS2_PLACEMENT_3D('',#12646,#12647,#12648); +#12650=PLANE('',#12649); +#12652=ORIENTED_EDGE('',*,*,#12651,.F.); +#12654=ORIENTED_EDGE('',*,*,#12653,.F.); +#12656=ORIENTED_EDGE('',*,*,#12655,.T.); +#12658=ORIENTED_EDGE('',*,*,#12657,.T.); +#12660=ORIENTED_EDGE('',*,*,#12659,.T.); +#12662=ORIENTED_EDGE('',*,*,#12661,.F.); +#12664=ORIENTED_EDGE('',*,*,#12663,.T.); +#12666=ORIENTED_EDGE('',*,*,#12665,.T.); +#12668=ORIENTED_EDGE('',*,*,#12667,.T.); +#12670=ORIENTED_EDGE('',*,*,#12669,.F.); +#12672=ORIENTED_EDGE('',*,*,#12671,.T.); +#12674=ORIENTED_EDGE('',*,*,#12673,.T.); +#12676=ORIENTED_EDGE('',*,*,#12675,.T.); +#12678=ORIENTED_EDGE('',*,*,#12677,.F.); +#12680=ORIENTED_EDGE('',*,*,#12679,.T.); +#12682=ORIENTED_EDGE('',*,*,#12681,.T.); +#12684=ORIENTED_EDGE('',*,*,#12683,.T.); +#12686=ORIENTED_EDGE('',*,*,#12685,.F.); +#12688=ORIENTED_EDGE('',*,*,#12687,.T.); +#12690=ORIENTED_EDGE('',*,*,#12689,.T.); +#12692=ORIENTED_EDGE('',*,*,#12691,.T.); +#12694=ORIENTED_EDGE('',*,*,#12693,.F.); +#12696=ORIENTED_EDGE('',*,*,#12695,.T.); +#12698=ORIENTED_EDGE('',*,*,#12697,.T.); +#12700=ORIENTED_EDGE('',*,*,#12699,.T.); +#12702=ORIENTED_EDGE('',*,*,#12701,.F.); +#12704=ORIENTED_EDGE('',*,*,#12703,.T.); +#12706=ORIENTED_EDGE('',*,*,#12705,.F.); +#12707=ORIENTED_EDGE('',*,*,#12640,.F.); +#12709=ORIENTED_EDGE('',*,*,#12708,.T.); +#12711=ORIENTED_EDGE('',*,*,#12710,.T.); +#12713=ORIENTED_EDGE('',*,*,#12712,.T.); +#12715=ORIENTED_EDGE('',*,*,#12714,.T.); +#12717=ORIENTED_EDGE('',*,*,#12716,.F.); +#12719=ORIENTED_EDGE('',*,*,#12718,.T.); +#12721=ORIENTED_EDGE('',*,*,#12720,.T.); +#12723=ORIENTED_EDGE('',*,*,#12722,.T.); +#12725=ORIENTED_EDGE('',*,*,#12724,.F.); +#12727=ORIENTED_EDGE('',*,*,#12726,.T.); +#12729=ORIENTED_EDGE('',*,*,#12728,.T.); +#12731=ORIENTED_EDGE('',*,*,#12730,.T.); +#12733=ORIENTED_EDGE('',*,*,#12732,.F.); +#12735=ORIENTED_EDGE('',*,*,#12734,.T.); +#12737=ORIENTED_EDGE('',*,*,#12736,.T.); +#12739=ORIENTED_EDGE('',*,*,#12738,.T.); +#12741=ORIENTED_EDGE('',*,*,#12740,.F.); +#12743=ORIENTED_EDGE('',*,*,#12742,.T.); +#12745=ORIENTED_EDGE('',*,*,#12744,.T.); +#12747=ORIENTED_EDGE('',*,*,#12746,.T.); +#12749=ORIENTED_EDGE('',*,*,#12748,.F.); +#12751=ORIENTED_EDGE('',*,*,#12750,.T.); +#12753=ORIENTED_EDGE('',*,*,#12752,.T.); +#12754=EDGE_LOOP('',(#12652,#12654,#12656,#12658,#12660,#12662,#12664,#12666, +#12668,#12670,#12672,#12674,#12676,#12678,#12680,#12682,#12684,#12686,#12688, +#12690,#12692,#12694,#12696,#12698,#12700,#12702,#12704,#12706,#12707,#12709, +#12711,#12713,#12715,#12717,#12719,#12721,#12723,#12725,#12727,#12729,#12731, +#12733,#12735,#12737,#12739,#12741,#12743,#12745,#12747,#12749,#12751,#12753)); +#12755=FACE_OUTER_BOUND('',#12754,.F.); +#12757=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12758=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12759=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12760=AXIS2_PLACEMENT_3D('',#12757,#12758,#12759); +#12761=PLANE('',#12760); +#12762=ORIENTED_EDGE('',*,*,#12714,.F.); +#12764=ORIENTED_EDGE('',*,*,#12763,.T.); +#12766=ORIENTED_EDGE('',*,*,#12765,.T.); +#12768=ORIENTED_EDGE('',*,*,#12767,.T.); +#12769=EDGE_LOOP('',(#12762,#12764,#12766,#12768)); +#12770=FACE_OUTER_BOUND('',#12769,.F.); +#12772=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12773=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12774=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12775=AXIS2_PLACEMENT_3D('',#12772,#12773,#12774); +#12776=PLANE('',#12775); +#12777=ORIENTED_EDGE('',*,*,#12722,.F.); +#12779=ORIENTED_EDGE('',*,*,#12778,.T.); +#12781=ORIENTED_EDGE('',*,*,#12780,.T.); +#12783=ORIENTED_EDGE('',*,*,#12782,.T.); +#12784=EDGE_LOOP('',(#12777,#12779,#12781,#12783)); +#12785=FACE_OUTER_BOUND('',#12784,.F.); +#12787=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12788=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12789=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12790=AXIS2_PLACEMENT_3D('',#12787,#12788,#12789); +#12791=PLANE('',#12790); +#12792=ORIENTED_EDGE('',*,*,#12730,.F.); +#12794=ORIENTED_EDGE('',*,*,#12793,.T.); +#12796=ORIENTED_EDGE('',*,*,#12795,.T.); +#12798=ORIENTED_EDGE('',*,*,#12797,.T.); +#12799=EDGE_LOOP('',(#12792,#12794,#12796,#12798)); +#12800=FACE_OUTER_BOUND('',#12799,.F.); +#12802=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12803=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12804=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12805=AXIS2_PLACEMENT_3D('',#12802,#12803,#12804); +#12806=PLANE('',#12805); +#12807=ORIENTED_EDGE('',*,*,#12738,.F.); +#12809=ORIENTED_EDGE('',*,*,#12808,.T.); +#12811=ORIENTED_EDGE('',*,*,#12810,.T.); +#12813=ORIENTED_EDGE('',*,*,#12812,.T.); +#12814=EDGE_LOOP('',(#12807,#12809,#12811,#12813)); +#12815=FACE_OUTER_BOUND('',#12814,.F.); +#12817=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12818=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12819=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12820=AXIS2_PLACEMENT_3D('',#12817,#12818,#12819); +#12821=PLANE('',#12820); +#12822=ORIENTED_EDGE('',*,*,#12746,.F.); +#12824=ORIENTED_EDGE('',*,*,#12823,.T.); +#12826=ORIENTED_EDGE('',*,*,#12825,.T.); +#12828=ORIENTED_EDGE('',*,*,#12827,.T.); +#12829=EDGE_LOOP('',(#12822,#12824,#12826,#12828)); +#12830=FACE_OUTER_BOUND('',#12829,.F.); +#12832=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12833=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12834=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12835=AXIS2_PLACEMENT_3D('',#12832,#12833,#12834); +#12836=PLANE('',#12835); +#12837=ORIENTED_EDGE('',*,*,#12659,.F.); +#12839=ORIENTED_EDGE('',*,*,#12838,.T.); +#12841=ORIENTED_EDGE('',*,*,#12840,.T.); +#12843=ORIENTED_EDGE('',*,*,#12842,.T.); +#12844=EDGE_LOOP('',(#12837,#12839,#12841,#12843)); +#12845=FACE_OUTER_BOUND('',#12844,.F.); +#12847=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12848=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12849=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12850=AXIS2_PLACEMENT_3D('',#12847,#12848,#12849); +#12851=PLANE('',#12850); +#12852=ORIENTED_EDGE('',*,*,#12667,.F.); +#12854=ORIENTED_EDGE('',*,*,#12853,.T.); +#12856=ORIENTED_EDGE('',*,*,#12855,.T.); +#12858=ORIENTED_EDGE('',*,*,#12857,.T.); +#12859=EDGE_LOOP('',(#12852,#12854,#12856,#12858)); +#12860=FACE_OUTER_BOUND('',#12859,.F.); +#12862=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12863=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12864=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12865=AXIS2_PLACEMENT_3D('',#12862,#12863,#12864); +#12866=PLANE('',#12865); +#12867=ORIENTED_EDGE('',*,*,#12675,.F.); +#12869=ORIENTED_EDGE('',*,*,#12868,.T.); +#12871=ORIENTED_EDGE('',*,*,#12870,.T.); +#12873=ORIENTED_EDGE('',*,*,#12872,.T.); +#12874=EDGE_LOOP('',(#12867,#12869,#12871,#12873)); +#12875=FACE_OUTER_BOUND('',#12874,.F.); +#12877=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12878=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12879=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12880=AXIS2_PLACEMENT_3D('',#12877,#12878,#12879); +#12881=PLANE('',#12880); +#12882=ORIENTED_EDGE('',*,*,#12683,.F.); +#12884=ORIENTED_EDGE('',*,*,#12883,.T.); +#12886=ORIENTED_EDGE('',*,*,#12885,.T.); +#12888=ORIENTED_EDGE('',*,*,#12887,.T.); +#12889=EDGE_LOOP('',(#12882,#12884,#12886,#12888)); +#12890=FACE_OUTER_BOUND('',#12889,.F.); +#12892=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12893=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12894=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12895=AXIS2_PLACEMENT_3D('',#12892,#12893,#12894); +#12896=PLANE('',#12895); +#12897=ORIENTED_EDGE('',*,*,#12691,.F.); +#12899=ORIENTED_EDGE('',*,*,#12898,.T.); +#12901=ORIENTED_EDGE('',*,*,#12900,.T.); +#12903=ORIENTED_EDGE('',*,*,#12902,.T.); +#12904=EDGE_LOOP('',(#12897,#12899,#12901,#12903)); +#12905=FACE_OUTER_BOUND('',#12904,.F.); +#12907=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12908=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12909=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12910=AXIS2_PLACEMENT_3D('',#12907,#12908,#12909); +#12911=PLANE('',#12910); +#12912=ORIENTED_EDGE('',*,*,#12699,.F.); +#12914=ORIENTED_EDGE('',*,*,#12913,.T.); +#12916=ORIENTED_EDGE('',*,*,#12915,.T.); +#12918=ORIENTED_EDGE('',*,*,#12917,.T.); +#12919=EDGE_LOOP('',(#12912,#12914,#12916,#12918)); +#12920=FACE_OUTER_BOUND('',#12919,.F.); +#12922=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12923=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12924=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12925=AXIS2_PLACEMENT_3D('',#12922,#12923,#12924); +#12926=PLANE('',#12925); +#12928=ORIENTED_EDGE('',*,*,#12927,.F.); +#12930=ORIENTED_EDGE('',*,*,#12929,.T.); +#12932=ORIENTED_EDGE('',*,*,#12931,.T.); +#12934=ORIENTED_EDGE('',*,*,#12933,.T.); +#12935=EDGE_LOOP('',(#12928,#12930,#12932,#12934)); +#12936=FACE_OUTER_BOUND('',#12935,.F.); +#12938=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12939=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12940=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12941=AXIS2_PLACEMENT_3D('',#12938,#12939,#12940); +#12942=PLANE('',#12941); +#12944=ORIENTED_EDGE('',*,*,#12943,.F.); +#12946=ORIENTED_EDGE('',*,*,#12945,.T.); +#12948=ORIENTED_EDGE('',*,*,#12947,.T.); +#12950=ORIENTED_EDGE('',*,*,#12949,.T.); +#12951=EDGE_LOOP('',(#12944,#12946,#12948,#12950)); +#12952=FACE_OUTER_BOUND('',#12951,.F.); +#12954=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12955=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12956=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12957=AXIS2_PLACEMENT_3D('',#12954,#12955,#12956); +#12958=PLANE('',#12957); +#12960=ORIENTED_EDGE('',*,*,#12959,.F.); +#12962=ORIENTED_EDGE('',*,*,#12961,.T.); +#12964=ORIENTED_EDGE('',*,*,#12963,.T.); +#12966=ORIENTED_EDGE('',*,*,#12965,.T.); +#12967=EDGE_LOOP('',(#12960,#12962,#12964,#12966)); +#12968=FACE_OUTER_BOUND('',#12967,.F.); +#12970=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12971=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12972=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12973=AXIS2_PLACEMENT_3D('',#12970,#12971,#12972); +#12974=PLANE('',#12973); +#12976=ORIENTED_EDGE('',*,*,#12975,.F.); +#12978=ORIENTED_EDGE('',*,*,#12977,.T.); +#12980=ORIENTED_EDGE('',*,*,#12979,.T.); +#12982=ORIENTED_EDGE('',*,*,#12981,.T.); +#12983=EDGE_LOOP('',(#12976,#12978,#12980,#12982)); +#12984=FACE_OUTER_BOUND('',#12983,.F.); +#12986=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#12987=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12988=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12989=AXIS2_PLACEMENT_3D('',#12986,#12987,#12988); +#12990=PLANE('',#12989); +#12992=ORIENTED_EDGE('',*,*,#12991,.F.); +#12994=ORIENTED_EDGE('',*,*,#12993,.T.); +#12996=ORIENTED_EDGE('',*,*,#12995,.T.); +#12998=ORIENTED_EDGE('',*,*,#12997,.T.); +#12999=EDGE_LOOP('',(#12992,#12994,#12996,#12998)); +#13000=FACE_OUTER_BOUND('',#12999,.F.); +#13002=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13003=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13004=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13005=AXIS2_PLACEMENT_3D('',#13002,#13003,#13004); +#13006=PLANE('',#13005); +#13008=ORIENTED_EDGE('',*,*,#13007,.F.); +#13010=ORIENTED_EDGE('',*,*,#13009,.T.); +#13012=ORIENTED_EDGE('',*,*,#13011,.T.); +#13014=ORIENTED_EDGE('',*,*,#13013,.T.); +#13015=EDGE_LOOP('',(#13008,#13010,#13012,#13014)); +#13016=FACE_OUTER_BOUND('',#13015,.F.); +#13018=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13019=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13020=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13021=AXIS2_PLACEMENT_3D('',#13018,#13019,#13020); +#13022=PLANE('',#13021); +#13024=ORIENTED_EDGE('',*,*,#13023,.F.); +#13026=ORIENTED_EDGE('',*,*,#13025,.T.); +#13028=ORIENTED_EDGE('',*,*,#13027,.T.); +#13030=ORIENTED_EDGE('',*,*,#13029,.T.); +#13031=EDGE_LOOP('',(#13024,#13026,#13028,#13030)); +#13032=FACE_OUTER_BOUND('',#13031,.F.); +#13034=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13035=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13036=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13037=AXIS2_PLACEMENT_3D('',#13034,#13035,#13036); +#13038=PLANE('',#13037); +#13040=ORIENTED_EDGE('',*,*,#13039,.F.); +#13042=ORIENTED_EDGE('',*,*,#13041,.T.); +#13044=ORIENTED_EDGE('',*,*,#13043,.T.); +#13046=ORIENTED_EDGE('',*,*,#13045,.T.); +#13047=EDGE_LOOP('',(#13040,#13042,#13044,#13046)); +#13048=FACE_OUTER_BOUND('',#13047,.F.); +#13050=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13051=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13052=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13053=AXIS2_PLACEMENT_3D('',#13050,#13051,#13052); +#13054=PLANE('',#13053); +#13056=ORIENTED_EDGE('',*,*,#13055,.F.); +#13058=ORIENTED_EDGE('',*,*,#13057,.T.); +#13060=ORIENTED_EDGE('',*,*,#13059,.T.); +#13062=ORIENTED_EDGE('',*,*,#13061,.T.); +#13063=EDGE_LOOP('',(#13056,#13058,#13060,#13062)); +#13064=FACE_OUTER_BOUND('',#13063,.F.); +#13066=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13067=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13068=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13069=AXIS2_PLACEMENT_3D('',#13066,#13067,#13068); +#13070=PLANE('',#13069); +#13072=ORIENTED_EDGE('',*,*,#13071,.F.); +#13074=ORIENTED_EDGE('',*,*,#13073,.T.); +#13076=ORIENTED_EDGE('',*,*,#13075,.T.); +#13078=ORIENTED_EDGE('',*,*,#13077,.T.); +#13079=EDGE_LOOP('',(#13072,#13074,#13076,#13078)); +#13080=FACE_OUTER_BOUND('',#13079,.F.); +#13082=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13083=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13084=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13085=AXIS2_PLACEMENT_3D('',#13082,#13083,#13084); +#13086=PLANE('',#13085); +#13088=ORIENTED_EDGE('',*,*,#13087,.F.); +#13090=ORIENTED_EDGE('',*,*,#13089,.T.); +#13092=ORIENTED_EDGE('',*,*,#13091,.T.); +#13094=ORIENTED_EDGE('',*,*,#13093,.T.); +#13095=EDGE_LOOP('',(#13088,#13090,#13092,#13094)); +#13096=FACE_OUTER_BOUND('',#13095,.F.); +#13098=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13099=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13100=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13101=AXIS2_PLACEMENT_3D('',#13098,#13099,#13100); +#13102=PLANE('',#13101); +#13104=ORIENTED_EDGE('',*,*,#13103,.F.); +#13106=ORIENTED_EDGE('',*,*,#13105,.T.); +#13108=ORIENTED_EDGE('',*,*,#13107,.T.); +#13110=ORIENTED_EDGE('',*,*,#13109,.T.); +#13111=EDGE_LOOP('',(#13104,#13106,#13108,#13110)); +#13112=FACE_OUTER_BOUND('',#13111,.F.); +#13114=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13115=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13116=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13117=AXIS2_PLACEMENT_3D('',#13114,#13115,#13116); +#13118=PLANE('',#13117); +#13120=ORIENTED_EDGE('',*,*,#13119,.F.); +#13122=ORIENTED_EDGE('',*,*,#13121,.T.); +#13124=ORIENTED_EDGE('',*,*,#13123,.T.); +#13126=ORIENTED_EDGE('',*,*,#13125,.T.); +#13127=EDGE_LOOP('',(#13120,#13122,#13124,#13126)); +#13128=FACE_OUTER_BOUND('',#13127,.F.); +#13130=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13131=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13132=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13133=AXIS2_PLACEMENT_3D('',#13130,#13131,#13132); +#13134=PLANE('',#13133); +#13136=ORIENTED_EDGE('',*,*,#13135,.F.); +#13138=ORIENTED_EDGE('',*,*,#13137,.T.); +#13140=ORIENTED_EDGE('',*,*,#13139,.T.); +#13142=ORIENTED_EDGE('',*,*,#13141,.T.); +#13143=EDGE_LOOP('',(#13136,#13138,#13140,#13142)); +#13144=FACE_OUTER_BOUND('',#13143,.F.); +#13146=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13147=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13148=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13149=AXIS2_PLACEMENT_3D('',#13146,#13147,#13148); +#13150=PLANE('',#13149); +#13152=ORIENTED_EDGE('',*,*,#13151,.F.); +#13154=ORIENTED_EDGE('',*,*,#13153,.T.); +#13156=ORIENTED_EDGE('',*,*,#13155,.T.); +#13158=ORIENTED_EDGE('',*,*,#13157,.T.); +#13159=EDGE_LOOP('',(#13152,#13154,#13156,#13158)); +#13160=FACE_OUTER_BOUND('',#13159,.F.); +#13162=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13163=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13164=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13165=AXIS2_PLACEMENT_3D('',#13162,#13163,#13164); +#13166=PLANE('',#13165); +#13167=ORIENTED_EDGE('',*,*,#12651,.T.); +#13169=ORIENTED_EDGE('',*,*,#13168,.F.); +#13171=ORIENTED_EDGE('',*,*,#13170,.F.); +#13173=ORIENTED_EDGE('',*,*,#13172,.F.); +#13174=EDGE_LOOP('',(#13167,#13169,#13171,#13173)); +#13175=FACE_OUTER_BOUND('',#13174,.F.); +#13177=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.E1)); +#13178=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13179=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13180=AXIS2_PLACEMENT_3D('',#13177,#13178,#13179); +#13181=PLANE('',#13180); +#13183=ORIENTED_EDGE('',*,*,#13182,.T.); +#13185=ORIENTED_EDGE('',*,*,#13184,.F.); +#13187=ORIENTED_EDGE('',*,*,#13186,.F.); +#13189=ORIENTED_EDGE('',*,*,#13188,.F.); +#13190=EDGE_LOOP('',(#13183,#13185,#13187,#13189)); +#13191=FACE_OUTER_BOUND('',#13190,.F.); +#13193=CARTESIAN_POINT('',(1.975E1,1.03E1,-1.E1)); +#13194=DIRECTION('',(1.E0,0.E0,0.E0)); +#13195=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13196=AXIS2_PLACEMENT_3D('',#13193,#13194,#13195); +#13197=PLANE('',#13196); +#13198=ORIENTED_EDGE('',*,*,#12712,.F.); +#13200=ORIENTED_EDGE('',*,*,#13199,.T.); +#13202=ORIENTED_EDGE('',*,*,#13201,.F.); +#13203=ORIENTED_EDGE('',*,*,#12763,.F.); +#13204=EDGE_LOOP('',(#13198,#13200,#13202,#13203)); +#13205=FACE_OUTER_BOUND('',#13204,.F.); +#13207=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#13208=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13209=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13210=AXIS2_PLACEMENT_3D('',#13207,#13208,#13209); +#13211=PLANE('',#13210); +#13213=ORIENTED_EDGE('',*,*,#13212,.F.); +#13215=ORIENTED_EDGE('',*,*,#13214,.F.); +#13216=EDGE_LOOP('',(#13213,#13215)); +#13217=FACE_OUTER_BOUND('',#13216,.F.); +#13219=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#13220=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13221=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13222=AXIS2_PLACEMENT_3D('',#13219,#13220,#13221); +#13223=PLANE('',#13222); +#13225=ORIENTED_EDGE('',*,*,#13224,.F.); +#13227=ORIENTED_EDGE('',*,*,#13226,.F.); +#13228=EDGE_LOOP('',(#13225,#13227)); +#13229=FACE_OUTER_BOUND('',#13228,.F.); +#13231=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#13232=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13233=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13234=AXIS2_PLACEMENT_3D('',#13231,#13232,#13233); +#13235=PLANE('',#13234); +#13237=ORIENTED_EDGE('',*,*,#13236,.F.); +#13239=ORIENTED_EDGE('',*,*,#13238,.F.); +#13240=EDGE_LOOP('',(#13237,#13239)); +#13241=FACE_OUTER_BOUND('',#13240,.F.); +#13243=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#13244=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13245=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13246=AXIS2_PLACEMENT_3D('',#13243,#13244,#13245); +#13247=PLANE('',#13246); +#13249=ORIENTED_EDGE('',*,*,#13248,.F.); +#13251=ORIENTED_EDGE('',*,*,#13250,.F.); +#13252=EDGE_LOOP('',(#13249,#13251)); +#13253=FACE_OUTER_BOUND('',#13252,.F.); +#13255=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#13256=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13257=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13258=AXIS2_PLACEMENT_3D('',#13255,#13256,#13257); +#13259=PLANE('',#13258); +#13261=ORIENTED_EDGE('',*,*,#13260,.T.); +#13263=ORIENTED_EDGE('',*,*,#13262,.T.); +#13265=ORIENTED_EDGE('',*,*,#13264,.T.); +#13266=EDGE_LOOP('',(#13261,#13263,#13265)); +#13267=FACE_OUTER_BOUND('',#13266,.F.); +#13269=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#13270=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13271=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13272=AXIS2_PLACEMENT_3D('',#13269,#13270,#13271); +#13273=PLANE('',#13272); +#13275=ORIENTED_EDGE('',*,*,#13274,.T.); +#13277=ORIENTED_EDGE('',*,*,#13276,.T.); +#13279=ORIENTED_EDGE('',*,*,#13278,.T.); +#13281=ORIENTED_EDGE('',*,*,#13280,.T.); +#13283=ORIENTED_EDGE('',*,*,#13282,.T.); +#13285=ORIENTED_EDGE('',*,*,#13284,.T.); +#13287=ORIENTED_EDGE('',*,*,#13286,.T.); +#13289=ORIENTED_EDGE('',*,*,#13288,.T.); +#13290=EDGE_LOOP('',(#13275,#13277,#13279,#13281,#13283,#13285,#13287,#13289)); +#13291=FACE_OUTER_BOUND('',#13290,.F.); +#13293=ORIENTED_EDGE('',*,*,#13292,.F.); +#13295=ORIENTED_EDGE('',*,*,#13294,.T.); +#13297=ORIENTED_EDGE('',*,*,#13296,.T.); +#13299=ORIENTED_EDGE('',*,*,#13298,.T.); +#13301=ORIENTED_EDGE('',*,*,#13300,.T.); +#13303=ORIENTED_EDGE('',*,*,#13302,.T.); +#13305=ORIENTED_EDGE('',*,*,#13304,.F.); +#13307=ORIENTED_EDGE('',*,*,#13306,.F.); +#13309=ORIENTED_EDGE('',*,*,#13308,.F.); +#13311=ORIENTED_EDGE('',*,*,#13310,.F.); +#13313=ORIENTED_EDGE('',*,*,#13312,.T.); +#13315=ORIENTED_EDGE('',*,*,#13314,.T.); +#13317=ORIENTED_EDGE('',*,*,#13316,.T.); +#13319=ORIENTED_EDGE('',*,*,#13318,.T.); +#13321=ORIENTED_EDGE('',*,*,#13320,.F.); +#13323=ORIENTED_EDGE('',*,*,#13322,.F.); +#13325=ORIENTED_EDGE('',*,*,#13324,.F.); +#13327=ORIENTED_EDGE('',*,*,#13326,.T.); +#13329=ORIENTED_EDGE('',*,*,#13328,.T.); +#13331=ORIENTED_EDGE('',*,*,#13330,.T.); +#13333=ORIENTED_EDGE('',*,*,#13332,.T.); +#13335=ORIENTED_EDGE('',*,*,#13334,.F.); +#13337=ORIENTED_EDGE('',*,*,#13336,.F.); +#13339=ORIENTED_EDGE('',*,*,#13338,.F.); +#13341=ORIENTED_EDGE('',*,*,#13340,.F.); +#13343=ORIENTED_EDGE('',*,*,#13342,.T.); +#13345=ORIENTED_EDGE('',*,*,#13344,.T.); +#13347=ORIENTED_EDGE('',*,*,#13346,.T.); +#13349=ORIENTED_EDGE('',*,*,#13348,.T.); +#13351=ORIENTED_EDGE('',*,*,#13350,.T.); +#13353=ORIENTED_EDGE('',*,*,#13352,.F.); +#13355=ORIENTED_EDGE('',*,*,#13354,.F.); +#13356=EDGE_LOOP('',(#13293,#13295,#13297,#13299,#13301,#13303,#13305,#13307, +#13309,#13311,#13313,#13315,#13317,#13319,#13321,#13323,#13325,#13327,#13329, +#13331,#13333,#13335,#13337,#13339,#13341,#13343,#13345,#13347,#13349,#13351, +#13353,#13355)); +#13357=FACE_BOUND('',#13356,.F.); +#13359=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#13360=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13361=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13362=AXIS2_PLACEMENT_3D('',#13359,#13360,#13361); +#13363=PLANE('',#13362); +#13365=ORIENTED_EDGE('',*,*,#13364,.T.); +#13367=ORIENTED_EDGE('',*,*,#13366,.T.); +#13369=ORIENTED_EDGE('',*,*,#13368,.T.); +#13370=ORIENTED_EDGE('',*,*,#12750,.F.); +#13372=ORIENTED_EDGE('',*,*,#13371,.F.); +#13374=ORIENTED_EDGE('',*,*,#13373,.F.); +#13376=ORIENTED_EDGE('',*,*,#13375,.F.); +#13377=ORIENTED_EDGE('',*,*,#12742,.F.); +#13379=ORIENTED_EDGE('',*,*,#13378,.F.); +#13381=ORIENTED_EDGE('',*,*,#13380,.F.); +#13383=ORIENTED_EDGE('',*,*,#13382,.F.); +#13384=ORIENTED_EDGE('',*,*,#12734,.F.); +#13386=ORIENTED_EDGE('',*,*,#13385,.F.); +#13388=ORIENTED_EDGE('',*,*,#13387,.F.); +#13390=ORIENTED_EDGE('',*,*,#13389,.F.); +#13391=ORIENTED_EDGE('',*,*,#12726,.F.); +#13393=ORIENTED_EDGE('',*,*,#13392,.F.); +#13395=ORIENTED_EDGE('',*,*,#13394,.F.); +#13397=ORIENTED_EDGE('',*,*,#13396,.F.); +#13398=ORIENTED_EDGE('',*,*,#12718,.F.); +#13400=ORIENTED_EDGE('',*,*,#13399,.F.); +#13402=ORIENTED_EDGE('',*,*,#13401,.F.); +#13403=ORIENTED_EDGE('',*,*,#13199,.F.); +#13404=ORIENTED_EDGE('',*,*,#12710,.F.); +#13406=ORIENTED_EDGE('',*,*,#13405,.T.); +#13408=ORIENTED_EDGE('',*,*,#13407,.F.); +#13410=ORIENTED_EDGE('',*,*,#13409,.F.); +#13412=ORIENTED_EDGE('',*,*,#13411,.F.); +#13414=ORIENTED_EDGE('',*,*,#13413,.F.); +#13416=ORIENTED_EDGE('',*,*,#13415,.F.); +#13418=ORIENTED_EDGE('',*,*,#13417,.F.); +#13420=ORIENTED_EDGE('',*,*,#13419,.F.); +#13422=ORIENTED_EDGE('',*,*,#13421,.F.); +#13424=ORIENTED_EDGE('',*,*,#13423,.F.); +#13426=ORIENTED_EDGE('',*,*,#13425,.T.); +#13428=ORIENTED_EDGE('',*,*,#13427,.F.); +#13430=ORIENTED_EDGE('',*,*,#13429,.F.); +#13432=ORIENTED_EDGE('',*,*,#13431,.F.); +#13434=ORIENTED_EDGE('',*,*,#13433,.F.); +#13436=ORIENTED_EDGE('',*,*,#13435,.F.); +#13438=ORIENTED_EDGE('',*,*,#13437,.F.); +#13440=ORIENTED_EDGE('',*,*,#13439,.F.); +#13442=ORIENTED_EDGE('',*,*,#13441,.F.); +#13444=ORIENTED_EDGE('',*,*,#13443,.F.); +#13446=ORIENTED_EDGE('',*,*,#13445,.F.); +#13448=ORIENTED_EDGE('',*,*,#13447,.F.); +#13450=ORIENTED_EDGE('',*,*,#13449,.F.); +#13452=ORIENTED_EDGE('',*,*,#13451,.F.); +#13454=ORIENTED_EDGE('',*,*,#13453,.F.); +#13456=ORIENTED_EDGE('',*,*,#13455,.F.); +#13458=ORIENTED_EDGE('',*,*,#13457,.F.); +#13460=ORIENTED_EDGE('',*,*,#13459,.F.); +#13462=ORIENTED_EDGE('',*,*,#13461,.F.); +#13464=ORIENTED_EDGE('',*,*,#13463,.F.); +#13466=ORIENTED_EDGE('',*,*,#13465,.F.); +#13468=ORIENTED_EDGE('',*,*,#13467,.F.); +#13470=ORIENTED_EDGE('',*,*,#13469,.F.); +#13472=ORIENTED_EDGE('',*,*,#13471,.F.); +#13474=ORIENTED_EDGE('',*,*,#13473,.F.); +#13476=ORIENTED_EDGE('',*,*,#13475,.F.); +#13478=ORIENTED_EDGE('',*,*,#13477,.F.); +#13480=ORIENTED_EDGE('',*,*,#13479,.F.); +#13482=ORIENTED_EDGE('',*,*,#13481,.F.); +#13484=ORIENTED_EDGE('',*,*,#13483,.F.); +#13486=ORIENTED_EDGE('',*,*,#13485,.T.); +#13488=ORIENTED_EDGE('',*,*,#13487,.T.); +#13490=ORIENTED_EDGE('',*,*,#13489,.T.); +#13492=ORIENTED_EDGE('',*,*,#13491,.F.); +#13494=ORIENTED_EDGE('',*,*,#13493,.F.); +#13496=ORIENTED_EDGE('',*,*,#13495,.F.); +#13498=ORIENTED_EDGE('',*,*,#13497,.F.); +#13500=ORIENTED_EDGE('',*,*,#13499,.F.); +#13502=ORIENTED_EDGE('',*,*,#13501,.F.); +#13504=ORIENTED_EDGE('',*,*,#13503,.F.); +#13506=ORIENTED_EDGE('',*,*,#13505,.F.); +#13508=ORIENTED_EDGE('',*,*,#13507,.F.); +#13510=ORIENTED_EDGE('',*,*,#13509,.F.); +#13512=ORIENTED_EDGE('',*,*,#13511,.F.); +#13514=ORIENTED_EDGE('',*,*,#13513,.F.); +#13516=ORIENTED_EDGE('',*,*,#13515,.F.); +#13518=ORIENTED_EDGE('',*,*,#13517,.F.); +#13520=ORIENTED_EDGE('',*,*,#13519,.F.); +#13522=ORIENTED_EDGE('',*,*,#13521,.F.); +#13524=ORIENTED_EDGE('',*,*,#13523,.F.); +#13526=ORIENTED_EDGE('',*,*,#13525,.T.); +#13528=ORIENTED_EDGE('',*,*,#13527,.F.); +#13530=ORIENTED_EDGE('',*,*,#13529,.F.); +#13532=ORIENTED_EDGE('',*,*,#13531,.F.); +#13534=ORIENTED_EDGE('',*,*,#13533,.F.); +#13536=ORIENTED_EDGE('',*,*,#13535,.F.); +#13538=ORIENTED_EDGE('',*,*,#13537,.F.); +#13540=ORIENTED_EDGE('',*,*,#13539,.F.); +#13542=ORIENTED_EDGE('',*,*,#13541,.F.); +#13544=ORIENTED_EDGE('',*,*,#13543,.F.); +#13546=ORIENTED_EDGE('',*,*,#13545,.T.); +#13547=ORIENTED_EDGE('',*,*,#12703,.F.); +#13549=ORIENTED_EDGE('',*,*,#13548,.F.); +#13551=ORIENTED_EDGE('',*,*,#13550,.F.); +#13553=ORIENTED_EDGE('',*,*,#13552,.F.); +#13554=ORIENTED_EDGE('',*,*,#12695,.F.); +#13556=ORIENTED_EDGE('',*,*,#13555,.F.); +#13558=ORIENTED_EDGE('',*,*,#13557,.F.); +#13560=ORIENTED_EDGE('',*,*,#13559,.F.); +#13561=ORIENTED_EDGE('',*,*,#12687,.F.); +#13563=ORIENTED_EDGE('',*,*,#13562,.F.); +#13565=ORIENTED_EDGE('',*,*,#13564,.F.); +#13567=ORIENTED_EDGE('',*,*,#13566,.F.); +#13568=ORIENTED_EDGE('',*,*,#12679,.F.); +#13570=ORIENTED_EDGE('',*,*,#13569,.F.); +#13572=ORIENTED_EDGE('',*,*,#13571,.F.); +#13574=ORIENTED_EDGE('',*,*,#13573,.F.); +#13575=ORIENTED_EDGE('',*,*,#12671,.F.); +#13577=ORIENTED_EDGE('',*,*,#13576,.F.); +#13579=ORIENTED_EDGE('',*,*,#13578,.F.); +#13581=ORIENTED_EDGE('',*,*,#13580,.F.); +#13582=ORIENTED_EDGE('',*,*,#12663,.F.); +#13584=ORIENTED_EDGE('',*,*,#13583,.F.); +#13586=ORIENTED_EDGE('',*,*,#13585,.F.); +#13588=ORIENTED_EDGE('',*,*,#13587,.F.); +#13589=ORIENTED_EDGE('',*,*,#12655,.F.); +#13590=EDGE_LOOP('',(#13365,#13367,#13369,#13370,#13372,#13374,#13376,#13377, +#13379,#13381,#13383,#13384,#13386,#13388,#13390,#13391,#13393,#13395,#13397, +#13398,#13400,#13402,#13403,#13404,#13406,#13408,#13410,#13412,#13414,#13416, +#13418,#13420,#13422,#13424,#13426,#13428,#13430,#13432,#13434,#13436,#13438, +#13440,#13442,#13444,#13446,#13448,#13450,#13452,#13454,#13456,#13458,#13460, +#13462,#13464,#13466,#13468,#13470,#13472,#13474,#13476,#13478,#13480,#13482, +#13484,#13486,#13488,#13490,#13492,#13494,#13496,#13498,#13500,#13502,#13504, +#13506,#13508,#13510,#13512,#13514,#13516,#13518,#13520,#13522,#13524,#13526, +#13528,#13530,#13532,#13534,#13536,#13538,#13540,#13542,#13544,#13546,#13547, +#13549,#13551,#13553,#13554,#13556,#13558,#13560,#13561,#13563,#13565,#13567, +#13568,#13570,#13572,#13574,#13575,#13577,#13579,#13581,#13582,#13584,#13586, +#13588,#13589)); +#13591=FACE_OUTER_BOUND('',#13590,.F.); +#13593=ORIENTED_EDGE('',*,*,#13592,.T.); +#13595=ORIENTED_EDGE('',*,*,#13594,.T.); +#13597=ORIENTED_EDGE('',*,*,#13596,.T.); +#13599=ORIENTED_EDGE('',*,*,#13598,.T.); +#13601=ORIENTED_EDGE('',*,*,#13600,.T.); +#13603=ORIENTED_EDGE('',*,*,#13602,.T.); +#13605=ORIENTED_EDGE('',*,*,#13604,.T.); +#13606=EDGE_LOOP('',(#13593,#13595,#13597,#13599,#13601,#13603,#13605)); +#13607=FACE_BOUND('',#13606,.F.); +#13609=ORIENTED_EDGE('',*,*,#13608,.T.); +#13611=ORIENTED_EDGE('',*,*,#13610,.T.); +#13613=ORIENTED_EDGE('',*,*,#13612,.T.); +#13615=ORIENTED_EDGE('',*,*,#13614,.T.); +#13617=ORIENTED_EDGE('',*,*,#13616,.T.); +#13619=ORIENTED_EDGE('',*,*,#13618,.T.); +#13621=ORIENTED_EDGE('',*,*,#13620,.T.); +#13623=ORIENTED_EDGE('',*,*,#13622,.T.); +#13625=ORIENTED_EDGE('',*,*,#13624,.T.); +#13627=ORIENTED_EDGE('',*,*,#13626,.T.); +#13629=ORIENTED_EDGE('',*,*,#13628,.T.); +#13631=ORIENTED_EDGE('',*,*,#13630,.T.); +#13633=ORIENTED_EDGE('',*,*,#13632,.T.); +#13635=ORIENTED_EDGE('',*,*,#13634,.T.); +#13637=ORIENTED_EDGE('',*,*,#13636,.T.); +#13639=ORIENTED_EDGE('',*,*,#13638,.T.); +#13641=ORIENTED_EDGE('',*,*,#13640,.T.); +#13643=ORIENTED_EDGE('',*,*,#13642,.T.); +#13645=ORIENTED_EDGE('',*,*,#13644,.T.); +#13647=ORIENTED_EDGE('',*,*,#13646,.T.); +#13649=ORIENTED_EDGE('',*,*,#13648,.T.); +#13651=ORIENTED_EDGE('',*,*,#13650,.T.); +#13653=ORIENTED_EDGE('',*,*,#13652,.T.); +#13655=ORIENTED_EDGE('',*,*,#13654,.T.); +#13657=ORIENTED_EDGE('',*,*,#13656,.T.); +#13659=ORIENTED_EDGE('',*,*,#13658,.T.); +#13661=ORIENTED_EDGE('',*,*,#13660,.T.); +#13663=ORIENTED_EDGE('',*,*,#13662,.T.); +#13664=EDGE_LOOP('',(#13609,#13611,#13613,#13615,#13617,#13619,#13621,#13623, +#13625,#13627,#13629,#13631,#13633,#13635,#13637,#13639,#13641,#13643,#13645, +#13647,#13649,#13651,#13653,#13655,#13657,#13659,#13661,#13663)); +#13665=FACE_BOUND('',#13664,.F.); +#13667=ORIENTED_EDGE('',*,*,#13666,.T.); +#13669=ORIENTED_EDGE('',*,*,#13668,.T.); +#13671=ORIENTED_EDGE('',*,*,#13670,.T.); +#13673=ORIENTED_EDGE('',*,*,#13672,.T.); +#13675=ORIENTED_EDGE('',*,*,#13674,.T.); +#13677=ORIENTED_EDGE('',*,*,#13676,.T.); +#13679=ORIENTED_EDGE('',*,*,#13678,.T.); +#13681=ORIENTED_EDGE('',*,*,#13680,.T.); +#13683=ORIENTED_EDGE('',*,*,#13682,.T.); +#13685=ORIENTED_EDGE('',*,*,#13684,.T.); +#13687=ORIENTED_EDGE('',*,*,#13686,.T.); +#13688=EDGE_LOOP('',(#13667,#13669,#13671,#13673,#13675,#13677,#13679,#13681, +#13683,#13685,#13687)); +#13689=FACE_BOUND('',#13688,.F.); +#13691=ORIENTED_EDGE('',*,*,#13690,.T.); +#13693=ORIENTED_EDGE('',*,*,#13692,.T.); +#13695=ORIENTED_EDGE('',*,*,#13694,.T.); +#13697=ORIENTED_EDGE('',*,*,#13696,.T.); +#13699=ORIENTED_EDGE('',*,*,#13698,.T.); +#13701=ORIENTED_EDGE('',*,*,#13700,.T.); +#13703=ORIENTED_EDGE('',*,*,#13702,.T.); +#13704=EDGE_LOOP('',(#13691,#13693,#13695,#13697,#13699,#13701,#13703)); +#13705=FACE_BOUND('',#13704,.F.); +#13707=ORIENTED_EDGE('',*,*,#13706,.T.); +#13709=ORIENTED_EDGE('',*,*,#13708,.T.); +#13711=ORIENTED_EDGE('',*,*,#13710,.T.); +#13713=ORIENTED_EDGE('',*,*,#13712,.T.); +#13715=ORIENTED_EDGE('',*,*,#13714,.T.); +#13717=ORIENTED_EDGE('',*,*,#13716,.T.); +#13719=ORIENTED_EDGE('',*,*,#13718,.T.); +#13721=ORIENTED_EDGE('',*,*,#13720,.T.); +#13723=ORIENTED_EDGE('',*,*,#13722,.T.); +#13725=ORIENTED_EDGE('',*,*,#13724,.T.); +#13727=ORIENTED_EDGE('',*,*,#13726,.T.); +#13729=ORIENTED_EDGE('',*,*,#13728,.T.); +#13731=ORIENTED_EDGE('',*,*,#13730,.T.); +#13733=ORIENTED_EDGE('',*,*,#13732,.T.); +#13735=ORIENTED_EDGE('',*,*,#13734,.T.); +#13737=ORIENTED_EDGE('',*,*,#13736,.T.); +#13739=ORIENTED_EDGE('',*,*,#13738,.T.); +#13741=ORIENTED_EDGE('',*,*,#13740,.T.); +#13743=ORIENTED_EDGE('',*,*,#13742,.T.); +#13745=ORIENTED_EDGE('',*,*,#13744,.T.); +#13747=ORIENTED_EDGE('',*,*,#13746,.T.); +#13749=ORIENTED_EDGE('',*,*,#13748,.T.); +#13751=ORIENTED_EDGE('',*,*,#13750,.T.); +#13753=ORIENTED_EDGE('',*,*,#13752,.T.); +#13755=ORIENTED_EDGE('',*,*,#13754,.T.); +#13757=ORIENTED_EDGE('',*,*,#13756,.T.); +#13759=ORIENTED_EDGE('',*,*,#13758,.T.); +#13761=ORIENTED_EDGE('',*,*,#13760,.T.); +#13763=ORIENTED_EDGE('',*,*,#13762,.T.); +#13765=ORIENTED_EDGE('',*,*,#13764,.T.); +#13767=ORIENTED_EDGE('',*,*,#13766,.T.); +#13769=ORIENTED_EDGE('',*,*,#13768,.T.); +#13771=ORIENTED_EDGE('',*,*,#13770,.T.); +#13773=ORIENTED_EDGE('',*,*,#13772,.T.); +#13775=ORIENTED_EDGE('',*,*,#13774,.T.); +#13777=ORIENTED_EDGE('',*,*,#13776,.T.); +#13779=ORIENTED_EDGE('',*,*,#13778,.T.); +#13781=ORIENTED_EDGE('',*,*,#13780,.T.); +#13783=ORIENTED_EDGE('',*,*,#13782,.T.); +#13785=ORIENTED_EDGE('',*,*,#13784,.T.); +#13787=ORIENTED_EDGE('',*,*,#13786,.T.); +#13789=ORIENTED_EDGE('',*,*,#13788,.T.); +#13791=ORIENTED_EDGE('',*,*,#13790,.T.); +#13793=ORIENTED_EDGE('',*,*,#13792,.T.); +#13795=ORIENTED_EDGE('',*,*,#13794,.T.); +#13797=ORIENTED_EDGE('',*,*,#13796,.T.); +#13799=ORIENTED_EDGE('',*,*,#13798,.T.); +#13801=ORIENTED_EDGE('',*,*,#13800,.T.); +#13803=ORIENTED_EDGE('',*,*,#13802,.T.); +#13805=ORIENTED_EDGE('',*,*,#13804,.T.); +#13807=ORIENTED_EDGE('',*,*,#13806,.T.); +#13809=ORIENTED_EDGE('',*,*,#13808,.T.); +#13811=ORIENTED_EDGE('',*,*,#13810,.T.); +#13813=ORIENTED_EDGE('',*,*,#13812,.T.); +#13815=ORIENTED_EDGE('',*,*,#13814,.T.); +#13817=ORIENTED_EDGE('',*,*,#13816,.T.); +#13819=ORIENTED_EDGE('',*,*,#13818,.T.); +#13820=EDGE_LOOP('',(#13707,#13709,#13711,#13713,#13715,#13717,#13719,#13721, +#13723,#13725,#13727,#13729,#13731,#13733,#13735,#13737,#13739,#13741,#13743, +#13745,#13747,#13749,#13751,#13753,#13755,#13757,#13759,#13761,#13763,#13765, +#13767,#13769,#13771,#13773,#13775,#13777,#13779,#13781,#13783,#13785,#13787, +#13789,#13791,#13793,#13795,#13797,#13799,#13801,#13803,#13805,#13807,#13809, +#13811,#13813,#13815,#13817,#13819)); +#13821=FACE_BOUND('',#13820,.F.); +#13823=ORIENTED_EDGE('',*,*,#13822,.F.); +#13825=ORIENTED_EDGE('',*,*,#13824,.F.); +#13826=EDGE_LOOP('',(#13823,#13825)); +#13827=FACE_BOUND('',#13826,.F.); +#13829=ORIENTED_EDGE('',*,*,#13828,.F.); +#13831=ORIENTED_EDGE('',*,*,#13830,.F.); +#13832=EDGE_LOOP('',(#13829,#13831)); +#13833=FACE_BOUND('',#13832,.F.); +#13835=ORIENTED_EDGE('',*,*,#13834,.F.); +#13837=ORIENTED_EDGE('',*,*,#13836,.F.); +#13838=EDGE_LOOP('',(#13835,#13837)); +#13839=FACE_BOUND('',#13838,.F.); +#13841=ORIENTED_EDGE('',*,*,#13840,.F.); +#13843=ORIENTED_EDGE('',*,*,#13842,.F.); +#13844=EDGE_LOOP('',(#13841,#13843)); +#13845=FACE_BOUND('',#13844,.F.); +#13847=ORIENTED_EDGE('',*,*,#13846,.F.); +#13849=ORIENTED_EDGE('',*,*,#13848,.F.); +#13850=EDGE_LOOP('',(#13847,#13849)); +#13851=FACE_BOUND('',#13850,.F.); +#13853=ORIENTED_EDGE('',*,*,#13852,.F.); +#13855=ORIENTED_EDGE('',*,*,#13854,.F.); +#13856=EDGE_LOOP('',(#13853,#13855)); +#13857=FACE_BOUND('',#13856,.F.); +#13859=ORIENTED_EDGE('',*,*,#13858,.F.); +#13861=ORIENTED_EDGE('',*,*,#13860,.F.); +#13862=EDGE_LOOP('',(#13859,#13861)); +#13863=FACE_BOUND('',#13862,.F.); +#13865=ORIENTED_EDGE('',*,*,#13864,.F.); +#13867=ORIENTED_EDGE('',*,*,#13866,.F.); +#13868=EDGE_LOOP('',(#13865,#13867)); +#13869=FACE_BOUND('',#13868,.F.); +#13871=ORIENTED_EDGE('',*,*,#13870,.F.); +#13873=ORIENTED_EDGE('',*,*,#13872,.F.); +#13874=EDGE_LOOP('',(#13871,#13873)); +#13875=FACE_BOUND('',#13874,.F.); +#13877=ORIENTED_EDGE('',*,*,#13876,.F.); +#13879=ORIENTED_EDGE('',*,*,#13878,.F.); +#13880=EDGE_LOOP('',(#13877,#13879)); +#13881=FACE_BOUND('',#13880,.F.); +#13883=ORIENTED_EDGE('',*,*,#13882,.F.); +#13885=ORIENTED_EDGE('',*,*,#13884,.F.); +#13886=EDGE_LOOP('',(#13883,#13885)); +#13887=FACE_BOUND('',#13886,.F.); +#13889=ORIENTED_EDGE('',*,*,#13888,.F.); +#13891=ORIENTED_EDGE('',*,*,#13890,.F.); +#13892=EDGE_LOOP('',(#13889,#13891)); +#13893=FACE_BOUND('',#13892,.F.); +#13895=ORIENTED_EDGE('',*,*,#13894,.F.); +#13897=ORIENTED_EDGE('',*,*,#13896,.F.); +#13898=EDGE_LOOP('',(#13895,#13897)); +#13899=FACE_BOUND('',#13898,.F.); +#13901=ORIENTED_EDGE('',*,*,#13900,.F.); +#13903=ORIENTED_EDGE('',*,*,#13902,.F.); +#13904=EDGE_LOOP('',(#13901,#13903)); +#13905=FACE_BOUND('',#13904,.F.); +#13907=ORIENTED_EDGE('',*,*,#13906,.F.); +#13909=ORIENTED_EDGE('',*,*,#13908,.F.); +#13910=EDGE_LOOP('',(#13907,#13909)); +#13911=FACE_BOUND('',#13910,.F.); +#13913=ORIENTED_EDGE('',*,*,#13912,.F.); +#13915=ORIENTED_EDGE('',*,*,#13914,.F.); +#13916=EDGE_LOOP('',(#13913,#13915)); +#13917=FACE_BOUND('',#13916,.F.); +#13919=ORIENTED_EDGE('',*,*,#13918,.F.); +#13921=ORIENTED_EDGE('',*,*,#13920,.F.); +#13922=EDGE_LOOP('',(#13919,#13921)); +#13923=FACE_BOUND('',#13922,.F.); +#13925=ORIENTED_EDGE('',*,*,#13924,.F.); +#13927=ORIENTED_EDGE('',*,*,#13926,.F.); +#13928=EDGE_LOOP('',(#13925,#13927)); +#13929=FACE_BOUND('',#13928,.F.); +#13931=ORIENTED_EDGE('',*,*,#13930,.F.); +#13933=ORIENTED_EDGE('',*,*,#13932,.F.); +#13934=EDGE_LOOP('',(#13931,#13933)); +#13935=FACE_BOUND('',#13934,.F.); +#13937=ORIENTED_EDGE('',*,*,#13936,.F.); +#13939=ORIENTED_EDGE('',*,*,#13938,.F.); +#13940=EDGE_LOOP('',(#13937,#13939)); +#13941=FACE_BOUND('',#13940,.F.); +#13943=ORIENTED_EDGE('',*,*,#13942,.F.); +#13945=ORIENTED_EDGE('',*,*,#13944,.F.); +#13946=EDGE_LOOP('',(#13943,#13945)); +#13947=FACE_BOUND('',#13946,.F.); +#13949=ORIENTED_EDGE('',*,*,#13948,.F.); +#13951=ORIENTED_EDGE('',*,*,#13950,.F.); +#13952=EDGE_LOOP('',(#13949,#13951)); +#13953=FACE_BOUND('',#13952,.F.); +#13955=ORIENTED_EDGE('',*,*,#13954,.F.); +#13957=ORIENTED_EDGE('',*,*,#13956,.F.); +#13958=EDGE_LOOP('',(#13955,#13957)); +#13959=FACE_BOUND('',#13958,.F.); +#13961=ORIENTED_EDGE('',*,*,#13960,.F.); +#13963=ORIENTED_EDGE('',*,*,#13962,.F.); +#13964=EDGE_LOOP('',(#13961,#13963)); +#13965=FACE_BOUND('',#13964,.F.); +#13967=ORIENTED_EDGE('',*,*,#13966,.F.); +#13969=ORIENTED_EDGE('',*,*,#13968,.F.); +#13970=EDGE_LOOP('',(#13967,#13969)); +#13971=FACE_BOUND('',#13970,.F.); +#13973=ORIENTED_EDGE('',*,*,#13972,.F.); +#13975=ORIENTED_EDGE('',*,*,#13974,.F.); +#13976=EDGE_LOOP('',(#13973,#13975)); +#13977=FACE_BOUND('',#13976,.F.); +#13979=ORIENTED_EDGE('',*,*,#13978,.F.); +#13981=ORIENTED_EDGE('',*,*,#13980,.F.); +#13982=EDGE_LOOP('',(#13979,#13981)); +#13983=FACE_BOUND('',#13982,.F.); +#13985=ORIENTED_EDGE('',*,*,#13984,.F.); +#13987=ORIENTED_EDGE('',*,*,#13986,.F.); +#13988=EDGE_LOOP('',(#13985,#13987)); +#13989=FACE_BOUND('',#13988,.F.); +#13991=ORIENTED_EDGE('',*,*,#13990,.F.); +#13993=ORIENTED_EDGE('',*,*,#13992,.F.); +#13994=EDGE_LOOP('',(#13991,#13993)); +#13995=FACE_BOUND('',#13994,.F.); +#13997=ORIENTED_EDGE('',*,*,#13996,.F.); +#13999=ORIENTED_EDGE('',*,*,#13998,.F.); +#14000=EDGE_LOOP('',(#13997,#13999)); +#14001=FACE_BOUND('',#14000,.F.); +#14003=ORIENTED_EDGE('',*,*,#14002,.F.); +#14005=ORIENTED_EDGE('',*,*,#14004,.F.); +#14006=EDGE_LOOP('',(#14003,#14005)); +#14007=FACE_BOUND('',#14006,.F.); +#14009=ORIENTED_EDGE('',*,*,#14008,.F.); +#14011=ORIENTED_EDGE('',*,*,#14010,.F.); +#14012=EDGE_LOOP('',(#14009,#14011)); +#14013=FACE_BOUND('',#14012,.F.); +#14015=ORIENTED_EDGE('',*,*,#14014,.F.); +#14017=ORIENTED_EDGE('',*,*,#14016,.F.); +#14018=EDGE_LOOP('',(#14015,#14017)); +#14019=FACE_BOUND('',#14018,.F.); +#14021=ORIENTED_EDGE('',*,*,#14020,.F.); +#14023=ORIENTED_EDGE('',*,*,#14022,.F.); +#14024=EDGE_LOOP('',(#14021,#14023)); +#14025=FACE_BOUND('',#14024,.F.); +#14027=ORIENTED_EDGE('',*,*,#14026,.F.); +#14029=ORIENTED_EDGE('',*,*,#14028,.F.); +#14030=EDGE_LOOP('',(#14027,#14029)); +#14031=FACE_BOUND('',#14030,.F.); +#14033=CARTESIAN_POINT('',(2.35E1,-5.6E0,0.E0)); +#14034=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14035=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14036=AXIS2_PLACEMENT_3D('',#14033,#14034,#14035); +#14037=CYLINDRICAL_SURFACE('',#14036,6.2E0); +#14039=ORIENTED_EDGE('',*,*,#14038,.T.); +#14041=ORIENTED_EDGE('',*,*,#14040,.T.); +#14043=ORIENTED_EDGE('',*,*,#14042,.T.); +#14045=ORIENTED_EDGE('',*,*,#14044,.T.); +#14046=ORIENTED_EDGE('',*,*,#13292,.T.); +#14048=ORIENTED_EDGE('',*,*,#14047,.F.); +#14049=EDGE_LOOP('',(#14039,#14041,#14043,#14045,#14046,#14048)); +#14050=FACE_OUTER_BOUND('',#14049,.F.); +#14052=CARTESIAN_POINT('',(2.35E1,-5.6E0,-5.E-1)); +#14053=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14054=DIRECTION('',(-3.223675481338E-3,-9.999948039447E-1,0.E0)); +#14055=AXIS2_PLACEMENT_3D('',#14052,#14053,#14054); +#14056=TOROIDAL_SURFACE('',#14055,5.7E0,5.E-1); +#14057=ORIENTED_EDGE('',*,*,#12491,.T.); +#14059=ORIENTED_EDGE('',*,*,#14058,.T.); +#14060=ORIENTED_EDGE('',*,*,#14038,.F.); +#14062=ORIENTED_EDGE('',*,*,#14061,.F.); +#14063=EDGE_LOOP('',(#14057,#14059,#14060,#14062)); +#14064=FACE_OUTER_BOUND('',#14063,.F.); +#14066=CARTESIAN_POINT('',(2.92E1,-5.619986822602E0,-5.E-1)); +#14067=DIRECTION('',(0.E0,1.E0,0.E0)); +#14068=DIRECTION('',(0.E0,0.E0,1.E0)); +#14069=AXIS2_PLACEMENT_3D('',#14066,#14067,#14068); +#14070=CYLINDRICAL_SURFACE('',#14069,5.E-1); +#14071=ORIENTED_EDGE('',*,*,#12489,.T.); +#14073=ORIENTED_EDGE('',*,*,#14072,.T.); +#14075=ORIENTED_EDGE('',*,*,#14074,.F.); +#14076=ORIENTED_EDGE('',*,*,#14058,.F.); +#14077=EDGE_LOOP('',(#14071,#14073,#14075,#14076)); +#14078=FACE_OUTER_BOUND('',#14077,.F.); +#14080=CARTESIAN_POINT('',(2.35E1,5.6E0,-5.E-1)); +#14081=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14082=DIRECTION('',(9.999948039447E-1,-3.223675481338E-3,0.E0)); +#14083=AXIS2_PLACEMENT_3D('',#14080,#14081,#14082); +#14084=TOROIDAL_SURFACE('',#14083,5.7E0,5.E-1); +#14085=ORIENTED_EDGE('',*,*,#12487,.T.); +#14087=ORIENTED_EDGE('',*,*,#14086,.T.); +#14089=ORIENTED_EDGE('',*,*,#14088,.F.); +#14090=ORIENTED_EDGE('',*,*,#14072,.F.); +#14091=EDGE_LOOP('',(#14085,#14087,#14089,#14090)); +#14092=FACE_OUTER_BOUND('',#14091,.F.); +#14094=CARTESIAN_POINT('',(2.796998682260E1,1.13E1,-5.E-1)); +#14095=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14096=DIRECTION('',(0.E0,0.E0,1.E0)); +#14097=AXIS2_PLACEMENT_3D('',#14094,#14095,#14096); +#14098=CYLINDRICAL_SURFACE('',#14097,5.E-1); +#14100=ORIENTED_EDGE('',*,*,#14099,.F.); +#14101=ORIENTED_EDGE('',*,*,#14086,.F.); +#14102=ORIENTED_EDGE('',*,*,#12485,.T.); +#14104=ORIENTED_EDGE('',*,*,#14103,.T.); +#14105=EDGE_LOOP('',(#14100,#14101,#14102,#14104)); +#14106=FACE_OUTER_BOUND('',#14105,.F.); +#14108=CARTESIAN_POINT('',(-2.35E1,1.18E1,0.E0)); +#14109=DIRECTION('',(0.E0,1.E0,0.E0)); +#14110=DIRECTION('',(1.E0,0.E0,0.E0)); +#14111=AXIS2_PLACEMENT_3D('',#14108,#14109,#14110); +#14112=PLANE('',#14111); +#14114=ORIENTED_EDGE('',*,*,#14113,.T.); +#14116=ORIENTED_EDGE('',*,*,#14115,.T.); +#14118=ORIENTED_EDGE('',*,*,#14117,.T.); +#14120=ORIENTED_EDGE('',*,*,#14119,.F.); +#14122=ORIENTED_EDGE('',*,*,#14121,.T.); +#14124=ORIENTED_EDGE('',*,*,#14123,.T.); +#14126=ORIENTED_EDGE('',*,*,#14125,.T.); +#14128=ORIENTED_EDGE('',*,*,#14127,.F.); +#14129=ORIENTED_EDGE('',*,*,#13322,.T.); +#14131=ORIENTED_EDGE('',*,*,#14130,.T.); +#14133=ORIENTED_EDGE('',*,*,#14132,.T.); +#14135=ORIENTED_EDGE('',*,*,#14134,.F.); +#14136=ORIENTED_EDGE('',*,*,#14099,.T.); +#14138=ORIENTED_EDGE('',*,*,#14137,.T.); +#14140=ORIENTED_EDGE('',*,*,#14139,.T.); +#14142=ORIENTED_EDGE('',*,*,#14141,.F.); +#14143=EDGE_LOOP('',(#14114,#14116,#14118,#14120,#14122,#14124,#14126,#14128, +#14129,#14131,#14133,#14135,#14136,#14138,#14140,#14142)); +#14144=FACE_OUTER_BOUND('',#14143,.F.); +#14146=CARTESIAN_POINT('',(1.3869684E2,1.13E1,-5.E-1)); +#14147=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14148=DIRECTION('',(0.E0,0.E0,1.E0)); +#14149=AXIS2_PLACEMENT_3D('',#14146,#14147,#14148); +#14150=CYLINDRICAL_SURFACE('',#14149,5.E-1); +#14151=ORIENTED_EDGE('',*,*,#14113,.F.); +#14153=ORIENTED_EDGE('',*,*,#14152,.T.); +#14154=ORIENTED_EDGE('',*,*,#12509,.T.); +#14156=ORIENTED_EDGE('',*,*,#14155,.T.); +#14157=EDGE_LOOP('',(#14151,#14153,#14154,#14156)); +#14158=FACE_OUTER_BOUND('',#14157,.F.); +#14160=CARTESIAN_POINT('',(2.7E0,1.18E1,0.E0)); +#14161=DIRECTION('',(9.961946980917E-1,-8.715574274766E-2,0.E0)); +#14162=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#14163=AXIS2_PLACEMENT_3D('',#14160,#14161,#14162); +#14164=PLANE('',#14163); +#14166=ORIENTED_EDGE('',*,*,#14165,.T.); +#14167=ORIENTED_EDGE('',*,*,#12511,.F.); +#14168=ORIENTED_EDGE('',*,*,#14152,.F.); +#14169=ORIENTED_EDGE('',*,*,#14141,.T.); +#14171=ORIENTED_EDGE('',*,*,#14170,.T.); +#14173=ORIENTED_EDGE('',*,*,#14172,.F.); +#14175=ORIENTED_EDGE('',*,*,#14174,.F.); +#14176=EDGE_LOOP('',(#14166,#14167,#14168,#14169,#14171,#14173,#14175)); +#14177=FACE_OUTER_BOUND('',#14176,.F.); +#14179=CARTESIAN_POINT('',(1.338503588518E2,1.149449725639E1,-5.E-1)); +#14180=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14181=DIRECTION('',(0.E0,0.E0,1.E0)); +#14182=AXIS2_PLACEMENT_3D('',#14179,#14180,#14181); +#14183=CYLINDRICAL_SURFACE('',#14182,5.E-1); +#14184=ORIENTED_EDGE('',*,*,#12481,.T.); +#14185=ORIENTED_EDGE('',*,*,#14165,.F.); +#14187=ORIENTED_EDGE('',*,*,#14186,.F.); +#14189=ORIENTED_EDGE('',*,*,#14188,.F.); +#14190=EDGE_LOOP('',(#14184,#14185,#14187,#14189)); +#14191=FACE_OUTER_BOUND('',#14190,.F.); +#14193=CARTESIAN_POINT('',(-4.5E0,1.18E1,0.E0)); +#14194=DIRECTION('',(0.E0,-8.898174628127E-1,-4.563166475963E-1)); +#14195=DIRECTION('',(0.E0,4.563166475963E-1,-8.898174628127E-1)); +#14196=AXIS2_PLACEMENT_3D('',#14193,#14194,#14195); +#14197=PLANE('',#14196); +#14198=ORIENTED_EDGE('',*,*,#14186,.T.); +#14199=ORIENTED_EDGE('',*,*,#14174,.T.); +#14201=ORIENTED_EDGE('',*,*,#14200,.T.); +#14203=ORIENTED_EDGE('',*,*,#14202,.F.); +#14204=EDGE_LOOP('',(#14198,#14199,#14201,#14203)); +#14205=FACE_OUTER_BOUND('',#14204,.F.); +#14207=CARTESIAN_POINT('',(-4.5E0,1.18E1,0.E0)); +#14208=DIRECTION('',(0.E0,-8.898174628127E-1,-4.563166475963E-1)); +#14209=DIRECTION('',(0.E0,4.563166475963E-1,-8.898174628127E-1)); +#14210=AXIS2_PLACEMENT_3D('',#14207,#14208,#14209); +#14211=PLANE('',#14210); +#14213=ORIENTED_EDGE('',*,*,#14212,.T.); +#14215=ORIENTED_EDGE('',*,*,#14214,.T.); +#14217=ORIENTED_EDGE('',*,*,#14216,.T.); +#14219=ORIENTED_EDGE('',*,*,#14218,.F.); +#14220=EDGE_LOOP('',(#14213,#14215,#14217,#14219)); +#14221=FACE_OUTER_BOUND('',#14220,.F.); +#14223=CARTESIAN_POINT('',(0.E0,1.38E1,0.E0)); +#14224=DIRECTION('',(0.E0,1.E0,0.E0)); +#14225=DIRECTION('',(1.E0,0.E0,0.E0)); +#14226=AXIS2_PLACEMENT_3D('',#14223,#14224,#14225); +#14227=PLANE('',#14226); +#14228=ORIENTED_EDGE('',*,*,#14172,.T.); +#14230=ORIENTED_EDGE('',*,*,#14229,.F.); +#14232=ORIENTED_EDGE('',*,*,#14231,.F.); +#14233=ORIENTED_EDGE('',*,*,#14200,.F.); +#14234=EDGE_LOOP('',(#14228,#14230,#14232,#14233)); +#14235=FACE_OUTER_BOUND('',#14234,.F.); +#14237=CARTESIAN_POINT('',(0.E0,1.38E1,0.E0)); +#14238=DIRECTION('',(0.E0,1.E0,0.E0)); +#14239=DIRECTION('',(1.E0,0.E0,0.E0)); +#14240=AXIS2_PLACEMENT_3D('',#14237,#14238,#14239); +#14241=PLANE('',#14240); +#14243=ORIENTED_EDGE('',*,*,#14242,.F.); +#14244=ORIENTED_EDGE('',*,*,#14216,.F.); +#14246=ORIENTED_EDGE('',*,*,#14245,.T.); +#14248=ORIENTED_EDGE('',*,*,#14247,.F.); +#14249=EDGE_LOOP('',(#14243,#14244,#14246,#14248)); +#14250=FACE_OUTER_BOUND('',#14249,.F.); +#14252=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.4E0)); +#14253=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14254=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14255=AXIS2_PLACEMENT_3D('',#14252,#14253,#14254); +#14256=PLANE('',#14255); +#14257=ORIENTED_EDGE('',*,*,#14170,.F.); +#14258=ORIENTED_EDGE('',*,*,#14139,.F.); +#14260=ORIENTED_EDGE('',*,*,#14259,.F.); +#14261=ORIENTED_EDGE('',*,*,#14229,.T.); +#14262=EDGE_LOOP('',(#14257,#14258,#14260,#14261)); +#14263=FACE_OUTER_BOUND('',#14262,.F.); +#14265=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,0.E0)); +#14266=DIRECTION('',(-9.961946980917E-1,-8.715574274766E-2,0.E0)); +#14267=DIRECTION('',(8.715574274766E-2,-9.961946980917E-1,0.E0)); +#14268=AXIS2_PLACEMENT_3D('',#14265,#14266,#14267); +#14269=PLANE('',#14268); +#14270=ORIENTED_EDGE('',*,*,#14137,.F.); +#14271=ORIENTED_EDGE('',*,*,#14103,.F.); +#14272=ORIENTED_EDGE('',*,*,#12483,.T.); +#14273=ORIENTED_EDGE('',*,*,#14188,.T.); +#14274=ORIENTED_EDGE('',*,*,#14202,.T.); +#14275=ORIENTED_EDGE('',*,*,#14231,.T.); +#14276=ORIENTED_EDGE('',*,*,#14259,.T.); +#14277=EDGE_LOOP('',(#14270,#14271,#14272,#14273,#14274,#14275,#14276)); +#14278=FACE_OUTER_BOUND('',#14277,.F.); +#14280=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,0.E0)); +#14281=DIRECTION('',(-9.961946980917E-1,-8.715574274766E-2,0.E0)); +#14282=DIRECTION('',(8.715574274766E-2,-9.961946980917E-1,0.E0)); +#14283=AXIS2_PLACEMENT_3D('',#14280,#14281,#14282); +#14284=PLANE('',#14283); +#14285=ORIENTED_EDGE('',*,*,#14115,.F.); +#14286=ORIENTED_EDGE('',*,*,#14155,.F.); +#14287=ORIENTED_EDGE('',*,*,#12507,.T.); +#14289=ORIENTED_EDGE('',*,*,#14288,.T.); +#14290=ORIENTED_EDGE('',*,*,#14218,.T.); +#14291=ORIENTED_EDGE('',*,*,#14242,.T.); +#14293=ORIENTED_EDGE('',*,*,#14292,.T.); +#14294=EDGE_LOOP('',(#14285,#14286,#14287,#14289,#14290,#14291,#14293)); +#14295=FACE_OUTER_BOUND('',#14294,.F.); +#14297=CARTESIAN_POINT('',(1.338503588518E2,1.149449725639E1,-5.E-1)); +#14298=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14299=DIRECTION('',(0.E0,0.E0,1.E0)); +#14300=AXIS2_PLACEMENT_3D('',#14297,#14298,#14299); +#14301=CYLINDRICAL_SURFACE('',#14300,5.E-1); +#14302=ORIENTED_EDGE('',*,*,#12505,.T.); +#14304=ORIENTED_EDGE('',*,*,#14303,.F.); +#14305=ORIENTED_EDGE('',*,*,#14212,.F.); +#14306=ORIENTED_EDGE('',*,*,#14288,.F.); +#14307=EDGE_LOOP('',(#14302,#14304,#14305,#14306)); +#14308=FACE_OUTER_BOUND('',#14307,.F.); +#14310=CARTESIAN_POINT('',(-4.5E0,1.18E1,0.E0)); +#14311=DIRECTION('',(9.961946980917E-1,-8.715574274766E-2,0.E0)); +#14312=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#14313=AXIS2_PLACEMENT_3D('',#14310,#14311,#14312); +#14314=PLANE('',#14313); +#14315=ORIENTED_EDGE('',*,*,#14303,.T.); +#14316=ORIENTED_EDGE('',*,*,#12503,.F.); +#14318=ORIENTED_EDGE('',*,*,#14317,.F.); +#14319=ORIENTED_EDGE('',*,*,#14119,.T.); +#14321=ORIENTED_EDGE('',*,*,#14320,.T.); +#14322=ORIENTED_EDGE('',*,*,#14245,.F.); +#14323=ORIENTED_EDGE('',*,*,#14214,.F.); +#14324=EDGE_LOOP('',(#14315,#14316,#14318,#14319,#14321,#14322,#14323)); +#14325=FACE_OUTER_BOUND('',#14324,.F.); +#14327=CARTESIAN_POINT('',(1.3869684E2,1.13E1,-5.E-1)); +#14328=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14329=DIRECTION('',(0.E0,0.E0,1.E0)); +#14330=AXIS2_PLACEMENT_3D('',#14327,#14328,#14329); +#14331=CYLINDRICAL_SURFACE('',#14330,5.E-1); +#14332=ORIENTED_EDGE('',*,*,#12501,.T.); +#14334=ORIENTED_EDGE('',*,*,#14333,.T.); +#14335=ORIENTED_EDGE('',*,*,#14121,.F.); +#14336=ORIENTED_EDGE('',*,*,#14317,.T.); +#14337=EDGE_LOOP('',(#14332,#14334,#14335,#14336)); +#14338=FACE_OUTER_BOUND('',#14337,.F.); +#14340=CARTESIAN_POINT('',(-2.35E1,5.6E0,-5.E-1)); +#14341=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14342=DIRECTION('',(3.223675481338E-3,9.999948039447E-1,0.E0)); +#14343=AXIS2_PLACEMENT_3D('',#14340,#14341,#14342); +#14344=TOROIDAL_SURFACE('',#14343,5.7E0,5.E-1); +#14345=ORIENTED_EDGE('',*,*,#12499,.T.); +#14347=ORIENTED_EDGE('',*,*,#14346,.T.); +#14349=ORIENTED_EDGE('',*,*,#14348,.F.); +#14350=ORIENTED_EDGE('',*,*,#14333,.F.); +#14351=EDGE_LOOP('',(#14345,#14347,#14349,#14350)); +#14352=FACE_OUTER_BOUND('',#14351,.F.); +#14354=CARTESIAN_POINT('',(-2.92E1,5.619986822602E0,-5.E-1)); +#14355=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14356=DIRECTION('',(0.E0,0.E0,1.E0)); +#14357=AXIS2_PLACEMENT_3D('',#14354,#14355,#14356); +#14358=CYLINDRICAL_SURFACE('',#14357,5.E-1); +#14359=ORIENTED_EDGE('',*,*,#12497,.T.); +#14361=ORIENTED_EDGE('',*,*,#14360,.T.); +#14363=ORIENTED_EDGE('',*,*,#14362,.F.); +#14364=ORIENTED_EDGE('',*,*,#14346,.F.); +#14365=EDGE_LOOP('',(#14359,#14361,#14363,#14364)); +#14366=FACE_OUTER_BOUND('',#14365,.F.); +#14368=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-5.E-1)); +#14369=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14370=DIRECTION('',(-9.999948039447E-1,3.223675481338E-3,0.E0)); +#14371=AXIS2_PLACEMENT_3D('',#14368,#14369,#14370); +#14372=TOROIDAL_SURFACE('',#14371,5.7E0,5.E-1); +#14373=ORIENTED_EDGE('',*,*,#12495,.T.); +#14375=ORIENTED_EDGE('',*,*,#14374,.T.); +#14377=ORIENTED_EDGE('',*,*,#14376,.F.); +#14378=ORIENTED_EDGE('',*,*,#14360,.F.); +#14379=EDGE_LOOP('',(#14373,#14375,#14377,#14378)); +#14380=FACE_OUTER_BOUND('',#14379,.F.); +#14382=CARTESIAN_POINT('',(-2.351998682260E1,-1.13E1,-5.E-1)); +#14383=DIRECTION('',(1.E0,0.E0,0.E0)); +#14384=DIRECTION('',(0.E0,0.E0,1.E0)); +#14385=AXIS2_PLACEMENT_3D('',#14382,#14383,#14384); +#14386=CYLINDRICAL_SURFACE('',#14385,5.E-1); +#14387=ORIENTED_EDGE('',*,*,#12493,.T.); +#14388=ORIENTED_EDGE('',*,*,#14061,.T.); +#14390=ORIENTED_EDGE('',*,*,#14389,.F.); +#14391=ORIENTED_EDGE('',*,*,#14374,.F.); +#14392=EDGE_LOOP('',(#14387,#14388,#14390,#14391)); +#14393=FACE_OUTER_BOUND('',#14392,.F.); +#14395=CARTESIAN_POINT('',(2.35E1,-1.18E1,0.E0)); +#14396=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14397=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14398=AXIS2_PLACEMENT_3D('',#14395,#14396,#14397); +#14399=PLANE('',#14398); +#14400=ORIENTED_EDGE('',*,*,#14389,.T.); +#14401=ORIENTED_EDGE('',*,*,#14047,.T.); +#14402=ORIENTED_EDGE('',*,*,#13354,.T.); +#14404=ORIENTED_EDGE('',*,*,#14403,.F.); +#14405=EDGE_LOOP('',(#14400,#14401,#14402,#14404)); +#14406=FACE_OUTER_BOUND('',#14405,.F.); +#14408=CARTESIAN_POINT('',(-2.35E1,-5.6E0,0.E0)); +#14409=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14410=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14411=AXIS2_PLACEMENT_3D('',#14408,#14409,#14410); +#14412=CYLINDRICAL_SURFACE('',#14411,6.2E0); +#14413=ORIENTED_EDGE('',*,*,#14376,.T.); +#14414=ORIENTED_EDGE('',*,*,#14403,.T.); +#14415=ORIENTED_EDGE('',*,*,#13352,.T.); +#14417=ORIENTED_EDGE('',*,*,#14416,.F.); +#14419=ORIENTED_EDGE('',*,*,#14418,.T.); +#14421=ORIENTED_EDGE('',*,*,#14420,.F.); +#14422=EDGE_LOOP('',(#14413,#14414,#14415,#14417,#14419,#14421)); +#14423=FACE_OUTER_BOUND('',#14422,.F.); +#14425=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-2.E1)); +#14426=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14427=DIRECTION('',(1.E0,0.E0,0.E0)); +#14428=AXIS2_PLACEMENT_3D('',#14425,#14426,#14427); +#14429=PLANE('',#14428); +#14430=ORIENTED_EDGE('',*,*,#14416,.T.); +#14431=ORIENTED_EDGE('',*,*,#13350,.F.); +#14433=ORIENTED_EDGE('',*,*,#14432,.T.); +#14435=ORIENTED_EDGE('',*,*,#14434,.T.); +#14436=EDGE_LOOP('',(#14430,#14431,#14433,#14435)); +#14437=FACE_OUTER_BOUND('',#14436,.F.); +#14439=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.E1)); +#14440=DIRECTION('',(0.E0,0.E0,1.E0)); +#14441=DIRECTION('',(1.E0,0.E0,0.E0)); +#14442=AXIS2_PLACEMENT_3D('',#14439,#14440,#14441); +#14443=CYLINDRICAL_SURFACE('',#14442,3.25E0); +#14444=ORIENTED_EDGE('',*,*,#13348,.F.); +#14446=ORIENTED_EDGE('',*,*,#14445,.T.); +#14448=ORIENTED_EDGE('',*,*,#14447,.T.); +#14449=ORIENTED_EDGE('',*,*,#14432,.F.); +#14450=EDGE_LOOP('',(#14444,#14446,#14448,#14449)); +#14451=FACE_OUTER_BOUND('',#14450,.F.); +#14453=CARTESIAN_POINT('',(-3.545E1,-4.954792120088E0,-2.E1)); +#14454=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14455=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14456=AXIS2_PLACEMENT_3D('',#14453,#14454,#14455); +#14457=PLANE('',#14456); +#14458=ORIENTED_EDGE('',*,*,#13346,.F.); +#14460=ORIENTED_EDGE('',*,*,#14459,.T.); +#14462=ORIENTED_EDGE('',*,*,#14461,.T.); +#14463=ORIENTED_EDGE('',*,*,#14445,.F.); +#14464=EDGE_LOOP('',(#14458,#14460,#14462,#14463)); +#14465=FACE_OUTER_BOUND('',#14464,.F.); +#14467=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.E1)); +#14468=DIRECTION('',(0.E0,0.E0,1.E0)); +#14469=DIRECTION('',(1.E0,0.E0,0.E0)); +#14470=AXIS2_PLACEMENT_3D('',#14467,#14468,#14469); +#14471=CYLINDRICAL_SURFACE('',#14470,3.25E0); +#14472=ORIENTED_EDGE('',*,*,#13344,.F.); +#14474=ORIENTED_EDGE('',*,*,#14473,.T.); +#14476=ORIENTED_EDGE('',*,*,#14475,.T.); +#14477=ORIENTED_EDGE('',*,*,#14459,.F.); +#14478=EDGE_LOOP('',(#14472,#14474,#14476,#14477)); +#14479=FACE_OUTER_BOUND('',#14478,.F.); +#14481=CARTESIAN_POINT('',(-3.07E1,-4.05E0,-2.E1)); +#14482=DIRECTION('',(0.E0,1.E0,0.E0)); +#14483=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14484=AXIS2_PLACEMENT_3D('',#14481,#14482,#14483); +#14485=PLANE('',#14484); +#14486=ORIENTED_EDGE('',*,*,#13342,.F.); +#14488=ORIENTED_EDGE('',*,*,#14487,.T.); +#14490=ORIENTED_EDGE('',*,*,#14489,.T.); +#14491=ORIENTED_EDGE('',*,*,#14473,.F.); +#14492=EDGE_LOOP('',(#14486,#14488,#14490,#14491)); +#14493=FACE_OUTER_BOUND('',#14492,.F.); +#14495=CARTESIAN_POINT('',(-3.07E1,-3.05E0,-2.E1)); +#14496=DIRECTION('',(0.E0,0.E0,1.E0)); +#14497=DIRECTION('',(1.E0,0.E0,0.E0)); +#14498=AXIS2_PLACEMENT_3D('',#14495,#14496,#14497); +#14499=CYLINDRICAL_SURFACE('',#14498,1.E0); +#14501=ORIENTED_EDGE('',*,*,#14500,.T.); +#14503=ORIENTED_EDGE('',*,*,#14502,.T.); +#14504=ORIENTED_EDGE('',*,*,#14487,.F.); +#14505=ORIENTED_EDGE('',*,*,#13340,.T.); +#14506=EDGE_LOOP('',(#14501,#14503,#14504,#14505)); +#14507=FACE_OUTER_BOUND('',#14506,.F.); +#14509=CARTESIAN_POINT('',(-2.97E1,-5.6E0,0.E0)); +#14510=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14511=DIRECTION('',(0.E0,1.E0,0.E0)); +#14512=AXIS2_PLACEMENT_3D('',#14509,#14510,#14511); +#14513=PLANE('',#14512); +#14514=ORIENTED_EDGE('',*,*,#14362,.T.); +#14515=ORIENTED_EDGE('',*,*,#14420,.T.); +#14517=ORIENTED_EDGE('',*,*,#14516,.T.); +#14518=ORIENTED_EDGE('',*,*,#14500,.F.); +#14519=ORIENTED_EDGE('',*,*,#13338,.T.); +#14521=ORIENTED_EDGE('',*,*,#14520,.F.); +#14522=EDGE_LOOP('',(#14514,#14515,#14517,#14518,#14519,#14521)); +#14523=FACE_OUTER_BOUND('',#14522,.F.); +#14525=CARTESIAN_POINT('',(0.E0,0.E0,-1.9275E1)); +#14526=DIRECTION('',(0.E0,0.E0,1.E0)); +#14527=DIRECTION('',(1.E0,0.E0,0.E0)); +#14528=AXIS2_PLACEMENT_3D('',#14525,#14526,#14527); +#14529=PLANE('',#14528); +#14531=ORIENTED_EDGE('',*,*,#14530,.F.); +#14533=ORIENTED_EDGE('',*,*,#14532,.F.); +#14535=ORIENTED_EDGE('',*,*,#14534,.F.); +#14537=ORIENTED_EDGE('',*,*,#14536,.F.); +#14539=ORIENTED_EDGE('',*,*,#14538,.F.); +#14541=ORIENTED_EDGE('',*,*,#14540,.F.); +#14542=ORIENTED_EDGE('',*,*,#14042,.F.); +#14544=ORIENTED_EDGE('',*,*,#14543,.F.); +#14545=EDGE_LOOP('',(#14531,#14533,#14535,#14537,#14539,#14541,#14542,#14544)); +#14546=FACE_OUTER_BOUND('',#14545,.F.); +#14548=ORIENTED_EDGE('',*,*,#14547,.F.); +#14550=ORIENTED_EDGE('',*,*,#14549,.F.); +#14551=EDGE_LOOP('',(#14548,#14550)); +#14552=FACE_BOUND('',#14551,.F.); +#14554=CARTESIAN_POINT('',(0.E0,0.E0,-1.9275E1)); +#14555=DIRECTION('',(0.E0,0.E0,1.E0)); +#14556=DIRECTION('',(1.E0,0.E0,0.E0)); +#14557=AXIS2_PLACEMENT_3D('',#14554,#14555,#14556); +#14558=PLANE('',#14557); +#14559=ORIENTED_EDGE('',*,*,#14434,.F.); +#14560=ORIENTED_EDGE('',*,*,#14447,.F.); +#14561=ORIENTED_EDGE('',*,*,#14461,.F.); +#14562=ORIENTED_EDGE('',*,*,#14475,.F.); +#14563=ORIENTED_EDGE('',*,*,#14489,.F.); +#14564=ORIENTED_EDGE('',*,*,#14502,.F.); +#14565=ORIENTED_EDGE('',*,*,#14516,.F.); +#14566=ORIENTED_EDGE('',*,*,#14418,.F.); +#14567=EDGE_LOOP('',(#14559,#14560,#14561,#14562,#14563,#14564,#14565,#14566)); +#14568=FACE_OUTER_BOUND('',#14567,.F.); +#14570=ORIENTED_EDGE('',*,*,#14569,.F.); +#14572=ORIENTED_EDGE('',*,*,#14571,.F.); +#14573=EDGE_LOOP('',(#14570,#14572)); +#14574=FACE_BOUND('',#14573,.F.); +#14576=CARTESIAN_POINT('',(0.E0,0.E0,-1.9275E1)); +#14577=DIRECTION('',(0.E0,0.E0,1.E0)); +#14578=DIRECTION('',(1.E0,0.E0,0.E0)); +#14579=AXIS2_PLACEMENT_3D('',#14576,#14577,#14578); +#14580=PLANE('',#14579); +#14582=ORIENTED_EDGE('',*,*,#14581,.F.); +#14584=ORIENTED_EDGE('',*,*,#14583,.F.); +#14586=ORIENTED_EDGE('',*,*,#14585,.F.); +#14588=ORIENTED_EDGE('',*,*,#14587,.F.); +#14590=ORIENTED_EDGE('',*,*,#14589,.F.); +#14592=ORIENTED_EDGE('',*,*,#14591,.F.); +#14593=ORIENTED_EDGE('',*,*,#14125,.F.); +#14595=ORIENTED_EDGE('',*,*,#14594,.F.); +#14596=EDGE_LOOP('',(#14582,#14584,#14586,#14588,#14590,#14592,#14593,#14595)); +#14597=FACE_OUTER_BOUND('',#14596,.F.); +#14599=ORIENTED_EDGE('',*,*,#14598,.F.); +#14601=ORIENTED_EDGE('',*,*,#14600,.F.); +#14602=EDGE_LOOP('',(#14599,#14601)); +#14603=FACE_BOUND('',#14602,.F.); +#14605=CARTESIAN_POINT('',(0.E0,0.E0,-1.9275E1)); +#14606=DIRECTION('',(0.E0,0.E0,1.E0)); +#14607=DIRECTION('',(1.E0,0.E0,0.E0)); +#14608=AXIS2_PLACEMENT_3D('',#14605,#14606,#14607); +#14609=PLANE('',#14608); +#14610=ORIENTED_EDGE('',*,*,#14132,.F.); +#14612=ORIENTED_EDGE('',*,*,#14611,.F.); +#14614=ORIENTED_EDGE('',*,*,#14613,.F.); +#14616=ORIENTED_EDGE('',*,*,#14615,.F.); +#14618=ORIENTED_EDGE('',*,*,#14617,.F.); +#14620=ORIENTED_EDGE('',*,*,#14619,.F.); +#14622=ORIENTED_EDGE('',*,*,#14621,.F.); +#14624=ORIENTED_EDGE('',*,*,#14623,.F.); +#14625=EDGE_LOOP('',(#14610,#14612,#14614,#14616,#14618,#14620,#14622,#14624)); +#14626=FACE_OUTER_BOUND('',#14625,.F.); +#14628=ORIENTED_EDGE('',*,*,#14627,.F.); +#14630=ORIENTED_EDGE('',*,*,#14629,.F.); +#14631=EDGE_LOOP('',(#14628,#14630)); +#14632=FACE_BOUND('',#14631,.F.); +#14634=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#14635=DIRECTION('',(0.E0,0.E0,1.E0)); +#14636=DIRECTION('',(1.E0,0.E0,0.E0)); +#14637=AXIS2_PLACEMENT_3D('',#14634,#14635,#14636); +#14638=CYLINDRICAL_SURFACE('',#14637,1.085E0); +#14639=ORIENTED_EDGE('',*,*,#13212,.T.); +#14641=ORIENTED_EDGE('',*,*,#14640,.T.); +#14642=ORIENTED_EDGE('',*,*,#14598,.T.); +#14644=ORIENTED_EDGE('',*,*,#14643,.F.); +#14645=EDGE_LOOP('',(#14639,#14641,#14642,#14644)); +#14646=FACE_OUTER_BOUND('',#14645,.F.); +#14648=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#14649=DIRECTION('',(0.E0,0.E0,1.E0)); +#14650=DIRECTION('',(1.E0,0.E0,0.E0)); +#14651=AXIS2_PLACEMENT_3D('',#14648,#14649,#14650); +#14652=CYLINDRICAL_SURFACE('',#14651,1.085E0); +#14653=ORIENTED_EDGE('',*,*,#13214,.T.); +#14654=ORIENTED_EDGE('',*,*,#14643,.T.); +#14655=ORIENTED_EDGE('',*,*,#14600,.T.); +#14656=ORIENTED_EDGE('',*,*,#14640,.F.); +#14657=EDGE_LOOP('',(#14653,#14654,#14655,#14656)); +#14658=FACE_OUTER_BOUND('',#14657,.F.); +#14660=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.E1)); +#14661=DIRECTION('',(0.E0,0.E0,1.E0)); +#14662=DIRECTION('',(1.E0,0.E0,0.E0)); +#14663=AXIS2_PLACEMENT_3D('',#14660,#14661,#14662); +#14664=CYLINDRICAL_SURFACE('',#14663,1.085E0); +#14665=ORIENTED_EDGE('',*,*,#13224,.T.); +#14667=ORIENTED_EDGE('',*,*,#14666,.T.); +#14668=ORIENTED_EDGE('',*,*,#14569,.T.); +#14670=ORIENTED_EDGE('',*,*,#14669,.F.); +#14671=EDGE_LOOP('',(#14665,#14667,#14668,#14670)); +#14672=FACE_OUTER_BOUND('',#14671,.F.); +#14674=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.E1)); +#14675=DIRECTION('',(0.E0,0.E0,1.E0)); +#14676=DIRECTION('',(1.E0,0.E0,0.E0)); +#14677=AXIS2_PLACEMENT_3D('',#14674,#14675,#14676); +#14678=CYLINDRICAL_SURFACE('',#14677,1.085E0); +#14679=ORIENTED_EDGE('',*,*,#13226,.T.); +#14680=ORIENTED_EDGE('',*,*,#14669,.T.); +#14681=ORIENTED_EDGE('',*,*,#14571,.T.); +#14682=ORIENTED_EDGE('',*,*,#14666,.F.); +#14683=EDGE_LOOP('',(#14679,#14680,#14681,#14682)); +#14684=FACE_OUTER_BOUND('',#14683,.F.); +#14686=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.E1)); +#14687=DIRECTION('',(0.E0,0.E0,1.E0)); +#14688=DIRECTION('',(1.E0,0.E0,0.E0)); +#14689=AXIS2_PLACEMENT_3D('',#14686,#14687,#14688); +#14690=CYLINDRICAL_SURFACE('',#14689,1.085E0); +#14691=ORIENTED_EDGE('',*,*,#13236,.T.); +#14693=ORIENTED_EDGE('',*,*,#14692,.T.); +#14694=ORIENTED_EDGE('',*,*,#14547,.T.); +#14696=ORIENTED_EDGE('',*,*,#14695,.F.); +#14697=EDGE_LOOP('',(#14691,#14693,#14694,#14696)); +#14698=FACE_OUTER_BOUND('',#14697,.F.); +#14700=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.E1)); +#14701=DIRECTION('',(0.E0,0.E0,1.E0)); +#14702=DIRECTION('',(1.E0,0.E0,0.E0)); +#14703=AXIS2_PLACEMENT_3D('',#14700,#14701,#14702); +#14704=CYLINDRICAL_SURFACE('',#14703,1.085E0); +#14705=ORIENTED_EDGE('',*,*,#13238,.T.); +#14706=ORIENTED_EDGE('',*,*,#14695,.T.); +#14707=ORIENTED_EDGE('',*,*,#14549,.T.); +#14708=ORIENTED_EDGE('',*,*,#14692,.F.); +#14709=EDGE_LOOP('',(#14705,#14706,#14707,#14708)); +#14710=FACE_OUTER_BOUND('',#14709,.F.); +#14712=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#14713=DIRECTION('',(0.E0,0.E0,1.E0)); +#14714=DIRECTION('',(1.E0,0.E0,0.E0)); +#14715=AXIS2_PLACEMENT_3D('',#14712,#14713,#14714); +#14716=CYLINDRICAL_SURFACE('',#14715,1.085E0); +#14717=ORIENTED_EDGE('',*,*,#13248,.T.); +#14719=ORIENTED_EDGE('',*,*,#14718,.T.); +#14720=ORIENTED_EDGE('',*,*,#14627,.T.); +#14722=ORIENTED_EDGE('',*,*,#14721,.F.); +#14723=EDGE_LOOP('',(#14717,#14719,#14720,#14722)); +#14724=FACE_OUTER_BOUND('',#14723,.F.); +#14726=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#14727=DIRECTION('',(0.E0,0.E0,1.E0)); +#14728=DIRECTION('',(1.E0,0.E0,0.E0)); +#14729=AXIS2_PLACEMENT_3D('',#14726,#14727,#14728); +#14730=CYLINDRICAL_SURFACE('',#14729,1.085E0); +#14731=ORIENTED_EDGE('',*,*,#13250,.T.); +#14732=ORIENTED_EDGE('',*,*,#14721,.T.); +#14733=ORIENTED_EDGE('',*,*,#14629,.T.); +#14734=ORIENTED_EDGE('',*,*,#14718,.F.); +#14735=EDGE_LOOP('',(#14731,#14732,#14733,#14734)); +#14736=FACE_OUTER_BOUND('',#14735,.F.); +#14738=CARTESIAN_POINT('',(3.07E1,-3.05E0,-2.E1)); +#14739=DIRECTION('',(0.E0,0.E0,1.E0)); +#14740=DIRECTION('',(1.E0,0.E0,0.E0)); +#14741=AXIS2_PLACEMENT_3D('',#14738,#14739,#14740); +#14742=CYLINDRICAL_SURFACE('',#14741,1.E0); +#14744=ORIENTED_EDGE('',*,*,#14743,.F.); +#14745=ORIENTED_EDGE('',*,*,#13304,.T.); +#14747=ORIENTED_EDGE('',*,*,#14746,.T.); +#14748=ORIENTED_EDGE('',*,*,#14530,.T.); +#14749=EDGE_LOOP('',(#14744,#14745,#14747,#14748)); +#14750=FACE_OUTER_BOUND('',#14749,.F.); +#14752=CARTESIAN_POINT('',(2.97E1,5.6E0,0.E0)); +#14753=DIRECTION('',(1.E0,0.E0,0.E0)); +#14754=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14755=AXIS2_PLACEMENT_3D('',#14752,#14753,#14754); +#14756=PLANE('',#14755); +#14757=ORIENTED_EDGE('',*,*,#14074,.T.); +#14759=ORIENTED_EDGE('',*,*,#14758,.T.); +#14760=ORIENTED_EDGE('',*,*,#13306,.T.); +#14761=ORIENTED_EDGE('',*,*,#14743,.T.); +#14762=ORIENTED_EDGE('',*,*,#14543,.T.); +#14763=ORIENTED_EDGE('',*,*,#14040,.F.); +#14764=EDGE_LOOP('',(#14757,#14759,#14760,#14761,#14762,#14763)); +#14765=FACE_OUTER_BOUND('',#14764,.F.); +#14767=CARTESIAN_POINT('',(2.35E1,5.6E0,0.E0)); +#14768=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14769=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14770=AXIS2_PLACEMENT_3D('',#14767,#14768,#14769); +#14771=CYLINDRICAL_SURFACE('',#14770,6.2E0); +#14772=ORIENTED_EDGE('',*,*,#14088,.T.); +#14773=ORIENTED_EDGE('',*,*,#14134,.T.); +#14774=ORIENTED_EDGE('',*,*,#14623,.T.); +#14776=ORIENTED_EDGE('',*,*,#14775,.T.); +#14777=ORIENTED_EDGE('',*,*,#13308,.T.); +#14778=ORIENTED_EDGE('',*,*,#14758,.F.); +#14779=EDGE_LOOP('',(#14772,#14773,#14774,#14776,#14777,#14778)); +#14780=FACE_OUTER_BOUND('',#14779,.F.); +#14782=CARTESIAN_POINT('',(3.063705121181E1,6.55E0,-2.E1)); +#14783=DIRECTION('',(0.E0,0.E0,1.E0)); +#14784=DIRECTION('',(1.E0,0.E0,0.E0)); +#14785=AXIS2_PLACEMENT_3D('',#14782,#14783,#14784); +#14786=CYLINDRICAL_SURFACE('',#14785,1.E0); +#14787=ORIENTED_EDGE('',*,*,#14775,.F.); +#14788=ORIENTED_EDGE('',*,*,#14621,.T.); +#14790=ORIENTED_EDGE('',*,*,#14789,.F.); +#14791=ORIENTED_EDGE('',*,*,#13310,.T.); +#14792=EDGE_LOOP('',(#14787,#14788,#14790,#14791)); +#14793=FACE_OUTER_BOUND('',#14792,.F.); +#14795=CARTESIAN_POINT('',(3.063705121181E1,7.55E0,-2.E1)); +#14796=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14797=DIRECTION('',(1.E0,0.E0,0.E0)); +#14798=AXIS2_PLACEMENT_3D('',#14795,#14796,#14797); +#14799=PLANE('',#14798); +#14800=ORIENTED_EDGE('',*,*,#13312,.F.); +#14801=ORIENTED_EDGE('',*,*,#14789,.T.); +#14802=ORIENTED_EDGE('',*,*,#14619,.T.); +#14804=ORIENTED_EDGE('',*,*,#14803,.F.); +#14805=EDGE_LOOP('',(#14800,#14801,#14802,#14804)); +#14806=FACE_OUTER_BOUND('',#14805,.F.); +#14808=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#14809=DIRECTION('',(0.E0,0.E0,1.E0)); +#14810=DIRECTION('',(1.E0,0.E0,0.E0)); +#14811=AXIS2_PLACEMENT_3D('',#14808,#14809,#14810); +#14812=CYLINDRICAL_SURFACE('',#14811,3.25E0); +#14813=ORIENTED_EDGE('',*,*,#13314,.F.); +#14814=ORIENTED_EDGE('',*,*,#14803,.T.); +#14815=ORIENTED_EDGE('',*,*,#14617,.T.); +#14817=ORIENTED_EDGE('',*,*,#14816,.F.); +#14818=EDGE_LOOP('',(#14813,#14814,#14815,#14817)); +#14819=FACE_OUTER_BOUND('',#14818,.F.); +#14821=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-2.E1)); +#14822=DIRECTION('',(0.E0,1.E0,0.E0)); +#14823=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14824=AXIS2_PLACEMENT_3D('',#14821,#14822,#14823); +#14825=PLANE('',#14824); +#14826=ORIENTED_EDGE('',*,*,#13316,.F.); +#14827=ORIENTED_EDGE('',*,*,#14816,.T.); +#14828=ORIENTED_EDGE('',*,*,#14615,.T.); +#14830=ORIENTED_EDGE('',*,*,#14829,.F.); +#14831=EDGE_LOOP('',(#14826,#14827,#14828,#14830)); +#14832=FACE_OUTER_BOUND('',#14831,.F.); +#14834=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#14835=DIRECTION('',(0.E0,0.E0,1.E0)); +#14836=DIRECTION('',(1.E0,0.E0,0.E0)); +#14837=AXIS2_PLACEMENT_3D('',#14834,#14835,#14836); +#14838=CYLINDRICAL_SURFACE('',#14837,3.25E0); +#14839=ORIENTED_EDGE('',*,*,#13318,.F.); +#14840=ORIENTED_EDGE('',*,*,#14829,.T.); +#14841=ORIENTED_EDGE('',*,*,#14613,.T.); +#14843=ORIENTED_EDGE('',*,*,#14842,.F.); +#14844=EDGE_LOOP('',(#14839,#14840,#14841,#14843)); +#14845=FACE_OUTER_BOUND('',#14844,.F.); +#14847=CARTESIAN_POINT('',(2.795E1,1.28E1,-2.E1)); +#14848=DIRECTION('',(0.E0,0.E0,1.E0)); +#14849=DIRECTION('',(1.E0,0.E0,0.E0)); +#14850=AXIS2_PLACEMENT_3D('',#14847,#14848,#14849); +#14851=CYLINDRICAL_SURFACE('',#14850,1.E0); +#14852=ORIENTED_EDGE('',*,*,#13320,.T.); +#14853=ORIENTED_EDGE('',*,*,#14842,.T.); +#14854=ORIENTED_EDGE('',*,*,#14611,.T.); +#14855=ORIENTED_EDGE('',*,*,#14130,.F.); +#14856=EDGE_LOOP('',(#14852,#14853,#14854,#14855)); +#14857=FACE_OUTER_BOUND('',#14856,.F.); +#14859=CARTESIAN_POINT('',(3.32E1,-4.05E0,-2.E1)); +#14860=DIRECTION('',(0.E0,1.E0,0.E0)); +#14861=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14862=AXIS2_PLACEMENT_3D('',#14859,#14860,#14861); +#14863=PLANE('',#14862); +#14864=ORIENTED_EDGE('',*,*,#13302,.F.); +#14866=ORIENTED_EDGE('',*,*,#14865,.T.); +#14867=ORIENTED_EDGE('',*,*,#14532,.T.); +#14868=ORIENTED_EDGE('',*,*,#14746,.F.); +#14869=EDGE_LOOP('',(#14864,#14866,#14867,#14868)); +#14870=FACE_OUTER_BOUND('',#14869,.F.); +#14872=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.E1)); +#14873=DIRECTION('',(0.E0,0.E0,1.E0)); +#14874=DIRECTION('',(1.E0,0.E0,0.E0)); +#14875=AXIS2_PLACEMENT_3D('',#14872,#14873,#14874); +#14876=CYLINDRICAL_SURFACE('',#14875,3.25E0); +#14877=ORIENTED_EDGE('',*,*,#13300,.F.); +#14879=ORIENTED_EDGE('',*,*,#14878,.T.); +#14880=ORIENTED_EDGE('',*,*,#14534,.T.); +#14881=ORIENTED_EDGE('',*,*,#14865,.F.); +#14882=EDGE_LOOP('',(#14877,#14879,#14880,#14881)); +#14883=FACE_OUTER_BOUND('',#14882,.F.); +#14885=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-2.E1)); +#14886=DIRECTION('',(1.E0,0.E0,0.E0)); +#14887=DIRECTION('',(0.E0,1.E0,0.E0)); +#14888=AXIS2_PLACEMENT_3D('',#14885,#14886,#14887); +#14889=PLANE('',#14888); +#14890=ORIENTED_EDGE('',*,*,#13298,.F.); +#14892=ORIENTED_EDGE('',*,*,#14891,.T.); +#14893=ORIENTED_EDGE('',*,*,#14536,.T.); +#14894=ORIENTED_EDGE('',*,*,#14878,.F.); +#14895=EDGE_LOOP('',(#14890,#14892,#14893,#14894)); +#14896=FACE_OUTER_BOUND('',#14895,.F.); +#14898=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.E1)); +#14899=DIRECTION('',(0.E0,0.E0,1.E0)); +#14900=DIRECTION('',(1.E0,0.E0,0.E0)); +#14901=AXIS2_PLACEMENT_3D('',#14898,#14899,#14900); +#14902=CYLINDRICAL_SURFACE('',#14901,3.25E0); +#14903=ORIENTED_EDGE('',*,*,#13296,.F.); +#14905=ORIENTED_EDGE('',*,*,#14904,.T.); +#14906=ORIENTED_EDGE('',*,*,#14538,.T.); +#14907=ORIENTED_EDGE('',*,*,#14891,.F.); +#14908=EDGE_LOOP('',(#14903,#14905,#14906,#14907)); +#14909=FACE_OUTER_BOUND('',#14908,.F.); +#14911=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-2.E1)); +#14912=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14913=DIRECTION('',(1.E0,0.E0,0.E0)); +#14914=AXIS2_PLACEMENT_3D('',#14911,#14912,#14913); +#14915=PLANE('',#14914); +#14916=ORIENTED_EDGE('',*,*,#14044,.F.); +#14917=ORIENTED_EDGE('',*,*,#14540,.T.); +#14918=ORIENTED_EDGE('',*,*,#14904,.F.); +#14919=ORIENTED_EDGE('',*,*,#13294,.F.); +#14920=EDGE_LOOP('',(#14916,#14917,#14918,#14919)); +#14921=FACE_OUTER_BOUND('',#14920,.F.); +#14923=CARTESIAN_POINT('',(-3.063705121181E1,6.55E0,-2.E1)); +#14924=DIRECTION('',(0.E0,0.E0,1.E0)); +#14925=DIRECTION('',(1.E0,0.E0,0.E0)); +#14926=AXIS2_PLACEMENT_3D('',#14923,#14924,#14925); +#14927=CYLINDRICAL_SURFACE('',#14926,1.E0); +#14929=ORIENTED_EDGE('',*,*,#14928,.T.); +#14930=ORIENTED_EDGE('',*,*,#13334,.T.); +#14932=ORIENTED_EDGE('',*,*,#14931,.T.); +#14933=ORIENTED_EDGE('',*,*,#14581,.T.); +#14934=EDGE_LOOP('',(#14929,#14930,#14932,#14933)); +#14935=FACE_OUTER_BOUND('',#14934,.F.); +#14937=CARTESIAN_POINT('',(-2.35E1,5.6E0,0.E0)); +#14938=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14939=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14940=AXIS2_PLACEMENT_3D('',#14937,#14938,#14939); +#14941=CYLINDRICAL_SURFACE('',#14940,6.2E0); +#14942=ORIENTED_EDGE('',*,*,#14348,.T.); +#14943=ORIENTED_EDGE('',*,*,#14520,.T.); +#14944=ORIENTED_EDGE('',*,*,#13336,.T.); +#14945=ORIENTED_EDGE('',*,*,#14928,.F.); +#14946=ORIENTED_EDGE('',*,*,#14594,.T.); +#14947=ORIENTED_EDGE('',*,*,#14123,.F.); +#14948=EDGE_LOOP('',(#14942,#14943,#14944,#14945,#14946,#14947)); +#14949=FACE_OUTER_BOUND('',#14948,.F.); +#14951=CARTESIAN_POINT('',(-3.17E1,7.55E0,-2.E1)); +#14952=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14953=DIRECTION('',(1.E0,0.E0,0.E0)); +#14954=AXIS2_PLACEMENT_3D('',#14951,#14952,#14953); +#14955=PLANE('',#14954); +#14956=ORIENTED_EDGE('',*,*,#13332,.F.); +#14958=ORIENTED_EDGE('',*,*,#14957,.T.); +#14959=ORIENTED_EDGE('',*,*,#14583,.T.); +#14960=ORIENTED_EDGE('',*,*,#14931,.F.); +#14961=EDGE_LOOP('',(#14956,#14958,#14959,#14960)); +#14962=FACE_OUTER_BOUND('',#14961,.F.); +#14964=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#14965=DIRECTION('',(0.E0,0.E0,1.E0)); +#14966=DIRECTION('',(1.E0,0.E0,0.E0)); +#14967=AXIS2_PLACEMENT_3D('',#14964,#14965,#14966); +#14968=CYLINDRICAL_SURFACE('',#14967,3.25E0); +#14969=ORIENTED_EDGE('',*,*,#13330,.F.); +#14971=ORIENTED_EDGE('',*,*,#14970,.T.); +#14972=ORIENTED_EDGE('',*,*,#14585,.T.); +#14973=ORIENTED_EDGE('',*,*,#14957,.F.); +#14974=EDGE_LOOP('',(#14969,#14971,#14972,#14973)); +#14975=FACE_OUTER_BOUND('',#14974,.F.); +#14977=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-2.E1)); +#14978=DIRECTION('',(0.E0,1.E0,0.E0)); +#14979=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14980=AXIS2_PLACEMENT_3D('',#14977,#14978,#14979); +#14981=PLANE('',#14980); +#14982=ORIENTED_EDGE('',*,*,#13328,.F.); +#14984=ORIENTED_EDGE('',*,*,#14983,.T.); +#14985=ORIENTED_EDGE('',*,*,#14587,.T.); +#14986=ORIENTED_EDGE('',*,*,#14970,.F.); +#14987=EDGE_LOOP('',(#14982,#14984,#14985,#14986)); +#14988=FACE_OUTER_BOUND('',#14987,.F.); +#14990=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#14991=DIRECTION('',(0.E0,0.E0,1.E0)); +#14992=DIRECTION('',(1.E0,0.E0,0.E0)); +#14993=AXIS2_PLACEMENT_3D('',#14990,#14991,#14992); +#14994=CYLINDRICAL_SURFACE('',#14993,3.25E0); +#14995=ORIENTED_EDGE('',*,*,#13326,.F.); +#14997=ORIENTED_EDGE('',*,*,#14996,.T.); +#14998=ORIENTED_EDGE('',*,*,#14589,.T.); +#14999=ORIENTED_EDGE('',*,*,#14983,.F.); +#15000=EDGE_LOOP('',(#14995,#14997,#14998,#14999)); +#15001=FACE_OUTER_BOUND('',#15000,.F.); +#15003=CARTESIAN_POINT('',(-2.795E1,1.28E1,-2.E1)); +#15004=DIRECTION('',(0.E0,0.E0,1.E0)); +#15005=DIRECTION('',(1.E0,0.E0,0.E0)); +#15006=AXIS2_PLACEMENT_3D('',#15003,#15004,#15005); +#15007=CYLINDRICAL_SURFACE('',#15006,1.E0); +#15008=ORIENTED_EDGE('',*,*,#13324,.T.); +#15009=ORIENTED_EDGE('',*,*,#14127,.T.); +#15010=ORIENTED_EDGE('',*,*,#14591,.T.); +#15011=ORIENTED_EDGE('',*,*,#14996,.F.); +#15012=EDGE_LOOP('',(#15008,#15009,#15010,#15011)); +#15013=FACE_OUTER_BOUND('',#15012,.F.); +#15015=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.4E0)); +#15016=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15017=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15018=AXIS2_PLACEMENT_3D('',#15015,#15016,#15017); +#15019=PLANE('',#15018); +#15020=ORIENTED_EDGE('',*,*,#14292,.F.); +#15021=ORIENTED_EDGE('',*,*,#14247,.T.); +#15022=ORIENTED_EDGE('',*,*,#14320,.F.); +#15023=ORIENTED_EDGE('',*,*,#14117,.F.); +#15024=EDGE_LOOP('',(#15020,#15021,#15022,#15023)); +#15025=FACE_OUTER_BOUND('',#15024,.F.); +#15027=CARTESIAN_POINT('',(-2.480967741935E1,3.2E0,-2.E1)); +#15028=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15029=DIRECTION('',(1.E0,0.E0,0.E0)); +#15030=AXIS2_PLACEMENT_3D('',#15027,#15028,#15029); +#15031=PLANE('',#15030); +#15032=ORIENTED_EDGE('',*,*,#13592,.F.); +#15034=ORIENTED_EDGE('',*,*,#15033,.T.); +#15036=ORIENTED_EDGE('',*,*,#15035,.T.); +#15038=ORIENTED_EDGE('',*,*,#15037,.F.); +#15039=EDGE_LOOP('',(#15032,#15034,#15036,#15038)); +#15040=FACE_OUTER_BOUND('',#15039,.F.); +#15042=CARTESIAN_POINT('',(-2.480967741935E1,4.859574508667E0,-2.E1)); +#15043=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15044=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15045=AXIS2_PLACEMENT_3D('',#15042,#15043,#15044); +#15046=PLANE('',#15045); +#15047=ORIENTED_EDGE('',*,*,#13604,.F.); +#15049=ORIENTED_EDGE('',*,*,#15048,.T.); +#15051=ORIENTED_EDGE('',*,*,#15050,.T.); +#15052=ORIENTED_EDGE('',*,*,#15033,.F.); +#15053=EDGE_LOOP('',(#15047,#15049,#15051,#15052)); +#15054=FACE_OUTER_BOUND('',#15053,.F.); +#15056=CARTESIAN_POINT('',(-2.51E1,4.561702084541E0,-2.E1)); +#15057=DIRECTION('',(7.161239408757E-1,-6.979731379535E-1,0.E0)); +#15058=DIRECTION('',(6.979731379535E-1,7.161239408757E-1,0.E0)); +#15059=AXIS2_PLACEMENT_3D('',#15056,#15057,#15058); +#15060=PLANE('',#15059); +#15061=ORIENTED_EDGE('',*,*,#13602,.F.); +#15063=ORIENTED_EDGE('',*,*,#15062,.T.); +#15065=ORIENTED_EDGE('',*,*,#15064,.T.); +#15066=ORIENTED_EDGE('',*,*,#15048,.F.); +#15067=EDGE_LOOP('',(#15061,#15063,#15065,#15066)); +#15068=FACE_OUTER_BOUND('',#15067,.F.); +#15070=CARTESIAN_POINT('',(-2.51E1,4.902127695084E0,-2.E1)); +#15071=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15072=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15073=AXIS2_PLACEMENT_3D('',#15070,#15071,#15072); +#15074=PLANE('',#15073); +#15075=ORIENTED_EDGE('',*,*,#13600,.F.); +#15077=ORIENTED_EDGE('',*,*,#15076,.T.); +#15079=ORIENTED_EDGE('',*,*,#15078,.T.); +#15080=ORIENTED_EDGE('',*,*,#15062,.F.); +#15081=EDGE_LOOP('',(#15075,#15077,#15079,#15080)); +#15082=FACE_OUTER_BOUND('',#15081,.F.); +#15084=CARTESIAN_POINT('',(-2.480967741935E1,5.2E0,-2.E1)); +#15085=DIRECTION('',(-7.161238012564E-1,6.979732812036E-1,0.E0)); +#15086=DIRECTION('',(-6.979732812036E-1,-7.161238012564E-1,0.E0)); +#15087=AXIS2_PLACEMENT_3D('',#15084,#15085,#15086); +#15088=PLANE('',#15087); +#15089=ORIENTED_EDGE('',*,*,#13598,.F.); +#15091=ORIENTED_EDGE('',*,*,#15090,.T.); +#15093=ORIENTED_EDGE('',*,*,#15092,.T.); +#15094=ORIENTED_EDGE('',*,*,#15076,.F.); +#15095=EDGE_LOOP('',(#15089,#15091,#15093,#15094)); +#15096=FACE_OUTER_BOUND('',#15095,.F.); +#15098=CARTESIAN_POINT('',(-2.451935483871E1,5.2E0,-2.E1)); +#15099=DIRECTION('',(0.E0,1.E0,0.E0)); +#15100=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15101=AXIS2_PLACEMENT_3D('',#15098,#15099,#15100); +#15102=PLANE('',#15101); +#15103=ORIENTED_EDGE('',*,*,#13596,.F.); +#15105=ORIENTED_EDGE('',*,*,#15104,.T.); +#15107=ORIENTED_EDGE('',*,*,#15106,.T.); +#15108=ORIENTED_EDGE('',*,*,#15090,.F.); +#15109=EDGE_LOOP('',(#15103,#15105,#15107,#15108)); +#15110=FACE_OUTER_BOUND('',#15109,.F.); +#15112=CARTESIAN_POINT('',(-2.451935483871E1,3.2E0,-2.E1)); +#15113=DIRECTION('',(1.E0,0.E0,0.E0)); +#15114=DIRECTION('',(0.E0,1.E0,0.E0)); +#15115=AXIS2_PLACEMENT_3D('',#15112,#15113,#15114); +#15116=PLANE('',#15115); +#15117=ORIENTED_EDGE('',*,*,#13594,.F.); +#15118=ORIENTED_EDGE('',*,*,#15037,.T.); +#15120=ORIENTED_EDGE('',*,*,#15119,.T.); +#15121=ORIENTED_EDGE('',*,*,#15104,.F.); +#15122=EDGE_LOOP('',(#15117,#15118,#15120,#15121)); +#15123=FACE_OUTER_BOUND('',#15122,.F.); +#15125=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#15126=DIRECTION('',(0.E0,0.E0,1.E0)); +#15127=DIRECTION('',(1.E0,0.E0,0.E0)); +#15128=AXIS2_PLACEMENT_3D('',#15125,#15126,#15127); +#15129=PLANE('',#15128); +#15130=ORIENTED_EDGE('',*,*,#15119,.F.); +#15131=ORIENTED_EDGE('',*,*,#15035,.F.); +#15132=ORIENTED_EDGE('',*,*,#15050,.F.); +#15133=ORIENTED_EDGE('',*,*,#15064,.F.); +#15134=ORIENTED_EDGE('',*,*,#15078,.F.); +#15135=ORIENTED_EDGE('',*,*,#15092,.F.); +#15136=ORIENTED_EDGE('',*,*,#15106,.F.); +#15137=EDGE_LOOP('',(#15130,#15131,#15132,#15133,#15134,#15135,#15136)); +#15138=FACE_OUTER_BOUND('',#15137,.F.); +#15140=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#15141=DIRECTION('',(0.E0,0.E0,1.E0)); +#15142=DIRECTION('',(1.E0,0.E0,0.E0)); +#15143=AXIS2_PLACEMENT_3D('',#15140,#15141,#15142); +#15144=PLANE('',#15143); +#15146=ORIENTED_EDGE('',*,*,#15145,.F.); +#15148=ORIENTED_EDGE('',*,*,#15147,.F.); +#15150=ORIENTED_EDGE('',*,*,#15149,.F.); +#15152=ORIENTED_EDGE('',*,*,#15151,.F.); +#15154=ORIENTED_EDGE('',*,*,#15153,.F.); +#15156=ORIENTED_EDGE('',*,*,#15155,.F.); +#15158=ORIENTED_EDGE('',*,*,#15157,.F.); +#15160=ORIENTED_EDGE('',*,*,#15159,.F.); +#15162=ORIENTED_EDGE('',*,*,#15161,.F.); +#15164=ORIENTED_EDGE('',*,*,#15163,.F.); +#15166=ORIENTED_EDGE('',*,*,#15165,.F.); +#15168=ORIENTED_EDGE('',*,*,#15167,.F.); +#15170=ORIENTED_EDGE('',*,*,#15169,.F.); +#15172=ORIENTED_EDGE('',*,*,#15171,.F.); +#15174=ORIENTED_EDGE('',*,*,#15173,.F.); +#15176=ORIENTED_EDGE('',*,*,#15175,.F.); +#15178=ORIENTED_EDGE('',*,*,#15177,.F.); +#15180=ORIENTED_EDGE('',*,*,#15179,.F.); +#15182=ORIENTED_EDGE('',*,*,#15181,.F.); +#15184=ORIENTED_EDGE('',*,*,#15183,.F.); +#15186=ORIENTED_EDGE('',*,*,#15185,.F.); +#15188=ORIENTED_EDGE('',*,*,#15187,.F.); +#15190=ORIENTED_EDGE('',*,*,#15189,.F.); +#15192=ORIENTED_EDGE('',*,*,#15191,.F.); +#15194=ORIENTED_EDGE('',*,*,#15193,.F.); +#15196=ORIENTED_EDGE('',*,*,#15195,.F.); +#15198=ORIENTED_EDGE('',*,*,#15197,.F.); +#15200=ORIENTED_EDGE('',*,*,#15199,.F.); +#15201=EDGE_LOOP('',(#15146,#15148,#15150,#15152,#15154,#15156,#15158,#15160, +#15162,#15164,#15166,#15168,#15170,#15172,#15174,#15176,#15178,#15180,#15182, +#15184,#15186,#15188,#15190,#15192,#15194,#15196,#15198,#15200)); +#15202=FACE_OUTER_BOUND('',#15201,.F.); +#15204=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#15205=DIRECTION('',(0.E0,0.E0,1.E0)); +#15206=DIRECTION('',(1.E0,0.E0,0.E0)); +#15207=AXIS2_PLACEMENT_3D('',#15204,#15205,#15206); +#15208=PLANE('',#15207); +#15210=ORIENTED_EDGE('',*,*,#15209,.F.); +#15212=ORIENTED_EDGE('',*,*,#15211,.F.); +#15214=ORIENTED_EDGE('',*,*,#15213,.F.); +#15216=ORIENTED_EDGE('',*,*,#15215,.F.); +#15218=ORIENTED_EDGE('',*,*,#15217,.F.); +#15220=ORIENTED_EDGE('',*,*,#15219,.F.); +#15222=ORIENTED_EDGE('',*,*,#15221,.F.); +#15224=ORIENTED_EDGE('',*,*,#15223,.F.); +#15226=ORIENTED_EDGE('',*,*,#15225,.F.); +#15228=ORIENTED_EDGE('',*,*,#15227,.F.); +#15230=ORIENTED_EDGE('',*,*,#15229,.F.); +#15231=EDGE_LOOP('',(#15210,#15212,#15214,#15216,#15218,#15220,#15222,#15224, +#15226,#15228,#15230)); +#15232=FACE_OUTER_BOUND('',#15231,.F.); +#15234=ORIENTED_EDGE('',*,*,#15233,.F.); +#15236=ORIENTED_EDGE('',*,*,#15235,.F.); +#15238=ORIENTED_EDGE('',*,*,#15237,.F.); +#15239=EDGE_LOOP('',(#15234,#15236,#15238)); +#15240=FACE_BOUND('',#15239,.F.); +#15242=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#15243=DIRECTION('',(0.E0,0.E0,1.E0)); +#15244=DIRECTION('',(1.E0,0.E0,0.E0)); +#15245=AXIS2_PLACEMENT_3D('',#15242,#15243,#15244); +#15246=PLANE('',#15245); +#15248=ORIENTED_EDGE('',*,*,#15247,.F.); +#15250=ORIENTED_EDGE('',*,*,#15249,.F.); +#15252=ORIENTED_EDGE('',*,*,#15251,.F.); +#15254=ORIENTED_EDGE('',*,*,#15253,.F.); +#15256=ORIENTED_EDGE('',*,*,#15255,.F.); +#15258=ORIENTED_EDGE('',*,*,#15257,.F.); +#15260=ORIENTED_EDGE('',*,*,#15259,.F.); +#15261=EDGE_LOOP('',(#15248,#15250,#15252,#15254,#15256,#15258,#15260)); +#15262=FACE_OUTER_BOUND('',#15261,.F.); +#15264=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#15265=DIRECTION('',(0.E0,0.E0,1.E0)); +#15266=DIRECTION('',(1.E0,0.E0,0.E0)); +#15267=AXIS2_PLACEMENT_3D('',#15264,#15265,#15266); +#15268=PLANE('',#15267); +#15270=ORIENTED_EDGE('',*,*,#15269,.F.); +#15272=ORIENTED_EDGE('',*,*,#15271,.F.); +#15274=ORIENTED_EDGE('',*,*,#15273,.F.); +#15276=ORIENTED_EDGE('',*,*,#15275,.F.); +#15278=ORIENTED_EDGE('',*,*,#15277,.F.); +#15280=ORIENTED_EDGE('',*,*,#15279,.F.); +#15282=ORIENTED_EDGE('',*,*,#15281,.F.); +#15284=ORIENTED_EDGE('',*,*,#15283,.F.); +#15286=ORIENTED_EDGE('',*,*,#15285,.F.); +#15288=ORIENTED_EDGE('',*,*,#15287,.F.); +#15290=ORIENTED_EDGE('',*,*,#15289,.F.); +#15292=ORIENTED_EDGE('',*,*,#15291,.F.); +#15294=ORIENTED_EDGE('',*,*,#15293,.F.); +#15296=ORIENTED_EDGE('',*,*,#15295,.F.); +#15298=ORIENTED_EDGE('',*,*,#15297,.F.); +#15300=ORIENTED_EDGE('',*,*,#15299,.F.); +#15302=ORIENTED_EDGE('',*,*,#15301,.F.); +#15304=ORIENTED_EDGE('',*,*,#15303,.F.); +#15306=ORIENTED_EDGE('',*,*,#15305,.F.); +#15308=ORIENTED_EDGE('',*,*,#15307,.F.); +#15310=ORIENTED_EDGE('',*,*,#15309,.F.); +#15312=ORIENTED_EDGE('',*,*,#15311,.F.); +#15314=ORIENTED_EDGE('',*,*,#15313,.F.); +#15316=ORIENTED_EDGE('',*,*,#15315,.F.); +#15318=ORIENTED_EDGE('',*,*,#15317,.F.); +#15320=ORIENTED_EDGE('',*,*,#15319,.F.); +#15322=ORIENTED_EDGE('',*,*,#15321,.F.); +#15324=ORIENTED_EDGE('',*,*,#15323,.F.); +#15326=ORIENTED_EDGE('',*,*,#15325,.F.); +#15328=ORIENTED_EDGE('',*,*,#15327,.F.); +#15330=ORIENTED_EDGE('',*,*,#15329,.F.); +#15332=ORIENTED_EDGE('',*,*,#15331,.F.); +#15334=ORIENTED_EDGE('',*,*,#15333,.F.); +#15336=ORIENTED_EDGE('',*,*,#15335,.F.); +#15338=ORIENTED_EDGE('',*,*,#15337,.F.); +#15340=ORIENTED_EDGE('',*,*,#15339,.F.); +#15342=ORIENTED_EDGE('',*,*,#15341,.F.); +#15344=ORIENTED_EDGE('',*,*,#15343,.F.); +#15346=ORIENTED_EDGE('',*,*,#15345,.F.); +#15348=ORIENTED_EDGE('',*,*,#15347,.F.); +#15350=ORIENTED_EDGE('',*,*,#15349,.F.); +#15352=ORIENTED_EDGE('',*,*,#15351,.F.); +#15354=ORIENTED_EDGE('',*,*,#15353,.F.); +#15356=ORIENTED_EDGE('',*,*,#15355,.F.); +#15358=ORIENTED_EDGE('',*,*,#15357,.F.); +#15360=ORIENTED_EDGE('',*,*,#15359,.F.); +#15362=ORIENTED_EDGE('',*,*,#15361,.F.); +#15364=ORIENTED_EDGE('',*,*,#15363,.F.); +#15366=ORIENTED_EDGE('',*,*,#15365,.F.); +#15368=ORIENTED_EDGE('',*,*,#15367,.F.); +#15370=ORIENTED_EDGE('',*,*,#15369,.F.); +#15372=ORIENTED_EDGE('',*,*,#15371,.F.); +#15374=ORIENTED_EDGE('',*,*,#15373,.F.); +#15376=ORIENTED_EDGE('',*,*,#15375,.F.); +#15378=ORIENTED_EDGE('',*,*,#15377,.F.); +#15380=ORIENTED_EDGE('',*,*,#15379,.F.); +#15382=ORIENTED_EDGE('',*,*,#15381,.F.); +#15383=EDGE_LOOP('',(#15270,#15272,#15274,#15276,#15278,#15280,#15282,#15284, +#15286,#15288,#15290,#15292,#15294,#15296,#15298,#15300,#15302,#15304,#15306, +#15308,#15310,#15312,#15314,#15316,#15318,#15320,#15322,#15324,#15326,#15328, +#15330,#15332,#15334,#15336,#15338,#15340,#15342,#15344,#15346,#15348,#15350, +#15352,#15354,#15356,#15358,#15360,#15362,#15364,#15366,#15368,#15370,#15372, +#15374,#15376,#15378,#15380,#15382)); +#15384=FACE_OUTER_BOUND('',#15383,.F.); +#15386=CARTESIAN_POINT('',(-2.5E1,-5.987234038115E0,-2.E1)); +#15387=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15388=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15389=AXIS2_PLACEMENT_3D('',#15386,#15387,#15388); +#15390=PLANE('',#15389); +#15391=ORIENTED_EDGE('',*,*,#13610,.F.); +#15393=ORIENTED_EDGE('',*,*,#15392,.T.); +#15394=ORIENTED_EDGE('',*,*,#15145,.T.); +#15396=ORIENTED_EDGE('',*,*,#15395,.F.); +#15397=EDGE_LOOP('',(#15391,#15393,#15394,#15396)); +#15398=FACE_OUTER_BOUND('',#15397,.F.); +#15400=CARTESIAN_POINT('',(-2.436404934028E1,-4.923404288292E0,-2.E1)); +#15401=DIRECTION('',(-8.583272853770E-1,5.131025932281E-1,0.E0)); +#15402=DIRECTION('',(-5.131025932281E-1,-8.583272853770E-1,0.E0)); +#15403=AXIS2_PLACEMENT_3D('',#15400,#15401,#15402); +#15404=PLANE('',#15403); +#15405=ORIENTED_EDGE('',*,*,#13608,.F.); +#15407=ORIENTED_EDGE('',*,*,#15406,.T.); +#15408=ORIENTED_EDGE('',*,*,#15147,.T.); +#15409=ORIENTED_EDGE('',*,*,#15392,.F.); +#15410=EDGE_LOOP('',(#15405,#15407,#15408,#15409)); +#15411=FACE_OUTER_BOUND('',#15410,.F.); +#15413=CARTESIAN_POINT('',(-2.431862426995E1,-4.753191542625E0,-2.E1)); +#15414=DIRECTION('',(-9.661854530976E-1,2.578481534210E-1,0.E0)); +#15415=DIRECTION('',(-2.578481534210E-1,-9.661854530976E-1,0.E0)); +#15416=AXIS2_PLACEMENT_3D('',#15413,#15414,#15415); +#15417=PLANE('',#15416); +#15418=ORIENTED_EDGE('',*,*,#13662,.F.); +#15420=ORIENTED_EDGE('',*,*,#15419,.T.); +#15421=ORIENTED_EDGE('',*,*,#15149,.T.); +#15422=ORIENTED_EDGE('',*,*,#15406,.F.); +#15423=EDGE_LOOP('',(#15418,#15420,#15421,#15422)); +#15424=FACE_OUTER_BOUND('',#15423,.F.); +#15426=CARTESIAN_POINT('',(-2.431862426995E1,-4.668085050583E0,-2.E1)); +#15427=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15428=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15429=AXIS2_PLACEMENT_3D('',#15426,#15427,#15428); +#15430=PLANE('',#15429); +#15431=ORIENTED_EDGE('',*,*,#13660,.F.); +#15433=ORIENTED_EDGE('',*,*,#15432,.T.); +#15434=ORIENTED_EDGE('',*,*,#15151,.T.); +#15435=ORIENTED_EDGE('',*,*,#15419,.F.); +#15436=EDGE_LOOP('',(#15431,#15433,#15434,#15435)); +#15437=FACE_OUTER_BOUND('',#15436,.F.); +#15439=CARTESIAN_POINT('',(-2.436404934028E1,-4.540425491333E0,-2.E1)); +#15440=DIRECTION('',(-9.421331179979E-1,-3.352390012686E-1,0.E0)); +#15441=DIRECTION('',(3.352390012686E-1,-9.421331179979E-1,0.E0)); +#15442=AXIS2_PLACEMENT_3D('',#15439,#15440,#15441); +#15443=PLANE('',#15442); +#15444=ORIENTED_EDGE('',*,*,#13658,.F.); +#15446=ORIENTED_EDGE('',*,*,#15445,.T.); +#15447=ORIENTED_EDGE('',*,*,#15153,.T.); +#15448=ORIENTED_EDGE('',*,*,#15432,.F.); +#15449=EDGE_LOOP('',(#15444,#15446,#15447,#15448)); +#15450=FACE_OUTER_BOUND('',#15449,.F.); +#15452=CARTESIAN_POINT('',(-2.443218691329E1,-4.455319118500E0,-2.E1)); +#15453=DIRECTION('',(-7.806339631095E-1,-6.249884924060E-1,0.E0)); +#15454=DIRECTION('',(6.249884924060E-1,-7.806339631095E-1,0.E0)); +#15455=AXIS2_PLACEMENT_3D('',#15452,#15453,#15454); +#15456=PLANE('',#15455); +#15457=ORIENTED_EDGE('',*,*,#13656,.F.); +#15459=ORIENTED_EDGE('',*,*,#15458,.T.); +#15460=ORIENTED_EDGE('',*,*,#15155,.T.); +#15461=ORIENTED_EDGE('',*,*,#15445,.F.); +#15462=EDGE_LOOP('',(#15457,#15459,#15460,#15461)); +#15463=FACE_OUTER_BOUND('',#15462,.F.); +#15465=CARTESIAN_POINT('',(-2.454574952413E1,-4.412765932083E0,-2.E1)); +#15466=DIRECTION('',(-3.508863650108E-1,-9.364180470546E-1,0.E0)); +#15467=DIRECTION('',(9.364180470546E-1,-3.508863650108E-1,0.E0)); +#15468=AXIS2_PLACEMENT_3D('',#15465,#15466,#15467); +#15469=PLANE('',#15468); +#15470=ORIENTED_EDGE('',*,*,#13654,.F.); +#15472=ORIENTED_EDGE('',*,*,#15471,.T.); +#15473=ORIENTED_EDGE('',*,*,#15157,.T.); +#15474=ORIENTED_EDGE('',*,*,#15458,.F.); +#15475=EDGE_LOOP('',(#15470,#15472,#15473,#15474)); +#15476=FACE_OUTER_BOUND('',#15475,.F.); +#15478=CARTESIAN_POINT('',(-2.463659959981E1,-4.412765932083E0,-2.E1)); +#15479=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15480=DIRECTION('',(1.E0,0.E0,0.E0)); +#15481=AXIS2_PLACEMENT_3D('',#15478,#15479,#15480); +#15482=PLANE('',#15481); +#15483=ORIENTED_EDGE('',*,*,#13652,.F.); +#15485=ORIENTED_EDGE('',*,*,#15484,.T.); +#15486=ORIENTED_EDGE('',*,*,#15159,.T.); +#15487=ORIENTED_EDGE('',*,*,#15471,.F.); +#15488=EDGE_LOOP('',(#15483,#15485,#15486,#15487)); +#15489=FACE_OUTER_BOUND('',#15488,.F.); +#15491=CARTESIAN_POINT('',(-2.475016222690E1,-4.455319118500E0,-2.E1)); +#15492=DIRECTION('',(3.508863209961E-1,-9.364180635474E-1,0.E0)); +#15493=DIRECTION('',(9.364180635474E-1,3.508863209961E-1,0.E0)); +#15494=AXIS2_PLACEMENT_3D('',#15491,#15492,#15493); +#15495=PLANE('',#15494); +#15496=ORIENTED_EDGE('',*,*,#13650,.F.); +#15498=ORIENTED_EDGE('',*,*,#15497,.T.); +#15499=ORIENTED_EDGE('',*,*,#15161,.T.); +#15500=ORIENTED_EDGE('',*,*,#15484,.F.); +#15501=EDGE_LOOP('',(#15496,#15498,#15499,#15500)); +#15502=FACE_OUTER_BOUND('',#15501,.F.); +#15504=CARTESIAN_POINT('',(-2.481829979991E1,-4.540425491333E0,-2.E1)); +#15505=DIRECTION('',(7.806339631095E-1,-6.249884924060E-1,0.E0)); +#15506=DIRECTION('',(6.249884924060E-1,7.806339631095E-1,0.E0)); +#15507=AXIS2_PLACEMENT_3D('',#15504,#15505,#15506); +#15508=PLANE('',#15507); +#15509=ORIENTED_EDGE('',*,*,#13648,.F.); +#15511=ORIENTED_EDGE('',*,*,#15510,.T.); +#15512=ORIENTED_EDGE('',*,*,#15163,.T.); +#15513=ORIENTED_EDGE('',*,*,#15497,.F.); +#15514=EDGE_LOOP('',(#15509,#15511,#15512,#15513)); +#15515=FACE_OUTER_BOUND('',#15514,.F.); +#15517=CARTESIAN_POINT('',(-2.486372485399E1,-4.668085050583E0,-2.E1)); +#15518=DIRECTION('',(9.421331558641E-1,-3.352388948518E-1,0.E0)); +#15519=DIRECTION('',(3.352388948518E-1,9.421331558641E-1,0.E0)); +#15520=AXIS2_PLACEMENT_3D('',#15517,#15518,#15519); +#15521=PLANE('',#15520); +#15522=ORIENTED_EDGE('',*,*,#13646,.F.); +#15524=ORIENTED_EDGE('',*,*,#15523,.T.); +#15525=ORIENTED_EDGE('',*,*,#15165,.T.); +#15526=ORIENTED_EDGE('',*,*,#15510,.F.); +#15527=EDGE_LOOP('',(#15522,#15524,#15525,#15526)); +#15528=FACE_OUTER_BOUND('',#15527,.F.); +#15530=CARTESIAN_POINT('',(-2.497728747499E1,-4.668085050583E0,-2.E1)); +#15531=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15532=DIRECTION('',(1.E0,0.E0,0.E0)); +#15533=AXIS2_PLACEMENT_3D('',#15530,#15531,#15532); +#15534=PLANE('',#15533); +#15535=ORIENTED_EDGE('',*,*,#13644,.F.); +#15537=ORIENTED_EDGE('',*,*,#15536,.T.); +#15538=ORIENTED_EDGE('',*,*,#15167,.T.); +#15539=ORIENTED_EDGE('',*,*,#15523,.F.); +#15540=EDGE_LOOP('',(#15535,#15537,#15538,#15539)); +#15541=FACE_OUTER_BOUND('',#15540,.F.); +#15543=CARTESIAN_POINT('',(-2.495457494998E1,-4.497872304916E0,-2.E1)); +#15544=DIRECTION('',(-9.912145509796E-1,1.322638042937E-1,0.E0)); +#15545=DIRECTION('',(-1.322638042937E-1,-9.912145509796E-1,0.E0)); +#15546=AXIS2_PLACEMENT_3D('',#15543,#15544,#15545); +#15547=PLANE('',#15546); +#15548=ORIENTED_EDGE('',*,*,#13642,.F.); +#15550=ORIENTED_EDGE('',*,*,#15549,.T.); +#15551=ORIENTED_EDGE('',*,*,#15169,.T.); +#15552=ORIENTED_EDGE('',*,*,#15536,.F.); +#15553=EDGE_LOOP('',(#15548,#15550,#15551,#15552)); +#15554=FACE_OUTER_BOUND('',#15553,.F.); +#15556=CARTESIAN_POINT('',(-2.486372485399E1,-4.327659559250E0,-2.E1)); +#15557=DIRECTION('',(-8.822023254262E-1,4.708705310514E-1,0.E0)); +#15558=DIRECTION('',(-4.708705310514E-1,-8.822023254262E-1,0.E0)); +#15559=AXIS2_PLACEMENT_3D('',#15556,#15557,#15558); +#15560=PLANE('',#15559); +#15561=ORIENTED_EDGE('',*,*,#13640,.F.); +#15563=ORIENTED_EDGE('',*,*,#15562,.T.); +#15564=ORIENTED_EDGE('',*,*,#15171,.T.); +#15565=ORIENTED_EDGE('',*,*,#15549,.F.); +#15566=EDGE_LOOP('',(#15561,#15563,#15564,#15565)); +#15567=FACE_OUTER_BOUND('',#15566,.F.); +#15569=CARTESIAN_POINT('',(-2.477287476207E1,-4.242553186417E0,-2.E1)); +#15570=DIRECTION('',(-6.836606641092E-1,7.298000386063E-1,0.E0)); +#15571=DIRECTION('',(-7.298000386063E-1,-6.836606641092E-1,0.E0)); +#15572=AXIS2_PLACEMENT_3D('',#15569,#15570,#15571); +#15573=PLANE('',#15572); +#15574=ORIENTED_EDGE('',*,*,#13638,.F.); +#15576=ORIENTED_EDGE('',*,*,#15575,.T.); +#15577=ORIENTED_EDGE('',*,*,#15173,.T.); +#15578=ORIENTED_EDGE('',*,*,#15562,.F.); +#15579=EDGE_LOOP('',(#15574,#15576,#15577,#15578)); +#15580=FACE_OUTER_BOUND('',#15579,.F.); +#15582=CARTESIAN_POINT('',(-2.461388709714E1,-4.2E0,-2.E1)); +#15583=DIRECTION('',(-2.585501581877E-1,9.659978342114E-1,0.E0)); +#15584=DIRECTION('',(-9.659978342114E-1,-2.585501581877E-1,0.E0)); +#15585=AXIS2_PLACEMENT_3D('',#15582,#15583,#15584); +#15586=PLANE('',#15585); +#15587=ORIENTED_EDGE('',*,*,#13636,.F.); +#15589=ORIENTED_EDGE('',*,*,#15588,.T.); +#15590=ORIENTED_EDGE('',*,*,#15175,.T.); +#15591=ORIENTED_EDGE('',*,*,#15575,.F.); +#15592=EDGE_LOOP('',(#15587,#15589,#15590,#15591)); +#15593=FACE_OUTER_BOUND('',#15592,.F.); +#15595=CARTESIAN_POINT('',(-2.452303698897E1,-4.2E0,-2.E1)); +#15596=DIRECTION('',(0.E0,1.E0,0.E0)); +#15597=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15598=AXIS2_PLACEMENT_3D('',#15595,#15596,#15597); +#15599=PLANE('',#15598); +#15600=ORIENTED_EDGE('',*,*,#13634,.F.); +#15602=ORIENTED_EDGE('',*,*,#15601,.T.); +#15603=ORIENTED_EDGE('',*,*,#15177,.T.); +#15604=ORIENTED_EDGE('',*,*,#15588,.F.); +#15605=EDGE_LOOP('',(#15600,#15602,#15603,#15604)); +#15606=FACE_OUTER_BOUND('',#15605,.F.); +#15608=CARTESIAN_POINT('',(-2.438676184296E1,-4.242553186417E0,-2.E1)); +#15609=DIRECTION('',(2.980656981935E-1,9.545453575187E-1,0.E0)); +#15610=DIRECTION('',(-9.545453575187E-1,2.980656981935E-1,0.E0)); +#15611=AXIS2_PLACEMENT_3D('',#15608,#15609,#15610); +#15612=PLANE('',#15611); +#15613=ORIENTED_EDGE('',*,*,#13632,.F.); +#15615=ORIENTED_EDGE('',*,*,#15614,.T.); +#15616=ORIENTED_EDGE('',*,*,#15179,.T.); +#15617=ORIENTED_EDGE('',*,*,#15601,.F.); +#15618=EDGE_LOOP('',(#15613,#15615,#15616,#15617)); +#15619=FACE_OUTER_BOUND('',#15618,.F.); +#15621=CARTESIAN_POINT('',(-2.429591176728E1,-4.327659559250E0,-2.E1)); +#15622=DIRECTION('',(6.836607292195E-1,7.297999776124E-1,0.E0)); +#15623=DIRECTION('',(-7.297999776124E-1,6.836607292195E-1,0.E0)); +#15624=AXIS2_PLACEMENT_3D('',#15621,#15622,#15623); +#15625=PLANE('',#15624); +#15626=ORIENTED_EDGE('',*,*,#13630,.F.); +#15628=ORIENTED_EDGE('',*,*,#15627,.T.); +#15629=ORIENTED_EDGE('',*,*,#15181,.T.); +#15630=ORIENTED_EDGE('',*,*,#15614,.F.); +#15631=EDGE_LOOP('',(#15626,#15628,#15629,#15630)); +#15632=FACE_OUTER_BOUND('',#15631,.F.); +#15634=CARTESIAN_POINT('',(-2.420506162662E1,-4.497872304916E0,-2.E1)); +#15635=DIRECTION('',(8.822022292416E-1,4.708707112585E-1,0.E0)); +#15636=DIRECTION('',(-4.708707112585E-1,8.822022292416E-1,0.E0)); +#15637=AXIS2_PLACEMENT_3D('',#15634,#15635,#15636); +#15638=PLANE('',#15637); +#15639=ORIENTED_EDGE('',*,*,#13628,.F.); +#15641=ORIENTED_EDGE('',*,*,#15640,.T.); +#15642=ORIENTED_EDGE('',*,*,#15183,.T.); +#15643=ORIENTED_EDGE('',*,*,#15627,.F.); +#15644=EDGE_LOOP('',(#15639,#15641,#15642,#15643)); +#15645=FACE_OUTER_BOUND('',#15644,.F.); +#15647=CARTESIAN_POINT('',(-2.418234912395E1,-4.668085050583E0,-2.E1)); +#15648=DIRECTION('',(9.912145680331E-1,1.322636764909E-1,0.E0)); +#15649=DIRECTION('',(-1.322636764909E-1,9.912145680331E-1,0.E0)); +#15650=AXIS2_PLACEMENT_3D('',#15647,#15648,#15649); +#15651=PLANE('',#15650); +#15652=ORIENTED_EDGE('',*,*,#13626,.F.); +#15654=ORIENTED_EDGE('',*,*,#15653,.T.); +#15655=ORIENTED_EDGE('',*,*,#15185,.T.); +#15656=ORIENTED_EDGE('',*,*,#15640,.F.); +#15657=EDGE_LOOP('',(#15652,#15654,#15655,#15656)); +#15658=FACE_OUTER_BOUND('',#15657,.F.); +#15660=CARTESIAN_POINT('',(-2.418234912395E1,-4.753191542625E0,-2.E1)); +#15661=DIRECTION('',(1.E0,0.E0,0.E0)); +#15662=DIRECTION('',(0.E0,1.E0,0.E0)); +#15663=AXIS2_PLACEMENT_3D('',#15660,#15661,#15662); +#15664=PLANE('',#15663); +#15665=ORIENTED_EDGE('',*,*,#13624,.F.); +#15667=ORIENTED_EDGE('',*,*,#15666,.T.); +#15668=ORIENTED_EDGE('',*,*,#15187,.T.); +#15669=ORIENTED_EDGE('',*,*,#15653,.F.); +#15670=EDGE_LOOP('',(#15665,#15667,#15668,#15669)); +#15671=FACE_OUTER_BOUND('',#15670,.F.); +#15673=CARTESIAN_POINT('',(-2.420506162662E1,-4.923404288292E0,-2.E1)); +#15674=DIRECTION('',(9.912145680331E-1,-1.322636764909E-1,0.E0)); +#15675=DIRECTION('',(1.322636764909E-1,9.912145680331E-1,0.E0)); +#15676=AXIS2_PLACEMENT_3D('',#15673,#15674,#15675); +#15677=PLANE('',#15676); +#15678=ORIENTED_EDGE('',*,*,#13622,.F.); +#15680=ORIENTED_EDGE('',*,*,#15679,.T.); +#15681=ORIENTED_EDGE('',*,*,#15189,.T.); +#15682=ORIENTED_EDGE('',*,*,#15666,.F.); +#15683=EDGE_LOOP('',(#15678,#15680,#15681,#15682)); +#15684=FACE_OUTER_BOUND('',#15683,.F.); +#15686=CARTESIAN_POINT('',(-2.425048669695E1,-5.051063847542E0,-2.E1)); +#15687=DIRECTION('',(9.421331179979E-1,-3.352390012686E-1,0.E0)); +#15688=DIRECTION('',(3.352390012686E-1,9.421331179979E-1,0.E0)); +#15689=AXIS2_PLACEMENT_3D('',#15686,#15687,#15688); +#15690=PLANE('',#15689); +#15691=ORIENTED_EDGE('',*,*,#13620,.F.); +#15693=ORIENTED_EDGE('',*,*,#15692,.T.); +#15694=ORIENTED_EDGE('',*,*,#15191,.T.); +#15695=ORIENTED_EDGE('',*,*,#15679,.F.); +#15696=EDGE_LOOP('',(#15691,#15693,#15694,#15695)); +#15697=FACE_OUTER_BOUND('',#15696,.F.); +#15699=CARTESIAN_POINT('',(-2.481829979991E1,-5.987234038115E0,-2.E1)); +#15700=DIRECTION('',(8.550207785597E-1,-5.185937410258E-1,0.E0)); +#15701=DIRECTION('',(5.185937410258E-1,8.550207785597E-1,0.E0)); +#15702=AXIS2_PLACEMENT_3D('',#15699,#15700,#15701); +#15703=PLANE('',#15702); +#15704=ORIENTED_EDGE('',*,*,#13618,.F.); +#15706=ORIENTED_EDGE('',*,*,#15705,.T.); +#15707=ORIENTED_EDGE('',*,*,#15193,.T.); +#15708=ORIENTED_EDGE('',*,*,#15692,.F.); +#15709=EDGE_LOOP('',(#15704,#15706,#15707,#15708)); +#15710=FACE_OUTER_BOUND('',#15709,.F.); +#15712=CARTESIAN_POINT('',(-2.418234912395E1,-5.987234038115E0,-2.E1)); +#15713=DIRECTION('',(0.E0,1.E0,0.E0)); +#15714=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15715=AXIS2_PLACEMENT_3D('',#15712,#15713,#15714); +#15716=PLANE('',#15715); +#15717=ORIENTED_EDGE('',*,*,#13616,.F.); +#15719=ORIENTED_EDGE('',*,*,#15718,.T.); +#15720=ORIENTED_EDGE('',*,*,#15195,.T.); +#15721=ORIENTED_EDGE('',*,*,#15705,.F.); +#15722=EDGE_LOOP('',(#15717,#15719,#15720,#15721)); +#15723=FACE_OUTER_BOUND('',#15722,.F.); +#15725=CARTESIAN_POINT('',(-2.418234912395E1,-6.2E0,-2.E1)); +#15726=DIRECTION('',(1.E0,0.E0,0.E0)); +#15727=DIRECTION('',(0.E0,1.E0,0.E0)); +#15728=AXIS2_PLACEMENT_3D('',#15725,#15726,#15727); +#15729=PLANE('',#15728); +#15730=ORIENTED_EDGE('',*,*,#13614,.F.); +#15732=ORIENTED_EDGE('',*,*,#15731,.T.); +#15733=ORIENTED_EDGE('',*,*,#15197,.T.); +#15734=ORIENTED_EDGE('',*,*,#15718,.F.); +#15735=EDGE_LOOP('',(#15730,#15732,#15733,#15734)); +#15736=FACE_OUTER_BOUND('',#15735,.F.); +#15738=CARTESIAN_POINT('',(-2.5E1,-6.2E0,-2.E1)); +#15739=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15740=DIRECTION('',(1.E0,0.E0,0.E0)); +#15741=AXIS2_PLACEMENT_3D('',#15738,#15739,#15740); +#15742=PLANE('',#15741); +#15743=ORIENTED_EDGE('',*,*,#13612,.F.); +#15744=ORIENTED_EDGE('',*,*,#15395,.T.); +#15745=ORIENTED_EDGE('',*,*,#15199,.T.); +#15746=ORIENTED_EDGE('',*,*,#15731,.F.); +#15747=EDGE_LOOP('',(#15743,#15744,#15745,#15746)); +#15748=FACE_OUTER_BOUND('',#15747,.F.); +#15750=CARTESIAN_POINT('',(-2.345554838855E1,-4.2E0,-2.E1)); +#15751=DIRECTION('',(-9.034737209290E-1,4.286434830844E-1,0.E0)); +#15752=DIRECTION('',(-4.286434830844E-1,-9.034737209290E-1,0.E0)); +#15753=AXIS2_PLACEMENT_3D('',#15750,#15751,#15752); +#15754=PLANE('',#15753); +#15755=ORIENTED_EDGE('',*,*,#13668,.F.); +#15757=ORIENTED_EDGE('',*,*,#15756,.T.); +#15758=ORIENTED_EDGE('',*,*,#15209,.T.); +#15760=ORIENTED_EDGE('',*,*,#15759,.F.); +#15761=EDGE_LOOP('',(#15755,#15757,#15758,#15760)); +#15762=FACE_OUTER_BOUND('',#15761,.F.); +#15764=CARTESIAN_POINT('',(-2.331927324254E1,-4.2E0,-2.E1)); +#15765=DIRECTION('',(0.E0,1.E0,0.E0)); +#15766=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15767=AXIS2_PLACEMENT_3D('',#15764,#15765,#15766); +#15768=PLANE('',#15767); +#15769=ORIENTED_EDGE('',*,*,#13666,.F.); +#15771=ORIENTED_EDGE('',*,*,#15770,.T.); +#15772=ORIENTED_EDGE('',*,*,#15211,.T.); +#15773=ORIENTED_EDGE('',*,*,#15756,.F.); +#15774=EDGE_LOOP('',(#15769,#15771,#15772,#15773)); +#15775=FACE_OUTER_BOUND('',#15774,.F.); +#15777=CARTESIAN_POINT('',(-2.331927324254E1,-5.348936152458E0,-2.E1)); +#15778=DIRECTION('',(1.E0,0.E0,0.E0)); +#15779=DIRECTION('',(0.E0,1.E0,0.E0)); +#15780=AXIS2_PLACEMENT_3D('',#15777,#15778,#15779); +#15781=PLANE('',#15780); +#15782=ORIENTED_EDGE('',*,*,#13686,.F.); +#15784=ORIENTED_EDGE('',*,*,#15783,.T.); +#15785=ORIENTED_EDGE('',*,*,#15213,.T.); +#15786=ORIENTED_EDGE('',*,*,#15770,.F.); +#15787=EDGE_LOOP('',(#15782,#15784,#15785,#15786)); +#15788=FACE_OUTER_BOUND('',#15787,.F.); +#15790=CARTESIAN_POINT('',(-2.309214795587E1,-5.348936152458E0,-2.E1)); +#15791=DIRECTION('',(0.E0,1.E0,0.E0)); +#15792=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15793=AXIS2_PLACEMENT_3D('',#15790,#15791,#15792); +#15794=PLANE('',#15793); +#15795=ORIENTED_EDGE('',*,*,#13684,.F.); +#15797=ORIENTED_EDGE('',*,*,#15796,.T.); +#15798=ORIENTED_EDGE('',*,*,#15215,.T.); +#15799=ORIENTED_EDGE('',*,*,#15783,.F.); +#15800=EDGE_LOOP('',(#15795,#15797,#15798,#15799)); +#15801=FACE_OUTER_BOUND('',#15800,.F.); +#15803=CARTESIAN_POINT('',(-2.309214795587E1,-5.604255330563E0,-2.E1)); +#15804=DIRECTION('',(1.E0,0.E0,0.E0)); +#15805=DIRECTION('',(0.E0,1.E0,0.E0)); +#15806=AXIS2_PLACEMENT_3D('',#15803,#15804,#15805); +#15807=PLANE('',#15806); +#15808=ORIENTED_EDGE('',*,*,#13682,.F.); +#15810=ORIENTED_EDGE('',*,*,#15809,.T.); +#15811=ORIENTED_EDGE('',*,*,#15217,.T.); +#15812=ORIENTED_EDGE('',*,*,#15796,.F.); +#15813=EDGE_LOOP('',(#15808,#15810,#15811,#15812)); +#15814=FACE_OUTER_BOUND('',#15813,.F.); +#15816=CARTESIAN_POINT('',(-2.331927324254E1,-5.604255330563E0,-2.E1)); +#15817=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15818=DIRECTION('',(1.E0,0.E0,0.E0)); +#15819=AXIS2_PLACEMENT_3D('',#15816,#15817,#15818); +#15820=PLANE('',#15819); +#15821=ORIENTED_EDGE('',*,*,#13680,.F.); +#15823=ORIENTED_EDGE('',*,*,#15822,.T.); +#15824=ORIENTED_EDGE('',*,*,#15219,.T.); +#15825=ORIENTED_EDGE('',*,*,#15809,.F.); +#15826=EDGE_LOOP('',(#15821,#15823,#15824,#15825)); +#15827=FACE_OUTER_BOUND('',#15826,.F.); +#15829=CARTESIAN_POINT('',(-2.331927324254E1,-6.2E0,-2.E1)); +#15830=DIRECTION('',(1.E0,0.E0,0.E0)); +#15831=DIRECTION('',(0.E0,1.E0,0.E0)); +#15832=AXIS2_PLACEMENT_3D('',#15829,#15830,#15831); +#15833=PLANE('',#15832); +#15834=ORIENTED_EDGE('',*,*,#13678,.F.); +#15836=ORIENTED_EDGE('',*,*,#15835,.T.); +#15837=ORIENTED_EDGE('',*,*,#15221,.T.); +#15838=ORIENTED_EDGE('',*,*,#15822,.F.); +#15839=EDGE_LOOP('',(#15834,#15836,#15837,#15838)); +#15840=FACE_OUTER_BOUND('',#15839,.F.); +#15842=CARTESIAN_POINT('',(-2.345554838855E1,-6.2E0,-2.E1)); +#15843=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15844=DIRECTION('',(1.E0,0.E0,0.E0)); +#15845=AXIS2_PLACEMENT_3D('',#15842,#15843,#15844); +#15846=PLANE('',#15845); +#15847=ORIENTED_EDGE('',*,*,#13676,.F.); +#15849=ORIENTED_EDGE('',*,*,#15848,.T.); +#15850=ORIENTED_EDGE('',*,*,#15223,.T.); +#15851=ORIENTED_EDGE('',*,*,#15835,.F.); +#15852=EDGE_LOOP('',(#15847,#15849,#15850,#15851)); +#15853=FACE_OUTER_BOUND('',#15852,.F.); +#15855=CARTESIAN_POINT('',(-2.345554838855E1,-5.604255330563E0,-2.E1)); +#15856=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15857=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15858=AXIS2_PLACEMENT_3D('',#15855,#15856,#15857); +#15859=PLANE('',#15858); +#15860=ORIENTED_EDGE('',*,*,#13674,.F.); +#15862=ORIENTED_EDGE('',*,*,#15861,.T.); +#15863=ORIENTED_EDGE('',*,*,#15225,.T.); +#15864=ORIENTED_EDGE('',*,*,#15848,.F.); +#15865=EDGE_LOOP('',(#15860,#15862,#15863,#15864)); +#15866=FACE_OUTER_BOUND('',#15865,.F.); +#15868=CARTESIAN_POINT('',(-2.400064890761E1,-5.604255330563E0,-2.E1)); +#15869=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15870=DIRECTION('',(1.E0,0.E0,0.E0)); +#15871=AXIS2_PLACEMENT_3D('',#15868,#15869,#15870); +#15872=PLANE('',#15871); +#15873=ORIENTED_EDGE('',*,*,#13672,.F.); +#15875=ORIENTED_EDGE('',*,*,#15874,.T.); +#15876=ORIENTED_EDGE('',*,*,#15227,.T.); +#15877=ORIENTED_EDGE('',*,*,#15861,.F.); +#15878=EDGE_LOOP('',(#15873,#15875,#15876,#15877)); +#15879=FACE_OUTER_BOUND('',#15878,.F.); +#15881=CARTESIAN_POINT('',(-2.400064890761E1,-5.348936152458E0,-2.E1)); +#15882=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15883=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15884=AXIS2_PLACEMENT_3D('',#15881,#15882,#15883); +#15885=PLANE('',#15884); +#15886=ORIENTED_EDGE('',*,*,#13670,.F.); +#15887=ORIENTED_EDGE('',*,*,#15759,.T.); +#15888=ORIENTED_EDGE('',*,*,#15229,.T.); +#15889=ORIENTED_EDGE('',*,*,#15874,.F.); +#15890=EDGE_LOOP('',(#15886,#15887,#15888,#15889)); +#15891=FACE_OUTER_BOUND('',#15890,.F.); +#15893=CARTESIAN_POINT('',(-2.384166125892E1,-5.348936152458E0,-2.E1)); +#15894=DIRECTION('',(9.023800188522E-1,-4.309411811097E-1,0.E0)); +#15895=DIRECTION('',(4.309411811097E-1,9.023800188522E-1,0.E0)); +#15896=AXIS2_PLACEMENT_3D('',#15893,#15894,#15895); +#15897=PLANE('',#15896); +#15898=ORIENTED_EDGE('',*,*,#13262,.F.); +#15900=ORIENTED_EDGE('',*,*,#15899,.T.); +#15901=ORIENTED_EDGE('',*,*,#15233,.T.); +#15903=ORIENTED_EDGE('',*,*,#15902,.F.); +#15904=EDGE_LOOP('',(#15898,#15900,#15901,#15903)); +#15905=FACE_OUTER_BOUND('',#15904,.F.); +#15907=CARTESIAN_POINT('',(-2.345554838855E1,-5.348936152458E0,-2.E1)); +#15908=DIRECTION('',(0.E0,1.E0,0.E0)); +#15909=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15910=AXIS2_PLACEMENT_3D('',#15907,#15908,#15909); +#15911=PLANE('',#15910); +#15912=ORIENTED_EDGE('',*,*,#13260,.F.); +#15914=ORIENTED_EDGE('',*,*,#15913,.T.); +#15915=ORIENTED_EDGE('',*,*,#15235,.T.); +#15916=ORIENTED_EDGE('',*,*,#15899,.F.); +#15917=EDGE_LOOP('',(#15912,#15914,#15915,#15916)); +#15918=FACE_OUTER_BOUND('',#15917,.F.); +#15920=CARTESIAN_POINT('',(-2.345554838855E1,-4.540425491333E0,-2.E1)); +#15921=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15922=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15923=AXIS2_PLACEMENT_3D('',#15920,#15921,#15922); +#15924=PLANE('',#15923); +#15925=ORIENTED_EDGE('',*,*,#13264,.F.); +#15926=ORIENTED_EDGE('',*,*,#15902,.T.); +#15927=ORIENTED_EDGE('',*,*,#15237,.T.); +#15928=ORIENTED_EDGE('',*,*,#15913,.F.); +#15929=EDGE_LOOP('',(#15925,#15926,#15927,#15928)); +#15930=FACE_OUTER_BOUND('',#15929,.F.); +#15932=CARTESIAN_POINT('',(-2.311931818182E1,-8.5E-1,-2.E1)); +#15933=DIRECTION('',(1.E0,0.E0,0.E0)); +#15934=DIRECTION('',(0.E0,1.E0,0.E0)); +#15935=AXIS2_PLACEMENT_3D('',#15932,#15933,#15934); +#15936=PLANE('',#15935); +#15937=ORIENTED_EDGE('',*,*,#13692,.F.); +#15939=ORIENTED_EDGE('',*,*,#15938,.T.); +#15940=ORIENTED_EDGE('',*,*,#15247,.T.); +#15942=ORIENTED_EDGE('',*,*,#15941,.F.); +#15943=EDGE_LOOP('',(#15937,#15939,#15940,#15942)); +#15944=FACE_OUTER_BOUND('',#15943,.F.); +#15946=CARTESIAN_POINT('',(-2.330965909091E1,-8.5E-1,-2.E1)); +#15947=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15948=DIRECTION('',(1.E0,0.E0,0.E0)); +#15949=AXIS2_PLACEMENT_3D('',#15946,#15947,#15948); +#15950=PLANE('',#15949); +#15951=ORIENTED_EDGE('',*,*,#13690,.F.); +#15953=ORIENTED_EDGE('',*,*,#15952,.T.); +#15954=ORIENTED_EDGE('',*,*,#15249,.T.); +#15955=ORIENTED_EDGE('',*,*,#15938,.F.); +#15956=EDGE_LOOP('',(#15951,#15953,#15954,#15955)); +#15957=FACE_OUTER_BOUND('',#15956,.F.); +#15959=CARTESIAN_POINT('',(-2.330965909091E1,8.095745086670E-1,-2.E1)); +#15960=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15961=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15962=AXIS2_PLACEMENT_3D('',#15959,#15960,#15961); +#15963=PLANE('',#15962); +#15964=ORIENTED_EDGE('',*,*,#13702,.F.); +#15966=ORIENTED_EDGE('',*,*,#15965,.T.); +#15967=ORIENTED_EDGE('',*,*,#15251,.T.); +#15968=ORIENTED_EDGE('',*,*,#15952,.F.); +#15969=EDGE_LOOP('',(#15964,#15966,#15967,#15968)); +#15970=FACE_OUTER_BOUND('',#15969,.F.); +#15972=CARTESIAN_POINT('',(-2.35E1,5.117020845413E-1,-2.E1)); +#15973=DIRECTION('',(8.426532261751E-1,-5.384566281667E-1,0.E0)); +#15974=DIRECTION('',(5.384566281667E-1,8.426532261751E-1,0.E0)); +#15975=AXIS2_PLACEMENT_3D('',#15972,#15973,#15974); +#15976=PLANE('',#15975); +#15977=ORIENTED_EDGE('',*,*,#13700,.F.); +#15979=ORIENTED_EDGE('',*,*,#15978,.T.); +#15980=ORIENTED_EDGE('',*,*,#15253,.T.); +#15981=ORIENTED_EDGE('',*,*,#15965,.F.); +#15982=EDGE_LOOP('',(#15977,#15979,#15980,#15981)); +#15983=FACE_OUTER_BOUND('',#15982,.F.); +#15985=CARTESIAN_POINT('',(-2.35E1,8.521276950836E-1,-2.E1)); +#15986=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15987=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15988=AXIS2_PLACEMENT_3D('',#15985,#15986,#15987); +#15989=PLANE('',#15988); +#15990=ORIENTED_EDGE('',*,*,#13698,.F.); +#15992=ORIENTED_EDGE('',*,*,#15991,.T.); +#15993=ORIENTED_EDGE('',*,*,#15255,.T.); +#15994=ORIENTED_EDGE('',*,*,#15978,.F.); +#15995=EDGE_LOOP('',(#15990,#15992,#15993,#15994)); +#15996=FACE_OUTER_BOUND('',#15995,.F.); +#15998=CARTESIAN_POINT('',(-2.330965909091E1,1.15E0,-2.E1)); +#15999=DIRECTION('',(-8.426531283995E-1,5.384567811798E-1,0.E0)); +#16000=DIRECTION('',(-5.384567811798E-1,-8.426531283995E-1,0.E0)); +#16001=AXIS2_PLACEMENT_3D('',#15998,#15999,#16000); +#16002=PLANE('',#16001); +#16003=ORIENTED_EDGE('',*,*,#13696,.F.); +#16005=ORIENTED_EDGE('',*,*,#16004,.T.); +#16006=ORIENTED_EDGE('',*,*,#15257,.T.); +#16007=ORIENTED_EDGE('',*,*,#15991,.F.); +#16008=EDGE_LOOP('',(#16003,#16005,#16006,#16007)); +#16009=FACE_OUTER_BOUND('',#16008,.F.); +#16011=CARTESIAN_POINT('',(-2.311931818182E1,1.15E0,-2.E1)); +#16012=DIRECTION('',(0.E0,1.E0,0.E0)); +#16013=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16014=AXIS2_PLACEMENT_3D('',#16011,#16012,#16013); +#16015=PLANE('',#16014); +#16016=ORIENTED_EDGE('',*,*,#13694,.F.); +#16017=ORIENTED_EDGE('',*,*,#15941,.T.); +#16018=ORIENTED_EDGE('',*,*,#15259,.T.); +#16019=ORIENTED_EDGE('',*,*,#16004,.F.); +#16020=EDGE_LOOP('',(#16016,#16017,#16018,#16019)); +#16021=FACE_OUTER_BOUND('',#16020,.F.); +#16023=CARTESIAN_POINT('',(-2.181865536354E1,-6.372340381145E-1,-2.E1)); +#16024=DIRECTION('',(8.954983978042E-1,-4.450647363362E-1,0.E0)); +#16025=DIRECTION('',(4.450647363362E-1,8.954983978042E-1,0.E0)); +#16026=AXIS2_PLACEMENT_3D('',#16023,#16024,#16025); +#16027=PLANE('',#16026); +#16028=ORIENTED_EDGE('',*,*,#13708,.F.); +#16030=ORIENTED_EDGE('',*,*,#16029,.T.); +#16031=ORIENTED_EDGE('',*,*,#15269,.T.); +#16033=ORIENTED_EDGE('',*,*,#16032,.F.); +#16034=EDGE_LOOP('',(#16028,#16030,#16031,#16033)); +#16035=FACE_OUTER_BOUND('',#16034,.F.); +#16037=CARTESIAN_POINT('',(-2.191382581808E1,-7.223404258490E-1,-2.E1)); +#16038=DIRECTION('',(6.665941930866E-1,-7.454208084990E-1,0.E0)); +#16039=DIRECTION('',(7.454208084990E-1,6.665941930866E-1,0.E0)); +#16040=AXIS2_PLACEMENT_3D('',#16037,#16038,#16039); +#16041=PLANE('',#16040); +#16042=ORIENTED_EDGE('',*,*,#13706,.F.); +#16044=ORIENTED_EDGE('',*,*,#16043,.T.); +#16045=ORIENTED_EDGE('',*,*,#15271,.T.); +#16046=ORIENTED_EDGE('',*,*,#16029,.F.); +#16047=EDGE_LOOP('',(#16042,#16044,#16045,#16046)); +#16048=FACE_OUTER_BOUND('',#16047,.F.); +#16050=CARTESIAN_POINT('',(-2.204071972722E1,-8.074468098581E-1,-2.E1)); +#16051=DIRECTION('',(5.570109130659E-1,-8.305051732081E-1,0.E0)); +#16052=DIRECTION('',(8.305051732081E-1,5.570109130659E-1,0.E0)); +#16053=AXIS2_PLACEMENT_3D('',#16050,#16051,#16052); +#16054=PLANE('',#16053); +#16055=ORIENTED_EDGE('',*,*,#13818,.F.); +#16057=ORIENTED_EDGE('',*,*,#16056,.T.); +#16058=ORIENTED_EDGE('',*,*,#15273,.T.); +#16059=ORIENTED_EDGE('',*,*,#16043,.F.); +#16060=EDGE_LOOP('',(#16055,#16057,#16058,#16059)); +#16061=FACE_OUTER_BOUND('',#16060,.F.); +#16063=CARTESIAN_POINT('',(-2.216761363636E1,-8.5E-1,-2.E1)); +#16064=DIRECTION('',(3.179434795521E-1,-9.481096686620E-1,0.E0)); +#16065=DIRECTION('',(9.481096686620E-1,3.179434795521E-1,0.E0)); +#16066=AXIS2_PLACEMENT_3D('',#16063,#16064,#16065); +#16067=PLANE('',#16066); +#16068=ORIENTED_EDGE('',*,*,#13816,.F.); +#16070=ORIENTED_EDGE('',*,*,#16069,.T.); +#16071=ORIENTED_EDGE('',*,*,#15275,.T.); +#16072=ORIENTED_EDGE('',*,*,#16056,.F.); +#16073=EDGE_LOOP('',(#16068,#16070,#16071,#16072)); +#16074=FACE_OUTER_BOUND('',#16073,.F.); +#16076=CARTESIAN_POINT('',(-2.242140154541E1,-8.5E-1,-2.E1)); +#16077=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16078=DIRECTION('',(1.E0,0.E0,0.E0)); +#16079=AXIS2_PLACEMENT_3D('',#16076,#16077,#16078); +#16080=PLANE('',#16079); +#16081=ORIENTED_EDGE('',*,*,#13814,.F.); +#16083=ORIENTED_EDGE('',*,*,#16082,.T.); +#16084=ORIENTED_EDGE('',*,*,#15277,.T.); +#16085=ORIENTED_EDGE('',*,*,#16069,.F.); +#16086=EDGE_LOOP('',(#16081,#16083,#16084,#16085)); +#16087=FACE_OUTER_BOUND('',#16086,.F.); +#16089=CARTESIAN_POINT('',(-2.254829545455E1,-8.074468098581E-1,-2.E1)); +#16090=DIRECTION('',(-3.179434795521E-1,-9.481096686620E-1,0.E0)); +#16091=DIRECTION('',(9.481096686620E-1,-3.179434795521E-1,0.E0)); +#16092=AXIS2_PLACEMENT_3D('',#16089,#16090,#16091); +#16093=PLANE('',#16092); +#16094=ORIENTED_EDGE('',*,*,#13812,.F.); +#16096=ORIENTED_EDGE('',*,*,#16095,.T.); +#16097=ORIENTED_EDGE('',*,*,#15279,.T.); +#16098=ORIENTED_EDGE('',*,*,#16082,.F.); +#16099=EDGE_LOOP('',(#16094,#16096,#16097,#16098)); +#16100=FACE_OUTER_BOUND('',#16099,.F.); +#16102=CARTESIAN_POINT('',(-2.267518936369E1,-7.223404258490E-1,-2.E1)); +#16103=DIRECTION('',(-5.570109130659E-1,-8.305051732081E-1,0.E0)); +#16104=DIRECTION('',(8.305051732081E-1,-5.570109130659E-1,0.E0)); +#16105=AXIS2_PLACEMENT_3D('',#16102,#16103,#16104); +#16106=PLANE('',#16105); +#16107=ORIENTED_EDGE('',*,*,#13810,.F.); +#16109=ORIENTED_EDGE('',*,*,#16108,.T.); +#16110=ORIENTED_EDGE('',*,*,#15281,.T.); +#16111=ORIENTED_EDGE('',*,*,#16095,.F.); +#16112=EDGE_LOOP('',(#16107,#16109,#16110,#16111)); +#16113=FACE_OUTER_BOUND('',#16112,.F.); +#16115=CARTESIAN_POINT('',(-2.277035986361E1,-6.372340381145E-1,-2.E1)); +#16116=DIRECTION('',(-6.665940164687E-1,-7.454209664398E-1,0.E0)); +#16117=DIRECTION('',(7.454209664398E-1,-6.665940164687E-1,0.E0)); +#16118=AXIS2_PLACEMENT_3D('',#16115,#16116,#16117); +#16119=PLANE('',#16118); +#16120=ORIENTED_EDGE('',*,*,#13808,.F.); +#16122=ORIENTED_EDGE('',*,*,#16121,.T.); +#16123=ORIENTED_EDGE('',*,*,#15283,.T.); +#16124=ORIENTED_EDGE('',*,*,#16108,.F.); +#16125=EDGE_LOOP('',(#16120,#16122,#16123,#16124)); +#16126=FACE_OUTER_BOUND('',#16125,.F.); +#16128=CARTESIAN_POINT('',(-2.283380681818E1,-5.095744788647E-1,-2.E1)); +#16129=DIRECTION('',(-8.954987784253E-1,-4.450639705019E-1,0.E0)); +#16130=DIRECTION('',(4.450639705019E-1,-8.954987784253E-1,0.E0)); +#16131=AXIS2_PLACEMENT_3D('',#16128,#16129,#16130); +#16132=PLANE('',#16131); +#16133=ORIENTED_EDGE('',*,*,#13806,.F.); +#16135=ORIENTED_EDGE('',*,*,#16134,.T.); +#16136=ORIENTED_EDGE('',*,*,#15285,.T.); +#16137=ORIENTED_EDGE('',*,*,#16121,.F.); +#16138=EDGE_LOOP('',(#16133,#16135,#16136,#16137)); +#16139=FACE_OUTER_BOUND('',#16138,.F.); +#16141=CARTESIAN_POINT('',(-2.286553031816E1,-3.819148898125E-1,-2.E1)); +#16142=DIRECTION('',(-9.704838926006E-1,-2.411659474360E-1,0.E0)); +#16143=DIRECTION('',(2.411659474360E-1,-9.704838926006E-1,0.E0)); +#16144=AXIS2_PLACEMENT_3D('',#16141,#16142,#16143); +#16145=PLANE('',#16144); +#16146=ORIENTED_EDGE('',*,*,#13804,.F.); +#16148=ORIENTED_EDGE('',*,*,#16147,.T.); +#16149=ORIENTED_EDGE('',*,*,#15287,.T.); +#16150=ORIENTED_EDGE('',*,*,#16134,.F.); +#16151=EDGE_LOOP('',(#16146,#16148,#16149,#16150)); +#16152=FACE_OUTER_BOUND('',#16151,.F.); +#16154=CARTESIAN_POINT('',(-2.270691290904E1,-3.819148898125E-1,-2.E1)); +#16155=DIRECTION('',(0.E0,1.E0,0.E0)); +#16156=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16157=AXIS2_PLACEMENT_3D('',#16154,#16155,#16156); +#16158=PLANE('',#16157); +#16159=ORIENTED_EDGE('',*,*,#13802,.F.); +#16161=ORIENTED_EDGE('',*,*,#16160,.T.); +#16162=ORIENTED_EDGE('',*,*,#15289,.T.); +#16163=ORIENTED_EDGE('',*,*,#16147,.F.); +#16164=EDGE_LOOP('',(#16159,#16161,#16162,#16163)); +#16165=FACE_OUTER_BOUND('',#16164,.F.); +#16167=CARTESIAN_POINT('',(-2.267518936369E1,-4.670212626457E-1,-2.E1)); +#16168=DIRECTION('',(9.370199695588E-1,3.492757888088E-1,0.E0)); +#16169=DIRECTION('',(-3.492757888088E-1,9.370199695588E-1,0.E0)); +#16170=AXIS2_PLACEMENT_3D('',#16167,#16168,#16169); +#16171=PLANE('',#16170); +#16172=ORIENTED_EDGE('',*,*,#13800,.F.); +#16174=ORIENTED_EDGE('',*,*,#16173,.T.); +#16175=ORIENTED_EDGE('',*,*,#15291,.T.); +#16176=ORIENTED_EDGE('',*,*,#16160,.F.); +#16177=EDGE_LOOP('',(#16172,#16174,#16175,#16176)); +#16178=FACE_OUTER_BOUND('',#16177,.F.); +#16180=CARTESIAN_POINT('',(-2.261174245450E1,-5.521276652813E-1,-2.E1)); +#16181=DIRECTION('',(8.017280129090E-1,5.976890439995E-1,0.E0)); +#16182=DIRECTION('',(-5.976890439995E-1,8.017280129090E-1,0.E0)); +#16183=AXIS2_PLACEMENT_3D('',#16180,#16181,#16182); +#16184=PLANE('',#16183); +#16185=ORIENTED_EDGE('',*,*,#13798,.F.); +#16187=ORIENTED_EDGE('',*,*,#16186,.T.); +#16188=ORIENTED_EDGE('',*,*,#15293,.T.); +#16189=ORIENTED_EDGE('',*,*,#16173,.F.); +#16190=EDGE_LOOP('',(#16185,#16187,#16188,#16189)); +#16191=FACE_OUTER_BOUND('',#16190,.F.); +#16193=CARTESIAN_POINT('',(-2.254829545455E1,-5.946808516979E-1,-2.E1)); +#16194=DIRECTION('',(5.570105878197E-1,8.305053913472E-1,0.E0)); +#16195=DIRECTION('',(-8.305053913472E-1,5.570105878197E-1,0.E0)); +#16196=AXIS2_PLACEMENT_3D('',#16193,#16194,#16195); +#16197=PLANE('',#16196); +#16198=ORIENTED_EDGE('',*,*,#13796,.F.); +#16200=ORIENTED_EDGE('',*,*,#16199,.T.); +#16201=ORIENTED_EDGE('',*,*,#15295,.T.); +#16202=ORIENTED_EDGE('',*,*,#16186,.F.); +#16203=EDGE_LOOP('',(#16198,#16200,#16201,#16202)); +#16204=FACE_OUTER_BOUND('',#16203,.F.); +#16206=CARTESIAN_POINT('',(-2.238967800005E1,-6.372340381145E-1,-2.E1)); +#16207=DIRECTION('',(2.591131383426E-1,9.658469762536E-1,0.E0)); +#16208=DIRECTION('',(-9.658469762536E-1,2.591131383426E-1,0.E0)); +#16209=AXIS2_PLACEMENT_3D('',#16206,#16207,#16208); +#16210=PLANE('',#16209); +#16211=ORIENTED_EDGE('',*,*,#13794,.F.); +#16213=ORIENTED_EDGE('',*,*,#16212,.T.); +#16214=ORIENTED_EDGE('',*,*,#15297,.T.); +#16215=ORIENTED_EDGE('',*,*,#16199,.F.); +#16216=EDGE_LOOP('',(#16211,#16213,#16214,#16215)); +#16217=FACE_OUTER_BOUND('',#16216,.F.); +#16219=CARTESIAN_POINT('',(-2.219933709096E1,-6.372340381145E-1,-2.E1)); +#16220=DIRECTION('',(0.E0,1.E0,0.E0)); +#16221=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16222=AXIS2_PLACEMENT_3D('',#16219,#16220,#16221); +#16223=PLANE('',#16222); +#16224=ORIENTED_EDGE('',*,*,#13792,.F.); +#16226=ORIENTED_EDGE('',*,*,#16225,.T.); +#16227=ORIENTED_EDGE('',*,*,#15299,.T.); +#16228=ORIENTED_EDGE('',*,*,#16212,.F.); +#16229=EDGE_LOOP('',(#16224,#16226,#16227,#16228)); +#16230=FACE_OUTER_BOUND('',#16229,.F.); +#16232=CARTESIAN_POINT('',(-2.204071972722E1,-5.946808516979E-1,-2.E1)); +#16233=DIRECTION('',(-2.591132766539E-1,9.658469391481E-1,0.E0)); +#16234=DIRECTION('',(-9.658469391481E-1,-2.591132766539E-1,0.E0)); +#16235=AXIS2_PLACEMENT_3D('',#16232,#16233,#16234); +#16236=PLANE('',#16235); +#16237=ORIENTED_EDGE('',*,*,#13790,.F.); +#16239=ORIENTED_EDGE('',*,*,#16238,.T.); +#16240=ORIENTED_EDGE('',*,*,#15301,.T.); +#16241=ORIENTED_EDGE('',*,*,#16225,.F.); +#16242=EDGE_LOOP('',(#16237,#16239,#16240,#16241)); +#16243=FACE_OUTER_BOUND('',#16242,.F.); +#16245=CARTESIAN_POINT('',(-2.197727272727E1,-5.521276652813E-1,-2.E1)); +#16246=DIRECTION('',(-5.570105878197E-1,8.305053913472E-1,0.E0)); +#16247=DIRECTION('',(-8.305053913472E-1,-5.570105878197E-1,0.E0)); +#16248=AXIS2_PLACEMENT_3D('',#16245,#16246,#16247); +#16249=PLANE('',#16248); +#16250=ORIENTED_EDGE('',*,*,#13788,.F.); +#16252=ORIENTED_EDGE('',*,*,#16251,.T.); +#16253=ORIENTED_EDGE('',*,*,#15303,.T.); +#16254=ORIENTED_EDGE('',*,*,#16238,.F.); +#16255=EDGE_LOOP('',(#16250,#16252,#16253,#16254)); +#16256=FACE_OUTER_BOUND('',#16255,.F.); +#16258=CARTESIAN_POINT('',(-2.191382581808E1,-4.670212626457E-1,-2.E1)); +#16259=DIRECTION('',(-8.017280129090E-1,5.976890439995E-1,0.E0)); +#16260=DIRECTION('',(-5.976890439995E-1,-8.017280129090E-1,0.E0)); +#16261=AXIS2_PLACEMENT_3D('',#16258,#16259,#16260); +#16262=PLANE('',#16261); +#16263=ORIENTED_EDGE('',*,*,#13786,.F.); +#16265=ORIENTED_EDGE('',*,*,#16264,.T.); +#16266=ORIENTED_EDGE('',*,*,#15305,.T.); +#16267=ORIENTED_EDGE('',*,*,#16251,.F.); +#16268=EDGE_LOOP('',(#16263,#16265,#16266,#16267)); +#16269=FACE_OUTER_BOUND('',#16268,.F.); +#16271=CARTESIAN_POINT('',(-2.188210227273E1,-3.819148898125E-1,-2.E1)); +#16272=DIRECTION('',(-9.370199695588E-1,3.492757888088E-1,0.E0)); +#16273=DIRECTION('',(-3.492757888088E-1,-9.370199695588E-1,0.E0)); +#16274=AXIS2_PLACEMENT_3D('',#16271,#16272,#16273); +#16275=PLANE('',#16274); +#16276=ORIENTED_EDGE('',*,*,#13784,.F.); +#16278=ORIENTED_EDGE('',*,*,#16277,.T.); +#16279=ORIENTED_EDGE('',*,*,#15307,.T.); +#16280=ORIENTED_EDGE('',*,*,#16264,.F.); +#16281=EDGE_LOOP('',(#16276,#16278,#16279,#16280)); +#16282=FACE_OUTER_BOUND('',#16281,.F.); +#16284=CARTESIAN_POINT('',(-2.188210227273E1,-2.117021441460E-1,-2.E1)); +#16285=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16286=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16287=AXIS2_PLACEMENT_3D('',#16284,#16285,#16286); +#16288=PLANE('',#16287); +#16289=ORIENTED_EDGE('',*,*,#13782,.F.); +#16291=ORIENTED_EDGE('',*,*,#16290,.T.); +#16292=ORIENTED_EDGE('',*,*,#15309,.T.); +#16293=ORIENTED_EDGE('',*,*,#16277,.F.); +#16294=EDGE_LOOP('',(#16289,#16291,#16292,#16293)); +#16295=FACE_OUTER_BOUND('',#16294,.F.); +#16297=CARTESIAN_POINT('',(-2.194554918192E1,-4.148933887482E-2,-2.E1)); +#16298=DIRECTION('',(-9.370203366313E-1,-3.492748040431E-1,0.E0)); +#16299=DIRECTION('',(3.492748040431E-1,-9.370203366313E-1,0.E0)); +#16300=AXIS2_PLACEMENT_3D('',#16297,#16298,#16299); +#16301=PLANE('',#16300); +#16302=ORIENTED_EDGE('',*,*,#13780,.F.); +#16304=ORIENTED_EDGE('',*,*,#16303,.T.); +#16305=ORIENTED_EDGE('',*,*,#15311,.T.); +#16306=ORIENTED_EDGE('',*,*,#16290,.F.); +#16307=EDGE_LOOP('',(#16302,#16304,#16305,#16306)); +#16308=FACE_OUTER_BOUND('',#16307,.F.); +#16310=CARTESIAN_POINT('',(-2.204071972722E1,4.361703395844E-2,-2.E1)); +#16311=DIRECTION('',(-6.665937749991E-1,-7.454211823744E-1,0.E0)); +#16312=DIRECTION('',(7.454211823744E-1,-6.665937749991E-1,0.E0)); +#16313=AXIS2_PLACEMENT_3D('',#16310,#16311,#16312); +#16314=PLANE('',#16313); +#16315=ORIENTED_EDGE('',*,*,#13778,.F.); +#16317=ORIENTED_EDGE('',*,*,#16316,.T.); +#16318=ORIENTED_EDGE('',*,*,#15313,.T.); +#16319=ORIENTED_EDGE('',*,*,#16303,.F.); +#16320=EDGE_LOOP('',(#16315,#16317,#16318,#16319)); +#16321=FACE_OUTER_BOUND('',#16320,.F.); +#16323=CARTESIAN_POINT('',(-2.223106063631E1,8.617022037506E-2,-2.E1)); +#16324=DIRECTION('',(-2.181771913655E-1,-9.759091726016E-1,0.E0)); +#16325=DIRECTION('',(9.759091726016E-1,-2.181771913655E-1,0.E0)); +#16326=AXIS2_PLACEMENT_3D('',#16323,#16324,#16325); +#16327=PLANE('',#16326); +#16328=ORIENTED_EDGE('',*,*,#13776,.F.); +#16330=ORIENTED_EDGE('',*,*,#16329,.T.); +#16331=ORIENTED_EDGE('',*,*,#15315,.T.); +#16332=ORIENTED_EDGE('',*,*,#16316,.F.); +#16333=EDGE_LOOP('',(#16328,#16330,#16331,#16332)); +#16334=FACE_OUTER_BOUND('',#16333,.F.); +#16336=CARTESIAN_POINT('',(-2.235795454545E1,8.617022037506E-2,-2.E1)); +#16337=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16338=DIRECTION('',(1.E0,0.E0,0.E0)); +#16339=AXIS2_PLACEMENT_3D('',#16336,#16337,#16338); +#16340=PLANE('',#16339); +#16341=ORIENTED_EDGE('',*,*,#13774,.F.); +#16343=ORIENTED_EDGE('',*,*,#16342,.T.); +#16344=ORIENTED_EDGE('',*,*,#15317,.T.); +#16345=ORIENTED_EDGE('',*,*,#16329,.F.); +#16346=EDGE_LOOP('',(#16341,#16343,#16344,#16345)); +#16347=FACE_OUTER_BOUND('',#16346,.F.); +#16349=CARTESIAN_POINT('',(-2.235795454545E1,2.563829660416E-1,-2.E1)); +#16350=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16351=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16352=AXIS2_PLACEMENT_3D('',#16349,#16350,#16351); +#16353=PLANE('',#16352); +#16354=ORIENTED_EDGE('',*,*,#13772,.F.); +#16356=ORIENTED_EDGE('',*,*,#16355,.T.); +#16357=ORIENTED_EDGE('',*,*,#15319,.T.); +#16358=ORIENTED_EDGE('',*,*,#16342,.F.); +#16359=EDGE_LOOP('',(#16354,#16356,#16357,#16358)); +#16360=FACE_OUTER_BOUND('',#16359,.F.); +#16362=CARTESIAN_POINT('',(-2.223106063631E1,2.563829660416E-1,-2.E1)); +#16363=DIRECTION('',(0.E0,1.E0,0.E0)); +#16364=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16365=AXIS2_PLACEMENT_3D('',#16362,#16363,#16364); +#16366=PLANE('',#16365); +#16367=ORIENTED_EDGE('',*,*,#13770,.F.); +#16369=ORIENTED_EDGE('',*,*,#16368,.T.); +#16370=ORIENTED_EDGE('',*,*,#15321,.T.); +#16371=ORIENTED_EDGE('',*,*,#16355,.F.); +#16372=EDGE_LOOP('',(#16367,#16369,#16370,#16371)); +#16373=FACE_OUTER_BOUND('',#16372,.F.); +#16375=CARTESIAN_POINT('',(-2.204071972722E1,3.414893388748E-1,-2.E1)); +#16376=DIRECTION('',(-4.081816650962E-1,9.129007220280E-1,0.E0)); +#16377=DIRECTION('',(-9.129007220280E-1,-4.081816650962E-1,0.E0)); +#16378=AXIS2_PLACEMENT_3D('',#16375,#16376,#16377); +#16379=PLANE('',#16378); +#16380=ORIENTED_EDGE('',*,*,#13768,.F.); +#16382=ORIENTED_EDGE('',*,*,#16381,.T.); +#16383=ORIENTED_EDGE('',*,*,#15323,.T.); +#16384=ORIENTED_EDGE('',*,*,#16368,.F.); +#16385=EDGE_LOOP('',(#16380,#16382,#16383,#16384)); +#16386=FACE_OUTER_BOUND('',#16385,.F.); +#16388=CARTESIAN_POINT('',(-2.197727272727E1,4.265957117081E-1,-2.E1)); +#16389=DIRECTION('',(-8.017275029138E-1,5.976897280962E-1,0.E0)); +#16390=DIRECTION('',(-5.976897280962E-1,-8.017275029138E-1,0.E0)); +#16391=AXIS2_PLACEMENT_3D('',#16388,#16389,#16390); +#16392=PLANE('',#16391); +#16393=ORIENTED_EDGE('',*,*,#13766,.F.); +#16395=ORIENTED_EDGE('',*,*,#16394,.T.); +#16396=ORIENTED_EDGE('',*,*,#15325,.T.); +#16397=ORIENTED_EDGE('',*,*,#16381,.F.); +#16398=EDGE_LOOP('',(#16393,#16395,#16396,#16397)); +#16399=FACE_OUTER_BOUND('',#16398,.F.); +#16401=CARTESIAN_POINT('',(-2.191382581808E1,5.968084573746E-1,-2.E1)); +#16402=DIRECTION('',(-9.370202966026E-1,3.492749114305E-1,0.E0)); +#16403=DIRECTION('',(-3.492749114305E-1,-9.370202966026E-1,0.E0)); +#16404=AXIS2_PLACEMENT_3D('',#16401,#16402,#16403); +#16405=PLANE('',#16404); +#16406=ORIENTED_EDGE('',*,*,#13764,.F.); +#16408=ORIENTED_EDGE('',*,*,#16407,.T.); +#16409=ORIENTED_EDGE('',*,*,#15327,.T.); +#16410=ORIENTED_EDGE('',*,*,#16394,.F.); +#16411=EDGE_LOOP('',(#16406,#16408,#16409,#16410)); +#16412=FACE_OUTER_BOUND('',#16411,.F.); +#16414=CARTESIAN_POINT('',(-2.191382581808E1,6.819149494171E-1,-2.E1)); +#16415=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16416=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16417=AXIS2_PLACEMENT_3D('',#16414,#16415,#16416); +#16418=PLANE('',#16417); +#16419=ORIENTED_EDGE('',*,*,#13762,.F.); +#16421=ORIENTED_EDGE('',*,*,#16420,.T.); +#16422=ORIENTED_EDGE('',*,*,#15329,.T.); +#16423=ORIENTED_EDGE('',*,*,#16407,.F.); +#16424=EDGE_LOOP('',(#16419,#16421,#16422,#16423)); +#16425=FACE_OUTER_BOUND('',#16424,.F.); +#16427=CARTESIAN_POINT('',(-2.197727272727E1,8.095745086670E-1,-2.E1)); +#16428=DIRECTION('',(-8.954989052989E-1,-4.450637152235E-1,0.E0)); +#16429=DIRECTION('',(4.450637152235E-1,-8.954989052989E-1,0.E0)); +#16430=AXIS2_PLACEMENT_3D('',#16427,#16428,#16429); +#16431=PLANE('',#16430); +#16432=ORIENTED_EDGE('',*,*,#13760,.F.); +#16434=ORIENTED_EDGE('',*,*,#16433,.T.); +#16435=ORIENTED_EDGE('',*,*,#15331,.T.); +#16436=ORIENTED_EDGE('',*,*,#16420,.F.); +#16437=EDGE_LOOP('',(#16432,#16434,#16435,#16436)); +#16438=FACE_OUTER_BOUND('',#16437,.F.); +#16440=CARTESIAN_POINT('',(-2.207244318182E1,8.946808815002E-1,-2.E1)); +#16441=DIRECTION('',(-6.665941282347E-1,-7.454208664929E-1,0.E0)); +#16442=DIRECTION('',(7.454208664929E-1,-6.665941282347E-1,0.E0)); +#16443=AXIS2_PLACEMENT_3D('',#16440,#16441,#16442); +#16444=PLANE('',#16443); +#16445=ORIENTED_EDGE('',*,*,#13758,.F.); +#16447=ORIENTED_EDGE('',*,*,#16446,.T.); +#16448=ORIENTED_EDGE('',*,*,#15333,.T.); +#16449=ORIENTED_EDGE('',*,*,#16433,.F.); +#16450=EDGE_LOOP('',(#16445,#16447,#16448,#16449)); +#16451=FACE_OUTER_BOUND('',#16450,.F.); +#16453=CARTESIAN_POINT('',(-2.223106063631E1,9.372340679169E-1,-2.E1)); +#16454=DIRECTION('',(-2.591131383426E-1,-9.658469762536E-1,0.E0)); +#16455=DIRECTION('',(9.658469762536E-1,-2.591131383426E-1,0.E0)); +#16456=AXIS2_PLACEMENT_3D('',#16453,#16454,#16455); +#16457=PLANE('',#16456); +#16458=ORIENTED_EDGE('',*,*,#13756,.F.); +#16460=ORIENTED_EDGE('',*,*,#16459,.T.); +#16461=ORIENTED_EDGE('',*,*,#15335,.T.); +#16462=ORIENTED_EDGE('',*,*,#16446,.F.); +#16463=EDGE_LOOP('',(#16458,#16460,#16461,#16462)); +#16464=FACE_OUTER_BOUND('',#16463,.F.); +#16466=CARTESIAN_POINT('',(-2.235795454545E1,9.372340679169E-1,-2.E1)); +#16467=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16468=DIRECTION('',(1.E0,0.E0,0.E0)); +#16469=AXIS2_PLACEMENT_3D('',#16466,#16467,#16468); +#16470=PLANE('',#16469); +#16471=ORIENTED_EDGE('',*,*,#13754,.F.); +#16473=ORIENTED_EDGE('',*,*,#16472,.T.); +#16474=ORIENTED_EDGE('',*,*,#15337,.T.); +#16475=ORIENTED_EDGE('',*,*,#16459,.F.); +#16476=EDGE_LOOP('',(#16471,#16473,#16474,#16475)); +#16477=FACE_OUTER_BOUND('',#16476,.F.); +#16479=CARTESIAN_POINT('',(-2.251657199995E1,8.946808815002E-1,-2.E1)); +#16480=DIRECTION('',(2.591131383426E-1,-9.658469762536E-1,0.E0)); +#16481=DIRECTION('',(9.658469762536E-1,2.591131383426E-1,0.E0)); +#16482=AXIS2_PLACEMENT_3D('',#16479,#16480,#16481); +#16483=PLANE('',#16482); +#16484=ORIENTED_EDGE('',*,*,#13752,.F.); +#16486=ORIENTED_EDGE('',*,*,#16485,.T.); +#16487=ORIENTED_EDGE('',*,*,#15339,.T.); +#16488=ORIENTED_EDGE('',*,*,#16472,.F.); +#16489=EDGE_LOOP('',(#16484,#16486,#16487,#16488)); +#16490=FACE_OUTER_BOUND('',#16489,.F.); +#16492=CARTESIAN_POINT('',(-2.261174245450E1,8.095745086670E-1,-2.E1)); +#16493=DIRECTION('',(6.665941282347E-1,-7.454208664929E-1,0.E0)); +#16494=DIRECTION('',(7.454208664929E-1,6.665941282347E-1,0.E0)); +#16495=AXIS2_PLACEMENT_3D('',#16492,#16493,#16494); +#16496=PLANE('',#16495); +#16497=ORIENTED_EDGE('',*,*,#13750,.F.); +#16499=ORIENTED_EDGE('',*,*,#16498,.T.); +#16500=ORIENTED_EDGE('',*,*,#15341,.T.); +#16501=ORIENTED_EDGE('',*,*,#16485,.F.); +#16502=EDGE_LOOP('',(#16497,#16499,#16500,#16501)); +#16503=FACE_OUTER_BOUND('',#16502,.F.); +#16505=CARTESIAN_POINT('',(-2.267518936369E1,6.819149494171E-1,-2.E1)); +#16506=DIRECTION('',(8.954989052989E-1,-4.450637152235E-1,0.E0)); +#16507=DIRECTION('',(4.450637152235E-1,8.954989052989E-1,0.E0)); +#16508=AXIS2_PLACEMENT_3D('',#16505,#16506,#16507); +#16509=PLANE('',#16508); +#16510=ORIENTED_EDGE('',*,*,#13748,.F.); +#16512=ORIENTED_EDGE('',*,*,#16511,.T.); +#16513=ORIENTED_EDGE('',*,*,#15343,.T.); +#16514=ORIENTED_EDGE('',*,*,#16498,.F.); +#16515=EDGE_LOOP('',(#16510,#16512,#16513,#16514)); +#16516=FACE_OUTER_BOUND('',#16515,.F.); +#16518=CARTESIAN_POINT('',(-2.283380681818E1,6.819149494171E-1,-2.E1)); +#16519=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16520=DIRECTION('',(1.E0,0.E0,0.E0)); +#16521=AXIS2_PLACEMENT_3D('',#16518,#16519,#16520); +#16522=PLANE('',#16521); +#16523=ORIENTED_EDGE('',*,*,#13746,.F.); +#16525=ORIENTED_EDGE('',*,*,#16524,.T.); +#16526=ORIENTED_EDGE('',*,*,#15345,.T.); +#16527=ORIENTED_EDGE('',*,*,#16511,.F.); +#16528=EDGE_LOOP('',(#16523,#16525,#16526,#16527)); +#16529=FACE_OUTER_BOUND('',#16528,.F.); +#16531=CARTESIAN_POINT('',(-2.280208331821E1,8.521276950836E-1,-2.E1)); +#16532=DIRECTION('',(-9.830718268355E-1,1.832205864040E-1,0.E0)); +#16533=DIRECTION('',(-1.832205864040E-1,-9.830718268355E-1,0.E0)); +#16534=AXIS2_PLACEMENT_3D('',#16531,#16532,#16533); +#16535=PLANE('',#16534); +#16536=ORIENTED_EDGE('',*,*,#13744,.F.); +#16538=ORIENTED_EDGE('',*,*,#16537,.T.); +#16539=ORIENTED_EDGE('',*,*,#15347,.T.); +#16540=ORIENTED_EDGE('',*,*,#16524,.F.); +#16541=EDGE_LOOP('',(#16536,#16538,#16539,#16540)); +#16542=FACE_OUTER_BOUND('',#16541,.F.); +#16544=CARTESIAN_POINT('',(-2.267518936369E1,1.022340440750E0,-2.E1)); +#16545=DIRECTION('',(-8.017276053396E-1,5.976895907045E-1,0.E0)); +#16546=DIRECTION('',(-5.976895907045E-1,-8.017276053396E-1,0.E0)); +#16547=AXIS2_PLACEMENT_3D('',#16544,#16545,#16546); +#16548=PLANE('',#16547); +#16549=ORIENTED_EDGE('',*,*,#13742,.F.); +#16551=ORIENTED_EDGE('',*,*,#16550,.T.); +#16552=ORIENTED_EDGE('',*,*,#15349,.T.); +#16553=ORIENTED_EDGE('',*,*,#16537,.F.); +#16554=EDGE_LOOP('',(#16549,#16551,#16552,#16553)); +#16555=FACE_OUTER_BOUND('',#16554,.F.); +#16557=CARTESIAN_POINT('',(-2.254829545455E1,1.107446813583E0,-2.E1)); +#16558=DIRECTION('',(-5.570108626152E-1,8.305052070449E-1,0.E0)); +#16559=DIRECTION('',(-8.305052070449E-1,-5.570108626152E-1,0.E0)); +#16560=AXIS2_PLACEMENT_3D('',#16557,#16558,#16559); +#16561=PLANE('',#16560); +#16562=ORIENTED_EDGE('',*,*,#13740,.F.); +#16564=ORIENTED_EDGE('',*,*,#16563,.T.); +#16565=ORIENTED_EDGE('',*,*,#15351,.T.); +#16566=ORIENTED_EDGE('',*,*,#16550,.F.); +#16567=EDGE_LOOP('',(#16562,#16564,#16565,#16566)); +#16568=FACE_OUTER_BOUND('',#16567,.F.); +#16570=CARTESIAN_POINT('',(-2.232623109086E1,1.15E0,-2.E1)); +#16571=DIRECTION('',(-1.882012099777E-1,9.821304926347E-1,0.E0)); +#16572=DIRECTION('',(-9.821304926347E-1,-1.882012099777E-1,0.E0)); +#16573=AXIS2_PLACEMENT_3D('',#16570,#16571,#16572); +#16574=PLANE('',#16573); +#16575=ORIENTED_EDGE('',*,*,#13738,.F.); +#16577=ORIENTED_EDGE('',*,*,#16576,.T.); +#16578=ORIENTED_EDGE('',*,*,#15353,.T.); +#16579=ORIENTED_EDGE('',*,*,#16563,.F.); +#16580=EDGE_LOOP('',(#16575,#16577,#16578,#16579)); +#16581=FACE_OUTER_BOUND('',#16580,.F.); +#16583=CARTESIAN_POINT('',(-2.226278409091E1,1.15E0,-2.E1)); +#16584=DIRECTION('',(0.E0,1.E0,0.E0)); +#16585=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16586=AXIS2_PLACEMENT_3D('',#16583,#16584,#16585); +#16587=PLANE('',#16586); +#16588=ORIENTED_EDGE('',*,*,#13736,.F.); +#16590=ORIENTED_EDGE('',*,*,#16589,.T.); +#16591=ORIENTED_EDGE('',*,*,#15355,.T.); +#16592=ORIENTED_EDGE('',*,*,#16576,.F.); +#16593=EDGE_LOOP('',(#16588,#16590,#16591,#16592)); +#16594=FACE_OUTER_BOUND('',#16593,.F.); +#16596=CARTESIAN_POINT('',(-2.204071972722E1,1.107446813583E0,-2.E1)); +#16597=DIRECTION('',(1.882012099777E-1,9.821304926347E-1,0.E0)); +#16598=DIRECTION('',(-9.821304926347E-1,1.882012099777E-1,0.E0)); +#16599=AXIS2_PLACEMENT_3D('',#16596,#16597,#16598); +#16600=PLANE('',#16599); +#16601=ORIENTED_EDGE('',*,*,#13734,.F.); +#16603=ORIENTED_EDGE('',*,*,#16602,.T.); +#16604=ORIENTED_EDGE('',*,*,#15357,.T.); +#16605=ORIENTED_EDGE('',*,*,#16589,.F.); +#16606=EDGE_LOOP('',(#16601,#16603,#16604,#16605)); +#16607=FACE_OUTER_BOUND('',#16606,.F.); +#16609=CARTESIAN_POINT('',(-2.191382581808E1,1.022340440750E0,-2.E1)); +#16610=DIRECTION('',(5.570108626152E-1,8.305052070449E-1,0.E0)); +#16611=DIRECTION('',(-8.305052070449E-1,5.570108626152E-1,0.E0)); +#16612=AXIS2_PLACEMENT_3D('',#16609,#16610,#16611); +#16613=PLANE('',#16612); +#16614=ORIENTED_EDGE('',*,*,#13732,.F.); +#16616=ORIENTED_EDGE('',*,*,#16615,.T.); +#16617=ORIENTED_EDGE('',*,*,#15359,.T.); +#16618=ORIENTED_EDGE('',*,*,#16602,.F.); +#16619=EDGE_LOOP('',(#16614,#16616,#16617,#16618)); +#16620=FACE_OUTER_BOUND('',#16619,.F.); +#16622=CARTESIAN_POINT('',(-2.178693181818E1,8.521276950836E-1,-2.E1)); +#16623=DIRECTION('',(8.017275029138E-1,5.976897280962E-1,0.E0)); +#16624=DIRECTION('',(-5.976897280962E-1,8.017275029138E-1,0.E0)); +#16625=AXIS2_PLACEMENT_3D('',#16622,#16623,#16624); +#16626=PLANE('',#16625); +#16627=ORIENTED_EDGE('',*,*,#13730,.F.); +#16629=ORIENTED_EDGE('',*,*,#16628,.T.); +#16630=ORIENTED_EDGE('',*,*,#15361,.T.); +#16631=ORIENTED_EDGE('',*,*,#16615,.F.); +#16632=EDGE_LOOP('',(#16627,#16629,#16630,#16631)); +#16633=FACE_OUTER_BOUND('',#16632,.F.); +#16635=CARTESIAN_POINT('',(-2.175520827283E1,6.819149494171E-1,-2.E1)); +#16636=DIRECTION('',(9.830717796265E-1,1.832208397044E-1,0.E0)); +#16637=DIRECTION('',(-1.832208397044E-1,9.830717796265E-1,0.E0)); +#16638=AXIS2_PLACEMENT_3D('',#16635,#16636,#16637); +#16639=PLANE('',#16638); +#16640=ORIENTED_EDGE('',*,*,#13728,.F.); +#16642=ORIENTED_EDGE('',*,*,#16641,.T.); +#16643=ORIENTED_EDGE('',*,*,#15363,.T.); +#16644=ORIENTED_EDGE('',*,*,#16628,.F.); +#16645=EDGE_LOOP('',(#16640,#16642,#16643,#16644)); +#16646=FACE_OUTER_BOUND('',#16645,.F.); +#16648=CARTESIAN_POINT('',(-2.175520827283E1,5.968084573746E-1,-2.E1)); +#16649=DIRECTION('',(1.E0,0.E0,0.E0)); +#16650=DIRECTION('',(0.E0,1.E0,0.E0)); +#16651=AXIS2_PLACEMENT_3D('',#16648,#16649,#16650); +#16652=PLANE('',#16651); +#16653=ORIENTED_EDGE('',*,*,#13726,.F.); +#16655=ORIENTED_EDGE('',*,*,#16654,.T.); +#16656=ORIENTED_EDGE('',*,*,#15365,.T.); +#16657=ORIENTED_EDGE('',*,*,#16641,.F.); +#16658=EDGE_LOOP('',(#16653,#16655,#16656,#16657)); +#16659=FACE_OUTER_BOUND('',#16658,.F.); +#16661=CARTESIAN_POINT('',(-2.178693181818E1,4.265957117081E-1,-2.E1)); +#16662=DIRECTION('',(9.830717796265E-1,-1.832208397044E-1,0.E0)); +#16663=DIRECTION('',(1.832208397044E-1,9.830717796265E-1,0.E0)); +#16664=AXIS2_PLACEMENT_3D('',#16661,#16662,#16663); +#16665=PLANE('',#16664); +#16666=ORIENTED_EDGE('',*,*,#13724,.F.); +#16668=ORIENTED_EDGE('',*,*,#16667,.T.); +#16669=ORIENTED_EDGE('',*,*,#15367,.T.); +#16670=ORIENTED_EDGE('',*,*,#16654,.F.); +#16671=EDGE_LOOP('',(#16666,#16668,#16669,#16670)); +#16672=FACE_OUTER_BOUND('',#16671,.F.); +#16674=CARTESIAN_POINT('',(-2.185037872737E1,2.989361524582E-1,-2.E1)); +#16675=DIRECTION('',(8.954989052989E-1,-4.450637152235E-1,0.E0)); +#16676=DIRECTION('',(4.450637152235E-1,8.954989052989E-1,0.E0)); +#16677=AXIS2_PLACEMENT_3D('',#16674,#16675,#16676); +#16678=PLANE('',#16677); +#16679=ORIENTED_EDGE('',*,*,#13722,.F.); +#16681=ORIENTED_EDGE('',*,*,#16680,.T.); +#16682=ORIENTED_EDGE('',*,*,#15369,.T.); +#16683=ORIENTED_EDGE('',*,*,#16667,.F.); +#16684=EDGE_LOOP('',(#16679,#16681,#16682,#16683)); +#16685=FACE_OUTER_BOUND('',#16684,.F.); +#16687=CARTESIAN_POINT('',(-2.197727272727E1,1.712765932083E-1,-2.E1)); +#16688=DIRECTION('',(7.092301682983E-1,-7.049769984727E-1,0.E0)); +#16689=DIRECTION('',(7.049769984727E-1,7.092301682983E-1,0.E0)); +#16690=AXIS2_PLACEMENT_3D('',#16687,#16688,#16689); +#16691=PLANE('',#16690); +#16692=ORIENTED_EDGE('',*,*,#13720,.F.); +#16694=ORIENTED_EDGE('',*,*,#16693,.T.); +#16695=ORIENTED_EDGE('',*,*,#15371,.T.); +#16696=ORIENTED_EDGE('',*,*,#16680,.F.); +#16697=EDGE_LOOP('',(#16692,#16694,#16695,#16696)); +#16698=FACE_OUTER_BOUND('',#16697,.F.); +#16700=CARTESIAN_POINT('',(-2.181865536354E1,8.617022037506E-2,-2.E1)); +#16701=DIRECTION('',(4.727944842293E-1,8.811727274958E-1,0.E0)); +#16702=DIRECTION('',(-8.811727274958E-1,4.727944842293E-1,0.E0)); +#16703=AXIS2_PLACEMENT_3D('',#16700,#16701,#16702); +#16704=PLANE('',#16703); +#16705=ORIENTED_EDGE('',*,*,#13718,.F.); +#16707=ORIENTED_EDGE('',*,*,#16706,.T.); +#16708=ORIENTED_EDGE('',*,*,#15373,.T.); +#16709=ORIENTED_EDGE('',*,*,#16693,.F.); +#16710=EDGE_LOOP('',(#16705,#16707,#16708,#16709)); +#16711=FACE_OUTER_BOUND('',#16710,.F.); +#16713=CARTESIAN_POINT('',(-2.175520827283E1,-4.148933887482E-2,-2.E1)); +#16714=DIRECTION('',(8.954983978042E-1,4.450647363362E-1,0.E0)); +#16715=DIRECTION('',(-4.450647363362E-1,8.954983978042E-1,0.E0)); +#16716=AXIS2_PLACEMENT_3D('',#16713,#16714,#16715); +#16717=PLANE('',#16716); +#16718=ORIENTED_EDGE('',*,*,#13716,.F.); +#16720=ORIENTED_EDGE('',*,*,#16719,.T.); +#16721=ORIENTED_EDGE('',*,*,#15375,.T.); +#16722=ORIENTED_EDGE('',*,*,#16706,.F.); +#16723=EDGE_LOOP('',(#16718,#16720,#16721,#16722)); +#16724=FACE_OUTER_BOUND('',#16723,.F.); +#16726=CARTESIAN_POINT('',(-2.172348490899E1,-2.117021441460E-1,-2.E1)); +#16727=DIRECTION('',(9.830719800186E-1,1.832197644970E-1,0.E0)); +#16728=DIRECTION('',(-1.832197644970E-1,9.830719800186E-1,0.E0)); +#16729=AXIS2_PLACEMENT_3D('',#16726,#16727,#16728); +#16730=PLANE('',#16729); +#16731=ORIENTED_EDGE('',*,*,#13714,.F.); +#16733=ORIENTED_EDGE('',*,*,#16732,.T.); +#16734=ORIENTED_EDGE('',*,*,#15377,.T.); +#16735=ORIENTED_EDGE('',*,*,#16719,.F.); +#16736=EDGE_LOOP('',(#16731,#16733,#16734,#16735)); +#16737=FACE_OUTER_BOUND('',#16736,.F.); +#16739=CARTESIAN_POINT('',(-2.172348490899E1,-3.819148898125E-1,-2.E1)); +#16740=DIRECTION('',(1.E0,0.E0,0.E0)); +#16741=DIRECTION('',(0.E0,1.E0,0.E0)); +#16742=AXIS2_PLACEMENT_3D('',#16739,#16740,#16741); +#16743=PLANE('',#16742); +#16744=ORIENTED_EDGE('',*,*,#13712,.F.); +#16746=ORIENTED_EDGE('',*,*,#16745,.T.); +#16747=ORIENTED_EDGE('',*,*,#15379,.T.); +#16748=ORIENTED_EDGE('',*,*,#16732,.F.); +#16749=EDGE_LOOP('',(#16744,#16746,#16747,#16748)); +#16750=FACE_OUTER_BOUND('',#16749,.F.); +#16752=CARTESIAN_POINT('',(-2.175520827283E1,-5.095744788647E-1,-2.E1)); +#16753=DIRECTION('',(9.704841348329E-1,-2.411649726592E-1,0.E0)); +#16754=DIRECTION('',(2.411649726592E-1,9.704841348329E-1,0.E0)); +#16755=AXIS2_PLACEMENT_3D('',#16752,#16753,#16754); +#16756=PLANE('',#16755); +#16757=ORIENTED_EDGE('',*,*,#13710,.F.); +#16758=ORIENTED_EDGE('',*,*,#16032,.T.); +#16759=ORIENTED_EDGE('',*,*,#15381,.T.); +#16760=ORIENTED_EDGE('',*,*,#16745,.F.); +#16761=EDGE_LOOP('',(#16757,#16758,#16759,#16760)); +#16762=FACE_OUTER_BOUND('',#16761,.F.); +#16764=CARTESIAN_POINT('',(3.575E1,1.105E1,-1.98E1)); +#16765=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16766=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16767=AXIS2_PLACEMENT_3D('',#16764,#16765,#16766); +#16768=PLANE('',#16767); +#16769=ORIENTED_EDGE('',*,*,#13274,.F.); +#16771=ORIENTED_EDGE('',*,*,#16770,.F.); +#16773=ORIENTED_EDGE('',*,*,#16772,.T.); +#16775=ORIENTED_EDGE('',*,*,#16774,.T.); +#16776=EDGE_LOOP('',(#16769,#16771,#16773,#16775)); +#16777=FACE_OUTER_BOUND('',#16776,.F.); +#16779=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.9E1)); +#16780=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16781=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16782=AXIS2_PLACEMENT_3D('',#16779,#16780,#16781); +#16783=CYLINDRICAL_SURFACE('',#16782,2.3E0); +#16784=ORIENTED_EDGE('',*,*,#13288,.F.); +#16786=ORIENTED_EDGE('',*,*,#16785,.F.); +#16788=ORIENTED_EDGE('',*,*,#16787,.T.); +#16789=ORIENTED_EDGE('',*,*,#16770,.T.); +#16790=EDGE_LOOP('',(#16784,#16786,#16788,#16789)); +#16791=FACE_OUTER_BOUND('',#16790,.F.); +#16793=CARTESIAN_POINT('',(-3.345E1,1.335E1,-1.98E1)); +#16794=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16795=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16796=AXIS2_PLACEMENT_3D('',#16793,#16794,#16795); +#16797=PLANE('',#16796); +#16798=ORIENTED_EDGE('',*,*,#13286,.F.); +#16800=ORIENTED_EDGE('',*,*,#16799,.F.); +#16802=ORIENTED_EDGE('',*,*,#16801,.T.); +#16803=ORIENTED_EDGE('',*,*,#16785,.T.); +#16804=EDGE_LOOP('',(#16798,#16800,#16802,#16803)); +#16805=FACE_OUTER_BOUND('',#16804,.F.); +#16807=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.9E1)); +#16808=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16809=DIRECTION('',(1.E0,0.E0,0.E0)); +#16810=AXIS2_PLACEMENT_3D('',#16807,#16808,#16809); +#16811=CYLINDRICAL_SURFACE('',#16810,2.3E0); +#16812=ORIENTED_EDGE('',*,*,#13284,.F.); +#16814=ORIENTED_EDGE('',*,*,#16813,.F.); +#16816=ORIENTED_EDGE('',*,*,#16815,.T.); +#16817=ORIENTED_EDGE('',*,*,#16799,.T.); +#16818=EDGE_LOOP('',(#16812,#16814,#16816,#16817)); +#16819=FACE_OUTER_BOUND('',#16818,.F.); +#16821=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-1.98E1)); +#16822=DIRECTION('',(1.E0,0.E0,0.E0)); +#16823=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16824=AXIS2_PLACEMENT_3D('',#16821,#16822,#16823); +#16825=PLANE('',#16824); +#16826=ORIENTED_EDGE('',*,*,#13282,.F.); +#16828=ORIENTED_EDGE('',*,*,#16827,.F.); +#16830=ORIENTED_EDGE('',*,*,#16829,.T.); +#16831=ORIENTED_EDGE('',*,*,#16813,.T.); +#16832=EDGE_LOOP('',(#16826,#16828,#16830,#16831)); +#16833=FACE_OUTER_BOUND('',#16832,.F.); +#16835=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.9E1)); +#16836=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16837=DIRECTION('',(0.E0,1.E0,0.E0)); +#16838=AXIS2_PLACEMENT_3D('',#16835,#16836,#16837); +#16839=CYLINDRICAL_SURFACE('',#16838,2.3E0); +#16840=ORIENTED_EDGE('',*,*,#13280,.F.); +#16842=ORIENTED_EDGE('',*,*,#16841,.F.); +#16844=ORIENTED_EDGE('',*,*,#16843,.T.); +#16845=ORIENTED_EDGE('',*,*,#16827,.T.); +#16846=EDGE_LOOP('',(#16840,#16842,#16844,#16845)); +#16847=FACE_OUTER_BOUND('',#16846,.F.); +#16849=CARTESIAN_POINT('',(3.345E1,-1.335E1,-1.98E1)); +#16850=DIRECTION('',(0.E0,1.E0,0.E0)); +#16851=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16852=AXIS2_PLACEMENT_3D('',#16849,#16850,#16851); +#16853=PLANE('',#16852); +#16854=ORIENTED_EDGE('',*,*,#13278,.F.); +#16856=ORIENTED_EDGE('',*,*,#16855,.F.); +#16858=ORIENTED_EDGE('',*,*,#16857,.T.); +#16859=ORIENTED_EDGE('',*,*,#16841,.T.); +#16860=EDGE_LOOP('',(#16854,#16856,#16858,#16859)); +#16861=FACE_OUTER_BOUND('',#16860,.F.); +#16863=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.9E1)); +#16864=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16865=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16866=AXIS2_PLACEMENT_3D('',#16863,#16864,#16865); +#16867=CYLINDRICAL_SURFACE('',#16866,2.3E0); +#16868=ORIENTED_EDGE('',*,*,#13276,.F.); +#16869=ORIENTED_EDGE('',*,*,#16774,.F.); +#16871=ORIENTED_EDGE('',*,*,#16870,.T.); +#16872=ORIENTED_EDGE('',*,*,#16855,.T.); +#16873=EDGE_LOOP('',(#16868,#16869,#16871,#16872)); +#16874=FACE_OUTER_BOUND('',#16873,.F.); +#16876=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.98E1)); +#16877=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16878=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16879=AXIS2_PLACEMENT_3D('',#16876,#16877,#16878); +#16880=TOROIDAL_SURFACE('',#16879,3.6E0,1.3E0); +#16882=ORIENTED_EDGE('',*,*,#16881,.F.); +#16884=ORIENTED_EDGE('',*,*,#16883,.T.); +#16886=ORIENTED_EDGE('',*,*,#16885,.T.); +#16887=ORIENTED_EDGE('',*,*,#16870,.F.); +#16888=EDGE_LOOP('',(#16882,#16884,#16886,#16887)); +#16889=FACE_OUTER_BOUND('',#16888,.F.); +#16891=CARTESIAN_POINT('',(3.705E1,1.105E1,-1.98E1)); +#16892=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16893=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16894=AXIS2_PLACEMENT_3D('',#16891,#16892,#16893); +#16895=CYLINDRICAL_SURFACE('',#16894,1.3E0); +#16897=ORIENTED_EDGE('',*,*,#16896,.F.); +#16899=ORIENTED_EDGE('',*,*,#16898,.T.); +#16900=ORIENTED_EDGE('',*,*,#16881,.T.); +#16901=ORIENTED_EDGE('',*,*,#16772,.F.); +#16902=EDGE_LOOP('',(#16897,#16899,#16900,#16901)); +#16903=FACE_OUTER_BOUND('',#16902,.F.); +#16905=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.98E1)); +#16906=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16907=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16908=AXIS2_PLACEMENT_3D('',#16905,#16906,#16907); +#16909=TOROIDAL_SURFACE('',#16908,3.6E0,1.3E0); +#16911=ORIENTED_EDGE('',*,*,#16910,.F.); +#16913=ORIENTED_EDGE('',*,*,#16912,.T.); +#16914=ORIENTED_EDGE('',*,*,#16896,.T.); +#16915=ORIENTED_EDGE('',*,*,#16787,.F.); +#16916=EDGE_LOOP('',(#16911,#16913,#16914,#16915)); +#16917=FACE_OUTER_BOUND('',#16916,.F.); +#16919=CARTESIAN_POINT('',(-3.345E1,1.465E1,-1.98E1)); +#16920=DIRECTION('',(1.E0,0.E0,0.E0)); +#16921=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16922=AXIS2_PLACEMENT_3D('',#16919,#16920,#16921); +#16923=CYLINDRICAL_SURFACE('',#16922,1.3E0); +#16925=ORIENTED_EDGE('',*,*,#16924,.F.); +#16927=ORIENTED_EDGE('',*,*,#16926,.T.); +#16928=ORIENTED_EDGE('',*,*,#16910,.T.); +#16929=ORIENTED_EDGE('',*,*,#16801,.F.); +#16930=EDGE_LOOP('',(#16925,#16927,#16928,#16929)); +#16931=FACE_OUTER_BOUND('',#16930,.F.); +#16933=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.98E1)); +#16934=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16935=DIRECTION('',(1.E0,0.E0,0.E0)); +#16936=AXIS2_PLACEMENT_3D('',#16933,#16934,#16935); +#16937=TOROIDAL_SURFACE('',#16936,3.6E0,1.3E0); +#16939=ORIENTED_EDGE('',*,*,#16938,.F.); +#16941=ORIENTED_EDGE('',*,*,#16940,.T.); +#16942=ORIENTED_EDGE('',*,*,#16924,.T.); +#16943=ORIENTED_EDGE('',*,*,#16815,.F.); +#16944=EDGE_LOOP('',(#16939,#16941,#16942,#16943)); +#16945=FACE_OUTER_BOUND('',#16944,.F.); +#16947=CARTESIAN_POINT('',(-3.705E1,-1.105E1,-1.98E1)); +#16948=DIRECTION('',(0.E0,1.E0,0.E0)); +#16949=DIRECTION('',(1.E0,0.E0,0.E0)); +#16950=AXIS2_PLACEMENT_3D('',#16947,#16948,#16949); +#16951=CYLINDRICAL_SURFACE('',#16950,1.3E0); +#16953=ORIENTED_EDGE('',*,*,#16952,.F.); +#16955=ORIENTED_EDGE('',*,*,#16954,.T.); +#16956=ORIENTED_EDGE('',*,*,#16938,.T.); +#16957=ORIENTED_EDGE('',*,*,#16829,.F.); +#16958=EDGE_LOOP('',(#16953,#16955,#16956,#16957)); +#16959=FACE_OUTER_BOUND('',#16958,.F.); +#16961=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.98E1)); +#16962=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16963=DIRECTION('',(0.E0,1.E0,0.E0)); +#16964=AXIS2_PLACEMENT_3D('',#16961,#16962,#16963); +#16965=TOROIDAL_SURFACE('',#16964,3.6E0,1.3E0); +#16967=ORIENTED_EDGE('',*,*,#16966,.F.); +#16969=ORIENTED_EDGE('',*,*,#16968,.T.); +#16970=ORIENTED_EDGE('',*,*,#16952,.T.); +#16971=ORIENTED_EDGE('',*,*,#16843,.F.); +#16972=EDGE_LOOP('',(#16967,#16969,#16970,#16971)); +#16973=FACE_OUTER_BOUND('',#16972,.F.); +#16975=CARTESIAN_POINT('',(3.345E1,-1.465E1,-1.98E1)); +#16976=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16977=DIRECTION('',(0.E0,1.E0,0.E0)); +#16978=AXIS2_PLACEMENT_3D('',#16975,#16976,#16977); +#16979=CYLINDRICAL_SURFACE('',#16978,1.3E0); +#16980=ORIENTED_EDGE('',*,*,#16885,.F.); +#16982=ORIENTED_EDGE('',*,*,#16981,.T.); +#16983=ORIENTED_EDGE('',*,*,#16966,.T.); +#16984=ORIENTED_EDGE('',*,*,#16857,.F.); +#16985=EDGE_LOOP('',(#16980,#16982,#16983,#16984)); +#16986=FACE_OUTER_BOUND('',#16985,.F.); +#16988=CARTESIAN_POINT('',(3.345E1,-1.595E1,-2.019999995599E1)); +#16989=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16990=DIRECTION('',(0.E0,0.E0,1.E0)); +#16991=AXIS2_PLACEMENT_3D('',#16988,#16989,#16990); +#16992=PLANE('',#16991); +#16994=ORIENTED_EDGE('',*,*,#16993,.F.); +#16996=ORIENTED_EDGE('',*,*,#16995,.F.); +#16998=ORIENTED_EDGE('',*,*,#16997,.T.); +#16999=ORIENTED_EDGE('',*,*,#16981,.F.); +#17000=EDGE_LOOP('',(#16994,#16996,#16998,#16999)); +#17001=FACE_OUTER_BOUND('',#17000,.F.); +#17003=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.9E1)); +#17004=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17005=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17006=AXIS2_PLACEMENT_3D('',#17003,#17004,#17005); +#17007=CYLINDRICAL_SURFACE('',#17006,4.9E0); +#17009=ORIENTED_EDGE('',*,*,#17008,.F.); +#17011=ORIENTED_EDGE('',*,*,#17010,.T.); +#17012=ORIENTED_EDGE('',*,*,#16993,.T.); +#17013=ORIENTED_EDGE('',*,*,#16883,.F.); +#17014=EDGE_LOOP('',(#17009,#17011,#17012,#17013)); +#17015=FACE_OUTER_BOUND('',#17014,.F.); +#17017=CARTESIAN_POINT('',(3.835E1,1.105E1,-2.019999995599E1)); +#17018=DIRECTION('',(1.E0,0.E0,0.E0)); +#17019=DIRECTION('',(0.E0,0.E0,1.E0)); +#17020=AXIS2_PLACEMENT_3D('',#17017,#17018,#17019); +#17021=PLANE('',#17020); +#17023=ORIENTED_EDGE('',*,*,#17022,.F.); +#17025=ORIENTED_EDGE('',*,*,#17024,.F.); +#17026=ORIENTED_EDGE('',*,*,#17008,.T.); +#17027=ORIENTED_EDGE('',*,*,#16898,.F.); +#17028=EDGE_LOOP('',(#17023,#17025,#17026,#17027)); +#17029=FACE_OUTER_BOUND('',#17028,.F.); +#17031=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.9E1)); +#17032=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17033=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17034=AXIS2_PLACEMENT_3D('',#17031,#17032,#17033); +#17035=CYLINDRICAL_SURFACE('',#17034,4.9E0); +#17036=ORIENTED_EDGE('',*,*,#17022,.T.); +#17037=ORIENTED_EDGE('',*,*,#16912,.F.); +#17039=ORIENTED_EDGE('',*,*,#17038,.F.); +#17041=ORIENTED_EDGE('',*,*,#17040,.T.); +#17042=EDGE_LOOP('',(#17036,#17037,#17039,#17041)); +#17043=FACE_OUTER_BOUND('',#17042,.F.); +#17045=CARTESIAN_POINT('',(-3.345E1,1.595E1,-2.019999995599E1)); +#17046=DIRECTION('',(0.E0,1.E0,0.E0)); +#17047=DIRECTION('',(0.E0,0.E0,1.E0)); +#17048=AXIS2_PLACEMENT_3D('',#17045,#17046,#17047); +#17049=PLANE('',#17048); +#17051=ORIENTED_EDGE('',*,*,#17050,.F.); +#17053=ORIENTED_EDGE('',*,*,#17052,.F.); +#17054=ORIENTED_EDGE('',*,*,#17038,.T.); +#17055=ORIENTED_EDGE('',*,*,#16926,.F.); +#17056=EDGE_LOOP('',(#17051,#17053,#17054,#17055)); +#17057=FACE_OUTER_BOUND('',#17056,.F.); +#17059=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.9E1)); +#17060=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17061=DIRECTION('',(1.E0,0.E0,0.E0)); +#17062=AXIS2_PLACEMENT_3D('',#17059,#17060,#17061); +#17063=CYLINDRICAL_SURFACE('',#17062,4.9E0); +#17065=ORIENTED_EDGE('',*,*,#17064,.F.); +#17067=ORIENTED_EDGE('',*,*,#17066,.T.); +#17068=ORIENTED_EDGE('',*,*,#17050,.T.); +#17069=ORIENTED_EDGE('',*,*,#16940,.F.); +#17070=EDGE_LOOP('',(#17065,#17067,#17068,#17069)); +#17071=FACE_OUTER_BOUND('',#17070,.F.); +#17073=CARTESIAN_POINT('',(-3.835E1,-1.105E1,-2.019999995599E1)); +#17074=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17075=DIRECTION('',(0.E0,0.E0,1.E0)); +#17076=AXIS2_PLACEMENT_3D('',#17073,#17074,#17075); +#17077=PLANE('',#17076); +#17079=ORIENTED_EDGE('',*,*,#17078,.F.); +#17081=ORIENTED_EDGE('',*,*,#17080,.F.); +#17082=ORIENTED_EDGE('',*,*,#17064,.T.); +#17083=ORIENTED_EDGE('',*,*,#16954,.F.); +#17084=EDGE_LOOP('',(#17079,#17081,#17082,#17083)); +#17085=FACE_OUTER_BOUND('',#17084,.F.); +#17087=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.9E1)); +#17088=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17089=DIRECTION('',(0.E0,1.E0,0.E0)); +#17090=AXIS2_PLACEMENT_3D('',#17087,#17088,#17089); +#17091=CYLINDRICAL_SURFACE('',#17090,4.9E0); +#17092=ORIENTED_EDGE('',*,*,#16997,.F.); +#17094=ORIENTED_EDGE('',*,*,#17093,.T.); +#17095=ORIENTED_EDGE('',*,*,#17078,.T.); +#17096=ORIENTED_EDGE('',*,*,#16968,.F.); +#17097=EDGE_LOOP('',(#17092,#17094,#17095,#17096)); +#17098=FACE_OUTER_BOUND('',#17097,.F.); +#17100=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.05E1)); +#17101=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17102=DIRECTION('',(-9.999920105491E-1,3.997353874782E-3,0.E0)); +#17103=AXIS2_PLACEMENT_3D('',#17100,#17101,#17102); +#17104=TOROIDAL_SURFACE('',#17103,4.5E0,5.E-1); +#17106=ORIENTED_EDGE('',*,*,#17105,.T.); +#17108=ORIENTED_EDGE('',*,*,#17107,.F.); +#17110=ORIENTED_EDGE('',*,*,#17109,.F.); +#17111=ORIENTED_EDGE('',*,*,#17093,.F.); +#17112=EDGE_LOOP('',(#17106,#17108,#17110,#17111)); +#17113=FACE_OUTER_BOUND('',#17112,.F.); +#17115=CARTESIAN_POINT('',(-3.346998682260E1,-1.555E1,-2.05E1)); +#17116=DIRECTION('',(1.E0,0.E0,0.E0)); +#17117=DIRECTION('',(0.E0,0.E0,1.E0)); +#17118=AXIS2_PLACEMENT_3D('',#17115,#17116,#17117); +#17119=CYLINDRICAL_SURFACE('',#17118,5.E-1); +#17121=ORIENTED_EDGE('',*,*,#17120,.T.); +#17123=ORIENTED_EDGE('',*,*,#17122,.F.); +#17124=ORIENTED_EDGE('',*,*,#17105,.F.); +#17125=ORIENTED_EDGE('',*,*,#16995,.T.); +#17126=EDGE_LOOP('',(#17121,#17123,#17124,#17125)); +#17127=FACE_OUTER_BOUND('',#17126,.F.); +#17129=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.05E1)); +#17130=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17131=DIRECTION('',(-3.997353874782E-3,-9.999920105491E-1,0.E0)); +#17132=AXIS2_PLACEMENT_3D('',#17129,#17130,#17131); +#17133=TOROIDAL_SURFACE('',#17132,4.5E0,5.E-1); +#17135=ORIENTED_EDGE('',*,*,#17134,.T.); +#17137=ORIENTED_EDGE('',*,*,#17136,.F.); +#17138=ORIENTED_EDGE('',*,*,#17120,.F.); +#17139=ORIENTED_EDGE('',*,*,#17010,.F.); +#17140=EDGE_LOOP('',(#17135,#17137,#17138,#17139)); +#17141=FACE_OUTER_BOUND('',#17140,.F.); +#17143=CARTESIAN_POINT('',(3.795E1,-1.106998682260E1,-2.05E1)); +#17144=DIRECTION('',(0.E0,1.E0,0.E0)); +#17145=DIRECTION('',(0.E0,0.E0,1.E0)); +#17146=AXIS2_PLACEMENT_3D('',#17143,#17144,#17145); +#17147=CYLINDRICAL_SURFACE('',#17146,5.E-1); +#17149=ORIENTED_EDGE('',*,*,#17148,.T.); +#17151=ORIENTED_EDGE('',*,*,#17150,.F.); +#17152=ORIENTED_EDGE('',*,*,#17134,.F.); +#17153=ORIENTED_EDGE('',*,*,#17024,.T.); +#17154=EDGE_LOOP('',(#17149,#17151,#17152,#17153)); +#17155=FACE_OUTER_BOUND('',#17154,.F.); +#17157=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.05E1)); +#17158=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17159=DIRECTION('',(9.999920105491E-1,-3.997353874782E-3,0.E0)); +#17160=AXIS2_PLACEMENT_3D('',#17157,#17158,#17159); +#17161=TOROIDAL_SURFACE('',#17160,4.5E0,5.E-1); +#17162=ORIENTED_EDGE('',*,*,#17148,.F.); +#17163=ORIENTED_EDGE('',*,*,#17040,.F.); +#17165=ORIENTED_EDGE('',*,*,#17164,.T.); +#17167=ORIENTED_EDGE('',*,*,#17166,.F.); +#17168=EDGE_LOOP('',(#17162,#17163,#17165,#17167)); +#17169=FACE_OUTER_BOUND('',#17168,.F.); +#17171=CARTESIAN_POINT('',(3.346998682260E1,1.555E1,-2.05E1)); +#17172=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17173=DIRECTION('',(0.E0,0.E0,1.E0)); +#17174=AXIS2_PLACEMENT_3D('',#17171,#17172,#17173); +#17175=CYLINDRICAL_SURFACE('',#17174,5.E-1); +#17176=ORIENTED_EDGE('',*,*,#17164,.F.); +#17177=ORIENTED_EDGE('',*,*,#17052,.T.); +#17179=ORIENTED_EDGE('',*,*,#17178,.T.); +#17181=ORIENTED_EDGE('',*,*,#17180,.F.); +#17182=EDGE_LOOP('',(#17176,#17177,#17179,#17181)); +#17183=FACE_OUTER_BOUND('',#17182,.F.); +#17185=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.05E1)); +#17186=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17187=DIRECTION('',(3.997353874783E-3,9.999920105491E-1,0.E0)); +#17188=AXIS2_PLACEMENT_3D('',#17185,#17186,#17187); +#17189=TOROIDAL_SURFACE('',#17188,4.5E0,5.E-1); +#17191=ORIENTED_EDGE('',*,*,#17190,.T.); +#17193=ORIENTED_EDGE('',*,*,#17192,.F.); +#17194=ORIENTED_EDGE('',*,*,#17178,.F.); +#17195=ORIENTED_EDGE('',*,*,#17066,.F.); +#17196=EDGE_LOOP('',(#17191,#17193,#17194,#17195)); +#17197=FACE_OUTER_BOUND('',#17196,.F.); +#17199=CARTESIAN_POINT('',(-3.795E1,1.106998682260E1,-2.05E1)); +#17200=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17201=DIRECTION('',(0.E0,0.E0,1.E0)); +#17202=AXIS2_PLACEMENT_3D('',#17199,#17200,#17201); +#17203=CYLINDRICAL_SURFACE('',#17202,5.E-1); +#17204=ORIENTED_EDGE('',*,*,#17109,.T.); +#17206=ORIENTED_EDGE('',*,*,#17205,.F.); +#17207=ORIENTED_EDGE('',*,*,#17190,.F.); +#17208=ORIENTED_EDGE('',*,*,#17080,.T.); +#17209=EDGE_LOOP('',(#17204,#17206,#17207,#17208)); +#17210=FACE_OUTER_BOUND('',#17209,.F.); +#17212=CARTESIAN_POINT('',(-3.845E1,-1.105E1,-2.E1)); +#17213=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17214=DIRECTION('',(0.E0,1.E0,0.E0)); +#17215=AXIS2_PLACEMENT_3D('',#17212,#17213,#17214); +#17216=PLANE('',#17215); +#17218=ORIENTED_EDGE('',*,*,#17217,.T.); +#17220=ORIENTED_EDGE('',*,*,#17219,.T.); +#17222=ORIENTED_EDGE('',*,*,#17221,.T.); +#17224=ORIENTED_EDGE('',*,*,#17223,.T.); +#17226=ORIENTED_EDGE('',*,*,#17225,.F.); +#17228=ORIENTED_EDGE('',*,*,#17227,.T.); +#17230=ORIENTED_EDGE('',*,*,#17229,.F.); +#17231=ORIENTED_EDGE('',*,*,#17205,.T.); +#17233=ORIENTED_EDGE('',*,*,#17232,.T.); +#17235=ORIENTED_EDGE('',*,*,#17234,.T.); +#17237=ORIENTED_EDGE('',*,*,#17236,.T.); +#17239=ORIENTED_EDGE('',*,*,#17238,.F.); +#17241=ORIENTED_EDGE('',*,*,#17240,.T.); +#17242=EDGE_LOOP('',(#17218,#17220,#17222,#17224,#17226,#17228,#17230,#17231, +#17233,#17235,#17237,#17239,#17241)); +#17243=FACE_OUTER_BOUND('',#17242,.F.); +#17245=CARTESIAN_POINT('',(-3.845E1,-6.55E0,-2.85E1)); +#17246=DIRECTION('',(0.E0,1.E0,0.E0)); +#17247=DIRECTION('',(1.E0,0.E0,0.E0)); +#17248=AXIS2_PLACEMENT_3D('',#17245,#17246,#17247); +#17249=PLANE('',#17248); +#17251=ORIENTED_EDGE('',*,*,#17250,.F.); +#17253=ORIENTED_EDGE('',*,*,#17252,.F.); +#17254=ORIENTED_EDGE('',*,*,#17217,.F.); +#17256=ORIENTED_EDGE('',*,*,#17255,.T.); +#17258=ORIENTED_EDGE('',*,*,#17257,.F.); +#17260=ORIENTED_EDGE('',*,*,#17259,.F.); +#17261=EDGE_LOOP('',(#17251,#17253,#17254,#17256,#17258,#17260)); +#17262=FACE_OUTER_BOUND('',#17261,.F.); +#17264=CARTESIAN_POINT('',(-1.3869684E2,-6.05E0,-2.25E1)); +#17265=DIRECTION('',(1.E0,0.E0,0.E0)); +#17266=DIRECTION('',(0.E0,0.E0,1.E0)); +#17267=AXIS2_PLACEMENT_3D('',#17264,#17265,#17266); +#17268=CYLINDRICAL_SURFACE('',#17267,5.E-1); +#17270=ORIENTED_EDGE('',*,*,#17269,.F.); +#17272=ORIENTED_EDGE('',*,*,#17271,.T.); +#17273=ORIENTED_EDGE('',*,*,#17250,.T.); +#17275=ORIENTED_EDGE('',*,*,#17274,.T.); +#17276=EDGE_LOOP('',(#17270,#17272,#17273,#17275)); +#17277=FACE_OUTER_BOUND('',#17276,.F.); +#17279=CARTESIAN_POINT('',(0.E0,0.E0,-2.2E1)); +#17280=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17281=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17282=AXIS2_PLACEMENT_3D('',#17279,#17280,#17281); +#17283=PLANE('',#17282); +#17285=ORIENTED_EDGE('',*,*,#17284,.T.); +#17287=ORIENTED_EDGE('',*,*,#17286,.F.); +#17288=ORIENTED_EDGE('',*,*,#17269,.T.); +#17290=ORIENTED_EDGE('',*,*,#17289,.F.); +#17292=ORIENTED_EDGE('',*,*,#17291,.F.); +#17294=ORIENTED_EDGE('',*,*,#17293,.T.); +#17296=ORIENTED_EDGE('',*,*,#17295,.T.); +#17298=ORIENTED_EDGE('',*,*,#17297,.F.); +#17300=ORIENTED_EDGE('',*,*,#17299,.T.); +#17302=ORIENTED_EDGE('',*,*,#17301,.T.); +#17304=ORIENTED_EDGE('',*,*,#17303,.T.); +#17306=ORIENTED_EDGE('',*,*,#17305,.T.); +#17308=ORIENTED_EDGE('',*,*,#17307,.T.); +#17310=ORIENTED_EDGE('',*,*,#17309,.T.); +#17312=ORIENTED_EDGE('',*,*,#17311,.T.); +#17314=ORIENTED_EDGE('',*,*,#17313,.T.); +#17316=ORIENTED_EDGE('',*,*,#17315,.T.); +#17318=ORIENTED_EDGE('',*,*,#17317,.T.); +#17320=ORIENTED_EDGE('',*,*,#17319,.F.); +#17322=ORIENTED_EDGE('',*,*,#17321,.T.); +#17324=ORIENTED_EDGE('',*,*,#17323,.F.); +#17326=ORIENTED_EDGE('',*,*,#17325,.F.); +#17328=ORIENTED_EDGE('',*,*,#17327,.F.); +#17330=ORIENTED_EDGE('',*,*,#17329,.F.); +#17332=ORIENTED_EDGE('',*,*,#17331,.F.); +#17334=ORIENTED_EDGE('',*,*,#17333,.T.); +#17336=ORIENTED_EDGE('',*,*,#17335,.F.); +#17338=ORIENTED_EDGE('',*,*,#17337,.T.); +#17340=ORIENTED_EDGE('',*,*,#17339,.T.); +#17342=ORIENTED_EDGE('',*,*,#17341,.T.); +#17344=ORIENTED_EDGE('',*,*,#17343,.T.); +#17346=ORIENTED_EDGE('',*,*,#17345,.T.); +#17348=ORIENTED_EDGE('',*,*,#17347,.T.); +#17350=ORIENTED_EDGE('',*,*,#17349,.T.); +#17352=ORIENTED_EDGE('',*,*,#17351,.T.); +#17354=ORIENTED_EDGE('',*,*,#17353,.T.); +#17356=ORIENTED_EDGE('',*,*,#17355,.T.); +#17358=ORIENTED_EDGE('',*,*,#17357,.F.); +#17360=ORIENTED_EDGE('',*,*,#17359,.T.); +#17362=ORIENTED_EDGE('',*,*,#17361,.T.); +#17364=ORIENTED_EDGE('',*,*,#17363,.F.); +#17366=ORIENTED_EDGE('',*,*,#17365,.F.); +#17368=ORIENTED_EDGE('',*,*,#17367,.T.); +#17370=ORIENTED_EDGE('',*,*,#17369,.F.); +#17372=ORIENTED_EDGE('',*,*,#17371,.T.); +#17374=ORIENTED_EDGE('',*,*,#17373,.F.); +#17376=ORIENTED_EDGE('',*,*,#17375,.F.); +#17378=ORIENTED_EDGE('',*,*,#17377,.F.); +#17380=ORIENTED_EDGE('',*,*,#17379,.F.); +#17382=ORIENTED_EDGE('',*,*,#17381,.F.); +#17384=ORIENTED_EDGE('',*,*,#17383,.F.); +#17386=ORIENTED_EDGE('',*,*,#17385,.F.); +#17388=ORIENTED_EDGE('',*,*,#17387,.F.); +#17390=ORIENTED_EDGE('',*,*,#17389,.F.); +#17392=ORIENTED_EDGE('',*,*,#17391,.F.); +#17394=ORIENTED_EDGE('',*,*,#17393,.F.); +#17395=EDGE_LOOP('',(#17285,#17287,#17288,#17290,#17292,#17294,#17296,#17298, +#17300,#17302,#17304,#17306,#17308,#17310,#17312,#17314,#17316,#17318,#17320, +#17322,#17324,#17326,#17328,#17330,#17332,#17334,#17336,#17338,#17340,#17342, +#17344,#17346,#17348,#17350,#17352,#17354,#17356,#17358,#17360,#17362,#17364, +#17366,#17368,#17370,#17372,#17374,#17376,#17378,#17380,#17382,#17384,#17386, +#17388,#17390,#17392,#17394)); +#17396=FACE_OUTER_BOUND('',#17395,.F.); +#17398=ORIENTED_EDGE('',*,*,#17397,.T.); +#17400=ORIENTED_EDGE('',*,*,#17399,.F.); +#17402=ORIENTED_EDGE('',*,*,#17401,.T.); +#17404=ORIENTED_EDGE('',*,*,#17403,.F.); +#17406=ORIENTED_EDGE('',*,*,#17405,.F.); +#17408=ORIENTED_EDGE('',*,*,#17407,.T.); +#17410=ORIENTED_EDGE('',*,*,#17409,.T.); +#17412=ORIENTED_EDGE('',*,*,#17411,.F.); +#17414=ORIENTED_EDGE('',*,*,#17413,.F.); +#17416=ORIENTED_EDGE('',*,*,#17415,.F.); +#17418=ORIENTED_EDGE('',*,*,#17417,.F.); +#17420=ORIENTED_EDGE('',*,*,#17419,.F.); +#17422=ORIENTED_EDGE('',*,*,#17421,.T.); +#17424=ORIENTED_EDGE('',*,*,#17423,.T.); +#17426=ORIENTED_EDGE('',*,*,#17425,.T.); +#17428=ORIENTED_EDGE('',*,*,#17427,.F.); +#17429=EDGE_LOOP('',(#17398,#17400,#17402,#17404,#17406,#17408,#17410,#17412, +#17414,#17416,#17418,#17420,#17422,#17424,#17426,#17428)); +#17430=FACE_BOUND('',#17429,.F.); +#17432=CARTESIAN_POINT('',(1.301682487193E2,9.55E0,-2.25E1)); +#17433=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17434=DIRECTION('',(0.E0,0.E0,1.E0)); +#17435=AXIS2_PLACEMENT_3D('',#17432,#17433,#17434); +#17436=CYLINDRICAL_SURFACE('',#17435,5.E-1); +#17438=ORIENTED_EDGE('',*,*,#17437,.T.); +#17440=ORIENTED_EDGE('',*,*,#17439,.T.); +#17441=ORIENTED_EDGE('',*,*,#17284,.F.); +#17443=ORIENTED_EDGE('',*,*,#17442,.T.); +#17444=EDGE_LOOP('',(#17438,#17440,#17441,#17443)); +#17445=FACE_OUTER_BOUND('',#17444,.F.); +#17447=CARTESIAN_POINT('',(-3.460473750966E1,1.005E1,-2.85E1)); +#17448=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17449=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17450=AXIS2_PLACEMENT_3D('',#17447,#17448,#17449); +#17451=PLANE('',#17450); +#17452=ORIENTED_EDGE('',*,*,#17437,.F.); +#17454=ORIENTED_EDGE('',*,*,#17453,.T.); +#17456=ORIENTED_EDGE('',*,*,#17455,.F.); +#17458=ORIENTED_EDGE('',*,*,#17457,.T.); +#17459=ORIENTED_EDGE('',*,*,#17225,.T.); +#17461=ORIENTED_EDGE('',*,*,#17460,.F.); +#17462=EDGE_LOOP('',(#17452,#17454,#17456,#17458,#17459,#17461)); +#17463=FACE_OUTER_BOUND('',#17462,.F.); +#17465=CARTESIAN_POINT('',(-3.529687364248E1,9.05E0,4.927608E1)); +#17466=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17467=DIRECTION('',(0.E0,1.E0,0.E0)); +#17468=AXIS2_PLACEMENT_3D('',#17465,#17466,#17467); +#17469=CYLINDRICAL_SURFACE('',#17468,1.E0); +#17471=ORIENTED_EDGE('',*,*,#17470,.T.); +#17473=ORIENTED_EDGE('',*,*,#17472,.T.); +#17474=ORIENTED_EDGE('',*,*,#17453,.F.); +#17476=ORIENTED_EDGE('',*,*,#17475,.T.); +#17477=EDGE_LOOP('',(#17471,#17473,#17474,#17476)); +#17478=FACE_OUTER_BOUND('',#17477,.F.); +#17480=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.85E1)); +#17481=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17482=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17483=AXIS2_PLACEMENT_3D('',#17480,#17481,#17482); +#17484=CYLINDRICAL_SURFACE('',#17483,3.E0); +#17486=ORIENTED_EDGE('',*,*,#17485,.F.); +#17487=ORIENTED_EDGE('',*,*,#17470,.F.); +#17489=ORIENTED_EDGE('',*,*,#17488,.F.); +#17491=ORIENTED_EDGE('',*,*,#17490,.T.); +#17492=EDGE_LOOP('',(#17486,#17487,#17489,#17491)); +#17493=FACE_OUTER_BOUND('',#17492,.F.); +#17495=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.8E1)); +#17496=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17497=DIRECTION('',(-9.999988959614E-1,1.485959642859E-3,0.E0)); +#17498=AXIS2_PLACEMENT_3D('',#17495,#17496,#17497); +#17499=TOROIDAL_SURFACE('',#17498,2.5E0,5.E-1); +#17501=ORIENTED_EDGE('',*,*,#17500,.T.); +#17503=ORIENTED_EDGE('',*,*,#17502,.T.); +#17504=ORIENTED_EDGE('',*,*,#17485,.T.); +#17506=ORIENTED_EDGE('',*,*,#17505,.T.); +#17507=EDGE_LOOP('',(#17501,#17503,#17504,#17506)); +#17508=FACE_OUTER_BOUND('',#17507,.F.); +#17510=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.85E1)); +#17511=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17512=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17513=AXIS2_PLACEMENT_3D('',#17510,#17511,#17512); +#17514=PLANE('',#17513); +#17516=ORIENTED_EDGE('',*,*,#17515,.F.); +#17518=ORIENTED_EDGE('',*,*,#17517,.T.); +#17520=ORIENTED_EDGE('',*,*,#17519,.T.); +#17522=ORIENTED_EDGE('',*,*,#17521,.F.); +#17524=ORIENTED_EDGE('',*,*,#17523,.F.); +#17526=ORIENTED_EDGE('',*,*,#17525,.F.); +#17528=ORIENTED_EDGE('',*,*,#17527,.T.); +#17529=EDGE_LOOP('',(#17516,#17518,#17520,#17522,#17524,#17526,#17528)); +#17530=FACE_OUTER_BOUND('',#17529,.F.); +#17532=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.85E1)); +#17533=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17534=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17535=AXIS2_PLACEMENT_3D('',#17532,#17533,#17534); +#17536=PLANE('',#17535); +#17538=ORIENTED_EDGE('',*,*,#17537,.F.); +#17540=ORIENTED_EDGE('',*,*,#17539,.F.); +#17542=ORIENTED_EDGE('',*,*,#17541,.F.); +#17544=ORIENTED_EDGE('',*,*,#17543,.F.); +#17546=ORIENTED_EDGE('',*,*,#17545,.T.); +#17548=ORIENTED_EDGE('',*,*,#17547,.T.); +#17550=ORIENTED_EDGE('',*,*,#17549,.T.); +#17552=ORIENTED_EDGE('',*,*,#17551,.F.); +#17554=ORIENTED_EDGE('',*,*,#17553,.T.); +#17555=ORIENTED_EDGE('',*,*,#17255,.F.); +#17556=ORIENTED_EDGE('',*,*,#17240,.F.); +#17558=ORIENTED_EDGE('',*,*,#17557,.F.); +#17560=ORIENTED_EDGE('',*,*,#17559,.T.); +#17561=EDGE_LOOP('',(#17538,#17540,#17542,#17544,#17546,#17548,#17550,#17552, +#17554,#17555,#17556,#17558,#17560)); +#17562=FACE_OUTER_BOUND('',#17561,.F.); +#17564=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.85E1)); +#17565=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17566=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17567=AXIS2_PLACEMENT_3D('',#17564,#17565,#17566); +#17568=PLANE('',#17567); +#17569=ORIENTED_EDGE('',*,*,#17500,.F.); +#17571=ORIENTED_EDGE('',*,*,#17570,.T.); +#17573=ORIENTED_EDGE('',*,*,#17572,.F.); +#17575=ORIENTED_EDGE('',*,*,#17574,.F.); +#17576=ORIENTED_EDGE('',*,*,#17227,.F.); +#17577=ORIENTED_EDGE('',*,*,#17457,.F.); +#17579=ORIENTED_EDGE('',*,*,#17578,.T.); +#17580=EDGE_LOOP('',(#17569,#17571,#17573,#17575,#17576,#17577,#17579)); +#17581=FACE_OUTER_BOUND('',#17580,.F.); +#17583=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.85E1)); +#17584=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17585=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17586=AXIS2_PLACEMENT_3D('',#17583,#17584,#17585); +#17587=PLANE('',#17586); +#17589=ORIENTED_EDGE('',*,*,#17588,.F.); +#17591=ORIENTED_EDGE('',*,*,#17590,.F.); +#17593=ORIENTED_EDGE('',*,*,#17592,.T.); +#17595=ORIENTED_EDGE('',*,*,#17594,.T.); +#17597=ORIENTED_EDGE('',*,*,#17596,.F.); +#17599=ORIENTED_EDGE('',*,*,#17598,.T.); +#17601=ORIENTED_EDGE('',*,*,#17600,.T.); +#17603=ORIENTED_EDGE('',*,*,#17602,.F.); +#17605=ORIENTED_EDGE('',*,*,#17604,.T.); +#17607=ORIENTED_EDGE('',*,*,#17606,.T.); +#17609=ORIENTED_EDGE('',*,*,#17608,.T.); +#17611=ORIENTED_EDGE('',*,*,#17610,.F.); +#17613=ORIENTED_EDGE('',*,*,#17612,.F.); +#17614=EDGE_LOOP('',(#17589,#17591,#17593,#17595,#17597,#17599,#17601,#17603, +#17605,#17607,#17609,#17611,#17613)); +#17615=FACE_OUTER_BOUND('',#17614,.F.); +#17617=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.8E1)); +#17618=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17619=DIRECTION('',(9.999988959614E-1,-1.485959642859E-3,0.E0)); +#17620=AXIS2_PLACEMENT_3D('',#17617,#17618,#17619); +#17621=TOROIDAL_SURFACE('',#17620,2.5E0,5.E-1); +#17622=ORIENTED_EDGE('',*,*,#17515,.T.); +#17624=ORIENTED_EDGE('',*,*,#17623,.T.); +#17626=ORIENTED_EDGE('',*,*,#17625,.T.); +#17628=ORIENTED_EDGE('',*,*,#17627,.T.); +#17629=EDGE_LOOP('',(#17622,#17624,#17626,#17628)); +#17630=FACE_OUTER_BOUND('',#17629,.F.); +#17632=CARTESIAN_POINT('',(3.529687364248E1,1.255E1,-2.8E1)); +#17633=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17634=DIRECTION('',(5.311211266182E-1,8.472959039555E-1,0.E0)); +#17635=AXIS2_PLACEMENT_3D('',#17632,#17633,#17634); +#17636=TOROIDAL_SURFACE('',#17635,1.5E0,5.E-1); +#17637=ORIENTED_EDGE('',*,*,#17527,.F.); +#17639=ORIENTED_EDGE('',*,*,#17638,.T.); +#17641=ORIENTED_EDGE('',*,*,#17640,.F.); +#17642=ORIENTED_EDGE('',*,*,#17623,.F.); +#17643=EDGE_LOOP('',(#17637,#17639,#17641,#17642)); +#17644=FACE_OUTER_BOUND('',#17643,.F.); +#17646=CARTESIAN_POINT('',(3.460473750966E1,1.155E1,-2.85E1)); +#17647=DIRECTION('',(0.E0,1.E0,0.E0)); +#17648=DIRECTION('',(1.E0,0.E0,0.E0)); +#17649=AXIS2_PLACEMENT_3D('',#17646,#17647,#17648); +#17650=PLANE('',#17649); +#17652=ORIENTED_EDGE('',*,*,#17651,.T.); +#17654=ORIENTED_EDGE('',*,*,#17653,.T.); +#17655=ORIENTED_EDGE('',*,*,#17638,.F.); +#17656=ORIENTED_EDGE('',*,*,#17525,.T.); +#17658=ORIENTED_EDGE('',*,*,#17657,.T.); +#17660=ORIENTED_EDGE('',*,*,#17659,.F.); +#17661=EDGE_LOOP('',(#17652,#17654,#17655,#17656,#17658,#17660)); +#17662=FACE_OUTER_BOUND('',#17661,.F.); +#17664=CARTESIAN_POINT('',(1.3869684E2,1.205E1,-2.25E1)); +#17665=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17666=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17667=AXIS2_PLACEMENT_3D('',#17664,#17665,#17666); +#17668=CYLINDRICAL_SURFACE('',#17667,5.E-1); +#17669=ORIENTED_EDGE('',*,*,#17651,.F.); +#17671=ORIENTED_EDGE('',*,*,#17670,.T.); +#17672=ORIENTED_EDGE('',*,*,#17379,.T.); +#17674=ORIENTED_EDGE('',*,*,#17673,.T.); +#17675=EDGE_LOOP('',(#17669,#17671,#17672,#17674)); +#17676=FACE_OUTER_BOUND('',#17675,.F.); +#17678=CARTESIAN_POINT('',(3.782942736851E1,1.206737234453E1, +-2.200024308870E1)); +#17679=CARTESIAN_POINT('',(3.784477630119E1,1.206418810965E1, +-2.200035595509E1)); +#17680=CARTESIAN_POINT('',(3.787711889421E1,1.205758836831E1, +-2.199931340018E1)); +#17681=CARTESIAN_POINT('',(3.792605884586E1,1.204793747432E1, +-2.199359025043E1)); +#17682=CARTESIAN_POINT('',(3.797573030735E1,1.203848057875E1, +-2.198320745121E1)); +#17683=CARTESIAN_POINT('',(3.802389232535E1,1.202961787108E1, +-2.196847598711E1)); +#17684=CARTESIAN_POINT('',(3.806986802281E1,1.202142729279E1, +-2.194967806197E1)); +#17685=CARTESIAN_POINT('',(3.811388487368E1,1.201382056586E1, +-2.192679337077E1)); +#17686=CARTESIAN_POINT('',(3.815630791893E1,1.200669848005E1, +-2.189943233492E1)); +#17687=CARTESIAN_POINT('',(3.819671203097E1,1.200010239554E1, +-2.186734562094E1)); +#17688=CARTESIAN_POINT('',(3.823401913138E1,1.199416814389E1, +-2.183095807566E1)); +#17689=CARTESIAN_POINT('',(3.826720215515E1,1.198901100320E1, +-2.179116138188E1)); +#17690=CARTESIAN_POINT('',(3.829588795289E1,1.198464199446E1, +-2.174845238097E1)); +#17691=CARTESIAN_POINT('',(3.831981486799E1,1.198105942541E1, +-2.170332001605E1)); +#17692=CARTESIAN_POINT('',(3.833880982834E1,1.197825440428E1, +-2.165619467178E1)); +#17693=CARTESIAN_POINT('',(3.835272917540E1,1.197622052742E1, +-2.160750118330E1)); +#17694=CARTESIAN_POINT('',(3.836144769008E1,1.197495579019E1, +-2.155770673079E1)); +#17695=CARTESIAN_POINT('',(3.836407628587E1,1.197457596865E1, +-2.151910420503E1)); +#17696=CARTESIAN_POINT('',(3.836406626802E1,1.197457736460E1, +-2.149728094766E1)); +#17697=CARTESIAN_POINT('',(3.836398523030E1,1.197458907290E1, +-2.149227018797E1)); +#17698=CARTESIAN_POINT('',(3.782958322506E1,1.175985835616E1, +-2.198955328949E1)); +#17699=CARTESIAN_POINT('',(3.784460676846E1,1.175660618518E1, +-2.199162119522E1)); +#17700=CARTESIAN_POINT('',(3.787660755213E1,1.174990447199E1, +-2.199466145850E1)); +#17701=CARTESIAN_POINT('',(3.792607953075E1,1.174022088032E1, +-2.199487492570E1)); +#17702=CARTESIAN_POINT('',(3.797735238005E1,1.173084509698E1, +-2.199012157034E1)); +#17703=CARTESIAN_POINT('',(3.802803493083E1,1.172215880834E1, +-2.198033617453E1)); +#17704=CARTESIAN_POINT('',(3.807727378585E1,1.171421762201E1, +-2.196565511454E1)); +#17705=CARTESIAN_POINT('',(3.812516686735E1,1.170691629600E1, +-2.194602715608E1)); +#17706=CARTESIAN_POINT('',(3.817200151843E1,1.170014479845E1, +-2.192102295476E1)); +#17707=CARTESIAN_POINT('',(3.821721719585E1,1.169393031153E1, +-2.189027505318E1)); +#17708=CARTESIAN_POINT('',(3.825948156720E1,1.168838622977E1, +-2.185411771389E1)); +#17709=CARTESIAN_POINT('',(3.829747660027E1,1.168360408377E1, +-2.181345816456E1)); +#17710=CARTESIAN_POINT('',(3.833062154915E1,1.167957897104E1, +-2.176887428967E1)); +#17711=CARTESIAN_POINT('',(3.835847637419E1,1.167629637243E1, +-2.172097272178E1)); +#17712=CARTESIAN_POINT('',(3.838072277667E1,1.167373756332E1, +-2.167032365898E1)); +#17713=CARTESIAN_POINT('',(3.839709881788E1,1.167188843326E1, +-2.161750967251E1)); +#17714=CARTESIAN_POINT('',(3.840738781561E1,1.167074132227E1, +-2.156317434145E1)); +#17715=CARTESIAN_POINT('',(3.841049492147E1,1.167039700831E1, +-2.152091792793E1)); +#17716=CARTESIAN_POINT('',(3.841048303920E1,1.167039851977E1, +-2.149702281156E1)); +#17717=CARTESIAN_POINT('',(3.841038727381E1,1.167040918834E1, +-2.149153668403E1)); +#17718=CARTESIAN_POINT('',(3.782642190434E1,1.154155743056E1, +-2.220638009887E1)); +#17719=CARTESIAN_POINT('',(3.784744576227E1,1.153968722586E1, +-2.220992662557E1)); +#17720=CARTESIAN_POINT('',(3.789237812485E1,1.153587640180E1, +-2.221548077227E1)); +#17721=CARTESIAN_POINT('',(3.796229402741E1,1.153049989063E1, +-2.221746029170E1)); +#17722=CARTESIAN_POINT('',(3.803519948802E1,1.152542206679E1, +-2.221215621396E1)); +#17723=CARTESIAN_POINT('',(3.810765960374E1,1.152083242243E1, +-2.219936819507E1)); +#17724=CARTESIAN_POINT('',(3.817839541665E1,1.151673631142E1, +-2.217925282433E1)); +#17725=CARTESIAN_POINT('',(3.824748874604E1,1.151305648133E1, +-2.215172715910E1)); +#17726=CARTESIAN_POINT('',(3.831531054604E1,1.150971999891E1, +-2.211615786992E1)); +#17727=CARTESIAN_POINT('',(3.838101355475E1,1.150672578629E1, +-2.207197925973E1)); +#17728=CARTESIAN_POINT('',(3.844261455898E1,1.150411094377E1, +-2.201965020708E1)); +#17729=CARTESIAN_POINT('',(3.849813629367E1,1.150189903107E1, +-2.196048970426E1)); +#17730=CARTESIAN_POINT('',(3.854667549088E1,1.150006930124E1, +-2.189535793567E1)); +#17731=CARTESIAN_POINT('',(3.858753959278E1,1.149859921368E1, +-2.182516705314E1)); +#17732=CARTESIAN_POINT('',(3.862022140868E1,1.149746730166E1, +-2.175078263742E1)); +#17733=CARTESIAN_POINT('',(3.864430424343E1,1.149665705178E1, +-2.167309324091E1)); +#17734=CARTESIAN_POINT('',(3.865944604382E1,1.149615783202E1, +-2.159308106999E1)); +#17735=CARTESIAN_POINT('',(3.866402030031E1,1.149600821544E1, +-2.153082140717E1)); +#17736=CARTESIAN_POINT('',(3.866400278682E1,1.149600917974E1, +-2.149561327954E1)); +#17737=CARTESIAN_POINT('',(3.866386180849E1,1.149601388414E1, +-2.148752986359E1)); +#17738=CARTESIAN_POINT('',(3.782193790701E1,1.155019723946E1, +-2.251392587595E1)); +#17739=CARTESIAN_POINT('',(3.785150214037E1,1.155028701068E1, +-2.251754173233E1)); +#17740=CARTESIAN_POINT('',(3.791448084117E1,1.155053605333E1, +-2.252245479997E1)); +#17741=CARTESIAN_POINT('',(3.801185434152E1,1.155108903185E1, +-2.252091559149E1)); +#17742=CARTESIAN_POINT('',(3.811277546214E1,1.155181440027E1, +-2.250922807997E1)); +#17743=CARTESIAN_POINT('',(3.821253054785E1,1.155265702219E1, +-2.248738312378E1)); +#17744=CARTESIAN_POINT('',(3.830943452896E1,1.155357578335E1, +-2.245571081331E1)); +#17745=CARTESIAN_POINT('',(3.840367672999E1,1.155454871559E1, +-2.241411572350E1)); +#17746=CARTESIAN_POINT('',(3.849582027423E1,1.155556449864E1, +-2.236172501634E1)); +#17747=CARTESIAN_POINT('',(3.858476077098E1,1.155659754212E1, +-2.229781971010E1)); +#17748=CARTESIAN_POINT('',(3.866787814504E1,1.155760292945E1, +-2.222311987856E1)); +#17749=CARTESIAN_POINT('',(3.874258354774E1,1.155853490942E1, +-2.213949271298E1)); +#17750=CARTESIAN_POINT('',(3.880773974511E1,1.155936696603E1, +-2.204810384938E1)); +#17751=CARTESIAN_POINT('',(3.886248684162E1,1.156007840349E1, +-2.195016603432E1)); +#17752=CARTESIAN_POINT('',(3.890620426257E1,1.156065383368E1, +-2.184680944134E1)); +#17753=CARTESIAN_POINT('',(3.893838171707E1,1.156108116016E1, +-2.173918380326E1)); +#17754=CARTESIAN_POINT('',(3.895859697059E1,1.156135133724E1, +-2.162855853973E1)); +#17755=CARTESIAN_POINT('',(3.896470138206E1,1.156143277182E1, +-2.154256646429E1)); +#17756=CARTESIAN_POINT('',(3.896467802332E1,1.156143287150E1, +-2.149394163578E1)); +#17757=CARTESIAN_POINT('',(3.896448987197E1,1.156143045012E1, +-2.148277765936E1)); +#17758=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#17678,#17679,#17680,#17681, +#17682,#17683,#17684,#17685,#17686,#17687,#17688,#17689,#17690,#17691,#17692, +#17693,#17694,#17695,#17696,#17697),(#17698,#17699,#17700,#17701,#17702,#17703, +#17704,#17705,#17706,#17707,#17708,#17709,#17710,#17711,#17712,#17713,#17714, +#17715,#17716,#17717),(#17718,#17719,#17720,#17721,#17722,#17723,#17724,#17725, +#17726,#17727,#17728,#17729,#17730,#17731,#17732,#17733,#17734,#17735,#17736, +#17737),(#17738,#17739,#17740,#17741,#17742,#17743,#17744,#17745,#17746,#17747, +#17748,#17749,#17750,#17751,#17752,#17753,#17754,#17755,#17756,#17757)), +.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,4),(0.E0,1.E0),(3.515783830600E-3,2.547603916850E-2, +4.982116394060E-2,7.425575936605E-2,9.858596186525E-2,1.226638758670E-1, +1.463768160867E-1,1.709043112482E-1,1.965281298028E-1,2.221519546877E-1, +2.477281980934E-1,2.731744634222E-1,2.984883174074E-1,3.237220062307E-1, +3.489260318546E-1,3.741190305627E-1,3.993024147680E-1,4.068103641764E-1), +.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE((( +1.187263304308E0,1.187263304308E0,1.187263304308E0,1.187263304308E0, +1.187263304308E0,1.187263304308E0,1.187263304308E0,1.187263304308E0, +1.187263304308E0,1.187263304308E0,1.187263304308E0,1.187263304308E0, +1.187263304308E0,1.187263304308E0,1.187263304308E0,1.187263304308E0, +1.187263304308E0,1.187263304308E0,1.187263304308E0,1.187263304308E0),( +9.375788985640E-1,9.375788985640E-1,9.375788985640E-1,9.375788985640E-1, +9.375788985640E-1,9.375788985640E-1,9.375788985640E-1,9.375788985640E-1, +9.375788985640E-1,9.375788985640E-1,9.375788985640E-1,9.375788985640E-1, +9.375788985640E-1,9.375788985640E-1,9.375788985640E-1,9.375788985640E-1, +9.375788985640E-1,9.375788985640E-1,9.375788985640E-1,9.375788985640E-1),( +9.375788985640E-1,9.375788985640E-1,9.375788985640E-1,9.375788985640E-1, +9.375788985640E-1,9.375788985640E-1,9.375788985640E-1,9.375788985640E-1, +9.375788985640E-1,9.375788985640E-1,9.375788985640E-1,9.375788985640E-1, +9.375788985640E-1,9.375788985640E-1,9.375788985640E-1,9.375788985640E-1, +9.375788985640E-1,9.375788985640E-1,9.375788985640E-1,9.375788985640E-1),( +1.187263304308E0,1.187263304308E0,1.187263304308E0,1.187263304308E0, +1.187263304308E0,1.187263304308E0,1.187263304308E0,1.187263304308E0, +1.187263304308E0,1.187263304308E0,1.187263304308E0,1.187263304308E0, +1.187263304308E0,1.187263304308E0,1.187263304308E0,1.187263304308E0, +1.187263304308E0,1.187263304308E0,1.187263304308E0,1.187263304308E0)))REPRESENTATION_ITEM('')SURFACE()); +#17759=ORIENTED_EDGE('',*,*,#17659,.T.); +#17761=ORIENTED_EDGE('',*,*,#17760,.F.); +#17763=ORIENTED_EDGE('',*,*,#17762,.F.); +#17764=ORIENTED_EDGE('',*,*,#17670,.F.); +#17765=EDGE_LOOP('',(#17759,#17761,#17763,#17764)); +#17766=FACE_OUTER_BOUND('',#17765,.F.); +#17768=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.E1)); +#17769=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17770=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17771=AXIS2_PLACEMENT_3D('',#17768,#17769,#17770); +#17772=CYLINDRICAL_SURFACE('',#17771,5.E0); +#17773=ORIENTED_EDGE('',*,*,#17760,.T.); +#17774=ORIENTED_EDGE('',*,*,#17657,.F.); +#17775=ORIENTED_EDGE('',*,*,#17523,.T.); +#17777=ORIENTED_EDGE('',*,*,#17776,.F.); +#17778=ORIENTED_EDGE('',*,*,#17166,.T.); +#17780=ORIENTED_EDGE('',*,*,#17779,.T.); +#17782=ORIENTED_EDGE('',*,*,#17781,.T.); +#17783=EDGE_LOOP('',(#17773,#17774,#17775,#17777,#17778,#17780,#17782)); +#17784=FACE_OUTER_BOUND('',#17783,.F.); +#17786=CARTESIAN_POINT('',(3.845E1,1.105E1,-2.E1)); +#17787=DIRECTION('',(1.E0,0.E0,0.E0)); +#17788=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17789=AXIS2_PLACEMENT_3D('',#17786,#17787,#17788); +#17790=PLANE('',#17789); +#17792=ORIENTED_EDGE('',*,*,#17791,.T.); +#17794=ORIENTED_EDGE('',*,*,#17793,.F.); +#17795=ORIENTED_EDGE('',*,*,#17596,.T.); +#17797=ORIENTED_EDGE('',*,*,#17796,.T.); +#17799=ORIENTED_EDGE('',*,*,#17798,.T.); +#17801=ORIENTED_EDGE('',*,*,#17800,.T.); +#17803=ORIENTED_EDGE('',*,*,#17802,.F.); +#17804=ORIENTED_EDGE('',*,*,#17150,.T.); +#17805=ORIENTED_EDGE('',*,*,#17776,.T.); +#17806=ORIENTED_EDGE('',*,*,#17521,.T.); +#17808=ORIENTED_EDGE('',*,*,#17807,.T.); +#17810=ORIENTED_EDGE('',*,*,#17809,.T.); +#17812=ORIENTED_EDGE('',*,*,#17811,.T.); +#17813=EDGE_LOOP('',(#17792,#17794,#17795,#17797,#17799,#17801,#17803,#17804, +#17805,#17806,#17808,#17810,#17812)); +#17814=FACE_OUTER_BOUND('',#17813,.F.); +#17816=CARTESIAN_POINT('',(3.795E1,-6.05E0,-2.15E1)); +#17817=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17818=DIRECTION('',(-2.949228958124E-2,0.E0,-9.995650078185E-1)); +#17819=AXIS2_PLACEMENT_3D('',#17816,#17817,#17818); +#17820=TOROIDAL_SURFACE('',#17819,1.E0,5.E-1); +#17822=ORIENTED_EDGE('',*,*,#17821,.T.); +#17823=ORIENTED_EDGE('',*,*,#17791,.F.); +#17825=ORIENTED_EDGE('',*,*,#17824,.F.); +#17827=ORIENTED_EDGE('',*,*,#17826,.F.); +#17828=EDGE_LOOP('',(#17822,#17823,#17825,#17827)); +#17829=FACE_OUTER_BOUND('',#17828,.F.); +#17831=CARTESIAN_POINT('',(3.610473750966E1,-6.55E0,-2.85E1)); +#17832=DIRECTION('',(0.E0,1.E0,0.E0)); +#17833=DIRECTION('',(1.E0,0.E0,0.E0)); +#17834=AXIS2_PLACEMENT_3D('',#17831,#17832,#17833); +#17835=PLANE('',#17834); +#17837=ORIENTED_EDGE('',*,*,#17836,.F.); +#17839=ORIENTED_EDGE('',*,*,#17838,.T.); +#17841=ORIENTED_EDGE('',*,*,#17840,.F.); +#17842=ORIENTED_EDGE('',*,*,#17598,.F.); +#17843=ORIENTED_EDGE('',*,*,#17793,.T.); +#17844=ORIENTED_EDGE('',*,*,#17821,.F.); +#17845=EDGE_LOOP('',(#17837,#17839,#17841,#17842,#17843,#17844)); +#17846=FACE_OUTER_BOUND('',#17845,.F.); +#17848=CARTESIAN_POINT('',(-1.223527134881E2,-6.05E0,-2.25E1)); +#17849=DIRECTION('',(1.E0,0.E0,0.E0)); +#17850=DIRECTION('',(0.E0,0.E0,1.E0)); +#17851=AXIS2_PLACEMENT_3D('',#17848,#17849,#17850); +#17852=CYLINDRICAL_SURFACE('',#17851,5.E-1); +#17853=ORIENTED_EDGE('',*,*,#17836,.T.); +#17854=ORIENTED_EDGE('',*,*,#17826,.T.); +#17855=ORIENTED_EDGE('',*,*,#17367,.F.); +#17857=ORIENTED_EDGE('',*,*,#17856,.T.); +#17858=EDGE_LOOP('',(#17853,#17854,#17855,#17857)); +#17859=FACE_OUTER_BOUND('',#17858,.F.); +#17861=CARTESIAN_POINT('',(3.680770137161E1,-6.034173563600E0, +-2.200024671982E1)); +#17862=CARTESIAN_POINT('',(3.681457743533E1,-6.341644155018E0, +-2.199058165128E1)); +#17863=CARTESIAN_POINT('',(3.681944194697E1,-6.559166029139E0, +-2.220815791192E1)); +#17864=CARTESIAN_POINT('',(3.681922585796E1,-6.549503376532E0, +-2.251570537954E1)); +#17865=CARTESIAN_POINT('',(3.660864429769E1,-6.038625140966E0, +-2.200024671982E1)); +#17866=CARTESIAN_POINT('',(3.648911074130E1,-6.348922671374E0, +-2.199058165128E1)); +#17867=CARTESIAN_POINT('',(3.640454602542E1,-6.568444480073E0, +-2.220815791192E1)); +#17868=CARTESIAN_POINT('',(3.640830251876E1,-6.558692987329E0, +-2.251570537954E1)); +#17869=CARTESIAN_POINT('',(3.643984325818E1,-5.933032791431E0, +-2.200024671982E1)); +#17870=CARTESIAN_POINT('',(3.621311393699E1,-6.176274735576E0, +-2.199058165128E1)); +#17871=CARTESIAN_POINT('',(3.605271294827E1,-6.348357676294E0, +-2.220815791192E1)); +#17872=CARTESIAN_POINT('',(3.605983820424E1,-6.340713490244E0, +-2.251570537954E1)); +#17873=CARTESIAN_POINT('',(3.635675872867E1,-5.752089440701E0, +-2.200024671982E1)); +#17874=CARTESIAN_POINT('',(3.607726723426E1,-5.880424741351E0, +-2.199058165128E1)); +#17875=CARTESIAN_POINT('',(3.587953933650E1,-5.971216302862E0, +-2.220815791192E1)); +#17876=CARTESIAN_POINT('',(3.588832271056E1,-5.967183203561E0, +-2.251570537954E1)); +#17877=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#17861,#17862,#17863,#17864),( +#17865,#17866,#17867,#17868),(#17869,#17870,#17871,#17872),(#17873,#17874, +#17875,#17876)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4, +4),(0.E0,1.E0),(0.E0,1.E0),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0)))REPRESENTATION_ITEM('')SURFACE()); +#17878=ORIENTED_EDGE('',*,*,#17365,.T.); +#17880=ORIENTED_EDGE('',*,*,#17879,.F.); +#17882=ORIENTED_EDGE('',*,*,#17881,.F.); +#17883=ORIENTED_EDGE('',*,*,#17856,.F.); +#17884=EDGE_LOOP('',(#17878,#17880,#17882,#17883)); +#17885=FACE_OUTER_BOUND('',#17884,.F.); +#17887=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.25E1)); +#17888=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17889=DIRECTION('',(-1.817230147596E-1,-9.833497576685E-1,0.E0)); +#17890=AXIS2_PLACEMENT_3D('',#17887,#17888,#17889); +#17891=TOROIDAL_SURFACE('',#17890,3.5E0,5.E-1); +#17893=ORIENTED_EDGE('',*,*,#17892,.T.); +#17894=ORIENTED_EDGE('',*,*,#17879,.T.); +#17895=ORIENTED_EDGE('',*,*,#17363,.T.); +#17897=ORIENTED_EDGE('',*,*,#17896,.T.); +#17898=EDGE_LOOP('',(#17893,#17894,#17895,#17897)); +#17899=FACE_OUTER_BOUND('',#17898,.F.); +#17901=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.85E1)); +#17902=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17903=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17904=AXIS2_PLACEMENT_3D('',#17901,#17902,#17903); +#17905=CYLINDRICAL_SURFACE('',#17904,3.E0); +#17907=ORIENTED_EDGE('',*,*,#17906,.F.); +#17909=ORIENTED_EDGE('',*,*,#17908,.F.); +#17910=ORIENTED_EDGE('',*,*,#17892,.F.); +#17912=ORIENTED_EDGE('',*,*,#17911,.F.); +#17913=EDGE_LOOP('',(#17907,#17909,#17910,#17912)); +#17914=FACE_OUTER_BOUND('',#17913,.F.); +#17916=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.8E1)); +#17917=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17918=DIRECTION('',(-1.817230147596E-1,-9.833497576685E-1,0.E0)); +#17919=AXIS2_PLACEMENT_3D('',#17916,#17917,#17918); +#17920=TOROIDAL_SURFACE('',#17919,2.5E0,5.E-1); +#17921=ORIENTED_EDGE('',*,*,#17602,.T.); +#17923=ORIENTED_EDGE('',*,*,#17922,.T.); +#17924=ORIENTED_EDGE('',*,*,#17906,.T.); +#17926=ORIENTED_EDGE('',*,*,#17925,.T.); +#17927=EDGE_LOOP('',(#17921,#17923,#17924,#17926)); +#17928=FACE_OUTER_BOUND('',#17927,.F.); +#17930=CARTESIAN_POINT('',(3.679687364248E1,-5.55E0,-2.8E1)); +#17931=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17932=DIRECTION('',(5.311211266182E-1,8.472959039555E-1,0.E0)); +#17933=AXIS2_PLACEMENT_3D('',#17930,#17931,#17932); +#17934=TOROIDAL_SURFACE('',#17933,1.5E0,5.E-1); +#17935=ORIENTED_EDGE('',*,*,#17600,.F.); +#17936=ORIENTED_EDGE('',*,*,#17840,.T.); +#17938=ORIENTED_EDGE('',*,*,#17937,.F.); +#17939=ORIENTED_EDGE('',*,*,#17922,.F.); +#17940=EDGE_LOOP('',(#17935,#17936,#17938,#17939)); +#17941=FACE_OUTER_BOUND('',#17940,.F.); +#17943=CARTESIAN_POINT('',(3.679687364248E1,-5.55E0,4.927608E1)); +#17944=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17945=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17946=AXIS2_PLACEMENT_3D('',#17943,#17944,#17945); +#17947=CYLINDRICAL_SURFACE('',#17946,1.E0); +#17948=ORIENTED_EDGE('',*,*,#17908,.T.); +#17949=ORIENTED_EDGE('',*,*,#17937,.T.); +#17950=ORIENTED_EDGE('',*,*,#17838,.F.); +#17951=ORIENTED_EDGE('',*,*,#17881,.T.); +#17952=EDGE_LOOP('',(#17948,#17949,#17950,#17951)); +#17953=FACE_OUTER_BOUND('',#17952,.F.); +#17955=CARTESIAN_POINT('',(3.075E1,-5.568671030682E0,-3.31E1)); +#17956=DIRECTION('',(1.E0,0.E0,0.E0)); +#17957=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17958=AXIS2_PLACEMENT_3D('',#17955,#17956,#17957); +#17959=PLANE('',#17958); +#17960=ORIENTED_EDGE('',*,*,#17604,.F.); +#17961=ORIENTED_EDGE('',*,*,#17925,.F.); +#17963=ORIENTED_EDGE('',*,*,#17962,.T.); +#17965=ORIENTED_EDGE('',*,*,#17964,.T.); +#17967=ORIENTED_EDGE('',*,*,#17966,.T.); +#17968=EDGE_LOOP('',(#17960,#17961,#17963,#17965,#17967)); +#17969=FACE_OUTER_BOUND('',#17968,.F.); +#17971=CARTESIAN_POINT('',(2.605E1,-5.568671030682E0,-2.85E1)); +#17972=DIRECTION('',(0.E0,1.E0,0.E0)); +#17973=DIRECTION('',(1.E0,0.E0,0.E0)); +#17974=AXIS2_PLACEMENT_3D('',#17971,#17972,#17973); +#17975=PLANE('',#17974); +#17976=ORIENTED_EDGE('',*,*,#17962,.F.); +#17977=ORIENTED_EDGE('',*,*,#17911,.T.); +#17978=ORIENTED_EDGE('',*,*,#17896,.F.); +#17979=ORIENTED_EDGE('',*,*,#17361,.F.); +#17981=ORIENTED_EDGE('',*,*,#17980,.T.); +#17983=ORIENTED_EDGE('',*,*,#17982,.T.); +#17985=ORIENTED_EDGE('',*,*,#17984,.F.); +#17987=ORIENTED_EDGE('',*,*,#17986,.T.); +#17988=EDGE_LOOP('',(#17976,#17977,#17978,#17979,#17981,#17983,#17985,#17987)); +#17989=FACE_OUTER_BOUND('',#17988,.F.); +#17991=CARTESIAN_POINT('',(2.443110368616E1,-8.22E0,-2.85E1)); +#17992=DIRECTION('',(-8.534766064211E-1,5.211311565163E-1,0.E0)); +#17993=DIRECTION('',(5.211311565163E-1,8.534766064211E-1,0.E0)); +#17994=AXIS2_PLACEMENT_3D('',#17991,#17992,#17993); +#17995=PLANE('',#17994); +#17996=ORIENTED_EDGE('',*,*,#17359,.F.); +#17998=ORIENTED_EDGE('',*,*,#17997,.T.); +#18000=ORIENTED_EDGE('',*,*,#17999,.T.); +#18001=ORIENTED_EDGE('',*,*,#17980,.F.); +#18002=EDGE_LOOP('',(#17996,#17998,#18000,#18001)); +#18003=FACE_OUTER_BOUND('',#18002,.F.); +#18005=CARTESIAN_POINT('',(2.443110368616E1,-9.37E0,-2.85E1)); +#18006=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18007=DIRECTION('',(0.E0,1.E0,0.E0)); +#18008=AXIS2_PLACEMENT_3D('',#18005,#18006,#18007); +#18009=PLANE('',#18008); +#18010=ORIENTED_EDGE('',*,*,#17997,.F.); +#18011=ORIENTED_EDGE('',*,*,#17357,.T.); +#18013=ORIENTED_EDGE('',*,*,#18012,.F.); +#18015=ORIENTED_EDGE('',*,*,#18014,.T.); +#18016=EDGE_LOOP('',(#18010,#18011,#18013,#18015)); +#18017=FACE_OUTER_BOUND('',#18016,.F.); +#18019=CARTESIAN_POINT('',(2.473110368616E1,-9.67E0,-2.85E1)); +#18020=DIRECTION('',(-7.071067811865E-1,-7.071067811866E-1,0.E0)); +#18021=DIRECTION('',(-7.071067811866E-1,7.071067811865E-1,0.E0)); +#18022=AXIS2_PLACEMENT_3D('',#18019,#18020,#18021); +#18023=PLANE('',#18022); +#18024=ORIENTED_EDGE('',*,*,#18012,.T.); +#18025=ORIENTED_EDGE('',*,*,#17355,.F.); +#18027=ORIENTED_EDGE('',*,*,#18026,.T.); +#18029=ORIENTED_EDGE('',*,*,#18028,.T.); +#18030=EDGE_LOOP('',(#18024,#18025,#18027,#18029)); +#18031=FACE_OUTER_BOUND('',#18030,.F.); +#18033=CARTESIAN_POINT('',(2.473110368616E1,-1.077E1,-2.85E1)); +#18034=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18035=DIRECTION('',(0.E0,1.E0,0.E0)); +#18036=AXIS2_PLACEMENT_3D('',#18033,#18034,#18035); +#18037=PLANE('',#18036); +#18039=ORIENTED_EDGE('',*,*,#18038,.T.); +#18041=ORIENTED_EDGE('',*,*,#18040,.T.); +#18042=ORIENTED_EDGE('',*,*,#18026,.F.); +#18043=ORIENTED_EDGE('',*,*,#17353,.F.); +#18044=EDGE_LOOP('',(#18039,#18041,#18042,#18043)); +#18045=FACE_OUTER_BOUND('',#18044,.F.); +#18047=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-2.85E1)); +#18048=DIRECTION('',(-7.071067811866E-1,7.071067811865E-1,0.E0)); +#18049=DIRECTION('',(7.071067811865E-1,7.071067811866E-1,0.E0)); +#18050=AXIS2_PLACEMENT_3D('',#18047,#18048,#18049); +#18051=PLANE('',#18050); +#18053=ORIENTED_EDGE('',*,*,#18052,.T.); +#18055=ORIENTED_EDGE('',*,*,#18054,.T.); +#18057=ORIENTED_EDGE('',*,*,#18056,.T.); +#18058=ORIENTED_EDGE('',*,*,#18038,.F.); +#18059=ORIENTED_EDGE('',*,*,#17351,.F.); +#18061=ORIENTED_EDGE('',*,*,#18060,.T.); +#18062=EDGE_LOOP('',(#18053,#18055,#18057,#18058,#18059,#18061)); +#18063=FACE_OUTER_BOUND('',#18062,.F.); +#18065=CARTESIAN_POINT('',(3.075E1,-1.107E1,-2.85E1)); +#18066=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18067=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18068=AXIS2_PLACEMENT_3D('',#18065,#18066,#18067); +#18069=PLANE('',#18068); +#18071=ORIENTED_EDGE('',*,*,#18070,.F.); +#18073=ORIENTED_EDGE('',*,*,#18072,.T.); +#18075=ORIENTED_EDGE('',*,*,#18074,.T.); +#18077=ORIENTED_EDGE('',*,*,#18076,.F.); +#18078=ORIENTED_EDGE('',*,*,#17543,.T.); +#18080=ORIENTED_EDGE('',*,*,#18079,.T.); +#18081=ORIENTED_EDGE('',*,*,#17311,.F.); +#18083=ORIENTED_EDGE('',*,*,#18082,.T.); +#18085=ORIENTED_EDGE('',*,*,#18084,.F.); +#18087=ORIENTED_EDGE('',*,*,#18086,.T.); +#18088=EDGE_LOOP('',(#18071,#18073,#18075,#18077,#18078,#18080,#18081,#18083, +#18085,#18087)); +#18089=FACE_OUTER_BOUND('',#18088,.F.); +#18091=CARTESIAN_POINT('',(3.075E1,-1.107E1,-2.85E1)); +#18092=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18093=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18094=AXIS2_PLACEMENT_3D('',#18091,#18092,#18093); +#18095=PLANE('',#18094); +#18097=ORIENTED_EDGE('',*,*,#18096,.F.); +#18099=ORIENTED_EDGE('',*,*,#18098,.F.); +#18100=ORIENTED_EDGE('',*,*,#18052,.F.); +#18102=ORIENTED_EDGE('',*,*,#18101,.F.); +#18104=ORIENTED_EDGE('',*,*,#18103,.F.); +#18105=ORIENTED_EDGE('',*,*,#17343,.F.); +#18107=ORIENTED_EDGE('',*,*,#18106,.F.); +#18108=ORIENTED_EDGE('',*,*,#17610,.T.); +#18110=ORIENTED_EDGE('',*,*,#18109,.T.); +#18112=ORIENTED_EDGE('',*,*,#18111,.T.); +#18113=EDGE_LOOP('',(#18097,#18099,#18100,#18102,#18104,#18105,#18107,#18108, +#18110,#18112)); +#18114=FACE_OUTER_BOUND('',#18113,.F.); +#18116=CARTESIAN_POINT('',(-2.573110368616E1,-1.107E1,-3.28E1)); +#18117=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18118=DIRECTION('',(1.E0,0.E0,0.E0)); +#18119=AXIS2_PLACEMENT_3D('',#18116,#18117,#18118); +#18120=PLANE('',#18119); +#18122=ORIENTED_EDGE('',*,*,#18121,.T.); +#18124=ORIENTED_EDGE('',*,*,#18123,.T.); +#18126=ORIENTED_EDGE('',*,*,#18125,.T.); +#18127=ORIENTED_EDGE('',*,*,#18070,.T.); +#18128=EDGE_LOOP('',(#18122,#18124,#18126,#18127)); +#18129=FACE_OUTER_BOUND('',#18128,.F.); +#18131=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-2.85E1)); +#18132=DIRECTION('',(1.E0,0.E0,0.E0)); +#18133=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18134=AXIS2_PLACEMENT_3D('',#18131,#18132,#18133); +#18135=PLANE('',#18134); +#18136=ORIENTED_EDGE('',*,*,#18121,.F.); +#18138=ORIENTED_EDGE('',*,*,#18137,.T.); +#18140=ORIENTED_EDGE('',*,*,#18139,.T.); +#18142=ORIENTED_EDGE('',*,*,#18141,.F.); +#18143=EDGE_LOOP('',(#18136,#18138,#18140,#18142)); +#18144=FACE_OUTER_BOUND('',#18143,.F.); +#18146=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-2.85E1)); +#18147=DIRECTION('',(1.E0,0.E0,0.E0)); +#18148=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18149=AXIS2_PLACEMENT_3D('',#18146,#18147,#18148); +#18150=PLANE('',#18149); +#18152=ORIENTED_EDGE('',*,*,#18151,.T.); +#18154=ORIENTED_EDGE('',*,*,#18153,.F.); +#18155=ORIENTED_EDGE('',*,*,#17305,.F.); +#18157=ORIENTED_EDGE('',*,*,#18156,.T.); +#18158=EDGE_LOOP('',(#18152,#18154,#18155,#18157)); +#18159=FACE_OUTER_BOUND('',#18158,.F.); +#18161=CARTESIAN_POINT('',(-2.473110368616E1,-1.077E1,-2.85E1)); +#18162=DIRECTION('',(7.071067811866E-1,7.071067811865E-1,0.E0)); +#18163=DIRECTION('',(7.071067811865E-1,-7.071067811866E-1,0.E0)); +#18164=AXIS2_PLACEMENT_3D('',#18161,#18162,#18163); +#18165=PLANE('',#18164); +#18166=ORIENTED_EDGE('',*,*,#18086,.F.); +#18167=ORIENTED_EDGE('',*,*,#18156,.F.); +#18168=ORIENTED_EDGE('',*,*,#17303,.F.); +#18170=ORIENTED_EDGE('',*,*,#18169,.T.); +#18172=ORIENTED_EDGE('',*,*,#18171,.T.); +#18173=ORIENTED_EDGE('',*,*,#18137,.F.); +#18174=EDGE_LOOP('',(#18166,#18167,#18168,#18170,#18172,#18173)); +#18175=FACE_OUTER_BOUND('',#18174,.F.); +#18177=CARTESIAN_POINT('',(-2.473110368616E1,-9.67E0,-2.85E1)); +#18178=DIRECTION('',(1.E0,0.E0,0.E0)); +#18179=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18180=AXIS2_PLACEMENT_3D('',#18177,#18178,#18179); +#18181=PLANE('',#18180); +#18183=ORIENTED_EDGE('',*,*,#18182,.T.); +#18185=ORIENTED_EDGE('',*,*,#18184,.T.); +#18186=ORIENTED_EDGE('',*,*,#18169,.F.); +#18187=ORIENTED_EDGE('',*,*,#17301,.F.); +#18188=EDGE_LOOP('',(#18183,#18185,#18186,#18187)); +#18189=FACE_OUTER_BOUND('',#18188,.F.); +#18191=CARTESIAN_POINT('',(-2.443110368616E1,-9.37E0,-2.85E1)); +#18192=DIRECTION('',(7.071067811865E-1,-7.071067811866E-1,0.E0)); +#18193=DIRECTION('',(-7.071067811866E-1,-7.071067811865E-1,0.E0)); +#18194=AXIS2_PLACEMENT_3D('',#18191,#18192,#18193); +#18195=PLANE('',#18194); +#18196=ORIENTED_EDGE('',*,*,#17299,.F.); +#18198=ORIENTED_EDGE('',*,*,#18197,.F.); +#18200=ORIENTED_EDGE('',*,*,#18199,.T.); +#18201=ORIENTED_EDGE('',*,*,#18182,.F.); +#18202=EDGE_LOOP('',(#18196,#18198,#18200,#18201)); +#18203=FACE_OUTER_BOUND('',#18202,.F.); +#18205=CARTESIAN_POINT('',(-2.443110368616E1,-8.22E0,-2.85E1)); +#18206=DIRECTION('',(1.E0,0.E0,0.E0)); +#18207=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18208=AXIS2_PLACEMENT_3D('',#18205,#18206,#18207); +#18209=PLANE('',#18208); +#18210=ORIENTED_EDGE('',*,*,#18197,.T.); +#18211=ORIENTED_EDGE('',*,*,#17297,.T.); +#18213=ORIENTED_EDGE('',*,*,#18212,.T.); +#18215=ORIENTED_EDGE('',*,*,#18214,.T.); +#18216=EDGE_LOOP('',(#18210,#18211,#18213,#18215)); +#18217=FACE_OUTER_BOUND('',#18216,.F.); +#18219=CARTESIAN_POINT('',(-2.605E1,-5.568671030682E0,-2.85E1)); +#18220=DIRECTION('',(8.534766064211E-1,5.211311565163E-1,0.E0)); +#18221=DIRECTION('',(5.211311565163E-1,-8.534766064211E-1,0.E0)); +#18222=AXIS2_PLACEMENT_3D('',#18219,#18220,#18221); +#18223=PLANE('',#18222); +#18224=ORIENTED_EDGE('',*,*,#18212,.F.); +#18225=ORIENTED_EDGE('',*,*,#17295,.F.); +#18227=ORIENTED_EDGE('',*,*,#18226,.T.); +#18229=ORIENTED_EDGE('',*,*,#18228,.T.); +#18230=EDGE_LOOP('',(#18224,#18225,#18227,#18229)); +#18231=FACE_OUTER_BOUND('',#18230,.F.); +#18233=CARTESIAN_POINT('',(-3.075E1,-5.568671030682E0,-2.85E1)); +#18234=DIRECTION('',(0.E0,1.E0,0.E0)); +#18235=DIRECTION('',(1.E0,0.E0,0.E0)); +#18236=AXIS2_PLACEMENT_3D('',#18233,#18234,#18235); +#18237=PLANE('',#18236); +#18239=ORIENTED_EDGE('',*,*,#18238,.F.); +#18241=ORIENTED_EDGE('',*,*,#18240,.F.); +#18243=ORIENTED_EDGE('',*,*,#18242,.T.); +#18245=ORIENTED_EDGE('',*,*,#18244,.T.); +#18247=ORIENTED_EDGE('',*,*,#18246,.T.); +#18248=ORIENTED_EDGE('',*,*,#18226,.F.); +#18249=ORIENTED_EDGE('',*,*,#17293,.F.); +#18251=ORIENTED_EDGE('',*,*,#18250,.F.); +#18253=ORIENTED_EDGE('',*,*,#18252,.F.); +#18254=EDGE_LOOP('',(#18239,#18241,#18243,#18245,#18247,#18248,#18249,#18251, +#18253)); +#18255=FACE_OUTER_BOUND('',#18254,.F.); +#18257=CARTESIAN_POINT('',(-3.075E1,-7.218671030682E0,-3.31E1)); +#18258=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18259=DIRECTION('',(0.E0,1.E0,0.E0)); +#18260=AXIS2_PLACEMENT_3D('',#18257,#18258,#18259); +#18261=PLANE('',#18260); +#18262=ORIENTED_EDGE('',*,*,#18238,.T.); +#18264=ORIENTED_EDGE('',*,*,#18263,.F.); +#18265=ORIENTED_EDGE('',*,*,#17549,.F.); +#18267=ORIENTED_EDGE('',*,*,#18266,.F.); +#18269=ORIENTED_EDGE('',*,*,#18268,.T.); +#18270=EDGE_LOOP('',(#18262,#18264,#18265,#18267,#18269)); +#18271=FACE_OUTER_BOUND('',#18270,.F.); +#18273=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.8E1)); +#18274=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18275=DIRECTION('',(1.787997793602E-1,-9.838854805823E-1,0.E0)); +#18276=AXIS2_PLACEMENT_3D('',#18273,#18274,#18275); +#18277=TOROIDAL_SURFACE('',#18276,2.5E0,5.E-1); +#18278=ORIENTED_EDGE('',*,*,#17551,.T.); +#18279=ORIENTED_EDGE('',*,*,#18263,.T.); +#18281=ORIENTED_EDGE('',*,*,#18280,.T.); +#18283=ORIENTED_EDGE('',*,*,#18282,.T.); +#18284=EDGE_LOOP('',(#18278,#18279,#18281,#18283)); +#18285=FACE_OUTER_BOUND('',#18284,.F.); +#18287=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.85E1)); +#18288=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18289=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18290=AXIS2_PLACEMENT_3D('',#18287,#18288,#18289); +#18291=CYLINDRICAL_SURFACE('',#18290,3.E0); +#18292=ORIENTED_EDGE('',*,*,#18280,.F.); +#18293=ORIENTED_EDGE('',*,*,#18252,.T.); +#18295=ORIENTED_EDGE('',*,*,#18294,.F.); +#18297=ORIENTED_EDGE('',*,*,#18296,.T.); +#18298=EDGE_LOOP('',(#18292,#18293,#18295,#18297)); +#18299=FACE_OUTER_BOUND('',#18298,.F.); +#18301=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.25E1)); +#18302=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18303=DIRECTION('',(1.787997793602E-1,-9.838854805823E-1,0.E0)); +#18304=AXIS2_PLACEMENT_3D('',#18301,#18302,#18303); +#18305=TOROIDAL_SURFACE('',#18304,3.5E0,5.E-1); +#18306=ORIENTED_EDGE('',*,*,#18294,.T.); +#18307=ORIENTED_EDGE('',*,*,#18250,.T.); +#18308=ORIENTED_EDGE('',*,*,#17291,.T.); +#18310=ORIENTED_EDGE('',*,*,#18309,.T.); +#18311=EDGE_LOOP('',(#18306,#18307,#18308,#18310)); +#18312=FACE_OUTER_BOUND('',#18311,.F.); +#18314=CARTESIAN_POINT('',(-3.635675872867E1,-5.752089440701E0, +-2.200024671982E1)); +#18315=CARTESIAN_POINT('',(-3.607726723426E1,-5.880424741351E0, +-2.199058165128E1)); +#18316=CARTESIAN_POINT('',(-3.587953933650E1,-5.971216302862E0, +-2.220815791192E1)); +#18317=CARTESIAN_POINT('',(-3.588832271056E1,-5.967183203561E0, +-2.251570537954E1)); +#18318=CARTESIAN_POINT('',(-3.643984325818E1,-5.933032791431E0, +-2.200024671982E1)); +#18319=CARTESIAN_POINT('',(-3.621311393699E1,-6.176274735576E0, +-2.199058165128E1)); +#18320=CARTESIAN_POINT('',(-3.605271294827E1,-6.348357676294E0, +-2.220815791192E1)); +#18321=CARTESIAN_POINT('',(-3.605983820424E1,-6.340713490244E0, +-2.251570537954E1)); +#18322=CARTESIAN_POINT('',(-3.660864429769E1,-6.038625140966E0, +-2.200024671982E1)); +#18323=CARTESIAN_POINT('',(-3.648911074130E1,-6.348922671374E0, +-2.199058165128E1)); +#18324=CARTESIAN_POINT('',(-3.640454602542E1,-6.568444480073E0, +-2.220815791192E1)); +#18325=CARTESIAN_POINT('',(-3.640830251876E1,-6.558692987329E0, +-2.251570537954E1)); +#18326=CARTESIAN_POINT('',(-3.680770137161E1,-6.034173563600E0, +-2.200024671982E1)); +#18327=CARTESIAN_POINT('',(-3.681457743533E1,-6.341644155018E0, +-2.199058165128E1)); +#18328=CARTESIAN_POINT('',(-3.681944194697E1,-6.559166029139E0, +-2.220815791192E1)); +#18329=CARTESIAN_POINT('',(-3.681922585796E1,-6.549503376532E0, +-2.251570537954E1)); +#18330=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#18314,#18315,#18316,#18317),( +#18318,#18319,#18320,#18321),(#18322,#18323,#18324,#18325),(#18326,#18327, +#18328,#18329)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4, +4),(0.E0,1.E0),(0.E0,1.E0),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0)))REPRESENTATION_ITEM('')SURFACE()); +#18331=ORIENTED_EDGE('',*,*,#17289,.T.); +#18332=ORIENTED_EDGE('',*,*,#17274,.F.); +#18334=ORIENTED_EDGE('',*,*,#18333,.F.); +#18335=ORIENTED_EDGE('',*,*,#18309,.F.); +#18336=EDGE_LOOP('',(#18331,#18332,#18334,#18335)); +#18337=FACE_OUTER_BOUND('',#18336,.F.); +#18339=CARTESIAN_POINT('',(-3.679687364248E1,-5.55E0,4.927608E1)); +#18340=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18341=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#18342=AXIS2_PLACEMENT_3D('',#18339,#18340,#18341); +#18343=CYLINDRICAL_SURFACE('',#18342,1.E0); +#18344=ORIENTED_EDGE('',*,*,#17259,.T.); +#18346=ORIENTED_EDGE('',*,*,#18345,.T.); +#18347=ORIENTED_EDGE('',*,*,#18296,.F.); +#18348=ORIENTED_EDGE('',*,*,#18333,.T.); +#18349=EDGE_LOOP('',(#18344,#18346,#18347,#18348)); +#18350=FACE_OUTER_BOUND('',#18349,.F.); +#18352=CARTESIAN_POINT('',(-3.679687364248E1,-5.55E0,-2.8E1)); +#18353=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18354=DIRECTION('',(9.055727571006E-1,-4.241909730266E-1,0.E0)); +#18355=AXIS2_PLACEMENT_3D('',#18352,#18353,#18354); +#18356=TOROIDAL_SURFACE('',#18355,1.5E0,5.E-1); +#18357=ORIENTED_EDGE('',*,*,#17553,.F.); +#18358=ORIENTED_EDGE('',*,*,#18282,.F.); +#18359=ORIENTED_EDGE('',*,*,#18345,.F.); +#18360=ORIENTED_EDGE('',*,*,#17257,.T.); +#18361=EDGE_LOOP('',(#18357,#18358,#18359,#18360)); +#18362=FACE_OUTER_BOUND('',#18361,.F.); +#18364=CARTESIAN_POINT('',(-2.695E1,-7.218671030682E0,-3.31E1)); +#18365=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18366=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18367=AXIS2_PLACEMENT_3D('',#18364,#18365,#18366); +#18368=PLANE('',#18367); +#18370=ORIENTED_EDGE('',*,*,#18369,.F.); +#18371=ORIENTED_EDGE('',*,*,#18266,.T.); +#18372=ORIENTED_EDGE('',*,*,#17547,.F.); +#18374=ORIENTED_EDGE('',*,*,#18373,.T.); +#18376=ORIENTED_EDGE('',*,*,#18375,.T.); +#18377=EDGE_LOOP('',(#18370,#18371,#18372,#18374,#18376)); +#18378=FACE_OUTER_BOUND('',#18377,.F.); +#18380=ORIENTED_EDGE('',*,*,#18379,.T.); +#18382=ORIENTED_EDGE('',*,*,#18381,.T.); +#18383=EDGE_LOOP('',(#18380,#18382)); +#18384=FACE_BOUND('',#18383,.F.); +#18386=CARTESIAN_POINT('',(-2.89E1,-7.218671030682E0,-3.13E1)); +#18387=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18388=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18389=AXIS2_PLACEMENT_3D('',#18386,#18387,#18388); +#18390=CYLINDRICAL_SURFACE('',#18389,1.E0); +#18392=ORIENTED_EDGE('',*,*,#18391,.T.); +#18394=ORIENTED_EDGE('',*,*,#18393,.T.); +#18396=ORIENTED_EDGE('',*,*,#18395,.T.); +#18398=ORIENTED_EDGE('',*,*,#18397,.T.); +#18400=ORIENTED_EDGE('',*,*,#18399,.F.); +#18401=ORIENTED_EDGE('',*,*,#18379,.F.); +#18403=ORIENTED_EDGE('',*,*,#18402,.T.); +#18404=EDGE_LOOP('',(#18392,#18394,#18396,#18398,#18400,#18401,#18403)); +#18405=FACE_OUTER_BOUND('',#18404,.F.); +#18407=CARTESIAN_POINT('',(-3.019830658937E1,-1.356867103068E1, +-3.205126559884E1)); +#18408=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18409=DIRECTION('',(1.E0,0.E0,0.E0)); +#18410=AXIS2_PLACEMENT_3D('',#18407,#18408,#18409); +#18411=PLANE('',#18410); +#18413=ORIENTED_EDGE('',*,*,#18412,.T.); +#18415=ORIENTED_EDGE('',*,*,#18414,.F.); +#18416=ORIENTED_EDGE('',*,*,#18391,.F.); +#18418=ORIENTED_EDGE('',*,*,#18417,.F.); +#18419=EDGE_LOOP('',(#18413,#18415,#18416,#18418)); +#18420=FACE_OUTER_BOUND('',#18419,.F.); +#18422=CARTESIAN_POINT('',(-2.89E1,-1.356867103068E1,-3.13E1)); +#18423=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18424=DIRECTION('',(1.E0,0.E0,0.E0)); +#18425=AXIS2_PLACEMENT_3D('',#18422,#18423,#18424); +#18426=CYLINDRICAL_SURFACE('',#18425,1.5E0); +#18428=ORIENTED_EDGE('',*,*,#18427,.T.); +#18430=ORIENTED_EDGE('',*,*,#18429,.T.); +#18432=ORIENTED_EDGE('',*,*,#18431,.T.); +#18433=ORIENTED_EDGE('',*,*,#18412,.F.); +#18435=ORIENTED_EDGE('',*,*,#18434,.T.); +#18436=EDGE_LOOP('',(#18428,#18430,#18432,#18433,#18435)); +#18437=FACE_OUTER_BOUND('',#18436,.F.); +#18439=CARTESIAN_POINT('',(-2.956E1,-1.356867103068E1,-3.054873440116E1)); +#18440=DIRECTION('',(0.E0,0.E0,1.E0)); +#18441=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18442=AXIS2_PLACEMENT_3D('',#18439,#18440,#18441); +#18443=PLANE('',#18442); +#18444=ORIENTED_EDGE('',*,*,#18397,.F.); +#18446=ORIENTED_EDGE('',*,*,#18445,.F.); +#18447=ORIENTED_EDGE('',*,*,#18427,.F.); +#18449=ORIENTED_EDGE('',*,*,#18448,.F.); +#18450=EDGE_LOOP('',(#18444,#18446,#18447,#18449)); +#18451=FACE_OUTER_BOUND('',#18450,.F.); +#18453=CARTESIAN_POINT('',(-2.89E1,-1.466867103068E1,-3.13E1)); +#18454=DIRECTION('',(0.E0,1.E0,0.E0)); +#18455=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18456=AXIS2_PLACEMENT_3D('',#18453,#18454,#18455); +#18457=CONICAL_SURFACE('',#18456,9.625E-1,4.707003065304E1); +#18458=ORIENTED_EDGE('',*,*,#18445,.T.); +#18459=ORIENTED_EDGE('',*,*,#18395,.F.); +#18461=ORIENTED_EDGE('',*,*,#18460,.T.); +#18463=ORIENTED_EDGE('',*,*,#18462,.T.); +#18465=ORIENTED_EDGE('',*,*,#18464,.F.); +#18466=ORIENTED_EDGE('',*,*,#18429,.F.); +#18467=EDGE_LOOP('',(#18458,#18459,#18461,#18463,#18465,#18466)); +#18468=FACE_OUTER_BOUND('',#18467,.F.); +#18470=CARTESIAN_POINT('',(-2.89E1,-1.466867103068E1,-3.13E1)); +#18471=DIRECTION('',(0.E0,1.E0,0.E0)); +#18472=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18473=AXIS2_PLACEMENT_3D('',#18470,#18471,#18472); +#18474=CONICAL_SURFACE('',#18473,9.625E-1,4.707003065304E1); +#18475=ORIENTED_EDGE('',*,*,#18414,.T.); +#18476=ORIENTED_EDGE('',*,*,#18431,.F.); +#18477=ORIENTED_EDGE('',*,*,#18464,.T.); +#18479=ORIENTED_EDGE('',*,*,#18478,.F.); +#18480=ORIENTED_EDGE('',*,*,#18460,.F.); +#18481=ORIENTED_EDGE('',*,*,#18393,.F.); +#18482=EDGE_LOOP('',(#18475,#18476,#18477,#18479,#18480,#18481)); +#18483=FACE_OUTER_BOUND('',#18482,.F.); +#18485=CARTESIAN_POINT('',(-2.89E1,-1.516867103068E1,-3.13E1)); +#18486=DIRECTION('',(0.E0,1.E0,0.E0)); +#18487=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18488=AXIS2_PLACEMENT_3D('',#18485,#18486,#18487); +#18489=PLANE('',#18488); +#18490=ORIENTED_EDGE('',*,*,#18462,.F.); +#18491=ORIENTED_EDGE('',*,*,#18478,.T.); +#18492=EDGE_LOOP('',(#18490,#18491)); +#18493=FACE_OUTER_BOUND('',#18492,.F.); +#18495=CARTESIAN_POINT('',(0.E0,-1.356867103068E1,0.E0)); +#18496=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18497=DIRECTION('',(1.E0,0.E0,0.E0)); +#18498=AXIS2_PLACEMENT_3D('',#18495,#18496,#18497); +#18499=PLANE('',#18498); +#18501=ORIENTED_EDGE('',*,*,#18500,.F.); +#18502=ORIENTED_EDGE('',*,*,#18448,.T.); +#18503=ORIENTED_EDGE('',*,*,#18434,.F.); +#18504=ORIENTED_EDGE('',*,*,#18417,.T.); +#18505=EDGE_LOOP('',(#18501,#18502,#18503,#18504)); +#18506=FACE_OUTER_BOUND('',#18505,.F.); +#18508=CARTESIAN_POINT('',(0.E0,-1.356867103068E1,0.E0)); +#18509=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18510=DIRECTION('',(1.E0,0.E0,0.E0)); +#18511=AXIS2_PLACEMENT_3D('',#18508,#18509,#18510); +#18512=PLANE('',#18511); +#18514=ORIENTED_EDGE('',*,*,#18513,.F.); +#18516=ORIENTED_EDGE('',*,*,#18515,.T.); +#18518=ORIENTED_EDGE('',*,*,#18517,.F.); +#18520=ORIENTED_EDGE('',*,*,#18519,.T.); +#18521=EDGE_LOOP('',(#18514,#18516,#18518,#18520)); +#18522=FACE_OUTER_BOUND('',#18521,.F.); +#18524=CARTESIAN_POINT('',(-2.956E1,-7.218671030682E0,-3.054873440116E1)); +#18525=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18526=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18527=AXIS2_PLACEMENT_3D('',#18524,#18525,#18526); +#18528=PLANE('',#18527); +#18529=ORIENTED_EDGE('',*,*,#18500,.T.); +#18530=ORIENTED_EDGE('',*,*,#18402,.F.); +#18531=ORIENTED_EDGE('',*,*,#18381,.F.); +#18532=ORIENTED_EDGE('',*,*,#18399,.T.); +#18533=EDGE_LOOP('',(#18529,#18530,#18531,#18532)); +#18534=FACE_OUTER_BOUND('',#18533,.F.); +#18536=CARTESIAN_POINT('',(2.956E1,-7.218671030682E0,-3.205126559884E1)); +#18537=DIRECTION('',(1.E0,0.E0,0.E0)); +#18538=DIRECTION('',(0.E0,0.E0,1.E0)); +#18539=AXIS2_PLACEMENT_3D('',#18536,#18537,#18538); +#18540=PLANE('',#18539); +#18541=ORIENTED_EDGE('',*,*,#18513,.T.); +#18543=ORIENTED_EDGE('',*,*,#18542,.F.); +#18545=ORIENTED_EDGE('',*,*,#18544,.F.); +#18547=ORIENTED_EDGE('',*,*,#18546,.T.); +#18548=EDGE_LOOP('',(#18541,#18543,#18545,#18547)); +#18549=FACE_OUTER_BOUND('',#18548,.F.); +#18551=CARTESIAN_POINT('',(2.89E1,-7.218671030682E0,-3.13E1)); +#18552=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18553=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18554=AXIS2_PLACEMENT_3D('',#18551,#18552,#18553); +#18555=CYLINDRICAL_SURFACE('',#18554,1.E0); +#18557=ORIENTED_EDGE('',*,*,#18556,.T.); +#18559=ORIENTED_EDGE('',*,*,#18558,.T.); +#18561=ORIENTED_EDGE('',*,*,#18560,.T.); +#18563=ORIENTED_EDGE('',*,*,#18562,.T.); +#18564=ORIENTED_EDGE('',*,*,#18546,.F.); +#18566=ORIENTED_EDGE('',*,*,#18565,.F.); +#18567=ORIENTED_EDGE('',*,*,#18542,.T.); +#18568=EDGE_LOOP('',(#18557,#18559,#18561,#18563,#18564,#18566,#18567)); +#18569=FACE_OUTER_BOUND('',#18568,.F.); +#18571=CARTESIAN_POINT('',(3.019830658937E1,-1.356867103068E1, +-3.054873440116E1)); +#18572=DIRECTION('',(0.E0,0.E0,1.E0)); +#18573=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18574=AXIS2_PLACEMENT_3D('',#18571,#18572,#18573); +#18575=PLANE('',#18574); +#18577=ORIENTED_EDGE('',*,*,#18576,.T.); +#18579=ORIENTED_EDGE('',*,*,#18578,.F.); +#18580=ORIENTED_EDGE('',*,*,#18556,.F.); +#18581=ORIENTED_EDGE('',*,*,#18519,.F.); +#18582=EDGE_LOOP('',(#18577,#18579,#18580,#18581)); +#18583=FACE_OUTER_BOUND('',#18582,.F.); +#18585=CARTESIAN_POINT('',(2.89E1,-1.356867103068E1,-3.13E1)); +#18586=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18587=DIRECTION('',(1.E0,0.E0,0.E0)); +#18588=AXIS2_PLACEMENT_3D('',#18585,#18586,#18587); +#18589=CYLINDRICAL_SURFACE('',#18588,1.5E0); +#18591=ORIENTED_EDGE('',*,*,#18590,.T.); +#18593=ORIENTED_EDGE('',*,*,#18592,.T.); +#18594=ORIENTED_EDGE('',*,*,#18576,.F.); +#18595=ORIENTED_EDGE('',*,*,#18517,.T.); +#18597=ORIENTED_EDGE('',*,*,#18596,.T.); +#18598=EDGE_LOOP('',(#18591,#18593,#18594,#18595,#18597)); +#18599=FACE_OUTER_BOUND('',#18598,.F.); +#18601=CARTESIAN_POINT('',(2.89E1,-1.466867103068E1,-3.13E1)); +#18602=DIRECTION('',(0.E0,1.E0,0.E0)); +#18603=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18604=AXIS2_PLACEMENT_3D('',#18601,#18602,#18603); +#18605=CONICAL_SURFACE('',#18604,9.625E-1,4.707003065304E1); +#18607=ORIENTED_EDGE('',*,*,#18606,.T.); +#18608=ORIENTED_EDGE('',*,*,#18560,.F.); +#18610=ORIENTED_EDGE('',*,*,#18609,.T.); +#18612=ORIENTED_EDGE('',*,*,#18611,.F.); +#18614=ORIENTED_EDGE('',*,*,#18613,.F.); +#18615=ORIENTED_EDGE('',*,*,#18590,.F.); +#18616=EDGE_LOOP('',(#18607,#18608,#18610,#18612,#18614,#18615)); +#18617=FACE_OUTER_BOUND('',#18616,.F.); +#18619=CARTESIAN_POINT('',(2.956E1,-1.356867103068E1,-3.205126559884E1)); +#18620=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18621=DIRECTION('',(1.E0,0.E0,0.E0)); +#18622=AXIS2_PLACEMENT_3D('',#18619,#18620,#18621); +#18623=PLANE('',#18622); +#18624=ORIENTED_EDGE('',*,*,#18562,.F.); +#18625=ORIENTED_EDGE('',*,*,#18606,.F.); +#18626=ORIENTED_EDGE('',*,*,#18596,.F.); +#18627=ORIENTED_EDGE('',*,*,#18515,.F.); +#18628=EDGE_LOOP('',(#18624,#18625,#18626,#18627)); +#18629=FACE_OUTER_BOUND('',#18628,.F.); +#18631=CARTESIAN_POINT('',(2.89E1,-1.466867103068E1,-3.13E1)); +#18632=DIRECTION('',(0.E0,1.E0,0.E0)); +#18633=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18634=AXIS2_PLACEMENT_3D('',#18631,#18632,#18633); +#18635=CONICAL_SURFACE('',#18634,9.625E-1,4.707003065304E1); +#18636=ORIENTED_EDGE('',*,*,#18578,.T.); +#18637=ORIENTED_EDGE('',*,*,#18592,.F.); +#18638=ORIENTED_EDGE('',*,*,#18613,.T.); +#18640=ORIENTED_EDGE('',*,*,#18639,.T.); +#18641=ORIENTED_EDGE('',*,*,#18609,.F.); +#18642=ORIENTED_EDGE('',*,*,#18558,.F.); +#18643=EDGE_LOOP('',(#18636,#18637,#18638,#18640,#18641,#18642)); +#18644=FACE_OUTER_BOUND('',#18643,.F.); +#18646=CARTESIAN_POINT('',(2.89E1,-1.516867103068E1,-3.13E1)); +#18647=DIRECTION('',(0.E0,1.E0,0.E0)); +#18648=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18649=AXIS2_PLACEMENT_3D('',#18646,#18647,#18648); +#18650=PLANE('',#18649); +#18651=ORIENTED_EDGE('',*,*,#18639,.F.); +#18652=ORIENTED_EDGE('',*,*,#18611,.T.); +#18653=EDGE_LOOP('',(#18651,#18652)); +#18654=FACE_OUTER_BOUND('',#18653,.F.); +#18656=CARTESIAN_POINT('',(3.075E1,-7.218671030682E0,-3.31E1)); +#18657=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18658=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18659=AXIS2_PLACEMENT_3D('',#18656,#18657,#18658); +#18660=PLANE('',#18659); +#18661=ORIENTED_EDGE('',*,*,#17606,.F.); +#18662=ORIENTED_EDGE('',*,*,#17966,.F.); +#18664=ORIENTED_EDGE('',*,*,#18663,.T.); +#18666=ORIENTED_EDGE('',*,*,#18665,.F.); +#18667=EDGE_LOOP('',(#18661,#18662,#18664,#18666)); +#18668=FACE_OUTER_BOUND('',#18667,.F.); +#18669=ORIENTED_EDGE('',*,*,#18544,.T.); +#18670=ORIENTED_EDGE('',*,*,#18565,.T.); +#18671=EDGE_LOOP('',(#18669,#18670)); +#18672=FACE_BOUND('',#18671,.F.); +#18674=CARTESIAN_POINT('',(0.E0,0.E0,-3.31E1)); +#18675=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18676=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18677=AXIS2_PLACEMENT_3D('',#18674,#18675,#18676); +#18678=PLANE('',#18677); +#18680=ORIENTED_EDGE('',*,*,#18679,.T.); +#18681=ORIENTED_EDGE('',*,*,#18663,.F.); +#18682=ORIENTED_EDGE('',*,*,#17964,.F.); +#18683=ORIENTED_EDGE('',*,*,#17986,.F.); +#18684=EDGE_LOOP('',(#18680,#18681,#18682,#18683)); +#18685=FACE_OUTER_BOUND('',#18684,.F.); +#18687=CARTESIAN_POINT('',(0.E0,0.E0,-3.31E1)); +#18688=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18689=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18690=AXIS2_PLACEMENT_3D('',#18687,#18688,#18689); +#18691=PLANE('',#18690); +#18693=ORIENTED_EDGE('',*,*,#18692,.F.); +#18694=ORIENTED_EDGE('',*,*,#18375,.F.); +#18696=ORIENTED_EDGE('',*,*,#18695,.F.); +#18697=ORIENTED_EDGE('',*,*,#18242,.F.); +#18698=EDGE_LOOP('',(#18693,#18694,#18696,#18697)); +#18699=FACE_OUTER_BOUND('',#18698,.F.); +#18701=CARTESIAN_POINT('',(2.695E1,-5.568671030682E0,-2.2E1)); +#18702=DIRECTION('',(1.E0,0.E0,0.E0)); +#18703=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18704=AXIS2_PLACEMENT_3D('',#18701,#18702,#18703); +#18705=PLANE('',#18704); +#18706=ORIENTED_EDGE('',*,*,#18679,.F.); +#18707=ORIENTED_EDGE('',*,*,#17984,.T.); +#18709=ORIENTED_EDGE('',*,*,#18708,.T.); +#18710=ORIENTED_EDGE('',*,*,#18109,.F.); +#18711=ORIENTED_EDGE('',*,*,#17608,.F.); +#18712=ORIENTED_EDGE('',*,*,#18665,.T.); +#18713=EDGE_LOOP('',(#18706,#18707,#18709,#18710,#18711,#18712)); +#18714=FACE_OUTER_BOUND('',#18713,.F.); +#18716=CARTESIAN_POINT('',(0.E0,0.E0,-3.78E1)); +#18717=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18718=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18719=AXIS2_PLACEMENT_3D('',#18716,#18717,#18718); +#18720=PLANE('',#18719); +#18721=ORIENTED_EDGE('',*,*,#18708,.F.); +#18722=ORIENTED_EDGE('',*,*,#17982,.F.); +#18723=ORIENTED_EDGE('',*,*,#17999,.F.); +#18724=ORIENTED_EDGE('',*,*,#18014,.F.); +#18725=ORIENTED_EDGE('',*,*,#18028,.F.); +#18726=ORIENTED_EDGE('',*,*,#18040,.F.); +#18727=ORIENTED_EDGE('',*,*,#18056,.F.); +#18729=ORIENTED_EDGE('',*,*,#18728,.F.); +#18731=ORIENTED_EDGE('',*,*,#18730,.F.); +#18733=ORIENTED_EDGE('',*,*,#18732,.F.); +#18734=ORIENTED_EDGE('',*,*,#18111,.F.); +#18735=EDGE_LOOP('',(#18721,#18722,#18723,#18724,#18725,#18726,#18727,#18729, +#18731,#18733,#18734)); +#18736=FACE_OUTER_BOUND('',#18735,.F.); +#18738=CARTESIAN_POINT('',(0.E0,0.E0,-3.78E1)); +#18739=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18740=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18741=AXIS2_PLACEMENT_3D('',#18738,#18739,#18740); +#18742=PLANE('',#18741); +#18743=ORIENTED_EDGE('',*,*,#18246,.F.); +#18745=ORIENTED_EDGE('',*,*,#18744,.F.); +#18746=ORIENTED_EDGE('',*,*,#18074,.F.); +#18748=ORIENTED_EDGE('',*,*,#18747,.F.); +#18750=ORIENTED_EDGE('',*,*,#18749,.F.); +#18751=ORIENTED_EDGE('',*,*,#18139,.F.); +#18752=ORIENTED_EDGE('',*,*,#18171,.F.); +#18753=ORIENTED_EDGE('',*,*,#18184,.F.); +#18754=ORIENTED_EDGE('',*,*,#18199,.F.); +#18755=ORIENTED_EDGE('',*,*,#18214,.F.); +#18756=ORIENTED_EDGE('',*,*,#18228,.F.); +#18757=EDGE_LOOP('',(#18743,#18745,#18746,#18748,#18750,#18751,#18752,#18753, +#18754,#18755,#18756)); +#18758=FACE_OUTER_BOUND('',#18757,.F.); +#18760=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-2.85E1)); +#18761=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18762=DIRECTION('',(0.E0,1.E0,0.E0)); +#18763=AXIS2_PLACEMENT_3D('',#18760,#18761,#18762); +#18764=PLANE('',#18763); +#18766=ORIENTED_EDGE('',*,*,#18765,.T.); +#18767=ORIENTED_EDGE('',*,*,#18060,.F.); +#18768=ORIENTED_EDGE('',*,*,#17349,.F.); +#18770=ORIENTED_EDGE('',*,*,#18769,.T.); +#18771=EDGE_LOOP('',(#18766,#18767,#18768,#18770)); +#18772=FACE_OUTER_BOUND('',#18771,.F.); +#18774=CARTESIAN_POINT('',(2.443110368616E1,-1.172E1,-2.85E1)); +#18775=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18776=DIRECTION('',(0.E0,1.E0,0.E0)); +#18777=AXIS2_PLACEMENT_3D('',#18774,#18775,#18776); +#18778=PLANE('',#18777); +#18780=ORIENTED_EDGE('',*,*,#18779,.F.); +#18782=ORIENTED_EDGE('',*,*,#18781,.T.); +#18783=ORIENTED_EDGE('',*,*,#18728,.T.); +#18784=ORIENTED_EDGE('',*,*,#18054,.F.); +#18785=EDGE_LOOP('',(#18780,#18782,#18783,#18784)); +#18786=FACE_OUTER_BOUND('',#18785,.F.); +#18788=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-2.4E1)); +#18789=DIRECTION('',(0.E0,0.E0,1.E0)); +#18790=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18791=AXIS2_PLACEMENT_3D('',#18788,#18789,#18790); +#18792=PLANE('',#18791); +#18794=ORIENTED_EDGE('',*,*,#18793,.F.); +#18795=ORIENTED_EDGE('',*,*,#18101,.T.); +#18796=ORIENTED_EDGE('',*,*,#18765,.F.); +#18798=ORIENTED_EDGE('',*,*,#18797,.F.); +#18799=EDGE_LOOP('',(#18794,#18795,#18796,#18798)); +#18800=FACE_OUTER_BOUND('',#18799,.F.); +#18802=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-2.85E1)); +#18803=DIRECTION('',(1.E0,0.E0,0.E0)); +#18804=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18805=AXIS2_PLACEMENT_3D('',#18802,#18803,#18804); +#18806=PLANE('',#18805); +#18807=ORIENTED_EDGE('',*,*,#18793,.T.); +#18809=ORIENTED_EDGE('',*,*,#18808,.F.); +#18810=ORIENTED_EDGE('',*,*,#17345,.F.); +#18811=ORIENTED_EDGE('',*,*,#18103,.T.); +#18812=EDGE_LOOP('',(#18807,#18809,#18810,#18811)); +#18813=FACE_OUTER_BOUND('',#18812,.F.); +#18815=CARTESIAN_POINT('',(2.573110368616E1,-1.107E1,-2.85E1)); +#18816=DIRECTION('',(1.E0,0.E0,0.E0)); +#18817=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18818=AXIS2_PLACEMENT_3D('',#18815,#18816,#18817); +#18819=PLANE('',#18818); +#18821=ORIENTED_EDGE('',*,*,#18820,.F.); +#18822=ORIENTED_EDGE('',*,*,#18096,.T.); +#18823=ORIENTED_EDGE('',*,*,#18732,.T.); +#18825=ORIENTED_EDGE('',*,*,#18824,.F.); +#18826=EDGE_LOOP('',(#18821,#18822,#18823,#18825)); +#18827=FACE_OUTER_BOUND('',#18826,.F.); +#18829=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-2.85E1)); +#18830=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18831=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18832=AXIS2_PLACEMENT_3D('',#18829,#18830,#18831); +#18833=PLANE('',#18832); +#18834=ORIENTED_EDGE('',*,*,#18797,.T.); +#18835=ORIENTED_EDGE('',*,*,#18769,.F.); +#18836=ORIENTED_EDGE('',*,*,#17347,.F.); +#18837=ORIENTED_EDGE('',*,*,#18808,.T.); +#18838=EDGE_LOOP('',(#18834,#18835,#18836,#18837)); +#18839=FACE_OUTER_BOUND('',#18838,.F.); +#18841=CARTESIAN_POINT('',(2.573110368616E1,-1.172E1,-2.85E1)); +#18842=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18843=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18844=AXIS2_PLACEMENT_3D('',#18841,#18842,#18843); +#18845=PLANE('',#18844); +#18847=ORIENTED_EDGE('',*,*,#18846,.F.); +#18848=ORIENTED_EDGE('',*,*,#18824,.T.); +#18849=ORIENTED_EDGE('',*,*,#18730,.T.); +#18850=ORIENTED_EDGE('',*,*,#18781,.F.); +#18851=EDGE_LOOP('',(#18847,#18848,#18849,#18850)); +#18852=FACE_OUTER_BOUND('',#18851,.F.); +#18854=CARTESIAN_POINT('',(2.443110368616E1,-1.107E1,-3.28E1)); +#18855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18856=DIRECTION('',(1.E0,0.E0,0.E0)); +#18857=AXIS2_PLACEMENT_3D('',#18854,#18855,#18856); +#18858=PLANE('',#18857); +#18859=ORIENTED_EDGE('',*,*,#18820,.T.); +#18860=ORIENTED_EDGE('',*,*,#18846,.T.); +#18861=ORIENTED_EDGE('',*,*,#18779,.T.); +#18862=ORIENTED_EDGE('',*,*,#18098,.T.); +#18863=EDGE_LOOP('',(#18859,#18860,#18861,#18862)); +#18864=FACE_OUTER_BOUND('',#18863,.F.); +#18866=CARTESIAN_POINT('',(-2.695E1,-1.107E1,-2.2E1)); +#18867=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18868=DIRECTION('',(0.E0,1.E0,0.E0)); +#18869=AXIS2_PLACEMENT_3D('',#18866,#18867,#18868); +#18870=PLANE('',#18869); +#18871=ORIENTED_EDGE('',*,*,#18695,.T.); +#18872=ORIENTED_EDGE('',*,*,#18373,.F.); +#18873=ORIENTED_EDGE('',*,*,#17545,.F.); +#18874=ORIENTED_EDGE('',*,*,#18076,.T.); +#18875=ORIENTED_EDGE('',*,*,#18744,.T.); +#18876=ORIENTED_EDGE('',*,*,#18244,.F.); +#18877=EDGE_LOOP('',(#18871,#18872,#18873,#18874,#18875,#18876)); +#18878=FACE_OUTER_BOUND('',#18877,.F.); +#18880=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-2.85E1)); +#18881=DIRECTION('',(-1.E0,-2.186285340800E-14,0.E0)); +#18882=DIRECTION('',(-2.186285340800E-14,1.E0,0.E0)); +#18883=AXIS2_PLACEMENT_3D('',#18880,#18881,#18882); +#18884=PLANE('',#18883); +#18885=ORIENTED_EDGE('',*,*,#18125,.F.); +#18887=ORIENTED_EDGE('',*,*,#18886,.T.); +#18888=ORIENTED_EDGE('',*,*,#18747,.T.); +#18889=ORIENTED_EDGE('',*,*,#18072,.F.); +#18890=EDGE_LOOP('',(#18885,#18887,#18888,#18889)); +#18891=FACE_OUTER_BOUND('',#18890,.F.); +#18893=CARTESIAN_POINT('',(-2.573110368616E1,-1.172E1,-2.85E1)); +#18894=DIRECTION('',(-1.E0,-2.186285340800E-14,0.E0)); +#18895=DIRECTION('',(-2.186285340800E-14,1.E0,0.E0)); +#18896=AXIS2_PLACEMENT_3D('',#18893,#18894,#18895); +#18897=PLANE('',#18896); +#18899=ORIENTED_EDGE('',*,*,#18898,.T.); +#18900=ORIENTED_EDGE('',*,*,#18082,.F.); +#18901=ORIENTED_EDGE('',*,*,#17309,.F.); +#18903=ORIENTED_EDGE('',*,*,#18902,.T.); +#18904=EDGE_LOOP('',(#18899,#18900,#18901,#18903)); +#18905=FACE_OUTER_BOUND('',#18904,.F.); +#18907=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-2.85E1)); +#18908=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18909=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18910=AXIS2_PLACEMENT_3D('',#18907,#18908,#18909); +#18911=PLANE('',#18910); +#18912=ORIENTED_EDGE('',*,*,#18123,.F.); +#18913=ORIENTED_EDGE('',*,*,#18141,.T.); +#18914=ORIENTED_EDGE('',*,*,#18749,.T.); +#18915=ORIENTED_EDGE('',*,*,#18886,.F.); +#18916=EDGE_LOOP('',(#18912,#18913,#18914,#18915)); +#18917=FACE_OUTER_BOUND('',#18916,.F.); +#18919=CARTESIAN_POINT('',(-2.443110368616E1,-1.172E1,-2.85E1)); +#18920=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18921=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18922=AXIS2_PLACEMENT_3D('',#18919,#18920,#18921); +#18923=PLANE('',#18922); +#18925=ORIENTED_EDGE('',*,*,#18924,.T.); +#18926=ORIENTED_EDGE('',*,*,#18902,.F.); +#18927=ORIENTED_EDGE('',*,*,#17307,.F.); +#18928=ORIENTED_EDGE('',*,*,#18153,.T.); +#18929=EDGE_LOOP('',(#18925,#18926,#18927,#18928)); +#18930=FACE_OUTER_BOUND('',#18929,.F.); +#18932=CARTESIAN_POINT('',(-2.443110368616E1,-1.107E1,-2.4E1)); +#18933=DIRECTION('',(0.E0,0.E0,1.E0)); +#18934=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18935=AXIS2_PLACEMENT_3D('',#18932,#18933,#18934); +#18936=PLANE('',#18935); +#18937=ORIENTED_EDGE('',*,*,#18151,.F.); +#18938=ORIENTED_EDGE('',*,*,#18084,.T.); +#18939=ORIENTED_EDGE('',*,*,#18898,.F.); +#18940=ORIENTED_EDGE('',*,*,#18924,.F.); +#18941=EDGE_LOOP('',(#18937,#18938,#18939,#18940)); +#18942=FACE_OUTER_BOUND('',#18941,.F.); +#18944=CARTESIAN_POINT('',(-3.025E1,-5.789556E1,-3.26E1)); +#18945=DIRECTION('',(0.E0,1.E0,0.E0)); +#18946=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18947=AXIS2_PLACEMENT_3D('',#18944,#18945,#18946); +#18948=CYLINDRICAL_SURFACE('',#18947,5.E-1); +#18949=ORIENTED_EDGE('',*,*,#18240,.T.); +#18950=ORIENTED_EDGE('',*,*,#18268,.F.); +#18951=ORIENTED_EDGE('',*,*,#18369,.T.); +#18952=ORIENTED_EDGE('',*,*,#18692,.T.); +#18953=EDGE_LOOP('',(#18949,#18950,#18951,#18952)); +#18954=FACE_OUTER_BOUND('',#18953,.F.); +#18956=CARTESIAN_POINT('',(-3.075E1,-1.107E1,-2.85E1)); +#18957=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18958=DIRECTION('',(0.E0,1.E0,0.E0)); +#18959=AXIS2_PLACEMENT_3D('',#18956,#18957,#18958); +#18960=PLANE('',#18959); +#18961=ORIENTED_EDGE('',*,*,#17541,.T.); +#18963=ORIENTED_EDGE('',*,*,#18962,.F.); +#18965=ORIENTED_EDGE('',*,*,#18964,.T.); +#18967=ORIENTED_EDGE('',*,*,#18966,.F.); +#18968=ORIENTED_EDGE('',*,*,#17313,.F.); +#18969=ORIENTED_EDGE('',*,*,#18079,.F.); +#18970=EDGE_LOOP('',(#18961,#18963,#18965,#18967,#18968,#18969)); +#18971=FACE_OUTER_BOUND('',#18970,.F.); +#18973=CARTESIAN_POINT('',(1.325340305158E2,-9.8E0,-2.8E1)); +#18974=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18975=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18976=AXIS2_PLACEMENT_3D('',#18973,#18974,#18975); +#18977=CYLINDRICAL_SURFACE('',#18976,5.E-1); +#18978=ORIENTED_EDGE('',*,*,#17539,.T.); +#18980=ORIENTED_EDGE('',*,*,#18979,.T.); +#18982=ORIENTED_EDGE('',*,*,#18981,.F.); +#18983=ORIENTED_EDGE('',*,*,#18962,.T.); +#18984=EDGE_LOOP('',(#18978,#18980,#18982,#18983)); +#18985=FACE_OUTER_BOUND('',#18984,.F.); +#18987=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.8E1)); +#18988=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18989=DIRECTION('',(4.689890053848E-3,-9.999890024052E-1,0.E0)); +#18990=AXIS2_PLACEMENT_3D('',#18987,#18988,#18989); +#18991=TOROIDAL_SURFACE('',#18990,2.5E0,5.E-1); +#18993=ORIENTED_EDGE('',*,*,#18992,.F.); +#18994=ORIENTED_EDGE('',*,*,#18979,.F.); +#18995=ORIENTED_EDGE('',*,*,#17537,.T.); +#18997=ORIENTED_EDGE('',*,*,#18996,.T.); +#18998=EDGE_LOOP('',(#18993,#18994,#18995,#18997)); +#18999=FACE_OUTER_BOUND('',#18998,.F.); +#19001=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.85E1)); +#19002=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19003=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19004=AXIS2_PLACEMENT_3D('',#19001,#19002,#19003); +#19005=CYLINDRICAL_SURFACE('',#19004,3.E0); +#19006=ORIENTED_EDGE('',*,*,#18992,.T.); +#19008=ORIENTED_EDGE('',*,*,#19007,.F.); +#19010=ORIENTED_EDGE('',*,*,#19009,.F.); +#19012=ORIENTED_EDGE('',*,*,#19011,.F.); +#19013=EDGE_LOOP('',(#19006,#19008,#19010,#19012)); +#19014=FACE_OUTER_BOUND('',#19013,.F.); +#19016=CARTESIAN_POINT('',(-3.679687364248E1,-9.05E0,4.927608E1)); +#19017=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19018=DIRECTION('',(0.E0,1.E0,0.E0)); +#19019=AXIS2_PLACEMENT_3D('',#19016,#19017,#19018); +#19020=CYLINDRICAL_SURFACE('',#19019,1.E0); +#19021=ORIENTED_EDGE('',*,*,#19007,.T.); +#19023=ORIENTED_EDGE('',*,*,#19022,.T.); +#19025=ORIENTED_EDGE('',*,*,#19024,.F.); +#19027=ORIENTED_EDGE('',*,*,#19026,.T.); +#19028=EDGE_LOOP('',(#19021,#19023,#19025,#19027)); +#19029=FACE_OUTER_BOUND('',#19028,.F.); +#19031=CARTESIAN_POINT('',(-3.679687364248E1,-9.05E0,-2.8E1)); +#19032=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19033=DIRECTION('',(-5.311211266182E-1,-8.472959039555E-1,0.E0)); +#19034=AXIS2_PLACEMENT_3D('',#19031,#19032,#19033); +#19035=TOROIDAL_SURFACE('',#19034,1.5E0,5.E-1); +#19036=ORIENTED_EDGE('',*,*,#17559,.F.); +#19038=ORIENTED_EDGE('',*,*,#19037,.T.); +#19039=ORIENTED_EDGE('',*,*,#19022,.F.); +#19040=ORIENTED_EDGE('',*,*,#18996,.F.); +#19041=EDGE_LOOP('',(#19036,#19038,#19039,#19040)); +#19042=FACE_OUTER_BOUND('',#19041,.F.); +#19044=CARTESIAN_POINT('',(-3.610473750966E1,-8.05E0,-2.85E1)); +#19045=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19046=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19047=AXIS2_PLACEMENT_3D('',#19044,#19045,#19046); +#19048=PLANE('',#19047); +#19050=ORIENTED_EDGE('',*,*,#19049,.F.); +#19051=ORIENTED_EDGE('',*,*,#19024,.T.); +#19052=ORIENTED_EDGE('',*,*,#19037,.F.); +#19053=ORIENTED_EDGE('',*,*,#17557,.T.); +#19054=ORIENTED_EDGE('',*,*,#17238,.T.); +#19056=ORIENTED_EDGE('',*,*,#19055,.F.); +#19057=EDGE_LOOP('',(#19050,#19051,#19052,#19053,#19054,#19056)); +#19058=FACE_OUTER_BOUND('',#19057,.F.); +#19060=CARTESIAN_POINT('',(1.351756002711E2,-8.55E0,-2.25E1)); +#19061=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19062=DIRECTION('',(0.E0,0.E0,1.E0)); +#19063=AXIS2_PLACEMENT_3D('',#19060,#19061,#19062); +#19064=CYLINDRICAL_SURFACE('',#19063,5.E-1); +#19065=ORIENTED_EDGE('',*,*,#19049,.T.); +#19067=ORIENTED_EDGE('',*,*,#19066,.T.); +#19068=ORIENTED_EDGE('',*,*,#17321,.F.); +#19070=ORIENTED_EDGE('',*,*,#19069,.T.); +#19071=EDGE_LOOP('',(#19065,#19067,#19068,#19070)); +#19072=FACE_OUTER_BOUND('',#19071,.F.); +#19074=CARTESIAN_POINT('',(-3.795E1,-8.55E0,-2.15E1)); +#19075=DIRECTION('',(0.E0,1.E0,0.E0)); +#19076=DIRECTION('',(2.949228958124E-2,0.E0,-9.995650078185E-1)); +#19077=AXIS2_PLACEMENT_3D('',#19074,#19075,#19076); +#19078=TOROIDAL_SURFACE('',#19077,1.E0,5.E-1); +#19079=ORIENTED_EDGE('',*,*,#19055,.T.); +#19080=ORIENTED_EDGE('',*,*,#17236,.F.); +#19082=ORIENTED_EDGE('',*,*,#19081,.F.); +#19083=ORIENTED_EDGE('',*,*,#19066,.F.); +#19084=EDGE_LOOP('',(#19079,#19080,#19082,#19083)); +#19085=FACE_OUTER_BOUND('',#19084,.F.); +#19087=CARTESIAN_POINT('',(-3.795E1,-1.106406972174E1,-2.15E1)); +#19088=DIRECTION('',(0.E0,1.E0,0.E0)); +#19089=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19090=AXIS2_PLACEMENT_3D('',#19087,#19088,#19089); +#19091=CYLINDRICAL_SURFACE('',#19090,5.E-1); +#19092=ORIENTED_EDGE('',*,*,#17323,.T.); +#19093=ORIENTED_EDGE('',*,*,#19081,.T.); +#19094=ORIENTED_EDGE('',*,*,#17234,.F.); +#19096=ORIENTED_EDGE('',*,*,#19095,.F.); +#19097=EDGE_LOOP('',(#19092,#19093,#19094,#19096)); +#19098=FACE_OUTER_BOUND('',#19097,.F.); +#19100=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.15E1)); +#19101=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19102=DIRECTION('',(2.813940634258E-3,-9.999960408612E-1,0.E0)); +#19103=AXIS2_PLACEMENT_3D('',#19100,#19101,#19102); +#19104=TOROIDAL_SURFACE('',#19103,4.5E0,5.E-1); +#19105=ORIENTED_EDGE('',*,*,#17325,.T.); +#19106=ORIENTED_EDGE('',*,*,#19095,.T.); +#19108=ORIENTED_EDGE('',*,*,#19107,.F.); +#19110=ORIENTED_EDGE('',*,*,#19109,.F.); +#19111=EDGE_LOOP('',(#19105,#19106,#19108,#19110)); +#19112=FACE_OUTER_BOUND('',#19111,.F.); +#19114=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.E1)); +#19115=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19116=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19117=AXIS2_PLACEMENT_3D('',#19114,#19115,#19116); +#19118=CYLINDRICAL_SURFACE('',#19117,5.E0); +#19119=ORIENTED_EDGE('',*,*,#17107,.T.); +#19121=ORIENTED_EDGE('',*,*,#19120,.T.); +#19122=ORIENTED_EDGE('',*,*,#19107,.T.); +#19123=ORIENTED_EDGE('',*,*,#17232,.F.); +#19124=EDGE_LOOP('',(#19119,#19121,#19122,#19123)); +#19125=FACE_OUTER_BOUND('',#19124,.F.); +#19127=CARTESIAN_POINT('',(3.345E1,-1.605E1,-2.E1)); +#19128=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19129=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19130=AXIS2_PLACEMENT_3D('',#19127,#19128,#19129); +#19131=PLANE('',#19130); +#19132=ORIENTED_EDGE('',*,*,#17122,.T.); +#19134=ORIENTED_EDGE('',*,*,#19133,.T.); +#19136=ORIENTED_EDGE('',*,*,#19135,.T.); +#19137=ORIENTED_EDGE('',*,*,#19120,.F.); +#19138=EDGE_LOOP('',(#19132,#19134,#19136,#19137)); +#19139=FACE_OUTER_BOUND('',#19138,.F.); +#19141=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.E1)); +#19142=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19143=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19144=AXIS2_PLACEMENT_3D('',#19141,#19142,#19143); +#19145=CYLINDRICAL_SURFACE('',#19144,5.E0); +#19146=ORIENTED_EDGE('',*,*,#17136,.T.); +#19147=ORIENTED_EDGE('',*,*,#17802,.T.); +#19149=ORIENTED_EDGE('',*,*,#19148,.T.); +#19150=ORIENTED_EDGE('',*,*,#19133,.F.); +#19151=EDGE_LOOP('',(#19146,#19147,#19149,#19150)); +#19152=FACE_OUTER_BOUND('',#19151,.F.); +#19154=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.15E1)); +#19155=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19156=DIRECTION('',(9.999960408612E-1,2.813940634256E-3,0.E0)); +#19157=AXIS2_PLACEMENT_3D('',#19154,#19155,#19156); +#19158=TOROIDAL_SURFACE('',#19157,4.5E0,5.E-1); +#19159=ORIENTED_EDGE('',*,*,#17329,.T.); +#19161=ORIENTED_EDGE('',*,*,#19160,.T.); +#19162=ORIENTED_EDGE('',*,*,#19148,.F.); +#19164=ORIENTED_EDGE('',*,*,#19163,.F.); +#19165=EDGE_LOOP('',(#19159,#19161,#19162,#19164)); +#19166=FACE_OUTER_BOUND('',#19165,.F.); +#19168=CARTESIAN_POINT('',(3.346406972174E1,-1.555E1,-2.15E1)); +#19169=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19170=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19171=AXIS2_PLACEMENT_3D('',#19168,#19169,#19170); +#19172=CYLINDRICAL_SURFACE('',#19171,5.E-1); +#19173=ORIENTED_EDGE('',*,*,#17327,.T.); +#19174=ORIENTED_EDGE('',*,*,#19109,.T.); +#19175=ORIENTED_EDGE('',*,*,#19135,.F.); +#19176=ORIENTED_EDGE('',*,*,#19160,.F.); +#19177=EDGE_LOOP('',(#19173,#19174,#19175,#19176)); +#19178=FACE_OUTER_BOUND('',#19177,.F.); +#19180=CARTESIAN_POINT('',(3.795E1,5.789556E1,-2.15E1)); +#19181=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19182=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19183=AXIS2_PLACEMENT_3D('',#19180,#19181,#19182); +#19184=CYLINDRICAL_SURFACE('',#19183,5.E-1); +#19185=ORIENTED_EDGE('',*,*,#17800,.F.); +#19187=ORIENTED_EDGE('',*,*,#19186,.T.); +#19188=ORIENTED_EDGE('',*,*,#17331,.T.); +#19189=ORIENTED_EDGE('',*,*,#19163,.T.); +#19190=EDGE_LOOP('',(#19185,#19187,#19188,#19189)); +#19191=FACE_OUTER_BOUND('',#19190,.F.); +#19193=CARTESIAN_POINT('',(3.795E1,-8.55E0,-2.15E1)); +#19194=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19195=DIRECTION('',(-7.063372212094E-1,0.E0,7.078755045445E-1)); +#19196=AXIS2_PLACEMENT_3D('',#19193,#19194,#19195); +#19197=TOROIDAL_SURFACE('',#19196,1.E0,5.E-1); +#19199=ORIENTED_EDGE('',*,*,#19198,.T.); +#19201=ORIENTED_EDGE('',*,*,#19200,.F.); +#19202=ORIENTED_EDGE('',*,*,#19186,.F.); +#19203=ORIENTED_EDGE('',*,*,#17798,.F.); +#19204=EDGE_LOOP('',(#19199,#19201,#19202,#19203)); +#19205=FACE_OUTER_BOUND('',#19204,.F.); +#19207=CARTESIAN_POINT('',(3.845E1,-8.05E0,-2.85E1)); +#19208=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19209=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19210=AXIS2_PLACEMENT_3D('',#19207,#19208,#19209); +#19211=PLANE('',#19210); +#19213=ORIENTED_EDGE('',*,*,#19212,.F.); +#19214=ORIENTED_EDGE('',*,*,#19198,.F.); +#19215=ORIENTED_EDGE('',*,*,#17796,.F.); +#19216=ORIENTED_EDGE('',*,*,#17594,.F.); +#19218=ORIENTED_EDGE('',*,*,#19217,.F.); +#19220=ORIENTED_EDGE('',*,*,#19219,.F.); +#19221=EDGE_LOOP('',(#19213,#19214,#19215,#19216,#19218,#19220)); +#19222=FACE_OUTER_BOUND('',#19221,.F.); +#19224=CARTESIAN_POINT('',(1.3869684E2,-8.55E0,-2.25E1)); +#19225=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19226=DIRECTION('',(0.E0,0.E0,1.E0)); +#19227=AXIS2_PLACEMENT_3D('',#19224,#19225,#19226); +#19228=CYLINDRICAL_SURFACE('',#19227,5.E-1); +#19229=ORIENTED_EDGE('',*,*,#17333,.F.); +#19230=ORIENTED_EDGE('',*,*,#19200,.T.); +#19231=ORIENTED_EDGE('',*,*,#19212,.T.); +#19233=ORIENTED_EDGE('',*,*,#19232,.T.); +#19234=EDGE_LOOP('',(#19229,#19230,#19231,#19233)); +#19235=FACE_OUTER_BOUND('',#19234,.F.); +#19237=CARTESIAN_POINT('',(3.635675872867E1,-8.847910559299E0, +-2.200024671982E1)); +#19238=CARTESIAN_POINT('',(3.607726723426E1,-8.719575258648E0, +-2.199058165128E1)); +#19239=CARTESIAN_POINT('',(3.587953933650E1,-8.628783697138E0, +-2.220815791192E1)); +#19240=CARTESIAN_POINT('',(3.588832271056E1,-8.632816796439E0, +-2.251570537954E1)); +#19241=CARTESIAN_POINT('',(3.643984325818E1,-8.666967208568E0, +-2.200024671982E1)); +#19242=CARTESIAN_POINT('',(3.621311393699E1,-8.423725264423E0, +-2.199058165128E1)); +#19243=CARTESIAN_POINT('',(3.605271294827E1,-8.251642323706E0, +-2.220815791192E1)); +#19244=CARTESIAN_POINT('',(3.605983820424E1,-8.259286509756E0, +-2.251570537954E1)); +#19245=CARTESIAN_POINT('',(3.660864429769E1,-8.561374859034E0, +-2.200024671982E1)); +#19246=CARTESIAN_POINT('',(3.648911074130E1,-8.251077328625E0, +-2.199058165128E1)); +#19247=CARTESIAN_POINT('',(3.640454602542E1,-8.031555519927E0, +-2.220815791192E1)); +#19248=CARTESIAN_POINT('',(3.640830251876E1,-8.041307012670E0, +-2.251570537954E1)); +#19249=CARTESIAN_POINT('',(3.680770137161E1,-8.565826436400E0, +-2.200024671982E1)); +#19250=CARTESIAN_POINT('',(3.681457743533E1,-8.258355844982E0, +-2.199058165128E1)); +#19251=CARTESIAN_POINT('',(3.681944194697E1,-8.040833970861E0, +-2.220815791192E1)); +#19252=CARTESIAN_POINT('',(3.681922585796E1,-8.050496623467E0, +-2.251570537954E1)); +#19253=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#19237,#19238,#19239,#19240),( +#19241,#19242,#19243,#19244),(#19245,#19246,#19247,#19248),(#19249,#19250, +#19251,#19252)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4, +4),(0.E0,1.E0),(0.E0,1.E0),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0)))REPRESENTATION_ITEM('')SURFACE()); +#19254=ORIENTED_EDGE('',*,*,#17335,.T.); +#19255=ORIENTED_EDGE('',*,*,#19232,.F.); +#19257=ORIENTED_EDGE('',*,*,#19256,.F.); +#19259=ORIENTED_EDGE('',*,*,#19258,.F.); +#19260=EDGE_LOOP('',(#19254,#19255,#19257,#19259)); +#19261=FACE_OUTER_BOUND('',#19260,.F.); +#19263=CARTESIAN_POINT('',(3.679687364248E1,-9.05E0,4.927608E1)); +#19264=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19265=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#19266=AXIS2_PLACEMENT_3D('',#19263,#19264,#19265); +#19267=CYLINDRICAL_SURFACE('',#19266,1.E0); +#19268=ORIENTED_EDGE('',*,*,#19219,.T.); +#19270=ORIENTED_EDGE('',*,*,#19269,.T.); +#19272=ORIENTED_EDGE('',*,*,#19271,.F.); +#19273=ORIENTED_EDGE('',*,*,#19256,.T.); +#19274=EDGE_LOOP('',(#19268,#19270,#19272,#19273)); +#19275=FACE_OUTER_BOUND('',#19274,.F.); +#19277=CARTESIAN_POINT('',(3.679687364248E1,-9.05E0,-2.8E1)); +#19278=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19279=DIRECTION('',(-9.055727571006E-1,4.241909730266E-1,0.E0)); +#19280=AXIS2_PLACEMENT_3D('',#19277,#19278,#19279); +#19281=TOROIDAL_SURFACE('',#19280,1.5E0,5.E-1); +#19282=ORIENTED_EDGE('',*,*,#17592,.F.); +#19284=ORIENTED_EDGE('',*,*,#19283,.F.); +#19285=ORIENTED_EDGE('',*,*,#19269,.F.); +#19286=ORIENTED_EDGE('',*,*,#19217,.T.); +#19287=EDGE_LOOP('',(#19282,#19284,#19285,#19286)); +#19288=FACE_OUTER_BOUND('',#19287,.F.); +#19290=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.8E1)); +#19291=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19292=DIRECTION('',(-6.111970053673E-1,7.914785029488E-1,0.E0)); +#19293=AXIS2_PLACEMENT_3D('',#19290,#19291,#19292); +#19294=TOROIDAL_SURFACE('',#19293,2.5E0,5.E-1); +#19295=ORIENTED_EDGE('',*,*,#17590,.T.); +#19297=ORIENTED_EDGE('',*,*,#19296,.T.); +#19299=ORIENTED_EDGE('',*,*,#19298,.F.); +#19300=ORIENTED_EDGE('',*,*,#19283,.T.); +#19301=EDGE_LOOP('',(#19295,#19297,#19299,#19300)); +#19302=FACE_OUTER_BOUND('',#19301,.F.); +#19304=CARTESIAN_POINT('',(3.321406972174E1,-9.8E0,-2.8E1)); +#19305=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19306=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19307=AXIS2_PLACEMENT_3D('',#19304,#19305,#19306); +#19308=CYLINDRICAL_SURFACE('',#19307,5.E-1); +#19310=ORIENTED_EDGE('',*,*,#19309,.F.); +#19311=ORIENTED_EDGE('',*,*,#19296,.F.); +#19312=ORIENTED_EDGE('',*,*,#17588,.T.); +#19314=ORIENTED_EDGE('',*,*,#19313,.T.); +#19315=EDGE_LOOP('',(#19310,#19311,#19312,#19314)); +#19316=FACE_OUTER_BOUND('',#19315,.F.); +#19318=CARTESIAN_POINT('',(3.32E1,-1.03E1,-2.85E1)); +#19319=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19320=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19321=AXIS2_PLACEMENT_3D('',#19318,#19319,#19320); +#19322=PLANE('',#19321); +#19323=ORIENTED_EDGE('',*,*,#19309,.T.); +#19325=ORIENTED_EDGE('',*,*,#19324,.T.); +#19327=ORIENTED_EDGE('',*,*,#19326,.F.); +#19329=ORIENTED_EDGE('',*,*,#19328,.F.); +#19330=EDGE_LOOP('',(#19323,#19325,#19327,#19329)); +#19331=FACE_OUTER_BOUND('',#19330,.F.); +#19333=CARTESIAN_POINT('',(3.075E1,-1.03E1,-2.85E1)); +#19334=DIRECTION('',(1.E0,0.E0,0.E0)); +#19335=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19336=AXIS2_PLACEMENT_3D('',#19333,#19334,#19335); +#19337=PLANE('',#19336); +#19338=ORIENTED_EDGE('',*,*,#19324,.F.); +#19339=ORIENTED_EDGE('',*,*,#19313,.F.); +#19340=ORIENTED_EDGE('',*,*,#17612,.T.); +#19341=ORIENTED_EDGE('',*,*,#18106,.T.); +#19342=ORIENTED_EDGE('',*,*,#17341,.F.); +#19344=ORIENTED_EDGE('',*,*,#19343,.F.); +#19345=EDGE_LOOP('',(#19338,#19339,#19340,#19341,#19342,#19344)); +#19346=FACE_OUTER_BOUND('',#19345,.F.); +#19348=CARTESIAN_POINT('',(3.321406972174E1,-1.08E1,-2.25E1)); +#19349=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19350=DIRECTION('',(0.E0,0.E0,1.E0)); +#19351=AXIS2_PLACEMENT_3D('',#19348,#19349,#19350); +#19352=CYLINDRICAL_SURFACE('',#19351,5.E-1); +#19353=ORIENTED_EDGE('',*,*,#19343,.T.); +#19354=ORIENTED_EDGE('',*,*,#17339,.F.); +#19356=ORIENTED_EDGE('',*,*,#19355,.T.); +#19357=ORIENTED_EDGE('',*,*,#19326,.T.); +#19358=EDGE_LOOP('',(#19353,#19354,#19356,#19357)); +#19359=FACE_OUTER_BOUND('',#19358,.F.); +#19361=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.25E1)); +#19362=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19363=DIRECTION('',(-6.111970053673E-1,7.914785029488E-1,0.E0)); +#19364=AXIS2_PLACEMENT_3D('',#19361,#19362,#19363); +#19365=TOROIDAL_SURFACE('',#19364,3.5E0,5.E-1); +#19367=ORIENTED_EDGE('',*,*,#19366,.T.); +#19368=ORIENTED_EDGE('',*,*,#19355,.F.); +#19369=ORIENTED_EDGE('',*,*,#17337,.F.); +#19370=ORIENTED_EDGE('',*,*,#19258,.T.); +#19371=EDGE_LOOP('',(#19367,#19368,#19369,#19370)); +#19372=FACE_OUTER_BOUND('',#19371,.F.); +#19374=CARTESIAN_POINT('',(3.32E1,-7.3E0,-2.85E1)); +#19375=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19376=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19377=AXIS2_PLACEMENT_3D('',#19374,#19375,#19376); +#19378=CYLINDRICAL_SURFACE('',#19377,3.E0); +#19379=ORIENTED_EDGE('',*,*,#19298,.T.); +#19380=ORIENTED_EDGE('',*,*,#19328,.T.); +#19381=ORIENTED_EDGE('',*,*,#19366,.F.); +#19382=ORIENTED_EDGE('',*,*,#19271,.T.); +#19383=EDGE_LOOP('',(#19379,#19380,#19381,#19382)); +#19384=FACE_OUTER_BOUND('',#19383,.F.); +#19386=CARTESIAN_POINT('',(-3.680770137161E1,-8.565826436400E0, +-2.200024671982E1)); +#19387=CARTESIAN_POINT('',(-3.681457743533E1,-8.258355844982E0, +-2.199058165128E1)); +#19388=CARTESIAN_POINT('',(-3.681944194697E1,-8.040833970861E0, +-2.220815791192E1)); +#19389=CARTESIAN_POINT('',(-3.681922585796E1,-8.050496623467E0, +-2.251570537954E1)); +#19390=CARTESIAN_POINT('',(-3.660864429769E1,-8.561374859034E0, +-2.200024671982E1)); +#19391=CARTESIAN_POINT('',(-3.648911074130E1,-8.251077328625E0, +-2.199058165128E1)); +#19392=CARTESIAN_POINT('',(-3.640454602542E1,-8.031555519927E0, +-2.220815791192E1)); +#19393=CARTESIAN_POINT('',(-3.640830251876E1,-8.041307012670E0, +-2.251570537954E1)); +#19394=CARTESIAN_POINT('',(-3.643984325818E1,-8.666967208568E0, +-2.200024671982E1)); +#19395=CARTESIAN_POINT('',(-3.621311393699E1,-8.423725264423E0, +-2.199058165128E1)); +#19396=CARTESIAN_POINT('',(-3.605271294827E1,-8.251642323706E0, +-2.220815791192E1)); +#19397=CARTESIAN_POINT('',(-3.605983820424E1,-8.259286509756E0, +-2.251570537954E1)); +#19398=CARTESIAN_POINT('',(-3.635675872867E1,-8.847910559299E0, +-2.200024671982E1)); +#19399=CARTESIAN_POINT('',(-3.607726723426E1,-8.719575258648E0, +-2.199058165128E1)); +#19400=CARTESIAN_POINT('',(-3.587953933650E1,-8.628783697138E0, +-2.220815791192E1)); +#19401=CARTESIAN_POINT('',(-3.588832271056E1,-8.632816796439E0, +-2.251570537954E1)); +#19402=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#19386,#19387,#19388,#19389),( +#19390,#19391,#19392,#19393),(#19394,#19395,#19396,#19397),(#19398,#19399, +#19400,#19401)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4, +4),(0.E0,1.E0),(0.E0,1.E0),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0)))REPRESENTATION_ITEM('')SURFACE()); +#19403=ORIENTED_EDGE('',*,*,#17319,.T.); +#19405=ORIENTED_EDGE('',*,*,#19404,.F.); +#19406=ORIENTED_EDGE('',*,*,#19026,.F.); +#19407=ORIENTED_EDGE('',*,*,#19069,.F.); +#19408=EDGE_LOOP('',(#19403,#19405,#19406,#19407)); +#19409=FACE_OUTER_BOUND('',#19408,.F.); +#19411=CARTESIAN_POINT('',(-3.32E1,-7.3E0,-2.25E1)); +#19412=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19413=DIRECTION('',(4.689890053850E-3,-9.999890024052E-1,0.E0)); +#19414=AXIS2_PLACEMENT_3D('',#19411,#19412,#19413); +#19415=TOROIDAL_SURFACE('',#19414,3.5E0,5.E-1); +#19416=ORIENTED_EDGE('',*,*,#17317,.F.); +#19418=ORIENTED_EDGE('',*,*,#19417,.T.); +#19419=ORIENTED_EDGE('',*,*,#19009,.T.); +#19420=ORIENTED_EDGE('',*,*,#19404,.T.); +#19421=EDGE_LOOP('',(#19416,#19418,#19419,#19420)); +#19422=FACE_OUTER_BOUND('',#19421,.F.); +#19424=CARTESIAN_POINT('',(1.325340305158E2,-1.08E1,-2.25E1)); +#19425=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19426=DIRECTION('',(0.E0,0.E0,1.E0)); +#19427=AXIS2_PLACEMENT_3D('',#19424,#19425,#19426); +#19428=CYLINDRICAL_SURFACE('',#19427,5.E-1); +#19429=ORIENTED_EDGE('',*,*,#18966,.T.); +#19431=ORIENTED_EDGE('',*,*,#19430,.T.); +#19432=ORIENTED_EDGE('',*,*,#19417,.F.); +#19433=ORIENTED_EDGE('',*,*,#17315,.F.); +#19434=EDGE_LOOP('',(#19429,#19431,#19432,#19433)); +#19435=FACE_OUTER_BOUND('',#19434,.F.); +#19437=CARTESIAN_POINT('',(-3.075E1,-1.03E1,-2.85E1)); +#19438=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19439=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19440=AXIS2_PLACEMENT_3D('',#19437,#19438,#19439); +#19441=PLANE('',#19440); +#19442=ORIENTED_EDGE('',*,*,#18981,.T.); +#19443=ORIENTED_EDGE('',*,*,#19011,.T.); +#19444=ORIENTED_EDGE('',*,*,#19430,.F.); +#19445=ORIENTED_EDGE('',*,*,#18964,.F.); +#19446=EDGE_LOOP('',(#19442,#19443,#19444,#19445)); +#19447=FACE_OUTER_BOUND('',#19446,.F.); +#19449=CARTESIAN_POINT('',(3.795E1,5.789556E1,-2.15E1)); +#19450=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19451=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19452=AXIS2_PLACEMENT_3D('',#19449,#19450,#19451); +#19453=CYLINDRICAL_SURFACE('',#19452,5.E-1); +#19454=ORIENTED_EDGE('',*,*,#17369,.T.); +#19455=ORIENTED_EDGE('',*,*,#17824,.T.); +#19456=ORIENTED_EDGE('',*,*,#17811,.F.); +#19458=ORIENTED_EDGE('',*,*,#19457,.T.); +#19459=EDGE_LOOP('',(#19454,#19455,#19456,#19458)); +#19460=FACE_OUTER_BOUND('',#19459,.F.); +#19462=CARTESIAN_POINT('',(3.795E1,9.55E0,-2.15E1)); +#19463=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19464=DIRECTION('',(-7.063372212094E-1,0.E0,7.078755045445E-1)); +#19465=AXIS2_PLACEMENT_3D('',#19462,#19463,#19464); +#19466=TOROIDAL_SURFACE('',#19465,1.E0,5.E-1); +#19468=ORIENTED_EDGE('',*,*,#19467,.T.); +#19470=ORIENTED_EDGE('',*,*,#19469,.F.); +#19471=ORIENTED_EDGE('',*,*,#19457,.F.); +#19472=ORIENTED_EDGE('',*,*,#17809,.F.); +#19473=EDGE_LOOP('',(#19468,#19470,#19471,#19472)); +#19474=FACE_OUTER_BOUND('',#19473,.F.); +#19476=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.85E1)); +#19477=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19478=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19479=AXIS2_PLACEMENT_3D('',#19476,#19477,#19478); +#19480=PLANE('',#19479); +#19482=ORIENTED_EDGE('',*,*,#19481,.F.); +#19483=ORIENTED_EDGE('',*,*,#19467,.F.); +#19484=ORIENTED_EDGE('',*,*,#17807,.F.); +#19485=ORIENTED_EDGE('',*,*,#17519,.F.); +#19487=ORIENTED_EDGE('',*,*,#19486,.F.); +#19489=ORIENTED_EDGE('',*,*,#19488,.F.); +#19490=EDGE_LOOP('',(#19482,#19483,#19484,#19485,#19487,#19489)); +#19491=FACE_OUTER_BOUND('',#19490,.F.); +#19493=CARTESIAN_POINT('',(1.3869684E2,9.55E0,-2.25E1)); +#19494=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19495=DIRECTION('',(0.E0,0.E0,1.E0)); +#19496=AXIS2_PLACEMENT_3D('',#19493,#19494,#19495); +#19497=CYLINDRICAL_SURFACE('',#19496,5.E-1); +#19498=ORIENTED_EDGE('',*,*,#17371,.F.); +#19499=ORIENTED_EDGE('',*,*,#19469,.T.); +#19500=ORIENTED_EDGE('',*,*,#19481,.T.); +#19502=ORIENTED_EDGE('',*,*,#19501,.T.); +#19503=EDGE_LOOP('',(#19498,#19499,#19500,#19502)); +#19504=FACE_OUTER_BOUND('',#19503,.F.); +#19506=CARTESIAN_POINT('',(3.485675872867E1,9.252089440701E0, +-2.200024671982E1)); +#19507=CARTESIAN_POINT('',(3.457726723426E1,9.380424741352E0, +-2.199058165128E1)); +#19508=CARTESIAN_POINT('',(3.437953933650E1,9.471216302862E0, +-2.220815791192E1)); +#19509=CARTESIAN_POINT('',(3.438832271056E1,9.467183203561E0, +-2.251570537954E1)); +#19510=CARTESIAN_POINT('',(3.493984325818E1,9.433032791432E0, +-2.200024671982E1)); +#19511=CARTESIAN_POINT('',(3.471311393699E1,9.676274735577E0, +-2.199058165128E1)); +#19512=CARTESIAN_POINT('',(3.455271294827E1,9.848357676294E0, +-2.220815791192E1)); +#19513=CARTESIAN_POINT('',(3.455983820424E1,9.840713490245E0, +-2.251570537954E1)); +#19514=CARTESIAN_POINT('',(3.510864429769E1,9.538625140966E0, +-2.200024671982E1)); +#19515=CARTESIAN_POINT('',(3.498911074130E1,9.848922671375E0, +-2.199058165128E1)); +#19516=CARTESIAN_POINT('',(3.490454602542E1,1.006844448007E1, +-2.220815791192E1)); +#19517=CARTESIAN_POINT('',(3.490830251876E1,1.005869298733E1, +-2.251570537954E1)); +#19518=CARTESIAN_POINT('',(3.530770137161E1,9.534173563601E0, +-2.200024671982E1)); +#19519=CARTESIAN_POINT('',(3.531457743533E1,9.841644155018E0, +-2.199058165128E1)); +#19520=CARTESIAN_POINT('',(3.531944194697E1,1.005916602914E1, +-2.220815791192E1)); +#19521=CARTESIAN_POINT('',(3.531922585796E1,1.004950337653E1, +-2.251570537954E1)); +#19522=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#19506,#19507,#19508,#19509),( +#19510,#19511,#19512,#19513),(#19514,#19515,#19516,#19517),(#19518,#19519, +#19520,#19521)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4, +4),(0.E0,1.E0),(0.E0,1.E0),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0)))REPRESENTATION_ITEM('')SURFACE()); +#19523=ORIENTED_EDGE('',*,*,#17373,.T.); +#19524=ORIENTED_EDGE('',*,*,#19501,.F.); +#19526=ORIENTED_EDGE('',*,*,#19525,.F.); +#19528=ORIENTED_EDGE('',*,*,#19527,.F.); +#19529=EDGE_LOOP('',(#19523,#19524,#19526,#19528)); +#19530=FACE_OUTER_BOUND('',#19529,.F.); +#19532=CARTESIAN_POINT('',(3.529687364248E1,9.05E0,4.927608E1)); +#19533=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19534=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#19535=AXIS2_PLACEMENT_3D('',#19532,#19533,#19534); +#19536=CYLINDRICAL_SURFACE('',#19535,1.E0); +#19537=ORIENTED_EDGE('',*,*,#19488,.T.); +#19539=ORIENTED_EDGE('',*,*,#19538,.T.); +#19541=ORIENTED_EDGE('',*,*,#19540,.F.); +#19542=ORIENTED_EDGE('',*,*,#19525,.T.); +#19543=EDGE_LOOP('',(#19537,#19539,#19541,#19542)); +#19544=FACE_OUTER_BOUND('',#19543,.F.); +#19546=CARTESIAN_POINT('',(3.529687364248E1,9.05E0,-2.8E1)); +#19547=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19548=DIRECTION('',(-9.055727571006E-1,4.241909730266E-1,0.E0)); +#19549=AXIS2_PLACEMENT_3D('',#19546,#19547,#19548); +#19550=TOROIDAL_SURFACE('',#19549,1.5E0,5.E-1); +#19551=ORIENTED_EDGE('',*,*,#17517,.F.); +#19552=ORIENTED_EDGE('',*,*,#17627,.F.); +#19553=ORIENTED_EDGE('',*,*,#19538,.F.); +#19554=ORIENTED_EDGE('',*,*,#19486,.T.); +#19555=EDGE_LOOP('',(#19551,#19552,#19553,#19554)); +#19556=FACE_OUTER_BOUND('',#19555,.F.); +#19558=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.85E1)); +#19559=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19560=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19561=AXIS2_PLACEMENT_3D('',#19558,#19559,#19560); +#19562=CYLINDRICAL_SURFACE('',#19561,3.E0); +#19563=ORIENTED_EDGE('',*,*,#17625,.F.); +#19565=ORIENTED_EDGE('',*,*,#19564,.F.); +#19567=ORIENTED_EDGE('',*,*,#19566,.F.); +#19568=ORIENTED_EDGE('',*,*,#19540,.T.); +#19569=EDGE_LOOP('',(#19563,#19565,#19567,#19568)); +#19570=FACE_OUTER_BOUND('',#19569,.F.); +#19572=CARTESIAN_POINT('',(3.529687364248E1,1.255E1,4.927608E1)); +#19573=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19574=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19575=AXIS2_PLACEMENT_3D('',#19572,#19573,#19574); +#19576=CYLINDRICAL_SURFACE('',#19575,1.E0); +#19577=ORIENTED_EDGE('',*,*,#19564,.T.); +#19578=ORIENTED_EDGE('',*,*,#17640,.T.); +#19579=ORIENTED_EDGE('',*,*,#17653,.F.); +#19581=ORIENTED_EDGE('',*,*,#19580,.T.); +#19582=EDGE_LOOP('',(#19577,#19578,#19579,#19581)); +#19583=FACE_OUTER_BOUND('',#19582,.F.); +#19585=CARTESIAN_POINT('',(3.530770137161E1,1.206582643640E1, +-2.200024671982E1)); +#19586=CARTESIAN_POINT('',(3.531457743533E1,1.175835584498E1, +-2.199058165128E1)); +#19587=CARTESIAN_POINT('',(3.531944194697E1,1.154083397086E1, +-2.220815791192E1)); +#19588=CARTESIAN_POINT('',(3.531922585796E1,1.155049662347E1, +-2.251570537954E1)); +#19589=CARTESIAN_POINT('',(3.510864429769E1,1.206137485903E1, +-2.200024671982E1)); +#19590=CARTESIAN_POINT('',(3.498911074130E1,1.175107732863E1, +-2.199058165128E1)); +#19591=CARTESIAN_POINT('',(3.490454602542E1,1.153155551993E1, +-2.220815791192E1)); +#19592=CARTESIAN_POINT('',(3.490830251876E1,1.154130701267E1, +-2.251570537954E1)); +#19593=CARTESIAN_POINT('',(3.493984325818E1,1.216696720857E1, +-2.200024671982E1)); +#19594=CARTESIAN_POINT('',(3.471311393699E1,1.192372526442E1, +-2.199058165128E1)); +#19595=CARTESIAN_POINT('',(3.455271294827E1,1.175164232371E1, +-2.220815791192E1)); +#19596=CARTESIAN_POINT('',(3.455983820424E1,1.175928650976E1, +-2.251570537954E1)); +#19597=CARTESIAN_POINT('',(3.485675872867E1,1.234791055930E1, +-2.200024671982E1)); +#19598=CARTESIAN_POINT('',(3.457726723426E1,1.221957525865E1, +-2.199058165128E1)); +#19599=CARTESIAN_POINT('',(3.437953933650E1,1.212878369714E1, +-2.220815791192E1)); +#19600=CARTESIAN_POINT('',(3.438832271056E1,1.213281679644E1, +-2.251570537954E1)); +#19601=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#19585,#19586,#19587,#19588),( +#19589,#19590,#19591,#19592),(#19593,#19594,#19595,#19596),(#19597,#19598, +#19599,#19600)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4, +4),(0.E0,1.E0),(0.E0,1.E0),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0)))REPRESENTATION_ITEM('')SURFACE()); +#19602=ORIENTED_EDGE('',*,*,#17377,.T.); +#19604=ORIENTED_EDGE('',*,*,#19603,.F.); +#19605=ORIENTED_EDGE('',*,*,#19580,.F.); +#19606=ORIENTED_EDGE('',*,*,#17673,.F.); +#19607=EDGE_LOOP('',(#19602,#19604,#19605,#19606)); +#19608=FACE_OUTER_BOUND('',#19607,.F.); +#19610=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.25E1)); +#19611=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19612=DIRECTION('',(9.999988959614E-1,-1.485959642860E-3,0.E0)); +#19613=AXIS2_PLACEMENT_3D('',#19610,#19611,#19612); +#19614=TOROIDAL_SURFACE('',#19613,3.5E0,5.E-1); +#19615=ORIENTED_EDGE('',*,*,#19566,.T.); +#19616=ORIENTED_EDGE('',*,*,#19603,.T.); +#19617=ORIENTED_EDGE('',*,*,#17375,.T.); +#19618=ORIENTED_EDGE('',*,*,#19527,.T.); +#19619=EDGE_LOOP('',(#19615,#19616,#19617,#19618)); +#19620=FACE_OUTER_BOUND('',#19619,.F.); +#19622=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.E1)); +#19623=DIRECTION('',(0.E0,1.E0,0.E0)); +#19624=DIRECTION('',(1.E0,0.E0,0.E0)); +#19625=AXIS2_PLACEMENT_3D('',#19622,#19623,#19624); +#19626=PLANE('',#19625); +#19627=ORIENTED_EDGE('',*,*,#17180,.T.); +#19629=ORIENTED_EDGE('',*,*,#19628,.T.); +#19631=ORIENTED_EDGE('',*,*,#19630,.T.); +#19632=ORIENTED_EDGE('',*,*,#17779,.F.); +#19633=EDGE_LOOP('',(#19627,#19629,#19631,#19632)); +#19634=FACE_OUTER_BOUND('',#19633,.F.); +#19636=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.E1)); +#19637=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19638=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19639=AXIS2_PLACEMENT_3D('',#19636,#19637,#19638); +#19640=CYLINDRICAL_SURFACE('',#19639,5.E0); +#19642=ORIENTED_EDGE('',*,*,#19641,.T.); +#19644=ORIENTED_EDGE('',*,*,#19643,.T.); +#19646=ORIENTED_EDGE('',*,*,#19645,.T.); +#19647=ORIENTED_EDGE('',*,*,#19628,.F.); +#19648=ORIENTED_EDGE('',*,*,#17192,.T.); +#19649=ORIENTED_EDGE('',*,*,#17229,.T.); +#19650=ORIENTED_EDGE('',*,*,#17574,.T.); +#19651=EDGE_LOOP('',(#19642,#19644,#19646,#19647,#19648,#19649,#19650)); +#19652=FACE_OUTER_BOUND('',#19651,.F.); +#19654=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.85E1)); +#19655=DIRECTION('',(0.E0,1.E0,0.E0)); +#19656=DIRECTION('',(1.E0,0.E0,0.E0)); +#19657=AXIS2_PLACEMENT_3D('',#19654,#19655,#19656); +#19658=PLANE('',#19657); +#19660=ORIENTED_EDGE('',*,*,#19659,.T.); +#19662=ORIENTED_EDGE('',*,*,#19661,.F.); +#19663=ORIENTED_EDGE('',*,*,#19641,.F.); +#19664=ORIENTED_EDGE('',*,*,#17572,.T.); +#19666=ORIENTED_EDGE('',*,*,#19665,.F.); +#19668=ORIENTED_EDGE('',*,*,#19667,.F.); +#19669=EDGE_LOOP('',(#19660,#19662,#19663,#19664,#19666,#19668)); +#19670=FACE_OUTER_BOUND('',#19669,.F.); +#19672=CARTESIAN_POINT('',(1.299393097577E2,1.205E1,-2.25E1)); +#19673=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19674=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19675=AXIS2_PLACEMENT_3D('',#19672,#19673,#19674); +#19676=CYLINDRICAL_SURFACE('',#19675,5.E-1); +#19677=ORIENTED_EDGE('',*,*,#17387,.T.); +#19679=ORIENTED_EDGE('',*,*,#19678,.T.); +#19680=ORIENTED_EDGE('',*,*,#19659,.F.); +#19682=ORIENTED_EDGE('',*,*,#19681,.T.); +#19683=EDGE_LOOP('',(#19677,#19679,#19680,#19682)); +#19684=FACE_OUTER_BOUND('',#19683,.F.); +#19686=CARTESIAN_POINT('',(-3.836398487708E1,1.197458905444E1, +-2.149224717988E1)); +#19687=CARTESIAN_POINT('',(-3.836406083590E1,1.197457808041E1, +-2.149692981419E1)); +#19688=CARTESIAN_POINT('',(-3.836409390829E1,1.197457334808E1, +-2.151846527377E1)); +#19689=CARTESIAN_POINT('',(-3.836155785445E1,1.197493978068E1, +-2.155682829974E1)); +#19690=CARTESIAN_POINT('',(-3.835290094334E1,1.197619545986E1, +-2.160677456053E1)); +#19691=CARTESIAN_POINT('',(-3.833899794793E1,1.197822668941E1, +-2.165566992759E1)); +#19692=CARTESIAN_POINT('',(-3.831994395930E1,1.198104008227E1, +-2.170306981320E1)); +#19693=CARTESIAN_POINT('',(-3.829586278772E1,1.198464554624E1, +-2.174852101047E1)); +#19694=CARTESIAN_POINT('',(-3.826696619599E1,1.198904700946E1, +-2.179149349609E1)); +#19695=CARTESIAN_POINT('',(-3.823356646799E1,1.199423903586E1, +-2.183145669493E1)); +#19696=CARTESIAN_POINT('',(-3.819661890920E1,1.200011821720E1, +-2.186738355802E1)); +#19697=CARTESIAN_POINT('',(-3.815728422713E1,1.200653953030E1, +-2.189864691661E1)); +#19698=CARTESIAN_POINT('',(-3.811613992512E1,1.201343836707E1, +-2.192544135240E1)); +#19699=CARTESIAN_POINT('',(-3.807286090696E1,1.202090275460E1, +-2.194828297445E1)); +#19700=CARTESIAN_POINT('',(-3.802707912578E1,1.202904193445E1, +-2.196732405256E1)); +#19701=CARTESIAN_POINT('',(-3.797939582844E1,1.203779662734E1, +-2.198223568092E1)); +#19702=CARTESIAN_POINT('',(-3.792963864056E1,1.204724395510E1, +-2.199300991023E1)); +#19703=CARTESIAN_POINT('',(-3.787953867048E1,1.205709835018E1, +-2.199919113463E1)); +#19704=CARTESIAN_POINT('',(-3.784569965456E1,1.206399646484E1, +-2.200036294737E1)); +#19705=CARTESIAN_POINT('',(-3.782941841340E1,1.206737412999E1, +-2.200024300867E1)); +#19706=CARTESIAN_POINT('',(-3.841038689836E1,1.167040916270E1, +-2.149151149251E1)); +#19707=CARTESIAN_POINT('',(-3.841047666170E1,1.167039915995E1, +-2.149663836499E1)); +#19708=CARTESIAN_POINT('',(-3.841051578877E1,1.167039464340E1, +-2.152021826500E1)); +#19709=CARTESIAN_POINT('',(-3.840751801137E1,1.167072681326E1, +-2.156221395876E1)); +#19710=CARTESIAN_POINT('',(-3.839730129549E1,1.167186567558E1, +-2.161671908224E1)); +#19711=CARTESIAN_POINT('',(-3.838094360471E1,1.167371232314E1, +-2.166975747047E1)); +#19712=CARTESIAN_POINT('',(-3.835862689036E1,1.167627866842E1, +-2.172070668953E1)); +#19713=CARTESIAN_POINT('',(-3.833059180136E1,1.167958218482E1, +-2.176894856322E1)); +#19714=CARTESIAN_POINT('',(-3.829720447165E1,1.168363729892E1, +-2.181380323645E1)); +#19715=CARTESIAN_POINT('',(-3.825896534196E1,1.168845215263E1, +-2.185462189552E1)); +#19716=CARTESIAN_POINT('',(-3.821711530795E1,1.169394542207E1, +-2.189030462776E1)); +#19717=CARTESIAN_POINT('',(-3.817309575385E1,1.169999521337E1, +-2.192026838726E1)); +#19718=CARTESIAN_POINT('',(-3.812764493958E1,1.170655181842E1, +-2.194481582862E1)); +#19719=CARTESIAN_POINT('',(-3.808050695573E1,1.171371183758E1, +-2.196450458249E1)); +#19720=CARTESIAN_POINT('',(-3.803142202194E1,1.172159777312E1, +-2.197948972060E1)); +#19721=CARTESIAN_POINT('',(-3.798118016800E1,1.173017166312E1, +-2.198954380910E1)); +#19722=CARTESIAN_POINT('',(-3.792973735608E1,1.173952929561E1, +-2.199471664147E1)); +#19723=CARTESIAN_POINT('',(-3.787901370631E1,1.174940828598E1, +-2.199484339139E1)); +#19724=CARTESIAN_POINT('',(-3.784551048072E1,1.175641048852E1, +-2.199174584627E1)); +#19725=CARTESIAN_POINT('',(-3.782957445914E1,1.175986019203E1, +-2.198955211334E1)); +#19726=CARTESIAN_POINT('',(-3.866386125253E1,1.149601386030E1, +-2.148749274574E1)); +#19727=CARTESIAN_POINT('',(-3.866399339516E1,1.149600944548E1, +-2.149504682482E1)); +#19728=CARTESIAN_POINT('',(-3.866405101546E1,1.149600719959E1, +-2.152979047343E1)); +#19729=CARTESIAN_POINT('',(-3.865963769167E1,1.149615153060E1, +-2.159166636897E1)); +#19730=CARTESIAN_POINT('',(-3.864460212511E1,1.149664711927E1, +-2.167192963587E1)); +#19731=CARTESIAN_POINT('',(-3.862054597897E1,1.149745618709E1, +-2.174995053718E1)); +#19732=CARTESIAN_POINT('',(-3.858776047014E1,1.149859130770E1, +-2.182477709978E1)); +#19733=CARTESIAN_POINT('',(-3.854663168066E1,1.150007068878E1, +-2.189546714292E1)); +#19734=CARTESIAN_POINT('',(-3.849773793750E1,1.150191418142E1, +-2.196099336882E1)); +#19735=CARTESIAN_POINT('',(-3.844186092413E1,1.150414166092E1, +-2.202038234857E1)); +#19736=CARTESIAN_POINT('',(-3.838086632984E1,1.150673331089E1, +-2.207201975363E1)); +#19737=CARTESIAN_POINT('',(-3.831690118555E1,1.150964812904E1, +-2.211507313435E1)); +#19738=CARTESIAN_POINT('',(-3.825107300458E1,1.151287559065E1, +-2.215001145755E1)); +#19739=CARTESIAN_POINT('',(-3.818305093283E1,1.151647867527E1, +-2.217765443988E1)); +#19740=CARTESIAN_POINT('',(-3.811251538621E1,1.152053995614E1, +-2.219822757646E1)); +#19741=CARTESIAN_POINT('',(-3.804066024549E1,1.152506265723E1, +-2.221142985197E1)); +#19742=CARTESIAN_POINT('',(-3.796747984793E1,1.153012074697E1, +-2.221734966491E1)); +#19743=CARTESIAN_POINT('',(-3.789576179172E1,1.153559581829E1, +-2.221582998076E1)); +#19744=CARTESIAN_POINT('',(-3.784871038493E1,1.153957472777E1, +-2.221014035003E1)); +#19745=CARTESIAN_POINT('',(-3.782640963770E1,1.154155849892E1, +-2.220637808353E1)); +#19746=CARTESIAN_POINT('',(-3.896448909297E1,1.156143043465E1, +-2.148272639667E1)); +#19747=CARTESIAN_POINT('',(-3.896466545225E1,1.156143269893E1, +-2.149315930814E1)); +#19748=CARTESIAN_POINT('',(-3.896474233683E1,1.156143334602E1, +-2.154114272189E1)); +#19749=CARTESIAN_POINT('',(-3.895885272250E1,1.156135477099E1, +-2.162660378672E1)); +#19750=CARTESIAN_POINT('',(-3.893877950793E1,1.156108647532E1, +-2.173757347439E1)); +#19751=CARTESIAN_POINT('',(-3.890663815891E1,1.156065958237E1, +-2.184565472825E1)); +#19752=CARTESIAN_POINT('',(-3.886278262680E1,1.156008227146E1, +-2.194962225165E1)); +#19753=CARTESIAN_POINT('',(-3.880768126635E1,1.155936618997E1, +-2.204825524099E1)); +#19754=CARTESIAN_POINT('',(-3.874204854530E1,1.155852811716E1, +-2.214020054900E1)); +#19755=CARTESIAN_POINT('',(-3.866686303766E1,1.155759041447E1, +-2.222415855706E1)); +#19756=CARTESIAN_POINT('',(-3.858456024284E1,1.155659539062E1, +-2.229788351742E1)); +#19757=CARTESIAN_POINT('',(-3.849797261073E1,1.155558966204E1, +-2.236015740073E1)); +#19758=CARTESIAN_POINT('',(-3.840855244014E1,1.155460144972E1, +-2.241156879774E1)); +#19759=CARTESIAN_POINT('',(-3.831579708393E1,1.155363912997E1, +-2.245325472357E1)); +#19760=CARTESIAN_POINT('',(-3.821919681471E1,1.155271734876E1, +-2.248553428748E1)); +#19761=CARTESIAN_POINT('',(-3.812030963966E1,1.155187439997E1, +-2.250790426238E1)); +#19762=CARTESIAN_POINT('',(-3.801905409858E1,1.155113571283E1, +-2.252044815780E1)); +#19763=CARTESIAN_POINT('',(-3.791921643562E1,1.155055681593E1, +-2.252272882747E1)); +#19764=CARTESIAN_POINT('',(-3.785328052393E1,1.155029246937E1, +-2.251775974936E1)); +#19765=CARTESIAN_POINT('',(-3.782192065835E1,1.155019720502E1, +-2.251392380941E1)); +#19766=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#19686,#19687,#19688,#19689, +#19690,#19691,#19692,#19693,#19694,#19695,#19696,#19697,#19698,#19699,#19700, +#19701,#19702,#19703,#19704,#19705),(#19706,#19707,#19708,#19709,#19710,#19711, +#19712,#19713,#19714,#19715,#19716,#19717,#19718,#19719,#19720,#19721,#19722, +#19723,#19724,#19725),(#19726,#19727,#19728,#19729,#19730,#19731,#19732,#19733, +#19734,#19735,#19736,#19737,#19738,#19739,#19740,#19741,#19742,#19743,#19744, +#19745),(#19746,#19747,#19748,#19749,#19750,#19751,#19752,#19753,#19754,#19755, +#19756,#19757,#19758,#19759,#19760,#19761,#19762,#19763,#19764,#19765)), +.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,4),(0.E0,1.E0),(5.931787008957E-1,6.001949921856E-1, +6.254393678784E-1,6.506961480214E-1,6.759836232423E-1,7.013538866049E-1, +7.268601499913E-1,7.525024127399E-1,7.781858489596E-1,8.038974240762E-1, +8.284668294513E-1,8.519910175075E-1,8.757626897579E-1,8.998204813763E-1, +9.240053640599E-1,9.480410895005E-1,9.731947321709E-1,9.964885207809E-1), +.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE((( +1.187263301701E0,1.187263301701E0,1.187263301701E0,1.187263301701E0, +1.187263301701E0,1.187263301701E0,1.187263301701E0,1.187263301701E0, +1.187263301701E0,1.187263301701E0,1.187263301701E0,1.187263301701E0, +1.187263301701E0,1.187263301701E0,1.187263301701E0,1.187263301701E0, +1.187263301701E0,1.187263301701E0,1.187263301701E0,1.187263301701E0),( +9.375788994331E-1,9.375788994331E-1,9.375788994331E-1,9.375788994331E-1, +9.375788994331E-1,9.375788994331E-1,9.375788994331E-1,9.375788994331E-1, +9.375788994331E-1,9.375788994331E-1,9.375788994331E-1,9.375788994331E-1, +9.375788994331E-1,9.375788994331E-1,9.375788994331E-1,9.375788994331E-1, +9.375788994331E-1,9.375788994331E-1,9.375788994331E-1,9.375788994331E-1),( +9.375788994331E-1,9.375788994331E-1,9.375788994331E-1,9.375788994331E-1, +9.375788994331E-1,9.375788994331E-1,9.375788994331E-1,9.375788994331E-1, +9.375788994331E-1,9.375788994331E-1,9.375788994331E-1,9.375788994331E-1, +9.375788994331E-1,9.375788994331E-1,9.375788994331E-1,9.375788994331E-1, +9.375788994331E-1,9.375788994331E-1,9.375788994331E-1,9.375788994331E-1),( +1.187263301701E0,1.187263301701E0,1.187263301701E0,1.187263301701E0, +1.187263301701E0,1.187263301701E0,1.187263301701E0,1.187263301701E0, +1.187263301701E0,1.187263301701E0,1.187263301701E0,1.187263301701E0, +1.187263301701E0,1.187263301701E0,1.187263301701E0,1.187263301701E0, +1.187263301701E0,1.187263301701E0,1.187263301701E0,1.187263301701E0)))REPRESENTATION_ITEM('')SURFACE()); +#19767=ORIENTED_EDGE('',*,*,#19661,.T.); +#19768=ORIENTED_EDGE('',*,*,#19678,.F.); +#19770=ORIENTED_EDGE('',*,*,#19769,.F.); +#19771=ORIENTED_EDGE('',*,*,#19643,.F.); +#19772=EDGE_LOOP('',(#19767,#19768,#19770,#19771)); +#19773=FACE_OUTER_BOUND('',#19772,.F.); +#19775=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.15E1)); +#19776=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19777=DIRECTION('',(7.060552683733E-1,-7.081567326534E-1,0.E0)); +#19778=AXIS2_PLACEMENT_3D('',#19775,#19776,#19777); +#19779=TOROIDAL_SURFACE('',#19778,4.5E0,5.E-1); +#19780=ORIENTED_EDGE('',*,*,#17385,.T.); +#19782=ORIENTED_EDGE('',*,*,#19781,.T.); +#19783=ORIENTED_EDGE('',*,*,#19645,.F.); +#19784=ORIENTED_EDGE('',*,*,#19769,.T.); +#19785=EDGE_LOOP('',(#19780,#19782,#19783,#19784)); +#19786=FACE_OUTER_BOUND('',#19785,.F.); +#19788=CARTESIAN_POINT('',(-3.346406972174E1,1.555E1,-2.15E1)); +#19789=DIRECTION('',(1.E0,0.E0,0.E0)); +#19790=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19791=AXIS2_PLACEMENT_3D('',#19788,#19789,#19790); +#19792=CYLINDRICAL_SURFACE('',#19791,5.E-1); +#19793=ORIENTED_EDGE('',*,*,#17383,.T.); +#19795=ORIENTED_EDGE('',*,*,#19794,.T.); +#19796=ORIENTED_EDGE('',*,*,#19630,.F.); +#19797=ORIENTED_EDGE('',*,*,#19781,.F.); +#19798=EDGE_LOOP('',(#19793,#19795,#19796,#19797)); +#19799=FACE_OUTER_BOUND('',#19798,.F.); +#19801=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.15E1)); +#19802=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19803=DIRECTION('',(-2.813940634258E-3,9.999960408612E-1,0.E0)); +#19804=AXIS2_PLACEMENT_3D('',#19801,#19802,#19803); +#19805=TOROIDAL_SURFACE('',#19804,4.5E0,5.E-1); +#19806=ORIENTED_EDGE('',*,*,#17781,.F.); +#19807=ORIENTED_EDGE('',*,*,#19794,.F.); +#19808=ORIENTED_EDGE('',*,*,#17381,.T.); +#19809=ORIENTED_EDGE('',*,*,#17762,.T.); +#19810=EDGE_LOOP('',(#19806,#19807,#19808,#19809)); +#19811=FACE_OUTER_BOUND('',#19810,.F.); +#19813=CARTESIAN_POINT('',(-3.529687364248E1,1.255E1,-2.25E1)); +#19814=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19815=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#19816=AXIS2_PLACEMENT_3D('',#19813,#19814,#19815); +#19817=TOROIDAL_SURFACE('',#19816,5.E-1,5.E-1); +#19818=ORIENTED_EDGE('',*,*,#17389,.T.); +#19819=ORIENTED_EDGE('',*,*,#19681,.F.); +#19821=ORIENTED_EDGE('',*,*,#19820,.F.); +#19823=ORIENTED_EDGE('',*,*,#19822,.F.); +#19824=EDGE_LOOP('',(#19818,#19819,#19821,#19823)); +#19825=FACE_OUTER_BOUND('',#19824,.F.); +#19827=CARTESIAN_POINT('',(-3.529687364248E1,1.255E1,4.927608E1)); +#19828=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19829=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#19830=AXIS2_PLACEMENT_3D('',#19827,#19828,#19829); +#19831=CYLINDRICAL_SURFACE('',#19830,1.E0); +#19832=ORIENTED_EDGE('',*,*,#19667,.T.); +#19834=ORIENTED_EDGE('',*,*,#19833,.T.); +#19835=ORIENTED_EDGE('',*,*,#17490,.F.); +#19836=ORIENTED_EDGE('',*,*,#19820,.T.); +#19837=EDGE_LOOP('',(#19832,#19834,#19835,#19836)); +#19838=FACE_OUTER_BOUND('',#19837,.F.); +#19840=CARTESIAN_POINT('',(-3.529687364248E1,1.255E1,-2.8E1)); +#19841=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19842=DIRECTION('',(9.055727571006E-1,-4.241909730266E-1,0.E0)); +#19843=AXIS2_PLACEMENT_3D('',#19840,#19841,#19842); +#19844=TOROIDAL_SURFACE('',#19843,1.5E0,5.E-1); +#19845=ORIENTED_EDGE('',*,*,#17570,.F.); +#19846=ORIENTED_EDGE('',*,*,#17505,.F.); +#19847=ORIENTED_EDGE('',*,*,#19833,.F.); +#19848=ORIENTED_EDGE('',*,*,#19665,.T.); +#19849=EDGE_LOOP('',(#19845,#19846,#19847,#19848)); +#19850=FACE_OUTER_BOUND('',#19849,.F.); +#19852=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.25E1)); +#19853=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19854=DIRECTION('',(-9.999988959614E-1,1.485959642859E-3,0.E0)); +#19855=AXIS2_PLACEMENT_3D('',#19852,#19853,#19854); +#19856=TOROIDAL_SURFACE('',#19855,3.5E0,5.E-1); +#19857=ORIENTED_EDGE('',*,*,#17488,.T.); +#19859=ORIENTED_EDGE('',*,*,#19858,.T.); +#19860=ORIENTED_EDGE('',*,*,#17391,.T.); +#19861=ORIENTED_EDGE('',*,*,#19822,.T.); +#19862=EDGE_LOOP('',(#19857,#19859,#19860,#19861)); +#19863=FACE_OUTER_BOUND('',#19862,.F.); +#19865=CARTESIAN_POINT('',(-3.530770137161E1,9.534173563601E0, +-2.200024671982E1)); +#19866=CARTESIAN_POINT('',(-3.531457743533E1,9.841644155018E0, +-2.199058165128E1)); +#19867=CARTESIAN_POINT('',(-3.531944194697E1,1.005916602914E1, +-2.220815791192E1)); +#19868=CARTESIAN_POINT('',(-3.531922585796E1,1.004950337653E1, +-2.251570537954E1)); +#19869=CARTESIAN_POINT('',(-3.510864429769E1,9.538625140966E0, +-2.200024671982E1)); +#19870=CARTESIAN_POINT('',(-3.498911074130E1,9.848922671375E0, +-2.199058165128E1)); +#19871=CARTESIAN_POINT('',(-3.490454602542E1,1.006844448007E1, +-2.220815791192E1)); +#19872=CARTESIAN_POINT('',(-3.490830251876E1,1.005869298733E1, +-2.251570537954E1)); +#19873=CARTESIAN_POINT('',(-3.493984325818E1,9.433032791432E0, +-2.200024671982E1)); +#19874=CARTESIAN_POINT('',(-3.471311393699E1,9.676274735577E0, +-2.199058165128E1)); +#19875=CARTESIAN_POINT('',(-3.455271294827E1,9.848357676294E0, +-2.220815791192E1)); +#19876=CARTESIAN_POINT('',(-3.455983820424E1,9.840713490244E0, +-2.251570537954E1)); +#19877=CARTESIAN_POINT('',(-3.485675872867E1,9.252089440701E0, +-2.200024671982E1)); +#19878=CARTESIAN_POINT('',(-3.457726723426E1,9.380424741352E0, +-2.199058165128E1)); +#19879=CARTESIAN_POINT('',(-3.437953933650E1,9.471216302862E0, +-2.220815791192E1)); +#19880=CARTESIAN_POINT('',(-3.438832271056E1,9.467183203561E0, +-2.251570537954E1)); +#19881=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#19865,#19866,#19867,#19868),( +#19869,#19870,#19871,#19872),(#19873,#19874,#19875,#19876),(#19877,#19878, +#19879,#19880)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4, +4),(0.E0,1.E0),(0.E0,1.E0),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.151846932036E0,9.096112998777E-1,9.096112998777E-1, +1.151846932036E0),(1.293510133867E0,1.021482457041E0,1.021482457041E0, +1.293510133867E0)))REPRESENTATION_ITEM('')SURFACE()); +#19882=ORIENTED_EDGE('',*,*,#17393,.T.); +#19883=ORIENTED_EDGE('',*,*,#19858,.F.); +#19884=ORIENTED_EDGE('',*,*,#17475,.F.); +#19885=ORIENTED_EDGE('',*,*,#17442,.F.); +#19886=EDGE_LOOP('',(#19882,#19883,#19884,#19885)); +#19887=FACE_OUTER_BOUND('',#19886,.F.); +#19889=CARTESIAN_POINT('',(-3.529687364248E1,9.05E0,-2.8E1)); +#19890=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19891=DIRECTION('',(-5.311211266182E-1,-8.472959039555E-1,0.E0)); +#19892=AXIS2_PLACEMENT_3D('',#19889,#19890,#19891); +#19893=TOROIDAL_SURFACE('',#19892,1.5E0,5.E-1); +#19894=ORIENTED_EDGE('',*,*,#17578,.F.); +#19895=ORIENTED_EDGE('',*,*,#17455,.T.); +#19896=ORIENTED_EDGE('',*,*,#17472,.F.); +#19897=ORIENTED_EDGE('',*,*,#17502,.F.); +#19898=EDGE_LOOP('',(#19894,#19895,#19896,#19897)); +#19899=FACE_OUTER_BOUND('',#19898,.F.); +#19901=CARTESIAN_POINT('',(-3.795E1,9.55E0,-2.15E1)); +#19902=DIRECTION('',(0.E0,1.E0,0.E0)); +#19903=DIRECTION('',(2.949228958124E-2,0.E0,-9.995650078185E-1)); +#19904=AXIS2_PLACEMENT_3D('',#19901,#19902,#19903); +#19905=TOROIDAL_SURFACE('',#19904,1.E0,5.E-1); +#19906=ORIENTED_EDGE('',*,*,#17460,.T.); +#19907=ORIENTED_EDGE('',*,*,#17223,.F.); +#19909=ORIENTED_EDGE('',*,*,#19908,.F.); +#19910=ORIENTED_EDGE('',*,*,#17439,.F.); +#19911=EDGE_LOOP('',(#19906,#19907,#19909,#19910)); +#19912=FACE_OUTER_BOUND('',#19911,.F.); +#19914=CARTESIAN_POINT('',(-3.795E1,-5.789556E1,-2.15E1)); +#19915=DIRECTION('',(0.E0,1.E0,0.E0)); +#19916=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19917=AXIS2_PLACEMENT_3D('',#19914,#19915,#19916); +#19918=CYLINDRICAL_SURFACE('',#19917,5.E-1); +#19919=ORIENTED_EDGE('',*,*,#17221,.F.); +#19921=ORIENTED_EDGE('',*,*,#19920,.T.); +#19922=ORIENTED_EDGE('',*,*,#17286,.T.); +#19923=ORIENTED_EDGE('',*,*,#19908,.T.); +#19924=EDGE_LOOP('',(#19919,#19921,#19922,#19923)); +#19925=FACE_OUTER_BOUND('',#19924,.F.); +#19927=CARTESIAN_POINT('',(-3.795E1,-6.05E0,-2.15E1)); +#19928=DIRECTION('',(0.E0,1.E0,0.E0)); +#19929=DIRECTION('',(7.063372212094E-1,0.E0,7.078755045445E-1)); +#19930=AXIS2_PLACEMENT_3D('',#19927,#19928,#19929); +#19931=TOROIDAL_SURFACE('',#19930,1.E0,5.E-1); +#19932=ORIENTED_EDGE('',*,*,#17252,.T.); +#19933=ORIENTED_EDGE('',*,*,#17271,.F.); +#19934=ORIENTED_EDGE('',*,*,#19920,.F.); +#19935=ORIENTED_EDGE('',*,*,#17219,.F.); +#19936=EDGE_LOOP('',(#19932,#19933,#19934,#19935)); +#19937=FACE_OUTER_BOUND('',#19936,.F.); +#19939=CARTESIAN_POINT('',(-2.47E1,0.E0,0.E0)); +#19940=DIRECTION('',(1.E0,0.E0,0.E0)); +#19941=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19942=AXIS2_PLACEMENT_3D('',#19939,#19940,#19941); +#19943=PLANE('',#19942); +#19945=ORIENTED_EDGE('',*,*,#19944,.T.); +#19947=ORIENTED_EDGE('',*,*,#19946,.T.); +#19949=ORIENTED_EDGE('',*,*,#19948,.F.); +#19950=ORIENTED_EDGE('',*,*,#17421,.F.); +#19951=EDGE_LOOP('',(#19945,#19947,#19949,#19950)); +#19952=FACE_OUTER_BOUND('',#19951,.F.); +#19954=CARTESIAN_POINT('',(-2.47E1,0.E0,0.E0)); +#19955=DIRECTION('',(1.E0,0.E0,0.E0)); +#19956=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19957=AXIS2_PLACEMENT_3D('',#19954,#19955,#19956); +#19958=PLANE('',#19957); +#19960=ORIENTED_EDGE('',*,*,#19959,.F.); +#19961=ORIENTED_EDGE('',*,*,#17397,.F.); +#19963=ORIENTED_EDGE('',*,*,#19962,.F.); +#19965=ORIENTED_EDGE('',*,*,#19964,.T.); +#19967=ORIENTED_EDGE('',*,*,#19966,.T.); +#19968=EDGE_LOOP('',(#19960,#19961,#19963,#19965,#19967)); +#19969=FACE_OUTER_BOUND('',#19968,.F.); +#19971=CARTESIAN_POINT('',(-2.47E1,-5.093154304743E0,-3.78E1)); +#19972=DIRECTION('',(8.534766064211E-1,5.211311565163E-1,0.E0)); +#19973=DIRECTION('',(5.211311565163E-1,-8.534766064211E-1,0.E0)); +#19974=AXIS2_PLACEMENT_3D('',#19971,#19972,#19973); +#19975=PLANE('',#19974); +#19976=ORIENTED_EDGE('',*,*,#19944,.F.); +#19977=ORIENTED_EDGE('',*,*,#17419,.T.); +#19979=ORIENTED_EDGE('',*,*,#19978,.T.); +#19981=ORIENTED_EDGE('',*,*,#19980,.T.); +#19983=ORIENTED_EDGE('',*,*,#19982,.T.); +#19985=ORIENTED_EDGE('',*,*,#19984,.T.); +#19986=EDGE_LOOP('',(#19976,#19977,#19979,#19981,#19983,#19985)); +#19987=FACE_OUTER_BOUND('',#19986,.F.); +#19989=CARTESIAN_POINT('',(-2.47E1,-9.944486372867E0,-2.2E1)); +#19990=DIRECTION('',(0.E0,-8.660254037844E-1,-5.E-1)); +#19991=DIRECTION('',(0.E0,5.E-1,-8.660254037844E-1)); +#19992=AXIS2_PLACEMENT_3D('',#19989,#19990,#19991); +#19993=PLANE('',#19992); +#19995=ORIENTED_EDGE('',*,*,#19994,.T.); +#19997=ORIENTED_EDGE('',*,*,#19996,.F.); +#19998=ORIENTED_EDGE('',*,*,#19978,.F.); +#19999=ORIENTED_EDGE('',*,*,#17417,.T.); +#20000=EDGE_LOOP('',(#19995,#19997,#19998,#19999)); +#20001=FACE_OUTER_BOUND('',#20000,.F.); +#20003=CARTESIAN_POINT('',(2.173778607136E1,-9.944486372867E0,-3.78E1)); +#20004=DIRECTION('',(-8.534766064211E-1,5.211311565163E-1,0.E0)); +#20005=DIRECTION('',(5.211311565163E-1,8.534766064211E-1,0.E0)); +#20006=AXIS2_PLACEMENT_3D('',#20003,#20004,#20005); +#20007=PLANE('',#20006); +#20009=ORIENTED_EDGE('',*,*,#20008,.T.); +#20011=ORIENTED_EDGE('',*,*,#20010,.F.); +#20013=ORIENTED_EDGE('',*,*,#20012,.F.); +#20015=ORIENTED_EDGE('',*,*,#20014,.F.); +#20016=ORIENTED_EDGE('',*,*,#19994,.F.); +#20017=ORIENTED_EDGE('',*,*,#17415,.T.); +#20018=EDGE_LOOP('',(#20009,#20011,#20013,#20015,#20016,#20017)); +#20019=FACE_OUTER_BOUND('',#20018,.F.); +#20021=CARTESIAN_POINT('',(2.47E1,0.E0,0.E0)); +#20022=DIRECTION('',(1.E0,0.E0,0.E0)); +#20023=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20024=AXIS2_PLACEMENT_3D('',#20021,#20022,#20023); +#20025=PLANE('',#20024); +#20026=ORIENTED_EDGE('',*,*,#20008,.F.); +#20027=ORIENTED_EDGE('',*,*,#17413,.T.); +#20029=ORIENTED_EDGE('',*,*,#20028,.T.); +#20031=ORIENTED_EDGE('',*,*,#20030,.F.); +#20032=EDGE_LOOP('',(#20026,#20027,#20029,#20031)); +#20033=FACE_OUTER_BOUND('',#20032,.F.); +#20035=CARTESIAN_POINT('',(2.47E1,0.E0,0.E0)); +#20036=DIRECTION('',(1.E0,0.E0,0.E0)); +#20037=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20038=AXIS2_PLACEMENT_3D('',#20035,#20036,#20037); +#20039=PLANE('',#20038); +#20041=ORIENTED_EDGE('',*,*,#20040,.F.); +#20043=ORIENTED_EDGE('',*,*,#20042,.F.); +#20045=ORIENTED_EDGE('',*,*,#20044,.F.); +#20047=ORIENTED_EDGE('',*,*,#20046,.F.); +#20048=ORIENTED_EDGE('',*,*,#17405,.T.); +#20049=EDGE_LOOP('',(#20041,#20043,#20045,#20047,#20048)); +#20050=FACE_OUTER_BOUND('',#20049,.F.); +#20052=CARTESIAN_POINT('',(2.47E1,-3.25E0,-2.2E1)); +#20053=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20054=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20055=AXIS2_PLACEMENT_3D('',#20052,#20053,#20054); +#20056=PLANE('',#20055); +#20057=ORIENTED_EDGE('',*,*,#20028,.F.); +#20058=ORIENTED_EDGE('',*,*,#17411,.T.); +#20060=ORIENTED_EDGE('',*,*,#20059,.T.); +#20062=ORIENTED_EDGE('',*,*,#20061,.F.); +#20063=EDGE_LOOP('',(#20057,#20058,#20060,#20062)); +#20064=FACE_OUTER_BOUND('',#20063,.F.); +#20066=CARTESIAN_POINT('',(2.33E1,0.E0,0.E0)); +#20067=DIRECTION('',(1.E0,0.E0,0.E0)); +#20068=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20069=AXIS2_PLACEMENT_3D('',#20066,#20067,#20068); +#20070=PLANE('',#20069); +#20072=ORIENTED_EDGE('',*,*,#20071,.F.); +#20073=ORIENTED_EDGE('',*,*,#20059,.F.); +#20074=ORIENTED_EDGE('',*,*,#17409,.F.); +#20076=ORIENTED_EDGE('',*,*,#20075,.F.); +#20078=ORIENTED_EDGE('',*,*,#20077,.F.); +#20080=ORIENTED_EDGE('',*,*,#20079,.F.); +#20081=EDGE_LOOP('',(#20072,#20073,#20074,#20076,#20078,#20080)); +#20082=FACE_OUTER_BOUND('',#20081,.F.); +#20084=CARTESIAN_POINT('',(-2.47E1,-3.25E0,-3.11E1)); +#20085=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20086=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20087=AXIS2_PLACEMENT_3D('',#20084,#20085,#20086); +#20088=PLANE('',#20087); +#20089=ORIENTED_EDGE('',*,*,#20071,.T.); +#20091=ORIENTED_EDGE('',*,*,#20090,.F.); +#20093=ORIENTED_EDGE('',*,*,#20092,.F.); +#20095=ORIENTED_EDGE('',*,*,#20094,.T.); +#20096=EDGE_LOOP('',(#20089,#20091,#20093,#20095)); +#20097=FACE_OUTER_BOUND('',#20096,.F.); +#20099=ORIENTED_EDGE('',*,*,#20098,.T.); +#20101=ORIENTED_EDGE('',*,*,#20100,.T.); +#20102=EDGE_LOOP('',(#20099,#20101)); +#20103=FACE_BOUND('',#20102,.F.); +#20105=ORIENTED_EDGE('',*,*,#20104,.T.); +#20107=ORIENTED_EDGE('',*,*,#20106,.T.); +#20108=EDGE_LOOP('',(#20105,#20107)); +#20109=FACE_BOUND('',#20108,.F.); +#20111=ORIENTED_EDGE('',*,*,#20110,.T.); +#20113=ORIENTED_EDGE('',*,*,#20112,.T.); +#20114=EDGE_LOOP('',(#20111,#20113)); +#20115=FACE_BOUND('',#20114,.F.); +#20117=ORIENTED_EDGE('',*,*,#20116,.T.); +#20119=ORIENTED_EDGE('',*,*,#20118,.T.); +#20120=EDGE_LOOP('',(#20117,#20119)); +#20121=FACE_BOUND('',#20120,.F.); +#20123=ORIENTED_EDGE('',*,*,#20122,.T.); +#20125=ORIENTED_EDGE('',*,*,#20124,.T.); +#20126=EDGE_LOOP('',(#20123,#20125)); +#20127=FACE_BOUND('',#20126,.F.); +#20129=ORIENTED_EDGE('',*,*,#20128,.T.); +#20131=ORIENTED_EDGE('',*,*,#20130,.T.); +#20132=EDGE_LOOP('',(#20129,#20131)); +#20133=FACE_BOUND('',#20132,.F.); +#20135=ORIENTED_EDGE('',*,*,#20134,.T.); +#20137=ORIENTED_EDGE('',*,*,#20136,.T.); +#20138=EDGE_LOOP('',(#20135,#20137)); +#20139=FACE_BOUND('',#20138,.F.); +#20141=ORIENTED_EDGE('',*,*,#20140,.T.); +#20143=ORIENTED_EDGE('',*,*,#20142,.T.); +#20144=EDGE_LOOP('',(#20141,#20143)); +#20145=FACE_BOUND('',#20144,.F.); +#20147=ORIENTED_EDGE('',*,*,#20146,.T.); +#20149=ORIENTED_EDGE('',*,*,#20148,.T.); +#20150=EDGE_LOOP('',(#20147,#20149)); +#20151=FACE_BOUND('',#20150,.F.); +#20153=ORIENTED_EDGE('',*,*,#20152,.T.); +#20155=ORIENTED_EDGE('',*,*,#20154,.T.); +#20156=EDGE_LOOP('',(#20153,#20155)); +#20157=FACE_BOUND('',#20156,.F.); +#20159=ORIENTED_EDGE('',*,*,#20158,.T.); +#20161=ORIENTED_EDGE('',*,*,#20160,.T.); +#20162=EDGE_LOOP('',(#20159,#20161)); +#20163=FACE_BOUND('',#20162,.F.); +#20165=CARTESIAN_POINT('',(-2.47E1,-3.25E0,-3.25E1)); +#20166=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20167=DIRECTION('',(0.E0,1.E0,0.E0)); +#20168=AXIS2_PLACEMENT_3D('',#20165,#20166,#20167); +#20169=PLANE('',#20168); +#20170=ORIENTED_EDGE('',*,*,#20079,.T.); +#20172=ORIENTED_EDGE('',*,*,#20171,.F.); +#20174=ORIENTED_EDGE('',*,*,#20173,.F.); +#20175=ORIENTED_EDGE('',*,*,#20090,.T.); +#20176=EDGE_LOOP('',(#20170,#20172,#20174,#20175)); +#20177=FACE_OUTER_BOUND('',#20176,.F.); +#20179=CARTESIAN_POINT('',(-2.47E1,-2.65E0,-3.25E1)); +#20180=DIRECTION('',(0.E0,-6.074501075708E-1,-7.943578329772E-1)); +#20181=DIRECTION('',(0.E0,7.943578329772E-1,-6.074501075708E-1)); +#20182=AXIS2_PLACEMENT_3D('',#20179,#20180,#20181); +#20183=PLANE('',#20182); +#20184=ORIENTED_EDGE('',*,*,#20077,.T.); +#20186=ORIENTED_EDGE('',*,*,#20185,.F.); +#20188=ORIENTED_EDGE('',*,*,#20187,.F.); +#20189=ORIENTED_EDGE('',*,*,#20171,.T.); +#20190=EDGE_LOOP('',(#20184,#20186,#20188,#20189)); +#20191=FACE_OUTER_BOUND('',#20190,.F.); +#20193=CARTESIAN_POINT('',(-2.47E1,7.5E-1,-3.51E1)); +#20194=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20195=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20196=AXIS2_PLACEMENT_3D('',#20193,#20194,#20195); +#20197=PLANE('',#20196); +#20198=ORIENTED_EDGE('',*,*,#20185,.T.); +#20199=ORIENTED_EDGE('',*,*,#20075,.T.); +#20200=ORIENTED_EDGE('',*,*,#17407,.F.); +#20201=ORIENTED_EDGE('',*,*,#20046,.T.); +#20203=ORIENTED_EDGE('',*,*,#20202,.F.); +#20204=ORIENTED_EDGE('',*,*,#19962,.T.); +#20205=ORIENTED_EDGE('',*,*,#17427,.T.); +#20207=ORIENTED_EDGE('',*,*,#20206,.T.); +#20208=EDGE_LOOP('',(#20198,#20199,#20200,#20201,#20203,#20204,#20205,#20207)); +#20209=FACE_OUTER_BOUND('',#20208,.F.); +#20211=ORIENTED_EDGE('',*,*,#20210,.T.); +#20213=ORIENTED_EDGE('',*,*,#20212,.T.); +#20214=EDGE_LOOP('',(#20211,#20213)); +#20215=FACE_BOUND('',#20214,.F.); +#20217=ORIENTED_EDGE('',*,*,#20216,.T.); +#20219=ORIENTED_EDGE('',*,*,#20218,.T.); +#20220=EDGE_LOOP('',(#20217,#20219)); +#20221=FACE_BOUND('',#20220,.F.); +#20223=ORIENTED_EDGE('',*,*,#20222,.T.); +#20225=ORIENTED_EDGE('',*,*,#20224,.T.); +#20226=EDGE_LOOP('',(#20223,#20225)); +#20227=FACE_BOUND('',#20226,.F.); +#20229=ORIENTED_EDGE('',*,*,#20228,.T.); +#20231=ORIENTED_EDGE('',*,*,#20230,.T.); +#20232=EDGE_LOOP('',(#20229,#20231)); +#20233=FACE_BOUND('',#20232,.F.); +#20235=ORIENTED_EDGE('',*,*,#20234,.T.); +#20237=ORIENTED_EDGE('',*,*,#20236,.T.); +#20238=EDGE_LOOP('',(#20235,#20237)); +#20239=FACE_BOUND('',#20238,.F.); +#20241=ORIENTED_EDGE('',*,*,#20240,.T.); +#20243=ORIENTED_EDGE('',*,*,#20242,.T.); +#20244=EDGE_LOOP('',(#20241,#20243)); +#20245=FACE_BOUND('',#20244,.F.); +#20247=ORIENTED_EDGE('',*,*,#20246,.T.); +#20249=ORIENTED_EDGE('',*,*,#20248,.T.); +#20250=EDGE_LOOP('',(#20247,#20249)); +#20251=FACE_BOUND('',#20250,.F.); +#20253=ORIENTED_EDGE('',*,*,#20252,.T.); +#20255=ORIENTED_EDGE('',*,*,#20254,.T.); +#20256=EDGE_LOOP('',(#20253,#20255)); +#20257=FACE_BOUND('',#20256,.F.); +#20259=ORIENTED_EDGE('',*,*,#20258,.T.); +#20261=ORIENTED_EDGE('',*,*,#20260,.T.); +#20262=EDGE_LOOP('',(#20259,#20261)); +#20263=FACE_BOUND('',#20262,.F.); +#20265=ORIENTED_EDGE('',*,*,#20264,.T.); +#20267=ORIENTED_EDGE('',*,*,#20266,.T.); +#20268=EDGE_LOOP('',(#20265,#20267)); +#20269=FACE_BOUND('',#20268,.F.); +#20271=ORIENTED_EDGE('',*,*,#20270,.T.); +#20273=ORIENTED_EDGE('',*,*,#20272,.T.); +#20274=EDGE_LOOP('',(#20271,#20273)); +#20275=FACE_BOUND('',#20274,.F.); +#20277=ORIENTED_EDGE('',*,*,#20276,.T.); +#20279=ORIENTED_EDGE('',*,*,#20278,.T.); +#20280=EDGE_LOOP('',(#20277,#20279)); +#20281=FACE_BOUND('',#20280,.F.); +#20283=CARTESIAN_POINT('',(-2.47E1,7.5E-1,-3.32E1)); +#20284=DIRECTION('',(1.E0,0.E0,0.E0)); +#20285=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20286=AXIS2_PLACEMENT_3D('',#20283,#20284,#20285); +#20287=CYLINDRICAL_SURFACE('',#20286,3.3E0); +#20289=ORIENTED_EDGE('',*,*,#20288,.T.); +#20291=ORIENTED_EDGE('',*,*,#20290,.F.); +#20293=ORIENTED_EDGE('',*,*,#20292,.T.); +#20295=ORIENTED_EDGE('',*,*,#20294,.F.); +#20297=ORIENTED_EDGE('',*,*,#20296,.T.); +#20299=ORIENTED_EDGE('',*,*,#20298,.F.); +#20301=ORIENTED_EDGE('',*,*,#20300,.T.); +#20303=ORIENTED_EDGE('',*,*,#20302,.F.); +#20305=ORIENTED_EDGE('',*,*,#20304,.T.); +#20307=ORIENTED_EDGE('',*,*,#20306,.F.); +#20309=ORIENTED_EDGE('',*,*,#20308,.T.); +#20311=ORIENTED_EDGE('',*,*,#20310,.F.); +#20313=ORIENTED_EDGE('',*,*,#20312,.T.); +#20315=ORIENTED_EDGE('',*,*,#20314,.F.); +#20317=ORIENTED_EDGE('',*,*,#20316,.T.); +#20319=ORIENTED_EDGE('',*,*,#20318,.F.); +#20321=ORIENTED_EDGE('',*,*,#20320,.T.); +#20323=ORIENTED_EDGE('',*,*,#20322,.F.); +#20325=ORIENTED_EDGE('',*,*,#20324,.T.); +#20327=ORIENTED_EDGE('',*,*,#20326,.F.); +#20329=ORIENTED_EDGE('',*,*,#20328,.T.); +#20331=ORIENTED_EDGE('',*,*,#20330,.F.); +#20333=ORIENTED_EDGE('',*,*,#20332,.T.); +#20335=ORIENTED_EDGE('',*,*,#20334,.F.); +#20337=ORIENTED_EDGE('',*,*,#20336,.T.); +#20339=ORIENTED_EDGE('',*,*,#20338,.F.); +#20341=ORIENTED_EDGE('',*,*,#20340,.T.); +#20343=ORIENTED_EDGE('',*,*,#20342,.F.); +#20345=ORIENTED_EDGE('',*,*,#20344,.T.); +#20347=ORIENTED_EDGE('',*,*,#20346,.F.); +#20349=ORIENTED_EDGE('',*,*,#20348,.T.); +#20351=ORIENTED_EDGE('',*,*,#20350,.F.); +#20353=ORIENTED_EDGE('',*,*,#20352,.T.); +#20355=ORIENTED_EDGE('',*,*,#20354,.F.); +#20357=ORIENTED_EDGE('',*,*,#20356,.T.); +#20359=ORIENTED_EDGE('',*,*,#20358,.F.); +#20361=ORIENTED_EDGE('',*,*,#20360,.T.); +#20363=ORIENTED_EDGE('',*,*,#20362,.F.); +#20365=ORIENTED_EDGE('',*,*,#20364,.T.); +#20367=ORIENTED_EDGE('',*,*,#20366,.F.); +#20369=ORIENTED_EDGE('',*,*,#20368,.T.); +#20371=ORIENTED_EDGE('',*,*,#20370,.F.); +#20373=ORIENTED_EDGE('',*,*,#20372,.T.); +#20375=ORIENTED_EDGE('',*,*,#20374,.F.); +#20377=ORIENTED_EDGE('',*,*,#20376,.T.); +#20379=ORIENTED_EDGE('',*,*,#20378,.F.); +#20381=ORIENTED_EDGE('',*,*,#20380,.T.); +#20383=ORIENTED_EDGE('',*,*,#20382,.F.); +#20384=ORIENTED_EDGE('',*,*,#19964,.F.); +#20385=ORIENTED_EDGE('',*,*,#20202,.T.); +#20386=ORIENTED_EDGE('',*,*,#20044,.T.); +#20388=ORIENTED_EDGE('',*,*,#20387,.F.); +#20389=EDGE_LOOP('',(#20289,#20291,#20293,#20295,#20297,#20299,#20301,#20303, +#20305,#20307,#20309,#20311,#20313,#20315,#20317,#20319,#20321,#20323,#20325, +#20327,#20329,#20331,#20333,#20335,#20337,#20339,#20341,#20343,#20345,#20347, +#20349,#20351,#20353,#20355,#20357,#20359,#20361,#20363,#20365,#20367,#20369, +#20371,#20373,#20375,#20377,#20379,#20381,#20383,#20384,#20385,#20386,#20388)); +#20390=FACE_OUTER_BOUND('',#20389,.F.); +#20392=CARTESIAN_POINT('',(2.2E1,1.35E0,-3.315E1)); +#20393=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20394=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20395=AXIS2_PLACEMENT_3D('',#20392,#20393,#20394); +#20396=TOROIDAL_SURFACE('',#20395,2.65E0,6.5E-1); +#20398=ORIENTED_EDGE('',*,*,#20397,.T.); +#20400=ORIENTED_EDGE('',*,*,#20399,.F.); +#20401=ORIENTED_EDGE('',*,*,#20292,.F.); +#20403=ORIENTED_EDGE('',*,*,#20402,.F.); +#20404=ORIENTED_EDGE('',*,*,#20288,.F.); +#20406=ORIENTED_EDGE('',*,*,#20405,.F.); +#20407=EDGE_LOOP('',(#20398,#20400,#20401,#20403,#20404,#20406)); +#20408=FACE_OUTER_BOUND('',#20407,.F.); +#20410=CARTESIAN_POINT('',(2.2E1,4.E0,-1.2475E1)); +#20411=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20412=DIRECTION('',(0.E0,1.E0,0.E0)); +#20413=AXIS2_PLACEMENT_3D('',#20410,#20411,#20412); +#20414=CYLINDRICAL_SURFACE('',#20413,6.5E-1); +#20416=ORIENTED_EDGE('',*,*,#20415,.T.); +#20417=ORIENTED_EDGE('',*,*,#20397,.F.); +#20418=EDGE_LOOP('',(#20416,#20417)); +#20419=FACE_OUTER_BOUND('',#20418,.F.); +#20421=CARTESIAN_POINT('',(2.2E1,4.E0,-1.2475E1)); +#20422=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20423=DIRECTION('',(0.E0,1.E0,0.E0)); +#20424=AXIS2_PLACEMENT_3D('',#20421,#20422,#20423); +#20425=CYLINDRICAL_SURFACE('',#20424,6.5E-1); +#20426=ORIENTED_EDGE('',*,*,#13890,.T.); +#20428=ORIENTED_EDGE('',*,*,#20427,.F.); +#20430=ORIENTED_EDGE('',*,*,#20429,.F.); +#20432=ORIENTED_EDGE('',*,*,#20431,.T.); +#20433=EDGE_LOOP('',(#20426,#20428,#20430,#20432)); +#20434=FACE_OUTER_BOUND('',#20433,.F.); +#20436=CARTESIAN_POINT('',(-2.47E1,3.876763209470E0,-3.425515488527E1)); +#20437=DIRECTION('',(0.E0,9.475040028698E-1,-3.197439046264E-1)); +#20438=DIRECTION('',(0.E0,3.197439046264E-1,9.475040028698E-1)); +#20439=AXIS2_PLACEMENT_3D('',#20436,#20437,#20438); +#20440=PLANE('',#20439); +#20441=ORIENTED_EDGE('',*,*,#20415,.F.); +#20442=ORIENTED_EDGE('',*,*,#20405,.T.); +#20443=ORIENTED_EDGE('',*,*,#20387,.T.); +#20444=ORIENTED_EDGE('',*,*,#20042,.T.); +#20446=ORIENTED_EDGE('',*,*,#20445,.F.); +#20448=ORIENTED_EDGE('',*,*,#20447,.F.); +#20450=ORIENTED_EDGE('',*,*,#20449,.F.); +#20451=ORIENTED_EDGE('',*,*,#19966,.F.); +#20452=ORIENTED_EDGE('',*,*,#20382,.T.); +#20454=ORIENTED_EDGE('',*,*,#20453,.T.); +#20456=ORIENTED_EDGE('',*,*,#20455,.F.); +#20458=ORIENTED_EDGE('',*,*,#20457,.T.); +#20459=ORIENTED_EDGE('',*,*,#20374,.T.); +#20461=ORIENTED_EDGE('',*,*,#20460,.T.); +#20463=ORIENTED_EDGE('',*,*,#20462,.F.); +#20465=ORIENTED_EDGE('',*,*,#20464,.T.); +#20466=ORIENTED_EDGE('',*,*,#20366,.T.); +#20468=ORIENTED_EDGE('',*,*,#20467,.T.); +#20470=ORIENTED_EDGE('',*,*,#20469,.F.); +#20472=ORIENTED_EDGE('',*,*,#20471,.T.); +#20473=ORIENTED_EDGE('',*,*,#20358,.T.); +#20475=ORIENTED_EDGE('',*,*,#20474,.T.); +#20477=ORIENTED_EDGE('',*,*,#20476,.F.); +#20479=ORIENTED_EDGE('',*,*,#20478,.T.); +#20480=ORIENTED_EDGE('',*,*,#20350,.T.); +#20482=ORIENTED_EDGE('',*,*,#20481,.T.); +#20484=ORIENTED_EDGE('',*,*,#20483,.F.); +#20486=ORIENTED_EDGE('',*,*,#20485,.T.); +#20487=ORIENTED_EDGE('',*,*,#20342,.T.); +#20489=ORIENTED_EDGE('',*,*,#20488,.T.); +#20491=ORIENTED_EDGE('',*,*,#20490,.F.); +#20493=ORIENTED_EDGE('',*,*,#20492,.T.); +#20494=ORIENTED_EDGE('',*,*,#20334,.T.); +#20496=ORIENTED_EDGE('',*,*,#20495,.T.); +#20498=ORIENTED_EDGE('',*,*,#20497,.F.); +#20500=ORIENTED_EDGE('',*,*,#20499,.T.); +#20501=ORIENTED_EDGE('',*,*,#20326,.T.); +#20503=ORIENTED_EDGE('',*,*,#20502,.T.); +#20505=ORIENTED_EDGE('',*,*,#20504,.F.); +#20507=ORIENTED_EDGE('',*,*,#20506,.T.); +#20508=ORIENTED_EDGE('',*,*,#20318,.T.); +#20510=ORIENTED_EDGE('',*,*,#20509,.T.); +#20512=ORIENTED_EDGE('',*,*,#20511,.F.); +#20514=ORIENTED_EDGE('',*,*,#20513,.T.); +#20515=ORIENTED_EDGE('',*,*,#20310,.T.); +#20517=ORIENTED_EDGE('',*,*,#20516,.T.); +#20519=ORIENTED_EDGE('',*,*,#20518,.F.); +#20521=ORIENTED_EDGE('',*,*,#20520,.T.); +#20522=ORIENTED_EDGE('',*,*,#20302,.T.); +#20524=ORIENTED_EDGE('',*,*,#20523,.T.); +#20526=ORIENTED_EDGE('',*,*,#20525,.F.); +#20528=ORIENTED_EDGE('',*,*,#20527,.T.); +#20529=ORIENTED_EDGE('',*,*,#20294,.T.); +#20530=ORIENTED_EDGE('',*,*,#20399,.T.); +#20531=EDGE_LOOP('',(#20441,#20442,#20443,#20444,#20446,#20448,#20450,#20451, +#20452,#20454,#20456,#20458,#20459,#20461,#20463,#20465,#20466,#20468,#20470, +#20472,#20473,#20475,#20477,#20479,#20480,#20482,#20484,#20486,#20487,#20489, +#20491,#20493,#20494,#20496,#20498,#20500,#20501,#20503,#20505,#20507,#20508, +#20510,#20512,#20514,#20515,#20517,#20519,#20521,#20522,#20524,#20526,#20528, +#20529,#20530)); +#20532=FACE_OUTER_BOUND('',#20531,.F.); +#20534=CARTESIAN_POINT('',(2.32E1,5.5E0,-8.707608E1)); +#20535=DIRECTION('',(0.E0,0.E0,1.E0)); +#20536=DIRECTION('',(1.E0,0.E0,0.E0)); +#20537=AXIS2_PLACEMENT_3D('',#20534,#20535,#20536); +#20538=CYLINDRICAL_SURFACE('',#20537,1.5E0); +#20540=ORIENTED_EDGE('',*,*,#20539,.F.); +#20541=ORIENTED_EDGE('',*,*,#20445,.T.); +#20542=ORIENTED_EDGE('',*,*,#20040,.T.); +#20543=ORIENTED_EDGE('',*,*,#17403,.T.); +#20544=EDGE_LOOP('',(#20540,#20541,#20542,#20543)); +#20545=FACE_OUTER_BOUND('',#20544,.F.); +#20547=CARTESIAN_POINT('',(-2.47E1,7.E0,-2.5E1)); +#20548=DIRECTION('',(0.E0,1.E0,0.E0)); +#20549=DIRECTION('',(0.E0,0.E0,1.E0)); +#20550=AXIS2_PLACEMENT_3D('',#20547,#20548,#20549); +#20551=PLANE('',#20550); +#20552=ORIENTED_EDGE('',*,*,#20539,.T.); +#20553=ORIENTED_EDGE('',*,*,#17401,.F.); +#20555=ORIENTED_EDGE('',*,*,#20554,.T.); +#20556=ORIENTED_EDGE('',*,*,#20447,.T.); +#20557=EDGE_LOOP('',(#20552,#20553,#20555,#20556)); +#20558=FACE_OUTER_BOUND('',#20557,.F.); +#20560=CARTESIAN_POINT('',(-2.32E1,5.5E0,4.927608E1)); +#20561=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20562=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20563=AXIS2_PLACEMENT_3D('',#20560,#20561,#20562); +#20564=CYLINDRICAL_SURFACE('',#20563,1.5E0); +#20565=ORIENTED_EDGE('',*,*,#20449,.T.); +#20566=ORIENTED_EDGE('',*,*,#20554,.F.); +#20567=ORIENTED_EDGE('',*,*,#17399,.T.); +#20568=ORIENTED_EDGE('',*,*,#19959,.T.); +#20569=EDGE_LOOP('',(#20565,#20566,#20567,#20568)); +#20570=FACE_OUTER_BOUND('',#20569,.F.); +#20572=CARTESIAN_POINT('',(-2.2E1,1.35E0,-3.315E1)); +#20573=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20574=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20575=AXIS2_PLACEMENT_3D('',#20572,#20573,#20574); +#20576=TOROIDAL_SURFACE('',#20575,2.65E0,6.5E-1); +#20578=ORIENTED_EDGE('',*,*,#20577,.T.); +#20579=ORIENTED_EDGE('',*,*,#20453,.F.); +#20580=ORIENTED_EDGE('',*,*,#20380,.F.); +#20582=ORIENTED_EDGE('',*,*,#20581,.F.); +#20583=ORIENTED_EDGE('',*,*,#20376,.F.); +#20584=ORIENTED_EDGE('',*,*,#20457,.F.); +#20585=EDGE_LOOP('',(#20578,#20579,#20580,#20582,#20583,#20584)); +#20586=FACE_OUTER_BOUND('',#20585,.F.); +#20588=CARTESIAN_POINT('',(-2.2E1,4.E0,-1.2475E1)); +#20589=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20590=DIRECTION('',(0.E0,1.E0,0.E0)); +#20591=AXIS2_PLACEMENT_3D('',#20588,#20589,#20590); +#20592=CYLINDRICAL_SURFACE('',#20591,6.5E-1); +#20593=ORIENTED_EDGE('',*,*,#20455,.T.); +#20594=ORIENTED_EDGE('',*,*,#20577,.F.); +#20595=EDGE_LOOP('',(#20593,#20594)); +#20596=FACE_OUTER_BOUND('',#20595,.F.); +#20598=CARTESIAN_POINT('',(-2.2E1,4.E0,-1.2475E1)); +#20599=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20600=DIRECTION('',(0.E0,1.E0,0.E0)); +#20601=AXIS2_PLACEMENT_3D('',#20598,#20599,#20600); +#20602=CYLINDRICAL_SURFACE('',#20601,6.5E-1); +#20603=ORIENTED_EDGE('',*,*,#13824,.T.); +#20605=ORIENTED_EDGE('',*,*,#20604,.F.); +#20607=ORIENTED_EDGE('',*,*,#20606,.F.); +#20609=ORIENTED_EDGE('',*,*,#20608,.T.); +#20610=EDGE_LOOP('',(#20603,#20605,#20607,#20609)); +#20611=FACE_OUTER_BOUND('',#20610,.F.); +#20613=CARTESIAN_POINT('',(-2.2E1,4.E0,-1.2475E1)); +#20614=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20615=DIRECTION('',(0.E0,1.E0,0.E0)); +#20616=AXIS2_PLACEMENT_3D('',#20613,#20614,#20615); +#20617=CYLINDRICAL_SURFACE('',#20616,6.5E-1); +#20618=ORIENTED_EDGE('',*,*,#13822,.T.); +#20619=ORIENTED_EDGE('',*,*,#20608,.F.); +#20621=ORIENTED_EDGE('',*,*,#20620,.F.); +#20622=ORIENTED_EDGE('',*,*,#20604,.T.); +#20623=EDGE_LOOP('',(#20618,#20619,#20621,#20622)); +#20624=FACE_OUTER_BOUND('',#20623,.F.); +#20626=CARTESIAN_POINT('',(-2.2E1,4.E0,-1.2475E1)); +#20627=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20628=DIRECTION('',(0.E0,1.E0,0.E0)); +#20629=AXIS2_PLACEMENT_3D('',#20626,#20627,#20628); +#20630=PLANE('',#20629); +#20631=ORIENTED_EDGE('',*,*,#20620,.T.); +#20632=ORIENTED_EDGE('',*,*,#20606,.T.); +#20633=EDGE_LOOP('',(#20631,#20632)); +#20634=FACE_OUTER_BOUND('',#20633,.F.); +#20636=CARTESIAN_POINT('',(-2.2E1,1.35E0,-3.58E1)); +#20637=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20638=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20639=AXIS2_PLACEMENT_3D('',#20636,#20637,#20638); +#20640=CYLINDRICAL_SURFACE('',#20639,6.5E-1); +#20641=ORIENTED_EDGE('',*,*,#20581,.T.); +#20642=ORIENTED_EDGE('',*,*,#20378,.T.); +#20643=EDGE_LOOP('',(#20641,#20642)); +#20644=FACE_OUTER_BOUND('',#20643,.F.); +#20646=CARTESIAN_POINT('',(-2.2E1,1.35E0,-3.58E1)); +#20647=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20648=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20649=AXIS2_PLACEMENT_3D('',#20646,#20647,#20648); +#20650=CYLINDRICAL_SURFACE('',#20649,6.5E-1); +#20651=ORIENTED_EDGE('',*,*,#20212,.F.); +#20653=ORIENTED_EDGE('',*,*,#20652,.T.); +#20655=ORIENTED_EDGE('',*,*,#20654,.T.); +#20657=ORIENTED_EDGE('',*,*,#20656,.F.); +#20658=EDGE_LOOP('',(#20651,#20653,#20655,#20657)); +#20659=FACE_OUTER_BOUND('',#20658,.F.); +#20661=CARTESIAN_POINT('',(-2.2E1,1.35E0,-3.58E1)); +#20662=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20663=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20664=AXIS2_PLACEMENT_3D('',#20661,#20662,#20663); +#20665=CYLINDRICAL_SURFACE('',#20664,6.5E-1); +#20666=ORIENTED_EDGE('',*,*,#20210,.F.); +#20667=ORIENTED_EDGE('',*,*,#20656,.T.); +#20669=ORIENTED_EDGE('',*,*,#20668,.T.); +#20670=ORIENTED_EDGE('',*,*,#20652,.F.); +#20671=EDGE_LOOP('',(#20666,#20667,#20669,#20670)); +#20672=FACE_OUTER_BOUND('',#20671,.F.); +#20674=CARTESIAN_POINT('',(-2.2E1,-1.522E1,-3.58E1)); +#20675=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20676=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20677=AXIS2_PLACEMENT_3D('',#20674,#20675,#20676); +#20678=PLANE('',#20677); +#20679=ORIENTED_EDGE('',*,*,#20668,.F.); +#20680=ORIENTED_EDGE('',*,*,#20654,.F.); +#20681=EDGE_LOOP('',(#20679,#20680)); +#20682=FACE_OUTER_BOUND('',#20681,.F.); +#20684=CARTESIAN_POINT('',(-1.8E1,1.35E0,-3.315E1)); +#20685=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20686=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20687=AXIS2_PLACEMENT_3D('',#20684,#20685,#20686); +#20688=TOROIDAL_SURFACE('',#20687,2.65E0,6.5E-1); +#20690=ORIENTED_EDGE('',*,*,#20689,.T.); +#20691=ORIENTED_EDGE('',*,*,#20460,.F.); +#20692=ORIENTED_EDGE('',*,*,#20372,.F.); +#20694=ORIENTED_EDGE('',*,*,#20693,.F.); +#20695=ORIENTED_EDGE('',*,*,#20368,.F.); +#20696=ORIENTED_EDGE('',*,*,#20464,.F.); +#20697=EDGE_LOOP('',(#20690,#20691,#20692,#20694,#20695,#20696)); +#20698=FACE_OUTER_BOUND('',#20697,.F.); +#20700=CARTESIAN_POINT('',(-1.8E1,4.E0,-1.2475E1)); +#20701=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20702=DIRECTION('',(0.E0,1.E0,0.E0)); +#20703=AXIS2_PLACEMENT_3D('',#20700,#20701,#20702); +#20704=CYLINDRICAL_SURFACE('',#20703,6.5E-1); +#20705=ORIENTED_EDGE('',*,*,#20462,.T.); +#20706=ORIENTED_EDGE('',*,*,#20689,.F.); +#20707=EDGE_LOOP('',(#20705,#20706)); +#20708=FACE_OUTER_BOUND('',#20707,.F.); +#20710=CARTESIAN_POINT('',(-1.8E1,4.E0,-1.2475E1)); +#20711=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20712=DIRECTION('',(0.E0,1.E0,0.E0)); +#20713=AXIS2_PLACEMENT_3D('',#20710,#20711,#20712); +#20714=CYLINDRICAL_SURFACE('',#20713,6.5E-1); +#20715=ORIENTED_EDGE('',*,*,#13830,.T.); +#20717=ORIENTED_EDGE('',*,*,#20716,.F.); +#20719=ORIENTED_EDGE('',*,*,#20718,.F.); +#20721=ORIENTED_EDGE('',*,*,#20720,.T.); +#20722=EDGE_LOOP('',(#20715,#20717,#20719,#20721)); +#20723=FACE_OUTER_BOUND('',#20722,.F.); +#20725=CARTESIAN_POINT('',(-1.8E1,4.E0,-1.2475E1)); +#20726=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20727=DIRECTION('',(0.E0,1.E0,0.E0)); +#20728=AXIS2_PLACEMENT_3D('',#20725,#20726,#20727); +#20729=CYLINDRICAL_SURFACE('',#20728,6.5E-1); +#20730=ORIENTED_EDGE('',*,*,#13828,.T.); +#20731=ORIENTED_EDGE('',*,*,#20720,.F.); +#20733=ORIENTED_EDGE('',*,*,#20732,.F.); +#20734=ORIENTED_EDGE('',*,*,#20716,.T.); +#20735=EDGE_LOOP('',(#20730,#20731,#20733,#20734)); +#20736=FACE_OUTER_BOUND('',#20735,.F.); +#20738=CARTESIAN_POINT('',(-1.8E1,4.E0,-1.2475E1)); +#20739=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20740=DIRECTION('',(0.E0,1.E0,0.E0)); +#20741=AXIS2_PLACEMENT_3D('',#20738,#20739,#20740); +#20742=PLANE('',#20741); +#20743=ORIENTED_EDGE('',*,*,#20732,.T.); +#20744=ORIENTED_EDGE('',*,*,#20718,.T.); +#20745=EDGE_LOOP('',(#20743,#20744)); +#20746=FACE_OUTER_BOUND('',#20745,.F.); +#20748=CARTESIAN_POINT('',(-1.8E1,1.35E0,-3.58E1)); +#20749=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20750=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20751=AXIS2_PLACEMENT_3D('',#20748,#20749,#20750); +#20752=CYLINDRICAL_SURFACE('',#20751,6.5E-1); +#20753=ORIENTED_EDGE('',*,*,#20693,.T.); +#20754=ORIENTED_EDGE('',*,*,#20370,.T.); +#20755=EDGE_LOOP('',(#20753,#20754)); +#20756=FACE_OUTER_BOUND('',#20755,.F.); +#20758=CARTESIAN_POINT('',(-1.8E1,1.35E0,-3.58E1)); +#20759=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20760=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20761=AXIS2_PLACEMENT_3D('',#20758,#20759,#20760); +#20762=CYLINDRICAL_SURFACE('',#20761,6.5E-1); +#20763=ORIENTED_EDGE('',*,*,#20218,.F.); +#20765=ORIENTED_EDGE('',*,*,#20764,.T.); +#20767=ORIENTED_EDGE('',*,*,#20766,.T.); +#20769=ORIENTED_EDGE('',*,*,#20768,.F.); +#20770=EDGE_LOOP('',(#20763,#20765,#20767,#20769)); +#20771=FACE_OUTER_BOUND('',#20770,.F.); +#20773=CARTESIAN_POINT('',(-1.8E1,1.35E0,-3.58E1)); +#20774=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20775=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20776=AXIS2_PLACEMENT_3D('',#20773,#20774,#20775); +#20777=CYLINDRICAL_SURFACE('',#20776,6.5E-1); +#20778=ORIENTED_EDGE('',*,*,#20216,.F.); +#20779=ORIENTED_EDGE('',*,*,#20768,.T.); +#20781=ORIENTED_EDGE('',*,*,#20780,.T.); +#20782=ORIENTED_EDGE('',*,*,#20764,.F.); +#20783=EDGE_LOOP('',(#20778,#20779,#20781,#20782)); +#20784=FACE_OUTER_BOUND('',#20783,.F.); +#20786=CARTESIAN_POINT('',(-1.8E1,-1.522E1,-3.58E1)); +#20787=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20788=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20789=AXIS2_PLACEMENT_3D('',#20786,#20787,#20788); +#20790=PLANE('',#20789); +#20791=ORIENTED_EDGE('',*,*,#20780,.F.); +#20792=ORIENTED_EDGE('',*,*,#20766,.F.); +#20793=EDGE_LOOP('',(#20791,#20792)); +#20794=FACE_OUTER_BOUND('',#20793,.F.); +#20796=CARTESIAN_POINT('',(-1.4E1,1.35E0,-3.315E1)); +#20797=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20798=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20799=AXIS2_PLACEMENT_3D('',#20796,#20797,#20798); +#20800=TOROIDAL_SURFACE('',#20799,2.65E0,6.5E-1); +#20802=ORIENTED_EDGE('',*,*,#20801,.T.); +#20803=ORIENTED_EDGE('',*,*,#20467,.F.); +#20804=ORIENTED_EDGE('',*,*,#20364,.F.); +#20806=ORIENTED_EDGE('',*,*,#20805,.F.); +#20807=ORIENTED_EDGE('',*,*,#20360,.F.); +#20808=ORIENTED_EDGE('',*,*,#20471,.F.); +#20809=EDGE_LOOP('',(#20802,#20803,#20804,#20806,#20807,#20808)); +#20810=FACE_OUTER_BOUND('',#20809,.F.); +#20812=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.2475E1)); +#20813=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20814=DIRECTION('',(0.E0,1.E0,0.E0)); +#20815=AXIS2_PLACEMENT_3D('',#20812,#20813,#20814); +#20816=CYLINDRICAL_SURFACE('',#20815,6.5E-1); +#20817=ORIENTED_EDGE('',*,*,#20469,.T.); +#20818=ORIENTED_EDGE('',*,*,#20801,.F.); +#20819=EDGE_LOOP('',(#20817,#20818)); +#20820=FACE_OUTER_BOUND('',#20819,.F.); +#20822=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.2475E1)); +#20823=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20824=DIRECTION('',(0.E0,1.E0,0.E0)); +#20825=AXIS2_PLACEMENT_3D('',#20822,#20823,#20824); +#20826=CYLINDRICAL_SURFACE('',#20825,6.5E-1); +#20827=ORIENTED_EDGE('',*,*,#13836,.T.); +#20829=ORIENTED_EDGE('',*,*,#20828,.F.); +#20831=ORIENTED_EDGE('',*,*,#20830,.F.); +#20833=ORIENTED_EDGE('',*,*,#20832,.T.); +#20834=EDGE_LOOP('',(#20827,#20829,#20831,#20833)); +#20835=FACE_OUTER_BOUND('',#20834,.F.); +#20837=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.2475E1)); +#20838=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20839=DIRECTION('',(0.E0,1.E0,0.E0)); +#20840=AXIS2_PLACEMENT_3D('',#20837,#20838,#20839); +#20841=CYLINDRICAL_SURFACE('',#20840,6.5E-1); +#20842=ORIENTED_EDGE('',*,*,#13834,.T.); +#20843=ORIENTED_EDGE('',*,*,#20832,.F.); +#20845=ORIENTED_EDGE('',*,*,#20844,.F.); +#20846=ORIENTED_EDGE('',*,*,#20828,.T.); +#20847=EDGE_LOOP('',(#20842,#20843,#20845,#20846)); +#20848=FACE_OUTER_BOUND('',#20847,.F.); +#20850=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.2475E1)); +#20851=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20852=DIRECTION('',(0.E0,1.E0,0.E0)); +#20853=AXIS2_PLACEMENT_3D('',#20850,#20851,#20852); +#20854=PLANE('',#20853); +#20855=ORIENTED_EDGE('',*,*,#20844,.T.); +#20856=ORIENTED_EDGE('',*,*,#20830,.T.); +#20857=EDGE_LOOP('',(#20855,#20856)); +#20858=FACE_OUTER_BOUND('',#20857,.F.); +#20860=CARTESIAN_POINT('',(-1.4E1,1.35E0,-3.58E1)); +#20861=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20862=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20863=AXIS2_PLACEMENT_3D('',#20860,#20861,#20862); +#20864=CYLINDRICAL_SURFACE('',#20863,6.5E-1); +#20865=ORIENTED_EDGE('',*,*,#20805,.T.); +#20866=ORIENTED_EDGE('',*,*,#20362,.T.); +#20867=EDGE_LOOP('',(#20865,#20866)); +#20868=FACE_OUTER_BOUND('',#20867,.F.); +#20870=CARTESIAN_POINT('',(-1.4E1,1.35E0,-3.58E1)); +#20871=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20872=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20873=AXIS2_PLACEMENT_3D('',#20870,#20871,#20872); +#20874=CYLINDRICAL_SURFACE('',#20873,6.5E-1); +#20875=ORIENTED_EDGE('',*,*,#20224,.F.); +#20877=ORIENTED_EDGE('',*,*,#20876,.T.); +#20879=ORIENTED_EDGE('',*,*,#20878,.T.); +#20881=ORIENTED_EDGE('',*,*,#20880,.F.); +#20882=EDGE_LOOP('',(#20875,#20877,#20879,#20881)); +#20883=FACE_OUTER_BOUND('',#20882,.F.); +#20885=CARTESIAN_POINT('',(-1.4E1,1.35E0,-3.58E1)); +#20886=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20887=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20888=AXIS2_PLACEMENT_3D('',#20885,#20886,#20887); +#20889=CYLINDRICAL_SURFACE('',#20888,6.5E-1); +#20890=ORIENTED_EDGE('',*,*,#20222,.F.); +#20891=ORIENTED_EDGE('',*,*,#20880,.T.); +#20893=ORIENTED_EDGE('',*,*,#20892,.T.); +#20894=ORIENTED_EDGE('',*,*,#20876,.F.); +#20895=EDGE_LOOP('',(#20890,#20891,#20893,#20894)); +#20896=FACE_OUTER_BOUND('',#20895,.F.); +#20898=CARTESIAN_POINT('',(-1.4E1,-1.522E1,-3.58E1)); +#20899=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20900=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20901=AXIS2_PLACEMENT_3D('',#20898,#20899,#20900); +#20902=PLANE('',#20901); +#20903=ORIENTED_EDGE('',*,*,#20892,.F.); +#20904=ORIENTED_EDGE('',*,*,#20878,.F.); +#20905=EDGE_LOOP('',(#20903,#20904)); +#20906=FACE_OUTER_BOUND('',#20905,.F.); +#20908=CARTESIAN_POINT('',(-1.E1,1.35E0,-3.315E1)); +#20909=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20910=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20911=AXIS2_PLACEMENT_3D('',#20908,#20909,#20910); +#20912=TOROIDAL_SURFACE('',#20911,2.65E0,6.5E-1); +#20914=ORIENTED_EDGE('',*,*,#20913,.T.); +#20915=ORIENTED_EDGE('',*,*,#20474,.F.); +#20916=ORIENTED_EDGE('',*,*,#20356,.F.); +#20918=ORIENTED_EDGE('',*,*,#20917,.F.); +#20919=ORIENTED_EDGE('',*,*,#20352,.F.); +#20920=ORIENTED_EDGE('',*,*,#20478,.F.); +#20921=EDGE_LOOP('',(#20914,#20915,#20916,#20918,#20919,#20920)); +#20922=FACE_OUTER_BOUND('',#20921,.F.); +#20924=CARTESIAN_POINT('',(-1.E1,4.E0,-1.2475E1)); +#20925=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20926=DIRECTION('',(0.E0,1.E0,0.E0)); +#20927=AXIS2_PLACEMENT_3D('',#20924,#20925,#20926); +#20928=CYLINDRICAL_SURFACE('',#20927,6.5E-1); +#20929=ORIENTED_EDGE('',*,*,#20476,.T.); +#20930=ORIENTED_EDGE('',*,*,#20913,.F.); +#20931=EDGE_LOOP('',(#20929,#20930)); +#20932=FACE_OUTER_BOUND('',#20931,.F.); +#20934=CARTESIAN_POINT('',(-1.E1,4.E0,-1.2475E1)); +#20935=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20936=DIRECTION('',(0.E0,1.E0,0.E0)); +#20937=AXIS2_PLACEMENT_3D('',#20934,#20935,#20936); +#20938=CYLINDRICAL_SURFACE('',#20937,6.5E-1); +#20939=ORIENTED_EDGE('',*,*,#13842,.T.); +#20941=ORIENTED_EDGE('',*,*,#20940,.F.); +#20943=ORIENTED_EDGE('',*,*,#20942,.F.); +#20945=ORIENTED_EDGE('',*,*,#20944,.T.); +#20946=EDGE_LOOP('',(#20939,#20941,#20943,#20945)); +#20947=FACE_OUTER_BOUND('',#20946,.F.); +#20949=CARTESIAN_POINT('',(-1.E1,4.E0,-1.2475E1)); +#20950=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20951=DIRECTION('',(0.E0,1.E0,0.E0)); +#20952=AXIS2_PLACEMENT_3D('',#20949,#20950,#20951); +#20953=CYLINDRICAL_SURFACE('',#20952,6.5E-1); +#20954=ORIENTED_EDGE('',*,*,#13840,.T.); +#20955=ORIENTED_EDGE('',*,*,#20944,.F.); +#20957=ORIENTED_EDGE('',*,*,#20956,.F.); +#20958=ORIENTED_EDGE('',*,*,#20940,.T.); +#20959=EDGE_LOOP('',(#20954,#20955,#20957,#20958)); +#20960=FACE_OUTER_BOUND('',#20959,.F.); +#20962=CARTESIAN_POINT('',(-1.E1,4.E0,-1.2475E1)); +#20963=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20964=DIRECTION('',(0.E0,1.E0,0.E0)); +#20965=AXIS2_PLACEMENT_3D('',#20962,#20963,#20964); +#20966=PLANE('',#20965); +#20967=ORIENTED_EDGE('',*,*,#20956,.T.); +#20968=ORIENTED_EDGE('',*,*,#20942,.T.); +#20969=EDGE_LOOP('',(#20967,#20968)); +#20970=FACE_OUTER_BOUND('',#20969,.F.); +#20972=CARTESIAN_POINT('',(-1.E1,1.35E0,-3.58E1)); +#20973=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20974=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20975=AXIS2_PLACEMENT_3D('',#20972,#20973,#20974); +#20976=CYLINDRICAL_SURFACE('',#20975,6.5E-1); +#20977=ORIENTED_EDGE('',*,*,#20917,.T.); +#20978=ORIENTED_EDGE('',*,*,#20354,.T.); +#20979=EDGE_LOOP('',(#20977,#20978)); +#20980=FACE_OUTER_BOUND('',#20979,.F.); +#20982=CARTESIAN_POINT('',(-1.E1,1.35E0,-3.58E1)); +#20983=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20984=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20985=AXIS2_PLACEMENT_3D('',#20982,#20983,#20984); +#20986=CYLINDRICAL_SURFACE('',#20985,6.5E-1); +#20987=ORIENTED_EDGE('',*,*,#20230,.F.); +#20989=ORIENTED_EDGE('',*,*,#20988,.T.); +#20991=ORIENTED_EDGE('',*,*,#20990,.T.); +#20993=ORIENTED_EDGE('',*,*,#20992,.F.); +#20994=EDGE_LOOP('',(#20987,#20989,#20991,#20993)); +#20995=FACE_OUTER_BOUND('',#20994,.F.); +#20997=CARTESIAN_POINT('',(-1.E1,1.35E0,-3.58E1)); +#20998=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20999=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21000=AXIS2_PLACEMENT_3D('',#20997,#20998,#20999); +#21001=CYLINDRICAL_SURFACE('',#21000,6.5E-1); +#21002=ORIENTED_EDGE('',*,*,#20228,.F.); +#21003=ORIENTED_EDGE('',*,*,#20992,.T.); +#21005=ORIENTED_EDGE('',*,*,#21004,.T.); +#21006=ORIENTED_EDGE('',*,*,#20988,.F.); +#21007=EDGE_LOOP('',(#21002,#21003,#21005,#21006)); +#21008=FACE_OUTER_BOUND('',#21007,.F.); +#21010=CARTESIAN_POINT('',(-1.E1,-1.522E1,-3.58E1)); +#21011=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21012=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21013=AXIS2_PLACEMENT_3D('',#21010,#21011,#21012); +#21014=PLANE('',#21013); +#21015=ORIENTED_EDGE('',*,*,#21004,.F.); +#21016=ORIENTED_EDGE('',*,*,#20990,.F.); +#21017=EDGE_LOOP('',(#21015,#21016)); +#21018=FACE_OUTER_BOUND('',#21017,.F.); +#21020=CARTESIAN_POINT('',(-6.E0,1.35E0,-3.315E1)); +#21021=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21022=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21023=AXIS2_PLACEMENT_3D('',#21020,#21021,#21022); +#21024=TOROIDAL_SURFACE('',#21023,2.65E0,6.5E-1); +#21026=ORIENTED_EDGE('',*,*,#21025,.T.); +#21027=ORIENTED_EDGE('',*,*,#20481,.F.); +#21028=ORIENTED_EDGE('',*,*,#20348,.F.); +#21030=ORIENTED_EDGE('',*,*,#21029,.F.); +#21031=ORIENTED_EDGE('',*,*,#20344,.F.); +#21032=ORIENTED_EDGE('',*,*,#20485,.F.); +#21033=EDGE_LOOP('',(#21026,#21027,#21028,#21030,#21031,#21032)); +#21034=FACE_OUTER_BOUND('',#21033,.F.); +#21036=CARTESIAN_POINT('',(-6.E0,4.E0,-1.2475E1)); +#21037=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21038=DIRECTION('',(0.E0,1.E0,0.E0)); +#21039=AXIS2_PLACEMENT_3D('',#21036,#21037,#21038); +#21040=CYLINDRICAL_SURFACE('',#21039,6.5E-1); +#21041=ORIENTED_EDGE('',*,*,#20483,.T.); +#21042=ORIENTED_EDGE('',*,*,#21025,.F.); +#21043=EDGE_LOOP('',(#21041,#21042)); +#21044=FACE_OUTER_BOUND('',#21043,.F.); +#21046=CARTESIAN_POINT('',(-6.E0,4.E0,-1.2475E1)); +#21047=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21048=DIRECTION('',(0.E0,1.E0,0.E0)); +#21049=AXIS2_PLACEMENT_3D('',#21046,#21047,#21048); +#21050=CYLINDRICAL_SURFACE('',#21049,6.5E-1); +#21051=ORIENTED_EDGE('',*,*,#13848,.T.); +#21053=ORIENTED_EDGE('',*,*,#21052,.F.); +#21055=ORIENTED_EDGE('',*,*,#21054,.F.); +#21057=ORIENTED_EDGE('',*,*,#21056,.T.); +#21058=EDGE_LOOP('',(#21051,#21053,#21055,#21057)); +#21059=FACE_OUTER_BOUND('',#21058,.F.); +#21061=CARTESIAN_POINT('',(-6.E0,4.E0,-1.2475E1)); +#21062=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21063=DIRECTION('',(0.E0,1.E0,0.E0)); +#21064=AXIS2_PLACEMENT_3D('',#21061,#21062,#21063); +#21065=CYLINDRICAL_SURFACE('',#21064,6.5E-1); +#21066=ORIENTED_EDGE('',*,*,#13846,.T.); +#21067=ORIENTED_EDGE('',*,*,#21056,.F.); +#21069=ORIENTED_EDGE('',*,*,#21068,.F.); +#21070=ORIENTED_EDGE('',*,*,#21052,.T.); +#21071=EDGE_LOOP('',(#21066,#21067,#21069,#21070)); +#21072=FACE_OUTER_BOUND('',#21071,.F.); +#21074=CARTESIAN_POINT('',(-6.E0,4.E0,-1.2475E1)); +#21075=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21076=DIRECTION('',(0.E0,1.E0,0.E0)); +#21077=AXIS2_PLACEMENT_3D('',#21074,#21075,#21076); +#21078=PLANE('',#21077); +#21079=ORIENTED_EDGE('',*,*,#21068,.T.); +#21080=ORIENTED_EDGE('',*,*,#21054,.T.); +#21081=EDGE_LOOP('',(#21079,#21080)); +#21082=FACE_OUTER_BOUND('',#21081,.F.); +#21084=CARTESIAN_POINT('',(-6.E0,1.35E0,-3.58E1)); +#21085=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21086=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21087=AXIS2_PLACEMENT_3D('',#21084,#21085,#21086); +#21088=CYLINDRICAL_SURFACE('',#21087,6.5E-1); +#21089=ORIENTED_EDGE('',*,*,#21029,.T.); +#21090=ORIENTED_EDGE('',*,*,#20346,.T.); +#21091=EDGE_LOOP('',(#21089,#21090)); +#21092=FACE_OUTER_BOUND('',#21091,.F.); +#21094=CARTESIAN_POINT('',(-6.E0,1.35E0,-3.58E1)); +#21095=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21096=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21097=AXIS2_PLACEMENT_3D('',#21094,#21095,#21096); +#21098=CYLINDRICAL_SURFACE('',#21097,6.5E-1); +#21099=ORIENTED_EDGE('',*,*,#20236,.F.); +#21101=ORIENTED_EDGE('',*,*,#21100,.T.); +#21103=ORIENTED_EDGE('',*,*,#21102,.T.); +#21105=ORIENTED_EDGE('',*,*,#21104,.F.); +#21106=EDGE_LOOP('',(#21099,#21101,#21103,#21105)); +#21107=FACE_OUTER_BOUND('',#21106,.F.); +#21109=CARTESIAN_POINT('',(-6.E0,1.35E0,-3.58E1)); +#21110=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21111=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21112=AXIS2_PLACEMENT_3D('',#21109,#21110,#21111); +#21113=CYLINDRICAL_SURFACE('',#21112,6.5E-1); +#21114=ORIENTED_EDGE('',*,*,#20234,.F.); +#21115=ORIENTED_EDGE('',*,*,#21104,.T.); +#21117=ORIENTED_EDGE('',*,*,#21116,.T.); +#21118=ORIENTED_EDGE('',*,*,#21100,.F.); +#21119=EDGE_LOOP('',(#21114,#21115,#21117,#21118)); +#21120=FACE_OUTER_BOUND('',#21119,.F.); +#21122=CARTESIAN_POINT('',(-6.E0,-1.522E1,-3.58E1)); +#21123=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21124=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21125=AXIS2_PLACEMENT_3D('',#21122,#21123,#21124); +#21126=PLANE('',#21125); +#21127=ORIENTED_EDGE('',*,*,#21116,.F.); +#21128=ORIENTED_EDGE('',*,*,#21102,.F.); +#21129=EDGE_LOOP('',(#21127,#21128)); +#21130=FACE_OUTER_BOUND('',#21129,.F.); +#21132=CARTESIAN_POINT('',(-2.E0,1.35E0,-3.315E1)); +#21133=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21134=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21135=AXIS2_PLACEMENT_3D('',#21132,#21133,#21134); +#21136=TOROIDAL_SURFACE('',#21135,2.65E0,6.5E-1); +#21138=ORIENTED_EDGE('',*,*,#21137,.T.); +#21139=ORIENTED_EDGE('',*,*,#20488,.F.); +#21140=ORIENTED_EDGE('',*,*,#20340,.F.); +#21142=ORIENTED_EDGE('',*,*,#21141,.F.); +#21143=ORIENTED_EDGE('',*,*,#20336,.F.); +#21144=ORIENTED_EDGE('',*,*,#20492,.F.); +#21145=EDGE_LOOP('',(#21138,#21139,#21140,#21142,#21143,#21144)); +#21146=FACE_OUTER_BOUND('',#21145,.F.); +#21148=CARTESIAN_POINT('',(-2.E0,4.E0,-1.2475E1)); +#21149=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21150=DIRECTION('',(0.E0,1.E0,0.E0)); +#21151=AXIS2_PLACEMENT_3D('',#21148,#21149,#21150); +#21152=CYLINDRICAL_SURFACE('',#21151,6.5E-1); +#21153=ORIENTED_EDGE('',*,*,#20490,.T.); +#21154=ORIENTED_EDGE('',*,*,#21137,.F.); +#21155=EDGE_LOOP('',(#21153,#21154)); +#21156=FACE_OUTER_BOUND('',#21155,.F.); +#21158=CARTESIAN_POINT('',(-2.E0,4.E0,-1.2475E1)); +#21159=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21160=DIRECTION('',(0.E0,1.E0,0.E0)); +#21161=AXIS2_PLACEMENT_3D('',#21158,#21159,#21160); +#21162=CYLINDRICAL_SURFACE('',#21161,6.5E-1); +#21163=ORIENTED_EDGE('',*,*,#13854,.T.); +#21165=ORIENTED_EDGE('',*,*,#21164,.F.); +#21167=ORIENTED_EDGE('',*,*,#21166,.F.); +#21169=ORIENTED_EDGE('',*,*,#21168,.T.); +#21170=EDGE_LOOP('',(#21163,#21165,#21167,#21169)); +#21171=FACE_OUTER_BOUND('',#21170,.F.); +#21173=CARTESIAN_POINT('',(-2.E0,4.E0,-1.2475E1)); +#21174=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21175=DIRECTION('',(0.E0,1.E0,0.E0)); +#21176=AXIS2_PLACEMENT_3D('',#21173,#21174,#21175); +#21177=CYLINDRICAL_SURFACE('',#21176,6.5E-1); +#21178=ORIENTED_EDGE('',*,*,#13852,.T.); +#21179=ORIENTED_EDGE('',*,*,#21168,.F.); +#21181=ORIENTED_EDGE('',*,*,#21180,.F.); +#21182=ORIENTED_EDGE('',*,*,#21164,.T.); +#21183=EDGE_LOOP('',(#21178,#21179,#21181,#21182)); +#21184=FACE_OUTER_BOUND('',#21183,.F.); +#21186=CARTESIAN_POINT('',(-2.E0,4.E0,-1.2475E1)); +#21187=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21188=DIRECTION('',(0.E0,1.E0,0.E0)); +#21189=AXIS2_PLACEMENT_3D('',#21186,#21187,#21188); +#21190=PLANE('',#21189); +#21191=ORIENTED_EDGE('',*,*,#21180,.T.); +#21192=ORIENTED_EDGE('',*,*,#21166,.T.); +#21193=EDGE_LOOP('',(#21191,#21192)); +#21194=FACE_OUTER_BOUND('',#21193,.F.); +#21196=CARTESIAN_POINT('',(-2.E0,1.35E0,-3.58E1)); +#21197=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21198=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21199=AXIS2_PLACEMENT_3D('',#21196,#21197,#21198); +#21200=CYLINDRICAL_SURFACE('',#21199,6.5E-1); +#21201=ORIENTED_EDGE('',*,*,#21141,.T.); +#21202=ORIENTED_EDGE('',*,*,#20338,.T.); +#21203=EDGE_LOOP('',(#21201,#21202)); +#21204=FACE_OUTER_BOUND('',#21203,.F.); +#21206=CARTESIAN_POINT('',(-2.E0,1.35E0,-3.58E1)); +#21207=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21208=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21209=AXIS2_PLACEMENT_3D('',#21206,#21207,#21208); +#21210=CYLINDRICAL_SURFACE('',#21209,6.5E-1); +#21211=ORIENTED_EDGE('',*,*,#20242,.F.); +#21213=ORIENTED_EDGE('',*,*,#21212,.T.); +#21215=ORIENTED_EDGE('',*,*,#21214,.T.); +#21217=ORIENTED_EDGE('',*,*,#21216,.F.); +#21218=EDGE_LOOP('',(#21211,#21213,#21215,#21217)); +#21219=FACE_OUTER_BOUND('',#21218,.F.); +#21221=CARTESIAN_POINT('',(-2.E0,1.35E0,-3.58E1)); +#21222=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21223=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21224=AXIS2_PLACEMENT_3D('',#21221,#21222,#21223); +#21225=CYLINDRICAL_SURFACE('',#21224,6.5E-1); +#21226=ORIENTED_EDGE('',*,*,#20240,.F.); +#21227=ORIENTED_EDGE('',*,*,#21216,.T.); +#21229=ORIENTED_EDGE('',*,*,#21228,.T.); +#21230=ORIENTED_EDGE('',*,*,#21212,.F.); +#21231=EDGE_LOOP('',(#21226,#21227,#21229,#21230)); +#21232=FACE_OUTER_BOUND('',#21231,.F.); +#21234=CARTESIAN_POINT('',(-2.E0,-1.522E1,-3.58E1)); +#21235=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21236=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21237=AXIS2_PLACEMENT_3D('',#21234,#21235,#21236); +#21238=PLANE('',#21237); +#21239=ORIENTED_EDGE('',*,*,#21228,.F.); +#21240=ORIENTED_EDGE('',*,*,#21214,.F.); +#21241=EDGE_LOOP('',(#21239,#21240)); +#21242=FACE_OUTER_BOUND('',#21241,.F.); +#21244=CARTESIAN_POINT('',(2.E0,1.35E0,-3.315E1)); +#21245=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21246=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21247=AXIS2_PLACEMENT_3D('',#21244,#21245,#21246); +#21248=TOROIDAL_SURFACE('',#21247,2.65E0,6.5E-1); +#21250=ORIENTED_EDGE('',*,*,#21249,.T.); +#21251=ORIENTED_EDGE('',*,*,#20495,.F.); +#21252=ORIENTED_EDGE('',*,*,#20332,.F.); +#21254=ORIENTED_EDGE('',*,*,#21253,.F.); +#21255=ORIENTED_EDGE('',*,*,#20328,.F.); +#21256=ORIENTED_EDGE('',*,*,#20499,.F.); +#21257=EDGE_LOOP('',(#21250,#21251,#21252,#21254,#21255,#21256)); +#21258=FACE_OUTER_BOUND('',#21257,.F.); +#21260=CARTESIAN_POINT('',(2.E0,4.E0,-1.2475E1)); +#21261=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21262=DIRECTION('',(0.E0,1.E0,0.E0)); +#21263=AXIS2_PLACEMENT_3D('',#21260,#21261,#21262); +#21264=CYLINDRICAL_SURFACE('',#21263,6.5E-1); +#21265=ORIENTED_EDGE('',*,*,#20497,.T.); +#21266=ORIENTED_EDGE('',*,*,#21249,.F.); +#21267=EDGE_LOOP('',(#21265,#21266)); +#21268=FACE_OUTER_BOUND('',#21267,.F.); +#21270=CARTESIAN_POINT('',(2.E0,4.E0,-1.2475E1)); +#21271=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21272=DIRECTION('',(0.E0,1.E0,0.E0)); +#21273=AXIS2_PLACEMENT_3D('',#21270,#21271,#21272); +#21274=CYLINDRICAL_SURFACE('',#21273,6.5E-1); +#21275=ORIENTED_EDGE('',*,*,#13860,.T.); +#21277=ORIENTED_EDGE('',*,*,#21276,.F.); +#21279=ORIENTED_EDGE('',*,*,#21278,.F.); +#21281=ORIENTED_EDGE('',*,*,#21280,.T.); +#21282=EDGE_LOOP('',(#21275,#21277,#21279,#21281)); +#21283=FACE_OUTER_BOUND('',#21282,.F.); +#21285=CARTESIAN_POINT('',(2.E0,4.E0,-1.2475E1)); +#21286=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21287=DIRECTION('',(0.E0,1.E0,0.E0)); +#21288=AXIS2_PLACEMENT_3D('',#21285,#21286,#21287); +#21289=CYLINDRICAL_SURFACE('',#21288,6.5E-1); +#21290=ORIENTED_EDGE('',*,*,#13858,.T.); +#21291=ORIENTED_EDGE('',*,*,#21280,.F.); +#21293=ORIENTED_EDGE('',*,*,#21292,.F.); +#21294=ORIENTED_EDGE('',*,*,#21276,.T.); +#21295=EDGE_LOOP('',(#21290,#21291,#21293,#21294)); +#21296=FACE_OUTER_BOUND('',#21295,.F.); +#21298=CARTESIAN_POINT('',(2.E0,4.E0,-1.2475E1)); +#21299=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21300=DIRECTION('',(0.E0,1.E0,0.E0)); +#21301=AXIS2_PLACEMENT_3D('',#21298,#21299,#21300); +#21302=PLANE('',#21301); +#21303=ORIENTED_EDGE('',*,*,#21292,.T.); +#21304=ORIENTED_EDGE('',*,*,#21278,.T.); +#21305=EDGE_LOOP('',(#21303,#21304)); +#21306=FACE_OUTER_BOUND('',#21305,.F.); +#21308=CARTESIAN_POINT('',(2.E0,1.35E0,-3.58E1)); +#21309=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21311=AXIS2_PLACEMENT_3D('',#21308,#21309,#21310); +#21312=CYLINDRICAL_SURFACE('',#21311,6.5E-1); +#21313=ORIENTED_EDGE('',*,*,#21253,.T.); +#21314=ORIENTED_EDGE('',*,*,#20330,.T.); +#21315=EDGE_LOOP('',(#21313,#21314)); +#21316=FACE_OUTER_BOUND('',#21315,.F.); +#21318=CARTESIAN_POINT('',(2.E0,1.35E0,-3.58E1)); +#21319=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21320=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21321=AXIS2_PLACEMENT_3D('',#21318,#21319,#21320); +#21322=CYLINDRICAL_SURFACE('',#21321,6.5E-1); +#21323=ORIENTED_EDGE('',*,*,#20248,.F.); +#21325=ORIENTED_EDGE('',*,*,#21324,.T.); +#21327=ORIENTED_EDGE('',*,*,#21326,.T.); +#21329=ORIENTED_EDGE('',*,*,#21328,.F.); +#21330=EDGE_LOOP('',(#21323,#21325,#21327,#21329)); +#21331=FACE_OUTER_BOUND('',#21330,.F.); +#21333=CARTESIAN_POINT('',(2.E0,1.35E0,-3.58E1)); +#21334=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21335=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21336=AXIS2_PLACEMENT_3D('',#21333,#21334,#21335); +#21337=CYLINDRICAL_SURFACE('',#21336,6.5E-1); +#21338=ORIENTED_EDGE('',*,*,#20246,.F.); +#21339=ORIENTED_EDGE('',*,*,#21328,.T.); +#21341=ORIENTED_EDGE('',*,*,#21340,.T.); +#21342=ORIENTED_EDGE('',*,*,#21324,.F.); +#21343=EDGE_LOOP('',(#21338,#21339,#21341,#21342)); +#21344=FACE_OUTER_BOUND('',#21343,.F.); +#21346=CARTESIAN_POINT('',(2.E0,-1.522E1,-3.58E1)); +#21347=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21348=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21349=AXIS2_PLACEMENT_3D('',#21346,#21347,#21348); +#21350=PLANE('',#21349); +#21351=ORIENTED_EDGE('',*,*,#21340,.F.); +#21352=ORIENTED_EDGE('',*,*,#21326,.F.); +#21353=EDGE_LOOP('',(#21351,#21352)); +#21354=FACE_OUTER_BOUND('',#21353,.F.); +#21356=CARTESIAN_POINT('',(6.E0,1.35E0,-3.315E1)); +#21357=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21358=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21359=AXIS2_PLACEMENT_3D('',#21356,#21357,#21358); +#21360=TOROIDAL_SURFACE('',#21359,2.65E0,6.5E-1); +#21362=ORIENTED_EDGE('',*,*,#21361,.T.); +#21363=ORIENTED_EDGE('',*,*,#20502,.F.); +#21364=ORIENTED_EDGE('',*,*,#20324,.F.); +#21366=ORIENTED_EDGE('',*,*,#21365,.F.); +#21367=ORIENTED_EDGE('',*,*,#20320,.F.); +#21368=ORIENTED_EDGE('',*,*,#20506,.F.); +#21369=EDGE_LOOP('',(#21362,#21363,#21364,#21366,#21367,#21368)); +#21370=FACE_OUTER_BOUND('',#21369,.F.); +#21372=CARTESIAN_POINT('',(6.E0,4.E0,-1.2475E1)); +#21373=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21374=DIRECTION('',(0.E0,1.E0,0.E0)); +#21375=AXIS2_PLACEMENT_3D('',#21372,#21373,#21374); +#21376=CYLINDRICAL_SURFACE('',#21375,6.5E-1); +#21377=ORIENTED_EDGE('',*,*,#20504,.T.); +#21378=ORIENTED_EDGE('',*,*,#21361,.F.); +#21379=EDGE_LOOP('',(#21377,#21378)); +#21380=FACE_OUTER_BOUND('',#21379,.F.); +#21382=CARTESIAN_POINT('',(6.E0,4.E0,-1.2475E1)); +#21383=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21384=DIRECTION('',(0.E0,1.E0,0.E0)); +#21385=AXIS2_PLACEMENT_3D('',#21382,#21383,#21384); +#21386=CYLINDRICAL_SURFACE('',#21385,6.5E-1); +#21387=ORIENTED_EDGE('',*,*,#13866,.T.); +#21389=ORIENTED_EDGE('',*,*,#21388,.F.); +#21391=ORIENTED_EDGE('',*,*,#21390,.F.); +#21393=ORIENTED_EDGE('',*,*,#21392,.T.); +#21394=EDGE_LOOP('',(#21387,#21389,#21391,#21393)); +#21395=FACE_OUTER_BOUND('',#21394,.F.); +#21397=CARTESIAN_POINT('',(6.E0,4.E0,-1.2475E1)); +#21398=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21399=DIRECTION('',(0.E0,1.E0,0.E0)); +#21400=AXIS2_PLACEMENT_3D('',#21397,#21398,#21399); +#21401=CYLINDRICAL_SURFACE('',#21400,6.5E-1); +#21402=ORIENTED_EDGE('',*,*,#13864,.T.); +#21403=ORIENTED_EDGE('',*,*,#21392,.F.); +#21405=ORIENTED_EDGE('',*,*,#21404,.F.); +#21406=ORIENTED_EDGE('',*,*,#21388,.T.); +#21407=EDGE_LOOP('',(#21402,#21403,#21405,#21406)); +#21408=FACE_OUTER_BOUND('',#21407,.F.); +#21410=CARTESIAN_POINT('',(6.E0,4.E0,-1.2475E1)); +#21411=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21412=DIRECTION('',(0.E0,1.E0,0.E0)); +#21413=AXIS2_PLACEMENT_3D('',#21410,#21411,#21412); +#21414=PLANE('',#21413); +#21415=ORIENTED_EDGE('',*,*,#21404,.T.); +#21416=ORIENTED_EDGE('',*,*,#21390,.T.); +#21417=EDGE_LOOP('',(#21415,#21416)); +#21418=FACE_OUTER_BOUND('',#21417,.F.); +#21420=CARTESIAN_POINT('',(6.E0,1.35E0,-3.58E1)); +#21421=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21422=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21423=AXIS2_PLACEMENT_3D('',#21420,#21421,#21422); +#21424=CYLINDRICAL_SURFACE('',#21423,6.5E-1); +#21425=ORIENTED_EDGE('',*,*,#21365,.T.); +#21426=ORIENTED_EDGE('',*,*,#20322,.T.); +#21427=EDGE_LOOP('',(#21425,#21426)); +#21428=FACE_OUTER_BOUND('',#21427,.F.); +#21430=CARTESIAN_POINT('',(6.E0,1.35E0,-3.58E1)); +#21431=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21432=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21433=AXIS2_PLACEMENT_3D('',#21430,#21431,#21432); +#21434=CYLINDRICAL_SURFACE('',#21433,6.5E-1); +#21435=ORIENTED_EDGE('',*,*,#20254,.F.); +#21437=ORIENTED_EDGE('',*,*,#21436,.T.); +#21439=ORIENTED_EDGE('',*,*,#21438,.T.); +#21441=ORIENTED_EDGE('',*,*,#21440,.F.); +#21442=EDGE_LOOP('',(#21435,#21437,#21439,#21441)); +#21443=FACE_OUTER_BOUND('',#21442,.F.); +#21445=CARTESIAN_POINT('',(6.E0,1.35E0,-3.58E1)); +#21446=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21447=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21448=AXIS2_PLACEMENT_3D('',#21445,#21446,#21447); +#21449=CYLINDRICAL_SURFACE('',#21448,6.5E-1); +#21450=ORIENTED_EDGE('',*,*,#20252,.F.); +#21451=ORIENTED_EDGE('',*,*,#21440,.T.); +#21453=ORIENTED_EDGE('',*,*,#21452,.T.); +#21454=ORIENTED_EDGE('',*,*,#21436,.F.); +#21455=EDGE_LOOP('',(#21450,#21451,#21453,#21454)); +#21456=FACE_OUTER_BOUND('',#21455,.F.); +#21458=CARTESIAN_POINT('',(6.E0,-1.522E1,-3.58E1)); +#21459=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21460=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21461=AXIS2_PLACEMENT_3D('',#21458,#21459,#21460); +#21462=PLANE('',#21461); +#21463=ORIENTED_EDGE('',*,*,#21452,.F.); +#21464=ORIENTED_EDGE('',*,*,#21438,.F.); +#21465=EDGE_LOOP('',(#21463,#21464)); +#21466=FACE_OUTER_BOUND('',#21465,.F.); +#21468=CARTESIAN_POINT('',(1.E1,1.35E0,-3.315E1)); +#21469=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21470=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21471=AXIS2_PLACEMENT_3D('',#21468,#21469,#21470); +#21472=TOROIDAL_SURFACE('',#21471,2.65E0,6.5E-1); +#21474=ORIENTED_EDGE('',*,*,#21473,.T.); +#21475=ORIENTED_EDGE('',*,*,#20509,.F.); +#21476=ORIENTED_EDGE('',*,*,#20316,.F.); +#21478=ORIENTED_EDGE('',*,*,#21477,.F.); +#21479=ORIENTED_EDGE('',*,*,#20312,.F.); +#21480=ORIENTED_EDGE('',*,*,#20513,.F.); +#21481=EDGE_LOOP('',(#21474,#21475,#21476,#21478,#21479,#21480)); +#21482=FACE_OUTER_BOUND('',#21481,.F.); +#21484=CARTESIAN_POINT('',(1.E1,4.E0,-1.2475E1)); +#21485=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21486=DIRECTION('',(0.E0,1.E0,0.E0)); +#21487=AXIS2_PLACEMENT_3D('',#21484,#21485,#21486); +#21488=CYLINDRICAL_SURFACE('',#21487,6.5E-1); +#21489=ORIENTED_EDGE('',*,*,#20511,.T.); +#21490=ORIENTED_EDGE('',*,*,#21473,.F.); +#21491=EDGE_LOOP('',(#21489,#21490)); +#21492=FACE_OUTER_BOUND('',#21491,.F.); +#21494=CARTESIAN_POINT('',(1.E1,4.E0,-1.2475E1)); +#21495=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21496=DIRECTION('',(0.E0,1.E0,0.E0)); +#21497=AXIS2_PLACEMENT_3D('',#21494,#21495,#21496); +#21498=CYLINDRICAL_SURFACE('',#21497,6.5E-1); +#21499=ORIENTED_EDGE('',*,*,#13872,.T.); +#21501=ORIENTED_EDGE('',*,*,#21500,.F.); +#21503=ORIENTED_EDGE('',*,*,#21502,.F.); +#21505=ORIENTED_EDGE('',*,*,#21504,.T.); +#21506=EDGE_LOOP('',(#21499,#21501,#21503,#21505)); +#21507=FACE_OUTER_BOUND('',#21506,.F.); +#21509=CARTESIAN_POINT('',(1.E1,4.E0,-1.2475E1)); +#21510=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21511=DIRECTION('',(0.E0,1.E0,0.E0)); +#21512=AXIS2_PLACEMENT_3D('',#21509,#21510,#21511); +#21513=CYLINDRICAL_SURFACE('',#21512,6.5E-1); +#21514=ORIENTED_EDGE('',*,*,#13870,.T.); +#21515=ORIENTED_EDGE('',*,*,#21504,.F.); +#21517=ORIENTED_EDGE('',*,*,#21516,.F.); +#21518=ORIENTED_EDGE('',*,*,#21500,.T.); +#21519=EDGE_LOOP('',(#21514,#21515,#21517,#21518)); +#21520=FACE_OUTER_BOUND('',#21519,.F.); +#21522=CARTESIAN_POINT('',(1.E1,4.E0,-1.2475E1)); +#21523=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21524=DIRECTION('',(0.E0,1.E0,0.E0)); +#21525=AXIS2_PLACEMENT_3D('',#21522,#21523,#21524); +#21526=PLANE('',#21525); +#21527=ORIENTED_EDGE('',*,*,#21516,.T.); +#21528=ORIENTED_EDGE('',*,*,#21502,.T.); +#21529=EDGE_LOOP('',(#21527,#21528)); +#21530=FACE_OUTER_BOUND('',#21529,.F.); +#21532=CARTESIAN_POINT('',(1.E1,1.35E0,-3.58E1)); +#21533=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21534=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21535=AXIS2_PLACEMENT_3D('',#21532,#21533,#21534); +#21536=CYLINDRICAL_SURFACE('',#21535,6.5E-1); +#21537=ORIENTED_EDGE('',*,*,#21477,.T.); +#21538=ORIENTED_EDGE('',*,*,#20314,.T.); +#21539=EDGE_LOOP('',(#21537,#21538)); +#21540=FACE_OUTER_BOUND('',#21539,.F.); +#21542=CARTESIAN_POINT('',(1.E1,1.35E0,-3.58E1)); +#21543=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21544=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21545=AXIS2_PLACEMENT_3D('',#21542,#21543,#21544); +#21546=CYLINDRICAL_SURFACE('',#21545,6.5E-1); +#21547=ORIENTED_EDGE('',*,*,#20260,.F.); +#21549=ORIENTED_EDGE('',*,*,#21548,.T.); +#21551=ORIENTED_EDGE('',*,*,#21550,.T.); +#21553=ORIENTED_EDGE('',*,*,#21552,.F.); +#21554=EDGE_LOOP('',(#21547,#21549,#21551,#21553)); +#21555=FACE_OUTER_BOUND('',#21554,.F.); +#21557=CARTESIAN_POINT('',(1.E1,1.35E0,-3.58E1)); +#21558=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21559=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21560=AXIS2_PLACEMENT_3D('',#21557,#21558,#21559); +#21561=CYLINDRICAL_SURFACE('',#21560,6.5E-1); +#21562=ORIENTED_EDGE('',*,*,#20258,.F.); +#21563=ORIENTED_EDGE('',*,*,#21552,.T.); +#21565=ORIENTED_EDGE('',*,*,#21564,.T.); +#21566=ORIENTED_EDGE('',*,*,#21548,.F.); +#21567=EDGE_LOOP('',(#21562,#21563,#21565,#21566)); +#21568=FACE_OUTER_BOUND('',#21567,.F.); +#21570=CARTESIAN_POINT('',(1.E1,-1.522E1,-3.58E1)); +#21571=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21572=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21573=AXIS2_PLACEMENT_3D('',#21570,#21571,#21572); +#21574=PLANE('',#21573); +#21575=ORIENTED_EDGE('',*,*,#21564,.F.); +#21576=ORIENTED_EDGE('',*,*,#21550,.F.); +#21577=EDGE_LOOP('',(#21575,#21576)); +#21578=FACE_OUTER_BOUND('',#21577,.F.); +#21580=CARTESIAN_POINT('',(1.4E1,1.35E0,-3.315E1)); +#21581=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21582=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21583=AXIS2_PLACEMENT_3D('',#21580,#21581,#21582); +#21584=TOROIDAL_SURFACE('',#21583,2.65E0,6.5E-1); +#21586=ORIENTED_EDGE('',*,*,#21585,.T.); +#21587=ORIENTED_EDGE('',*,*,#20516,.F.); +#21588=ORIENTED_EDGE('',*,*,#20308,.F.); +#21590=ORIENTED_EDGE('',*,*,#21589,.F.); +#21591=ORIENTED_EDGE('',*,*,#20304,.F.); +#21592=ORIENTED_EDGE('',*,*,#20520,.F.); +#21593=EDGE_LOOP('',(#21586,#21587,#21588,#21590,#21591,#21592)); +#21594=FACE_OUTER_BOUND('',#21593,.F.); +#21596=CARTESIAN_POINT('',(1.4E1,4.E0,-1.2475E1)); +#21597=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21598=DIRECTION('',(0.E0,1.E0,0.E0)); +#21599=AXIS2_PLACEMENT_3D('',#21596,#21597,#21598); +#21600=CYLINDRICAL_SURFACE('',#21599,6.5E-1); +#21601=ORIENTED_EDGE('',*,*,#20518,.T.); +#21602=ORIENTED_EDGE('',*,*,#21585,.F.); +#21603=EDGE_LOOP('',(#21601,#21602)); +#21604=FACE_OUTER_BOUND('',#21603,.F.); +#21606=CARTESIAN_POINT('',(1.4E1,4.E0,-1.2475E1)); +#21607=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21608=DIRECTION('',(0.E0,1.E0,0.E0)); +#21609=AXIS2_PLACEMENT_3D('',#21606,#21607,#21608); +#21610=CYLINDRICAL_SURFACE('',#21609,6.5E-1); +#21611=ORIENTED_EDGE('',*,*,#13878,.T.); +#21613=ORIENTED_EDGE('',*,*,#21612,.F.); +#21615=ORIENTED_EDGE('',*,*,#21614,.F.); +#21617=ORIENTED_EDGE('',*,*,#21616,.T.); +#21618=EDGE_LOOP('',(#21611,#21613,#21615,#21617)); +#21619=FACE_OUTER_BOUND('',#21618,.F.); +#21621=CARTESIAN_POINT('',(1.4E1,4.E0,-1.2475E1)); +#21622=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21623=DIRECTION('',(0.E0,1.E0,0.E0)); +#21624=AXIS2_PLACEMENT_3D('',#21621,#21622,#21623); +#21625=CYLINDRICAL_SURFACE('',#21624,6.5E-1); +#21626=ORIENTED_EDGE('',*,*,#13876,.T.); +#21627=ORIENTED_EDGE('',*,*,#21616,.F.); +#21629=ORIENTED_EDGE('',*,*,#21628,.F.); +#21630=ORIENTED_EDGE('',*,*,#21612,.T.); +#21631=EDGE_LOOP('',(#21626,#21627,#21629,#21630)); +#21632=FACE_OUTER_BOUND('',#21631,.F.); +#21634=CARTESIAN_POINT('',(1.4E1,4.E0,-1.2475E1)); +#21635=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21636=DIRECTION('',(0.E0,1.E0,0.E0)); +#21637=AXIS2_PLACEMENT_3D('',#21634,#21635,#21636); +#21638=PLANE('',#21637); +#21639=ORIENTED_EDGE('',*,*,#21628,.T.); +#21640=ORIENTED_EDGE('',*,*,#21614,.T.); +#21641=EDGE_LOOP('',(#21639,#21640)); +#21642=FACE_OUTER_BOUND('',#21641,.F.); +#21644=CARTESIAN_POINT('',(1.4E1,1.35E0,-3.58E1)); +#21645=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21646=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21647=AXIS2_PLACEMENT_3D('',#21644,#21645,#21646); +#21648=CYLINDRICAL_SURFACE('',#21647,6.5E-1); +#21649=ORIENTED_EDGE('',*,*,#21589,.T.); +#21650=ORIENTED_EDGE('',*,*,#20306,.T.); +#21651=EDGE_LOOP('',(#21649,#21650)); +#21652=FACE_OUTER_BOUND('',#21651,.F.); +#21654=CARTESIAN_POINT('',(1.4E1,1.35E0,-3.58E1)); +#21655=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21656=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21657=AXIS2_PLACEMENT_3D('',#21654,#21655,#21656); +#21658=CYLINDRICAL_SURFACE('',#21657,6.5E-1); +#21659=ORIENTED_EDGE('',*,*,#20266,.F.); +#21661=ORIENTED_EDGE('',*,*,#21660,.T.); +#21663=ORIENTED_EDGE('',*,*,#21662,.T.); +#21665=ORIENTED_EDGE('',*,*,#21664,.F.); +#21666=EDGE_LOOP('',(#21659,#21661,#21663,#21665)); +#21667=FACE_OUTER_BOUND('',#21666,.F.); +#21669=CARTESIAN_POINT('',(1.4E1,1.35E0,-3.58E1)); +#21670=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21671=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21672=AXIS2_PLACEMENT_3D('',#21669,#21670,#21671); +#21673=CYLINDRICAL_SURFACE('',#21672,6.5E-1); +#21674=ORIENTED_EDGE('',*,*,#20264,.F.); +#21675=ORIENTED_EDGE('',*,*,#21664,.T.); +#21677=ORIENTED_EDGE('',*,*,#21676,.T.); +#21678=ORIENTED_EDGE('',*,*,#21660,.F.); +#21679=EDGE_LOOP('',(#21674,#21675,#21677,#21678)); +#21680=FACE_OUTER_BOUND('',#21679,.F.); +#21682=CARTESIAN_POINT('',(1.4E1,-1.522E1,-3.58E1)); +#21683=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21684=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21685=AXIS2_PLACEMENT_3D('',#21682,#21683,#21684); +#21686=PLANE('',#21685); +#21687=ORIENTED_EDGE('',*,*,#21676,.F.); +#21688=ORIENTED_EDGE('',*,*,#21662,.F.); +#21689=EDGE_LOOP('',(#21687,#21688)); +#21690=FACE_OUTER_BOUND('',#21689,.F.); +#21692=CARTESIAN_POINT('',(1.8E1,1.35E0,-3.315E1)); +#21693=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21694=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21695=AXIS2_PLACEMENT_3D('',#21692,#21693,#21694); +#21696=TOROIDAL_SURFACE('',#21695,2.65E0,6.5E-1); +#21698=ORIENTED_EDGE('',*,*,#21697,.T.); +#21699=ORIENTED_EDGE('',*,*,#20523,.F.); +#21700=ORIENTED_EDGE('',*,*,#20300,.F.); +#21702=ORIENTED_EDGE('',*,*,#21701,.F.); +#21703=ORIENTED_EDGE('',*,*,#20296,.F.); +#21704=ORIENTED_EDGE('',*,*,#20527,.F.); +#21705=EDGE_LOOP('',(#21698,#21699,#21700,#21702,#21703,#21704)); +#21706=FACE_OUTER_BOUND('',#21705,.F.); +#21708=CARTESIAN_POINT('',(1.8E1,4.E0,-1.2475E1)); +#21709=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21710=DIRECTION('',(0.E0,1.E0,0.E0)); +#21711=AXIS2_PLACEMENT_3D('',#21708,#21709,#21710); +#21712=CYLINDRICAL_SURFACE('',#21711,6.5E-1); +#21713=ORIENTED_EDGE('',*,*,#20525,.T.); +#21714=ORIENTED_EDGE('',*,*,#21697,.F.); +#21715=EDGE_LOOP('',(#21713,#21714)); +#21716=FACE_OUTER_BOUND('',#21715,.F.); +#21718=CARTESIAN_POINT('',(1.8E1,4.E0,-1.2475E1)); +#21719=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21720=DIRECTION('',(0.E0,1.E0,0.E0)); +#21721=AXIS2_PLACEMENT_3D('',#21718,#21719,#21720); +#21722=CYLINDRICAL_SURFACE('',#21721,6.5E-1); +#21723=ORIENTED_EDGE('',*,*,#13884,.T.); +#21725=ORIENTED_EDGE('',*,*,#21724,.F.); +#21727=ORIENTED_EDGE('',*,*,#21726,.F.); +#21729=ORIENTED_EDGE('',*,*,#21728,.T.); +#21730=EDGE_LOOP('',(#21723,#21725,#21727,#21729)); +#21731=FACE_OUTER_BOUND('',#21730,.F.); +#21733=CARTESIAN_POINT('',(1.8E1,4.E0,-1.2475E1)); +#21734=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21735=DIRECTION('',(0.E0,1.E0,0.E0)); +#21736=AXIS2_PLACEMENT_3D('',#21733,#21734,#21735); +#21737=CYLINDRICAL_SURFACE('',#21736,6.5E-1); +#21738=ORIENTED_EDGE('',*,*,#13882,.T.); +#21739=ORIENTED_EDGE('',*,*,#21728,.F.); +#21741=ORIENTED_EDGE('',*,*,#21740,.F.); +#21742=ORIENTED_EDGE('',*,*,#21724,.T.); +#21743=EDGE_LOOP('',(#21738,#21739,#21741,#21742)); +#21744=FACE_OUTER_BOUND('',#21743,.F.); +#21746=CARTESIAN_POINT('',(1.8E1,4.E0,-1.2475E1)); +#21747=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21748=DIRECTION('',(0.E0,1.E0,0.E0)); +#21749=AXIS2_PLACEMENT_3D('',#21746,#21747,#21748); +#21750=PLANE('',#21749); +#21751=ORIENTED_EDGE('',*,*,#21740,.T.); +#21752=ORIENTED_EDGE('',*,*,#21726,.T.); +#21753=EDGE_LOOP('',(#21751,#21752)); +#21754=FACE_OUTER_BOUND('',#21753,.F.); +#21756=CARTESIAN_POINT('',(1.8E1,1.35E0,-3.58E1)); +#21757=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21758=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21759=AXIS2_PLACEMENT_3D('',#21756,#21757,#21758); +#21760=CYLINDRICAL_SURFACE('',#21759,6.5E-1); +#21761=ORIENTED_EDGE('',*,*,#21701,.T.); +#21762=ORIENTED_EDGE('',*,*,#20298,.T.); +#21763=EDGE_LOOP('',(#21761,#21762)); +#21764=FACE_OUTER_BOUND('',#21763,.F.); +#21766=CARTESIAN_POINT('',(1.8E1,1.35E0,-3.58E1)); +#21767=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21768=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21769=AXIS2_PLACEMENT_3D('',#21766,#21767,#21768); +#21770=CYLINDRICAL_SURFACE('',#21769,6.5E-1); +#21771=ORIENTED_EDGE('',*,*,#20272,.F.); +#21773=ORIENTED_EDGE('',*,*,#21772,.T.); +#21775=ORIENTED_EDGE('',*,*,#21774,.T.); +#21777=ORIENTED_EDGE('',*,*,#21776,.F.); +#21778=EDGE_LOOP('',(#21771,#21773,#21775,#21777)); +#21779=FACE_OUTER_BOUND('',#21778,.F.); +#21781=CARTESIAN_POINT('',(1.8E1,1.35E0,-3.58E1)); +#21782=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21783=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21784=AXIS2_PLACEMENT_3D('',#21781,#21782,#21783); +#21785=CYLINDRICAL_SURFACE('',#21784,6.5E-1); +#21786=ORIENTED_EDGE('',*,*,#20270,.F.); +#21787=ORIENTED_EDGE('',*,*,#21776,.T.); +#21789=ORIENTED_EDGE('',*,*,#21788,.T.); +#21790=ORIENTED_EDGE('',*,*,#21772,.F.); +#21791=EDGE_LOOP('',(#21786,#21787,#21789,#21790)); +#21792=FACE_OUTER_BOUND('',#21791,.F.); +#21794=CARTESIAN_POINT('',(1.8E1,-1.522E1,-3.58E1)); +#21795=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21796=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21797=AXIS2_PLACEMENT_3D('',#21794,#21795,#21796); +#21798=PLANE('',#21797); +#21799=ORIENTED_EDGE('',*,*,#21788,.F.); +#21800=ORIENTED_EDGE('',*,*,#21774,.F.); +#21801=EDGE_LOOP('',(#21799,#21800)); +#21802=FACE_OUTER_BOUND('',#21801,.F.); +#21804=CARTESIAN_POINT('',(2.2E1,4.E0,-1.2475E1)); +#21805=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21806=DIRECTION('',(0.E0,1.E0,0.E0)); +#21807=AXIS2_PLACEMENT_3D('',#21804,#21805,#21806); +#21808=CYLINDRICAL_SURFACE('',#21807,6.5E-1); +#21809=ORIENTED_EDGE('',*,*,#13888,.T.); +#21810=ORIENTED_EDGE('',*,*,#20431,.F.); +#21812=ORIENTED_EDGE('',*,*,#21811,.F.); +#21813=ORIENTED_EDGE('',*,*,#20427,.T.); +#21814=EDGE_LOOP('',(#21809,#21810,#21812,#21813)); +#21815=FACE_OUTER_BOUND('',#21814,.F.); +#21817=CARTESIAN_POINT('',(2.2E1,4.E0,-1.2475E1)); +#21818=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21819=DIRECTION('',(0.E0,1.E0,0.E0)); +#21820=AXIS2_PLACEMENT_3D('',#21817,#21818,#21819); +#21821=PLANE('',#21820); +#21822=ORIENTED_EDGE('',*,*,#21811,.T.); +#21823=ORIENTED_EDGE('',*,*,#20429,.T.); +#21824=EDGE_LOOP('',(#21822,#21823)); +#21825=FACE_OUTER_BOUND('',#21824,.F.); +#21827=CARTESIAN_POINT('',(2.2E1,1.35E0,-3.58E1)); +#21828=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21829=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21830=AXIS2_PLACEMENT_3D('',#21827,#21828,#21829); +#21831=CYLINDRICAL_SURFACE('',#21830,6.5E-1); +#21832=ORIENTED_EDGE('',*,*,#20402,.T.); +#21833=ORIENTED_EDGE('',*,*,#20290,.T.); +#21834=EDGE_LOOP('',(#21832,#21833)); +#21835=FACE_OUTER_BOUND('',#21834,.F.); +#21837=CARTESIAN_POINT('',(2.2E1,1.35E0,-3.58E1)); +#21838=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21839=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21840=AXIS2_PLACEMENT_3D('',#21837,#21838,#21839); +#21841=CYLINDRICAL_SURFACE('',#21840,6.5E-1); +#21842=ORIENTED_EDGE('',*,*,#20278,.F.); +#21844=ORIENTED_EDGE('',*,*,#21843,.T.); +#21846=ORIENTED_EDGE('',*,*,#21845,.T.); +#21848=ORIENTED_EDGE('',*,*,#21847,.F.); +#21849=EDGE_LOOP('',(#21842,#21844,#21846,#21848)); +#21850=FACE_OUTER_BOUND('',#21849,.F.); +#21852=CARTESIAN_POINT('',(2.2E1,1.35E0,-3.58E1)); +#21853=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21854=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21855=AXIS2_PLACEMENT_3D('',#21852,#21853,#21854); +#21856=CYLINDRICAL_SURFACE('',#21855,6.5E-1); +#21857=ORIENTED_EDGE('',*,*,#20276,.F.); +#21858=ORIENTED_EDGE('',*,*,#21847,.T.); +#21860=ORIENTED_EDGE('',*,*,#21859,.T.); +#21861=ORIENTED_EDGE('',*,*,#21843,.F.); +#21862=EDGE_LOOP('',(#21857,#21858,#21860,#21861)); +#21863=FACE_OUTER_BOUND('',#21862,.F.); +#21865=CARTESIAN_POINT('',(2.2E1,-1.522E1,-3.58E1)); +#21866=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21867=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21868=AXIS2_PLACEMENT_3D('',#21865,#21866,#21867); +#21869=PLANE('',#21868); +#21870=ORIENTED_EDGE('',*,*,#21859,.F.); +#21871=ORIENTED_EDGE('',*,*,#21845,.F.); +#21872=EDGE_LOOP('',(#21870,#21871)); +#21873=FACE_OUTER_BOUND('',#21872,.F.); +#21875=CARTESIAN_POINT('',(-2.33E1,0.E0,0.E0)); +#21876=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21877=DIRECTION('',(0.E0,0.E0,1.E0)); +#21878=AXIS2_PLACEMENT_3D('',#21875,#21876,#21877); +#21879=PLANE('',#21878); +#21880=ORIENTED_EDGE('',*,*,#20092,.T.); +#21881=ORIENTED_EDGE('',*,*,#20173,.T.); +#21882=ORIENTED_EDGE('',*,*,#20187,.T.); +#21883=ORIENTED_EDGE('',*,*,#20206,.F.); +#21884=ORIENTED_EDGE('',*,*,#17425,.F.); +#21886=ORIENTED_EDGE('',*,*,#21885,.F.); +#21887=EDGE_LOOP('',(#21880,#21881,#21882,#21883,#21884,#21886)); +#21888=FACE_OUTER_BOUND('',#21887,.F.); +#21890=CARTESIAN_POINT('',(-2.47E1,-3.25E0,-3.25E1)); +#21891=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21892=DIRECTION('',(0.E0,0.E0,1.E0)); +#21893=AXIS2_PLACEMENT_3D('',#21890,#21891,#21892); +#21894=PLANE('',#21893); +#21895=ORIENTED_EDGE('',*,*,#19948,.T.); +#21897=ORIENTED_EDGE('',*,*,#21896,.T.); +#21898=ORIENTED_EDGE('',*,*,#21885,.T.); +#21899=ORIENTED_EDGE('',*,*,#17423,.F.); +#21900=EDGE_LOOP('',(#21895,#21897,#21898,#21899)); +#21901=FACE_OUTER_BOUND('',#21900,.F.); +#21903=CARTESIAN_POINT('',(-2.47E1,-6.65E0,-2.85E1)); +#21904=DIRECTION('',(0.E0,-6.074501075708E-1,-7.943578329772E-1)); +#21905=DIRECTION('',(0.E0,7.943578329772E-1,-6.074501075708E-1)); +#21906=AXIS2_PLACEMENT_3D('',#21903,#21904,#21905); +#21907=PLANE('',#21906); +#21908=ORIENTED_EDGE('',*,*,#20010,.T.); +#21909=ORIENTED_EDGE('',*,*,#20030,.T.); +#21910=ORIENTED_EDGE('',*,*,#20061,.T.); +#21911=ORIENTED_EDGE('',*,*,#20094,.F.); +#21912=ORIENTED_EDGE('',*,*,#21896,.F.); +#21913=ORIENTED_EDGE('',*,*,#19946,.F.); +#21914=ORIENTED_EDGE('',*,*,#19984,.F.); +#21916=ORIENTED_EDGE('',*,*,#21915,.T.); +#21917=EDGE_LOOP('',(#21908,#21909,#21910,#21911,#21912,#21913,#21914,#21916)); +#21918=FACE_OUTER_BOUND('',#21917,.F.); +#21920=CARTESIAN_POINT('',(-2.47E1,-7.E0,-2.85E1)); +#21921=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21922=DIRECTION('',(0.E0,1.E0,0.E0)); +#21923=AXIS2_PLACEMENT_3D('',#21920,#21921,#21922); +#21924=PLANE('',#21923); +#21925=ORIENTED_EDGE('',*,*,#20012,.T.); +#21926=ORIENTED_EDGE('',*,*,#21915,.F.); +#21927=ORIENTED_EDGE('',*,*,#19982,.F.); +#21929=ORIENTED_EDGE('',*,*,#21928,.T.); +#21930=EDGE_LOOP('',(#21925,#21926,#21927,#21929)); +#21931=FACE_OUTER_BOUND('',#21930,.F.); +#21933=CARTESIAN_POINT('',(-2.47E1,-7.E0,-2.71E1)); +#21934=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21935=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21936=AXIS2_PLACEMENT_3D('',#21933,#21934,#21935); +#21937=PLANE('',#21936); +#21938=ORIENTED_EDGE('',*,*,#20014,.T.); +#21939=ORIENTED_EDGE('',*,*,#21928,.F.); +#21940=ORIENTED_EDGE('',*,*,#19980,.F.); +#21941=ORIENTED_EDGE('',*,*,#19996,.T.); +#21942=EDGE_LOOP('',(#21938,#21939,#21940,#21941)); +#21943=FACE_OUTER_BOUND('',#21942,.F.); +#21945=ORIENTED_EDGE('',*,*,#21944,.T.); +#21947=ORIENTED_EDGE('',*,*,#21946,.T.); +#21948=EDGE_LOOP('',(#21945,#21947)); +#21949=FACE_BOUND('',#21948,.F.); +#21951=ORIENTED_EDGE('',*,*,#21950,.T.); +#21953=ORIENTED_EDGE('',*,*,#21952,.T.); +#21954=EDGE_LOOP('',(#21951,#21953)); +#21955=FACE_BOUND('',#21954,.F.); +#21957=ORIENTED_EDGE('',*,*,#21956,.T.); +#21959=ORIENTED_EDGE('',*,*,#21958,.T.); +#21960=EDGE_LOOP('',(#21957,#21959)); +#21961=FACE_BOUND('',#21960,.F.); +#21963=ORIENTED_EDGE('',*,*,#21962,.T.); +#21965=ORIENTED_EDGE('',*,*,#21964,.T.); +#21966=EDGE_LOOP('',(#21963,#21965)); +#21967=FACE_BOUND('',#21966,.F.); +#21969=ORIENTED_EDGE('',*,*,#21968,.T.); +#21971=ORIENTED_EDGE('',*,*,#21970,.T.); +#21972=EDGE_LOOP('',(#21969,#21971)); +#21973=FACE_BOUND('',#21972,.F.); +#21975=ORIENTED_EDGE('',*,*,#21974,.T.); +#21977=ORIENTED_EDGE('',*,*,#21976,.T.); +#21978=EDGE_LOOP('',(#21975,#21977)); +#21979=FACE_BOUND('',#21978,.F.); +#21981=ORIENTED_EDGE('',*,*,#21980,.T.); +#21983=ORIENTED_EDGE('',*,*,#21982,.T.); +#21984=EDGE_LOOP('',(#21981,#21983)); +#21985=FACE_BOUND('',#21984,.F.); +#21987=ORIENTED_EDGE('',*,*,#21986,.T.); +#21989=ORIENTED_EDGE('',*,*,#21988,.T.); +#21990=EDGE_LOOP('',(#21987,#21989)); +#21991=FACE_BOUND('',#21990,.F.); +#21993=ORIENTED_EDGE('',*,*,#21992,.T.); +#21995=ORIENTED_EDGE('',*,*,#21994,.T.); +#21996=EDGE_LOOP('',(#21993,#21995)); +#21997=FACE_BOUND('',#21996,.F.); +#21999=ORIENTED_EDGE('',*,*,#21998,.T.); +#22001=ORIENTED_EDGE('',*,*,#22000,.T.); +#22002=EDGE_LOOP('',(#21999,#22001)); +#22003=FACE_BOUND('',#22002,.F.); +#22005=ORIENTED_EDGE('',*,*,#22004,.T.); +#22007=ORIENTED_EDGE('',*,*,#22006,.T.); +#22008=EDGE_LOOP('',(#22005,#22007)); +#22009=FACE_BOUND('',#22008,.F.); +#22011=ORIENTED_EDGE('',*,*,#22010,.T.); +#22013=ORIENTED_EDGE('',*,*,#22012,.T.); +#22014=EDGE_LOOP('',(#22011,#22013)); +#22015=FACE_BOUND('',#22014,.F.); +#22017=CARTESIAN_POINT('',(-2.2E1,-6.65E0,-2.78E1)); +#22018=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22019=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22020=AXIS2_PLACEMENT_3D('',#22017,#22018,#22019); +#22021=CYLINDRICAL_SURFACE('',#22020,6.5E-1); +#22022=ORIENTED_EDGE('',*,*,#21944,.F.); +#22024=ORIENTED_EDGE('',*,*,#22023,.T.); +#22026=ORIENTED_EDGE('',*,*,#22025,.T.); +#22028=ORIENTED_EDGE('',*,*,#22027,.F.); +#22029=EDGE_LOOP('',(#22022,#22024,#22026,#22028)); +#22030=FACE_OUTER_BOUND('',#22029,.F.); +#22032=CARTESIAN_POINT('',(-2.2E1,-6.65E0,-2.78E1)); +#22033=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22034=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22035=AXIS2_PLACEMENT_3D('',#22032,#22033,#22034); +#22036=CYLINDRICAL_SURFACE('',#22035,6.5E-1); +#22037=ORIENTED_EDGE('',*,*,#21946,.F.); +#22038=ORIENTED_EDGE('',*,*,#22027,.T.); +#22040=ORIENTED_EDGE('',*,*,#22039,.T.); +#22041=ORIENTED_EDGE('',*,*,#22023,.F.); +#22042=EDGE_LOOP('',(#22037,#22038,#22040,#22041)); +#22043=FACE_OUTER_BOUND('',#22042,.F.); +#22045=CARTESIAN_POINT('',(-2.2E1,-1.522E1,-2.78E1)); +#22046=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22047=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22048=AXIS2_PLACEMENT_3D('',#22045,#22046,#22047); +#22049=PLANE('',#22048); +#22050=ORIENTED_EDGE('',*,*,#22025,.F.); +#22051=ORIENTED_EDGE('',*,*,#22039,.F.); +#22052=EDGE_LOOP('',(#22050,#22051)); +#22053=FACE_OUTER_BOUND('',#22052,.F.); +#22055=CARTESIAN_POINT('',(-1.8E1,-6.65E0,-2.78E1)); +#22056=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22057=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22058=AXIS2_PLACEMENT_3D('',#22055,#22056,#22057); +#22059=CYLINDRICAL_SURFACE('',#22058,6.5E-1); +#22060=ORIENTED_EDGE('',*,*,#21950,.F.); +#22062=ORIENTED_EDGE('',*,*,#22061,.T.); +#22064=ORIENTED_EDGE('',*,*,#22063,.T.); +#22066=ORIENTED_EDGE('',*,*,#22065,.F.); +#22067=EDGE_LOOP('',(#22060,#22062,#22064,#22066)); +#22068=FACE_OUTER_BOUND('',#22067,.F.); +#22070=CARTESIAN_POINT('',(-1.8E1,-6.65E0,-2.78E1)); +#22071=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22072=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22073=AXIS2_PLACEMENT_3D('',#22070,#22071,#22072); +#22074=CYLINDRICAL_SURFACE('',#22073,6.5E-1); +#22075=ORIENTED_EDGE('',*,*,#21952,.F.); +#22076=ORIENTED_EDGE('',*,*,#22065,.T.); +#22078=ORIENTED_EDGE('',*,*,#22077,.T.); +#22079=ORIENTED_EDGE('',*,*,#22061,.F.); +#22080=EDGE_LOOP('',(#22075,#22076,#22078,#22079)); +#22081=FACE_OUTER_BOUND('',#22080,.F.); +#22083=CARTESIAN_POINT('',(-1.8E1,-1.522E1,-2.78E1)); +#22084=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22085=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22086=AXIS2_PLACEMENT_3D('',#22083,#22084,#22085); +#22087=PLANE('',#22086); +#22088=ORIENTED_EDGE('',*,*,#22063,.F.); +#22089=ORIENTED_EDGE('',*,*,#22077,.F.); +#22090=EDGE_LOOP('',(#22088,#22089)); +#22091=FACE_OUTER_BOUND('',#22090,.F.); +#22093=CARTESIAN_POINT('',(-1.4E1,-6.65E0,-2.78E1)); +#22094=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22095=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22096=AXIS2_PLACEMENT_3D('',#22093,#22094,#22095); +#22097=CYLINDRICAL_SURFACE('',#22096,6.5E-1); +#22098=ORIENTED_EDGE('',*,*,#21956,.F.); +#22100=ORIENTED_EDGE('',*,*,#22099,.T.); +#22102=ORIENTED_EDGE('',*,*,#22101,.T.); +#22104=ORIENTED_EDGE('',*,*,#22103,.F.); +#22105=EDGE_LOOP('',(#22098,#22100,#22102,#22104)); +#22106=FACE_OUTER_BOUND('',#22105,.F.); +#22108=CARTESIAN_POINT('',(-1.4E1,-6.65E0,-2.78E1)); +#22109=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22110=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22111=AXIS2_PLACEMENT_3D('',#22108,#22109,#22110); +#22112=CYLINDRICAL_SURFACE('',#22111,6.5E-1); +#22113=ORIENTED_EDGE('',*,*,#21958,.F.); +#22114=ORIENTED_EDGE('',*,*,#22103,.T.); +#22116=ORIENTED_EDGE('',*,*,#22115,.T.); +#22117=ORIENTED_EDGE('',*,*,#22099,.F.); +#22118=EDGE_LOOP('',(#22113,#22114,#22116,#22117)); +#22119=FACE_OUTER_BOUND('',#22118,.F.); +#22121=CARTESIAN_POINT('',(-1.4E1,-1.522E1,-2.78E1)); +#22122=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22123=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22124=AXIS2_PLACEMENT_3D('',#22121,#22122,#22123); +#22125=PLANE('',#22124); +#22126=ORIENTED_EDGE('',*,*,#22101,.F.); +#22127=ORIENTED_EDGE('',*,*,#22115,.F.); +#22128=EDGE_LOOP('',(#22126,#22127)); +#22129=FACE_OUTER_BOUND('',#22128,.F.); +#22131=CARTESIAN_POINT('',(-1.E1,-6.65E0,-2.78E1)); +#22132=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22133=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22134=AXIS2_PLACEMENT_3D('',#22131,#22132,#22133); +#22135=CYLINDRICAL_SURFACE('',#22134,6.5E-1); +#22136=ORIENTED_EDGE('',*,*,#21962,.F.); +#22138=ORIENTED_EDGE('',*,*,#22137,.T.); +#22140=ORIENTED_EDGE('',*,*,#22139,.T.); +#22142=ORIENTED_EDGE('',*,*,#22141,.F.); +#22143=EDGE_LOOP('',(#22136,#22138,#22140,#22142)); +#22144=FACE_OUTER_BOUND('',#22143,.F.); +#22146=CARTESIAN_POINT('',(-1.E1,-6.65E0,-2.78E1)); +#22147=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22148=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22149=AXIS2_PLACEMENT_3D('',#22146,#22147,#22148); +#22150=CYLINDRICAL_SURFACE('',#22149,6.5E-1); +#22151=ORIENTED_EDGE('',*,*,#21964,.F.); +#22152=ORIENTED_EDGE('',*,*,#22141,.T.); +#22154=ORIENTED_EDGE('',*,*,#22153,.T.); +#22155=ORIENTED_EDGE('',*,*,#22137,.F.); +#22156=EDGE_LOOP('',(#22151,#22152,#22154,#22155)); +#22157=FACE_OUTER_BOUND('',#22156,.F.); +#22159=CARTESIAN_POINT('',(-1.E1,-1.522E1,-2.78E1)); +#22160=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22161=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22162=AXIS2_PLACEMENT_3D('',#22159,#22160,#22161); +#22163=PLANE('',#22162); +#22164=ORIENTED_EDGE('',*,*,#22139,.F.); +#22165=ORIENTED_EDGE('',*,*,#22153,.F.); +#22166=EDGE_LOOP('',(#22164,#22165)); +#22167=FACE_OUTER_BOUND('',#22166,.F.); +#22169=CARTESIAN_POINT('',(-6.E0,-6.65E0,-2.78E1)); +#22170=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22171=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22172=AXIS2_PLACEMENT_3D('',#22169,#22170,#22171); +#22173=CYLINDRICAL_SURFACE('',#22172,6.5E-1); +#22174=ORIENTED_EDGE('',*,*,#21968,.F.); +#22176=ORIENTED_EDGE('',*,*,#22175,.T.); +#22178=ORIENTED_EDGE('',*,*,#22177,.T.); +#22180=ORIENTED_EDGE('',*,*,#22179,.F.); +#22181=EDGE_LOOP('',(#22174,#22176,#22178,#22180)); +#22182=FACE_OUTER_BOUND('',#22181,.F.); +#22184=CARTESIAN_POINT('',(-6.E0,-6.65E0,-2.78E1)); +#22185=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22186=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22187=AXIS2_PLACEMENT_3D('',#22184,#22185,#22186); +#22188=CYLINDRICAL_SURFACE('',#22187,6.5E-1); +#22189=ORIENTED_EDGE('',*,*,#21970,.F.); +#22190=ORIENTED_EDGE('',*,*,#22179,.T.); +#22192=ORIENTED_EDGE('',*,*,#22191,.T.); +#22193=ORIENTED_EDGE('',*,*,#22175,.F.); +#22194=EDGE_LOOP('',(#22189,#22190,#22192,#22193)); +#22195=FACE_OUTER_BOUND('',#22194,.F.); +#22197=CARTESIAN_POINT('',(-6.E0,-1.522E1,-2.78E1)); +#22198=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22199=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22200=AXIS2_PLACEMENT_3D('',#22197,#22198,#22199); +#22201=PLANE('',#22200); +#22202=ORIENTED_EDGE('',*,*,#22177,.F.); +#22203=ORIENTED_EDGE('',*,*,#22191,.F.); +#22204=EDGE_LOOP('',(#22202,#22203)); +#22205=FACE_OUTER_BOUND('',#22204,.F.); +#22207=CARTESIAN_POINT('',(-2.E0,-6.65E0,-2.78E1)); +#22208=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22209=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22210=AXIS2_PLACEMENT_3D('',#22207,#22208,#22209); +#22211=CYLINDRICAL_SURFACE('',#22210,6.5E-1); +#22212=ORIENTED_EDGE('',*,*,#21974,.F.); +#22214=ORIENTED_EDGE('',*,*,#22213,.T.); +#22216=ORIENTED_EDGE('',*,*,#22215,.T.); +#22218=ORIENTED_EDGE('',*,*,#22217,.F.); +#22219=EDGE_LOOP('',(#22212,#22214,#22216,#22218)); +#22220=FACE_OUTER_BOUND('',#22219,.F.); +#22222=CARTESIAN_POINT('',(-2.E0,-6.65E0,-2.78E1)); +#22223=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22224=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22225=AXIS2_PLACEMENT_3D('',#22222,#22223,#22224); +#22226=CYLINDRICAL_SURFACE('',#22225,6.5E-1); +#22227=ORIENTED_EDGE('',*,*,#21976,.F.); +#22228=ORIENTED_EDGE('',*,*,#22217,.T.); +#22230=ORIENTED_EDGE('',*,*,#22229,.T.); +#22231=ORIENTED_EDGE('',*,*,#22213,.F.); +#22232=EDGE_LOOP('',(#22227,#22228,#22230,#22231)); +#22233=FACE_OUTER_BOUND('',#22232,.F.); +#22235=CARTESIAN_POINT('',(-2.E0,-1.522E1,-2.78E1)); +#22236=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22237=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22238=AXIS2_PLACEMENT_3D('',#22235,#22236,#22237); +#22239=PLANE('',#22238); +#22240=ORIENTED_EDGE('',*,*,#22215,.F.); +#22241=ORIENTED_EDGE('',*,*,#22229,.F.); +#22242=EDGE_LOOP('',(#22240,#22241)); +#22243=FACE_OUTER_BOUND('',#22242,.F.); +#22245=CARTESIAN_POINT('',(2.E0,-6.65E0,-2.78E1)); +#22246=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22247=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22248=AXIS2_PLACEMENT_3D('',#22245,#22246,#22247); +#22249=CYLINDRICAL_SURFACE('',#22248,6.5E-1); +#22250=ORIENTED_EDGE('',*,*,#21980,.F.); +#22252=ORIENTED_EDGE('',*,*,#22251,.T.); +#22254=ORIENTED_EDGE('',*,*,#22253,.T.); +#22256=ORIENTED_EDGE('',*,*,#22255,.F.); +#22257=EDGE_LOOP('',(#22250,#22252,#22254,#22256)); +#22258=FACE_OUTER_BOUND('',#22257,.F.); +#22260=CARTESIAN_POINT('',(2.E0,-6.65E0,-2.78E1)); +#22261=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22262=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22263=AXIS2_PLACEMENT_3D('',#22260,#22261,#22262); +#22264=CYLINDRICAL_SURFACE('',#22263,6.5E-1); +#22265=ORIENTED_EDGE('',*,*,#21982,.F.); +#22266=ORIENTED_EDGE('',*,*,#22255,.T.); +#22268=ORIENTED_EDGE('',*,*,#22267,.T.); +#22269=ORIENTED_EDGE('',*,*,#22251,.F.); +#22270=EDGE_LOOP('',(#22265,#22266,#22268,#22269)); +#22271=FACE_OUTER_BOUND('',#22270,.F.); +#22273=CARTESIAN_POINT('',(2.E0,-1.522E1,-2.78E1)); +#22274=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22275=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22276=AXIS2_PLACEMENT_3D('',#22273,#22274,#22275); +#22277=PLANE('',#22276); +#22278=ORIENTED_EDGE('',*,*,#22253,.F.); +#22279=ORIENTED_EDGE('',*,*,#22267,.F.); +#22280=EDGE_LOOP('',(#22278,#22279)); +#22281=FACE_OUTER_BOUND('',#22280,.F.); +#22283=CARTESIAN_POINT('',(6.E0,-6.65E0,-2.78E1)); +#22284=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22285=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22286=AXIS2_PLACEMENT_3D('',#22283,#22284,#22285); +#22287=CYLINDRICAL_SURFACE('',#22286,6.5E-1); +#22288=ORIENTED_EDGE('',*,*,#21986,.F.); +#22290=ORIENTED_EDGE('',*,*,#22289,.T.); +#22292=ORIENTED_EDGE('',*,*,#22291,.T.); +#22294=ORIENTED_EDGE('',*,*,#22293,.F.); +#22295=EDGE_LOOP('',(#22288,#22290,#22292,#22294)); +#22296=FACE_OUTER_BOUND('',#22295,.F.); +#22298=CARTESIAN_POINT('',(6.E0,-6.65E0,-2.78E1)); +#22299=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22300=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22301=AXIS2_PLACEMENT_3D('',#22298,#22299,#22300); +#22302=CYLINDRICAL_SURFACE('',#22301,6.5E-1); +#22303=ORIENTED_EDGE('',*,*,#21988,.F.); +#22304=ORIENTED_EDGE('',*,*,#22293,.T.); +#22306=ORIENTED_EDGE('',*,*,#22305,.T.); +#22307=ORIENTED_EDGE('',*,*,#22289,.F.); +#22308=EDGE_LOOP('',(#22303,#22304,#22306,#22307)); +#22309=FACE_OUTER_BOUND('',#22308,.F.); +#22311=CARTESIAN_POINT('',(6.E0,-1.522E1,-2.78E1)); +#22312=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22313=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22314=AXIS2_PLACEMENT_3D('',#22311,#22312,#22313); +#22315=PLANE('',#22314); +#22316=ORIENTED_EDGE('',*,*,#22291,.F.); +#22317=ORIENTED_EDGE('',*,*,#22305,.F.); +#22318=EDGE_LOOP('',(#22316,#22317)); +#22319=FACE_OUTER_BOUND('',#22318,.F.); +#22321=CARTESIAN_POINT('',(1.E1,-6.65E0,-2.78E1)); +#22322=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22323=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22324=AXIS2_PLACEMENT_3D('',#22321,#22322,#22323); +#22325=CYLINDRICAL_SURFACE('',#22324,6.5E-1); +#22326=ORIENTED_EDGE('',*,*,#21992,.F.); +#22328=ORIENTED_EDGE('',*,*,#22327,.T.); +#22330=ORIENTED_EDGE('',*,*,#22329,.T.); +#22332=ORIENTED_EDGE('',*,*,#22331,.F.); +#22333=EDGE_LOOP('',(#22326,#22328,#22330,#22332)); +#22334=FACE_OUTER_BOUND('',#22333,.F.); +#22336=CARTESIAN_POINT('',(1.E1,-6.65E0,-2.78E1)); +#22337=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22338=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22339=AXIS2_PLACEMENT_3D('',#22336,#22337,#22338); +#22340=CYLINDRICAL_SURFACE('',#22339,6.5E-1); +#22341=ORIENTED_EDGE('',*,*,#21994,.F.); +#22342=ORIENTED_EDGE('',*,*,#22331,.T.); +#22344=ORIENTED_EDGE('',*,*,#22343,.T.); +#22345=ORIENTED_EDGE('',*,*,#22327,.F.); +#22346=EDGE_LOOP('',(#22341,#22342,#22344,#22345)); +#22347=FACE_OUTER_BOUND('',#22346,.F.); +#22349=CARTESIAN_POINT('',(1.E1,-1.522E1,-2.78E1)); +#22350=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22351=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22352=AXIS2_PLACEMENT_3D('',#22349,#22350,#22351); +#22353=PLANE('',#22352); +#22354=ORIENTED_EDGE('',*,*,#22329,.F.); +#22355=ORIENTED_EDGE('',*,*,#22343,.F.); +#22356=EDGE_LOOP('',(#22354,#22355)); +#22357=FACE_OUTER_BOUND('',#22356,.F.); +#22359=CARTESIAN_POINT('',(1.4E1,-6.65E0,-2.78E1)); +#22360=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22361=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22362=AXIS2_PLACEMENT_3D('',#22359,#22360,#22361); +#22363=CYLINDRICAL_SURFACE('',#22362,6.5E-1); +#22364=ORIENTED_EDGE('',*,*,#21998,.F.); +#22366=ORIENTED_EDGE('',*,*,#22365,.T.); +#22368=ORIENTED_EDGE('',*,*,#22367,.T.); +#22370=ORIENTED_EDGE('',*,*,#22369,.F.); +#22371=EDGE_LOOP('',(#22364,#22366,#22368,#22370)); +#22372=FACE_OUTER_BOUND('',#22371,.F.); +#22374=CARTESIAN_POINT('',(1.4E1,-6.65E0,-2.78E1)); +#22375=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22376=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22377=AXIS2_PLACEMENT_3D('',#22374,#22375,#22376); +#22378=CYLINDRICAL_SURFACE('',#22377,6.5E-1); +#22379=ORIENTED_EDGE('',*,*,#22000,.F.); +#22380=ORIENTED_EDGE('',*,*,#22369,.T.); +#22382=ORIENTED_EDGE('',*,*,#22381,.T.); +#22383=ORIENTED_EDGE('',*,*,#22365,.F.); +#22384=EDGE_LOOP('',(#22379,#22380,#22382,#22383)); +#22385=FACE_OUTER_BOUND('',#22384,.F.); +#22387=CARTESIAN_POINT('',(1.4E1,-1.522E1,-2.78E1)); +#22388=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22389=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22390=AXIS2_PLACEMENT_3D('',#22387,#22388,#22389); +#22391=PLANE('',#22390); +#22392=ORIENTED_EDGE('',*,*,#22367,.F.); +#22393=ORIENTED_EDGE('',*,*,#22381,.F.); +#22394=EDGE_LOOP('',(#22392,#22393)); +#22395=FACE_OUTER_BOUND('',#22394,.F.); +#22397=CARTESIAN_POINT('',(1.8E1,-6.65E0,-2.78E1)); +#22398=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22399=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22400=AXIS2_PLACEMENT_3D('',#22397,#22398,#22399); +#22401=CYLINDRICAL_SURFACE('',#22400,6.5E-1); +#22402=ORIENTED_EDGE('',*,*,#22004,.F.); +#22404=ORIENTED_EDGE('',*,*,#22403,.T.); +#22406=ORIENTED_EDGE('',*,*,#22405,.T.); +#22408=ORIENTED_EDGE('',*,*,#22407,.F.); +#22409=EDGE_LOOP('',(#22402,#22404,#22406,#22408)); +#22410=FACE_OUTER_BOUND('',#22409,.F.); +#22412=CARTESIAN_POINT('',(1.8E1,-6.65E0,-2.78E1)); +#22413=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22414=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22415=AXIS2_PLACEMENT_3D('',#22412,#22413,#22414); +#22416=CYLINDRICAL_SURFACE('',#22415,6.5E-1); +#22417=ORIENTED_EDGE('',*,*,#22006,.F.); +#22418=ORIENTED_EDGE('',*,*,#22407,.T.); +#22420=ORIENTED_EDGE('',*,*,#22419,.T.); +#22421=ORIENTED_EDGE('',*,*,#22403,.F.); +#22422=EDGE_LOOP('',(#22417,#22418,#22420,#22421)); +#22423=FACE_OUTER_BOUND('',#22422,.F.); +#22425=CARTESIAN_POINT('',(1.8E1,-1.522E1,-2.78E1)); +#22426=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22427=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22428=AXIS2_PLACEMENT_3D('',#22425,#22426,#22427); +#22429=PLANE('',#22428); +#22430=ORIENTED_EDGE('',*,*,#22405,.F.); +#22431=ORIENTED_EDGE('',*,*,#22419,.F.); +#22432=EDGE_LOOP('',(#22430,#22431)); +#22433=FACE_OUTER_BOUND('',#22432,.F.); +#22435=CARTESIAN_POINT('',(2.2E1,-6.65E0,-2.78E1)); +#22436=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22437=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22438=AXIS2_PLACEMENT_3D('',#22435,#22436,#22437); +#22439=CYLINDRICAL_SURFACE('',#22438,6.5E-1); +#22440=ORIENTED_EDGE('',*,*,#22010,.F.); +#22442=ORIENTED_EDGE('',*,*,#22441,.T.); +#22444=ORIENTED_EDGE('',*,*,#22443,.T.); +#22446=ORIENTED_EDGE('',*,*,#22445,.F.); +#22447=EDGE_LOOP('',(#22440,#22442,#22444,#22446)); +#22448=FACE_OUTER_BOUND('',#22447,.F.); +#22450=CARTESIAN_POINT('',(2.2E1,-6.65E0,-2.78E1)); +#22451=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22452=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22453=AXIS2_PLACEMENT_3D('',#22450,#22451,#22452); +#22454=CYLINDRICAL_SURFACE('',#22453,6.5E-1); +#22455=ORIENTED_EDGE('',*,*,#22012,.F.); +#22456=ORIENTED_EDGE('',*,*,#22445,.T.); +#22458=ORIENTED_EDGE('',*,*,#22457,.T.); +#22459=ORIENTED_EDGE('',*,*,#22441,.F.); +#22460=EDGE_LOOP('',(#22455,#22456,#22458,#22459)); +#22461=FACE_OUTER_BOUND('',#22460,.F.); +#22463=CARTESIAN_POINT('',(2.2E1,-1.522E1,-2.78E1)); +#22464=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22465=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22466=AXIS2_PLACEMENT_3D('',#22463,#22464,#22465); +#22467=PLANE('',#22466); +#22468=ORIENTED_EDGE('',*,*,#22443,.F.); +#22469=ORIENTED_EDGE('',*,*,#22457,.F.); +#22470=EDGE_LOOP('',(#22468,#22469)); +#22471=FACE_OUTER_BOUND('',#22470,.F.); +#22473=CARTESIAN_POINT('',(-2.E1,-2.65E0,-3.18E1)); +#22474=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22475=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22476=AXIS2_PLACEMENT_3D('',#22473,#22474,#22475); +#22477=CYLINDRICAL_SURFACE('',#22476,6.5E-1); +#22478=ORIENTED_EDGE('',*,*,#20098,.F.); +#22480=ORIENTED_EDGE('',*,*,#22479,.T.); +#22482=ORIENTED_EDGE('',*,*,#22481,.T.); +#22484=ORIENTED_EDGE('',*,*,#22483,.F.); +#22485=EDGE_LOOP('',(#22478,#22480,#22482,#22484)); +#22486=FACE_OUTER_BOUND('',#22485,.F.); +#22488=CARTESIAN_POINT('',(-2.E1,-2.65E0,-3.18E1)); +#22489=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22490=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22491=AXIS2_PLACEMENT_3D('',#22488,#22489,#22490); +#22492=CYLINDRICAL_SURFACE('',#22491,6.5E-1); +#22493=ORIENTED_EDGE('',*,*,#20100,.F.); +#22494=ORIENTED_EDGE('',*,*,#22483,.T.); +#22496=ORIENTED_EDGE('',*,*,#22495,.T.); +#22497=ORIENTED_EDGE('',*,*,#22479,.F.); +#22498=EDGE_LOOP('',(#22493,#22494,#22496,#22497)); +#22499=FACE_OUTER_BOUND('',#22498,.F.); +#22501=CARTESIAN_POINT('',(-2.E1,-1.522E1,-3.18E1)); +#22502=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22503=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22504=AXIS2_PLACEMENT_3D('',#22501,#22502,#22503); +#22505=PLANE('',#22504); +#22506=ORIENTED_EDGE('',*,*,#22481,.F.); +#22507=ORIENTED_EDGE('',*,*,#22495,.F.); +#22508=EDGE_LOOP('',(#22506,#22507)); +#22509=FACE_OUTER_BOUND('',#22508,.F.); +#22511=CARTESIAN_POINT('',(-1.6E1,-2.65E0,-3.18E1)); +#22512=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22513=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22514=AXIS2_PLACEMENT_3D('',#22511,#22512,#22513); +#22515=CYLINDRICAL_SURFACE('',#22514,6.5E-1); +#22516=ORIENTED_EDGE('',*,*,#20104,.F.); +#22518=ORIENTED_EDGE('',*,*,#22517,.T.); +#22520=ORIENTED_EDGE('',*,*,#22519,.T.); +#22522=ORIENTED_EDGE('',*,*,#22521,.F.); +#22523=EDGE_LOOP('',(#22516,#22518,#22520,#22522)); +#22524=FACE_OUTER_BOUND('',#22523,.F.); +#22526=CARTESIAN_POINT('',(-1.6E1,-2.65E0,-3.18E1)); +#22527=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22528=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22529=AXIS2_PLACEMENT_3D('',#22526,#22527,#22528); +#22530=CYLINDRICAL_SURFACE('',#22529,6.5E-1); +#22531=ORIENTED_EDGE('',*,*,#20106,.F.); +#22532=ORIENTED_EDGE('',*,*,#22521,.T.); +#22534=ORIENTED_EDGE('',*,*,#22533,.T.); +#22535=ORIENTED_EDGE('',*,*,#22517,.F.); +#22536=EDGE_LOOP('',(#22531,#22532,#22534,#22535)); +#22537=FACE_OUTER_BOUND('',#22536,.F.); +#22539=CARTESIAN_POINT('',(-1.6E1,-1.522E1,-3.18E1)); +#22540=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22541=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22542=AXIS2_PLACEMENT_3D('',#22539,#22540,#22541); +#22543=PLANE('',#22542); +#22544=ORIENTED_EDGE('',*,*,#22519,.F.); +#22545=ORIENTED_EDGE('',*,*,#22533,.F.); +#22546=EDGE_LOOP('',(#22544,#22545)); +#22547=FACE_OUTER_BOUND('',#22546,.F.); +#22549=CARTESIAN_POINT('',(-1.2E1,-2.65E0,-3.18E1)); +#22550=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22551=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22552=AXIS2_PLACEMENT_3D('',#22549,#22550,#22551); +#22553=CYLINDRICAL_SURFACE('',#22552,6.5E-1); +#22554=ORIENTED_EDGE('',*,*,#20110,.F.); +#22556=ORIENTED_EDGE('',*,*,#22555,.T.); +#22558=ORIENTED_EDGE('',*,*,#22557,.T.); +#22560=ORIENTED_EDGE('',*,*,#22559,.F.); +#22561=EDGE_LOOP('',(#22554,#22556,#22558,#22560)); +#22562=FACE_OUTER_BOUND('',#22561,.F.); +#22564=CARTESIAN_POINT('',(-1.2E1,-2.65E0,-3.18E1)); +#22565=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22566=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22567=AXIS2_PLACEMENT_3D('',#22564,#22565,#22566); +#22568=CYLINDRICAL_SURFACE('',#22567,6.5E-1); +#22569=ORIENTED_EDGE('',*,*,#20112,.F.); +#22570=ORIENTED_EDGE('',*,*,#22559,.T.); +#22572=ORIENTED_EDGE('',*,*,#22571,.T.); +#22573=ORIENTED_EDGE('',*,*,#22555,.F.); +#22574=EDGE_LOOP('',(#22569,#22570,#22572,#22573)); +#22575=FACE_OUTER_BOUND('',#22574,.F.); +#22577=CARTESIAN_POINT('',(-1.2E1,-1.522E1,-3.18E1)); +#22578=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22579=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22580=AXIS2_PLACEMENT_3D('',#22577,#22578,#22579); +#22581=PLANE('',#22580); +#22582=ORIENTED_EDGE('',*,*,#22557,.F.); +#22583=ORIENTED_EDGE('',*,*,#22571,.F.); +#22584=EDGE_LOOP('',(#22582,#22583)); +#22585=FACE_OUTER_BOUND('',#22584,.F.); +#22587=CARTESIAN_POINT('',(-8.E0,-2.65E0,-3.18E1)); +#22588=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22589=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22590=AXIS2_PLACEMENT_3D('',#22587,#22588,#22589); +#22591=CYLINDRICAL_SURFACE('',#22590,6.5E-1); +#22592=ORIENTED_EDGE('',*,*,#20116,.F.); +#22594=ORIENTED_EDGE('',*,*,#22593,.T.); +#22596=ORIENTED_EDGE('',*,*,#22595,.T.); +#22598=ORIENTED_EDGE('',*,*,#22597,.F.); +#22599=EDGE_LOOP('',(#22592,#22594,#22596,#22598)); +#22600=FACE_OUTER_BOUND('',#22599,.F.); +#22602=CARTESIAN_POINT('',(-8.E0,-2.65E0,-3.18E1)); +#22603=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22604=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22605=AXIS2_PLACEMENT_3D('',#22602,#22603,#22604); +#22606=CYLINDRICAL_SURFACE('',#22605,6.5E-1); +#22607=ORIENTED_EDGE('',*,*,#20118,.F.); +#22608=ORIENTED_EDGE('',*,*,#22597,.T.); +#22610=ORIENTED_EDGE('',*,*,#22609,.T.); +#22611=ORIENTED_EDGE('',*,*,#22593,.F.); +#22612=EDGE_LOOP('',(#22607,#22608,#22610,#22611)); +#22613=FACE_OUTER_BOUND('',#22612,.F.); +#22615=CARTESIAN_POINT('',(-8.E0,-1.522E1,-3.18E1)); +#22616=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22617=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22618=AXIS2_PLACEMENT_3D('',#22615,#22616,#22617); +#22619=PLANE('',#22618); +#22620=ORIENTED_EDGE('',*,*,#22595,.F.); +#22621=ORIENTED_EDGE('',*,*,#22609,.F.); +#22622=EDGE_LOOP('',(#22620,#22621)); +#22623=FACE_OUTER_BOUND('',#22622,.F.); +#22625=CARTESIAN_POINT('',(-4.E0,-2.65E0,-3.18E1)); +#22626=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22627=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22628=AXIS2_PLACEMENT_3D('',#22625,#22626,#22627); +#22629=CYLINDRICAL_SURFACE('',#22628,6.5E-1); +#22630=ORIENTED_EDGE('',*,*,#20122,.F.); +#22632=ORIENTED_EDGE('',*,*,#22631,.T.); +#22634=ORIENTED_EDGE('',*,*,#22633,.T.); +#22636=ORIENTED_EDGE('',*,*,#22635,.F.); +#22637=EDGE_LOOP('',(#22630,#22632,#22634,#22636)); +#22638=FACE_OUTER_BOUND('',#22637,.F.); +#22640=CARTESIAN_POINT('',(-4.E0,-2.65E0,-3.18E1)); +#22641=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22642=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22643=AXIS2_PLACEMENT_3D('',#22640,#22641,#22642); +#22644=CYLINDRICAL_SURFACE('',#22643,6.5E-1); +#22645=ORIENTED_EDGE('',*,*,#20124,.F.); +#22646=ORIENTED_EDGE('',*,*,#22635,.T.); +#22648=ORIENTED_EDGE('',*,*,#22647,.T.); +#22649=ORIENTED_EDGE('',*,*,#22631,.F.); +#22650=EDGE_LOOP('',(#22645,#22646,#22648,#22649)); +#22651=FACE_OUTER_BOUND('',#22650,.F.); +#22653=CARTESIAN_POINT('',(-4.E0,-1.522E1,-3.18E1)); +#22654=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22655=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22656=AXIS2_PLACEMENT_3D('',#22653,#22654,#22655); +#22657=PLANE('',#22656); +#22658=ORIENTED_EDGE('',*,*,#22633,.F.); +#22659=ORIENTED_EDGE('',*,*,#22647,.F.); +#22660=EDGE_LOOP('',(#22658,#22659)); +#22661=FACE_OUTER_BOUND('',#22660,.F.); +#22663=CARTESIAN_POINT('',(0.E0,-2.65E0,-3.18E1)); +#22664=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22665=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22666=AXIS2_PLACEMENT_3D('',#22663,#22664,#22665); +#22667=CYLINDRICAL_SURFACE('',#22666,6.5E-1); +#22668=ORIENTED_EDGE('',*,*,#20128,.F.); +#22670=ORIENTED_EDGE('',*,*,#22669,.T.); +#22672=ORIENTED_EDGE('',*,*,#22671,.T.); +#22674=ORIENTED_EDGE('',*,*,#22673,.F.); +#22675=EDGE_LOOP('',(#22668,#22670,#22672,#22674)); +#22676=FACE_OUTER_BOUND('',#22675,.F.); +#22678=CARTESIAN_POINT('',(0.E0,-2.65E0,-3.18E1)); +#22679=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22680=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22681=AXIS2_PLACEMENT_3D('',#22678,#22679,#22680); +#22682=CYLINDRICAL_SURFACE('',#22681,6.5E-1); +#22683=ORIENTED_EDGE('',*,*,#20130,.F.); +#22684=ORIENTED_EDGE('',*,*,#22673,.T.); +#22686=ORIENTED_EDGE('',*,*,#22685,.T.); +#22687=ORIENTED_EDGE('',*,*,#22669,.F.); +#22688=EDGE_LOOP('',(#22683,#22684,#22686,#22687)); +#22689=FACE_OUTER_BOUND('',#22688,.F.); +#22691=CARTESIAN_POINT('',(0.E0,-1.522E1,-3.18E1)); +#22692=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22693=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22694=AXIS2_PLACEMENT_3D('',#22691,#22692,#22693); +#22695=PLANE('',#22694); +#22696=ORIENTED_EDGE('',*,*,#22671,.F.); +#22697=ORIENTED_EDGE('',*,*,#22685,.F.); +#22698=EDGE_LOOP('',(#22696,#22697)); +#22699=FACE_OUTER_BOUND('',#22698,.F.); +#22701=CARTESIAN_POINT('',(4.E0,-2.65E0,-3.18E1)); +#22702=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22703=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22704=AXIS2_PLACEMENT_3D('',#22701,#22702,#22703); +#22705=CYLINDRICAL_SURFACE('',#22704,6.5E-1); +#22706=ORIENTED_EDGE('',*,*,#20134,.F.); +#22708=ORIENTED_EDGE('',*,*,#22707,.T.); +#22710=ORIENTED_EDGE('',*,*,#22709,.T.); +#22712=ORIENTED_EDGE('',*,*,#22711,.F.); +#22713=EDGE_LOOP('',(#22706,#22708,#22710,#22712)); +#22714=FACE_OUTER_BOUND('',#22713,.F.); +#22716=CARTESIAN_POINT('',(4.E0,-2.65E0,-3.18E1)); +#22717=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22718=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22719=AXIS2_PLACEMENT_3D('',#22716,#22717,#22718); +#22720=CYLINDRICAL_SURFACE('',#22719,6.5E-1); +#22721=ORIENTED_EDGE('',*,*,#20136,.F.); +#22722=ORIENTED_EDGE('',*,*,#22711,.T.); +#22724=ORIENTED_EDGE('',*,*,#22723,.T.); +#22725=ORIENTED_EDGE('',*,*,#22707,.F.); +#22726=EDGE_LOOP('',(#22721,#22722,#22724,#22725)); +#22727=FACE_OUTER_BOUND('',#22726,.F.); +#22729=CARTESIAN_POINT('',(4.E0,-1.522E1,-3.18E1)); +#22730=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22731=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22732=AXIS2_PLACEMENT_3D('',#22729,#22730,#22731); +#22733=PLANE('',#22732); +#22734=ORIENTED_EDGE('',*,*,#22709,.F.); +#22735=ORIENTED_EDGE('',*,*,#22723,.F.); +#22736=EDGE_LOOP('',(#22734,#22735)); +#22737=FACE_OUTER_BOUND('',#22736,.F.); +#22739=CARTESIAN_POINT('',(8.E0,-2.65E0,-3.18E1)); +#22740=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22741=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22742=AXIS2_PLACEMENT_3D('',#22739,#22740,#22741); +#22743=CYLINDRICAL_SURFACE('',#22742,6.5E-1); +#22744=ORIENTED_EDGE('',*,*,#20140,.F.); +#22746=ORIENTED_EDGE('',*,*,#22745,.T.); +#22748=ORIENTED_EDGE('',*,*,#22747,.T.); +#22750=ORIENTED_EDGE('',*,*,#22749,.F.); +#22751=EDGE_LOOP('',(#22744,#22746,#22748,#22750)); +#22752=FACE_OUTER_BOUND('',#22751,.F.); +#22754=CARTESIAN_POINT('',(8.E0,-2.65E0,-3.18E1)); +#22755=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22756=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22757=AXIS2_PLACEMENT_3D('',#22754,#22755,#22756); +#22758=CYLINDRICAL_SURFACE('',#22757,6.5E-1); +#22759=ORIENTED_EDGE('',*,*,#20142,.F.); +#22760=ORIENTED_EDGE('',*,*,#22749,.T.); +#22762=ORIENTED_EDGE('',*,*,#22761,.T.); +#22763=ORIENTED_EDGE('',*,*,#22745,.F.); +#22764=EDGE_LOOP('',(#22759,#22760,#22762,#22763)); +#22765=FACE_OUTER_BOUND('',#22764,.F.); +#22767=CARTESIAN_POINT('',(8.E0,-1.522E1,-3.18E1)); +#22768=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22769=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22770=AXIS2_PLACEMENT_3D('',#22767,#22768,#22769); +#22771=PLANE('',#22770); +#22772=ORIENTED_EDGE('',*,*,#22747,.F.); +#22773=ORIENTED_EDGE('',*,*,#22761,.F.); +#22774=EDGE_LOOP('',(#22772,#22773)); +#22775=FACE_OUTER_BOUND('',#22774,.F.); +#22777=CARTESIAN_POINT('',(1.2E1,-2.65E0,-3.18E1)); +#22778=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22779=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22780=AXIS2_PLACEMENT_3D('',#22777,#22778,#22779); +#22781=CYLINDRICAL_SURFACE('',#22780,6.5E-1); +#22782=ORIENTED_EDGE('',*,*,#20146,.F.); +#22784=ORIENTED_EDGE('',*,*,#22783,.T.); +#22786=ORIENTED_EDGE('',*,*,#22785,.T.); +#22788=ORIENTED_EDGE('',*,*,#22787,.F.); +#22789=EDGE_LOOP('',(#22782,#22784,#22786,#22788)); +#22790=FACE_OUTER_BOUND('',#22789,.F.); +#22792=CARTESIAN_POINT('',(1.2E1,-2.65E0,-3.18E1)); +#22793=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22794=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22795=AXIS2_PLACEMENT_3D('',#22792,#22793,#22794); +#22796=CYLINDRICAL_SURFACE('',#22795,6.5E-1); +#22797=ORIENTED_EDGE('',*,*,#20148,.F.); +#22798=ORIENTED_EDGE('',*,*,#22787,.T.); +#22800=ORIENTED_EDGE('',*,*,#22799,.T.); +#22801=ORIENTED_EDGE('',*,*,#22783,.F.); +#22802=EDGE_LOOP('',(#22797,#22798,#22800,#22801)); +#22803=FACE_OUTER_BOUND('',#22802,.F.); +#22805=CARTESIAN_POINT('',(1.2E1,-1.522E1,-3.18E1)); +#22806=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22807=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22808=AXIS2_PLACEMENT_3D('',#22805,#22806,#22807); +#22809=PLANE('',#22808); +#22810=ORIENTED_EDGE('',*,*,#22785,.F.); +#22811=ORIENTED_EDGE('',*,*,#22799,.F.); +#22812=EDGE_LOOP('',(#22810,#22811)); +#22813=FACE_OUTER_BOUND('',#22812,.F.); +#22815=CARTESIAN_POINT('',(1.6E1,-2.65E0,-3.18E1)); +#22816=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22817=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22818=AXIS2_PLACEMENT_3D('',#22815,#22816,#22817); +#22819=CYLINDRICAL_SURFACE('',#22818,6.5E-1); +#22820=ORIENTED_EDGE('',*,*,#20152,.F.); +#22822=ORIENTED_EDGE('',*,*,#22821,.T.); +#22824=ORIENTED_EDGE('',*,*,#22823,.T.); +#22826=ORIENTED_EDGE('',*,*,#22825,.F.); +#22827=EDGE_LOOP('',(#22820,#22822,#22824,#22826)); +#22828=FACE_OUTER_BOUND('',#22827,.F.); +#22830=CARTESIAN_POINT('',(1.6E1,-2.65E0,-3.18E1)); +#22831=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22832=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22833=AXIS2_PLACEMENT_3D('',#22830,#22831,#22832); +#22834=CYLINDRICAL_SURFACE('',#22833,6.5E-1); +#22835=ORIENTED_EDGE('',*,*,#20154,.F.); +#22836=ORIENTED_EDGE('',*,*,#22825,.T.); +#22838=ORIENTED_EDGE('',*,*,#22837,.T.); +#22839=ORIENTED_EDGE('',*,*,#22821,.F.); +#22840=EDGE_LOOP('',(#22835,#22836,#22838,#22839)); +#22841=FACE_OUTER_BOUND('',#22840,.F.); +#22843=CARTESIAN_POINT('',(1.6E1,-1.522E1,-3.18E1)); +#22844=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22845=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22846=AXIS2_PLACEMENT_3D('',#22843,#22844,#22845); +#22847=PLANE('',#22846); +#22848=ORIENTED_EDGE('',*,*,#22823,.F.); +#22849=ORIENTED_EDGE('',*,*,#22837,.F.); +#22850=EDGE_LOOP('',(#22848,#22849)); +#22851=FACE_OUTER_BOUND('',#22850,.F.); +#22853=CARTESIAN_POINT('',(2.E1,-2.65E0,-3.18E1)); +#22854=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22856=AXIS2_PLACEMENT_3D('',#22853,#22854,#22855); +#22857=CYLINDRICAL_SURFACE('',#22856,6.5E-1); +#22858=ORIENTED_EDGE('',*,*,#20158,.F.); +#22860=ORIENTED_EDGE('',*,*,#22859,.T.); +#22862=ORIENTED_EDGE('',*,*,#22861,.T.); +#22864=ORIENTED_EDGE('',*,*,#22863,.F.); +#22865=EDGE_LOOP('',(#22858,#22860,#22862,#22864)); +#22866=FACE_OUTER_BOUND('',#22865,.F.); +#22868=CARTESIAN_POINT('',(2.E1,-2.65E0,-3.18E1)); +#22869=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22870=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22871=AXIS2_PLACEMENT_3D('',#22868,#22869,#22870); +#22872=CYLINDRICAL_SURFACE('',#22871,6.5E-1); +#22873=ORIENTED_EDGE('',*,*,#20160,.F.); +#22874=ORIENTED_EDGE('',*,*,#22863,.T.); +#22876=ORIENTED_EDGE('',*,*,#22875,.T.); +#22877=ORIENTED_EDGE('',*,*,#22859,.F.); +#22878=EDGE_LOOP('',(#22873,#22874,#22876,#22877)); +#22879=FACE_OUTER_BOUND('',#22878,.F.); +#22881=CARTESIAN_POINT('',(2.E1,-1.522E1,-3.18E1)); +#22882=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22883=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22884=AXIS2_PLACEMENT_3D('',#22881,#22882,#22883); +#22885=PLANE('',#22884); +#22886=ORIENTED_EDGE('',*,*,#22861,.F.); +#22887=ORIENTED_EDGE('',*,*,#22875,.F.); +#22888=EDGE_LOOP('',(#22886,#22887)); +#22889=FACE_OUTER_BOUND('',#22888,.F.); +#22891=CARTESIAN_POINT('',(-2.2E1,-4.E0,-1.2475E1)); +#22892=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22893=DIRECTION('',(0.E0,1.E0,0.E0)); +#22894=AXIS2_PLACEMENT_3D('',#22891,#22892,#22893); +#22895=CYLINDRICAL_SURFACE('',#22894,6.5E-1); +#22896=ORIENTED_EDGE('',*,*,#13894,.T.); +#22898=ORIENTED_EDGE('',*,*,#22897,.F.); +#22900=ORIENTED_EDGE('',*,*,#22899,.F.); +#22902=ORIENTED_EDGE('',*,*,#22901,.T.); +#22903=EDGE_LOOP('',(#22896,#22898,#22900,#22902)); +#22904=FACE_OUTER_BOUND('',#22903,.F.); +#22906=CARTESIAN_POINT('',(-2.2E1,-4.E0,-1.2475E1)); +#22907=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22908=DIRECTION('',(0.E0,1.E0,0.E0)); +#22909=AXIS2_PLACEMENT_3D('',#22906,#22907,#22908); +#22910=CYLINDRICAL_SURFACE('',#22909,6.5E-1); +#22911=ORIENTED_EDGE('',*,*,#13896,.T.); +#22912=ORIENTED_EDGE('',*,*,#22901,.F.); +#22914=ORIENTED_EDGE('',*,*,#22913,.F.); +#22915=ORIENTED_EDGE('',*,*,#22897,.T.); +#22916=EDGE_LOOP('',(#22911,#22912,#22914,#22915)); +#22917=FACE_OUTER_BOUND('',#22916,.F.); +#22919=CARTESIAN_POINT('',(-2.2E1,-4.E0,-1.2475E1)); +#22920=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22921=DIRECTION('',(0.E0,1.E0,0.E0)); +#22922=AXIS2_PLACEMENT_3D('',#22919,#22920,#22921); +#22923=PLANE('',#22922); +#22924=ORIENTED_EDGE('',*,*,#22899,.T.); +#22925=ORIENTED_EDGE('',*,*,#22913,.T.); +#22926=EDGE_LOOP('',(#22924,#22925)); +#22927=FACE_OUTER_BOUND('',#22926,.F.); +#22929=CARTESIAN_POINT('',(-1.8E1,-4.E0,-1.2475E1)); +#22930=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22931=DIRECTION('',(0.E0,1.E0,0.E0)); +#22932=AXIS2_PLACEMENT_3D('',#22929,#22930,#22931); +#22933=CYLINDRICAL_SURFACE('',#22932,6.5E-1); +#22934=ORIENTED_EDGE('',*,*,#13900,.T.); +#22936=ORIENTED_EDGE('',*,*,#22935,.F.); +#22938=ORIENTED_EDGE('',*,*,#22937,.F.); +#22940=ORIENTED_EDGE('',*,*,#22939,.T.); +#22941=EDGE_LOOP('',(#22934,#22936,#22938,#22940)); +#22942=FACE_OUTER_BOUND('',#22941,.F.); +#22944=CARTESIAN_POINT('',(-1.8E1,-4.E0,-1.2475E1)); +#22945=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22946=DIRECTION('',(0.E0,1.E0,0.E0)); +#22947=AXIS2_PLACEMENT_3D('',#22944,#22945,#22946); +#22948=CYLINDRICAL_SURFACE('',#22947,6.5E-1); +#22949=ORIENTED_EDGE('',*,*,#13902,.T.); +#22950=ORIENTED_EDGE('',*,*,#22939,.F.); +#22952=ORIENTED_EDGE('',*,*,#22951,.F.); +#22953=ORIENTED_EDGE('',*,*,#22935,.T.); +#22954=EDGE_LOOP('',(#22949,#22950,#22952,#22953)); +#22955=FACE_OUTER_BOUND('',#22954,.F.); +#22957=CARTESIAN_POINT('',(-1.8E1,-4.E0,-1.2475E1)); +#22958=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22959=DIRECTION('',(0.E0,1.E0,0.E0)); +#22960=AXIS2_PLACEMENT_3D('',#22957,#22958,#22959); +#22961=PLANE('',#22960); +#22962=ORIENTED_EDGE('',*,*,#22937,.T.); +#22963=ORIENTED_EDGE('',*,*,#22951,.T.); +#22964=EDGE_LOOP('',(#22962,#22963)); +#22965=FACE_OUTER_BOUND('',#22964,.F.); +#22967=CARTESIAN_POINT('',(-1.4E1,-4.E0,-1.2475E1)); +#22968=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22969=DIRECTION('',(0.E0,1.E0,0.E0)); +#22970=AXIS2_PLACEMENT_3D('',#22967,#22968,#22969); +#22971=CYLINDRICAL_SURFACE('',#22970,6.5E-1); +#22972=ORIENTED_EDGE('',*,*,#13906,.T.); +#22974=ORIENTED_EDGE('',*,*,#22973,.F.); +#22976=ORIENTED_EDGE('',*,*,#22975,.F.); +#22978=ORIENTED_EDGE('',*,*,#22977,.T.); +#22979=EDGE_LOOP('',(#22972,#22974,#22976,#22978)); +#22980=FACE_OUTER_BOUND('',#22979,.F.); +#22982=CARTESIAN_POINT('',(-1.4E1,-4.E0,-1.2475E1)); +#22983=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22984=DIRECTION('',(0.E0,1.E0,0.E0)); +#22985=AXIS2_PLACEMENT_3D('',#22982,#22983,#22984); +#22986=CYLINDRICAL_SURFACE('',#22985,6.5E-1); +#22987=ORIENTED_EDGE('',*,*,#13908,.T.); +#22988=ORIENTED_EDGE('',*,*,#22977,.F.); +#22990=ORIENTED_EDGE('',*,*,#22989,.F.); +#22991=ORIENTED_EDGE('',*,*,#22973,.T.); +#22992=EDGE_LOOP('',(#22987,#22988,#22990,#22991)); +#22993=FACE_OUTER_BOUND('',#22992,.F.); +#22995=CARTESIAN_POINT('',(-1.4E1,-4.E0,-1.2475E1)); +#22996=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22997=DIRECTION('',(0.E0,1.E0,0.E0)); +#22998=AXIS2_PLACEMENT_3D('',#22995,#22996,#22997); +#22999=PLANE('',#22998); +#23000=ORIENTED_EDGE('',*,*,#22975,.T.); +#23001=ORIENTED_EDGE('',*,*,#22989,.T.); +#23002=EDGE_LOOP('',(#23000,#23001)); +#23003=FACE_OUTER_BOUND('',#23002,.F.); +#23005=CARTESIAN_POINT('',(-1.E1,-4.E0,-1.2475E1)); +#23006=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23007=DIRECTION('',(0.E0,1.E0,0.E0)); +#23008=AXIS2_PLACEMENT_3D('',#23005,#23006,#23007); +#23009=CYLINDRICAL_SURFACE('',#23008,6.5E-1); +#23010=ORIENTED_EDGE('',*,*,#13912,.T.); +#23012=ORIENTED_EDGE('',*,*,#23011,.F.); +#23014=ORIENTED_EDGE('',*,*,#23013,.F.); +#23016=ORIENTED_EDGE('',*,*,#23015,.T.); +#23017=EDGE_LOOP('',(#23010,#23012,#23014,#23016)); +#23018=FACE_OUTER_BOUND('',#23017,.F.); +#23020=CARTESIAN_POINT('',(-1.E1,-4.E0,-1.2475E1)); +#23021=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23022=DIRECTION('',(0.E0,1.E0,0.E0)); +#23023=AXIS2_PLACEMENT_3D('',#23020,#23021,#23022); +#23024=CYLINDRICAL_SURFACE('',#23023,6.5E-1); +#23025=ORIENTED_EDGE('',*,*,#13914,.T.); +#23026=ORIENTED_EDGE('',*,*,#23015,.F.); +#23028=ORIENTED_EDGE('',*,*,#23027,.F.); +#23029=ORIENTED_EDGE('',*,*,#23011,.T.); +#23030=EDGE_LOOP('',(#23025,#23026,#23028,#23029)); +#23031=FACE_OUTER_BOUND('',#23030,.F.); +#23033=CARTESIAN_POINT('',(-1.E1,-4.E0,-1.2475E1)); +#23034=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23035=DIRECTION('',(0.E0,1.E0,0.E0)); +#23036=AXIS2_PLACEMENT_3D('',#23033,#23034,#23035); +#23037=PLANE('',#23036); +#23038=ORIENTED_EDGE('',*,*,#23013,.T.); +#23039=ORIENTED_EDGE('',*,*,#23027,.T.); +#23040=EDGE_LOOP('',(#23038,#23039)); +#23041=FACE_OUTER_BOUND('',#23040,.F.); +#23043=CARTESIAN_POINT('',(-6.E0,-4.E0,-1.2475E1)); +#23044=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23045=DIRECTION('',(0.E0,1.E0,0.E0)); +#23046=AXIS2_PLACEMENT_3D('',#23043,#23044,#23045); +#23047=CYLINDRICAL_SURFACE('',#23046,6.5E-1); +#23048=ORIENTED_EDGE('',*,*,#13918,.T.); +#23050=ORIENTED_EDGE('',*,*,#23049,.F.); +#23052=ORIENTED_EDGE('',*,*,#23051,.F.); +#23054=ORIENTED_EDGE('',*,*,#23053,.T.); +#23055=EDGE_LOOP('',(#23048,#23050,#23052,#23054)); +#23056=FACE_OUTER_BOUND('',#23055,.F.); +#23058=CARTESIAN_POINT('',(-6.E0,-4.E0,-1.2475E1)); +#23059=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23060=DIRECTION('',(0.E0,1.E0,0.E0)); +#23061=AXIS2_PLACEMENT_3D('',#23058,#23059,#23060); +#23062=CYLINDRICAL_SURFACE('',#23061,6.5E-1); +#23063=ORIENTED_EDGE('',*,*,#13920,.T.); +#23064=ORIENTED_EDGE('',*,*,#23053,.F.); +#23066=ORIENTED_EDGE('',*,*,#23065,.F.); +#23067=ORIENTED_EDGE('',*,*,#23049,.T.); +#23068=EDGE_LOOP('',(#23063,#23064,#23066,#23067)); +#23069=FACE_OUTER_BOUND('',#23068,.F.); +#23071=CARTESIAN_POINT('',(-6.E0,-4.E0,-1.2475E1)); +#23072=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23073=DIRECTION('',(0.E0,1.E0,0.E0)); +#23074=AXIS2_PLACEMENT_3D('',#23071,#23072,#23073); +#23075=PLANE('',#23074); +#23076=ORIENTED_EDGE('',*,*,#23051,.T.); +#23077=ORIENTED_EDGE('',*,*,#23065,.T.); +#23078=EDGE_LOOP('',(#23076,#23077)); +#23079=FACE_OUTER_BOUND('',#23078,.F.); +#23081=CARTESIAN_POINT('',(-2.E0,-4.E0,-1.2475E1)); +#23082=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23083=DIRECTION('',(0.E0,1.E0,0.E0)); +#23084=AXIS2_PLACEMENT_3D('',#23081,#23082,#23083); +#23085=CYLINDRICAL_SURFACE('',#23084,6.5E-1); +#23086=ORIENTED_EDGE('',*,*,#13924,.T.); +#23088=ORIENTED_EDGE('',*,*,#23087,.F.); +#23090=ORIENTED_EDGE('',*,*,#23089,.F.); +#23092=ORIENTED_EDGE('',*,*,#23091,.T.); +#23093=EDGE_LOOP('',(#23086,#23088,#23090,#23092)); +#23094=FACE_OUTER_BOUND('',#23093,.F.); +#23096=CARTESIAN_POINT('',(-2.E0,-4.E0,-1.2475E1)); +#23097=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23098=DIRECTION('',(0.E0,1.E0,0.E0)); +#23099=AXIS2_PLACEMENT_3D('',#23096,#23097,#23098); +#23100=CYLINDRICAL_SURFACE('',#23099,6.5E-1); +#23101=ORIENTED_EDGE('',*,*,#13926,.T.); +#23102=ORIENTED_EDGE('',*,*,#23091,.F.); +#23104=ORIENTED_EDGE('',*,*,#23103,.F.); +#23105=ORIENTED_EDGE('',*,*,#23087,.T.); +#23106=EDGE_LOOP('',(#23101,#23102,#23104,#23105)); +#23107=FACE_OUTER_BOUND('',#23106,.F.); +#23109=CARTESIAN_POINT('',(-2.E0,-4.E0,-1.2475E1)); +#23110=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23111=DIRECTION('',(0.E0,1.E0,0.E0)); +#23112=AXIS2_PLACEMENT_3D('',#23109,#23110,#23111); +#23113=PLANE('',#23112); +#23114=ORIENTED_EDGE('',*,*,#23089,.T.); +#23115=ORIENTED_EDGE('',*,*,#23103,.T.); +#23116=EDGE_LOOP('',(#23114,#23115)); +#23117=FACE_OUTER_BOUND('',#23116,.F.); +#23119=CARTESIAN_POINT('',(2.E0,-4.E0,-1.2475E1)); +#23120=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23121=DIRECTION('',(0.E0,1.E0,0.E0)); +#23122=AXIS2_PLACEMENT_3D('',#23119,#23120,#23121); +#23123=CYLINDRICAL_SURFACE('',#23122,6.5E-1); +#23124=ORIENTED_EDGE('',*,*,#13930,.T.); +#23126=ORIENTED_EDGE('',*,*,#23125,.F.); +#23128=ORIENTED_EDGE('',*,*,#23127,.F.); +#23130=ORIENTED_EDGE('',*,*,#23129,.T.); +#23131=EDGE_LOOP('',(#23124,#23126,#23128,#23130)); +#23132=FACE_OUTER_BOUND('',#23131,.F.); +#23134=CARTESIAN_POINT('',(2.E0,-4.E0,-1.2475E1)); +#23135=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23136=DIRECTION('',(0.E0,1.E0,0.E0)); +#23137=AXIS2_PLACEMENT_3D('',#23134,#23135,#23136); +#23138=CYLINDRICAL_SURFACE('',#23137,6.5E-1); +#23139=ORIENTED_EDGE('',*,*,#13932,.T.); +#23140=ORIENTED_EDGE('',*,*,#23129,.F.); +#23142=ORIENTED_EDGE('',*,*,#23141,.F.); +#23143=ORIENTED_EDGE('',*,*,#23125,.T.); +#23144=EDGE_LOOP('',(#23139,#23140,#23142,#23143)); +#23145=FACE_OUTER_BOUND('',#23144,.F.); +#23147=CARTESIAN_POINT('',(2.E0,-4.E0,-1.2475E1)); +#23148=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23149=DIRECTION('',(0.E0,1.E0,0.E0)); +#23150=AXIS2_PLACEMENT_3D('',#23147,#23148,#23149); +#23151=PLANE('',#23150); +#23152=ORIENTED_EDGE('',*,*,#23127,.T.); +#23153=ORIENTED_EDGE('',*,*,#23141,.T.); +#23154=EDGE_LOOP('',(#23152,#23153)); +#23155=FACE_OUTER_BOUND('',#23154,.F.); +#23157=CARTESIAN_POINT('',(6.E0,-4.E0,-1.2475E1)); +#23158=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23159=DIRECTION('',(0.E0,1.E0,0.E0)); +#23160=AXIS2_PLACEMENT_3D('',#23157,#23158,#23159); +#23161=CYLINDRICAL_SURFACE('',#23160,6.5E-1); +#23162=ORIENTED_EDGE('',*,*,#13936,.T.); +#23164=ORIENTED_EDGE('',*,*,#23163,.F.); +#23166=ORIENTED_EDGE('',*,*,#23165,.F.); +#23168=ORIENTED_EDGE('',*,*,#23167,.T.); +#23169=EDGE_LOOP('',(#23162,#23164,#23166,#23168)); +#23170=FACE_OUTER_BOUND('',#23169,.F.); +#23172=CARTESIAN_POINT('',(6.E0,-4.E0,-1.2475E1)); +#23173=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23174=DIRECTION('',(0.E0,1.E0,0.E0)); +#23175=AXIS2_PLACEMENT_3D('',#23172,#23173,#23174); +#23176=CYLINDRICAL_SURFACE('',#23175,6.5E-1); +#23177=ORIENTED_EDGE('',*,*,#13938,.T.); +#23178=ORIENTED_EDGE('',*,*,#23167,.F.); +#23180=ORIENTED_EDGE('',*,*,#23179,.F.); +#23181=ORIENTED_EDGE('',*,*,#23163,.T.); +#23182=EDGE_LOOP('',(#23177,#23178,#23180,#23181)); +#23183=FACE_OUTER_BOUND('',#23182,.F.); +#23185=CARTESIAN_POINT('',(6.E0,-4.E0,-1.2475E1)); +#23186=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23187=DIRECTION('',(0.E0,1.E0,0.E0)); +#23188=AXIS2_PLACEMENT_3D('',#23185,#23186,#23187); +#23189=PLANE('',#23188); +#23190=ORIENTED_EDGE('',*,*,#23165,.T.); +#23191=ORIENTED_EDGE('',*,*,#23179,.T.); +#23192=EDGE_LOOP('',(#23190,#23191)); +#23193=FACE_OUTER_BOUND('',#23192,.F.); +#23195=CARTESIAN_POINT('',(1.E1,-4.E0,-1.2475E1)); +#23196=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23197=DIRECTION('',(0.E0,1.E0,0.E0)); +#23198=AXIS2_PLACEMENT_3D('',#23195,#23196,#23197); +#23199=CYLINDRICAL_SURFACE('',#23198,6.5E-1); +#23200=ORIENTED_EDGE('',*,*,#13942,.T.); +#23202=ORIENTED_EDGE('',*,*,#23201,.F.); +#23204=ORIENTED_EDGE('',*,*,#23203,.F.); +#23206=ORIENTED_EDGE('',*,*,#23205,.T.); +#23207=EDGE_LOOP('',(#23200,#23202,#23204,#23206)); +#23208=FACE_OUTER_BOUND('',#23207,.F.); +#23210=CARTESIAN_POINT('',(1.E1,-4.E0,-1.2475E1)); +#23211=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23212=DIRECTION('',(0.E0,1.E0,0.E0)); +#23213=AXIS2_PLACEMENT_3D('',#23210,#23211,#23212); +#23214=CYLINDRICAL_SURFACE('',#23213,6.5E-1); +#23215=ORIENTED_EDGE('',*,*,#13944,.T.); +#23216=ORIENTED_EDGE('',*,*,#23205,.F.); +#23218=ORIENTED_EDGE('',*,*,#23217,.F.); +#23219=ORIENTED_EDGE('',*,*,#23201,.T.); +#23220=EDGE_LOOP('',(#23215,#23216,#23218,#23219)); +#23221=FACE_OUTER_BOUND('',#23220,.F.); +#23223=CARTESIAN_POINT('',(1.E1,-4.E0,-1.2475E1)); +#23224=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23225=DIRECTION('',(0.E0,1.E0,0.E0)); +#23226=AXIS2_PLACEMENT_3D('',#23223,#23224,#23225); +#23227=PLANE('',#23226); +#23228=ORIENTED_EDGE('',*,*,#23203,.T.); +#23229=ORIENTED_EDGE('',*,*,#23217,.T.); +#23230=EDGE_LOOP('',(#23228,#23229)); +#23231=FACE_OUTER_BOUND('',#23230,.F.); +#23233=CARTESIAN_POINT('',(1.4E1,-4.E0,-1.2475E1)); +#23234=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23235=DIRECTION('',(0.E0,1.E0,0.E0)); +#23236=AXIS2_PLACEMENT_3D('',#23233,#23234,#23235); +#23237=CYLINDRICAL_SURFACE('',#23236,6.5E-1); +#23238=ORIENTED_EDGE('',*,*,#13948,.T.); +#23240=ORIENTED_EDGE('',*,*,#23239,.F.); +#23242=ORIENTED_EDGE('',*,*,#23241,.F.); +#23244=ORIENTED_EDGE('',*,*,#23243,.T.); +#23245=EDGE_LOOP('',(#23238,#23240,#23242,#23244)); +#23246=FACE_OUTER_BOUND('',#23245,.F.); +#23248=CARTESIAN_POINT('',(1.4E1,-4.E0,-1.2475E1)); +#23249=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23250=DIRECTION('',(0.E0,1.E0,0.E0)); +#23251=AXIS2_PLACEMENT_3D('',#23248,#23249,#23250); +#23252=CYLINDRICAL_SURFACE('',#23251,6.5E-1); +#23253=ORIENTED_EDGE('',*,*,#13950,.T.); +#23254=ORIENTED_EDGE('',*,*,#23243,.F.); +#23256=ORIENTED_EDGE('',*,*,#23255,.F.); +#23257=ORIENTED_EDGE('',*,*,#23239,.T.); +#23258=EDGE_LOOP('',(#23253,#23254,#23256,#23257)); +#23259=FACE_OUTER_BOUND('',#23258,.F.); +#23261=CARTESIAN_POINT('',(1.4E1,-4.E0,-1.2475E1)); +#23262=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23263=DIRECTION('',(0.E0,1.E0,0.E0)); +#23264=AXIS2_PLACEMENT_3D('',#23261,#23262,#23263); +#23265=PLANE('',#23264); +#23266=ORIENTED_EDGE('',*,*,#23241,.T.); +#23267=ORIENTED_EDGE('',*,*,#23255,.T.); +#23268=EDGE_LOOP('',(#23266,#23267)); +#23269=FACE_OUTER_BOUND('',#23268,.F.); +#23271=CARTESIAN_POINT('',(1.8E1,-4.E0,-1.2475E1)); +#23272=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23273=DIRECTION('',(0.E0,1.E0,0.E0)); +#23274=AXIS2_PLACEMENT_3D('',#23271,#23272,#23273); +#23275=CYLINDRICAL_SURFACE('',#23274,6.5E-1); +#23276=ORIENTED_EDGE('',*,*,#13954,.T.); +#23278=ORIENTED_EDGE('',*,*,#23277,.F.); +#23280=ORIENTED_EDGE('',*,*,#23279,.F.); +#23282=ORIENTED_EDGE('',*,*,#23281,.T.); +#23283=EDGE_LOOP('',(#23276,#23278,#23280,#23282)); +#23284=FACE_OUTER_BOUND('',#23283,.F.); +#23286=CARTESIAN_POINT('',(1.8E1,-4.E0,-1.2475E1)); +#23287=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23288=DIRECTION('',(0.E0,1.E0,0.E0)); +#23289=AXIS2_PLACEMENT_3D('',#23286,#23287,#23288); +#23290=CYLINDRICAL_SURFACE('',#23289,6.5E-1); +#23291=ORIENTED_EDGE('',*,*,#13956,.T.); +#23292=ORIENTED_EDGE('',*,*,#23281,.F.); +#23294=ORIENTED_EDGE('',*,*,#23293,.F.); +#23295=ORIENTED_EDGE('',*,*,#23277,.T.); +#23296=EDGE_LOOP('',(#23291,#23292,#23294,#23295)); +#23297=FACE_OUTER_BOUND('',#23296,.F.); +#23299=CARTESIAN_POINT('',(1.8E1,-4.E0,-1.2475E1)); +#23300=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23301=DIRECTION('',(0.E0,1.E0,0.E0)); +#23302=AXIS2_PLACEMENT_3D('',#23299,#23300,#23301); +#23303=PLANE('',#23302); +#23304=ORIENTED_EDGE('',*,*,#23279,.T.); +#23305=ORIENTED_EDGE('',*,*,#23293,.T.); +#23306=EDGE_LOOP('',(#23304,#23305)); +#23307=FACE_OUTER_BOUND('',#23306,.F.); +#23309=CARTESIAN_POINT('',(2.2E1,-4.E0,-1.2475E1)); +#23310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23311=DIRECTION('',(0.E0,1.E0,0.E0)); +#23312=AXIS2_PLACEMENT_3D('',#23309,#23310,#23311); +#23313=CYLINDRICAL_SURFACE('',#23312,6.5E-1); +#23314=ORIENTED_EDGE('',*,*,#13960,.T.); +#23316=ORIENTED_EDGE('',*,*,#23315,.F.); +#23318=ORIENTED_EDGE('',*,*,#23317,.F.); +#23320=ORIENTED_EDGE('',*,*,#23319,.T.); +#23321=EDGE_LOOP('',(#23314,#23316,#23318,#23320)); +#23322=FACE_OUTER_BOUND('',#23321,.F.); +#23324=CARTESIAN_POINT('',(2.2E1,-4.E0,-1.2475E1)); +#23325=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23326=DIRECTION('',(0.E0,1.E0,0.E0)); +#23327=AXIS2_PLACEMENT_3D('',#23324,#23325,#23326); +#23328=CYLINDRICAL_SURFACE('',#23327,6.5E-1); +#23329=ORIENTED_EDGE('',*,*,#13962,.T.); +#23330=ORIENTED_EDGE('',*,*,#23319,.F.); +#23332=ORIENTED_EDGE('',*,*,#23331,.F.); +#23333=ORIENTED_EDGE('',*,*,#23315,.T.); +#23334=EDGE_LOOP('',(#23329,#23330,#23332,#23333)); +#23335=FACE_OUTER_BOUND('',#23334,.F.); +#23337=CARTESIAN_POINT('',(2.2E1,-4.E0,-1.2475E1)); +#23338=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23339=DIRECTION('',(0.E0,1.E0,0.E0)); +#23340=AXIS2_PLACEMENT_3D('',#23337,#23338,#23339); +#23341=PLANE('',#23340); +#23342=ORIENTED_EDGE('',*,*,#23317,.T.); +#23343=ORIENTED_EDGE('',*,*,#23331,.T.); +#23344=EDGE_LOOP('',(#23342,#23343)); +#23345=FACE_OUTER_BOUND('',#23344,.F.); +#23347=CARTESIAN_POINT('',(-2.E1,0.E0,-1.2475E1)); +#23348=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23349=DIRECTION('',(0.E0,1.E0,0.E0)); +#23350=AXIS2_PLACEMENT_3D('',#23347,#23348,#23349); +#23351=CYLINDRICAL_SURFACE('',#23350,6.5E-1); +#23352=ORIENTED_EDGE('',*,*,#13966,.T.); +#23354=ORIENTED_EDGE('',*,*,#23353,.F.); +#23356=ORIENTED_EDGE('',*,*,#23355,.F.); +#23358=ORIENTED_EDGE('',*,*,#23357,.T.); +#23359=EDGE_LOOP('',(#23352,#23354,#23356,#23358)); +#23360=FACE_OUTER_BOUND('',#23359,.F.); +#23362=CARTESIAN_POINT('',(-2.E1,0.E0,-1.2475E1)); +#23363=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23364=DIRECTION('',(0.E0,1.E0,0.E0)); +#23365=AXIS2_PLACEMENT_3D('',#23362,#23363,#23364); +#23366=CYLINDRICAL_SURFACE('',#23365,6.5E-1); +#23367=ORIENTED_EDGE('',*,*,#13968,.T.); +#23368=ORIENTED_EDGE('',*,*,#23357,.F.); +#23370=ORIENTED_EDGE('',*,*,#23369,.F.); +#23371=ORIENTED_EDGE('',*,*,#23353,.T.); +#23372=EDGE_LOOP('',(#23367,#23368,#23370,#23371)); +#23373=FACE_OUTER_BOUND('',#23372,.F.); +#23375=CARTESIAN_POINT('',(-2.E1,0.E0,-1.2475E1)); +#23376=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23377=DIRECTION('',(0.E0,1.E0,0.E0)); +#23378=AXIS2_PLACEMENT_3D('',#23375,#23376,#23377); +#23379=PLANE('',#23378); +#23380=ORIENTED_EDGE('',*,*,#23355,.T.); +#23381=ORIENTED_EDGE('',*,*,#23369,.T.); +#23382=EDGE_LOOP('',(#23380,#23381)); +#23383=FACE_OUTER_BOUND('',#23382,.F.); +#23385=CARTESIAN_POINT('',(-1.6E1,0.E0,-1.2475E1)); +#23386=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23387=DIRECTION('',(0.E0,1.E0,0.E0)); +#23388=AXIS2_PLACEMENT_3D('',#23385,#23386,#23387); +#23389=CYLINDRICAL_SURFACE('',#23388,6.5E-1); +#23390=ORIENTED_EDGE('',*,*,#13972,.T.); +#23392=ORIENTED_EDGE('',*,*,#23391,.F.); +#23394=ORIENTED_EDGE('',*,*,#23393,.F.); +#23396=ORIENTED_EDGE('',*,*,#23395,.T.); +#23397=EDGE_LOOP('',(#23390,#23392,#23394,#23396)); +#23398=FACE_OUTER_BOUND('',#23397,.F.); +#23400=CARTESIAN_POINT('',(-1.6E1,0.E0,-1.2475E1)); +#23401=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23402=DIRECTION('',(0.E0,1.E0,0.E0)); +#23403=AXIS2_PLACEMENT_3D('',#23400,#23401,#23402); +#23404=CYLINDRICAL_SURFACE('',#23403,6.5E-1); +#23405=ORIENTED_EDGE('',*,*,#13974,.T.); +#23406=ORIENTED_EDGE('',*,*,#23395,.F.); +#23408=ORIENTED_EDGE('',*,*,#23407,.F.); +#23409=ORIENTED_EDGE('',*,*,#23391,.T.); +#23410=EDGE_LOOP('',(#23405,#23406,#23408,#23409)); +#23411=FACE_OUTER_BOUND('',#23410,.F.); +#23413=CARTESIAN_POINT('',(-1.6E1,0.E0,-1.2475E1)); +#23414=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23415=DIRECTION('',(0.E0,1.E0,0.E0)); +#23416=AXIS2_PLACEMENT_3D('',#23413,#23414,#23415); +#23417=PLANE('',#23416); +#23418=ORIENTED_EDGE('',*,*,#23393,.T.); +#23419=ORIENTED_EDGE('',*,*,#23407,.T.); +#23420=EDGE_LOOP('',(#23418,#23419)); +#23421=FACE_OUTER_BOUND('',#23420,.F.); +#23423=CARTESIAN_POINT('',(-1.2E1,0.E0,-1.2475E1)); +#23424=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23425=DIRECTION('',(0.E0,1.E0,0.E0)); +#23426=AXIS2_PLACEMENT_3D('',#23423,#23424,#23425); +#23427=CYLINDRICAL_SURFACE('',#23426,6.5E-1); +#23428=ORIENTED_EDGE('',*,*,#13978,.T.); +#23430=ORIENTED_EDGE('',*,*,#23429,.F.); +#23432=ORIENTED_EDGE('',*,*,#23431,.F.); +#23434=ORIENTED_EDGE('',*,*,#23433,.T.); +#23435=EDGE_LOOP('',(#23428,#23430,#23432,#23434)); +#23436=FACE_OUTER_BOUND('',#23435,.F.); +#23438=CARTESIAN_POINT('',(-1.2E1,0.E0,-1.2475E1)); +#23439=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23440=DIRECTION('',(0.E0,1.E0,0.E0)); +#23441=AXIS2_PLACEMENT_3D('',#23438,#23439,#23440); +#23442=CYLINDRICAL_SURFACE('',#23441,6.5E-1); +#23443=ORIENTED_EDGE('',*,*,#13980,.T.); +#23444=ORIENTED_EDGE('',*,*,#23433,.F.); +#23446=ORIENTED_EDGE('',*,*,#23445,.F.); +#23447=ORIENTED_EDGE('',*,*,#23429,.T.); +#23448=EDGE_LOOP('',(#23443,#23444,#23446,#23447)); +#23449=FACE_OUTER_BOUND('',#23448,.F.); +#23451=CARTESIAN_POINT('',(-1.2E1,0.E0,-1.2475E1)); +#23452=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23453=DIRECTION('',(0.E0,1.E0,0.E0)); +#23454=AXIS2_PLACEMENT_3D('',#23451,#23452,#23453); +#23455=PLANE('',#23454); +#23456=ORIENTED_EDGE('',*,*,#23431,.T.); +#23457=ORIENTED_EDGE('',*,*,#23445,.T.); +#23458=EDGE_LOOP('',(#23456,#23457)); +#23459=FACE_OUTER_BOUND('',#23458,.F.); +#23461=CARTESIAN_POINT('',(-8.E0,0.E0,-1.2475E1)); +#23462=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23463=DIRECTION('',(0.E0,1.E0,0.E0)); +#23464=AXIS2_PLACEMENT_3D('',#23461,#23462,#23463); +#23465=CYLINDRICAL_SURFACE('',#23464,6.5E-1); +#23466=ORIENTED_EDGE('',*,*,#13984,.T.); +#23468=ORIENTED_EDGE('',*,*,#23467,.F.); +#23470=ORIENTED_EDGE('',*,*,#23469,.F.); +#23472=ORIENTED_EDGE('',*,*,#23471,.T.); +#23473=EDGE_LOOP('',(#23466,#23468,#23470,#23472)); +#23474=FACE_OUTER_BOUND('',#23473,.F.); +#23476=CARTESIAN_POINT('',(-8.E0,0.E0,-1.2475E1)); +#23477=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23478=DIRECTION('',(0.E0,1.E0,0.E0)); +#23479=AXIS2_PLACEMENT_3D('',#23476,#23477,#23478); +#23480=CYLINDRICAL_SURFACE('',#23479,6.5E-1); +#23481=ORIENTED_EDGE('',*,*,#13986,.T.); +#23482=ORIENTED_EDGE('',*,*,#23471,.F.); +#23484=ORIENTED_EDGE('',*,*,#23483,.F.); +#23485=ORIENTED_EDGE('',*,*,#23467,.T.); +#23486=EDGE_LOOP('',(#23481,#23482,#23484,#23485)); +#23487=FACE_OUTER_BOUND('',#23486,.F.); +#23489=CARTESIAN_POINT('',(-8.E0,0.E0,-1.2475E1)); +#23490=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23491=DIRECTION('',(0.E0,1.E0,0.E0)); +#23492=AXIS2_PLACEMENT_3D('',#23489,#23490,#23491); +#23493=PLANE('',#23492); +#23494=ORIENTED_EDGE('',*,*,#23469,.T.); +#23495=ORIENTED_EDGE('',*,*,#23483,.T.); +#23496=EDGE_LOOP('',(#23494,#23495)); +#23497=FACE_OUTER_BOUND('',#23496,.F.); +#23499=CARTESIAN_POINT('',(-4.E0,0.E0,-1.2475E1)); +#23500=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23501=DIRECTION('',(0.E0,1.E0,0.E0)); +#23502=AXIS2_PLACEMENT_3D('',#23499,#23500,#23501); +#23503=CYLINDRICAL_SURFACE('',#23502,6.5E-1); +#23504=ORIENTED_EDGE('',*,*,#13990,.T.); +#23506=ORIENTED_EDGE('',*,*,#23505,.F.); +#23508=ORIENTED_EDGE('',*,*,#23507,.F.); +#23510=ORIENTED_EDGE('',*,*,#23509,.T.); +#23511=EDGE_LOOP('',(#23504,#23506,#23508,#23510)); +#23512=FACE_OUTER_BOUND('',#23511,.F.); +#23514=CARTESIAN_POINT('',(-4.E0,0.E0,-1.2475E1)); +#23515=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23516=DIRECTION('',(0.E0,1.E0,0.E0)); +#23517=AXIS2_PLACEMENT_3D('',#23514,#23515,#23516); +#23518=CYLINDRICAL_SURFACE('',#23517,6.5E-1); +#23519=ORIENTED_EDGE('',*,*,#13992,.T.); +#23520=ORIENTED_EDGE('',*,*,#23509,.F.); +#23522=ORIENTED_EDGE('',*,*,#23521,.F.); +#23523=ORIENTED_EDGE('',*,*,#23505,.T.); +#23524=EDGE_LOOP('',(#23519,#23520,#23522,#23523)); +#23525=FACE_OUTER_BOUND('',#23524,.F.); +#23527=CARTESIAN_POINT('',(-4.E0,0.E0,-1.2475E1)); +#23528=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23529=DIRECTION('',(0.E0,1.E0,0.E0)); +#23530=AXIS2_PLACEMENT_3D('',#23527,#23528,#23529); +#23531=PLANE('',#23530); +#23532=ORIENTED_EDGE('',*,*,#23507,.T.); +#23533=ORIENTED_EDGE('',*,*,#23521,.T.); +#23534=EDGE_LOOP('',(#23532,#23533)); +#23535=FACE_OUTER_BOUND('',#23534,.F.); +#23537=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#23538=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23539=DIRECTION('',(0.E0,1.E0,0.E0)); +#23540=AXIS2_PLACEMENT_3D('',#23537,#23538,#23539); +#23541=CYLINDRICAL_SURFACE('',#23540,6.5E-1); +#23542=ORIENTED_EDGE('',*,*,#13996,.T.); +#23544=ORIENTED_EDGE('',*,*,#23543,.F.); +#23546=ORIENTED_EDGE('',*,*,#23545,.F.); +#23548=ORIENTED_EDGE('',*,*,#23547,.T.); +#23549=EDGE_LOOP('',(#23542,#23544,#23546,#23548)); +#23550=FACE_OUTER_BOUND('',#23549,.F.); +#23552=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#23553=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23554=DIRECTION('',(0.E0,1.E0,0.E0)); +#23555=AXIS2_PLACEMENT_3D('',#23552,#23553,#23554); +#23556=CYLINDRICAL_SURFACE('',#23555,6.5E-1); +#23557=ORIENTED_EDGE('',*,*,#13998,.T.); +#23558=ORIENTED_EDGE('',*,*,#23547,.F.); +#23560=ORIENTED_EDGE('',*,*,#23559,.F.); +#23561=ORIENTED_EDGE('',*,*,#23543,.T.); +#23562=EDGE_LOOP('',(#23557,#23558,#23560,#23561)); +#23563=FACE_OUTER_BOUND('',#23562,.F.); +#23565=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#23566=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23567=DIRECTION('',(0.E0,1.E0,0.E0)); +#23568=AXIS2_PLACEMENT_3D('',#23565,#23566,#23567); +#23569=PLANE('',#23568); +#23570=ORIENTED_EDGE('',*,*,#23545,.T.); +#23571=ORIENTED_EDGE('',*,*,#23559,.T.); +#23572=EDGE_LOOP('',(#23570,#23571)); +#23573=FACE_OUTER_BOUND('',#23572,.F.); +#23575=CARTESIAN_POINT('',(4.E0,0.E0,-1.2475E1)); +#23576=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23577=DIRECTION('',(0.E0,1.E0,0.E0)); +#23578=AXIS2_PLACEMENT_3D('',#23575,#23576,#23577); +#23579=CYLINDRICAL_SURFACE('',#23578,6.5E-1); +#23580=ORIENTED_EDGE('',*,*,#14002,.T.); +#23582=ORIENTED_EDGE('',*,*,#23581,.F.); +#23584=ORIENTED_EDGE('',*,*,#23583,.F.); +#23586=ORIENTED_EDGE('',*,*,#23585,.T.); +#23587=EDGE_LOOP('',(#23580,#23582,#23584,#23586)); +#23588=FACE_OUTER_BOUND('',#23587,.F.); +#23590=CARTESIAN_POINT('',(4.E0,0.E0,-1.2475E1)); +#23591=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23592=DIRECTION('',(0.E0,1.E0,0.E0)); +#23593=AXIS2_PLACEMENT_3D('',#23590,#23591,#23592); +#23594=CYLINDRICAL_SURFACE('',#23593,6.5E-1); +#23595=ORIENTED_EDGE('',*,*,#14004,.T.); +#23596=ORIENTED_EDGE('',*,*,#23585,.F.); +#23598=ORIENTED_EDGE('',*,*,#23597,.F.); +#23599=ORIENTED_EDGE('',*,*,#23581,.T.); +#23600=EDGE_LOOP('',(#23595,#23596,#23598,#23599)); +#23601=FACE_OUTER_BOUND('',#23600,.F.); +#23603=CARTESIAN_POINT('',(4.E0,0.E0,-1.2475E1)); +#23604=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23605=DIRECTION('',(0.E0,1.E0,0.E0)); +#23606=AXIS2_PLACEMENT_3D('',#23603,#23604,#23605); +#23607=PLANE('',#23606); +#23608=ORIENTED_EDGE('',*,*,#23583,.T.); +#23609=ORIENTED_EDGE('',*,*,#23597,.T.); +#23610=EDGE_LOOP('',(#23608,#23609)); +#23611=FACE_OUTER_BOUND('',#23610,.F.); +#23613=CARTESIAN_POINT('',(8.E0,0.E0,-1.2475E1)); +#23614=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23615=DIRECTION('',(0.E0,1.E0,0.E0)); +#23616=AXIS2_PLACEMENT_3D('',#23613,#23614,#23615); +#23617=CYLINDRICAL_SURFACE('',#23616,6.5E-1); +#23618=ORIENTED_EDGE('',*,*,#14008,.T.); +#23620=ORIENTED_EDGE('',*,*,#23619,.F.); +#23622=ORIENTED_EDGE('',*,*,#23621,.F.); +#23624=ORIENTED_EDGE('',*,*,#23623,.T.); +#23625=EDGE_LOOP('',(#23618,#23620,#23622,#23624)); +#23626=FACE_OUTER_BOUND('',#23625,.F.); +#23628=CARTESIAN_POINT('',(8.E0,0.E0,-1.2475E1)); +#23629=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23630=DIRECTION('',(0.E0,1.E0,0.E0)); +#23631=AXIS2_PLACEMENT_3D('',#23628,#23629,#23630); +#23632=CYLINDRICAL_SURFACE('',#23631,6.5E-1); +#23633=ORIENTED_EDGE('',*,*,#14010,.T.); +#23634=ORIENTED_EDGE('',*,*,#23623,.F.); +#23636=ORIENTED_EDGE('',*,*,#23635,.F.); +#23637=ORIENTED_EDGE('',*,*,#23619,.T.); +#23638=EDGE_LOOP('',(#23633,#23634,#23636,#23637)); +#23639=FACE_OUTER_BOUND('',#23638,.F.); +#23641=CARTESIAN_POINT('',(8.E0,0.E0,-1.2475E1)); +#23642=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23643=DIRECTION('',(0.E0,1.E0,0.E0)); +#23644=AXIS2_PLACEMENT_3D('',#23641,#23642,#23643); +#23645=PLANE('',#23644); +#23646=ORIENTED_EDGE('',*,*,#23621,.T.); +#23647=ORIENTED_EDGE('',*,*,#23635,.T.); +#23648=EDGE_LOOP('',(#23646,#23647)); +#23649=FACE_OUTER_BOUND('',#23648,.F.); +#23651=CARTESIAN_POINT('',(1.2E1,0.E0,-1.2475E1)); +#23652=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23653=DIRECTION('',(0.E0,1.E0,0.E0)); +#23654=AXIS2_PLACEMENT_3D('',#23651,#23652,#23653); +#23655=CYLINDRICAL_SURFACE('',#23654,6.5E-1); +#23656=ORIENTED_EDGE('',*,*,#14014,.T.); +#23658=ORIENTED_EDGE('',*,*,#23657,.F.); +#23660=ORIENTED_EDGE('',*,*,#23659,.F.); +#23662=ORIENTED_EDGE('',*,*,#23661,.T.); +#23663=EDGE_LOOP('',(#23656,#23658,#23660,#23662)); +#23664=FACE_OUTER_BOUND('',#23663,.F.); +#23666=CARTESIAN_POINT('',(1.2E1,0.E0,-1.2475E1)); +#23667=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23668=DIRECTION('',(0.E0,1.E0,0.E0)); +#23669=AXIS2_PLACEMENT_3D('',#23666,#23667,#23668); +#23670=CYLINDRICAL_SURFACE('',#23669,6.5E-1); +#23671=ORIENTED_EDGE('',*,*,#14016,.T.); +#23672=ORIENTED_EDGE('',*,*,#23661,.F.); +#23674=ORIENTED_EDGE('',*,*,#23673,.F.); +#23675=ORIENTED_EDGE('',*,*,#23657,.T.); +#23676=EDGE_LOOP('',(#23671,#23672,#23674,#23675)); +#23677=FACE_OUTER_BOUND('',#23676,.F.); +#23679=CARTESIAN_POINT('',(1.2E1,0.E0,-1.2475E1)); +#23680=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23681=DIRECTION('',(0.E0,1.E0,0.E0)); +#23682=AXIS2_PLACEMENT_3D('',#23679,#23680,#23681); +#23683=PLANE('',#23682); +#23684=ORIENTED_EDGE('',*,*,#23659,.T.); +#23685=ORIENTED_EDGE('',*,*,#23673,.T.); +#23686=EDGE_LOOP('',(#23684,#23685)); +#23687=FACE_OUTER_BOUND('',#23686,.F.); +#23689=CARTESIAN_POINT('',(1.6E1,0.E0,-1.2475E1)); +#23690=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23691=DIRECTION('',(0.E0,1.E0,0.E0)); +#23692=AXIS2_PLACEMENT_3D('',#23689,#23690,#23691); +#23693=CYLINDRICAL_SURFACE('',#23692,6.5E-1); +#23694=ORIENTED_EDGE('',*,*,#14020,.T.); +#23696=ORIENTED_EDGE('',*,*,#23695,.F.); +#23698=ORIENTED_EDGE('',*,*,#23697,.F.); +#23700=ORIENTED_EDGE('',*,*,#23699,.T.); +#23701=EDGE_LOOP('',(#23694,#23696,#23698,#23700)); +#23702=FACE_OUTER_BOUND('',#23701,.F.); +#23704=CARTESIAN_POINT('',(1.6E1,0.E0,-1.2475E1)); +#23705=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23706=DIRECTION('',(0.E0,1.E0,0.E0)); +#23707=AXIS2_PLACEMENT_3D('',#23704,#23705,#23706); +#23708=CYLINDRICAL_SURFACE('',#23707,6.5E-1); +#23709=ORIENTED_EDGE('',*,*,#14022,.T.); +#23710=ORIENTED_EDGE('',*,*,#23699,.F.); +#23712=ORIENTED_EDGE('',*,*,#23711,.F.); +#23713=ORIENTED_EDGE('',*,*,#23695,.T.); +#23714=EDGE_LOOP('',(#23709,#23710,#23712,#23713)); +#23715=FACE_OUTER_BOUND('',#23714,.F.); +#23717=CARTESIAN_POINT('',(1.6E1,0.E0,-1.2475E1)); +#23718=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23719=DIRECTION('',(0.E0,1.E0,0.E0)); +#23720=AXIS2_PLACEMENT_3D('',#23717,#23718,#23719); +#23721=PLANE('',#23720); +#23722=ORIENTED_EDGE('',*,*,#23697,.T.); +#23723=ORIENTED_EDGE('',*,*,#23711,.T.); +#23724=EDGE_LOOP('',(#23722,#23723)); +#23725=FACE_OUTER_BOUND('',#23724,.F.); +#23727=CARTESIAN_POINT('',(2.E1,0.E0,-1.2475E1)); +#23728=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23729=DIRECTION('',(0.E0,1.E0,0.E0)); +#23730=AXIS2_PLACEMENT_3D('',#23727,#23728,#23729); +#23731=CYLINDRICAL_SURFACE('',#23730,6.5E-1); +#23732=ORIENTED_EDGE('',*,*,#14026,.T.); +#23734=ORIENTED_EDGE('',*,*,#23733,.F.); +#23736=ORIENTED_EDGE('',*,*,#23735,.F.); +#23738=ORIENTED_EDGE('',*,*,#23737,.T.); +#23739=EDGE_LOOP('',(#23732,#23734,#23736,#23738)); +#23740=FACE_OUTER_BOUND('',#23739,.F.); +#23742=CARTESIAN_POINT('',(2.E1,0.E0,-1.2475E1)); +#23743=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23744=DIRECTION('',(0.E0,1.E0,0.E0)); +#23745=AXIS2_PLACEMENT_3D('',#23742,#23743,#23744); +#23746=CYLINDRICAL_SURFACE('',#23745,6.5E-1); +#23747=ORIENTED_EDGE('',*,*,#14028,.T.); +#23748=ORIENTED_EDGE('',*,*,#23737,.F.); +#23750=ORIENTED_EDGE('',*,*,#23749,.F.); +#23751=ORIENTED_EDGE('',*,*,#23733,.T.); +#23752=EDGE_LOOP('',(#23747,#23748,#23750,#23751)); +#23753=FACE_OUTER_BOUND('',#23752,.F.); +#23755=CARTESIAN_POINT('',(2.E1,0.E0,-1.2475E1)); +#23756=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23757=DIRECTION('',(0.E0,1.E0,0.E0)); +#23758=AXIS2_PLACEMENT_3D('',#23755,#23756,#23757); +#23759=PLANE('',#23758); +#23760=ORIENTED_EDGE('',*,*,#23735,.T.); +#23761=ORIENTED_EDGE('',*,*,#23749,.T.); +#23762=EDGE_LOOP('',(#23760,#23761)); +#23763=FACE_OUTER_BOUND('',#23762,.F.); +#23765=CARTESIAN_POINT('',(1.075E0,1.03E1,-2.E1)); +#23766=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23767=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23768=AXIS2_PLACEMENT_3D('',#23765,#23766,#23767); +#23769=PLANE('',#23768); +#23770=ORIENTED_EDGE('',*,*,#12653,.T.); +#23771=ORIENTED_EDGE('',*,*,#13172,.T.); +#23773=ORIENTED_EDGE('',*,*,#23772,.F.); +#23774=ORIENTED_EDGE('',*,*,#13364,.F.); +#23775=EDGE_LOOP('',(#23770,#23771,#23773,#23774)); +#23776=FACE_OUTER_BOUND('',#23775,.F.); +#23778=CARTESIAN_POINT('',(1.75E0,8.075E0,-2.E1)); +#23779=DIRECTION('',(0.E0,0.E0,1.E0)); +#23780=DIRECTION('',(1.E0,0.E0,0.E0)); +#23781=AXIS2_PLACEMENT_3D('',#23778,#23779,#23780); +#23782=CYLINDRICAL_SURFACE('',#23781,6.75E-1); +#23783=ORIENTED_EDGE('',*,*,#13366,.F.); +#23784=ORIENTED_EDGE('',*,*,#23772,.T.); +#23785=ORIENTED_EDGE('',*,*,#13170,.T.); +#23787=ORIENTED_EDGE('',*,*,#23786,.F.); +#23788=EDGE_LOOP('',(#23783,#23784,#23785,#23787)); +#23789=FACE_OUTER_BOUND('',#23788,.F.); +#23791=CARTESIAN_POINT('',(2.425E0,8.075E0,-2.E1)); +#23792=DIRECTION('',(1.E0,0.E0,0.E0)); +#23793=DIRECTION('',(0.E0,1.E0,0.E0)); +#23794=AXIS2_PLACEMENT_3D('',#23791,#23792,#23793); +#23795=PLANE('',#23794); +#23796=ORIENTED_EDGE('',*,*,#12752,.F.); +#23797=ORIENTED_EDGE('',*,*,#13368,.F.); +#23798=ORIENTED_EDGE('',*,*,#23786,.T.); +#23799=ORIENTED_EDGE('',*,*,#13168,.T.); +#23800=EDGE_LOOP('',(#23796,#23797,#23798,#23799)); +#23801=FACE_OUTER_BOUND('',#23800,.F.); +#23803=CARTESIAN_POINT('',(4.75E0,9.1E0,-1.E1)); +#23804=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23805=DIRECTION('',(0.E0,1.E0,0.E0)); +#23806=AXIS2_PLACEMENT_3D('',#23803,#23804,#23805); +#23807=PLANE('',#23806); +#23808=ORIENTED_EDGE('',*,*,#12748,.T.); +#23809=ORIENTED_EDGE('',*,*,#12827,.F.); +#23811=ORIENTED_EDGE('',*,*,#23810,.T.); +#23812=ORIENTED_EDGE('',*,*,#13371,.T.); +#23813=EDGE_LOOP('',(#23808,#23809,#23811,#23812)); +#23814=FACE_OUTER_BOUND('',#23813,.F.); +#23816=CARTESIAN_POINT('',(5.25E0,9.1E0,-1.E1)); +#23817=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23818=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23819=AXIS2_PLACEMENT_3D('',#23816,#23817,#23818); +#23820=CYLINDRICAL_SURFACE('',#23819,5.E-1); +#23821=ORIENTED_EDGE('',*,*,#13373,.T.); +#23822=ORIENTED_EDGE('',*,*,#23810,.F.); +#23823=ORIENTED_EDGE('',*,*,#12825,.F.); +#23825=ORIENTED_EDGE('',*,*,#23824,.T.); +#23826=EDGE_LOOP('',(#23821,#23822,#23823,#23825)); +#23827=FACE_OUTER_BOUND('',#23826,.F.); +#23829=CARTESIAN_POINT('',(5.75E0,1.03E1,-1.E1)); +#23830=DIRECTION('',(1.E0,0.E0,0.E0)); +#23831=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23832=AXIS2_PLACEMENT_3D('',#23829,#23830,#23831); +#23833=PLANE('',#23832); +#23834=ORIENTED_EDGE('',*,*,#12744,.F.); +#23835=ORIENTED_EDGE('',*,*,#13375,.T.); +#23836=ORIENTED_EDGE('',*,*,#23824,.F.); +#23837=ORIENTED_EDGE('',*,*,#12823,.F.); +#23838=EDGE_LOOP('',(#23834,#23835,#23836,#23837)); +#23839=FACE_OUTER_BOUND('',#23838,.F.); +#23841=CARTESIAN_POINT('',(8.25E0,9.1E0,-1.E1)); +#23842=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23843=DIRECTION('',(0.E0,1.E0,0.E0)); +#23844=AXIS2_PLACEMENT_3D('',#23841,#23842,#23843); +#23845=PLANE('',#23844); +#23846=ORIENTED_EDGE('',*,*,#12740,.T.); +#23847=ORIENTED_EDGE('',*,*,#12812,.F.); +#23849=ORIENTED_EDGE('',*,*,#23848,.T.); +#23850=ORIENTED_EDGE('',*,*,#13378,.T.); +#23851=EDGE_LOOP('',(#23846,#23847,#23849,#23850)); +#23852=FACE_OUTER_BOUND('',#23851,.F.); +#23854=CARTESIAN_POINT('',(8.75E0,9.1E0,-1.E1)); +#23855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23856=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23857=AXIS2_PLACEMENT_3D('',#23854,#23855,#23856); +#23858=CYLINDRICAL_SURFACE('',#23857,5.E-1); +#23859=ORIENTED_EDGE('',*,*,#13380,.T.); +#23860=ORIENTED_EDGE('',*,*,#23848,.F.); +#23861=ORIENTED_EDGE('',*,*,#12810,.F.); +#23863=ORIENTED_EDGE('',*,*,#23862,.T.); +#23864=EDGE_LOOP('',(#23859,#23860,#23861,#23863)); +#23865=FACE_OUTER_BOUND('',#23864,.F.); +#23867=CARTESIAN_POINT('',(9.25E0,1.03E1,-1.E1)); +#23868=DIRECTION('',(1.E0,0.E0,0.E0)); +#23869=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23870=AXIS2_PLACEMENT_3D('',#23867,#23868,#23869); +#23871=PLANE('',#23870); +#23872=ORIENTED_EDGE('',*,*,#12736,.F.); +#23873=ORIENTED_EDGE('',*,*,#13382,.T.); +#23874=ORIENTED_EDGE('',*,*,#23862,.F.); +#23875=ORIENTED_EDGE('',*,*,#12808,.F.); +#23876=EDGE_LOOP('',(#23872,#23873,#23874,#23875)); +#23877=FACE_OUTER_BOUND('',#23876,.F.); +#23879=CARTESIAN_POINT('',(1.175E1,9.1E0,-1.E1)); +#23880=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23881=DIRECTION('',(0.E0,1.E0,0.E0)); +#23882=AXIS2_PLACEMENT_3D('',#23879,#23880,#23881); +#23883=PLANE('',#23882); +#23884=ORIENTED_EDGE('',*,*,#12732,.T.); +#23885=ORIENTED_EDGE('',*,*,#12797,.F.); +#23887=ORIENTED_EDGE('',*,*,#23886,.T.); +#23888=ORIENTED_EDGE('',*,*,#13385,.T.); +#23889=EDGE_LOOP('',(#23884,#23885,#23887,#23888)); +#23890=FACE_OUTER_BOUND('',#23889,.F.); +#23892=CARTESIAN_POINT('',(1.225E1,9.1E0,-1.E1)); +#23893=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23894=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23895=AXIS2_PLACEMENT_3D('',#23892,#23893,#23894); +#23896=CYLINDRICAL_SURFACE('',#23895,5.E-1); +#23897=ORIENTED_EDGE('',*,*,#13387,.T.); +#23898=ORIENTED_EDGE('',*,*,#23886,.F.); +#23899=ORIENTED_EDGE('',*,*,#12795,.F.); +#23901=ORIENTED_EDGE('',*,*,#23900,.T.); +#23902=EDGE_LOOP('',(#23897,#23898,#23899,#23901)); +#23903=FACE_OUTER_BOUND('',#23902,.F.); +#23905=CARTESIAN_POINT('',(1.275E1,1.03E1,-1.E1)); +#23906=DIRECTION('',(1.E0,0.E0,0.E0)); +#23907=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23908=AXIS2_PLACEMENT_3D('',#23905,#23906,#23907); +#23909=PLANE('',#23908); +#23910=ORIENTED_EDGE('',*,*,#12728,.F.); +#23911=ORIENTED_EDGE('',*,*,#13389,.T.); +#23912=ORIENTED_EDGE('',*,*,#23900,.F.); +#23913=ORIENTED_EDGE('',*,*,#12793,.F.); +#23914=EDGE_LOOP('',(#23910,#23911,#23912,#23913)); +#23915=FACE_OUTER_BOUND('',#23914,.F.); +#23917=CARTESIAN_POINT('',(1.525E1,9.1E0,-1.E1)); +#23918=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23919=DIRECTION('',(0.E0,1.E0,0.E0)); +#23920=AXIS2_PLACEMENT_3D('',#23917,#23918,#23919); +#23921=PLANE('',#23920); +#23922=ORIENTED_EDGE('',*,*,#12724,.T.); +#23923=ORIENTED_EDGE('',*,*,#12782,.F.); +#23925=ORIENTED_EDGE('',*,*,#23924,.T.); +#23926=ORIENTED_EDGE('',*,*,#13392,.T.); +#23927=EDGE_LOOP('',(#23922,#23923,#23925,#23926)); +#23928=FACE_OUTER_BOUND('',#23927,.F.); +#23930=CARTESIAN_POINT('',(1.575E1,9.1E0,-1.E1)); +#23931=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23932=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23933=AXIS2_PLACEMENT_3D('',#23930,#23931,#23932); +#23934=CYLINDRICAL_SURFACE('',#23933,5.E-1); +#23935=ORIENTED_EDGE('',*,*,#13394,.T.); +#23936=ORIENTED_EDGE('',*,*,#23924,.F.); +#23937=ORIENTED_EDGE('',*,*,#12780,.F.); +#23939=ORIENTED_EDGE('',*,*,#23938,.T.); +#23940=EDGE_LOOP('',(#23935,#23936,#23937,#23939)); +#23941=FACE_OUTER_BOUND('',#23940,.F.); +#23943=CARTESIAN_POINT('',(1.625E1,1.03E1,-1.E1)); +#23944=DIRECTION('',(1.E0,0.E0,0.E0)); +#23945=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23946=AXIS2_PLACEMENT_3D('',#23943,#23944,#23945); +#23947=PLANE('',#23946); +#23948=ORIENTED_EDGE('',*,*,#12720,.F.); +#23949=ORIENTED_EDGE('',*,*,#13396,.T.); +#23950=ORIENTED_EDGE('',*,*,#23938,.F.); +#23951=ORIENTED_EDGE('',*,*,#12778,.F.); +#23952=EDGE_LOOP('',(#23948,#23949,#23950,#23951)); +#23953=FACE_OUTER_BOUND('',#23952,.F.); +#23955=CARTESIAN_POINT('',(1.875E1,9.1E0,-1.E1)); +#23956=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23957=DIRECTION('',(0.E0,1.E0,0.E0)); +#23958=AXIS2_PLACEMENT_3D('',#23955,#23956,#23957); +#23959=PLANE('',#23958); +#23960=ORIENTED_EDGE('',*,*,#12716,.T.); +#23961=ORIENTED_EDGE('',*,*,#12767,.F.); +#23963=ORIENTED_EDGE('',*,*,#23962,.T.); +#23964=ORIENTED_EDGE('',*,*,#13399,.T.); +#23965=EDGE_LOOP('',(#23960,#23961,#23963,#23964)); +#23966=FACE_OUTER_BOUND('',#23965,.F.); +#23968=CARTESIAN_POINT('',(1.925E1,9.1E0,-1.E1)); +#23969=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23970=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23971=AXIS2_PLACEMENT_3D('',#23968,#23969,#23970); +#23972=CYLINDRICAL_SURFACE('',#23971,5.E-1); +#23973=ORIENTED_EDGE('',*,*,#13401,.T.); +#23974=ORIENTED_EDGE('',*,*,#23962,.F.); +#23975=ORIENTED_EDGE('',*,*,#12765,.F.); +#23976=ORIENTED_EDGE('',*,*,#13201,.T.); +#23977=EDGE_LOOP('',(#23973,#23974,#23975,#23976)); +#23978=FACE_OUTER_BOUND('',#23977,.F.); +#23980=CARTESIAN_POINT('',(2.35E1,5.6E0,0.E0)); +#23981=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23982=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23983=AXIS2_PLACEMENT_3D('',#23980,#23981,#23982); +#23984=CYLINDRICAL_SURFACE('',#23983,4.7E0); +#23985=ORIENTED_EDGE('',*,*,#13405,.F.); +#23986=ORIENTED_EDGE('',*,*,#12708,.F.); +#23987=ORIENTED_EDGE('',*,*,#12542,.F.); +#23989=ORIENTED_EDGE('',*,*,#23988,.T.); +#23990=EDGE_LOOP('',(#23985,#23986,#23987,#23989)); +#23991=FACE_OUTER_BOUND('',#23990,.F.); +#23993=CARTESIAN_POINT('',(2.82E1,-5.6E0,0.E0)); +#23994=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23995=DIRECTION('',(0.E0,1.E0,0.E0)); +#23996=AXIS2_PLACEMENT_3D('',#23993,#23994,#23995); +#23997=PLANE('',#23996); +#23998=ORIENTED_EDGE('',*,*,#13135,.T.); +#24000=ORIENTED_EDGE('',*,*,#23999,.F.); +#24001=ORIENTED_EDGE('',*,*,#13415,.T.); +#24003=ORIENTED_EDGE('',*,*,#24002,.T.); +#24004=ORIENTED_EDGE('',*,*,#13151,.T.); +#24006=ORIENTED_EDGE('',*,*,#24005,.F.); +#24007=ORIENTED_EDGE('',*,*,#13407,.T.); +#24008=ORIENTED_EDGE('',*,*,#23988,.F.); +#24009=ORIENTED_EDGE('',*,*,#12557,.F.); +#24011=ORIENTED_EDGE('',*,*,#24010,.T.); +#24012=ORIENTED_EDGE('',*,*,#13423,.T.); +#24014=ORIENTED_EDGE('',*,*,#24013,.T.); +#24015=EDGE_LOOP('',(#23998,#24000,#24001,#24003,#24004,#24006,#24007,#24008, +#24009,#24011,#24012,#24014)); +#24016=FACE_OUTER_BOUND('',#24015,.F.); +#24018=CARTESIAN_POINT('',(2.7E1,-4.2E0,-1.E1)); +#24019=DIRECTION('',(0.E0,1.E0,0.E0)); +#24020=DIRECTION('',(1.E0,0.E0,0.E0)); +#24021=AXIS2_PLACEMENT_3D('',#24018,#24019,#24020); +#24022=PLANE('',#24021); +#24023=ORIENTED_EDGE('',*,*,#23999,.T.); +#24024=ORIENTED_EDGE('',*,*,#13141,.F.); +#24026=ORIENTED_EDGE('',*,*,#24025,.T.); +#24027=ORIENTED_EDGE('',*,*,#13417,.T.); +#24028=EDGE_LOOP('',(#24023,#24024,#24026,#24027)); +#24029=FACE_OUTER_BOUND('',#24028,.F.); +#24031=CARTESIAN_POINT('',(2.7E1,-4.7E0,-1.E1)); +#24032=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24033=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24034=AXIS2_PLACEMENT_3D('',#24031,#24032,#24033); +#24035=CYLINDRICAL_SURFACE('',#24034,5.E-1); +#24036=ORIENTED_EDGE('',*,*,#13419,.T.); +#24037=ORIENTED_EDGE('',*,*,#24025,.F.); +#24038=ORIENTED_EDGE('',*,*,#13139,.F.); +#24040=ORIENTED_EDGE('',*,*,#24039,.T.); +#24041=EDGE_LOOP('',(#24036,#24037,#24038,#24040)); +#24042=FACE_OUTER_BOUND('',#24041,.F.); +#24044=CARTESIAN_POINT('',(2.82E1,-5.2E0,-1.E1)); +#24045=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24046=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24047=AXIS2_PLACEMENT_3D('',#24044,#24045,#24046); +#24048=PLANE('',#24047); +#24049=ORIENTED_EDGE('',*,*,#24013,.F.); +#24050=ORIENTED_EDGE('',*,*,#13421,.T.); +#24051=ORIENTED_EDGE('',*,*,#24039,.F.); +#24052=ORIENTED_EDGE('',*,*,#13137,.F.); +#24053=EDGE_LOOP('',(#24049,#24050,#24051,#24052)); +#24054=FACE_OUTER_BOUND('',#24053,.F.); +#24056=CARTESIAN_POINT('',(2.82E1,4.2E0,-1.E1)); +#24057=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24058=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24059=AXIS2_PLACEMENT_3D('',#24056,#24057,#24058); +#24060=PLANE('',#24059); +#24061=ORIENTED_EDGE('',*,*,#24002,.F.); +#24062=ORIENTED_EDGE('',*,*,#13413,.T.); +#24064=ORIENTED_EDGE('',*,*,#24063,.F.); +#24065=ORIENTED_EDGE('',*,*,#13153,.F.); +#24066=EDGE_LOOP('',(#24061,#24062,#24064,#24065)); +#24067=FACE_OUTER_BOUND('',#24066,.F.); +#24069=CARTESIAN_POINT('',(2.7E1,4.7E0,-1.E1)); +#24070=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24071=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24072=AXIS2_PLACEMENT_3D('',#24069,#24070,#24071); +#24073=CYLINDRICAL_SURFACE('',#24072,5.E-1); +#24074=ORIENTED_EDGE('',*,*,#13411,.T.); +#24076=ORIENTED_EDGE('',*,*,#24075,.F.); +#24077=ORIENTED_EDGE('',*,*,#13155,.F.); +#24078=ORIENTED_EDGE('',*,*,#24063,.T.); +#24079=EDGE_LOOP('',(#24074,#24076,#24077,#24078)); +#24080=FACE_OUTER_BOUND('',#24079,.F.); +#24082=CARTESIAN_POINT('',(2.7E1,5.2E0,-1.E1)); +#24083=DIRECTION('',(0.E0,1.E0,0.E0)); +#24084=DIRECTION('',(1.E0,0.E0,0.E0)); +#24085=AXIS2_PLACEMENT_3D('',#24082,#24083,#24084); +#24086=PLANE('',#24085); +#24087=ORIENTED_EDGE('',*,*,#24005,.T.); +#24088=ORIENTED_EDGE('',*,*,#13157,.F.); +#24089=ORIENTED_EDGE('',*,*,#24075,.T.); +#24090=ORIENTED_EDGE('',*,*,#13409,.T.); +#24091=EDGE_LOOP('',(#24087,#24088,#24089,#24090)); +#24092=FACE_OUTER_BOUND('',#24091,.F.); +#24094=CARTESIAN_POINT('',(2.35E1,-5.6E0,0.E0)); +#24095=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24096=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24097=AXIS2_PLACEMENT_3D('',#24094,#24095,#24096); +#24098=CYLINDRICAL_SURFACE('',#24097,4.7E0); +#24099=ORIENTED_EDGE('',*,*,#13425,.F.); +#24100=ORIENTED_EDGE('',*,*,#24010,.F.); +#24101=ORIENTED_EDGE('',*,*,#12571,.F.); +#24103=ORIENTED_EDGE('',*,*,#24102,.T.); +#24104=EDGE_LOOP('',(#24099,#24100,#24101,#24103)); +#24105=FACE_OUTER_BOUND('',#24104,.F.); +#24107=CARTESIAN_POINT('',(-2.35E1,-1.03E1,0.E0)); +#24108=DIRECTION('',(0.E0,1.E0,0.E0)); +#24109=DIRECTION('',(1.E0,0.E0,0.E0)); +#24110=AXIS2_PLACEMENT_3D('',#24107,#24108,#24109); +#24111=PLANE('',#24110); +#24112=ORIENTED_EDGE('',*,*,#13182,.F.); +#24114=ORIENTED_EDGE('',*,*,#24113,.F.); +#24115=ORIENTED_EDGE('',*,*,#13483,.T.); +#24117=ORIENTED_EDGE('',*,*,#24116,.T.); +#24118=ORIENTED_EDGE('',*,*,#13023,.T.); +#24120=ORIENTED_EDGE('',*,*,#24119,.F.); +#24121=ORIENTED_EDGE('',*,*,#13475,.T.); +#24123=ORIENTED_EDGE('',*,*,#24122,.T.); +#24124=ORIENTED_EDGE('',*,*,#13039,.T.); +#24126=ORIENTED_EDGE('',*,*,#24125,.F.); +#24127=ORIENTED_EDGE('',*,*,#13467,.T.); +#24129=ORIENTED_EDGE('',*,*,#24128,.T.); +#24130=ORIENTED_EDGE('',*,*,#13055,.T.); +#24132=ORIENTED_EDGE('',*,*,#24131,.F.); +#24133=ORIENTED_EDGE('',*,*,#13459,.T.); +#24135=ORIENTED_EDGE('',*,*,#24134,.T.); +#24136=ORIENTED_EDGE('',*,*,#13071,.T.); +#24138=ORIENTED_EDGE('',*,*,#24137,.F.); +#24139=ORIENTED_EDGE('',*,*,#13451,.T.); +#24141=ORIENTED_EDGE('',*,*,#24140,.T.); +#24142=ORIENTED_EDGE('',*,*,#13087,.T.); +#24144=ORIENTED_EDGE('',*,*,#24143,.F.); +#24145=ORIENTED_EDGE('',*,*,#13443,.T.); +#24147=ORIENTED_EDGE('',*,*,#24146,.T.); +#24148=ORIENTED_EDGE('',*,*,#13103,.T.); +#24150=ORIENTED_EDGE('',*,*,#24149,.F.); +#24151=ORIENTED_EDGE('',*,*,#13435,.T.); +#24153=ORIENTED_EDGE('',*,*,#24152,.T.); +#24154=ORIENTED_EDGE('',*,*,#13119,.T.); +#24156=ORIENTED_EDGE('',*,*,#24155,.F.); +#24157=ORIENTED_EDGE('',*,*,#13427,.T.); +#24158=ORIENTED_EDGE('',*,*,#24102,.F.); +#24159=ORIENTED_EDGE('',*,*,#12585,.F.); +#24161=ORIENTED_EDGE('',*,*,#24160,.T.); +#24162=ORIENTED_EDGE('',*,*,#13523,.T.); +#24164=ORIENTED_EDGE('',*,*,#24163,.T.); +#24165=ORIENTED_EDGE('',*,*,#12959,.T.); +#24167=ORIENTED_EDGE('',*,*,#24166,.F.); +#24168=ORIENTED_EDGE('',*,*,#13515,.T.); +#24170=ORIENTED_EDGE('',*,*,#24169,.T.); +#24171=ORIENTED_EDGE('',*,*,#12975,.T.); +#24173=ORIENTED_EDGE('',*,*,#24172,.F.); +#24174=ORIENTED_EDGE('',*,*,#13507,.T.); +#24176=ORIENTED_EDGE('',*,*,#24175,.T.); +#24177=ORIENTED_EDGE('',*,*,#12991,.T.); +#24179=ORIENTED_EDGE('',*,*,#24178,.F.); +#24180=ORIENTED_EDGE('',*,*,#13499,.T.); +#24182=ORIENTED_EDGE('',*,*,#24181,.T.); +#24183=ORIENTED_EDGE('',*,*,#13007,.T.); +#24185=ORIENTED_EDGE('',*,*,#24184,.F.); +#24186=ORIENTED_EDGE('',*,*,#13491,.T.); +#24188=ORIENTED_EDGE('',*,*,#24187,.T.); +#24189=EDGE_LOOP('',(#24112,#24114,#24115,#24117,#24118,#24120,#24121,#24123, +#24124,#24126,#24127,#24129,#24130,#24132,#24133,#24135,#24136,#24138,#24139, +#24141,#24142,#24144,#24145,#24147,#24148,#24150,#24151,#24153,#24154,#24156, +#24157,#24158,#24159,#24161,#24162,#24164,#24165,#24167,#24168,#24170,#24171, +#24173,#24174,#24176,#24177,#24179,#24180,#24182,#24183,#24185,#24186,#24188)); +#24190=FACE_OUTER_BOUND('',#24189,.F.); +#24192=CARTESIAN_POINT('',(-4.575E0,-1.03E1,-2.E1)); +#24193=DIRECTION('',(1.E0,0.E0,0.E0)); +#24194=DIRECTION('',(0.E0,1.E0,0.E0)); +#24195=AXIS2_PLACEMENT_3D('',#24192,#24193,#24194); +#24196=PLANE('',#24195); +#24197=ORIENTED_EDGE('',*,*,#24113,.T.); +#24198=ORIENTED_EDGE('',*,*,#13188,.T.); +#24200=ORIENTED_EDGE('',*,*,#24199,.F.); +#24201=ORIENTED_EDGE('',*,*,#13485,.F.); +#24202=EDGE_LOOP('',(#24197,#24198,#24200,#24201)); +#24203=FACE_OUTER_BOUND('',#24202,.F.); +#24205=CARTESIAN_POINT('',(-5.25E0,-8.075E0,-2.E1)); +#24206=DIRECTION('',(0.E0,0.E0,1.E0)); +#24207=DIRECTION('',(1.E0,0.E0,0.E0)); +#24208=AXIS2_PLACEMENT_3D('',#24205,#24206,#24207); +#24209=CYLINDRICAL_SURFACE('',#24208,6.75E-1); +#24210=ORIENTED_EDGE('',*,*,#13487,.F.); +#24211=ORIENTED_EDGE('',*,*,#24199,.T.); +#24212=ORIENTED_EDGE('',*,*,#13186,.T.); +#24214=ORIENTED_EDGE('',*,*,#24213,.F.); +#24215=EDGE_LOOP('',(#24210,#24211,#24212,#24214)); +#24216=FACE_OUTER_BOUND('',#24215,.F.); +#24218=CARTESIAN_POINT('',(-5.925E0,-8.075E0,-2.E1)); +#24219=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24220=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24221=AXIS2_PLACEMENT_3D('',#24218,#24219,#24220); +#24222=PLANE('',#24221); +#24223=ORIENTED_EDGE('',*,*,#24187,.F.); +#24224=ORIENTED_EDGE('',*,*,#13489,.F.); +#24225=ORIENTED_EDGE('',*,*,#24213,.T.); +#24226=ORIENTED_EDGE('',*,*,#13184,.T.); +#24227=EDGE_LOOP('',(#24223,#24224,#24225,#24226)); +#24228=FACE_OUTER_BOUND('',#24227,.F.); +#24230=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-1.E1)); +#24231=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24232=DIRECTION('',(0.E0,1.E0,0.E0)); +#24233=AXIS2_PLACEMENT_3D('',#24230,#24231,#24232); +#24234=PLANE('',#24233); +#24235=ORIENTED_EDGE('',*,*,#24116,.F.); +#24236=ORIENTED_EDGE('',*,*,#13481,.T.); +#24238=ORIENTED_EDGE('',*,*,#24237,.F.); +#24239=ORIENTED_EDGE('',*,*,#13025,.F.); +#24240=EDGE_LOOP('',(#24235,#24236,#24238,#24239)); +#24241=FACE_OUTER_BOUND('',#24240,.F.); +#24243=CARTESIAN_POINT('',(-1.75E0,-9.1E0,-1.E1)); +#24244=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24245=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24246=AXIS2_PLACEMENT_3D('',#24243,#24244,#24245); +#24247=CYLINDRICAL_SURFACE('',#24246,5.E-1); +#24248=ORIENTED_EDGE('',*,*,#13479,.T.); +#24250=ORIENTED_EDGE('',*,*,#24249,.F.); +#24251=ORIENTED_EDGE('',*,*,#13027,.F.); +#24252=ORIENTED_EDGE('',*,*,#24237,.T.); +#24253=EDGE_LOOP('',(#24248,#24250,#24251,#24252)); +#24254=FACE_OUTER_BOUND('',#24253,.F.); +#24256=CARTESIAN_POINT('',(-1.25E0,-9.1E0,-1.E1)); +#24257=DIRECTION('',(1.E0,0.E0,0.E0)); +#24258=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24259=AXIS2_PLACEMENT_3D('',#24256,#24257,#24258); +#24260=PLANE('',#24259); +#24261=ORIENTED_EDGE('',*,*,#24119,.T.); +#24262=ORIENTED_EDGE('',*,*,#13029,.F.); +#24263=ORIENTED_EDGE('',*,*,#24249,.T.); +#24264=ORIENTED_EDGE('',*,*,#13477,.T.); +#24265=EDGE_LOOP('',(#24261,#24262,#24263,#24264)); +#24266=FACE_OUTER_BOUND('',#24265,.F.); +#24268=CARTESIAN_POINT('',(1.25E0,-1.03E1,-1.E1)); +#24269=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24270=DIRECTION('',(0.E0,1.E0,0.E0)); +#24271=AXIS2_PLACEMENT_3D('',#24268,#24269,#24270); +#24272=PLANE('',#24271); +#24273=ORIENTED_EDGE('',*,*,#24122,.F.); +#24274=ORIENTED_EDGE('',*,*,#13473,.T.); +#24276=ORIENTED_EDGE('',*,*,#24275,.F.); +#24277=ORIENTED_EDGE('',*,*,#13041,.F.); +#24278=EDGE_LOOP('',(#24273,#24274,#24276,#24277)); +#24279=FACE_OUTER_BOUND('',#24278,.F.); +#24281=CARTESIAN_POINT('',(1.75E0,-9.1E0,-1.E1)); +#24282=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24283=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24284=AXIS2_PLACEMENT_3D('',#24281,#24282,#24283); +#24285=CYLINDRICAL_SURFACE('',#24284,5.E-1); +#24286=ORIENTED_EDGE('',*,*,#13471,.T.); +#24288=ORIENTED_EDGE('',*,*,#24287,.F.); +#24289=ORIENTED_EDGE('',*,*,#13043,.F.); +#24290=ORIENTED_EDGE('',*,*,#24275,.T.); +#24291=EDGE_LOOP('',(#24286,#24288,#24289,#24290)); +#24292=FACE_OUTER_BOUND('',#24291,.F.); +#24294=CARTESIAN_POINT('',(2.25E0,-9.1E0,-1.E1)); +#24295=DIRECTION('',(1.E0,0.E0,0.E0)); +#24296=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24297=AXIS2_PLACEMENT_3D('',#24294,#24295,#24296); +#24298=PLANE('',#24297); +#24299=ORIENTED_EDGE('',*,*,#24125,.T.); +#24300=ORIENTED_EDGE('',*,*,#13045,.F.); +#24301=ORIENTED_EDGE('',*,*,#24287,.T.); +#24302=ORIENTED_EDGE('',*,*,#13469,.T.); +#24303=EDGE_LOOP('',(#24299,#24300,#24301,#24302)); +#24304=FACE_OUTER_BOUND('',#24303,.F.); +#24306=CARTESIAN_POINT('',(4.75E0,-1.03E1,-1.E1)); +#24307=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24308=DIRECTION('',(0.E0,1.E0,0.E0)); +#24309=AXIS2_PLACEMENT_3D('',#24306,#24307,#24308); +#24310=PLANE('',#24309); +#24311=ORIENTED_EDGE('',*,*,#24128,.F.); +#24312=ORIENTED_EDGE('',*,*,#13465,.T.); +#24314=ORIENTED_EDGE('',*,*,#24313,.F.); +#24315=ORIENTED_EDGE('',*,*,#13057,.F.); +#24316=EDGE_LOOP('',(#24311,#24312,#24314,#24315)); +#24317=FACE_OUTER_BOUND('',#24316,.F.); +#24319=CARTESIAN_POINT('',(5.25E0,-9.1E0,-1.E1)); +#24320=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24321=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24322=AXIS2_PLACEMENT_3D('',#24319,#24320,#24321); +#24323=CYLINDRICAL_SURFACE('',#24322,5.E-1); +#24324=ORIENTED_EDGE('',*,*,#13463,.T.); +#24326=ORIENTED_EDGE('',*,*,#24325,.F.); +#24327=ORIENTED_EDGE('',*,*,#13059,.F.); +#24328=ORIENTED_EDGE('',*,*,#24313,.T.); +#24329=EDGE_LOOP('',(#24324,#24326,#24327,#24328)); +#24330=FACE_OUTER_BOUND('',#24329,.F.); +#24332=CARTESIAN_POINT('',(5.75E0,-9.1E0,-1.E1)); +#24333=DIRECTION('',(1.E0,0.E0,0.E0)); +#24334=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24335=AXIS2_PLACEMENT_3D('',#24332,#24333,#24334); +#24336=PLANE('',#24335); +#24337=ORIENTED_EDGE('',*,*,#24131,.T.); +#24338=ORIENTED_EDGE('',*,*,#13061,.F.); +#24339=ORIENTED_EDGE('',*,*,#24325,.T.); +#24340=ORIENTED_EDGE('',*,*,#13461,.T.); +#24341=EDGE_LOOP('',(#24337,#24338,#24339,#24340)); +#24342=FACE_OUTER_BOUND('',#24341,.F.); +#24344=CARTESIAN_POINT('',(8.25E0,-1.03E1,-1.E1)); +#24345=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24346=DIRECTION('',(0.E0,1.E0,0.E0)); +#24347=AXIS2_PLACEMENT_3D('',#24344,#24345,#24346); +#24348=PLANE('',#24347); +#24349=ORIENTED_EDGE('',*,*,#24134,.F.); +#24350=ORIENTED_EDGE('',*,*,#13457,.T.); +#24352=ORIENTED_EDGE('',*,*,#24351,.F.); +#24353=ORIENTED_EDGE('',*,*,#13073,.F.); +#24354=EDGE_LOOP('',(#24349,#24350,#24352,#24353)); +#24355=FACE_OUTER_BOUND('',#24354,.F.); +#24357=CARTESIAN_POINT('',(8.75E0,-9.1E0,-1.E1)); +#24358=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24359=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24360=AXIS2_PLACEMENT_3D('',#24357,#24358,#24359); +#24361=CYLINDRICAL_SURFACE('',#24360,5.E-1); +#24362=ORIENTED_EDGE('',*,*,#13455,.T.); +#24364=ORIENTED_EDGE('',*,*,#24363,.F.); +#24365=ORIENTED_EDGE('',*,*,#13075,.F.); +#24366=ORIENTED_EDGE('',*,*,#24351,.T.); +#24367=EDGE_LOOP('',(#24362,#24364,#24365,#24366)); +#24368=FACE_OUTER_BOUND('',#24367,.F.); +#24370=CARTESIAN_POINT('',(9.25E0,-9.1E0,-1.E1)); +#24371=DIRECTION('',(1.E0,0.E0,0.E0)); +#24372=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24373=AXIS2_PLACEMENT_3D('',#24370,#24371,#24372); +#24374=PLANE('',#24373); +#24375=ORIENTED_EDGE('',*,*,#24137,.T.); +#24376=ORIENTED_EDGE('',*,*,#13077,.F.); +#24377=ORIENTED_EDGE('',*,*,#24363,.T.); +#24378=ORIENTED_EDGE('',*,*,#13453,.T.); +#24379=EDGE_LOOP('',(#24375,#24376,#24377,#24378)); +#24380=FACE_OUTER_BOUND('',#24379,.F.); +#24382=CARTESIAN_POINT('',(1.175E1,-1.03E1,-1.E1)); +#24383=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24384=DIRECTION('',(0.E0,1.E0,0.E0)); +#24385=AXIS2_PLACEMENT_3D('',#24382,#24383,#24384); +#24386=PLANE('',#24385); +#24387=ORIENTED_EDGE('',*,*,#24140,.F.); +#24388=ORIENTED_EDGE('',*,*,#13449,.T.); +#24390=ORIENTED_EDGE('',*,*,#24389,.F.); +#24391=ORIENTED_EDGE('',*,*,#13089,.F.); +#24392=EDGE_LOOP('',(#24387,#24388,#24390,#24391)); +#24393=FACE_OUTER_BOUND('',#24392,.F.); +#24395=CARTESIAN_POINT('',(1.225E1,-9.1E0,-1.E1)); +#24396=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24397=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24398=AXIS2_PLACEMENT_3D('',#24395,#24396,#24397); +#24399=CYLINDRICAL_SURFACE('',#24398,5.E-1); +#24400=ORIENTED_EDGE('',*,*,#13447,.T.); +#24402=ORIENTED_EDGE('',*,*,#24401,.F.); +#24403=ORIENTED_EDGE('',*,*,#13091,.F.); +#24404=ORIENTED_EDGE('',*,*,#24389,.T.); +#24405=EDGE_LOOP('',(#24400,#24402,#24403,#24404)); +#24406=FACE_OUTER_BOUND('',#24405,.F.); +#24408=CARTESIAN_POINT('',(1.275E1,-9.1E0,-1.E1)); +#24409=DIRECTION('',(1.E0,0.E0,0.E0)); +#24410=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24411=AXIS2_PLACEMENT_3D('',#24408,#24409,#24410); +#24412=PLANE('',#24411); +#24413=ORIENTED_EDGE('',*,*,#24143,.T.); +#24414=ORIENTED_EDGE('',*,*,#13093,.F.); +#24415=ORIENTED_EDGE('',*,*,#24401,.T.); +#24416=ORIENTED_EDGE('',*,*,#13445,.T.); +#24417=EDGE_LOOP('',(#24413,#24414,#24415,#24416)); +#24418=FACE_OUTER_BOUND('',#24417,.F.); +#24420=CARTESIAN_POINT('',(1.525E1,-1.03E1,-1.E1)); +#24421=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24422=DIRECTION('',(0.E0,1.E0,0.E0)); +#24423=AXIS2_PLACEMENT_3D('',#24420,#24421,#24422); +#24424=PLANE('',#24423); +#24425=ORIENTED_EDGE('',*,*,#24146,.F.); +#24426=ORIENTED_EDGE('',*,*,#13441,.T.); +#24428=ORIENTED_EDGE('',*,*,#24427,.F.); +#24429=ORIENTED_EDGE('',*,*,#13105,.F.); +#24430=EDGE_LOOP('',(#24425,#24426,#24428,#24429)); +#24431=FACE_OUTER_BOUND('',#24430,.F.); +#24433=CARTESIAN_POINT('',(1.575E1,-9.1E0,-1.E1)); +#24434=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24435=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24436=AXIS2_PLACEMENT_3D('',#24433,#24434,#24435); +#24437=CYLINDRICAL_SURFACE('',#24436,5.E-1); +#24438=ORIENTED_EDGE('',*,*,#13439,.T.); +#24440=ORIENTED_EDGE('',*,*,#24439,.F.); +#24441=ORIENTED_EDGE('',*,*,#13107,.F.); +#24442=ORIENTED_EDGE('',*,*,#24427,.T.); +#24443=EDGE_LOOP('',(#24438,#24440,#24441,#24442)); +#24444=FACE_OUTER_BOUND('',#24443,.F.); +#24446=CARTESIAN_POINT('',(1.625E1,-9.1E0,-1.E1)); +#24447=DIRECTION('',(1.E0,0.E0,0.E0)); +#24448=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24449=AXIS2_PLACEMENT_3D('',#24446,#24447,#24448); +#24450=PLANE('',#24449); +#24451=ORIENTED_EDGE('',*,*,#24149,.T.); +#24452=ORIENTED_EDGE('',*,*,#13109,.F.); +#24453=ORIENTED_EDGE('',*,*,#24439,.T.); +#24454=ORIENTED_EDGE('',*,*,#13437,.T.); +#24455=EDGE_LOOP('',(#24451,#24452,#24453,#24454)); +#24456=FACE_OUTER_BOUND('',#24455,.F.); +#24458=CARTESIAN_POINT('',(1.875E1,-1.03E1,-1.E1)); +#24459=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24460=DIRECTION('',(0.E0,1.E0,0.E0)); +#24461=AXIS2_PLACEMENT_3D('',#24458,#24459,#24460); +#24462=PLANE('',#24461); +#24463=ORIENTED_EDGE('',*,*,#24152,.F.); +#24464=ORIENTED_EDGE('',*,*,#13433,.T.); +#24466=ORIENTED_EDGE('',*,*,#24465,.F.); +#24467=ORIENTED_EDGE('',*,*,#13121,.F.); +#24468=EDGE_LOOP('',(#24463,#24464,#24466,#24467)); +#24469=FACE_OUTER_BOUND('',#24468,.F.); +#24471=CARTESIAN_POINT('',(1.925E1,-9.1E0,-1.E1)); +#24472=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24473=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24474=AXIS2_PLACEMENT_3D('',#24471,#24472,#24473); +#24475=CYLINDRICAL_SURFACE('',#24474,5.E-1); +#24476=ORIENTED_EDGE('',*,*,#13431,.T.); +#24478=ORIENTED_EDGE('',*,*,#24477,.F.); +#24479=ORIENTED_EDGE('',*,*,#13123,.F.); +#24480=ORIENTED_EDGE('',*,*,#24465,.T.); +#24481=EDGE_LOOP('',(#24476,#24478,#24479,#24480)); +#24482=FACE_OUTER_BOUND('',#24481,.F.); +#24484=CARTESIAN_POINT('',(1.975E1,-9.1E0,-1.E1)); +#24485=DIRECTION('',(1.E0,0.E0,0.E0)); +#24486=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24487=AXIS2_PLACEMENT_3D('',#24484,#24485,#24486); +#24488=PLANE('',#24487); +#24489=ORIENTED_EDGE('',*,*,#24155,.T.); +#24490=ORIENTED_EDGE('',*,*,#13125,.F.); +#24491=ORIENTED_EDGE('',*,*,#24477,.T.); +#24492=ORIENTED_EDGE('',*,*,#13429,.T.); +#24493=EDGE_LOOP('',(#24489,#24490,#24491,#24492)); +#24494=FACE_OUTER_BOUND('',#24493,.F.); +#24496=CARTESIAN_POINT('',(-2.35E1,-5.6E0,0.E0)); +#24497=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24498=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24499=AXIS2_PLACEMENT_3D('',#24496,#24497,#24498); +#24500=CYLINDRICAL_SURFACE('',#24499,4.7E0); +#24501=ORIENTED_EDGE('',*,*,#13525,.F.); +#24502=ORIENTED_EDGE('',*,*,#24160,.F.); +#24503=ORIENTED_EDGE('',*,*,#12599,.F.); +#24505=ORIENTED_EDGE('',*,*,#24504,.T.); +#24506=EDGE_LOOP('',(#24501,#24502,#24503,#24505)); +#24507=FACE_OUTER_BOUND('',#24506,.F.); +#24509=CARTESIAN_POINT('',(-2.82E1,5.6E0,0.E0)); +#24510=DIRECTION('',(1.E0,0.E0,0.E0)); +#24511=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24512=AXIS2_PLACEMENT_3D('',#24509,#24510,#24511); +#24513=PLANE('',#24512); +#24514=ORIENTED_EDGE('',*,*,#12927,.T.); +#24516=ORIENTED_EDGE('',*,*,#24515,.F.); +#24517=ORIENTED_EDGE('',*,*,#13535,.T.); +#24519=ORIENTED_EDGE('',*,*,#24518,.T.); +#24520=ORIENTED_EDGE('',*,*,#12943,.T.); +#24522=ORIENTED_EDGE('',*,*,#24521,.F.); +#24523=ORIENTED_EDGE('',*,*,#13527,.T.); +#24524=ORIENTED_EDGE('',*,*,#24504,.F.); +#24525=ORIENTED_EDGE('',*,*,#12613,.F.); +#24527=ORIENTED_EDGE('',*,*,#24526,.T.); +#24528=ORIENTED_EDGE('',*,*,#13543,.T.); +#24530=ORIENTED_EDGE('',*,*,#24529,.T.); +#24531=EDGE_LOOP('',(#24514,#24516,#24517,#24519,#24520,#24522,#24523,#24524, +#24525,#24527,#24528,#24530)); +#24532=FACE_OUTER_BOUND('',#24531,.F.); +#24534=CARTESIAN_POINT('',(-2.7E1,4.2E0,-1.E1)); +#24535=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24536=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24537=AXIS2_PLACEMENT_3D('',#24534,#24535,#24536); +#24538=PLANE('',#24537); +#24539=ORIENTED_EDGE('',*,*,#24515,.T.); +#24540=ORIENTED_EDGE('',*,*,#12933,.F.); +#24542=ORIENTED_EDGE('',*,*,#24541,.T.); +#24543=ORIENTED_EDGE('',*,*,#13537,.T.); +#24544=EDGE_LOOP('',(#24539,#24540,#24542,#24543)); +#24545=FACE_OUTER_BOUND('',#24544,.F.); +#24547=CARTESIAN_POINT('',(-2.7E1,4.7E0,-1.E1)); +#24548=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24549=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24550=AXIS2_PLACEMENT_3D('',#24547,#24548,#24549); +#24551=CYLINDRICAL_SURFACE('',#24550,5.E-1); +#24552=ORIENTED_EDGE('',*,*,#13539,.T.); +#24553=ORIENTED_EDGE('',*,*,#24541,.F.); +#24554=ORIENTED_EDGE('',*,*,#12931,.F.); +#24556=ORIENTED_EDGE('',*,*,#24555,.T.); +#24557=EDGE_LOOP('',(#24552,#24553,#24554,#24556)); +#24558=FACE_OUTER_BOUND('',#24557,.F.); +#24560=CARTESIAN_POINT('',(-2.82E1,5.2E0,-1.E1)); +#24561=DIRECTION('',(0.E0,1.E0,0.E0)); +#24562=DIRECTION('',(1.E0,0.E0,0.E0)); +#24563=AXIS2_PLACEMENT_3D('',#24560,#24561,#24562); +#24564=PLANE('',#24563); +#24565=ORIENTED_EDGE('',*,*,#13541,.T.); +#24566=ORIENTED_EDGE('',*,*,#24555,.F.); +#24567=ORIENTED_EDGE('',*,*,#12929,.F.); +#24568=ORIENTED_EDGE('',*,*,#24529,.F.); +#24569=EDGE_LOOP('',(#24565,#24566,#24567,#24568)); +#24570=FACE_OUTER_BOUND('',#24569,.F.); +#24572=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-1.E1)); +#24573=DIRECTION('',(0.E0,1.E0,0.E0)); +#24574=DIRECTION('',(1.E0,0.E0,0.E0)); +#24575=AXIS2_PLACEMENT_3D('',#24572,#24573,#24574); +#24576=PLANE('',#24575); +#24577=ORIENTED_EDGE('',*,*,#24518,.F.); +#24578=ORIENTED_EDGE('',*,*,#13533,.T.); +#24580=ORIENTED_EDGE('',*,*,#24579,.F.); +#24581=ORIENTED_EDGE('',*,*,#12945,.F.); +#24582=EDGE_LOOP('',(#24577,#24578,#24580,#24581)); +#24583=FACE_OUTER_BOUND('',#24582,.F.); +#24585=CARTESIAN_POINT('',(-2.7E1,-4.7E0,-1.E1)); +#24586=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24587=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24588=AXIS2_PLACEMENT_3D('',#24585,#24586,#24587); +#24589=CYLINDRICAL_SURFACE('',#24588,5.E-1); +#24590=ORIENTED_EDGE('',*,*,#13531,.T.); +#24592=ORIENTED_EDGE('',*,*,#24591,.F.); +#24593=ORIENTED_EDGE('',*,*,#12947,.F.); +#24594=ORIENTED_EDGE('',*,*,#24579,.T.); +#24595=EDGE_LOOP('',(#24590,#24592,#24593,#24594)); +#24596=FACE_OUTER_BOUND('',#24595,.F.); +#24598=CARTESIAN_POINT('',(-2.7E1,-5.2E0,-1.E1)); +#24599=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24600=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24601=AXIS2_PLACEMENT_3D('',#24598,#24599,#24600); +#24602=PLANE('',#24601); +#24603=ORIENTED_EDGE('',*,*,#24521,.T.); +#24604=ORIENTED_EDGE('',*,*,#12949,.F.); +#24605=ORIENTED_EDGE('',*,*,#24591,.T.); +#24606=ORIENTED_EDGE('',*,*,#13529,.T.); +#24607=EDGE_LOOP('',(#24603,#24604,#24605,#24606)); +#24608=FACE_OUTER_BOUND('',#24607,.F.); +#24610=CARTESIAN_POINT('',(-2.35E1,5.6E0,0.E0)); +#24611=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24612=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24613=AXIS2_PLACEMENT_3D('',#24610,#24611,#24612); +#24614=CYLINDRICAL_SURFACE('',#24613,4.7E0); +#24615=ORIENTED_EDGE('',*,*,#13545,.F.); +#24616=ORIENTED_EDGE('',*,*,#24526,.F.); +#24617=ORIENTED_EDGE('',*,*,#12627,.F.); +#24618=ORIENTED_EDGE('',*,*,#12705,.T.); +#24619=EDGE_LOOP('',(#24615,#24616,#24617,#24618)); +#24620=FACE_OUTER_BOUND('',#24619,.F.); +#24622=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-1.E1)); +#24623=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24624=DIRECTION('',(0.E0,1.E0,0.E0)); +#24625=AXIS2_PLACEMENT_3D('',#24622,#24623,#24624); +#24626=PLANE('',#24625); +#24627=ORIENTED_EDGE('',*,*,#24163,.F.); +#24628=ORIENTED_EDGE('',*,*,#13521,.T.); +#24630=ORIENTED_EDGE('',*,*,#24629,.F.); +#24631=ORIENTED_EDGE('',*,*,#12961,.F.); +#24632=EDGE_LOOP('',(#24627,#24628,#24630,#24631)); +#24633=FACE_OUTER_BOUND('',#24632,.F.); +#24635=CARTESIAN_POINT('',(-1.925E1,-9.1E0,-1.E1)); +#24636=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24637=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24638=AXIS2_PLACEMENT_3D('',#24635,#24636,#24637); +#24639=CYLINDRICAL_SURFACE('',#24638,5.E-1); +#24640=ORIENTED_EDGE('',*,*,#13519,.T.); +#24642=ORIENTED_EDGE('',*,*,#24641,.F.); +#24643=ORIENTED_EDGE('',*,*,#12963,.F.); +#24644=ORIENTED_EDGE('',*,*,#24629,.T.); +#24645=EDGE_LOOP('',(#24640,#24642,#24643,#24644)); +#24646=FACE_OUTER_BOUND('',#24645,.F.); +#24648=CARTESIAN_POINT('',(-1.875E1,-9.1E0,-1.E1)); +#24649=DIRECTION('',(1.E0,0.E0,0.E0)); +#24650=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24651=AXIS2_PLACEMENT_3D('',#24648,#24649,#24650); +#24652=PLANE('',#24651); +#24653=ORIENTED_EDGE('',*,*,#24166,.T.); +#24654=ORIENTED_EDGE('',*,*,#12965,.F.); +#24655=ORIENTED_EDGE('',*,*,#24641,.T.); +#24656=ORIENTED_EDGE('',*,*,#13517,.T.); +#24657=EDGE_LOOP('',(#24653,#24654,#24655,#24656)); +#24658=FACE_OUTER_BOUND('',#24657,.F.); +#24660=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-1.E1)); +#24661=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24662=DIRECTION('',(0.E0,1.E0,0.E0)); +#24663=AXIS2_PLACEMENT_3D('',#24660,#24661,#24662); +#24664=PLANE('',#24663); +#24665=ORIENTED_EDGE('',*,*,#24169,.F.); +#24666=ORIENTED_EDGE('',*,*,#13513,.T.); +#24668=ORIENTED_EDGE('',*,*,#24667,.F.); +#24669=ORIENTED_EDGE('',*,*,#12977,.F.); +#24670=EDGE_LOOP('',(#24665,#24666,#24668,#24669)); +#24671=FACE_OUTER_BOUND('',#24670,.F.); +#24673=CARTESIAN_POINT('',(-1.575E1,-9.1E0,-1.E1)); +#24674=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24675=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24676=AXIS2_PLACEMENT_3D('',#24673,#24674,#24675); +#24677=CYLINDRICAL_SURFACE('',#24676,5.E-1); +#24678=ORIENTED_EDGE('',*,*,#13511,.T.); +#24680=ORIENTED_EDGE('',*,*,#24679,.F.); +#24681=ORIENTED_EDGE('',*,*,#12979,.F.); +#24682=ORIENTED_EDGE('',*,*,#24667,.T.); +#24683=EDGE_LOOP('',(#24678,#24680,#24681,#24682)); +#24684=FACE_OUTER_BOUND('',#24683,.F.); +#24686=CARTESIAN_POINT('',(-1.525E1,-9.1E0,-1.E1)); +#24687=DIRECTION('',(1.E0,0.E0,0.E0)); +#24688=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24689=AXIS2_PLACEMENT_3D('',#24686,#24687,#24688); +#24690=PLANE('',#24689); +#24691=ORIENTED_EDGE('',*,*,#24172,.T.); +#24692=ORIENTED_EDGE('',*,*,#12981,.F.); +#24693=ORIENTED_EDGE('',*,*,#24679,.T.); +#24694=ORIENTED_EDGE('',*,*,#13509,.T.); +#24695=EDGE_LOOP('',(#24691,#24692,#24693,#24694)); +#24696=FACE_OUTER_BOUND('',#24695,.F.); +#24698=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-1.E1)); +#24699=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24700=DIRECTION('',(0.E0,1.E0,0.E0)); +#24701=AXIS2_PLACEMENT_3D('',#24698,#24699,#24700); +#24702=PLANE('',#24701); +#24703=ORIENTED_EDGE('',*,*,#24175,.F.); +#24704=ORIENTED_EDGE('',*,*,#13505,.T.); +#24706=ORIENTED_EDGE('',*,*,#24705,.F.); +#24707=ORIENTED_EDGE('',*,*,#12993,.F.); +#24708=EDGE_LOOP('',(#24703,#24704,#24706,#24707)); +#24709=FACE_OUTER_BOUND('',#24708,.F.); +#24711=CARTESIAN_POINT('',(-1.225E1,-9.1E0,-1.E1)); +#24712=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24713=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24714=AXIS2_PLACEMENT_3D('',#24711,#24712,#24713); +#24715=CYLINDRICAL_SURFACE('',#24714,5.E-1); +#24716=ORIENTED_EDGE('',*,*,#13503,.T.); +#24718=ORIENTED_EDGE('',*,*,#24717,.F.); +#24719=ORIENTED_EDGE('',*,*,#12995,.F.); +#24720=ORIENTED_EDGE('',*,*,#24705,.T.); +#24721=EDGE_LOOP('',(#24716,#24718,#24719,#24720)); +#24722=FACE_OUTER_BOUND('',#24721,.F.); +#24724=CARTESIAN_POINT('',(-1.175E1,-9.1E0,-1.E1)); +#24725=DIRECTION('',(1.E0,0.E0,0.E0)); +#24726=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24727=AXIS2_PLACEMENT_3D('',#24724,#24725,#24726); +#24728=PLANE('',#24727); +#24729=ORIENTED_EDGE('',*,*,#24178,.T.); +#24730=ORIENTED_EDGE('',*,*,#12997,.F.); +#24731=ORIENTED_EDGE('',*,*,#24717,.T.); +#24732=ORIENTED_EDGE('',*,*,#13501,.T.); +#24733=EDGE_LOOP('',(#24729,#24730,#24731,#24732)); +#24734=FACE_OUTER_BOUND('',#24733,.F.); +#24736=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-1.E1)); +#24737=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24738=DIRECTION('',(0.E0,1.E0,0.E0)); +#24739=AXIS2_PLACEMENT_3D('',#24736,#24737,#24738); +#24740=PLANE('',#24739); +#24741=ORIENTED_EDGE('',*,*,#24181,.F.); +#24742=ORIENTED_EDGE('',*,*,#13497,.T.); +#24744=ORIENTED_EDGE('',*,*,#24743,.F.); +#24745=ORIENTED_EDGE('',*,*,#13009,.F.); +#24746=EDGE_LOOP('',(#24741,#24742,#24744,#24745)); +#24747=FACE_OUTER_BOUND('',#24746,.F.); +#24749=CARTESIAN_POINT('',(-8.75E0,-9.1E0,-1.E1)); +#24750=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24751=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24752=AXIS2_PLACEMENT_3D('',#24749,#24750,#24751); +#24753=CYLINDRICAL_SURFACE('',#24752,5.E-1); +#24754=ORIENTED_EDGE('',*,*,#13495,.T.); +#24756=ORIENTED_EDGE('',*,*,#24755,.F.); +#24757=ORIENTED_EDGE('',*,*,#13011,.F.); +#24758=ORIENTED_EDGE('',*,*,#24743,.T.); +#24759=EDGE_LOOP('',(#24754,#24756,#24757,#24758)); +#24760=FACE_OUTER_BOUND('',#24759,.F.); +#24762=CARTESIAN_POINT('',(-8.25E0,-9.1E0,-1.E1)); +#24763=DIRECTION('',(1.E0,2.368475785867E-14,0.E0)); +#24764=DIRECTION('',(2.368475785867E-14,-1.E0,0.E0)); +#24765=AXIS2_PLACEMENT_3D('',#24762,#24763,#24764); +#24766=PLANE('',#24765); +#24767=ORIENTED_EDGE('',*,*,#24184,.T.); +#24768=ORIENTED_EDGE('',*,*,#13013,.F.); +#24769=ORIENTED_EDGE('',*,*,#24755,.T.); +#24770=ORIENTED_EDGE('',*,*,#13493,.T.); +#24771=EDGE_LOOP('',(#24767,#24768,#24769,#24770)); +#24772=FACE_OUTER_BOUND('',#24771,.F.); +#24774=CARTESIAN_POINT('',(-1.975E1,9.1E0,-1.E1)); +#24775=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24776=DIRECTION('',(0.E0,1.E0,0.E0)); +#24777=AXIS2_PLACEMENT_3D('',#24774,#24775,#24776); +#24778=PLANE('',#24777); +#24779=ORIENTED_EDGE('',*,*,#12701,.T.); +#24780=ORIENTED_EDGE('',*,*,#12917,.F.); +#24782=ORIENTED_EDGE('',*,*,#24781,.T.); +#24783=ORIENTED_EDGE('',*,*,#13548,.T.); +#24784=EDGE_LOOP('',(#24779,#24780,#24782,#24783)); +#24785=FACE_OUTER_BOUND('',#24784,.F.); +#24787=CARTESIAN_POINT('',(-1.925E1,9.1E0,-1.E1)); +#24788=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24789=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24790=AXIS2_PLACEMENT_3D('',#24787,#24788,#24789); +#24791=CYLINDRICAL_SURFACE('',#24790,5.E-1); +#24792=ORIENTED_EDGE('',*,*,#13550,.T.); +#24793=ORIENTED_EDGE('',*,*,#24781,.F.); +#24794=ORIENTED_EDGE('',*,*,#12915,.F.); +#24796=ORIENTED_EDGE('',*,*,#24795,.T.); +#24797=EDGE_LOOP('',(#24792,#24793,#24794,#24796)); +#24798=FACE_OUTER_BOUND('',#24797,.F.); +#24800=CARTESIAN_POINT('',(-1.875E1,1.03E1,-1.E1)); +#24801=DIRECTION('',(1.E0,0.E0,0.E0)); +#24802=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24803=AXIS2_PLACEMENT_3D('',#24800,#24801,#24802); +#24804=PLANE('',#24803); +#24805=ORIENTED_EDGE('',*,*,#12697,.F.); +#24806=ORIENTED_EDGE('',*,*,#13552,.T.); +#24807=ORIENTED_EDGE('',*,*,#24795,.F.); +#24808=ORIENTED_EDGE('',*,*,#12913,.F.); +#24809=EDGE_LOOP('',(#24805,#24806,#24807,#24808)); +#24810=FACE_OUTER_BOUND('',#24809,.F.); +#24812=CARTESIAN_POINT('',(-1.625E1,9.1E0,-1.E1)); +#24813=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24814=DIRECTION('',(0.E0,1.E0,0.E0)); +#24815=AXIS2_PLACEMENT_3D('',#24812,#24813,#24814); +#24816=PLANE('',#24815); +#24817=ORIENTED_EDGE('',*,*,#12693,.T.); +#24818=ORIENTED_EDGE('',*,*,#12902,.F.); +#24820=ORIENTED_EDGE('',*,*,#24819,.T.); +#24821=ORIENTED_EDGE('',*,*,#13555,.T.); +#24822=EDGE_LOOP('',(#24817,#24818,#24820,#24821)); +#24823=FACE_OUTER_BOUND('',#24822,.F.); +#24825=CARTESIAN_POINT('',(-1.575E1,9.1E0,-1.E1)); +#24826=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24827=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24828=AXIS2_PLACEMENT_3D('',#24825,#24826,#24827); +#24829=CYLINDRICAL_SURFACE('',#24828,5.E-1); +#24830=ORIENTED_EDGE('',*,*,#13557,.T.); +#24831=ORIENTED_EDGE('',*,*,#24819,.F.); +#24832=ORIENTED_EDGE('',*,*,#12900,.F.); +#24834=ORIENTED_EDGE('',*,*,#24833,.T.); +#24835=EDGE_LOOP('',(#24830,#24831,#24832,#24834)); +#24836=FACE_OUTER_BOUND('',#24835,.F.); +#24838=CARTESIAN_POINT('',(-1.525E1,1.03E1,-1.E1)); +#24839=DIRECTION('',(1.E0,0.E0,0.E0)); +#24840=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24841=AXIS2_PLACEMENT_3D('',#24838,#24839,#24840); +#24842=PLANE('',#24841); +#24843=ORIENTED_EDGE('',*,*,#12689,.F.); +#24844=ORIENTED_EDGE('',*,*,#13559,.T.); +#24845=ORIENTED_EDGE('',*,*,#24833,.F.); +#24846=ORIENTED_EDGE('',*,*,#12898,.F.); +#24847=EDGE_LOOP('',(#24843,#24844,#24845,#24846)); +#24848=FACE_OUTER_BOUND('',#24847,.F.); +#24850=CARTESIAN_POINT('',(-1.275E1,9.1E0,-1.E1)); +#24851=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24852=DIRECTION('',(0.E0,1.E0,0.E0)); +#24853=AXIS2_PLACEMENT_3D('',#24850,#24851,#24852); +#24854=PLANE('',#24853); +#24855=ORIENTED_EDGE('',*,*,#12685,.T.); +#24856=ORIENTED_EDGE('',*,*,#12887,.F.); +#24858=ORIENTED_EDGE('',*,*,#24857,.T.); +#24859=ORIENTED_EDGE('',*,*,#13562,.T.); +#24860=EDGE_LOOP('',(#24855,#24856,#24858,#24859)); +#24861=FACE_OUTER_BOUND('',#24860,.F.); +#24863=CARTESIAN_POINT('',(-1.225E1,9.1E0,-1.E1)); +#24864=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24865=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24866=AXIS2_PLACEMENT_3D('',#24863,#24864,#24865); +#24867=CYLINDRICAL_SURFACE('',#24866,5.E-1); +#24868=ORIENTED_EDGE('',*,*,#13564,.T.); +#24869=ORIENTED_EDGE('',*,*,#24857,.F.); +#24870=ORIENTED_EDGE('',*,*,#12885,.F.); +#24872=ORIENTED_EDGE('',*,*,#24871,.T.); +#24873=EDGE_LOOP('',(#24868,#24869,#24870,#24872)); +#24874=FACE_OUTER_BOUND('',#24873,.F.); +#24876=CARTESIAN_POINT('',(-1.175E1,1.03E1,-1.E1)); +#24877=DIRECTION('',(1.E0,0.E0,0.E0)); +#24878=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24879=AXIS2_PLACEMENT_3D('',#24876,#24877,#24878); +#24880=PLANE('',#24879); +#24881=ORIENTED_EDGE('',*,*,#12681,.F.); +#24882=ORIENTED_EDGE('',*,*,#13566,.T.); +#24883=ORIENTED_EDGE('',*,*,#24871,.F.); +#24884=ORIENTED_EDGE('',*,*,#12883,.F.); +#24885=EDGE_LOOP('',(#24881,#24882,#24883,#24884)); +#24886=FACE_OUTER_BOUND('',#24885,.F.); +#24888=CARTESIAN_POINT('',(-9.25E0,9.1E0,-1.E1)); +#24889=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24890=DIRECTION('',(0.E0,1.E0,0.E0)); +#24891=AXIS2_PLACEMENT_3D('',#24888,#24889,#24890); +#24892=PLANE('',#24891); +#24893=ORIENTED_EDGE('',*,*,#12677,.T.); +#24894=ORIENTED_EDGE('',*,*,#12872,.F.); +#24896=ORIENTED_EDGE('',*,*,#24895,.T.); +#24897=ORIENTED_EDGE('',*,*,#13569,.T.); +#24898=EDGE_LOOP('',(#24893,#24894,#24896,#24897)); +#24899=FACE_OUTER_BOUND('',#24898,.F.); +#24901=CARTESIAN_POINT('',(-8.75E0,9.1E0,-1.E1)); +#24902=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24903=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24904=AXIS2_PLACEMENT_3D('',#24901,#24902,#24903); +#24905=CYLINDRICAL_SURFACE('',#24904,5.E-1); +#24906=ORIENTED_EDGE('',*,*,#13571,.T.); +#24907=ORIENTED_EDGE('',*,*,#24895,.F.); +#24908=ORIENTED_EDGE('',*,*,#12870,.F.); +#24910=ORIENTED_EDGE('',*,*,#24909,.T.); +#24911=EDGE_LOOP('',(#24906,#24907,#24908,#24910)); +#24912=FACE_OUTER_BOUND('',#24911,.F.); +#24914=CARTESIAN_POINT('',(-8.25E0,1.03E1,-1.E1)); +#24915=DIRECTION('',(1.E0,2.516505522483E-14,0.E0)); +#24916=DIRECTION('',(2.516505522483E-14,-1.E0,0.E0)); +#24917=AXIS2_PLACEMENT_3D('',#24914,#24915,#24916); +#24918=PLANE('',#24917); +#24919=ORIENTED_EDGE('',*,*,#12673,.F.); +#24920=ORIENTED_EDGE('',*,*,#13573,.T.); +#24921=ORIENTED_EDGE('',*,*,#24909,.F.); +#24922=ORIENTED_EDGE('',*,*,#12868,.F.); +#24923=EDGE_LOOP('',(#24919,#24920,#24921,#24922)); +#24924=FACE_OUTER_BOUND('',#24923,.F.); +#24926=CARTESIAN_POINT('',(-5.75E0,9.1E0,-1.E1)); +#24927=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24928=DIRECTION('',(0.E0,1.E0,0.E0)); +#24929=AXIS2_PLACEMENT_3D('',#24926,#24927,#24928); +#24930=PLANE('',#24929); +#24931=ORIENTED_EDGE('',*,*,#12669,.T.); +#24932=ORIENTED_EDGE('',*,*,#12857,.F.); +#24934=ORIENTED_EDGE('',*,*,#24933,.T.); +#24935=ORIENTED_EDGE('',*,*,#13576,.T.); +#24936=EDGE_LOOP('',(#24931,#24932,#24934,#24935)); +#24937=FACE_OUTER_BOUND('',#24936,.F.); +#24939=CARTESIAN_POINT('',(-5.25E0,9.1E0,-1.E1)); +#24940=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24941=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24942=AXIS2_PLACEMENT_3D('',#24939,#24940,#24941); +#24943=CYLINDRICAL_SURFACE('',#24942,5.E-1); +#24944=ORIENTED_EDGE('',*,*,#13578,.T.); +#24945=ORIENTED_EDGE('',*,*,#24933,.F.); +#24946=ORIENTED_EDGE('',*,*,#12855,.F.); +#24948=ORIENTED_EDGE('',*,*,#24947,.T.); +#24949=EDGE_LOOP('',(#24944,#24945,#24946,#24948)); +#24950=FACE_OUTER_BOUND('',#24949,.F.); +#24952=CARTESIAN_POINT('',(-4.75E0,1.03E1,-1.E1)); +#24953=DIRECTION('',(1.E0,0.E0,0.E0)); +#24954=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24955=AXIS2_PLACEMENT_3D('',#24952,#24953,#24954); +#24956=PLANE('',#24955); +#24957=ORIENTED_EDGE('',*,*,#12665,.F.); +#24958=ORIENTED_EDGE('',*,*,#13580,.T.); +#24959=ORIENTED_EDGE('',*,*,#24947,.F.); +#24960=ORIENTED_EDGE('',*,*,#12853,.F.); +#24961=EDGE_LOOP('',(#24957,#24958,#24959,#24960)); +#24962=FACE_OUTER_BOUND('',#24961,.F.); +#24964=CARTESIAN_POINT('',(-2.25E0,9.1E0,-1.E1)); +#24965=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24966=DIRECTION('',(0.E0,1.E0,0.E0)); +#24967=AXIS2_PLACEMENT_3D('',#24964,#24965,#24966); +#24968=PLANE('',#24967); +#24969=ORIENTED_EDGE('',*,*,#12661,.T.); +#24970=ORIENTED_EDGE('',*,*,#12842,.F.); +#24972=ORIENTED_EDGE('',*,*,#24971,.T.); +#24973=ORIENTED_EDGE('',*,*,#13583,.T.); +#24974=EDGE_LOOP('',(#24969,#24970,#24972,#24973)); +#24975=FACE_OUTER_BOUND('',#24974,.F.); +#24977=CARTESIAN_POINT('',(-1.75E0,9.1E0,-1.E1)); +#24978=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24979=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24980=AXIS2_PLACEMENT_3D('',#24977,#24978,#24979); +#24981=CYLINDRICAL_SURFACE('',#24980,5.E-1); +#24982=ORIENTED_EDGE('',*,*,#13585,.T.); +#24983=ORIENTED_EDGE('',*,*,#24971,.F.); +#24984=ORIENTED_EDGE('',*,*,#12840,.F.); +#24986=ORIENTED_EDGE('',*,*,#24985,.T.); +#24987=EDGE_LOOP('',(#24982,#24983,#24984,#24986)); +#24988=FACE_OUTER_BOUND('',#24987,.F.); +#24990=CARTESIAN_POINT('',(-1.25E0,1.03E1,-1.E1)); +#24991=DIRECTION('',(1.E0,0.E0,0.E0)); +#24992=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24993=AXIS2_PLACEMENT_3D('',#24990,#24991,#24992); +#24994=PLANE('',#24993); +#24995=ORIENTED_EDGE('',*,*,#12657,.F.); +#24996=ORIENTED_EDGE('',*,*,#13587,.T.); +#24997=ORIENTED_EDGE('',*,*,#24985,.F.); +#24998=ORIENTED_EDGE('',*,*,#12838,.F.); +#24999=EDGE_LOOP('',(#24995,#24996,#24997,#24998)); +#25000=FACE_OUTER_BOUND('',#24999,.F.); +#25002=CLOSED_SHELL('',(#12533,#12548,#12562,#12576,#12590,#12604,#12618,#12632, +#12645,#12756,#12771,#12786,#12801,#12816,#12831,#12846,#12861,#12876,#12891, +#12906,#12921,#12937,#12953,#12969,#12985,#13001,#13017,#13033,#13049,#13065, +#13081,#13097,#13113,#13129,#13145,#13161,#13176,#13192,#13206,#13218,#13230, +#13242,#13254,#13268,#13358,#14032,#14051,#14065,#14079,#14093,#14107,#14145, +#14159,#14178,#14192,#14206,#14222,#14236,#14251,#14264,#14279,#14296,#14309, +#14326,#14339,#14353,#14367,#14381,#14394,#14407,#14424,#14438,#14452,#14466, +#14480,#14494,#14508,#14524,#14553,#14575,#14604,#14633,#14647,#14659,#14673, +#14685,#14699,#14711,#14725,#14737,#14751,#14766,#14781,#14794,#14807,#14820, +#14833,#14846,#14858,#14871,#14884,#14897,#14910,#14922,#14936,#14950,#14963, +#14976,#14989,#15002,#15014,#15026,#15041,#15055,#15069,#15083,#15097,#15111, +#15124,#15139,#15203,#15241,#15263,#15385,#15399,#15412,#15425,#15438,#15451, +#15464,#15477,#15490,#15503,#15516,#15529,#15542,#15555,#15568,#15581,#15594, +#15607,#15620,#15633,#15646,#15659,#15672,#15685,#15698,#15711,#15724,#15737, +#15749,#15763,#15776,#15789,#15802,#15815,#15828,#15841,#15854,#15867,#15880, +#15892,#15906,#15919,#15931,#15945,#15958,#15971,#15984,#15997,#16010,#16022, +#16036,#16049,#16062,#16075,#16088,#16101,#16114,#16127,#16140,#16153,#16166, +#16179,#16192,#16205,#16218,#16231,#16244,#16257,#16270,#16283,#16296,#16309, +#16322,#16335,#16348,#16361,#16374,#16387,#16400,#16413,#16426,#16439,#16452, +#16465,#16478,#16491,#16504,#16517,#16530,#16543,#16556,#16569,#16582,#16595, +#16608,#16621,#16634,#16647,#16660,#16673,#16686,#16699,#16712,#16725,#16738, +#16751,#16763,#16778,#16792,#16806,#16820,#16834,#16848,#16862,#16875,#16890, +#16904,#16918,#16932,#16946,#16960,#16974,#16987,#17002,#17016,#17030,#17044, +#17058,#17072,#17086,#17099,#17114,#17128,#17142,#17156,#17170,#17184,#17198, +#17211,#17244,#17263,#17278,#17431,#17446,#17464,#17479,#17494,#17509,#17531, +#17563,#17582,#17616,#17631,#17645,#17663,#17677,#17767,#17785,#17815,#17830, +#17847,#17860,#17886,#17900,#17915,#17929,#17942,#17954,#17970,#17990,#18004, +#18018,#18032,#18046,#18064,#18090,#18115,#18130,#18145,#18160,#18176,#18190, +#18204,#18218,#18232,#18256,#18272,#18286,#18300,#18313,#18338,#18351,#18363, +#18385,#18406,#18421,#18438,#18452,#18469,#18484,#18494,#18507,#18523,#18535, +#18550,#18570,#18584,#18600,#18618,#18630,#18645,#18655,#18673,#18686,#18700, +#18715,#18737,#18759,#18773,#18787,#18801,#18814,#18828,#18840,#18853,#18865, +#18879,#18892,#18906,#18918,#18931,#18943,#18955,#18972,#18986,#19000,#19015, +#19030,#19043,#19059,#19073,#19086,#19099,#19113,#19126,#19140,#19153,#19167, +#19179,#19192,#19206,#19223,#19236,#19262,#19276,#19289,#19303,#19317,#19332, +#19347,#19360,#19373,#19385,#19410,#19423,#19436,#19448,#19461,#19475,#19492, +#19505,#19531,#19545,#19557,#19571,#19584,#19609,#19621,#19635,#19653,#19671, +#19685,#19774,#19787,#19800,#19812,#19826,#19839,#19851,#19864,#19888,#19900, +#19913,#19926,#19938,#19953,#19970,#19988,#20002,#20020,#20034,#20051,#20065, +#20083,#20164,#20178,#20192,#20282,#20391,#20409,#20420,#20435,#20533,#20546, +#20559,#20571,#20587,#20597,#20612,#20625,#20635,#20645,#20660,#20673,#20683, +#20699,#20709,#20724,#20737,#20747,#20757,#20772,#20785,#20795,#20811,#20821, +#20836,#20849,#20859,#20869,#20884,#20897,#20907,#20923,#20933,#20948,#20961, +#20971,#20981,#20996,#21009,#21019,#21035,#21045,#21060,#21073,#21083,#21093, +#21108,#21121,#21131,#21147,#21157,#21172,#21185,#21195,#21205,#21220,#21233, +#21243,#21259,#21269,#21284,#21297,#21307,#21317,#21332,#21345,#21355,#21371, +#21381,#21396,#21409,#21419,#21429,#21444,#21457,#21467,#21483,#21493,#21508, +#21521,#21531,#21541,#21556,#21569,#21579,#21595,#21605,#21620,#21633,#21643, +#21653,#21668,#21681,#21691,#21707,#21717,#21732,#21745,#21755,#21765,#21780, +#21793,#21803,#21816,#21826,#21836,#21851,#21864,#21874,#21889,#21902,#21919, +#21932,#22016,#22031,#22044,#22054,#22069,#22082,#22092,#22107,#22120,#22130, +#22145,#22158,#22168,#22183,#22196,#22206,#22221,#22234,#22244,#22259,#22272, +#22282,#22297,#22310,#22320,#22335,#22348,#22358,#22373,#22386,#22396,#22411, +#22424,#22434,#22449,#22462,#22472,#22487,#22500,#22510,#22525,#22538,#22548, +#22563,#22576,#22586,#22601,#22614,#22624,#22639,#22652,#22662,#22677,#22690, +#22700,#22715,#22728,#22738,#22753,#22766,#22776,#22791,#22804,#22814,#22829, +#22842,#22852,#22867,#22880,#22890,#22905,#22918,#22928,#22943,#22956,#22966, +#22981,#22994,#23004,#23019,#23032,#23042,#23057,#23070,#23080,#23095,#23108, +#23118,#23133,#23146,#23156,#23171,#23184,#23194,#23209,#23222,#23232,#23247, +#23260,#23270,#23285,#23298,#23308,#23323,#23336,#23346,#23361,#23374,#23384, +#23399,#23412,#23422,#23437,#23450,#23460,#23475,#23488,#23498,#23513,#23526, +#23536,#23551,#23564,#23574,#23589,#23602,#23612,#23627,#23640,#23650,#23665, +#23678,#23688,#23703,#23716,#23726,#23741,#23754,#23764,#23777,#23790,#23802, +#23815,#23828,#23840,#23853,#23866,#23878,#23891,#23904,#23916,#23929,#23942, +#23954,#23967,#23979,#23992,#24017,#24030,#24043,#24055,#24068,#24081,#24093, +#24106,#24191,#24204,#24217,#24229,#24242,#24255,#24267,#24280,#24293,#24305, +#24318,#24331,#24343,#24356,#24369,#24381,#24394,#24407,#24419,#24432,#24445, +#24457,#24470,#24483,#24495,#24508,#24533,#24546,#24559,#24571,#24584,#24597, +#24609,#24621,#24634,#24647,#24659,#24672,#24685,#24697,#24710,#24723,#24735, +#24748,#24761,#24773,#24786,#24799,#24811,#24824,#24837,#24849,#24862,#24875, +#24887,#24900,#24913,#24925,#24938,#24951,#24963,#24976,#24989,#25001)); +#25003=MANIFOLD_SOLID_BREP('',#25002); +#25006=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), +#25005); +#25007=(CONVERSION_BASED_UNIT('DEGREE',#25006)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); +#25009=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(9.234163633402E-4),#25004, +'distance_accuracy_value', +'Maximum model space distance between geometric entities at asserted connectivities'); +#25012=APPLICATION_CONTEXT('automotive_design'); +#25013=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2001,#25012); +#25014=PRODUCT_DEFINITION_CONTEXT('part definition',#25012,'design'); +#25015=PRODUCT_CONTEXT('',#25012,'mechanical'); +#25016=PRODUCT('C-1-776163-2','C-1-776163-2','NOT SPECIFIED',(#25015)); +#25017=PRODUCT_DEFINITION_FORMATION('1','LAST_VERSION',#25016); +#25025=DERIVED_UNIT_ELEMENT(#25024,2.E0); +#25026=DERIVED_UNIT((#25025)); +#25027=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +1.792022936075E4),#25026); +#25032=DERIVED_UNIT_ELEMENT(#25031,3.E0); +#25033=DERIVED_UNIT((#25032)); +#25034=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +2.031817073005E4),#25033); +#25038=CARTESIAN_POINT('centre point',(-4.247628122949E-3,-5.137545051181E-1, +-2.137736919444E1)); +#25043=DERIVED_UNIT_ELEMENT(#25042,2.E0); +#25044=DERIVED_UNIT((#25043)); +#25045=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +1.792022936075E4),#25044); +#25050=DERIVED_UNIT_ELEMENT(#25049,3.E0); +#25051=DERIVED_UNIT((#25050)); +#25052=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +2.031817073005E4),#25051); +#25056=CARTESIAN_POINT('centre point',(-4.247628122949E-3,-5.137545051181E-1, +-2.137736919444E1)); +#25061=PRODUCT_RELATED_PRODUCT_CATEGORY('part','',(#25016)); +#1=COLOUR_RGB('',8.784E-1,9.49E-1,1.E0); +#18=CIRCLE('',#17,5.7E0); +#27=CIRCLE('',#26,5.7E0); +#36=CIRCLE('',#35,5.7E0); +#45=CIRCLE('',#44,5.7E0); +#74=CIRCLE('',#73,5.235898384862E0); +#83=CIRCLE('',#82,4.7E0); +#100=CIRCLE('',#99,5.235898384862E0); +#109=CIRCLE('',#108,4.7E0); +#122=CIRCLE('',#121,5.235898384862E0); +#131=CIRCLE('',#130,4.7E0); +#152=CIRCLE('',#151,5.235898384862E0); +#161=CIRCLE('',#160,4.7E0); +#378=CIRCLE('',#377,5.E-1); +#391=CIRCLE('',#390,5.E-1); +#404=CIRCLE('',#403,5.E-1); +#417=CIRCLE('',#416,5.E-1); +#430=CIRCLE('',#429,5.E-1); +#443=CIRCLE('',#442,5.E-1); +#456=CIRCLE('',#455,5.E-1); +#469=CIRCLE('',#468,5.E-1); +#482=CIRCLE('',#481,5.E-1); +#495=CIRCLE('',#494,5.E-1); +#508=CIRCLE('',#507,5.E-1); +#521=CIRCLE('',#520,5.E-1); +#534=CIRCLE('',#533,5.E-1); +#547=CIRCLE('',#546,5.E-1); +#560=CIRCLE('',#559,5.E-1); +#573=CIRCLE('',#572,5.E-1); +#586=CIRCLE('',#585,5.E-1); +#599=CIRCLE('',#598,5.E-1); +#612=CIRCLE('',#611,5.E-1); +#625=CIRCLE('',#624,5.E-1); +#638=CIRCLE('',#637,5.E-1); +#651=CIRCLE('',#650,5.E-1); +#664=CIRCLE('',#663,5.E-1); +#677=CIRCLE('',#676,5.E-1); +#690=CIRCLE('',#689,5.E-1); +#703=CIRCLE('',#702,5.E-1); +#720=CIRCLE('',#719,6.75E-1); +#737=CIRCLE('',#736,6.75E-1); +#746=CIRCLE('',#745,6.2E0); +#755=CIRCLE('',#754,3.25E0); +#764=CIRCLE('',#763,3.25E0); +#773=CIRCLE('',#772,1.E0); +#778=CIRCLE('',#777,6.2E0); +#783=CIRCLE('',#782,1.E0); +#792=CIRCLE('',#791,3.25E0); +#801=CIRCLE('',#800,3.25E0); +#806=CIRCLE('',#805,1.E0); +#811=CIRCLE('',#810,1.E0); +#816=CIRCLE('',#815,3.25E0); +#825=CIRCLE('',#824,3.25E0); +#834=CIRCLE('',#833,1.E0); +#839=CIRCLE('',#838,6.2E0); +#844=CIRCLE('',#843,1.E0); +#853=CIRCLE('',#852,3.25E0); +#862=CIRCLE('',#861,3.25E0); +#871=CIRCLE('',#870,6.2E0); +#876=CIRCLE('',#875,1.085E0); +#881=CIRCLE('',#880,1.085E0); +#886=CIRCLE('',#885,1.085E0); +#891=CIRCLE('',#890,1.085E0); +#896=CIRCLE('',#895,1.085E0); +#901=CIRCLE('',#900,1.085E0); +#906=CIRCLE('',#905,1.085E0); +#911=CIRCLE('',#910,1.085E0); +#1372=CIRCLE('',#1371,2.3E0); +#1381=CIRCLE('',#1380,2.3E0); +#1390=CIRCLE('',#1389,2.3E0); +#1399=CIRCLE('',#1398,2.3E0); +#1404=CIRCLE('',#1403,6.5E-1); +#1409=CIRCLE('',#1408,6.5E-1); +#1414=CIRCLE('',#1413,6.5E-1); +#1419=CIRCLE('',#1418,6.5E-1); +#1424=CIRCLE('',#1423,6.5E-1); +#1429=CIRCLE('',#1428,6.5E-1); +#1434=CIRCLE('',#1433,6.5E-1); +#1439=CIRCLE('',#1438,6.5E-1); +#1444=CIRCLE('',#1443,6.5E-1); +#1449=CIRCLE('',#1448,6.5E-1); +#1454=CIRCLE('',#1453,6.5E-1); +#1459=CIRCLE('',#1458,6.5E-1); +#1464=CIRCLE('',#1463,6.5E-1); +#1469=CIRCLE('',#1468,6.5E-1); +#1474=CIRCLE('',#1473,6.5E-1); +#1479=CIRCLE('',#1478,6.5E-1); +#1484=CIRCLE('',#1483,6.5E-1); +#1489=CIRCLE('',#1488,6.5E-1); +#1494=CIRCLE('',#1493,6.5E-1); +#1499=CIRCLE('',#1498,6.5E-1); +#1504=CIRCLE('',#1503,6.5E-1); +#1509=CIRCLE('',#1508,6.5E-1); +#1514=CIRCLE('',#1513,6.5E-1); +#1519=CIRCLE('',#1518,6.5E-1); +#1524=CIRCLE('',#1523,6.5E-1); +#1529=CIRCLE('',#1528,6.5E-1); +#1534=CIRCLE('',#1533,6.5E-1); +#1539=CIRCLE('',#1538,6.5E-1); +#1544=CIRCLE('',#1543,6.5E-1); +#1549=CIRCLE('',#1548,6.5E-1); +#1554=CIRCLE('',#1553,6.5E-1); +#1559=CIRCLE('',#1558,6.5E-1); +#1564=CIRCLE('',#1563,6.5E-1); +#1569=CIRCLE('',#1568,6.5E-1); +#1574=CIRCLE('',#1573,6.5E-1); +#1579=CIRCLE('',#1578,6.5E-1); +#1584=CIRCLE('',#1583,6.5E-1); +#1589=CIRCLE('',#1588,6.5E-1); +#1594=CIRCLE('',#1593,6.5E-1); +#1599=CIRCLE('',#1598,6.5E-1); +#1604=CIRCLE('',#1603,6.5E-1); +#1609=CIRCLE('',#1608,6.5E-1); +#1614=CIRCLE('',#1613,6.5E-1); +#1619=CIRCLE('',#1618,6.5E-1); +#1624=CIRCLE('',#1623,6.5E-1); +#1629=CIRCLE('',#1628,6.5E-1); +#1634=CIRCLE('',#1633,6.5E-1); +#1639=CIRCLE('',#1638,6.5E-1); +#1644=CIRCLE('',#1643,6.5E-1); +#1649=CIRCLE('',#1648,6.5E-1); +#1654=CIRCLE('',#1653,6.5E-1); +#1659=CIRCLE('',#1658,6.5E-1); +#1664=CIRCLE('',#1663,6.5E-1); +#1669=CIRCLE('',#1668,6.5E-1); +#1674=CIRCLE('',#1673,6.5E-1); +#1679=CIRCLE('',#1678,6.5E-1); +#1684=CIRCLE('',#1683,6.5E-1); +#1689=CIRCLE('',#1688,6.5E-1); +#1694=CIRCLE('',#1693,6.5E-1); +#1699=CIRCLE('',#1698,6.5E-1); +#1704=CIRCLE('',#1703,6.5E-1); +#1709=CIRCLE('',#1708,6.5E-1); +#1714=CIRCLE('',#1713,6.5E-1); +#1719=CIRCLE('',#1718,6.5E-1); +#1724=CIRCLE('',#1723,6.5E-1); +#1729=CIRCLE('',#1728,6.5E-1); +#1734=CIRCLE('',#1733,6.5E-1); +#1739=CIRCLE('',#1738,6.5E-1); +#1744=CIRCLE('',#1743,6.5E-1); +#1749=CIRCLE('',#1748,6.5E-1); +#1758=CIRCLE('',#1757,6.75E-1); +#1771=CIRCLE('',#1770,5.E-1); +#1784=CIRCLE('',#1783,5.E-1); +#1797=CIRCLE('',#1796,5.E-1); +#1810=CIRCLE('',#1809,5.E-1); +#1823=CIRCLE('',#1822,5.E-1); +#1832=CIRCLE('',#1831,4.7E0); +#1841=CIRCLE('',#1840,5.E-1); +#1854=CIRCLE('',#1853,5.E-1); +#1863=CIRCLE('',#1862,4.7E0); +#1872=CIRCLE('',#1871,5.E-1); +#1885=CIRCLE('',#1884,5.E-1); +#1898=CIRCLE('',#1897,5.E-1); +#1911=CIRCLE('',#1910,5.E-1); +#1924=CIRCLE('',#1923,5.E-1); +#1937=CIRCLE('',#1936,5.E-1); +#1950=CIRCLE('',#1949,5.E-1); +#1963=CIRCLE('',#1962,6.75E-1); +#1976=CIRCLE('',#1975,5.E-1); +#1989=CIRCLE('',#1988,5.E-1); +#2002=CIRCLE('',#2001,5.E-1); +#2015=CIRCLE('',#2014,5.E-1); +#2024=CIRCLE('',#2023,4.7E0); +#2033=CIRCLE('',#2032,5.E-1); +#2046=CIRCLE('',#2045,5.E-1); +#2055=CIRCLE('',#2054,4.7E0); +#2064=CIRCLE('',#2063,5.E-1); +#2077=CIRCLE('',#2076,5.E-1); +#2090=CIRCLE('',#2089,5.E-1); +#2103=CIRCLE('',#2102,5.E-1); +#2116=CIRCLE('',#2115,5.E-1); +#2129=CIRCLE('',#2128,5.E-1); +#2138=CIRCLE('',#2137,6.2E0); +#2147=CIRCLE('',#2146,5.E-1); +#2152=CIRCLE('',#2151,5.E-1); +#2157=CIRCLE('',#2156,5.E-1); +#2162=CIRCLE('',#2161,5.E-1); +#2172=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2163,#2164,#2165,#2166,#2167,#2168,#2169, +#2170,#2171),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,5.E-1, +7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#2230=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2221,#2222,#2223,#2224,#2225,#2226,#2227, +#2228,#2229),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,5.E-1, +7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#2240=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2231,#2232,#2233,#2234,#2235,#2236,#2237, +#2238,#2239),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,5.E-1, +7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#2248=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2241,#2242,#2243,#2244,#2245,#2246, +#2247),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#2320=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2313,#2314,#2315,#2316,#2317,#2318, +#2319),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#2328=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2321,#2322,#2323,#2324,#2325,#2326, +#2327),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#2336=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2329,#2330,#2331,#2332,#2333,#2334, +#2335),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#2346=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2337,#2338,#2339,#2340,#2341,#2342,#2343, +#2344,#2345),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,5.E-1, +7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#2351=CIRCLE('',#2350,5.E-1); +#2356=CIRCLE('',#2355,5.E-1); +#2361=CIRCLE('',#2360,5.E-1); +#2366=CIRCLE('',#2365,5.E-1); +#2383=CIRCLE('',#2382,6.2E0); +#2436=CIRCLE('',#2435,1.085E0); +#2441=CIRCLE('',#2440,1.085E0); +#2446=CIRCLE('',#2445,1.085E0); +#2451=CIRCLE('',#2450,1.085E0); +#2456=CIRCLE('',#2455,1.085E0); +#2461=CIRCLE('',#2460,1.085E0); +#2466=CIRCLE('',#2465,1.085E0); +#2471=CIRCLE('',#2470,1.085E0); +#2476=CIRCLE('',#2475,1.E0); +#2485=CIRCLE('',#2484,3.25E0); +#2494=CIRCLE('',#2493,3.25E0); +#2503=CIRCLE('',#2502,6.2E0); +#2512=CIRCLE('',#2511,3.25E0); +#2521=CIRCLE('',#2520,3.25E0); +#2530=CIRCLE('',#2529,1.E0); +#2535=CIRCLE('',#2534,6.2E0); +#2540=CIRCLE('',#2539,1.E0); +#2549=CIRCLE('',#2548,3.25E0); +#2558=CIRCLE('',#2557,3.25E0); +#2563=CIRCLE('',#2562,1.E0); +#2572=CIRCLE('',#2571,6.2E0); +#2581=CIRCLE('',#2580,1.E0); +#2586=CIRCLE('',#2585,3.25E0); +#2595=CIRCLE('',#2594,3.25E0); +#2604=CIRCLE('',#2603,1.E0); +#2609=CIRCLE('',#2608,6.2E0); +#2670=CIRCLE('',#2669,6.2E0); +#2727=CIRCLE('',#2726,6.2E0); +#3680=CIRCLE('',#3679,2.3E0); +#3701=CIRCLE('',#3700,2.3E0); +#3718=CIRCLE('',#3717,2.3E0); +#3735=CIRCLE('',#3734,2.3E0); +#3740=CIRCLE('',#3739,1.3E0); +#3745=CIRCLE('',#3744,4.9E0); +#3754=CIRCLE('',#3753,1.3E0); +#3759=CIRCLE('',#3758,4.9E0); +#3764=CIRCLE('',#3763,1.3E0); +#3769=CIRCLE('',#3768,1.3E0); +#3778=CIRCLE('',#3777,1.3E0); +#3783=CIRCLE('',#3782,4.9E0); +#3788=CIRCLE('',#3787,1.3E0); +#3797=CIRCLE('',#3796,1.3E0); +#3802=CIRCLE('',#3801,4.9E0); +#3807=CIRCLE('',#3806,1.3E0); +#3828=CIRCLE('',#3827,4.9E0); +#3845=CIRCLE('',#3844,4.9E0); +#3862=CIRCLE('',#3861,4.9E0); +#3879=CIRCLE('',#3878,4.9E0); +#3884=CIRCLE('',#3883,5.E-1); +#3889=CIRCLE('',#3888,5.E-1); +#3894=CIRCLE('',#3893,5.E-1); +#3899=CIRCLE('',#3898,5.E-1); +#3904=CIRCLE('',#3903,5.E-1); +#3909=CIRCLE('',#3908,5.E-1); +#3914=CIRCLE('',#3913,5.E-1); +#3919=CIRCLE('',#3918,5.E-1); +#3932=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3920,#3921,#3922,#3923,#3924,#3925,#3926, +#3927,#3928,#3929,#3930,#3931),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#3949=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3937,#3938,#3939,#3940,#3941,#3942,#3943, +#3944,#3945,#3946,#3947,#3948),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#3978=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3966,#3967,#3968,#3969,#3970,#3971,#3972, +#3973,#3974,#3975,#3976,#3977),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#3991=CIRCLE('',#3990,1.E0); +#4008=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3996,#3997,#3998,#3999,#4000,#4001,#4002, +#4003,#4004,#4005,#4006,#4007),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#4029=CIRCLE('',#4028,5.E-1); +#4082=CIRCLE('',#4081,3.5E0); +#4087=CIRCLE('',#4086,5.E-1); +#4100=CIRCLE('',#4099,4.5E0); +#4109=CIRCLE('',#4108,4.5E0); +#4122=CIRCLE('',#4121,5.E-1); +#4127=CIRCLE('',#4126,3.5E0); +#4180=CIRCLE('',#4179,5.E-1); +#4197=CIRCLE('',#4196,5.E-1); +#4202=CIRCLE('',#4201,5.E-1); +#4211=CIRCLE('',#4210,4.5E0); +#4220=CIRCLE('',#4219,4.5E0); +#4229=CIRCLE('',#4228,5.E-1); +#4234=CIRCLE('',#4233,5.E-1); +#4279=CIRCLE('',#4278,5.E-1); +#4300=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4288,#4289,#4290,#4291,#4292,#4293,#4294, +#4295,#4296,#4297,#4298,#4299),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#4305=CIRCLE('',#4304,1.E0); +#4318=CIRCLE('',#4317,2.5E0); +#4323=CIRCLE('',#4322,3.E0); +#4328=CIRCLE('',#4327,1.5E0); +#4341=CIRCLE('',#4340,5.E0); +#4346=CIRCLE('',#4345,1.5E0); +#4351=CIRCLE('',#4350,2.5E0); +#4376=CIRCLE('',#4375,1.5E0); +#4393=CIRCLE('',#4392,1.5E0); +#4398=CIRCLE('',#4397,1.5E0); +#4403=CIRCLE('',#4402,5.E0); +#4416=CIRCLE('',#4415,1.5E0); +#4425=CIRCLE('',#4424,2.5E0); +#4430=CIRCLE('',#4429,1.5E0); +#4447=CIRCLE('',#4446,1.5E0); +#4468=CIRCLE('',#4467,2.5E0); +#4473=CIRCLE('',#4472,3.E0); +#4478=CIRCLE('',#4477,1.E0); +#4495=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4479,#4480,#4481,#4482,#4483,#4484,#4485, +#4486,#4487,#4488,#4489,#4490,#4491,#4492,#4493,#4494),.UNSPECIFIED.,.F.,.F.,(4, +1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,7.692307692308E-2,1.538461538462E-1, +2.307692307692E-1,3.076923076923E-1,3.846153846154E-1,4.615384615385E-1, +5.384615384615E-1,6.153846153846E-1,6.923076923077E-1,7.692307692308E-1, +8.461538461538E-1,9.230769230769E-1,1.E0),.UNSPECIFIED.); +#4516=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4504,#4505,#4506,#4507,#4508,#4509,#4510, +#4511,#4512,#4513,#4514,#4515),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#4531=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4521,#4522,#4523,#4524,#4525,#4526,#4527, +#4528,#4529,#4530),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#4536=CIRCLE('',#4535,5.E-1); +#4556=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4537,#4538,#4539,#4540,#4541,#4542,#4543, +#4544,#4545,#4546,#4547,#4548,#4549,#4550,#4551,#4552,#4553,#4554,#4555), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,6.25E-2,1.25E-1, +1.875E-1,2.5E-1,3.125E-1,3.75E-1,4.375E-1,5.E-1,5.625E-1,6.25E-1,6.875E-1, +7.5E-1,8.125E-1,8.75E-1,9.375E-1,1.E0),.UNSPECIFIED.); +#4561=CIRCLE('',#4560,4.999998148392E-1); +#4573=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4562,#4563,#4564,#4565,#4566,#4567,#4568, +#4569,#4570,#4571,#4572),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#4582=CIRCLE('',#4581,5.E0); +#4591=CIRCLE('',#4590,5.E0); +#4604=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4592,#4593,#4594,#4595,#4596,#4597,#4598, +#4599,#4600,#4601,#4602,#4603),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#4621=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4609,#4610,#4611,#4612,#4613,#4614,#4615, +#4616,#4617,#4618,#4619,#4620),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#4646=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4634,#4635,#4636,#4637,#4638,#4639,#4640, +#4641,#4642,#4643,#4644,#4645),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#4655=CIRCLE('',#4654,5.E-1); +#4660=CIRCLE('',#4659,5.E-1); +#4681=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4669,#4670,#4671,#4672,#4673,#4674,#4675, +#4676,#4677,#4678,#4679,#4680),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#4686=CIRCLE('',#4685,1.E0); +#4691=CIRCLE('',#4690,5.E-1); +#4696=CIRCLE('',#4695,5.E-1); +#4701=CIRCLE('',#4700,1.E0); +#4706=CIRCLE('',#4705,3.E0); +#4711=CIRCLE('',#4710,3.5E0); +#4724=CIRCLE('',#4723,2.5E0); +#4729=CIRCLE('',#4728,3.E0); +#4750=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4730,#4731,#4732,#4733,#4734,#4735,#4736, +#4737,#4738,#4739,#4740,#4741,#4742,#4743,#4744,#4745,#4746,#4747,#4748,#4749), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +5.882352941176E-2,1.176470588235E-1,1.764705882353E-1,2.352941176471E-1, +2.941176470588E-1,3.529411764706E-1,4.117647058824E-1,4.705882352941E-1, +5.294117647059E-1,5.882352941176E-1,6.470588235294E-1,7.058823529412E-1, +7.647058823529E-1,8.235294117647E-1,8.823529411765E-1,9.411764705882E-1,1.E0), +.UNSPECIFIED.); +#4755=CIRCLE('',#4754,1.E0); +#4760=CIRCLE('',#4759,5.E-1); +#4782=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4765,#4766,#4767,#4768,#4769,#4770,#4771, +#4772,#4773,#4774,#4775,#4776,#4777,#4778,#4779,#4780,#4781),.UNSPECIFIED.,.F., +.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,7.142857142857E-2,1.428571428571E-1, +2.142857142857E-1,2.857142857143E-1,3.571428571429E-1,4.285714285714E-1,5.E-1, +5.714285714286E-1,6.428571428571E-1,7.142857142857E-1,7.857142857143E-1, +8.571428571429E-1,9.285714285714E-1,1.E0),.UNSPECIFIED.); +#4891=CIRCLE('',#4890,5.E-1); +#4913=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4896,#4897,#4898,#4899,#4900,#4901,#4902, +#4903,#4904,#4905,#4906,#4907,#4908,#4909,#4910,#4911,#4912),.UNSPECIFIED.,.F., +.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,7.142857142857E-2,1.428571428571E-1, +2.142857142857E-1,2.857142857143E-1,3.571428571429E-1,4.285714285714E-1,5.E-1, +5.714285714286E-1,6.428571428571E-1,7.142857142857E-1,7.857142857143E-1, +8.571428571429E-1,9.285714285714E-1,1.E0),.UNSPECIFIED.); +#4934=CIRCLE('',#4933,2.5E0); +#4955=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4935,#4936,#4937,#4938,#4939,#4940,#4941, +#4942,#4943,#4944,#4945,#4946,#4947,#4948,#4949,#4950,#4951,#4952,#4953,#4954), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +5.882352941176E-2,1.176470588235E-1,1.764705882353E-1,2.352941176471E-1, +2.941176470588E-1,3.529411764706E-1,4.117647058824E-1,4.705882352941E-1, +5.294117647059E-1,5.882352941176E-1,6.470588235294E-1,7.058823529412E-1, +7.647058823529E-1,8.235294117647E-1,8.823529411765E-1,9.411764705882E-1,1.E0), +.UNSPECIFIED.); +#4960=CIRCLE('',#4959,3.E0); +#4969=CIRCLE('',#4968,3.E0); +#4974=CIRCLE('',#4973,3.5E0); +#4979=CIRCLE('',#4978,5.E-1); +#4984=CIRCLE('',#4983,5.E-1); +#4989=CIRCLE('',#4988,1.E0); +#4994=CIRCLE('',#4993,5.E-1); +#4999=CIRCLE('',#4998,1.E0); +#5004=CIRCLE('',#5003,1.E0); +#5013=CIRCLE('',#5012,5.E-1); +#5039=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5030,#5031,#5032,#5033,#5034,#5035,#5036, +#5037,#5038),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#5048=CIRCLE('',#5047,1.5E0); +#5058=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5049,#5050,#5051,#5052,#5053,#5054,#5055, +#5056,#5057),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#5063=CIRCLE('',#5062,1.E0); +#5076=CIRCLE('',#5075,1.5E0); +#5081=CIRCLE('',#5080,1.5E0); +#5086=CIRCLE('',#5085,1.E0); +#5091=CIRCLE('',#5090,4.25E-1); +#5096=CIRCLE('',#5095,4.25E-1); +#5154=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5145,#5146,#5147,#5148,#5149,#5150,#5151, +#5152,#5153),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#5159=CIRCLE('',#5158,1.5E0); +#5168=CIRCLE('',#5167,1.E0); +#5173=CIRCLE('',#5172,1.5E0); +#5183=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5174,#5175,#5176,#5177,#5178,#5179,#5180, +#5181,#5182),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#5188=CIRCLE('',#5187,1.5E0); +#5201=CIRCLE('',#5200,1.E0); +#5206=CIRCLE('',#5205,4.25E-1); +#5211=CIRCLE('',#5210,4.25E-1); +#5224=CIRCLE('',#5223,1.E0); +#5449=CIRCLE('',#5448,5.E-1); +#5458=CIRCLE('',#5457,5.E-1); +#5463=CIRCLE('',#5462,5.E-1); +#5468=CIRCLE('',#5467,3.E0); +#5477=CIRCLE('',#5476,3.E0); +#5486=CIRCLE('',#5485,1.E0); +#5491=CIRCLE('',#5490,5.E-1); +#5512=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5500,#5501,#5502,#5503,#5504,#5505,#5506, +#5507,#5508,#5509,#5510,#5511),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#5517=CIRCLE('',#5516,1.E0); +#5522=CIRCLE('',#5521,5.E-1); +#5527=CIRCLE('',#5526,5.E-1); +#5532=CIRCLE('',#5531,5.E-1); +#5537=CIRCLE('',#5536,5.E-1); +#5542=CIRCLE('',#5541,5.E-1); +#5547=CIRCLE('',#5546,5.E0); +#5556=CIRCLE('',#5555,5.E0); +#5573=CIRCLE('',#5572,5.E0); +#5582=CIRCLE('',#5581,5.E0); +#5587=CIRCLE('',#5586,5.E-1); +#5592=CIRCLE('',#5591,5.E-1); +#5597=CIRCLE('',#5596,5.E-1); +#5602=CIRCLE('',#5601,5.E-1); +#5611=CIRCLE('',#5610,1.E0); +#5628=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5616,#5617,#5618,#5619,#5620,#5621,#5622, +#5623,#5624,#5625,#5626,#5627),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#5637=CIRCLE('',#5636,5.E-1); +#5642=CIRCLE('',#5641,1.E0); +#5647=CIRCLE('',#5646,5.E-1); +#5652=CIRCLE('',#5651,1.E0); +#5657=CIRCLE('',#5656,5.E-1); +#5662=CIRCLE('',#5661,5.E-1); +#5687=CIRCLE('',#5686,5.E-1); +#5692=CIRCLE('',#5691,5.E-1); +#5697=CIRCLE('',#5696,5.E-1); +#5702=CIRCLE('',#5701,3.E0); +#5707=CIRCLE('',#5706,3.E0); +#5716=CIRCLE('',#5715,5.E-1); +#5721=CIRCLE('',#5720,1.E0); +#5726=CIRCLE('',#5725,5.E-1); +#5743=CIRCLE('',#5742,5.E-1); +#5748=CIRCLE('',#5747,5.E-1); +#5757=CIRCLE('',#5756,1.E0); +#5774=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5762,#5763,#5764,#5765,#5766,#5767,#5768, +#5769,#5770,#5771,#5772,#5773),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#5783=CIRCLE('',#5782,5.E-1); +#5788=CIRCLE('',#5787,1.E0); +#5793=CIRCLE('',#5792,5.E-1); +#5798=CIRCLE('',#5797,1.E0); +#5811=CIRCLE('',#5810,5.E-1); +#5816=CIRCLE('',#5815,1.E0); +#5821=CIRCLE('',#5820,3.E0); +#5826=CIRCLE('',#5825,3.5E0); +#5831=CIRCLE('',#5830,5.E-1); +#5855=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5844,#5845,#5846,#5847,#5848,#5849,#5850, +#5851,#5852,#5853,#5854),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#5860=CIRCLE('',#5859,5.E0); +#5865=CIRCLE('',#5864,5.E0); +#5884=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5874,#5875,#5876,#5877,#5878,#5879,#5880, +#5881,#5882,#5883),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#5905=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5893,#5894,#5895,#5896,#5897,#5898,#5899, +#5900,#5901,#5902,#5903,#5904),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#5914=CIRCLE('',#5913,5.E-1); +#5919=CIRCLE('',#5918,4.999997835329E-1); +#5939=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5920,#5921,#5922,#5923,#5924,#5925,#5926, +#5927,#5928,#5929,#5930,#5931,#5932,#5933,#5934,#5935,#5936,#5937,#5938), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,6.25E-2,1.25E-1, +1.875E-1,2.5E-1,3.125E-1,3.75E-1,4.375E-1,5.E-1,5.625E-1,6.25E-1,6.875E-1, +7.5E-1,8.125E-1,8.75E-1,9.375E-1,1.E0),.UNSPECIFIED.); +#5944=CIRCLE('',#5943,5.E-1); +#5949=CIRCLE('',#5948,5.E-1); +#5954=CIRCLE('',#5953,1.E0); +#5959=CIRCLE('',#5958,5.E-1); +#5964=CIRCLE('',#5963,5.E-1); +#5969=CIRCLE('',#5968,1.E0); +#5974=CIRCLE('',#5973,3.E0); +#5979=CIRCLE('',#5978,3.5E0); +#5984=CIRCLE('',#5983,5.E-1); +#5989=CIRCLE('',#5988,1.E0); +#5994=CIRCLE('',#5993,1.E0); +#6011=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5995,#5996,#5997,#5998,#5999,#6000,#6001, +#6002,#6003,#6004,#6005,#6006,#6007,#6008,#6009,#6010),.UNSPECIFIED.,.F.,.F.,(4, +1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,7.692307692308E-2,1.538461538462E-1, +2.307692307692E-1,3.076923076923E-1,3.846153846154E-1,4.615384615385E-1, +5.384615384615E-1,6.153846153846E-1,6.923076923077E-1,7.692307692308E-1, +8.461538461538E-1,9.230769230769E-1,1.E0),.UNSPECIFIED.); +#6016=CIRCLE('',#6015,5.E-1); +#6021=CIRCLE('',#6020,5.E-1); +#6026=CIRCLE('',#6025,5.E-1); +#6031=CIRCLE('',#6030,5.E-1); +#6052=CIRCLE('',#6051,3.3E0); +#6089=CIRCLE('',#6088,3.3E0); +#6122=CIRCLE('',#6121,6.5E-1); +#6127=CIRCLE('',#6126,6.5E-1); +#6132=CIRCLE('',#6131,6.5E-1); +#6137=CIRCLE('',#6136,6.5E-1); +#6142=CIRCLE('',#6141,6.5E-1); +#6147=CIRCLE('',#6146,6.5E-1); +#6152=CIRCLE('',#6151,6.5E-1); +#6157=CIRCLE('',#6156,6.5E-1); +#6162=CIRCLE('',#6161,6.5E-1); +#6167=CIRCLE('',#6166,6.5E-1); +#6172=CIRCLE('',#6171,6.5E-1); +#6177=CIRCLE('',#6176,6.5E-1); +#6182=CIRCLE('',#6181,6.5E-1); +#6187=CIRCLE('',#6186,6.5E-1); +#6192=CIRCLE('',#6191,6.5E-1); +#6197=CIRCLE('',#6196,6.5E-1); +#6202=CIRCLE('',#6201,6.5E-1); +#6207=CIRCLE('',#6206,6.5E-1); +#6212=CIRCLE('',#6211,6.5E-1); +#6217=CIRCLE('',#6216,6.5E-1); +#6222=CIRCLE('',#6221,6.5E-1); +#6227=CIRCLE('',#6226,6.5E-1); +#6272=CIRCLE('',#6271,6.5E-1); +#6277=CIRCLE('',#6276,6.5E-1); +#6282=CIRCLE('',#6281,6.5E-1); +#6287=CIRCLE('',#6286,6.5E-1); +#6292=CIRCLE('',#6291,6.5E-1); +#6297=CIRCLE('',#6296,6.5E-1); +#6302=CIRCLE('',#6301,6.5E-1); +#6307=CIRCLE('',#6306,6.5E-1); +#6312=CIRCLE('',#6311,6.5E-1); +#6317=CIRCLE('',#6316,6.5E-1); +#6322=CIRCLE('',#6321,6.5E-1); +#6327=CIRCLE('',#6326,6.5E-1); +#6332=CIRCLE('',#6331,6.5E-1); +#6337=CIRCLE('',#6336,6.5E-1); +#6342=CIRCLE('',#6341,6.5E-1); +#6347=CIRCLE('',#6346,6.5E-1); +#6352=CIRCLE('',#6351,6.5E-1); +#6357=CIRCLE('',#6356,6.5E-1); +#6362=CIRCLE('',#6361,6.5E-1); +#6367=CIRCLE('',#6366,6.5E-1); +#6372=CIRCLE('',#6371,6.5E-1); +#6377=CIRCLE('',#6376,6.5E-1); +#6382=CIRCLE('',#6381,6.5E-1); +#6387=CIRCLE('',#6386,6.5E-1); +#6419=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6388,#6389,#6390,#6391,#6392,#6393,#6394, +#6395,#6396,#6397,#6398,#6399,#6400,#6401,#6402,#6403,#6404,#6405,#6406,#6407, +#6408,#6409,#6410,#6411,#6412,#6413,#6414,#6415,#6416,#6417,#6418), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6451=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6420,#6421,#6422,#6423,#6424,#6425,#6426, +#6427,#6428,#6429,#6430,#6431,#6432,#6433,#6434,#6435,#6436,#6437,#6438,#6439, +#6440,#6441,#6442,#6443,#6444,#6445,#6446,#6447,#6448,#6449,#6450), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6483=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6452,#6453,#6454,#6455,#6456,#6457,#6458, +#6459,#6460,#6461,#6462,#6463,#6464,#6465,#6466,#6467,#6468,#6469,#6470,#6471, +#6472,#6473,#6474,#6475,#6476,#6477,#6478,#6479,#6480,#6481,#6482), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6515=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6484,#6485,#6486,#6487,#6488,#6489,#6490, +#6491,#6492,#6493,#6494,#6495,#6496,#6497,#6498,#6499,#6500,#6501,#6502,#6503, +#6504,#6505,#6506,#6507,#6508,#6509,#6510,#6511,#6512,#6513,#6514), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6547=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6516,#6517,#6518,#6519,#6520,#6521,#6522, +#6523,#6524,#6525,#6526,#6527,#6528,#6529,#6530,#6531,#6532,#6533,#6534,#6535, +#6536,#6537,#6538,#6539,#6540,#6541,#6542,#6543,#6544,#6545,#6546), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6579=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6548,#6549,#6550,#6551,#6552,#6553,#6554, +#6555,#6556,#6557,#6558,#6559,#6560,#6561,#6562,#6563,#6564,#6565,#6566,#6567, +#6568,#6569,#6570,#6571,#6572,#6573,#6574,#6575,#6576,#6577,#6578), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6611=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6580,#6581,#6582,#6583,#6584,#6585,#6586, +#6587,#6588,#6589,#6590,#6591,#6592,#6593,#6594,#6595,#6596,#6597,#6598,#6599, +#6600,#6601,#6602,#6603,#6604,#6605,#6606,#6607,#6608,#6609,#6610), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6643=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6612,#6613,#6614,#6615,#6616,#6617,#6618, +#6619,#6620,#6621,#6622,#6623,#6624,#6625,#6626,#6627,#6628,#6629,#6630,#6631, +#6632,#6633,#6634,#6635,#6636,#6637,#6638,#6639,#6640,#6641,#6642), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6675=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6644,#6645,#6646,#6647,#6648,#6649,#6650, +#6651,#6652,#6653,#6654,#6655,#6656,#6657,#6658,#6659,#6660,#6661,#6662,#6663, +#6664,#6665,#6666,#6667,#6668,#6669,#6670,#6671,#6672,#6673,#6674), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6707=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6676,#6677,#6678,#6679,#6680,#6681,#6682, +#6683,#6684,#6685,#6686,#6687,#6688,#6689,#6690,#6691,#6692,#6693,#6694,#6695, +#6696,#6697,#6698,#6699,#6700,#6701,#6702,#6703,#6704,#6705,#6706), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6739=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6708,#6709,#6710,#6711,#6712,#6713,#6714, +#6715,#6716,#6717,#6718,#6719,#6720,#6721,#6722,#6723,#6724,#6725,#6726,#6727, +#6728,#6729,#6730,#6731,#6732,#6733,#6734,#6735,#6736,#6737,#6738), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6771=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6740,#6741,#6742,#6743,#6744,#6745,#6746, +#6747,#6748,#6749,#6750,#6751,#6752,#6753,#6754,#6755,#6756,#6757,#6758,#6759, +#6760,#6761,#6762,#6763,#6764,#6765,#6766,#6767,#6768,#6769,#6770), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6803=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6772,#6773,#6774,#6775,#6776,#6777,#6778, +#6779,#6780,#6781,#6782,#6783,#6784,#6785,#6786,#6787,#6788,#6789,#6790,#6791, +#6792,#6793,#6794,#6795,#6796,#6797,#6798,#6799,#6800,#6801,#6802), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6835=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6804,#6805,#6806,#6807,#6808,#6809,#6810, +#6811,#6812,#6813,#6814,#6815,#6816,#6817,#6818,#6819,#6820,#6821,#6822,#6823, +#6824,#6825,#6826,#6827,#6828,#6829,#6830,#6831,#6832,#6833,#6834), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6867=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6836,#6837,#6838,#6839,#6840,#6841,#6842, +#6843,#6844,#6845,#6846,#6847,#6848,#6849,#6850,#6851,#6852,#6853,#6854,#6855, +#6856,#6857,#6858,#6859,#6860,#6861,#6862,#6863,#6864,#6865,#6866), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6899=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6868,#6869,#6870,#6871,#6872,#6873,#6874, +#6875,#6876,#6877,#6878,#6879,#6880,#6881,#6882,#6883,#6884,#6885,#6886,#6887, +#6888,#6889,#6890,#6891,#6892,#6893,#6894,#6895,#6896,#6897,#6898), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6931=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6900,#6901,#6902,#6903,#6904,#6905,#6906, +#6907,#6908,#6909,#6910,#6911,#6912,#6913,#6914,#6915,#6916,#6917,#6918,#6919, +#6920,#6921,#6922,#6923,#6924,#6925,#6926,#6927,#6928,#6929,#6930), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6963=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6932,#6933,#6934,#6935,#6936,#6937,#6938, +#6939,#6940,#6941,#6942,#6943,#6944,#6945,#6946,#6947,#6948,#6949,#6950,#6951, +#6952,#6953,#6954,#6955,#6956,#6957,#6958,#6959,#6960,#6961,#6962), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#6995=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6964,#6965,#6966,#6967,#6968,#6969,#6970, +#6971,#6972,#6973,#6974,#6975,#6976,#6977,#6978,#6979,#6980,#6981,#6982,#6983, +#6984,#6985,#6986,#6987,#6988,#6989,#6990,#6991,#6992,#6993,#6994), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#7027=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6996,#6997,#6998,#6999,#7000,#7001,#7002, +#7003,#7004,#7005,#7006,#7007,#7008,#7009,#7010,#7011,#7012,#7013,#7014,#7015, +#7016,#7017,#7018,#7019,#7020,#7021,#7022,#7023,#7024,#7025,#7026), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#7059=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7028,#7029,#7030,#7031,#7032,#7033,#7034, +#7035,#7036,#7037,#7038,#7039,#7040,#7041,#7042,#7043,#7044,#7045,#7046,#7047, +#7048,#7049,#7050,#7051,#7052,#7053,#7054,#7055,#7056,#7057,#7058), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#7091=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7060,#7061,#7062,#7063,#7064,#7065,#7066, +#7067,#7068,#7069,#7070,#7071,#7072,#7073,#7074,#7075,#7076,#7077,#7078,#7079, +#7080,#7081,#7082,#7083,#7084,#7085,#7086,#7087,#7088,#7089,#7090), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#7123=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7092,#7093,#7094,#7095,#7096,#7097,#7098, +#7099,#7100,#7101,#7102,#7103,#7104,#7105,#7106,#7107,#7108,#7109,#7110,#7111, +#7112,#7113,#7114,#7115,#7116,#7117,#7118,#7119,#7120,#7121,#7122), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#7155=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7124,#7125,#7126,#7127,#7128,#7129,#7130, +#7131,#7132,#7133,#7134,#7135,#7136,#7137,#7138,#7139,#7140,#7141,#7142,#7143, +#7144,#7145,#7146,#7147,#7148,#7149,#7150,#7151,#7152,#7153,#7154), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,3.571428571429E-2,7.142857142857E-2,1.071428571429E-1, +1.428571428571E-1,1.785714285714E-1,2.142857142857E-1,2.5E-1,2.857142857143E-1, +3.214285714286E-1,3.571428571429E-1,3.928571428571E-1,4.285714285714E-1, +4.642857142857E-1,5.E-1,5.357142857143E-1,5.714285714286E-1,6.071428571429E-1, +6.428571428571E-1,6.785714285714E-1,7.142857142857E-1,7.5E-1,7.857142857143E-1, +8.214285714286E-1,8.571428571429E-1,8.928571428571E-1,9.285714285714E-1, +9.642857142857E-1,1.E0),.UNSPECIFIED.); +#7164=CIRCLE('',#7163,6.5E-1); +#7186=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7165,#7166,#7167,#7168,#7169,#7170,#7171, +#7172,#7173,#7174,#7175,#7176,#7177,#7178,#7179,#7180,#7181,#7182,#7183,#7184, +#7185),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#7200=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7191,#7192,#7193,#7194,#7195,#7196,#7197, +#7198,#7199),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7221=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7205,#7206,#7207,#7208,#7209,#7210,#7211, +#7212,#7213,#7214,#7215,#7216,#7217,#7218,#7219,#7220),.UNSPECIFIED.,.F.,.F.,(4, +1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1, +2.5E-1,3.125E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#7238=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7222,#7223,#7224,#7225,#7226,#7227,#7228, +#7229,#7230,#7231,#7232,#7233,#7234,#7235,#7236,#7237),.UNSPECIFIED.,.F.,.F.,(4, +1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,6.875E-1, +7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0),.UNSPECIFIED.); +#7252=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7243,#7244,#7245,#7246,#7247,#7248,#7249, +#7250,#7251),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7262=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7253,#7254,#7255,#7256,#7257,#7258,#7259, +#7260,#7261),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7276=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7267,#7268,#7269,#7270,#7271,#7272,#7273, +#7274,#7275),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7286=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7277,#7278,#7279,#7280,#7281,#7282,#7283, +#7284,#7285),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7300=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7291,#7292,#7293,#7294,#7295,#7296,#7297, +#7298,#7299),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7310=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7301,#7302,#7303,#7304,#7305,#7306,#7307, +#7308,#7309),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7324=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7315,#7316,#7317,#7318,#7319,#7320,#7321, +#7322,#7323),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7334=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7325,#7326,#7327,#7328,#7329,#7330,#7331, +#7332,#7333),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7348=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7339,#7340,#7341,#7342,#7343,#7344,#7345, +#7346,#7347),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7358=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7349,#7350,#7351,#7352,#7353,#7354,#7355, +#7356,#7357),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7372=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7363,#7364,#7365,#7366,#7367,#7368,#7369, +#7370,#7371),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7382=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7373,#7374,#7375,#7376,#7377,#7378,#7379, +#7380,#7381),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7396=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7387,#7388,#7389,#7390,#7391,#7392,#7393, +#7394,#7395),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7406=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7397,#7398,#7399,#7400,#7401,#7402,#7403, +#7404,#7405),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7420=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7411,#7412,#7413,#7414,#7415,#7416,#7417, +#7418,#7419),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7430=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7421,#7422,#7423,#7424,#7425,#7426,#7427, +#7428,#7429),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7444=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7435,#7436,#7437,#7438,#7439,#7440,#7441, +#7442,#7443),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7454=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7445,#7446,#7447,#7448,#7449,#7450,#7451, +#7452,#7453),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7468=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7459,#7460,#7461,#7462,#7463,#7464,#7465, +#7466,#7467),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7478=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7469,#7470,#7471,#7472,#7473,#7474,#7475, +#7476,#7477),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7492=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7483,#7484,#7485,#7486,#7487,#7488,#7489, +#7490,#7491),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7502=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7493,#7494,#7495,#7496,#7497,#7498,#7499, +#7500,#7501),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7516=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7507,#7508,#7509,#7510,#7511,#7512,#7513, +#7514,#7515),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7521=CIRCLE('',#7520,1.5E0); +#7538=CIRCLE('',#7537,1.5E0); +#7543=CIRCLE('',#7542,6.5E-1); +#7565=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7544,#7545,#7546,#7547,#7548,#7549,#7550, +#7551,#7552,#7553,#7554,#7555,#7556,#7557,#7558,#7559,#7560,#7561,#7562,#7563, +#7564),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#7578=CIRCLE('',#7577,6.5E-1); +#7583=CIRCLE('',#7582,6.5E-1); +#7588=CIRCLE('',#7587,6.5E-1); +#7600=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7589,#7590,#7591,#7592,#7593,#7594,#7595, +#7596,#7597,#7598,#7599),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#7613=CIRCLE('',#7612,6.5E-1); +#7618=CIRCLE('',#7617,6.5E-1); +#7623=CIRCLE('',#7622,6.5E-1); +#7645=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7624,#7625,#7626,#7627,#7628,#7629,#7630, +#7631,#7632,#7633,#7634,#7635,#7636,#7637,#7638,#7639,#7640,#7641,#7642,#7643, +#7644),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#7658=CIRCLE('',#7657,6.5E-1); +#7663=CIRCLE('',#7662,6.5E-1); +#7668=CIRCLE('',#7667,6.5E-1); +#7680=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7669,#7670,#7671,#7672,#7673,#7674,#7675, +#7676,#7677,#7678,#7679),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#7693=CIRCLE('',#7692,6.5E-1); +#7698=CIRCLE('',#7697,6.5E-1); +#7703=CIRCLE('',#7702,6.5E-1); +#7740=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7704,#7705,#7706,#7707,#7708,#7709,#7710, +#7711,#7712,#7713,#7714,#7715,#7716,#7717,#7718,#7719,#7720,#7721,#7722,#7723, +#7724,#7725,#7726,#7727,#7728,#7729,#7730,#7731,#7732,#7733,#7734,#7735,#7736, +#7737,#7738,#7739),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,3.030303030303E-2,6.060606060606E-2, +9.090909090909E-2,1.212121212121E-1,1.515151515152E-1,1.818181818182E-1, +2.121212121212E-1,2.424242424242E-1,2.727272727273E-1,3.030303030303E-1, +3.333333333333E-1,3.636363636364E-1,3.939393939394E-1,4.242424242424E-1, +4.545454545455E-1,4.848484848485E-1,5.151515151515E-1,5.454545454545E-1, +5.757575757576E-1,6.060606060606E-1,6.363636363636E-1,6.666666666667E-1, +6.969696969697E-1,7.272727272727E-1,7.575757575758E-1,7.878787878788E-1, +8.181818181818E-1,8.484848484848E-1,8.787878787879E-1,9.090909090909E-1, +9.393939393939E-1,9.696969696970E-1,1.E0),.UNSPECIFIED.); +#7753=CIRCLE('',#7752,6.5E-1); +#7758=CIRCLE('',#7757,6.5E-1); +#7763=CIRCLE('',#7762,6.5E-1); +#7775=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7764,#7765,#7766,#7767,#7768,#7769,#7770, +#7771,#7772,#7773,#7774),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#7788=CIRCLE('',#7787,6.5E-1); +#7793=CIRCLE('',#7792,6.5E-1); +#7798=CIRCLE('',#7797,6.5E-1); +#7820=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7799,#7800,#7801,#7802,#7803,#7804,#7805, +#7806,#7807,#7808,#7809,#7810,#7811,#7812,#7813,#7814,#7815,#7816,#7817,#7818, +#7819),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#7833=CIRCLE('',#7832,6.5E-1); +#7838=CIRCLE('',#7837,6.5E-1); +#7843=CIRCLE('',#7842,6.5E-1); +#7855=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7844,#7845,#7846,#7847,#7848,#7849,#7850, +#7851,#7852,#7853,#7854),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#7868=CIRCLE('',#7867,6.5E-1); +#7873=CIRCLE('',#7872,6.5E-1); +#7878=CIRCLE('',#7877,6.5E-1); +#7900=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7879,#7880,#7881,#7882,#7883,#7884,#7885, +#7886,#7887,#7888,#7889,#7890,#7891,#7892,#7893,#7894,#7895,#7896,#7897,#7898, +#7899),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#7913=CIRCLE('',#7912,6.5E-1); +#7918=CIRCLE('',#7917,6.5E-1); +#7923=CIRCLE('',#7922,6.5E-1); +#7935=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7924,#7925,#7926,#7927,#7928,#7929,#7930, +#7931,#7932,#7933,#7934),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#7948=CIRCLE('',#7947,6.5E-1); +#7953=CIRCLE('',#7952,6.5E-1); +#7958=CIRCLE('',#7957,6.5E-1); +#7980=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7959,#7960,#7961,#7962,#7963,#7964,#7965, +#7966,#7967,#7968,#7969,#7970,#7971,#7972,#7973,#7974,#7975,#7976,#7977,#7978, +#7979),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#7993=CIRCLE('',#7992,6.5E-1); +#7998=CIRCLE('',#7997,6.5E-1); +#8003=CIRCLE('',#8002,6.5E-1); +#8015=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8004,#8005,#8006,#8007,#8008,#8009,#8010, +#8011,#8012,#8013,#8014),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#8028=CIRCLE('',#8027,6.5E-1); +#8033=CIRCLE('',#8032,6.5E-1); +#8038=CIRCLE('',#8037,6.5E-1); +#8060=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8039,#8040,#8041,#8042,#8043,#8044,#8045, +#8046,#8047,#8048,#8049,#8050,#8051,#8052,#8053,#8054,#8055,#8056,#8057,#8058, +#8059),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#8073=CIRCLE('',#8072,6.5E-1); +#8078=CIRCLE('',#8077,6.5E-1); +#8083=CIRCLE('',#8082,6.5E-1); +#8095=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8084,#8085,#8086,#8087,#8088,#8089,#8090, +#8091,#8092,#8093,#8094),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#8108=CIRCLE('',#8107,6.5E-1); +#8113=CIRCLE('',#8112,6.5E-1); +#8118=CIRCLE('',#8117,6.5E-1); +#8140=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8119,#8120,#8121,#8122,#8123,#8124,#8125, +#8126,#8127,#8128,#8129,#8130,#8131,#8132,#8133,#8134,#8135,#8136,#8137,#8138, +#8139),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#8153=CIRCLE('',#8152,6.5E-1); +#8158=CIRCLE('',#8157,6.5E-1); +#8163=CIRCLE('',#8162,6.5E-1); +#8175=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8164,#8165,#8166,#8167,#8168,#8169,#8170, +#8171,#8172,#8173,#8174),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#8188=CIRCLE('',#8187,6.5E-1); +#8193=CIRCLE('',#8192,6.5E-1); +#8198=CIRCLE('',#8197,6.5E-1); +#8220=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8199,#8200,#8201,#8202,#8203,#8204,#8205, +#8206,#8207,#8208,#8209,#8210,#8211,#8212,#8213,#8214,#8215,#8216,#8217,#8218, +#8219),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#8233=CIRCLE('',#8232,6.5E-1); +#8238=CIRCLE('',#8237,6.5E-1); +#8243=CIRCLE('',#8242,6.5E-1); +#8255=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8244,#8245,#8246,#8247,#8248,#8249,#8250, +#8251,#8252,#8253,#8254),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#8268=CIRCLE('',#8267,6.5E-1); +#8273=CIRCLE('',#8272,6.5E-1); +#8278=CIRCLE('',#8277,6.5E-1); +#8300=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8279,#8280,#8281,#8282,#8283,#8284,#8285, +#8286,#8287,#8288,#8289,#8290,#8291,#8292,#8293,#8294,#8295,#8296,#8297,#8298, +#8299),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#8313=CIRCLE('',#8312,6.5E-1); +#8318=CIRCLE('',#8317,6.5E-1); +#8323=CIRCLE('',#8322,6.5E-1); +#8335=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8324,#8325,#8326,#8327,#8328,#8329,#8330, +#8331,#8332,#8333,#8334),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#8348=CIRCLE('',#8347,6.5E-1); +#8353=CIRCLE('',#8352,6.5E-1); +#8358=CIRCLE('',#8357,6.5E-1); +#8380=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8359,#8360,#8361,#8362,#8363,#8364,#8365, +#8366,#8367,#8368,#8369,#8370,#8371,#8372,#8373,#8374,#8375,#8376,#8377,#8378, +#8379),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.125E-2,6.25E-2,9.375E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,5.E-1, +6.25E-1,6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.0625E-1,9.375E-1,9.6875E-1,1.E0), +.UNSPECIFIED.); +#8393=CIRCLE('',#8392,6.5E-1); +#8398=CIRCLE('',#8397,6.5E-1); +#8403=CIRCLE('',#8402,6.5E-1); +#8415=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8404,#8405,#8406,#8407,#8408,#8409,#8410, +#8411,#8412,#8413,#8414),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#8428=CIRCLE('',#8427,6.5E-1); +#8433=CIRCLE('',#8432,6.5E-1); +#8442=CIRCLE('',#8441,6.5E-1); +#8447=CIRCLE('',#8446,6.5E-1); +#8452=CIRCLE('',#8451,6.5E-1); +#8464=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8453,#8454,#8455,#8456,#8457,#8458,#8459, +#8460,#8461,#8462,#8463),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#8477=CIRCLE('',#8476,6.5E-1); +#8482=CIRCLE('',#8481,6.5E-1); +#8543=CIRCLE('',#8542,6.5E-1); +#8548=CIRCLE('',#8547,6.5E-1); +#8553=CIRCLE('',#8552,6.5E-1); +#8558=CIRCLE('',#8557,6.5E-1); +#8563=CIRCLE('',#8562,6.5E-1); +#8568=CIRCLE('',#8567,6.5E-1); +#8573=CIRCLE('',#8572,6.5E-1); +#8578=CIRCLE('',#8577,6.5E-1); +#8583=CIRCLE('',#8582,6.5E-1); +#8588=CIRCLE('',#8587,6.5E-1); +#8593=CIRCLE('',#8592,6.5E-1); +#8598=CIRCLE('',#8597,6.5E-1); +#8603=CIRCLE('',#8602,6.5E-1); +#8608=CIRCLE('',#8607,6.5E-1); +#8613=CIRCLE('',#8612,6.5E-1); +#8618=CIRCLE('',#8617,6.5E-1); +#8623=CIRCLE('',#8622,6.5E-1); +#8628=CIRCLE('',#8627,6.5E-1); +#8633=CIRCLE('',#8632,6.5E-1); +#8638=CIRCLE('',#8637,6.5E-1); +#8643=CIRCLE('',#8642,6.5E-1); +#8648=CIRCLE('',#8647,6.5E-1); +#8653=CIRCLE('',#8652,6.5E-1); +#8658=CIRCLE('',#8657,6.5E-1); +#8671=CIRCLE('',#8670,6.5E-1); +#8676=CIRCLE('',#8675,6.5E-1); +#8689=CIRCLE('',#8688,6.5E-1); +#8694=CIRCLE('',#8693,6.5E-1); +#8707=CIRCLE('',#8706,6.5E-1); +#8712=CIRCLE('',#8711,6.5E-1); +#8725=CIRCLE('',#8724,6.5E-1); +#8730=CIRCLE('',#8729,6.5E-1); +#8743=CIRCLE('',#8742,6.5E-1); +#8748=CIRCLE('',#8747,6.5E-1); +#8761=CIRCLE('',#8760,6.5E-1); +#8766=CIRCLE('',#8765,6.5E-1); +#8779=CIRCLE('',#8778,6.5E-1); +#8784=CIRCLE('',#8783,6.5E-1); +#8797=CIRCLE('',#8796,6.5E-1); +#8802=CIRCLE('',#8801,6.5E-1); +#8815=CIRCLE('',#8814,6.5E-1); +#8820=CIRCLE('',#8819,6.5E-1); +#8833=CIRCLE('',#8832,6.5E-1); +#8838=CIRCLE('',#8837,6.5E-1); +#8851=CIRCLE('',#8850,6.5E-1); +#8856=CIRCLE('',#8855,6.5E-1); +#8869=CIRCLE('',#8868,6.5E-1); +#8874=CIRCLE('',#8873,6.5E-1); +#8887=CIRCLE('',#8886,6.5E-1); +#8892=CIRCLE('',#8891,6.5E-1); +#8905=CIRCLE('',#8904,6.5E-1); +#8910=CIRCLE('',#8909,6.5E-1); +#8923=CIRCLE('',#8922,6.5E-1); +#8928=CIRCLE('',#8927,6.5E-1); +#8941=CIRCLE('',#8940,6.5E-1); +#8946=CIRCLE('',#8945,6.5E-1); +#8959=CIRCLE('',#8958,6.5E-1); +#8964=CIRCLE('',#8963,6.5E-1); +#8977=CIRCLE('',#8976,6.5E-1); +#8982=CIRCLE('',#8981,6.5E-1); +#8995=CIRCLE('',#8994,6.5E-1); +#9000=CIRCLE('',#8999,6.5E-1); +#9013=CIRCLE('',#9012,6.5E-1); +#9018=CIRCLE('',#9017,6.5E-1); +#9031=CIRCLE('',#9030,6.5E-1); +#9036=CIRCLE('',#9035,6.5E-1); +#9049=CIRCLE('',#9048,6.5E-1); +#9054=CIRCLE('',#9053,6.5E-1); +#9067=CIRCLE('',#9066,6.5E-1); +#9072=CIRCLE('',#9071,6.5E-1); +#9085=CIRCLE('',#9084,6.5E-1); +#9090=CIRCLE('',#9089,6.5E-1); +#9103=CIRCLE('',#9102,6.5E-1); +#9108=CIRCLE('',#9107,6.5E-1); +#9121=CIRCLE('',#9120,6.5E-1); +#9126=CIRCLE('',#9125,6.5E-1); +#9139=CIRCLE('',#9138,6.5E-1); +#9144=CIRCLE('',#9143,6.5E-1); +#9157=CIRCLE('',#9156,6.5E-1); +#9162=CIRCLE('',#9161,6.5E-1); +#9175=CIRCLE('',#9174,6.5E-1); +#9180=CIRCLE('',#9179,6.5E-1); +#9193=CIRCLE('',#9192,6.5E-1); +#9198=CIRCLE('',#9197,6.5E-1); +#9211=CIRCLE('',#9210,6.5E-1); +#9216=CIRCLE('',#9215,6.5E-1); +#9229=CIRCLE('',#9228,6.5E-1); +#9234=CIRCLE('',#9233,6.5E-1); +#9247=CIRCLE('',#9246,6.5E-1); +#9252=CIRCLE('',#9251,6.5E-1); +#9265=CIRCLE('',#9264,6.5E-1); +#9270=CIRCLE('',#9269,6.5E-1); +#9283=CIRCLE('',#9282,6.5E-1); +#9288=CIRCLE('',#9287,6.5E-1); +#9301=CIRCLE('',#9300,6.5E-1); +#9306=CIRCLE('',#9305,6.5E-1); +#9319=CIRCLE('',#9318,6.5E-1); +#9324=CIRCLE('',#9323,6.5E-1); +#9337=CIRCLE('',#9336,6.5E-1); +#9342=CIRCLE('',#9341,6.5E-1); +#9355=CIRCLE('',#9354,6.5E-1); +#9360=CIRCLE('',#9359,6.5E-1); +#9373=CIRCLE('',#9372,6.5E-1); +#9378=CIRCLE('',#9377,6.5E-1); +#9391=CIRCLE('',#9390,6.5E-1); +#9396=CIRCLE('',#9395,6.5E-1); +#9409=CIRCLE('',#9408,6.5E-1); +#9414=CIRCLE('',#9413,6.5E-1); +#9427=CIRCLE('',#9426,6.5E-1); +#9432=CIRCLE('',#9431,6.5E-1); +#9445=CIRCLE('',#9444,6.5E-1); +#9450=CIRCLE('',#9449,6.5E-1); +#9463=CIRCLE('',#9462,6.5E-1); +#9468=CIRCLE('',#9467,6.5E-1); +#9481=CIRCLE('',#9480,6.5E-1); +#9486=CIRCLE('',#9485,6.5E-1); +#12481=EDGE_CURVE('',#12117,#12118,#5,.T.); +#12483=EDGE_CURVE('',#12146,#12117,#9,.T.); +#12485=EDGE_CURVE('',#12145,#12146,#13,.T.); +#12487=EDGE_CURVE('',#12148,#12145,#18,.T.); +#12489=EDGE_CURVE('',#12152,#12148,#22,.T.); +#12491=EDGE_CURVE('',#12156,#12152,#27,.T.); +#12493=EDGE_CURVE('',#12160,#12156,#31,.T.); +#12495=EDGE_CURVE('',#12164,#12160,#36,.T.); +#12497=EDGE_CURVE('',#12168,#12164,#40,.T.); +#12499=EDGE_CURVE('',#12172,#12168,#45,.T.); +#12501=EDGE_CURVE('',#12176,#12172,#49,.T.); +#12503=EDGE_CURVE('',#12176,#12134,#53,.T.); +#12505=EDGE_CURVE('',#12132,#12134,#57,.T.); +#12507=EDGE_CURVE('',#12130,#12132,#61,.T.); +#12509=EDGE_CURVE('',#12129,#12130,#65,.T.); +#12511=EDGE_CURVE('',#12129,#12118,#69,.T.); +#12515=EDGE_CURVE('',#10364,#10368,#74,.T.); +#12517=EDGE_CURVE('',#10368,#10372,#165,.T.); +#12519=EDGE_CURVE('',#10372,#10376,#152,.T.); +#12521=EDGE_CURVE('',#10376,#10380,#139,.T.); +#12523=EDGE_CURVE('',#10380,#10353,#122,.T.); +#12525=EDGE_CURVE('',#10353,#10354,#113,.T.); +#12527=EDGE_CURVE('',#10354,#10360,#100,.T.); +#12529=EDGE_CURVE('',#10360,#10364,#87,.T.); +#12533=ADVANCED_FACE('',(#12514,#12532),#12480,.F.); +#12540=EDGE_CURVE('',#10364,#10366,#78,.T.); +#12542=EDGE_CURVE('',#10366,#10370,#83,.T.); +#12544=EDGE_CURVE('',#10368,#10370,#169,.T.); +#12548=ADVANCED_FACE('',(#12547),#12538,.F.); +#12555=EDGE_CURVE('',#10360,#10362,#91,.T.); +#12557=EDGE_CURVE('',#10362,#10366,#95,.T.); +#12562=ADVANCED_FACE('',(#12561),#12553,.T.); +#12569=EDGE_CURVE('',#10354,#10358,#104,.T.); +#12571=EDGE_CURVE('',#10358,#10362,#109,.T.); +#12576=ADVANCED_FACE('',(#12575),#12567,.F.); +#12583=EDGE_CURVE('',#10353,#10357,#135,.T.); +#12585=EDGE_CURVE('',#10357,#10358,#117,.T.); +#12590=ADVANCED_FACE('',(#12589),#12581,.T.); +#12597=EDGE_CURVE('',#10380,#10382,#126,.T.); +#12599=EDGE_CURVE('',#10382,#10357,#131,.T.); +#12604=ADVANCED_FACE('',(#12603),#12595,.F.); +#12611=EDGE_CURVE('',#10376,#10378,#143,.T.); +#12613=EDGE_CURVE('',#10378,#10382,#147,.T.); +#12618=ADVANCED_FACE('',(#12617),#12609,.T.); +#12625=EDGE_CURVE('',#10372,#10374,#156,.T.); +#12627=EDGE_CURVE('',#10374,#10378,#161,.T.); +#12632=ADVANCED_FACE('',(#12631),#12623,.F.); +#12640=EDGE_CURVE('',#10370,#10374,#173,.T.); +#12645=ADVANCED_FACE('',(#12644),#12637,.T.); +#12651=EDGE_CURVE('',#12038,#12042,#711,.T.); +#12653=EDGE_CURVE('',#12037,#12038,#177,.T.); +#12655=EDGE_CURVE('',#12037,#11926,#181,.T.); +#12657=EDGE_CURVE('',#11926,#10173,#185,.T.); +#12659=EDGE_CURVE('',#10173,#10174,#189,.T.); +#12661=EDGE_CURVE('',#10283,#10174,#193,.T.); +#12663=EDGE_CURVE('',#10283,#10284,#197,.T.); +#12665=EDGE_CURVE('',#10284,#10177,#201,.T.); +#12667=EDGE_CURVE('',#10177,#10178,#205,.T.); +#12669=EDGE_CURVE('',#10287,#10178,#209,.T.); +#12671=EDGE_CURVE('',#10287,#10288,#213,.T.); +#12673=EDGE_CURVE('',#10288,#10181,#217,.T.); +#12675=EDGE_CURVE('',#10181,#10182,#221,.T.); +#12677=EDGE_CURVE('',#10291,#10182,#225,.T.); +#12679=EDGE_CURVE('',#10291,#10292,#229,.T.); +#12681=EDGE_CURVE('',#10292,#10185,#233,.T.); +#12683=EDGE_CURVE('',#10185,#10186,#237,.T.); +#12685=EDGE_CURVE('',#10295,#10186,#241,.T.); +#12687=EDGE_CURVE('',#10295,#10296,#245,.T.); +#12689=EDGE_CURVE('',#10296,#10189,#249,.T.); +#12691=EDGE_CURVE('',#10189,#10190,#253,.T.); +#12693=EDGE_CURVE('',#10299,#10190,#257,.T.); +#12695=EDGE_CURVE('',#10299,#10300,#261,.T.); +#12697=EDGE_CURVE('',#10300,#10193,#265,.T.); +#12699=EDGE_CURVE('',#10193,#10194,#269,.T.); +#12701=EDGE_CURVE('',#10302,#10194,#273,.T.); +#12703=EDGE_CURVE('',#10302,#10014,#277,.T.); +#12705=EDGE_CURVE('',#10374,#10014,#9922,.T.); +#12708=EDGE_CURVE('',#10370,#10009,#281,.T.); +#12710=EDGE_CURVE('',#10009,#10264,#285,.T.); +#12712=EDGE_CURVE('',#10264,#10153,#289,.T.); +#12714=EDGE_CURVE('',#10153,#10154,#293,.T.); +#12716=EDGE_CURVE('',#10267,#10154,#297,.T.); +#12718=EDGE_CURVE('',#10267,#10268,#301,.T.); +#12720=EDGE_CURVE('',#10268,#10157,#305,.T.); +#12722=EDGE_CURVE('',#10157,#10158,#309,.T.); +#12724=EDGE_CURVE('',#10271,#10158,#313,.T.); +#12726=EDGE_CURVE('',#10271,#10272,#317,.T.); +#12728=EDGE_CURVE('',#10272,#10161,#321,.T.); +#12730=EDGE_CURVE('',#10161,#10162,#325,.T.); +#12732=EDGE_CURVE('',#10275,#10162,#329,.T.); +#12734=EDGE_CURVE('',#10275,#10276,#333,.T.); +#12736=EDGE_CURVE('',#10276,#10165,#337,.T.); +#12738=EDGE_CURVE('',#10165,#10166,#341,.T.); +#12740=EDGE_CURVE('',#10279,#10166,#345,.T.); +#12742=EDGE_CURVE('',#10279,#10280,#349,.T.); +#12744=EDGE_CURVE('',#10280,#10169,#353,.T.); +#12746=EDGE_CURVE('',#10169,#10170,#357,.T.); +#12748=EDGE_CURVE('',#11736,#10170,#361,.T.); +#12750=EDGE_CURVE('',#11736,#12041,#365,.T.); +#12752=EDGE_CURVE('',#12041,#12042,#369,.T.); +#12756=ADVANCED_FACE('',(#12755),#12650,.T.); +#12763=EDGE_CURVE('',#10153,#11673,#373,.T.); +#12765=EDGE_CURVE('',#11673,#11674,#378,.T.); +#12767=EDGE_CURVE('',#11674,#10154,#382,.T.); +#12771=ADVANCED_FACE('',(#12770),#12761,.F.); +#12778=EDGE_CURVE('',#10157,#11665,#386,.T.); +#12780=EDGE_CURVE('',#11665,#11666,#391,.T.); +#12782=EDGE_CURVE('',#11666,#10158,#395,.T.); +#12786=ADVANCED_FACE('',(#12785),#12776,.F.); +#12793=EDGE_CURVE('',#10161,#11669,#399,.T.); +#12795=EDGE_CURVE('',#11669,#11670,#404,.T.); +#12797=EDGE_CURVE('',#11670,#10162,#408,.T.); +#12801=ADVANCED_FACE('',(#12800),#12791,.F.); +#12808=EDGE_CURVE('',#10165,#11661,#412,.T.); +#12810=EDGE_CURVE('',#11661,#11662,#417,.T.); +#12812=EDGE_CURVE('',#11662,#10166,#421,.T.); +#12816=ADVANCED_FACE('',(#12815),#12806,.F.); +#12823=EDGE_CURVE('',#10169,#11657,#425,.T.); +#12825=EDGE_CURVE('',#11657,#11658,#430,.T.); +#12827=EDGE_CURVE('',#11658,#10170,#434,.T.); +#12831=ADVANCED_FACE('',(#12830),#12821,.F.); +#12838=EDGE_CURVE('',#10173,#11919,#438,.T.); +#12840=EDGE_CURVE('',#11919,#11920,#443,.T.); +#12842=EDGE_CURVE('',#11920,#10174,#447,.T.); +#12846=ADVANCED_FACE('',(#12845),#12836,.F.); +#12853=EDGE_CURVE('',#10177,#11697,#451,.T.); +#12855=EDGE_CURVE('',#11697,#11698,#456,.T.); +#12857=EDGE_CURVE('',#11698,#10178,#460,.T.); +#12861=ADVANCED_FACE('',(#12860),#12851,.F.); +#12868=EDGE_CURVE('',#10181,#11701,#464,.T.); +#12870=EDGE_CURVE('',#11701,#11702,#469,.T.); +#12872=EDGE_CURVE('',#11702,#10182,#473,.T.); +#12876=ADVANCED_FACE('',(#12875),#12866,.F.); +#12883=EDGE_CURVE('',#10185,#11709,#477,.T.); +#12885=EDGE_CURVE('',#11709,#11710,#482,.T.); +#12887=EDGE_CURVE('',#11710,#10186,#486,.T.); +#12891=ADVANCED_FACE('',(#12890),#12881,.F.); +#12898=EDGE_CURVE('',#10189,#11705,#490,.T.); +#12900=EDGE_CURVE('',#11705,#11706,#495,.T.); +#12902=EDGE_CURVE('',#11706,#10190,#499,.T.); +#12906=ADVANCED_FACE('',(#12905),#12896,.F.); +#12913=EDGE_CURVE('',#10193,#11713,#503,.T.); +#12915=EDGE_CURVE('',#11713,#11714,#508,.T.); +#12917=EDGE_CURVE('',#11714,#10194,#512,.T.); +#12921=ADVANCED_FACE('',(#12920),#12911,.F.); +#12927=EDGE_CURVE('',#10197,#10198,#9866,.T.); +#12929=EDGE_CURVE('',#10197,#10149,#516,.T.); +#12931=EDGE_CURVE('',#10149,#10150,#521,.T.); +#12933=EDGE_CURVE('',#10150,#10198,#525,.T.); +#12937=ADVANCED_FACE('',(#12936),#12926,.F.); +#12943=EDGE_CURVE('',#10201,#10202,#9882,.T.); +#12945=EDGE_CURVE('',#10201,#11629,#529,.T.); +#12947=EDGE_CURVE('',#11629,#11630,#534,.T.); +#12949=EDGE_CURVE('',#11630,#10202,#538,.T.); +#12953=ADVANCED_FACE('',(#12952),#12942,.F.); +#12959=EDGE_CURVE('',#10207,#10208,#9734,.T.); +#12961=EDGE_CURVE('',#10207,#11717,#542,.T.); +#12963=EDGE_CURVE('',#11717,#11718,#547,.T.); +#12965=EDGE_CURVE('',#11718,#10208,#551,.T.); +#12969=ADVANCED_FACE('',(#12968),#12958,.F.); +#12975=EDGE_CURVE('',#10211,#10212,#9750,.T.); +#12977=EDGE_CURVE('',#10211,#11725,#555,.T.); +#12979=EDGE_CURVE('',#11725,#11726,#560,.T.); +#12981=EDGE_CURVE('',#11726,#10212,#564,.T.); +#12985=ADVANCED_FACE('',(#12984),#12974,.F.); +#12991=EDGE_CURVE('',#10215,#10216,#9766,.T.); +#12993=EDGE_CURVE('',#10215,#11721,#568,.T.); +#12995=EDGE_CURVE('',#11721,#11722,#573,.T.); +#12997=EDGE_CURVE('',#11722,#10216,#577,.T.); +#13001=ADVANCED_FACE('',(#13000),#12990,.F.); +#13007=EDGE_CURVE('',#10219,#10220,#9782,.T.); +#13009=EDGE_CURVE('',#10219,#11729,#581,.T.); +#13011=EDGE_CURVE('',#11729,#11730,#586,.T.); +#13013=EDGE_CURVE('',#11730,#10220,#590,.T.); +#13017=ADVANCED_FACE('',(#13016),#13006,.F.); +#13023=EDGE_CURVE('',#10223,#10224,#9614,.T.); +#13025=EDGE_CURVE('',#10223,#11733,#594,.T.); +#13027=EDGE_CURVE('',#11733,#11734,#599,.T.); +#13029=EDGE_CURVE('',#11734,#10224,#603,.T.); +#13033=ADVANCED_FACE('',(#13032),#13022,.F.); +#13039=EDGE_CURVE('',#10227,#10228,#9630,.T.); +#13041=EDGE_CURVE('',#10227,#11693,#607,.T.); +#13043=EDGE_CURVE('',#11693,#11694,#612,.T.); +#13045=EDGE_CURVE('',#11694,#10228,#616,.T.); +#13049=ADVANCED_FACE('',(#13048),#13038,.F.); +#13055=EDGE_CURVE('',#10231,#10232,#9646,.T.); +#13057=EDGE_CURVE('',#10231,#11923,#620,.T.); +#13059=EDGE_CURVE('',#11923,#11924,#625,.T.); +#13061=EDGE_CURVE('',#11924,#10232,#629,.T.); +#13065=ADVANCED_FACE('',(#13064),#13054,.F.); +#13071=EDGE_CURVE('',#10235,#10236,#9662,.T.); +#13073=EDGE_CURVE('',#10235,#11689,#633,.T.); +#13075=EDGE_CURVE('',#11689,#11690,#638,.T.); +#13077=EDGE_CURVE('',#11690,#10236,#642,.T.); +#13081=ADVANCED_FACE('',(#13080),#13070,.F.); +#13087=EDGE_CURVE('',#10239,#10240,#9678,.T.); +#13089=EDGE_CURVE('',#10239,#11681,#646,.T.); +#13091=EDGE_CURVE('',#11681,#11682,#651,.T.); +#13093=EDGE_CURVE('',#11682,#10240,#655,.T.); +#13097=ADVANCED_FACE('',(#13096),#13086,.F.); +#13103=EDGE_CURVE('',#10243,#10244,#9694,.T.); +#13105=EDGE_CURVE('',#10243,#11685,#659,.T.); +#13107=EDGE_CURVE('',#11685,#11686,#664,.T.); +#13109=EDGE_CURVE('',#11686,#10244,#668,.T.); +#13113=ADVANCED_FACE('',(#13112),#13102,.F.); +#13119=EDGE_CURVE('',#10247,#10248,#9710,.T.); +#13121=EDGE_CURVE('',#10247,#11677,#672,.T.); +#13123=EDGE_CURVE('',#11677,#11678,#677,.T.); +#13125=EDGE_CURVE('',#11678,#10248,#681,.T.); +#13129=ADVANCED_FACE('',(#13128),#13118,.F.); +#13135=EDGE_CURVE('',#10251,#10252,#9542,.T.); +#13137=EDGE_CURVE('',#10251,#11633,#685,.T.); +#13139=EDGE_CURVE('',#11633,#11634,#690,.T.); +#13141=EDGE_CURVE('',#11634,#10252,#694,.T.); +#13145=ADVANCED_FACE('',(#13144),#13134,.F.); +#13151=EDGE_CURVE('',#10255,#10256,#9558,.T.); +#13153=EDGE_CURVE('',#10255,#11637,#698,.T.); +#13155=EDGE_CURVE('',#11637,#11638,#703,.T.); +#13157=EDGE_CURVE('',#11638,#10256,#707,.T.); +#13161=ADVANCED_FACE('',(#13160),#13150,.F.); +#13168=EDGE_CURVE('',#12030,#12042,#715,.T.); +#13170=EDGE_CURVE('',#12029,#12030,#720,.T.); +#13172=EDGE_CURVE('',#12038,#12029,#724,.T.); +#13176=ADVANCED_FACE('',(#13175),#13166,.F.); +#13182=EDGE_CURVE('',#12046,#12050,#728,.T.); +#13184=EDGE_CURVE('',#12034,#12050,#732,.T.); +#13186=EDGE_CURVE('',#12033,#12034,#737,.T.); +#13188=EDGE_CURVE('',#12046,#12033,#741,.T.); +#13192=ADVANCED_FACE('',(#13191),#13181,.F.); +#13199=EDGE_CURVE('',#10264,#11758,#1827,.T.); +#13201=EDGE_CURVE('',#11673,#11758,#9534,.T.); +#13206=ADVANCED_FACE('',(#13205),#13197,.T.); +#13212=EDGE_CURVE('',#10401,#10402,#876,.T.); +#13214=EDGE_CURVE('',#10402,#10401,#881,.T.); +#13218=ADVANCED_FACE('',(#13217),#13211,.F.); +#13224=EDGE_CURVE('',#10405,#10406,#886,.T.); +#13226=EDGE_CURVE('',#10406,#10405,#891,.T.); +#13230=ADVANCED_FACE('',(#13229),#13223,.F.); +#13236=EDGE_CURVE('',#10409,#10410,#896,.T.); +#13238=EDGE_CURVE('',#10410,#10409,#901,.T.); +#13242=ADVANCED_FACE('',(#13241),#13235,.F.); +#13248=EDGE_CURVE('',#10413,#10414,#906,.T.); +#13250=EDGE_CURVE('',#10414,#10413,#911,.T.); +#13254=ADVANCED_FACE('',(#13253),#13247,.F.); +#13260=EDGE_CURVE('',#11283,#11284,#1099,.T.); +#13262=EDGE_CURVE('',#11284,#11286,#1103,.T.); +#13264=EDGE_CURVE('',#11286,#11283,#1107,.T.); +#13268=ADVANCED_FACE('',(#13267),#13259,.F.); +#13274=EDGE_CURVE('',#11997,#11998,#1367,.T.); +#13276=EDGE_CURVE('',#11998,#12000,#1372,.T.); +#13278=EDGE_CURVE('',#12000,#12002,#1376,.T.); +#13280=EDGE_CURVE('',#12002,#12004,#1381,.T.); +#13282=EDGE_CURVE('',#12004,#12006,#1385,.T.); +#13284=EDGE_CURVE('',#12006,#12008,#1390,.T.); +#13286=EDGE_CURVE('',#12008,#12010,#1394,.T.); +#13288=EDGE_CURVE('',#12010,#11997,#1399,.T.); +#13292=EDGE_CURVE('',#10040,#10005,#746,.T.); +#13294=EDGE_CURVE('',#10040,#10138,#750,.T.); +#13296=EDGE_CURVE('',#10138,#10140,#755,.T.); +#13298=EDGE_CURVE('',#10140,#10142,#759,.T.); +#13300=EDGE_CURVE('',#10142,#10144,#764,.T.); +#13302=EDGE_CURVE('',#10144,#10146,#768,.T.); +#13304=EDGE_CURVE('',#10090,#10146,#773,.T.); +#13306=EDGE_CURVE('',#10050,#10090,#2657,.T.); +#13308=EDGE_CURVE('',#10049,#10050,#778,.T.); +#13310=EDGE_CURVE('',#10106,#10049,#783,.T.); +#13312=EDGE_CURVE('',#10106,#10087,#787,.T.); +#13314=EDGE_CURVE('',#10087,#10088,#792,.T.); +#13316=EDGE_CURVE('',#10088,#10100,#796,.T.); +#13318=EDGE_CURVE('',#10100,#10102,#801,.T.); +#13320=EDGE_CURVE('',#10104,#10102,#806,.T.); +#13322=EDGE_CURVE('',#10080,#10104,#2200,.T.); +#13324=EDGE_CURVE('',#10079,#10080,#811,.T.); +#13326=EDGE_CURVE('',#10079,#10082,#816,.T.); +#13328=EDGE_CURVE('',#10082,#10084,#820,.T.); +#13330=EDGE_CURVE('',#10084,#10059,#825,.T.); +#13332=EDGE_CURVE('',#10059,#10060,#829,.T.); +#13334=EDGE_CURVE('',#10046,#10060,#834,.T.); +#13336=EDGE_CURVE('',#10045,#10046,#839,.T.); +#13338=EDGE_CURVE('',#10062,#10045,#2431,.T.); +#13340=EDGE_CURVE('',#10129,#10062,#844,.T.); +#13342=EDGE_CURVE('',#10129,#10130,#848,.T.); +#13344=EDGE_CURVE('',#10130,#10132,#853,.T.); +#13346=EDGE_CURVE('',#10132,#10134,#857,.T.); +#13348=EDGE_CURVE('',#10134,#10136,#862,.T.); +#13350=EDGE_CURVE('',#10136,#10042,#866,.T.); +#13352=EDGE_CURVE('',#10006,#10042,#871,.T.); +#13354=EDGE_CURVE('',#10005,#10006,#2378,.T.); +#13358=ADVANCED_FACE('',(#13291,#13357),#13273,.F.); +#13364=EDGE_CURVE('',#12037,#12052,#1753,.T.); +#13366=EDGE_CURVE('',#12052,#12054,#1758,.T.); +#13368=EDGE_CURVE('',#12054,#12041,#1762,.T.); +#13371=EDGE_CURVE('',#11744,#11736,#1766,.T.); +#13373=EDGE_CURVE('',#11742,#11744,#1771,.T.); +#13375=EDGE_CURVE('',#10280,#11742,#1775,.T.); +#13378=EDGE_CURVE('',#11748,#10279,#1779,.T.); +#13380=EDGE_CURVE('',#11746,#11748,#1784,.T.); +#13382=EDGE_CURVE('',#10276,#11746,#1788,.T.); +#13385=EDGE_CURVE('',#11756,#10275,#1792,.T.); +#13387=EDGE_CURVE('',#11754,#11756,#1797,.T.); +#13389=EDGE_CURVE('',#10272,#11754,#1801,.T.); +#13392=EDGE_CURVE('',#11752,#10271,#1805,.T.); +#13394=EDGE_CURVE('',#11750,#11752,#1810,.T.); +#13396=EDGE_CURVE('',#10268,#11750,#1814,.T.); +#13399=EDGE_CURVE('',#11760,#10267,#1818,.T.); +#13401=EDGE_CURVE('',#11758,#11760,#1823,.T.); +#13405=EDGE_CURVE('',#10009,#10010,#1832,.T.); +#13407=EDGE_CURVE('',#11642,#10010,#9566,.T.); +#13409=EDGE_CURVE('',#11654,#11642,#1836,.T.); +#13411=EDGE_CURVE('',#11652,#11654,#1841,.T.); +#13413=EDGE_CURVE('',#10350,#11652,#1845,.T.); +#13415=EDGE_CURVE('',#10349,#10350,#9550,.T.); +#13417=EDGE_CURVE('',#11650,#10349,#1849,.T.); +#13419=EDGE_CURVE('',#11648,#11650,#1854,.T.); +#13421=EDGE_CURVE('',#10346,#11648,#1858,.T.); +#13423=EDGE_CURVE('',#10021,#10346,#9574,.T.); +#13425=EDGE_CURVE('',#10021,#10022,#1863,.T.); +#13427=EDGE_CURVE('',#10344,#10022,#9718,.T.); +#13429=EDGE_CURVE('',#11764,#10344,#1867,.T.); +#13431=EDGE_CURVE('',#11763,#11764,#1872,.T.); +#13433=EDGE_CURVE('',#10342,#11763,#1876,.T.); +#13435=EDGE_CURVE('',#10341,#10342,#9702,.T.); +#13437=EDGE_CURVE('',#11772,#10341,#1880,.T.); +#13439=EDGE_CURVE('',#11771,#11772,#1885,.T.); +#13441=EDGE_CURVE('',#10338,#11771,#1889,.T.); +#13443=EDGE_CURVE('',#10337,#10338,#9686,.T.); +#13445=EDGE_CURVE('',#11768,#10337,#1893,.T.); +#13447=EDGE_CURVE('',#11767,#11768,#1898,.T.); +#13449=EDGE_CURVE('',#10334,#11767,#1902,.T.); +#13451=EDGE_CURVE('',#10333,#10334,#9670,.T.); +#13453=EDGE_CURVE('',#11776,#10333,#1906,.T.); +#13455=EDGE_CURVE('',#11775,#11776,#1911,.T.); +#13457=EDGE_CURVE('',#10330,#11775,#1915,.T.); +#13459=EDGE_CURVE('',#10329,#10330,#9654,.T.); +#13461=EDGE_CURVE('',#11934,#10329,#1919,.T.); +#13463=EDGE_CURVE('',#11933,#11934,#1924,.T.); +#13465=EDGE_CURVE('',#10326,#11933,#1928,.T.); +#13467=EDGE_CURVE('',#10325,#10326,#9638,.T.); +#13469=EDGE_CURVE('',#11780,#10325,#1932,.T.); +#13471=EDGE_CURVE('',#11779,#11780,#1937,.T.); +#13473=EDGE_CURVE('',#10322,#11779,#1941,.T.); +#13475=EDGE_CURVE('',#10321,#10322,#9622,.T.); +#13477=EDGE_CURVE('',#11820,#10321,#1945,.T.); +#13479=EDGE_CURVE('',#11819,#11820,#1950,.T.); +#13481=EDGE_CURVE('',#11740,#11819,#1954,.T.); +#13483=EDGE_CURVE('',#12045,#11740,#9606,.T.); +#13485=EDGE_CURVE('',#12045,#12056,#1958,.T.); +#13487=EDGE_CURVE('',#12056,#12058,#1963,.T.); +#13489=EDGE_CURVE('',#12058,#12049,#1967,.T.); +#13491=EDGE_CURVE('',#11738,#12049,#9790,.T.); +#13493=EDGE_CURVE('',#11816,#11738,#1971,.T.); +#13495=EDGE_CURVE('',#11815,#11816,#1976,.T.); +#13497=EDGE_CURVE('',#10318,#11815,#1980,.T.); +#13499=EDGE_CURVE('',#10317,#10318,#9774,.T.); +#13501=EDGE_CURVE('',#11808,#10317,#1984,.T.); +#13503=EDGE_CURVE('',#11807,#11808,#1989,.T.); +#13505=EDGE_CURVE('',#10314,#11807,#1993,.T.); +#13507=EDGE_CURVE('',#10313,#10314,#9758,.T.); +#13509=EDGE_CURVE('',#11812,#10313,#1997,.T.); +#13511=EDGE_CURVE('',#11811,#11812,#2002,.T.); +#13513=EDGE_CURVE('',#10310,#11811,#2006,.T.); +#13515=EDGE_CURVE('',#10309,#10310,#9742,.T.); +#13517=EDGE_CURVE('',#11804,#10309,#2010,.T.); +#13519=EDGE_CURVE('',#11803,#11804,#2015,.T.); +#13521=EDGE_CURVE('',#10306,#11803,#2019,.T.); +#13523=EDGE_CURVE('',#10017,#10306,#9726,.T.); +#13525=EDGE_CURVE('',#10017,#10018,#2024,.T.); +#13527=EDGE_CURVE('',#11640,#10018,#9890,.T.); +#13529=EDGE_CURVE('',#11646,#11640,#2028,.T.); +#13531=EDGE_CURVE('',#11644,#11646,#2033,.T.); +#13533=EDGE_CURVE('',#10304,#11644,#2037,.T.); +#13535=EDGE_CURVE('',#10204,#10304,#9874,.T.); +#13537=EDGE_CURVE('',#10262,#10204,#2041,.T.); +#13539=EDGE_CURVE('',#10260,#10262,#2046,.T.); +#13541=EDGE_CURVE('',#10259,#10260,#2050,.T.); +#13543=EDGE_CURVE('',#10013,#10259,#9898,.T.); +#13545=EDGE_CURVE('',#10013,#10014,#2055,.T.); +#13548=EDGE_CURVE('',#11800,#10302,#2059,.T.); +#13550=EDGE_CURVE('',#11798,#11800,#2064,.T.); +#13552=EDGE_CURVE('',#10300,#11798,#2068,.T.); +#13555=EDGE_CURVE('',#11792,#10299,#2072,.T.); +#13557=EDGE_CURVE('',#11790,#11792,#2077,.T.); +#13559=EDGE_CURVE('',#10296,#11790,#2081,.T.); +#13562=EDGE_CURVE('',#11796,#10295,#2085,.T.); +#13564=EDGE_CURVE('',#11794,#11796,#2090,.T.); +#13566=EDGE_CURVE('',#10292,#11794,#2094,.T.); +#13569=EDGE_CURVE('',#11788,#10291,#2098,.T.); +#13571=EDGE_CURVE('',#11786,#11788,#2103,.T.); +#13573=EDGE_CURVE('',#10288,#11786,#2107,.T.); +#13576=EDGE_CURVE('',#11784,#10287,#2111,.T.); +#13578=EDGE_CURVE('',#11782,#11784,#2116,.T.); +#13580=EDGE_CURVE('',#10284,#11782,#2120,.T.); +#13583=EDGE_CURVE('',#11930,#10283,#2124,.T.); +#13585=EDGE_CURVE('',#11928,#11930,#2129,.T.); +#13587=EDGE_CURVE('',#11926,#11928,#2133,.T.); +#13592=EDGE_CURVE('',#11191,#11192,#915,.T.); +#13594=EDGE_CURVE('',#11192,#11202,#919,.T.); +#13596=EDGE_CURVE('',#11202,#11200,#923,.T.); +#13598=EDGE_CURVE('',#11200,#11198,#927,.T.); +#13600=EDGE_CURVE('',#11198,#11196,#931,.T.); +#13602=EDGE_CURVE('',#11196,#11194,#935,.T.); +#13604=EDGE_CURVE('',#11194,#11191,#939,.T.); +#13608=EDGE_CURVE('',#11205,#11206,#943,.T.); +#13610=EDGE_CURVE('',#11206,#11258,#947,.T.); +#13612=EDGE_CURVE('',#11258,#11256,#951,.T.); +#13614=EDGE_CURVE('',#11256,#11254,#955,.T.); +#13616=EDGE_CURVE('',#11254,#11252,#959,.T.); +#13618=EDGE_CURVE('',#11252,#11250,#963,.T.); +#13620=EDGE_CURVE('',#11250,#11248,#967,.T.); +#13622=EDGE_CURVE('',#11248,#11246,#971,.T.); +#13624=EDGE_CURVE('',#11246,#11244,#975,.T.); +#13626=EDGE_CURVE('',#11244,#11242,#979,.T.); +#13628=EDGE_CURVE('',#11242,#11240,#983,.T.); +#13630=EDGE_CURVE('',#11240,#11238,#987,.T.); +#13632=EDGE_CURVE('',#11238,#11236,#991,.T.); +#13634=EDGE_CURVE('',#11236,#11234,#995,.T.); +#13636=EDGE_CURVE('',#11234,#11232,#999,.T.); +#13638=EDGE_CURVE('',#11232,#11230,#1003,.T.); +#13640=EDGE_CURVE('',#11230,#11228,#1007,.T.); +#13642=EDGE_CURVE('',#11228,#11226,#1011,.T.); +#13644=EDGE_CURVE('',#11226,#11224,#1015,.T.); +#13646=EDGE_CURVE('',#11224,#11222,#1019,.T.); +#13648=EDGE_CURVE('',#11222,#11220,#1023,.T.); +#13650=EDGE_CURVE('',#11220,#11218,#1027,.T.); +#13652=EDGE_CURVE('',#11218,#11216,#1031,.T.); +#13654=EDGE_CURVE('',#11216,#11214,#1035,.T.); +#13656=EDGE_CURVE('',#11214,#11212,#1039,.T.); +#13658=EDGE_CURVE('',#11212,#11210,#1043,.T.); +#13660=EDGE_CURVE('',#11210,#11208,#1047,.T.); +#13662=EDGE_CURVE('',#11208,#11205,#1051,.T.); +#13666=EDGE_CURVE('',#11261,#11262,#1055,.T.); +#13668=EDGE_CURVE('',#11262,#11280,#1059,.T.); +#13670=EDGE_CURVE('',#11280,#11278,#1063,.T.); +#13672=EDGE_CURVE('',#11278,#11276,#1067,.T.); +#13674=EDGE_CURVE('',#11276,#11274,#1071,.T.); +#13676=EDGE_CURVE('',#11274,#11272,#1075,.T.); +#13678=EDGE_CURVE('',#11272,#11270,#1079,.T.); +#13680=EDGE_CURVE('',#11270,#11268,#1083,.T.); +#13682=EDGE_CURVE('',#11268,#11266,#1087,.T.); +#13684=EDGE_CURVE('',#11266,#11264,#1091,.T.); +#13686=EDGE_CURVE('',#11264,#11261,#1095,.T.); +#13690=EDGE_CURVE('',#11289,#11290,#1111,.T.); +#13692=EDGE_CURVE('',#11290,#11300,#1115,.T.); +#13694=EDGE_CURVE('',#11300,#11298,#1119,.T.); +#13696=EDGE_CURVE('',#11298,#11296,#1123,.T.); +#13698=EDGE_CURVE('',#11296,#11294,#1127,.T.); +#13700=EDGE_CURVE('',#11294,#11292,#1131,.T.); +#13702=EDGE_CURVE('',#11292,#11289,#1135,.T.); +#13706=EDGE_CURVE('',#11303,#11304,#1139,.T.); +#13708=EDGE_CURVE('',#11304,#11414,#1143,.T.); +#13710=EDGE_CURVE('',#11414,#11412,#1147,.T.); +#13712=EDGE_CURVE('',#11412,#11410,#1151,.T.); +#13714=EDGE_CURVE('',#11410,#11408,#1155,.T.); +#13716=EDGE_CURVE('',#11408,#11406,#1159,.T.); +#13718=EDGE_CURVE('',#11406,#11404,#1163,.T.); +#13720=EDGE_CURVE('',#11404,#11402,#1167,.T.); +#13722=EDGE_CURVE('',#11402,#11400,#1171,.T.); +#13724=EDGE_CURVE('',#11400,#11398,#1175,.T.); +#13726=EDGE_CURVE('',#11398,#11396,#1179,.T.); +#13728=EDGE_CURVE('',#11396,#11394,#1183,.T.); +#13730=EDGE_CURVE('',#11394,#11392,#1187,.T.); +#13732=EDGE_CURVE('',#11392,#11390,#1191,.T.); +#13734=EDGE_CURVE('',#11390,#11388,#1195,.T.); +#13736=EDGE_CURVE('',#11388,#11386,#1199,.T.); +#13738=EDGE_CURVE('',#11386,#11384,#1203,.T.); +#13740=EDGE_CURVE('',#11384,#11382,#1207,.T.); +#13742=EDGE_CURVE('',#11382,#11380,#1211,.T.); +#13744=EDGE_CURVE('',#11380,#11378,#1215,.T.); +#13746=EDGE_CURVE('',#11378,#11376,#1219,.T.); +#13748=EDGE_CURVE('',#11376,#11374,#1223,.T.); +#13750=EDGE_CURVE('',#11374,#11372,#1227,.T.); +#13752=EDGE_CURVE('',#11372,#11370,#1231,.T.); +#13754=EDGE_CURVE('',#11370,#11368,#1235,.T.); +#13756=EDGE_CURVE('',#11368,#11366,#1239,.T.); +#13758=EDGE_CURVE('',#11366,#11364,#1243,.T.); +#13760=EDGE_CURVE('',#11364,#11362,#1247,.T.); +#13762=EDGE_CURVE('',#11362,#11360,#1251,.T.); +#13764=EDGE_CURVE('',#11360,#11358,#1255,.T.); +#13766=EDGE_CURVE('',#11358,#11356,#1259,.T.); +#13768=EDGE_CURVE('',#11356,#11354,#1263,.T.); +#13770=EDGE_CURVE('',#11354,#11352,#1267,.T.); +#13772=EDGE_CURVE('',#11352,#11350,#1271,.T.); +#13774=EDGE_CURVE('',#11350,#11348,#1275,.T.); +#13776=EDGE_CURVE('',#11348,#11346,#1279,.T.); +#13778=EDGE_CURVE('',#11346,#11344,#1283,.T.); +#13780=EDGE_CURVE('',#11344,#11342,#1287,.T.); +#13782=EDGE_CURVE('',#11342,#11340,#1291,.T.); +#13784=EDGE_CURVE('',#11340,#11338,#1295,.T.); +#13786=EDGE_CURVE('',#11338,#11336,#1299,.T.); +#13788=EDGE_CURVE('',#11336,#11334,#1303,.T.); +#13790=EDGE_CURVE('',#11334,#11332,#1307,.T.); +#13792=EDGE_CURVE('',#11332,#11330,#1311,.T.); +#13794=EDGE_CURVE('',#11330,#11328,#1315,.T.); +#13796=EDGE_CURVE('',#11328,#11326,#1319,.T.); +#13798=EDGE_CURVE('',#11326,#11324,#1323,.T.); +#13800=EDGE_CURVE('',#11324,#11322,#1327,.T.); +#13802=EDGE_CURVE('',#11322,#11320,#1331,.T.); +#13804=EDGE_CURVE('',#11320,#11318,#1335,.T.); +#13806=EDGE_CURVE('',#11318,#11316,#1339,.T.); +#13808=EDGE_CURVE('',#11316,#11314,#1343,.T.); +#13810=EDGE_CURVE('',#11314,#11312,#1347,.T.); +#13812=EDGE_CURVE('',#11312,#11310,#1351,.T.); +#13814=EDGE_CURVE('',#11310,#11308,#1355,.T.); +#13816=EDGE_CURVE('',#11308,#11306,#1359,.T.); +#13818=EDGE_CURVE('',#11306,#11303,#1363,.T.); +#13822=EDGE_CURVE('',#10553,#10554,#1404,.T.); +#13824=EDGE_CURVE('',#10554,#10553,#1409,.T.); +#13828=EDGE_CURVE('',#10565,#10566,#1414,.T.); +#13830=EDGE_CURVE('',#10566,#10565,#1419,.T.); +#13834=EDGE_CURVE('',#10577,#10578,#1424,.T.); +#13836=EDGE_CURVE('',#10578,#10577,#1429,.T.); +#13840=EDGE_CURVE('',#10589,#10590,#1434,.T.); +#13842=EDGE_CURVE('',#10590,#10589,#1439,.T.); +#13846=EDGE_CURVE('',#10601,#10602,#1444,.T.); +#13848=EDGE_CURVE('',#10602,#10601,#1449,.T.); +#13852=EDGE_CURVE('',#10613,#10614,#1454,.T.); +#13854=EDGE_CURVE('',#10614,#10613,#1459,.T.); +#13858=EDGE_CURVE('',#10625,#10626,#1464,.T.); +#13860=EDGE_CURVE('',#10626,#10625,#1469,.T.); +#13864=EDGE_CURVE('',#10637,#10638,#1474,.T.); +#13866=EDGE_CURVE('',#10638,#10637,#1479,.T.); +#13870=EDGE_CURVE('',#10649,#10650,#1484,.T.); +#13872=EDGE_CURVE('',#10650,#10649,#1489,.T.); +#13876=EDGE_CURVE('',#10661,#10662,#1494,.T.); +#13878=EDGE_CURVE('',#10662,#10661,#1499,.T.); +#13882=EDGE_CURVE('',#10673,#10674,#1504,.T.); +#13884=EDGE_CURVE('',#10674,#10673,#1509,.T.); +#13888=EDGE_CURVE('',#10685,#10686,#1514,.T.); +#13890=EDGE_CURVE('',#10686,#10685,#1519,.T.); +#13894=EDGE_CURVE('',#10697,#10698,#1524,.T.); +#13896=EDGE_CURVE('',#10698,#10697,#1529,.T.); +#13900=EDGE_CURVE('',#10709,#10710,#1534,.T.); +#13902=EDGE_CURVE('',#10710,#10709,#1539,.T.); +#13906=EDGE_CURVE('',#10721,#10722,#1544,.T.); +#13908=EDGE_CURVE('',#10722,#10721,#1549,.T.); +#13912=EDGE_CURVE('',#10733,#10734,#1554,.T.); +#13914=EDGE_CURVE('',#10734,#10733,#1559,.T.); +#13918=EDGE_CURVE('',#10745,#10746,#1564,.T.); +#13920=EDGE_CURVE('',#10746,#10745,#1569,.T.); +#13924=EDGE_CURVE('',#10757,#10758,#1574,.T.); +#13926=EDGE_CURVE('',#10758,#10757,#1579,.T.); +#13930=EDGE_CURVE('',#10769,#10770,#1584,.T.); +#13932=EDGE_CURVE('',#10770,#10769,#1589,.T.); +#13936=EDGE_CURVE('',#10781,#10782,#1594,.T.); +#13938=EDGE_CURVE('',#10782,#10781,#1599,.T.); +#13942=EDGE_CURVE('',#10793,#10794,#1604,.T.); +#13944=EDGE_CURVE('',#10794,#10793,#1609,.T.); +#13948=EDGE_CURVE('',#10805,#10806,#1614,.T.); +#13950=EDGE_CURVE('',#10806,#10805,#1619,.T.); +#13954=EDGE_CURVE('',#10817,#10818,#1624,.T.); +#13956=EDGE_CURVE('',#10818,#10817,#1629,.T.); +#13960=EDGE_CURVE('',#10829,#10830,#1634,.T.); +#13962=EDGE_CURVE('',#10830,#10829,#1639,.T.); +#13966=EDGE_CURVE('',#10841,#10842,#1644,.T.); +#13968=EDGE_CURVE('',#10842,#10841,#1649,.T.); +#13972=EDGE_CURVE('',#10853,#10854,#1654,.T.); +#13974=EDGE_CURVE('',#10854,#10853,#1659,.T.); +#13978=EDGE_CURVE('',#10865,#10866,#1664,.T.); +#13980=EDGE_CURVE('',#10866,#10865,#1669,.T.); +#13984=EDGE_CURVE('',#10877,#10878,#1674,.T.); +#13986=EDGE_CURVE('',#10878,#10877,#1679,.T.); +#13990=EDGE_CURVE('',#10889,#10890,#1684,.T.); +#13992=EDGE_CURVE('',#10890,#10889,#1689,.T.); +#13996=EDGE_CURVE('',#10901,#10902,#1694,.T.); +#13998=EDGE_CURVE('',#10902,#10901,#1699,.T.); +#14002=EDGE_CURVE('',#10913,#10914,#1704,.T.); +#14004=EDGE_CURVE('',#10914,#10913,#1709,.T.); +#14008=EDGE_CURVE('',#10925,#10926,#1714,.T.); +#14010=EDGE_CURVE('',#10926,#10925,#1719,.T.); +#14014=EDGE_CURVE('',#10937,#10938,#1724,.T.); +#14016=EDGE_CURVE('',#10938,#10937,#1729,.T.); +#14020=EDGE_CURVE('',#10949,#10950,#1734,.T.); +#14022=EDGE_CURVE('',#10950,#10949,#1739,.T.); +#14026=EDGE_CURVE('',#10961,#10962,#1744,.T.); +#14028=EDGE_CURVE('',#10962,#10961,#1749,.T.); +#14032=ADVANCED_FACE('',(#13591,#13607,#13665,#13689,#13705,#13821,#13827, +#13833,#13839,#13845,#13851,#13857,#13863,#13869,#13875,#13881,#13887,#13893, +#13899,#13905,#13911,#13917,#13923,#13929,#13935,#13941,#13947,#13953,#13959, +#13965,#13971,#13977,#13983,#13989,#13995,#14001,#14007,#14013,#14019,#14025, +#14031),#13363,.F.); +#14038=EDGE_CURVE('',#12158,#12154,#2138,.T.); +#14040=EDGE_CURVE('',#12154,#10025,#2142,.T.); +#14042=EDGE_CURVE('',#10025,#10026,#2503,.T.); +#14044=EDGE_CURVE('',#10026,#10040,#2718,.T.); +#14047=EDGE_CURVE('',#12158,#10005,#2374,.T.); +#14051=ADVANCED_FACE('',(#14050),#14037,.T.); +#14058=EDGE_CURVE('',#12152,#12154,#2152,.T.); +#14061=EDGE_CURVE('',#12156,#12158,#2147,.T.); +#14065=ADVANCED_FACE('',(#14064),#14056,.T.); +#14072=EDGE_CURVE('',#12148,#12150,#2157,.T.); +#14074=EDGE_CURVE('',#12154,#12150,#2649,.T.); +#14079=ADVANCED_FACE('',(#14078),#14070,.T.); +#14086=EDGE_CURVE('',#12145,#12141,#2162,.T.); +#14088=EDGE_CURVE('',#12150,#12141,#2670,.T.); +#14093=ADVANCED_FACE('',(#14092),#14084,.T.); +#14099=EDGE_CURVE('',#12141,#12142,#2208,.T.); +#14103=EDGE_CURVE('',#12146,#12142,#2172,.T.); +#14107=ADVANCED_FACE('',(#14106),#14098,.T.); +#14113=EDGE_CURVE('',#12125,#12126,#2176,.T.); +#14115=EDGE_CURVE('',#12126,#12080,#2180,.T.); +#14117=EDGE_CURVE('',#12080,#12082,#2184,.T.); +#14119=EDGE_CURVE('',#12178,#12082,#2188,.T.); +#14121=EDGE_CURVE('',#12178,#12174,#2192,.T.); +#14123=EDGE_CURVE('',#12174,#10034,#2196,.T.); +#14125=EDGE_CURVE('',#10034,#10065,#2567,.T.); +#14127=EDGE_CURVE('',#10080,#10065,#2755,.T.); +#14130=EDGE_CURVE('',#10104,#10096,#2204,.T.); +#14132=EDGE_CURVE('',#10096,#10037,#2576,.T.); +#14134=EDGE_CURVE('',#12141,#10037,#2674,.T.); +#14137=EDGE_CURVE('',#12142,#12078,#2212,.T.); +#14139=EDGE_CURVE('',#12078,#12076,#2216,.T.); +#14141=EDGE_CURVE('',#12125,#12076,#2220,.T.); +#14145=ADVANCED_FACE('',(#14144),#14112,.T.); +#14152=EDGE_CURVE('',#12125,#12129,#2230,.T.); +#14155=EDGE_CURVE('',#12130,#12126,#2240,.T.); +#14159=ADVANCED_FACE('',(#14158),#14150,.T.); +#14165=EDGE_CURVE('',#12122,#12118,#2248,.T.); +#14170=EDGE_CURVE('',#12076,#12062,#2308,.T.); +#14172=EDGE_CURVE('',#12061,#12062,#2276,.T.); +#14174=EDGE_CURVE('',#12122,#12061,#2256,.T.); +#14178=ADVANCED_FACE('',(#14177),#14164,.F.); +#14186=EDGE_CURVE('',#12121,#12122,#2252,.T.); +#14188=EDGE_CURVE('',#12117,#12121,#2320,.T.); +#14192=ADVANCED_FACE('',(#14191),#14183,.T.); +#14200=EDGE_CURVE('',#12061,#12065,#2288,.T.); +#14202=EDGE_CURVE('',#12121,#12065,#2260,.T.); +#14206=ADVANCED_FACE('',(#14205),#14197,.F.); +#14212=EDGE_CURVE('',#12137,#12138,#2264,.T.); +#14214=EDGE_CURVE('',#12138,#12073,#2268,.T.); +#14216=EDGE_CURVE('',#12073,#12069,#2296,.T.); +#14218=EDGE_CURVE('',#12137,#12069,#2272,.T.); +#14222=ADVANCED_FACE('',(#14221),#14211,.F.); +#14229=EDGE_CURVE('',#12066,#12062,#2280,.T.); +#14231=EDGE_CURVE('',#12065,#12066,#2284,.T.); +#14236=ADVANCED_FACE('',(#14235),#14227,.T.); +#14242=EDGE_CURVE('',#12069,#12070,#2292,.T.); +#14245=EDGE_CURVE('',#12073,#12074,#2300,.T.); +#14247=EDGE_CURVE('',#12070,#12074,#2304,.T.); +#14251=ADVANCED_FACE('',(#14250),#14241,.T.); +#14259=EDGE_CURVE('',#12066,#12078,#2312,.T.); +#14264=ADVANCED_FACE('',(#14263),#14256,.T.); +#14279=ADVANCED_FACE('',(#14278),#14269,.F.); +#14288=EDGE_CURVE('',#12132,#12137,#2328,.T.); +#14292=EDGE_CURVE('',#12070,#12080,#2759,.T.); +#14296=ADVANCED_FACE('',(#14295),#14284,.F.); +#14303=EDGE_CURVE('',#12138,#12134,#2336,.T.); +#14309=ADVANCED_FACE('',(#14308),#14301,.T.); +#14317=EDGE_CURVE('',#12178,#12176,#2346,.T.); +#14320=EDGE_CURVE('',#12082,#12074,#2763,.T.); +#14326=ADVANCED_FACE('',(#14325),#14314,.F.); +#14333=EDGE_CURVE('',#12172,#12174,#2351,.T.); +#14339=ADVANCED_FACE('',(#14338),#14331,.T.); +#14346=EDGE_CURVE('',#12168,#12170,#2356,.T.); +#14348=EDGE_CURVE('',#12174,#12170,#2727,.T.); +#14353=ADVANCED_FACE('',(#14352),#14344,.T.); +#14360=EDGE_CURVE('',#12164,#12166,#2361,.T.); +#14362=EDGE_CURVE('',#12170,#12166,#2415,.T.); +#14367=ADVANCED_FACE('',(#14366),#14358,.T.); +#14374=EDGE_CURVE('',#12160,#12162,#2366,.T.); +#14376=EDGE_CURVE('',#12166,#12162,#2383,.T.); +#14381=ADVANCED_FACE('',(#14380),#14372,.T.); +#14389=EDGE_CURVE('',#12162,#12158,#2370,.T.); +#14394=ADVANCED_FACE('',(#14393),#14386,.T.); +#14403=EDGE_CURVE('',#12162,#10006,#2387,.T.); +#14407=ADVANCED_FACE('',(#14406),#14399,.T.); +#14416=EDGE_CURVE('',#10029,#10042,#2391,.T.); +#14418=EDGE_CURVE('',#10029,#10030,#2535,.T.); +#14420=EDGE_CURVE('',#12166,#10030,#2419,.T.); +#14424=ADVANCED_FACE('',(#14423),#14412,.T.); +#14432=EDGE_CURVE('',#10136,#10116,#2395,.T.); +#14434=EDGE_CURVE('',#10116,#10029,#2507,.T.); +#14438=ADVANCED_FACE('',(#14437),#14429,.T.); +#14445=EDGE_CURVE('',#10134,#10114,#2399,.T.); +#14447=EDGE_CURVE('',#10114,#10116,#2512,.T.); +#14452=ADVANCED_FACE('',(#14451),#14443,.T.); +#14459=EDGE_CURVE('',#10132,#10112,#2403,.T.); +#14461=EDGE_CURVE('',#10112,#10114,#2516,.T.); +#14466=ADVANCED_FACE('',(#14465),#14457,.T.); +#14473=EDGE_CURVE('',#10130,#10110,#2407,.T.); +#14475=EDGE_CURVE('',#10110,#10112,#2521,.T.); +#14480=ADVANCED_FACE('',(#14479),#14471,.T.); +#14487=EDGE_CURVE('',#10129,#10109,#2411,.T.); +#14489=EDGE_CURVE('',#10109,#10110,#2525,.T.); +#14494=ADVANCED_FACE('',(#14493),#14485,.T.); +#14500=EDGE_CURVE('',#10062,#10056,#2427,.T.); +#14502=EDGE_CURVE('',#10056,#10109,#2530,.T.); +#14508=ADVANCED_FACE('',(#14507),#14499,.F.); +#14516=EDGE_CURVE('',#10030,#10056,#2423,.T.); +#14520=EDGE_CURVE('',#12170,#10045,#2731,.T.); +#14524=ADVANCED_FACE('',(#14523),#14513,.T.); +#14530=EDGE_CURVE('',#10126,#10076,#2476,.T.); +#14532=EDGE_CURVE('',#10124,#10126,#2480,.T.); +#14534=EDGE_CURVE('',#10122,#10124,#2485,.T.); +#14536=EDGE_CURVE('',#10120,#10122,#2489,.T.); +#14538=EDGE_CURVE('',#10119,#10120,#2494,.T.); +#14540=EDGE_CURVE('',#10026,#10119,#2498,.T.); +#14543=EDGE_CURVE('',#10076,#10025,#2665,.T.); +#14547=EDGE_CURVE('',#10393,#10394,#2456,.T.); +#14549=EDGE_CURVE('',#10394,#10393,#2461,.T.); +#14553=ADVANCED_FACE('',(#14546,#14552),#14529,.T.); +#14569=EDGE_CURVE('',#10389,#10390,#2446,.T.); +#14571=EDGE_CURVE('',#10390,#10389,#2451,.T.); +#14575=ADVANCED_FACE('',(#14568,#14574),#14558,.T.); +#14581=EDGE_CURVE('',#10054,#10033,#2540,.T.); +#14583=EDGE_CURVE('',#10053,#10054,#2544,.T.); +#14585=EDGE_CURVE('',#10070,#10053,#2549,.T.); +#14587=EDGE_CURVE('',#10068,#10070,#2553,.T.); +#14589=EDGE_CURVE('',#10066,#10068,#2558,.T.); +#14591=EDGE_CURVE('',#10065,#10066,#2563,.T.); +#14594=EDGE_CURVE('',#10033,#10034,#2572,.T.); +#14598=EDGE_CURVE('',#10385,#10386,#2436,.T.); +#14600=EDGE_CURVE('',#10386,#10385,#2441,.T.); +#14604=ADVANCED_FACE('',(#14597,#14603),#14580,.T.); +#14611=EDGE_CURVE('',#10094,#10096,#2581,.T.); +#14613=EDGE_CURVE('',#10092,#10094,#2586,.T.); +#14615=EDGE_CURVE('',#10074,#10092,#2590,.T.); +#14617=EDGE_CURVE('',#10073,#10074,#2595,.T.); +#14619=EDGE_CURVE('',#10098,#10073,#2599,.T.); +#14621=EDGE_CURVE('',#10038,#10098,#2604,.T.); +#14623=EDGE_CURVE('',#10037,#10038,#2609,.T.); +#14627=EDGE_CURVE('',#10397,#10398,#2466,.T.); +#14629=EDGE_CURVE('',#10398,#10397,#2471,.T.); +#14633=ADVANCED_FACE('',(#14626,#14632),#14609,.T.); +#14640=EDGE_CURVE('',#10402,#10385,#2613,.T.); +#14643=EDGE_CURVE('',#10401,#10386,#2617,.T.); +#14647=ADVANCED_FACE('',(#14646),#14638,.F.); +#14659=ADVANCED_FACE('',(#14658),#14652,.F.); +#14666=EDGE_CURVE('',#10406,#10389,#2621,.T.); +#14669=EDGE_CURVE('',#10405,#10390,#2625,.T.); +#14673=ADVANCED_FACE('',(#14672),#14664,.F.); +#14685=ADVANCED_FACE('',(#14684),#14678,.F.); +#14692=EDGE_CURVE('',#10410,#10393,#2629,.T.); +#14695=EDGE_CURVE('',#10409,#10394,#2633,.T.); +#14699=ADVANCED_FACE('',(#14698),#14690,.F.); +#14711=ADVANCED_FACE('',(#14710),#14704,.F.); +#14718=EDGE_CURVE('',#10414,#10397,#2637,.T.); +#14721=EDGE_CURVE('',#10413,#10398,#2641,.T.); +#14725=ADVANCED_FACE('',(#14724),#14716,.F.); +#14737=ADVANCED_FACE('',(#14736),#14730,.F.); +#14743=EDGE_CURVE('',#10090,#10076,#2661,.T.); +#14746=EDGE_CURVE('',#10146,#10126,#2645,.T.); +#14751=ADVANCED_FACE('',(#14750),#14742,.F.); +#14758=EDGE_CURVE('',#12150,#10050,#2653,.T.); +#14766=ADVANCED_FACE('',(#14765),#14756,.T.); +#14775=EDGE_CURVE('',#10038,#10049,#2678,.T.); +#14781=ADVANCED_FACE('',(#14780),#14771,.T.); +#14789=EDGE_CURVE('',#10106,#10098,#2682,.T.); +#14794=ADVANCED_FACE('',(#14793),#14786,.F.); +#14803=EDGE_CURVE('',#10087,#10073,#2686,.T.); +#14807=ADVANCED_FACE('',(#14806),#14799,.T.); +#14816=EDGE_CURVE('',#10088,#10074,#2690,.T.); +#14820=ADVANCED_FACE('',(#14819),#14812,.T.); +#14829=EDGE_CURVE('',#10100,#10092,#2694,.T.); +#14833=ADVANCED_FACE('',(#14832),#14825,.T.); +#14842=EDGE_CURVE('',#10102,#10094,#2698,.T.); +#14846=ADVANCED_FACE('',(#14845),#14838,.T.); +#14858=ADVANCED_FACE('',(#14857),#14851,.F.); +#14865=EDGE_CURVE('',#10144,#10124,#2702,.T.); +#14871=ADVANCED_FACE('',(#14870),#14863,.T.); +#14878=EDGE_CURVE('',#10142,#10122,#2706,.T.); +#14884=ADVANCED_FACE('',(#14883),#14876,.T.); +#14891=EDGE_CURVE('',#10140,#10120,#2710,.T.); +#14897=ADVANCED_FACE('',(#14896),#14889,.T.); +#14904=EDGE_CURVE('',#10138,#10119,#2714,.T.); +#14910=ADVANCED_FACE('',(#14909),#14902,.T.); +#14922=ADVANCED_FACE('',(#14921),#14915,.T.); +#14928=EDGE_CURVE('',#10033,#10046,#2735,.T.); +#14931=EDGE_CURVE('',#10060,#10054,#2722,.T.); +#14936=ADVANCED_FACE('',(#14935),#14927,.F.); +#14950=ADVANCED_FACE('',(#14949),#14941,.T.); +#14957=EDGE_CURVE('',#10059,#10053,#2739,.T.); +#14963=ADVANCED_FACE('',(#14962),#14955,.T.); +#14970=EDGE_CURVE('',#10084,#10070,#2743,.T.); +#14976=ADVANCED_FACE('',(#14975),#14968,.T.); +#14983=EDGE_CURVE('',#10082,#10068,#2747,.T.); +#14989=ADVANCED_FACE('',(#14988),#14981,.T.); +#14996=EDGE_CURVE('',#10079,#10066,#2751,.T.); +#15002=ADVANCED_FACE('',(#15001),#14994,.T.); +#15014=ADVANCED_FACE('',(#15013),#15007,.F.); +#15026=ADVANCED_FACE('',(#15025),#15019,.T.); +#15033=EDGE_CURVE('',#11191,#10965,#2767,.T.); +#15035=EDGE_CURVE('',#10965,#10966,#2799,.T.); +#15037=EDGE_CURVE('',#11192,#10966,#2791,.T.); +#15041=ADVANCED_FACE('',(#15040),#15031,.T.); +#15048=EDGE_CURVE('',#11194,#10968,#2771,.T.); +#15050=EDGE_CURVE('',#10968,#10965,#2803,.T.); +#15055=ADVANCED_FACE('',(#15054),#15046,.T.); +#15062=EDGE_CURVE('',#11196,#10970,#2775,.T.); +#15064=EDGE_CURVE('',#10970,#10968,#2807,.T.); +#15069=ADVANCED_FACE('',(#15068),#15060,.T.); +#15076=EDGE_CURVE('',#11198,#10972,#2779,.T.); +#15078=EDGE_CURVE('',#10972,#10970,#2811,.T.); +#15083=ADVANCED_FACE('',(#15082),#15074,.T.); +#15090=EDGE_CURVE('',#11200,#10974,#2783,.T.); +#15092=EDGE_CURVE('',#10974,#10972,#2815,.T.); +#15097=ADVANCED_FACE('',(#15096),#15088,.T.); +#15104=EDGE_CURVE('',#11202,#10976,#2787,.T.); +#15106=EDGE_CURVE('',#10976,#10974,#2819,.T.); +#15111=ADVANCED_FACE('',(#15110),#15102,.T.); +#15119=EDGE_CURVE('',#10966,#10976,#2795,.T.); +#15124=ADVANCED_FACE('',(#15123),#15116,.T.); +#15139=ADVANCED_FACE('',(#15138),#15129,.T.); +#15145=EDGE_CURVE('',#10980,#11032,#2823,.T.); +#15147=EDGE_CURVE('',#10979,#10980,#2827,.T.); +#15149=EDGE_CURVE('',#10982,#10979,#2831,.T.); +#15151=EDGE_CURVE('',#10984,#10982,#2835,.T.); +#15153=EDGE_CURVE('',#10986,#10984,#2839,.T.); +#15155=EDGE_CURVE('',#10988,#10986,#2843,.T.); +#15157=EDGE_CURVE('',#10990,#10988,#2847,.T.); +#15159=EDGE_CURVE('',#10992,#10990,#2851,.T.); +#15161=EDGE_CURVE('',#10994,#10992,#2855,.T.); +#15163=EDGE_CURVE('',#10996,#10994,#2859,.T.); +#15165=EDGE_CURVE('',#10998,#10996,#2863,.T.); +#15167=EDGE_CURVE('',#11000,#10998,#2867,.T.); +#15169=EDGE_CURVE('',#11002,#11000,#2871,.T.); +#15171=EDGE_CURVE('',#11004,#11002,#2875,.T.); +#15173=EDGE_CURVE('',#11006,#11004,#2879,.T.); +#15175=EDGE_CURVE('',#11008,#11006,#2883,.T.); +#15177=EDGE_CURVE('',#11010,#11008,#2887,.T.); +#15179=EDGE_CURVE('',#11012,#11010,#2891,.T.); +#15181=EDGE_CURVE('',#11014,#11012,#2895,.T.); +#15183=EDGE_CURVE('',#11016,#11014,#2899,.T.); +#15185=EDGE_CURVE('',#11018,#11016,#2903,.T.); +#15187=EDGE_CURVE('',#11020,#11018,#2907,.T.); +#15189=EDGE_CURVE('',#11022,#11020,#2911,.T.); +#15191=EDGE_CURVE('',#11024,#11022,#2915,.T.); +#15193=EDGE_CURVE('',#11026,#11024,#2919,.T.); +#15195=EDGE_CURVE('',#11028,#11026,#2923,.T.); +#15197=EDGE_CURVE('',#11030,#11028,#2927,.T.); +#15199=EDGE_CURVE('',#11032,#11030,#2931,.T.); +#15203=ADVANCED_FACE('',(#15202),#15144,.T.); +#15209=EDGE_CURVE('',#11036,#11054,#2935,.T.); +#15211=EDGE_CURVE('',#11035,#11036,#2939,.T.); +#15213=EDGE_CURVE('',#11038,#11035,#2943,.T.); +#15215=EDGE_CURVE('',#11040,#11038,#2947,.T.); +#15217=EDGE_CURVE('',#11042,#11040,#2951,.T.); +#15219=EDGE_CURVE('',#11044,#11042,#2955,.T.); +#15221=EDGE_CURVE('',#11046,#11044,#2959,.T.); +#15223=EDGE_CURVE('',#11048,#11046,#2963,.T.); +#15225=EDGE_CURVE('',#11050,#11048,#2967,.T.); +#15227=EDGE_CURVE('',#11052,#11050,#2971,.T.); +#15229=EDGE_CURVE('',#11054,#11052,#2975,.T.); +#15233=EDGE_CURVE('',#11058,#11060,#2979,.T.); +#15235=EDGE_CURVE('',#11057,#11058,#2983,.T.); +#15237=EDGE_CURVE('',#11060,#11057,#2987,.T.); +#15241=ADVANCED_FACE('',(#15232,#15240),#15208,.T.); +#15247=EDGE_CURVE('',#11064,#11074,#2991,.T.); +#15249=EDGE_CURVE('',#11063,#11064,#2995,.T.); +#15251=EDGE_CURVE('',#11066,#11063,#2999,.T.); +#15253=EDGE_CURVE('',#11068,#11066,#3003,.T.); +#15255=EDGE_CURVE('',#11070,#11068,#3007,.T.); +#15257=EDGE_CURVE('',#11072,#11070,#3011,.T.); +#15259=EDGE_CURVE('',#11074,#11072,#3015,.T.); +#15263=ADVANCED_FACE('',(#15262),#15246,.T.); +#15269=EDGE_CURVE('',#11078,#11188,#3019,.T.); +#15271=EDGE_CURVE('',#11077,#11078,#3023,.T.); +#15273=EDGE_CURVE('',#11080,#11077,#3027,.T.); +#15275=EDGE_CURVE('',#11082,#11080,#3031,.T.); +#15277=EDGE_CURVE('',#11084,#11082,#3035,.T.); +#15279=EDGE_CURVE('',#11086,#11084,#3039,.T.); +#15281=EDGE_CURVE('',#11088,#11086,#3043,.T.); +#15283=EDGE_CURVE('',#11090,#11088,#3047,.T.); +#15285=EDGE_CURVE('',#11092,#11090,#3051,.T.); +#15287=EDGE_CURVE('',#11094,#11092,#3055,.T.); +#15289=EDGE_CURVE('',#11096,#11094,#3059,.T.); +#15291=EDGE_CURVE('',#11098,#11096,#3063,.T.); +#15293=EDGE_CURVE('',#11100,#11098,#3067,.T.); +#15295=EDGE_CURVE('',#11102,#11100,#3071,.T.); +#15297=EDGE_CURVE('',#11104,#11102,#3075,.T.); +#15299=EDGE_CURVE('',#11106,#11104,#3079,.T.); +#15301=EDGE_CURVE('',#11108,#11106,#3083,.T.); +#15303=EDGE_CURVE('',#11110,#11108,#3087,.T.); +#15305=EDGE_CURVE('',#11112,#11110,#3091,.T.); +#15307=EDGE_CURVE('',#11114,#11112,#3095,.T.); +#15309=EDGE_CURVE('',#11116,#11114,#3099,.T.); +#15311=EDGE_CURVE('',#11118,#11116,#3103,.T.); +#15313=EDGE_CURVE('',#11120,#11118,#3107,.T.); +#15315=EDGE_CURVE('',#11122,#11120,#3111,.T.); +#15317=EDGE_CURVE('',#11124,#11122,#3115,.T.); +#15319=EDGE_CURVE('',#11126,#11124,#3119,.T.); +#15321=EDGE_CURVE('',#11128,#11126,#3123,.T.); +#15323=EDGE_CURVE('',#11130,#11128,#3127,.T.); +#15325=EDGE_CURVE('',#11132,#11130,#3131,.T.); +#15327=EDGE_CURVE('',#11134,#11132,#3135,.T.); +#15329=EDGE_CURVE('',#11136,#11134,#3139,.T.); +#15331=EDGE_CURVE('',#11138,#11136,#3143,.T.); +#15333=EDGE_CURVE('',#11140,#11138,#3147,.T.); +#15335=EDGE_CURVE('',#11142,#11140,#3151,.T.); +#15337=EDGE_CURVE('',#11144,#11142,#3155,.T.); +#15339=EDGE_CURVE('',#11146,#11144,#3159,.T.); +#15341=EDGE_CURVE('',#11148,#11146,#3163,.T.); +#15343=EDGE_CURVE('',#11150,#11148,#3167,.T.); +#15345=EDGE_CURVE('',#11152,#11150,#3171,.T.); +#15347=EDGE_CURVE('',#11154,#11152,#3175,.T.); +#15349=EDGE_CURVE('',#11156,#11154,#3179,.T.); +#15351=EDGE_CURVE('',#11158,#11156,#3183,.T.); +#15353=EDGE_CURVE('',#11160,#11158,#3187,.T.); +#15355=EDGE_CURVE('',#11162,#11160,#3191,.T.); +#15357=EDGE_CURVE('',#11164,#11162,#3195,.T.); +#15359=EDGE_CURVE('',#11166,#11164,#3199,.T.); +#15361=EDGE_CURVE('',#11168,#11166,#3203,.T.); +#15363=EDGE_CURVE('',#11170,#11168,#3207,.T.); +#15365=EDGE_CURVE('',#11172,#11170,#3211,.T.); +#15367=EDGE_CURVE('',#11174,#11172,#3215,.T.); +#15369=EDGE_CURVE('',#11176,#11174,#3219,.T.); +#15371=EDGE_CURVE('',#11178,#11176,#3223,.T.); +#15373=EDGE_CURVE('',#11180,#11178,#3227,.T.); +#15375=EDGE_CURVE('',#11182,#11180,#3231,.T.); +#15377=EDGE_CURVE('',#11184,#11182,#3235,.T.); +#15379=EDGE_CURVE('',#11186,#11184,#3239,.T.); +#15381=EDGE_CURVE('',#11188,#11186,#3243,.T.); +#15385=ADVANCED_FACE('',(#15384),#15268,.T.); +#15392=EDGE_CURVE('',#11206,#10980,#3247,.T.); +#15395=EDGE_CURVE('',#11258,#11032,#3355,.T.); +#15399=ADVANCED_FACE('',(#15398),#15390,.T.); +#15406=EDGE_CURVE('',#11205,#10979,#3251,.T.); +#15412=ADVANCED_FACE('',(#15411),#15404,.T.); +#15419=EDGE_CURVE('',#11208,#10982,#3255,.T.); +#15425=ADVANCED_FACE('',(#15424),#15417,.T.); +#15432=EDGE_CURVE('',#11210,#10984,#3259,.T.); +#15438=ADVANCED_FACE('',(#15437),#15430,.T.); +#15445=EDGE_CURVE('',#11212,#10986,#3263,.T.); +#15451=ADVANCED_FACE('',(#15450),#15443,.T.); +#15458=EDGE_CURVE('',#11214,#10988,#3267,.T.); +#15464=ADVANCED_FACE('',(#15463),#15456,.T.); +#15471=EDGE_CURVE('',#11216,#10990,#3271,.T.); +#15477=ADVANCED_FACE('',(#15476),#15469,.T.); +#15484=EDGE_CURVE('',#11218,#10992,#3275,.T.); +#15490=ADVANCED_FACE('',(#15489),#15482,.T.); +#15497=EDGE_CURVE('',#11220,#10994,#3279,.T.); +#15503=ADVANCED_FACE('',(#15502),#15495,.T.); +#15510=EDGE_CURVE('',#11222,#10996,#3283,.T.); +#15516=ADVANCED_FACE('',(#15515),#15508,.T.); +#15523=EDGE_CURVE('',#11224,#10998,#3287,.T.); +#15529=ADVANCED_FACE('',(#15528),#15521,.T.); +#15536=EDGE_CURVE('',#11226,#11000,#3291,.T.); +#15542=ADVANCED_FACE('',(#15541),#15534,.T.); +#15549=EDGE_CURVE('',#11228,#11002,#3295,.T.); +#15555=ADVANCED_FACE('',(#15554),#15547,.T.); +#15562=EDGE_CURVE('',#11230,#11004,#3299,.T.); +#15568=ADVANCED_FACE('',(#15567),#15560,.T.); +#15575=EDGE_CURVE('',#11232,#11006,#3303,.T.); +#15581=ADVANCED_FACE('',(#15580),#15573,.T.); +#15588=EDGE_CURVE('',#11234,#11008,#3307,.T.); +#15594=ADVANCED_FACE('',(#15593),#15586,.T.); +#15601=EDGE_CURVE('',#11236,#11010,#3311,.T.); +#15607=ADVANCED_FACE('',(#15606),#15599,.T.); +#15614=EDGE_CURVE('',#11238,#11012,#3315,.T.); +#15620=ADVANCED_FACE('',(#15619),#15612,.T.); +#15627=EDGE_CURVE('',#11240,#11014,#3319,.T.); +#15633=ADVANCED_FACE('',(#15632),#15625,.T.); +#15640=EDGE_CURVE('',#11242,#11016,#3323,.T.); +#15646=ADVANCED_FACE('',(#15645),#15638,.T.); +#15653=EDGE_CURVE('',#11244,#11018,#3327,.T.); +#15659=ADVANCED_FACE('',(#15658),#15651,.T.); +#15666=EDGE_CURVE('',#11246,#11020,#3331,.T.); +#15672=ADVANCED_FACE('',(#15671),#15664,.T.); +#15679=EDGE_CURVE('',#11248,#11022,#3335,.T.); +#15685=ADVANCED_FACE('',(#15684),#15677,.T.); +#15692=EDGE_CURVE('',#11250,#11024,#3339,.T.); +#15698=ADVANCED_FACE('',(#15697),#15690,.T.); +#15705=EDGE_CURVE('',#11252,#11026,#3343,.T.); +#15711=ADVANCED_FACE('',(#15710),#15703,.T.); +#15718=EDGE_CURVE('',#11254,#11028,#3347,.T.); +#15724=ADVANCED_FACE('',(#15723),#15716,.T.); +#15731=EDGE_CURVE('',#11256,#11030,#3351,.T.); +#15737=ADVANCED_FACE('',(#15736),#15729,.T.); +#15749=ADVANCED_FACE('',(#15748),#15742,.T.); +#15756=EDGE_CURVE('',#11262,#11036,#3359,.T.); +#15759=EDGE_CURVE('',#11280,#11054,#3399,.T.); +#15763=ADVANCED_FACE('',(#15762),#15754,.T.); +#15770=EDGE_CURVE('',#11261,#11035,#3363,.T.); +#15776=ADVANCED_FACE('',(#15775),#15768,.T.); +#15783=EDGE_CURVE('',#11264,#11038,#3367,.T.); +#15789=ADVANCED_FACE('',(#15788),#15781,.T.); +#15796=EDGE_CURVE('',#11266,#11040,#3371,.T.); +#15802=ADVANCED_FACE('',(#15801),#15794,.T.); +#15809=EDGE_CURVE('',#11268,#11042,#3375,.T.); +#15815=ADVANCED_FACE('',(#15814),#15807,.T.); +#15822=EDGE_CURVE('',#11270,#11044,#3379,.T.); +#15828=ADVANCED_FACE('',(#15827),#15820,.T.); +#15835=EDGE_CURVE('',#11272,#11046,#3383,.T.); +#15841=ADVANCED_FACE('',(#15840),#15833,.T.); +#15848=EDGE_CURVE('',#11274,#11048,#3387,.T.); +#15854=ADVANCED_FACE('',(#15853),#15846,.T.); +#15861=EDGE_CURVE('',#11276,#11050,#3391,.T.); +#15867=ADVANCED_FACE('',(#15866),#15859,.T.); +#15874=EDGE_CURVE('',#11278,#11052,#3395,.T.); +#15880=ADVANCED_FACE('',(#15879),#15872,.T.); +#15892=ADVANCED_FACE('',(#15891),#15885,.T.); +#15899=EDGE_CURVE('',#11284,#11058,#3403,.T.); +#15902=EDGE_CURVE('',#11286,#11060,#3411,.T.); +#15906=ADVANCED_FACE('',(#15905),#15897,.T.); +#15913=EDGE_CURVE('',#11283,#11057,#3407,.T.); +#15919=ADVANCED_FACE('',(#15918),#15911,.T.); +#15931=ADVANCED_FACE('',(#15930),#15924,.T.); +#15938=EDGE_CURVE('',#11290,#11064,#3415,.T.); +#15941=EDGE_CURVE('',#11300,#11074,#3439,.T.); +#15945=ADVANCED_FACE('',(#15944),#15936,.T.); +#15952=EDGE_CURVE('',#11289,#11063,#3419,.T.); +#15958=ADVANCED_FACE('',(#15957),#15950,.T.); +#15965=EDGE_CURVE('',#11292,#11066,#3423,.T.); +#15971=ADVANCED_FACE('',(#15970),#15963,.T.); +#15978=EDGE_CURVE('',#11294,#11068,#3427,.T.); +#15984=ADVANCED_FACE('',(#15983),#15976,.T.); +#15991=EDGE_CURVE('',#11296,#11070,#3431,.T.); +#15997=ADVANCED_FACE('',(#15996),#15989,.T.); +#16004=EDGE_CURVE('',#11298,#11072,#3435,.T.); +#16010=ADVANCED_FACE('',(#16009),#16002,.T.); +#16022=ADVANCED_FACE('',(#16021),#16015,.T.); +#16029=EDGE_CURVE('',#11304,#11078,#3443,.T.); +#16032=EDGE_CURVE('',#11414,#11188,#3667,.T.); +#16036=ADVANCED_FACE('',(#16035),#16027,.T.); +#16043=EDGE_CURVE('',#11303,#11077,#3447,.T.); +#16049=ADVANCED_FACE('',(#16048),#16041,.T.); +#16056=EDGE_CURVE('',#11306,#11080,#3451,.T.); +#16062=ADVANCED_FACE('',(#16061),#16054,.T.); +#16069=EDGE_CURVE('',#11308,#11082,#3455,.T.); +#16075=ADVANCED_FACE('',(#16074),#16067,.T.); +#16082=EDGE_CURVE('',#11310,#11084,#3459,.T.); +#16088=ADVANCED_FACE('',(#16087),#16080,.T.); +#16095=EDGE_CURVE('',#11312,#11086,#3463,.T.); +#16101=ADVANCED_FACE('',(#16100),#16093,.T.); +#16108=EDGE_CURVE('',#11314,#11088,#3467,.T.); +#16114=ADVANCED_FACE('',(#16113),#16106,.T.); +#16121=EDGE_CURVE('',#11316,#11090,#3471,.T.); +#16127=ADVANCED_FACE('',(#16126),#16119,.T.); +#16134=EDGE_CURVE('',#11318,#11092,#3475,.T.); +#16140=ADVANCED_FACE('',(#16139),#16132,.T.); +#16147=EDGE_CURVE('',#11320,#11094,#3479,.T.); +#16153=ADVANCED_FACE('',(#16152),#16145,.T.); +#16160=EDGE_CURVE('',#11322,#11096,#3483,.T.); +#16166=ADVANCED_FACE('',(#16165),#16158,.T.); +#16173=EDGE_CURVE('',#11324,#11098,#3487,.T.); +#16179=ADVANCED_FACE('',(#16178),#16171,.T.); +#16186=EDGE_CURVE('',#11326,#11100,#3491,.T.); +#16192=ADVANCED_FACE('',(#16191),#16184,.T.); +#16199=EDGE_CURVE('',#11328,#11102,#3495,.T.); +#16205=ADVANCED_FACE('',(#16204),#16197,.T.); +#16212=EDGE_CURVE('',#11330,#11104,#3499,.T.); +#16218=ADVANCED_FACE('',(#16217),#16210,.T.); +#16225=EDGE_CURVE('',#11332,#11106,#3503,.T.); +#16231=ADVANCED_FACE('',(#16230),#16223,.T.); +#16238=EDGE_CURVE('',#11334,#11108,#3507,.T.); +#16244=ADVANCED_FACE('',(#16243),#16236,.T.); +#16251=EDGE_CURVE('',#11336,#11110,#3511,.T.); +#16257=ADVANCED_FACE('',(#16256),#16249,.T.); +#16264=EDGE_CURVE('',#11338,#11112,#3515,.T.); +#16270=ADVANCED_FACE('',(#16269),#16262,.T.); +#16277=EDGE_CURVE('',#11340,#11114,#3519,.T.); +#16283=ADVANCED_FACE('',(#16282),#16275,.T.); +#16290=EDGE_CURVE('',#11342,#11116,#3523,.T.); +#16296=ADVANCED_FACE('',(#16295),#16288,.T.); +#16303=EDGE_CURVE('',#11344,#11118,#3527,.T.); +#16309=ADVANCED_FACE('',(#16308),#16301,.T.); +#16316=EDGE_CURVE('',#11346,#11120,#3531,.T.); +#16322=ADVANCED_FACE('',(#16321),#16314,.T.); +#16329=EDGE_CURVE('',#11348,#11122,#3535,.T.); +#16335=ADVANCED_FACE('',(#16334),#16327,.T.); +#16342=EDGE_CURVE('',#11350,#11124,#3539,.T.); +#16348=ADVANCED_FACE('',(#16347),#16340,.T.); +#16355=EDGE_CURVE('',#11352,#11126,#3543,.T.); +#16361=ADVANCED_FACE('',(#16360),#16353,.T.); +#16368=EDGE_CURVE('',#11354,#11128,#3547,.T.); +#16374=ADVANCED_FACE('',(#16373),#16366,.T.); +#16381=EDGE_CURVE('',#11356,#11130,#3551,.T.); +#16387=ADVANCED_FACE('',(#16386),#16379,.T.); +#16394=EDGE_CURVE('',#11358,#11132,#3555,.T.); +#16400=ADVANCED_FACE('',(#16399),#16392,.T.); +#16407=EDGE_CURVE('',#11360,#11134,#3559,.T.); +#16413=ADVANCED_FACE('',(#16412),#16405,.T.); +#16420=EDGE_CURVE('',#11362,#11136,#3563,.T.); +#16426=ADVANCED_FACE('',(#16425),#16418,.T.); +#16433=EDGE_CURVE('',#11364,#11138,#3567,.T.); +#16439=ADVANCED_FACE('',(#16438),#16431,.T.); +#16446=EDGE_CURVE('',#11366,#11140,#3571,.T.); +#16452=ADVANCED_FACE('',(#16451),#16444,.T.); +#16459=EDGE_CURVE('',#11368,#11142,#3575,.T.); +#16465=ADVANCED_FACE('',(#16464),#16457,.T.); +#16472=EDGE_CURVE('',#11370,#11144,#3579,.T.); +#16478=ADVANCED_FACE('',(#16477),#16470,.T.); +#16485=EDGE_CURVE('',#11372,#11146,#3583,.T.); +#16491=ADVANCED_FACE('',(#16490),#16483,.T.); +#16498=EDGE_CURVE('',#11374,#11148,#3587,.T.); +#16504=ADVANCED_FACE('',(#16503),#16496,.T.); +#16511=EDGE_CURVE('',#11376,#11150,#3591,.T.); +#16517=ADVANCED_FACE('',(#16516),#16509,.T.); +#16524=EDGE_CURVE('',#11378,#11152,#3595,.T.); +#16530=ADVANCED_FACE('',(#16529),#16522,.T.); +#16537=EDGE_CURVE('',#11380,#11154,#3599,.T.); +#16543=ADVANCED_FACE('',(#16542),#16535,.T.); +#16550=EDGE_CURVE('',#11382,#11156,#3603,.T.); +#16556=ADVANCED_FACE('',(#16555),#16548,.T.); +#16563=EDGE_CURVE('',#11384,#11158,#3607,.T.); +#16569=ADVANCED_FACE('',(#16568),#16561,.T.); +#16576=EDGE_CURVE('',#11386,#11160,#3611,.T.); +#16582=ADVANCED_FACE('',(#16581),#16574,.T.); +#16589=EDGE_CURVE('',#11388,#11162,#3615,.T.); +#16595=ADVANCED_FACE('',(#16594),#16587,.T.); +#16602=EDGE_CURVE('',#11390,#11164,#3619,.T.); +#16608=ADVANCED_FACE('',(#16607),#16600,.T.); +#16615=EDGE_CURVE('',#11392,#11166,#3623,.T.); +#16621=ADVANCED_FACE('',(#16620),#16613,.T.); +#16628=EDGE_CURVE('',#11394,#11168,#3627,.T.); +#16634=ADVANCED_FACE('',(#16633),#16626,.T.); +#16641=EDGE_CURVE('',#11396,#11170,#3631,.T.); +#16647=ADVANCED_FACE('',(#16646),#16639,.T.); +#16654=EDGE_CURVE('',#11398,#11172,#3635,.T.); +#16660=ADVANCED_FACE('',(#16659),#16652,.T.); +#16667=EDGE_CURVE('',#11400,#11174,#3639,.T.); +#16673=ADVANCED_FACE('',(#16672),#16665,.T.); +#16680=EDGE_CURVE('',#11402,#11176,#3643,.T.); +#16686=ADVANCED_FACE('',(#16685),#16678,.T.); +#16693=EDGE_CURVE('',#11404,#11178,#3647,.T.); +#16699=ADVANCED_FACE('',(#16698),#16691,.T.); +#16706=EDGE_CURVE('',#11406,#11180,#3651,.T.); +#16712=ADVANCED_FACE('',(#16711),#16704,.T.); +#16719=EDGE_CURVE('',#11408,#11182,#3655,.T.); +#16725=ADVANCED_FACE('',(#16724),#16717,.T.); +#16732=EDGE_CURVE('',#11410,#11184,#3659,.T.); +#16738=ADVANCED_FACE('',(#16737),#16730,.T.); +#16745=EDGE_CURVE('',#11412,#11186,#3663,.T.); +#16751=ADVANCED_FACE('',(#16750),#16743,.T.); +#16763=ADVANCED_FACE('',(#16762),#16756,.T.); +#16770=EDGE_CURVE('',#11966,#11997,#3684,.T.); +#16772=EDGE_CURVE('',#11966,#11970,#3671,.T.); +#16774=EDGE_CURVE('',#11970,#11998,#3730,.T.); +#16778=ADVANCED_FACE('',(#16777),#16768,.T.); +#16785=EDGE_CURVE('',#11994,#12010,#3675,.T.); +#16787=EDGE_CURVE('',#11994,#11966,#3680,.T.); +#16792=ADVANCED_FACE('',(#16791),#16783,.F.); +#16799=EDGE_CURVE('',#11990,#12008,#3688,.T.); +#16801=EDGE_CURVE('',#11990,#11994,#3692,.T.); +#16806=ADVANCED_FACE('',(#16805),#16797,.T.); +#16813=EDGE_CURVE('',#11986,#12006,#3696,.T.); +#16815=EDGE_CURVE('',#11986,#11990,#3701,.T.); +#16820=ADVANCED_FACE('',(#16819),#16811,.F.); +#16827=EDGE_CURVE('',#11982,#12004,#3705,.T.); +#16829=EDGE_CURVE('',#11982,#11986,#3709,.T.); +#16834=ADVANCED_FACE('',(#16833),#16825,.T.); +#16841=EDGE_CURVE('',#11978,#12002,#3713,.T.); +#16843=EDGE_CURVE('',#11978,#11982,#3718,.T.); +#16848=ADVANCED_FACE('',(#16847),#16839,.F.); +#16855=EDGE_CURVE('',#11974,#12000,#3722,.T.); +#16857=EDGE_CURVE('',#11974,#11978,#3726,.T.); +#16862=ADVANCED_FACE('',(#16861),#16853,.T.); +#16870=EDGE_CURVE('',#11970,#11974,#3735,.T.); +#16875=ADVANCED_FACE('',(#16874),#16867,.F.); +#16881=EDGE_CURVE('',#11969,#11970,#3740,.T.); +#16883=EDGE_CURVE('',#11969,#11973,#3745,.T.); +#16885=EDGE_CURVE('',#11973,#11974,#3807,.T.); +#16890=ADVANCED_FACE('',(#16889),#16880,.T.); +#16896=EDGE_CURVE('',#11965,#11966,#3764,.T.); +#16898=EDGE_CURVE('',#11965,#11969,#3749,.T.); +#16904=ADVANCED_FACE('',(#16903),#16895,.T.); +#16910=EDGE_CURVE('',#11993,#11994,#3754,.T.); +#16912=EDGE_CURVE('',#11993,#11965,#3759,.T.); +#16918=ADVANCED_FACE('',(#16917),#16909,.T.); +#16924=EDGE_CURVE('',#11989,#11990,#3769,.T.); +#16926=EDGE_CURVE('',#11989,#11993,#3773,.T.); +#16932=ADVANCED_FACE('',(#16931),#16923,.T.); +#16938=EDGE_CURVE('',#11985,#11986,#3778,.T.); +#16940=EDGE_CURVE('',#11985,#11989,#3783,.T.); +#16946=ADVANCED_FACE('',(#16945),#16937,.T.); +#16952=EDGE_CURVE('',#11981,#11982,#3788,.T.); +#16954=EDGE_CURVE('',#11981,#11985,#3792,.T.); +#16960=ADVANCED_FACE('',(#16959),#16951,.T.); +#16966=EDGE_CURVE('',#11977,#11978,#3797,.T.); +#16968=EDGE_CURVE('',#11977,#11981,#3802,.T.); +#16974=ADVANCED_FACE('',(#16973),#16965,.T.); +#16981=EDGE_CURVE('',#11973,#11977,#3811,.T.); +#16987=ADVANCED_FACE('',(#16986),#16979,.T.); +#16993=EDGE_CURVE('',#12016,#11973,#3815,.T.); +#16995=EDGE_CURVE('',#12018,#12016,#3819,.T.); +#16997=EDGE_CURVE('',#12018,#11977,#3874,.T.); +#17002=ADVANCED_FACE('',(#17001),#16992,.T.); +#17008=EDGE_CURVE('',#12014,#11969,#3823,.T.); +#17010=EDGE_CURVE('',#12014,#12016,#3828,.T.); +#17016=ADVANCED_FACE('',(#17015),#17007,.T.); +#17022=EDGE_CURVE('',#12012,#11965,#3836,.T.); +#17024=EDGE_CURVE('',#12014,#12012,#3832,.T.); +#17030=ADVANCED_FACE('',(#17029),#17021,.T.); +#17038=EDGE_CURVE('',#12026,#11993,#3840,.T.); +#17040=EDGE_CURVE('',#12026,#12012,#3845,.T.); +#17044=ADVANCED_FACE('',(#17043),#17035,.T.); +#17050=EDGE_CURVE('',#12024,#11989,#3849,.T.); +#17052=EDGE_CURVE('',#12026,#12024,#3853,.T.); +#17058=ADVANCED_FACE('',(#17057),#17049,.T.); +#17064=EDGE_CURVE('',#12022,#11985,#3857,.T.); +#17066=EDGE_CURVE('',#12022,#12024,#3862,.T.); +#17072=ADVANCED_FACE('',(#17071),#17063,.T.); +#17078=EDGE_CURVE('',#12020,#11981,#3866,.T.); +#17080=EDGE_CURVE('',#12022,#12020,#3870,.T.); +#17086=ADVANCED_FACE('',(#17085),#17077,.T.); +#17093=EDGE_CURVE('',#12018,#12020,#3879,.T.); +#17099=ADVANCED_FACE('',(#17098),#17091,.T.); +#17105=EDGE_CURVE('',#12018,#12188,#3889,.T.); +#17107=EDGE_CURVE('',#12186,#12188,#5547,.T.); +#17109=EDGE_CURVE('',#12020,#12186,#3884,.T.); +#17114=ADVANCED_FACE('',(#17113),#17104,.T.); +#17120=EDGE_CURVE('',#12016,#12190,#3894,.T.); +#17122=EDGE_CURVE('',#12188,#12190,#5560,.T.); +#17128=ADVANCED_FACE('',(#17127),#17119,.T.); +#17134=EDGE_CURVE('',#12014,#12192,#3899,.T.); +#17136=EDGE_CURVE('',#12190,#12192,#5573,.T.); +#17142=ADVANCED_FACE('',(#17141),#17133,.T.); +#17148=EDGE_CURVE('',#12012,#12194,#3904,.T.); +#17150=EDGE_CURVE('',#12192,#12194,#4629,.T.); +#17156=ADVANCED_FACE('',(#17155),#17147,.T.); +#17164=EDGE_CURVE('',#12026,#12181,#3909,.T.); +#17166=EDGE_CURVE('',#12194,#12181,#4582,.T.); +#17170=ADVANCED_FACE('',(#17169),#17161,.T.); +#17178=EDGE_CURVE('',#12024,#12182,#3914,.T.); +#17180=EDGE_CURVE('',#12181,#12182,#5835,.T.); +#17184=ADVANCED_FACE('',(#17183),#17175,.T.); +#17190=EDGE_CURVE('',#12022,#12184,#3919,.T.); +#17192=EDGE_CURVE('',#12182,#12184,#5865,.T.); +#17198=ADVANCED_FACE('',(#17197),#17189,.T.); +#17205=EDGE_CURVE('',#12184,#12186,#3957,.T.); +#17211=ADVANCED_FACE('',(#17210),#17203,.T.); +#17217=EDGE_CURVE('',#11858,#12312,#3995,.T.); +#17219=EDGE_CURVE('',#12312,#12251,#3932,.T.); +#17221=EDGE_CURVE('',#12251,#12252,#3936,.T.); +#17223=EDGE_CURVE('',#12252,#12308,#3949,.T.); +#17225=EDGE_CURVE('',#11851,#12308,#3953,.T.); +#17227=EDGE_CURVE('',#11851,#11852,#4407,.T.); +#17229=EDGE_CURVE('',#12184,#11852,#5869,.T.); +#17232=EDGE_CURVE('',#12186,#12209,#3961,.T.); +#17234=EDGE_CURVE('',#12209,#12210,#3965,.T.); +#17236=EDGE_CURVE('',#12210,#12310,#3978,.T.); +#17238=EDGE_CURVE('',#11857,#12310,#3982,.T.); +#17240=EDGE_CURVE('',#11857,#11858,#4384,.T.); +#17244=ADVANCED_FACE('',(#17243),#17216,.T.); +#17250=EDGE_CURVE('',#12217,#12218,#3986,.T.); +#17252=EDGE_CURVE('',#12312,#12217,#3991,.T.); +#17255=EDGE_CURVE('',#11858,#12370,#4380,.T.); +#17257=EDGE_CURVE('',#12462,#12370,#4008,.T.); +#17259=EDGE_CURVE('',#12218,#12462,#4012,.T.); +#17263=ADVANCED_FACE('',(#17262),#17249,.T.); +#17269=EDGE_CURVE('',#12213,#12214,#4024,.T.); +#17271=EDGE_CURVE('',#12213,#12217,#6026,.T.); +#17274=EDGE_CURVE('',#12218,#12214,#4984,.T.); +#17278=ADVANCED_FACE('',(#17277),#17268,.F.); +#17284=EDGE_CURVE('',#12201,#12202,#4016,.T.); +#17286=EDGE_CURVE('',#12213,#12202,#4020,.T.); +#17289=EDGE_CURVE('',#12420,#12214,#4029,.T.); +#17291=EDGE_CURVE('',#12400,#12420,#4974,.T.); +#17293=EDGE_CURVE('',#12400,#11958,#4033,.T.); +#17295=EDGE_CURVE('',#11958,#11884,#4037,.T.); +#17297=EDGE_CURVE('',#11883,#11884,#4041,.T.); +#17299=EDGE_CURVE('',#11883,#11861,#4045,.T.); +#17301=EDGE_CURVE('',#11861,#11862,#4049,.T.); +#17303=EDGE_CURVE('',#11862,#11864,#4053,.T.); +#17305=EDGE_CURVE('',#11864,#11866,#4057,.T.); +#17307=EDGE_CURVE('',#11866,#11868,#4061,.T.); +#17309=EDGE_CURVE('',#11868,#11870,#4065,.T.); +#17311=EDGE_CURVE('',#11870,#11872,#4069,.T.); +#17313=EDGE_CURVE('',#11872,#12402,#4073,.T.); +#17315=EDGE_CURVE('',#12402,#12404,#4077,.T.); +#17317=EDGE_CURVE('',#12404,#12406,#4082,.T.); +#17319=EDGE_CURVE('',#12248,#12406,#4087,.T.); +#17321=EDGE_CURVE('',#12248,#12206,#4091,.T.); +#17323=EDGE_CURVE('',#12205,#12206,#4095,.T.); +#17325=EDGE_CURVE('',#12254,#12205,#4100,.T.); +#17327=EDGE_CURVE('',#12258,#12254,#4104,.T.); +#17329=EDGE_CURVE('',#12234,#12258,#4109,.T.); +#17331=EDGE_CURVE('',#12233,#12234,#4113,.T.); +#17333=EDGE_CURVE('',#12233,#12266,#4117,.T.); +#17335=EDGE_CURVE('',#12416,#12266,#4122,.T.); +#17337=EDGE_CURVE('',#12416,#12412,#4127,.T.); +#17339=EDGE_CURVE('',#12412,#12410,#4131,.T.); +#17341=EDGE_CURVE('',#12410,#10443,#4135,.T.); +#17343=EDGE_CURVE('',#10443,#10444,#4139,.T.); +#17345=EDGE_CURVE('',#10444,#10446,#4143,.T.); +#17347=EDGE_CURVE('',#10446,#10448,#4147,.T.); +#17349=EDGE_CURVE('',#10448,#10450,#4151,.T.); +#17351=EDGE_CURVE('',#10450,#10452,#4155,.T.); +#17353=EDGE_CURVE('',#10452,#10454,#4159,.T.); +#17355=EDGE_CURVE('',#10454,#11880,#4163,.T.); +#17357=EDGE_CURVE('',#11879,#11880,#4167,.T.); +#17359=EDGE_CURVE('',#11879,#10482,#4171,.T.); +#17361=EDGE_CURVE('',#10482,#12399,#4175,.T.); +#17363=EDGE_CURVE('',#12440,#12399,#4711,.T.); +#17365=EDGE_CURVE('',#12225,#12440,#4180,.T.); +#17367=EDGE_CURVE('',#12225,#12226,#4184,.T.); +#17369=EDGE_CURVE('',#12237,#12226,#4188,.T.); +#17371=EDGE_CURVE('',#12237,#12238,#4192,.T.); +#17373=EDGE_CURVE('',#12436,#12238,#4197,.T.); +#17375=EDGE_CURVE('',#12435,#12436,#5826,.T.); +#17377=EDGE_CURVE('',#12278,#12435,#4202,.T.); +#17379=EDGE_CURVE('',#12277,#12278,#4206,.T.); +#17381=EDGE_CURVE('',#12284,#12277,#4211,.T.); +#17383=EDGE_CURVE('',#12286,#12284,#4215,.T.); +#17385=EDGE_CURVE('',#12290,#12286,#4220,.T.); +#17387=EDGE_CURVE('',#12294,#12290,#4224,.T.); +#17389=EDGE_CURVE('',#12428,#12294,#4229,.T.); +#17391=EDGE_CURVE('',#12427,#12428,#5979,.T.); +#17393=EDGE_CURVE('',#12201,#12427,#4234,.T.); +#17397=EDGE_CURVE('',#10440,#12475,#4238,.T.); +#17399=EDGE_CURVE('',#12473,#12475,#7538,.T.); +#17401=EDGE_CURVE('',#12473,#12466,#4242,.T.); +#17403=EDGE_CURVE('',#12469,#12466,#7521,.T.); +#17405=EDGE_CURVE('',#11838,#12469,#4246,.T.); +#17407=EDGE_CURVE('',#11838,#11823,#4250,.T.); +#17409=EDGE_CURVE('',#11823,#11824,#6101,.T.); +#17411=EDGE_CURVE('',#11827,#11824,#6093,.T.); +#17413=EDGE_CURVE('',#11891,#11827,#4254,.T.); +#17415=EDGE_CURVE('',#11895,#11891,#4258,.T.); +#17417=EDGE_CURVE('',#11899,#11895,#4262,.T.); +#17419=EDGE_CURVE('',#11887,#11899,#4266,.T.); +#17421=EDGE_CURVE('',#11887,#10429,#4270,.T.); +#17423=EDGE_CURVE('',#10429,#10425,#4274,.T.); +#17425=EDGE_CURVE('',#10425,#10426,#8490,.T.); +#17427=EDGE_CURVE('',#10440,#10426,#6267,.T.); +#17431=ADVANCED_FACE('',(#17396,#17430),#17283,.T.); +#17437=EDGE_CURVE('',#12197,#12198,#4283,.T.); +#17439=EDGE_CURVE('',#12198,#12202,#6021,.T.); +#17442=EDGE_CURVE('',#12201,#12197,#4279,.T.); +#17446=ADVANCED_FACE('',(#17445),#17436,.F.); +#17453=EDGE_CURVE('',#12197,#12460,#4287,.T.); +#17455=EDGE_CURVE('',#12366,#12460,#4300,.T.); +#17457=EDGE_CURVE('',#12366,#11851,#4411,.T.); +#17460=EDGE_CURVE('',#12198,#12308,#4305,.T.); +#17464=ADVANCED_FACE('',(#17463),#17451,.T.); +#17470=EDGE_CURVE('',#12424,#12395,#4309,.T.); +#17472=EDGE_CURVE('',#12395,#12460,#5994,.T.); +#17475=EDGE_CURVE('',#12197,#12424,#5989,.T.); +#17479=ADVANCED_FACE('',(#17478),#17469,.F.); +#17485=EDGE_CURVE('',#12395,#12396,#4323,.T.); +#17488=EDGE_CURVE('',#12423,#12424,#5974,.T.); +#17490=EDGE_CURVE('',#12423,#12396,#4313,.T.); +#17494=ADVANCED_FACE('',(#17493),#17484,.T.); +#17500=EDGE_CURVE('',#12391,#12392,#4318,.T.); +#17502=EDGE_CURVE('',#12392,#12395,#6011,.T.); +#17505=EDGE_CURVE('',#12396,#12391,#5964,.T.); +#17509=ADVANCED_FACE('',(#17508),#17499,.T.); +#17515=EDGE_CURVE('',#12319,#12320,#4468,.T.); +#17517=EDGE_CURVE('',#12319,#12314,#4328,.T.); +#17519=EDGE_CURVE('',#12314,#11844,#4332,.T.); +#17521=EDGE_CURVE('',#11842,#11844,#4336,.T.); +#17523=EDGE_CURVE('',#11841,#11842,#4341,.T.); +#17525=EDGE_CURVE('',#12316,#11841,#4520,.T.); +#17527=EDGE_CURVE('',#12316,#12320,#4346,.T.); +#17531=ADVANCED_FACE('',(#17530),#17514,.T.); +#17537=EDGE_CURVE('',#12331,#12332,#4351,.T.); +#17539=EDGE_CURVE('',#12334,#12331,#4355,.T.); +#17541=EDGE_CURVE('',#11874,#12334,#5444,.T.); +#17543=EDGE_CURVE('',#11876,#11874,#4359,.T.); +#17545=EDGE_CURVE('',#11876,#10493,#4363,.T.); +#17547=EDGE_CURVE('',#10493,#10494,#4367,.T.); +#17549=EDGE_CURVE('',#10494,#12360,#4371,.T.); +#17551=EDGE_CURVE('',#12386,#12360,#4934,.T.); +#17553=EDGE_CURVE('',#12386,#12370,#4376,.T.); +#17557=EDGE_CURVE('',#12368,#11857,#4388,.T.); +#17559=EDGE_CURVE('',#12368,#12332,#4393,.T.); +#17563=ADVANCED_FACE('',(#17562),#17536,.T.); +#17570=EDGE_CURVE('',#12391,#12376,#4398,.T.); +#17572=EDGE_CURVE('',#11854,#12376,#5892,.T.); +#17574=EDGE_CURVE('',#11852,#11854,#4403,.T.); +#17578=EDGE_CURVE('',#12366,#12392,#4416,.T.); +#17582=ADVANCED_FACE('',(#17581),#17568,.T.); +#17588=EDGE_CURVE('',#12343,#12344,#4420,.T.); +#17590=EDGE_CURVE('',#12346,#12343,#4425,.T.); +#17592=EDGE_CURVE('',#12346,#12350,#4430,.T.); +#17594=EDGE_CURVE('',#12350,#11848,#4434,.T.); +#17596=EDGE_CURVE('',#11847,#11848,#4438,.T.); +#17598=EDGE_CURVE('',#11847,#12352,#4442,.T.); +#17600=EDGE_CURVE('',#12352,#12382,#4447,.T.); +#17602=EDGE_CURVE('',#12358,#12382,#4724,.T.); +#17604=EDGE_CURVE('',#12358,#10489,#4451,.T.); +#17606=EDGE_CURVE('',#10489,#10490,#4455,.T.); +#17608=EDGE_CURVE('',#10490,#10480,#4459,.T.); +#17610=EDGE_CURVE('',#10456,#10480,#4463,.T.); +#17612=EDGE_CURVE('',#12344,#10456,#5682,.T.); +#17616=ADVANCED_FACE('',(#17615),#17587,.T.); +#17623=EDGE_CURVE('',#12320,#12323,#4495,.T.); +#17625=EDGE_CURVE('',#12323,#12324,#4473,.T.); +#17627=EDGE_CURVE('',#12324,#12319,#5793,.T.); +#17631=ADVANCED_FACE('',(#17630),#17621,.T.); +#17638=EDGE_CURVE('',#12316,#12456,#4516,.T.); +#17640=EDGE_CURVE('',#12323,#12456,#4478,.T.); +#17645=ADVANCED_FACE('',(#17644),#17636,.T.); +#17651=EDGE_CURVE('',#12273,#12274,#4499,.T.); +#17653=EDGE_CURVE('',#12274,#12456,#4503,.T.); +#17657=EDGE_CURVE('',#11841,#12300,#4577,.T.); +#17659=EDGE_CURVE('',#12273,#12300,#4531,.T.); +#17663=ADVANCED_FACE('',(#17662),#17650,.T.); +#17670=EDGE_CURVE('',#12273,#12277,#4561,.T.); +#17673=EDGE_CURVE('',#12278,#12274,#4536,.T.); +#17677=ADVANCED_FACE('',(#17676),#17668,.F.); +#17760=EDGE_CURVE('',#12282,#12300,#4573,.T.); +#17762=EDGE_CURVE('',#12277,#12282,#4556,.T.); +#17767=ADVANCED_FACE('',(#17766),#17758,.F.); +#17776=EDGE_CURVE('',#12194,#11842,#4633,.T.); +#17779=EDGE_CURVE('',#12181,#12281,#4586,.T.); +#17781=EDGE_CURVE('',#12281,#12282,#4591,.T.); +#17785=ADVANCED_FACE('',(#17784),#17772,.T.); +#17791=EDGE_CURVE('',#12264,#12304,#4604,.T.); +#17793=EDGE_CURVE('',#11847,#12304,#4608,.T.); +#17796=EDGE_CURVE('',#11848,#12306,#5615,.T.); +#17798=EDGE_CURVE('',#12306,#12229,#4621,.T.); +#17800=EDGE_CURVE('',#12229,#12230,#4625,.T.); +#17802=EDGE_CURVE('',#12192,#12230,#5577,.T.); +#17807=EDGE_CURVE('',#11844,#12302,#5761,.T.); +#17809=EDGE_CURVE('',#12302,#12263,#4646,.T.); +#17811=EDGE_CURVE('',#12263,#12264,#4650,.T.); +#17815=ADVANCED_FACE('',(#17814),#17790,.T.); +#17821=EDGE_CURVE('',#12222,#12304,#4686,.T.); +#17824=EDGE_CURVE('',#12226,#12264,#4655,.T.); +#17826=EDGE_CURVE('',#12222,#12226,#4660,.T.); +#17830=ADVANCED_FACE('',(#17829),#17820,.F.); +#17836=EDGE_CURVE('',#12221,#12222,#4664,.T.); +#17838=EDGE_CURVE('',#12221,#12452,#4668,.T.); +#17840=EDGE_CURVE('',#12352,#12452,#4681,.T.); +#17847=ADVANCED_FACE('',(#17846),#17835,.T.); +#17856=EDGE_CURVE('',#12225,#12221,#4691,.T.); +#17860=ADVANCED_FACE('',(#17859),#17852,.F.); +#17879=EDGE_CURVE('',#12438,#12440,#4696,.T.); +#17881=EDGE_CURVE('',#12221,#12438,#4701,.T.); +#17886=ADVANCED_FACE('',(#17885),#17877,.F.); +#17892=EDGE_CURVE('',#12364,#12438,#4706,.T.); +#17896=EDGE_CURVE('',#12399,#12364,#4782,.T.); +#17900=ADVANCED_FACE('',(#17899),#17891,.F.); +#17906=EDGE_CURVE('',#12384,#12363,#4729,.T.); +#17908=EDGE_CURVE('',#12438,#12384,#4715,.T.); +#17911=EDGE_CURVE('',#12363,#12364,#4719,.T.); +#17915=ADVANCED_FACE('',(#17914),#17905,.T.); +#17922=EDGE_CURVE('',#12382,#12384,#4760,.T.); +#17925=EDGE_CURVE('',#12363,#12358,#4750,.T.); +#17929=ADVANCED_FACE('',(#17928),#17920,.T.); +#17937=EDGE_CURVE('',#12384,#12452,#4755,.T.); +#17942=ADVANCED_FACE('',(#17941),#17934,.T.); +#17954=ADVANCED_FACE('',(#17953),#17947,.F.); +#17962=EDGE_CURVE('',#12363,#10485,#4764,.T.); +#17964=EDGE_CURVE('',#10485,#10486,#5232,.T.); +#17966=EDGE_CURVE('',#10486,#10489,#5215,.T.); +#17970=ADVANCED_FACE('',(#17969),#17959,.T.); +#17980=EDGE_CURVE('',#10482,#10478,#4786,.T.); +#17982=EDGE_CURVE('',#10478,#10459,#5268,.T.); +#17984=EDGE_CURVE('',#10497,#10459,#5256,.T.); +#17986=EDGE_CURVE('',#10497,#10485,#5236,.T.); +#17990=ADVANCED_FACE('',(#17989),#17975,.T.); +#17997=EDGE_CURVE('',#11879,#10476,#4790,.T.); +#17999=EDGE_CURVE('',#10476,#10478,#5272,.T.); +#18004=ADVANCED_FACE('',(#18003),#17995,.T.); +#18012=EDGE_CURVE('',#10474,#11880,#4794,.T.); +#18014=EDGE_CURVE('',#10474,#10476,#5276,.T.); +#18018=ADVANCED_FACE('',(#18017),#18009,.T.); +#18026=EDGE_CURVE('',#10454,#10472,#4798,.T.); +#18028=EDGE_CURVE('',#10472,#10474,#5280,.T.); +#18032=ADVANCED_FACE('',(#18031),#18023,.T.); +#18038=EDGE_CURVE('',#10452,#10470,#4802,.T.); +#18040=EDGE_CURVE('',#10470,#10472,#5284,.T.); +#18046=ADVANCED_FACE('',(#18045),#18037,.T.); +#18052=EDGE_CURVE('',#12097,#12098,#4806,.T.); +#18054=EDGE_CURVE('',#12098,#10468,#4810,.T.); +#18056=EDGE_CURVE('',#10468,#10470,#5288,.T.); +#18060=EDGE_CURVE('',#10450,#12097,#4814,.T.); +#18064=ADVANCED_FACE('',(#18063),#18051,.T.); +#18070=EDGE_CURVE('',#12112,#12102,#4818,.T.); +#18072=EDGE_CURVE('',#12112,#11954,#4822,.T.); +#18074=EDGE_CURVE('',#11954,#11956,#5316,.T.); +#18076=EDGE_CURVE('',#11876,#11956,#5408,.T.); +#18079=EDGE_CURVE('',#11874,#11872,#5453,.T.); +#18082=EDGE_CURVE('',#11870,#12114,#4826,.T.); +#18084=EDGE_CURVE('',#12101,#12114,#4830,.T.); +#18086=EDGE_CURVE('',#12101,#12102,#4866,.T.); +#18090=ADVANCED_FACE('',(#18089),#18069,.T.); +#18096=EDGE_CURVE('',#12089,#10462,#5380,.T.); +#18098=EDGE_CURVE('',#12098,#12089,#4834,.T.); +#18101=EDGE_CURVE('',#12085,#12097,#4838,.T.); +#18103=EDGE_CURVE('',#10444,#12085,#5372,.T.); +#18106=EDGE_CURVE('',#10456,#10443,#4842,.T.); +#18109=EDGE_CURVE('',#10480,#10460,#4846,.T.); +#18111=EDGE_CURVE('',#10460,#10462,#5304,.T.); +#18115=ADVANCED_FACE('',(#18114),#18095,.T.); +#18121=EDGE_CURVE('',#12102,#12104,#4850,.T.); +#18123=EDGE_CURVE('',#12104,#12108,#5428,.T.); +#18125=EDGE_CURVE('',#12108,#12112,#5412,.T.); +#18130=ADVANCED_FACE('',(#18129),#18120,.F.); +#18137=EDGE_CURVE('',#12102,#11948,#4854,.T.); +#18139=EDGE_CURVE('',#11948,#11950,#5328,.T.); +#18141=EDGE_CURVE('',#12104,#11950,#5432,.T.); +#18145=ADVANCED_FACE('',(#18144),#18135,.T.); +#18151=EDGE_CURVE('',#12101,#12106,#4858,.T.); +#18153=EDGE_CURVE('',#11866,#12106,#5440,.T.); +#18156=EDGE_CURVE('',#11864,#12101,#4862,.T.); +#18160=ADVANCED_FACE('',(#18159),#18150,.T.); +#18169=EDGE_CURVE('',#11862,#11946,#4870,.T.); +#18171=EDGE_CURVE('',#11946,#11948,#5332,.T.); +#18176=ADVANCED_FACE('',(#18175),#18165,.T.); +#18182=EDGE_CURVE('',#11861,#11944,#4874,.T.); +#18184=EDGE_CURVE('',#11944,#11946,#5336,.T.); +#18190=ADVANCED_FACE('',(#18189),#18181,.T.); +#18197=EDGE_CURVE('',#11942,#11883,#4878,.T.); +#18199=EDGE_CURVE('',#11942,#11944,#5340,.T.); +#18204=ADVANCED_FACE('',(#18203),#18195,.T.); +#18212=EDGE_CURVE('',#11884,#11940,#4882,.T.); +#18214=EDGE_CURVE('',#11940,#11942,#5344,.T.); +#18218=ADVANCED_FACE('',(#18217),#18209,.T.); +#18226=EDGE_CURVE('',#11958,#11938,#4886,.T.); +#18228=EDGE_CURVE('',#11938,#11940,#5348,.T.); +#18232=ADVANCED_FACE('',(#18231),#18223,.T.); +#18238=EDGE_CURVE('',#12398,#12379,#4921,.T.); +#18240=EDGE_CURVE('',#12442,#12398,#4891,.T.); +#18242=EDGE_CURVE('',#12442,#11961,#5248,.T.); +#18244=EDGE_CURVE('',#11961,#11937,#4895,.T.); +#18246=EDGE_CURVE('',#11937,#11938,#5308,.T.); +#18250=EDGE_CURVE('',#12380,#12400,#4913,.T.); +#18252=EDGE_CURVE('',#12379,#12380,#4917,.T.); +#18256=ADVANCED_FACE('',(#18255),#18237,.T.); +#18263=EDGE_CURVE('',#12360,#12379,#4955,.T.); +#18266=EDGE_CURVE('',#12444,#10494,#4925,.T.); +#18268=EDGE_CURVE('',#12444,#12398,#4929,.T.); +#18272=ADVANCED_FACE('',(#18271),#18261,.T.); +#18280=EDGE_CURVE('',#12379,#12388,#4960,.T.); +#18282=EDGE_CURVE('',#12388,#12386,#4994,.T.); +#18286=ADVANCED_FACE('',(#18285),#18277,.T.); +#18294=EDGE_CURVE('',#12418,#12380,#4969,.T.); +#18296=EDGE_CURVE('',#12418,#12388,#4964,.T.); +#18300=ADVANCED_FACE('',(#18299),#18291,.T.); +#18309=EDGE_CURVE('',#12420,#12418,#4979,.T.); +#18313=ADVANCED_FACE('',(#18312),#18305,.F.); +#18333=EDGE_CURVE('',#12418,#12218,#4989,.T.); +#18338=ADVANCED_FACE('',(#18337),#18330,.F.); +#18345=EDGE_CURVE('',#12462,#12388,#4999,.T.); +#18351=ADVANCED_FACE('',(#18350),#18343,.F.); +#18363=ADVANCED_FACE('',(#18362),#18356,.T.); +#18369=EDGE_CURVE('',#12444,#12446,#5013,.T.); +#18373=EDGE_CURVE('',#10493,#11962,#5404,.T.); +#18375=EDGE_CURVE('',#11962,#12446,#5244,.T.); +#18379=EDGE_CURVE('',#10505,#10506,#5004,.T.); +#18381=EDGE_CURVE('',#10506,#10505,#5008,.T.); +#18385=ADVANCED_FACE('',(#18378,#18384),#18368,.T.); +#18391=EDGE_CURVE('',#10514,#10540,#5017,.T.); +#18393=EDGE_CURVE('',#10540,#11916,#5086,.T.); +#18395=EDGE_CURVE('',#11916,#10542,#5063,.T.); +#18397=EDGE_CURVE('',#10542,#10513,#5021,.T.); +#18399=EDGE_CURVE('',#10506,#10513,#5120,.T.); +#18402=EDGE_CURVE('',#10505,#10514,#5025,.T.); +#18406=ADVANCED_FACE('',(#18405),#18390,.T.); +#18412=EDGE_CURVE('',#10528,#10538,#5029,.T.); +#18414=EDGE_CURVE('',#10540,#10538,#5039,.T.); +#18417=EDGE_CURVE('',#10528,#10514,#5104,.T.); +#18421=ADVANCED_FACE('',(#18420),#18411,.T.); +#18427=EDGE_CURVE('',#10526,#10536,#5043,.T.); +#18429=EDGE_CURVE('',#10536,#11914,#5076,.T.); +#18431=EDGE_CURVE('',#11914,#10538,#5081,.T.); +#18434=EDGE_CURVE('',#10528,#10526,#5048,.T.); +#18438=ADVANCED_FACE('',(#18437),#18426,.T.); +#18445=EDGE_CURVE('',#10536,#10542,#5058,.T.); +#18448=EDGE_CURVE('',#10513,#10526,#5100,.T.); +#18452=ADVANCED_FACE('',(#18451),#18443,.T.); +#18460=EDGE_CURVE('',#11916,#11911,#5067,.T.); +#18462=EDGE_CURVE('',#11911,#11912,#5091,.T.); +#18464=EDGE_CURVE('',#11914,#11912,#5071,.T.); +#18469=ADVANCED_FACE('',(#18468),#18457,.T.); +#18478=EDGE_CURVE('',#11911,#11912,#5096,.T.); +#18484=ADVANCED_FACE('',(#18483),#18474,.T.); +#18494=ADVANCED_FACE('',(#18493),#18489,.F.); +#18500=EDGE_CURVE('',#10513,#10514,#5116,.T.); +#18507=ADVANCED_FACE('',(#18506),#18499,.F.); +#18513=EDGE_CURVE('',#10517,#10518,#5124,.T.); +#18515=EDGE_CURVE('',#10517,#10522,#5108,.T.); +#18517=EDGE_CURVE('',#10524,#10522,#5159,.T.); +#18519=EDGE_CURVE('',#10524,#10518,#5112,.T.); +#18523=ADVANCED_FACE('',(#18522),#18512,.F.); +#18535=ADVANCED_FACE('',(#18534),#18528,.T.); +#18542=EDGE_CURVE('',#10502,#10518,#5140,.T.); +#18544=EDGE_CURVE('',#10501,#10502,#5219,.T.); +#18546=EDGE_CURVE('',#10501,#10517,#5128,.T.); +#18550=ADVANCED_FACE('',(#18549),#18540,.T.); +#18556=EDGE_CURVE('',#10518,#10533,#5132,.T.); +#18558=EDGE_CURVE('',#10533,#10532,#5201,.T.); +#18560=EDGE_CURVE('',#10532,#10530,#5168,.T.); +#18562=EDGE_CURVE('',#10530,#10517,#5136,.T.); +#18565=EDGE_CURVE('',#10502,#10501,#5224,.T.); +#18570=ADVANCED_FACE('',(#18569),#18555,.T.); +#18576=EDGE_CURVE('',#10524,#10534,#5144,.T.); +#18578=EDGE_CURVE('',#10533,#10534,#5154,.T.); +#18584=ADVANCED_FACE('',(#18583),#18575,.T.); +#18590=EDGE_CURVE('',#10529,#10520,#5173,.T.); +#18592=EDGE_CURVE('',#10520,#10534,#5188,.T.); +#18596=EDGE_CURVE('',#10522,#10529,#5163,.T.); +#18600=ADVANCED_FACE('',(#18599),#18589,.T.); +#18606=EDGE_CURVE('',#10529,#10530,#5183,.T.); +#18609=EDGE_CURVE('',#10532,#10510,#5196,.T.); +#18611=EDGE_CURVE('',#10509,#10510,#5211,.T.); +#18613=EDGE_CURVE('',#10520,#10509,#5192,.T.); +#18618=ADVANCED_FACE('',(#18617),#18605,.T.); +#18630=ADVANCED_FACE('',(#18629),#18623,.T.); +#18639=EDGE_CURVE('',#10509,#10510,#5206,.T.); +#18645=ADVANCED_FACE('',(#18644),#18635,.T.); +#18655=ADVANCED_FACE('',(#18654),#18650,.F.); +#18663=EDGE_CURVE('',#10486,#10498,#5228,.T.); +#18665=EDGE_CURVE('',#10490,#10498,#5260,.T.); +#18673=ADVANCED_FACE('',(#18668,#18672),#18660,.T.); +#18679=EDGE_CURVE('',#10497,#10498,#5252,.T.); +#18686=ADVANCED_FACE('',(#18685),#18678,.T.); +#18692=EDGE_CURVE('',#12446,#12442,#5240,.T.); +#18695=EDGE_CURVE('',#11961,#11962,#5400,.T.); +#18700=ADVANCED_FACE('',(#18699),#18691,.T.); +#18708=EDGE_CURVE('',#10459,#10460,#5264,.T.); +#18715=ADVANCED_FACE('',(#18714),#18705,.T.); +#18728=EDGE_CURVE('',#10466,#10468,#5292,.T.); +#18730=EDGE_CURVE('',#10464,#10466,#5296,.T.); +#18732=EDGE_CURVE('',#10462,#10464,#5300,.T.); +#18737=ADVANCED_FACE('',(#18736),#18720,.T.); +#18744=EDGE_CURVE('',#11956,#11937,#5312,.T.); +#18747=EDGE_CURVE('',#11952,#11954,#5320,.T.); +#18749=EDGE_CURVE('',#11950,#11952,#5324,.T.); +#18759=ADVANCED_FACE('',(#18758),#18742,.T.); +#18765=EDGE_CURVE('',#12092,#12097,#5352,.T.); +#18769=EDGE_CURVE('',#10448,#12092,#5356,.T.); +#18773=ADVANCED_FACE('',(#18772),#18764,.T.); +#18779=EDGE_CURVE('',#12094,#12098,#5360,.T.); +#18781=EDGE_CURVE('',#12094,#10466,#5364,.T.); +#18787=ADVANCED_FACE('',(#18786),#18778,.T.); +#18793=EDGE_CURVE('',#12085,#12086,#5368,.T.); +#18797=EDGE_CURVE('',#12086,#12092,#5384,.T.); +#18801=ADVANCED_FACE('',(#18800),#18792,.F.); +#18808=EDGE_CURVE('',#10446,#12086,#5388,.T.); +#18814=ADVANCED_FACE('',(#18813),#18806,.T.); +#18820=EDGE_CURVE('',#12089,#12090,#5376,.T.); +#18824=EDGE_CURVE('',#12090,#10464,#5396,.T.); +#18828=ADVANCED_FACE('',(#18827),#18819,.T.); +#18840=ADVANCED_FACE('',(#18839),#18833,.T.); +#18846=EDGE_CURVE('',#12090,#12094,#5392,.T.); +#18853=ADVANCED_FACE('',(#18852),#18845,.T.); +#18865=ADVANCED_FACE('',(#18864),#18858,.F.); +#18879=ADVANCED_FACE('',(#18878),#18870,.T.); +#18886=EDGE_CURVE('',#12108,#11952,#5416,.T.); +#18892=ADVANCED_FACE('',(#18891),#18884,.T.); +#18898=EDGE_CURVE('',#12110,#12114,#5420,.T.); +#18902=EDGE_CURVE('',#11868,#12110,#5424,.T.); +#18906=ADVANCED_FACE('',(#18905),#18897,.T.); +#18918=ADVANCED_FACE('',(#18917),#18911,.T.); +#18924=EDGE_CURVE('',#12106,#12110,#5436,.T.); +#18931=ADVANCED_FACE('',(#18930),#18923,.T.); +#18943=ADVANCED_FACE('',(#18942),#18936,.F.); +#18955=ADVANCED_FACE('',(#18954),#18948,.T.); +#18962=EDGE_CURVE('',#12336,#12334,#5458,.T.); +#18964=EDGE_CURVE('',#12336,#12372,#5738,.T.); +#18966=EDGE_CURVE('',#12402,#12372,#5449,.T.); +#18972=ADVANCED_FACE('',(#18971),#18960,.T.); +#18979=EDGE_CURVE('',#12331,#12327,#5463,.T.); +#18981=EDGE_CURVE('',#12336,#12327,#5730,.T.); +#18986=ADVANCED_FACE('',(#18985),#18977,.T.); +#18992=EDGE_CURVE('',#12327,#12328,#5468,.T.); +#18996=EDGE_CURVE('',#12332,#12328,#5491,.T.); +#19000=ADVANCED_FACE('',(#18999),#18991,.T.); +#19007=EDGE_CURVE('',#12408,#12328,#5472,.T.); +#19009=EDGE_CURVE('',#12374,#12408,#5477,.T.); +#19011=EDGE_CURVE('',#12327,#12374,#5481,.T.); +#19015=ADVANCED_FACE('',(#19014),#19005,.T.); +#19022=EDGE_CURVE('',#12328,#12448,#5486,.T.); +#19024=EDGE_CURVE('',#12245,#12448,#5499,.T.); +#19026=EDGE_CURVE('',#12245,#12408,#5721,.T.); +#19030=ADVANCED_FACE('',(#19029),#19020,.F.); +#19037=EDGE_CURVE('',#12368,#12448,#5512,.T.); +#19043=ADVANCED_FACE('',(#19042),#19035,.T.); +#19049=EDGE_CURVE('',#12245,#12246,#5495,.T.); +#19055=EDGE_CURVE('',#12246,#12310,#5517,.T.); +#19059=ADVANCED_FACE('',(#19058),#19048,.T.); +#19066=EDGE_CURVE('',#12246,#12206,#5532,.T.); +#19069=EDGE_CURVE('',#12248,#12245,#5522,.T.); +#19073=ADVANCED_FACE('',(#19072),#19064,.F.); +#19081=EDGE_CURVE('',#12206,#12210,#5527,.T.); +#19086=ADVANCED_FACE('',(#19085),#19078,.F.); +#19095=EDGE_CURVE('',#12205,#12209,#5537,.T.); +#19099=ADVANCED_FACE('',(#19098),#19091,.T.); +#19107=EDGE_CURVE('',#12256,#12209,#5556,.T.); +#19109=EDGE_CURVE('',#12254,#12256,#5542,.T.); +#19113=ADVANCED_FACE('',(#19112),#19104,.T.); +#19120=EDGE_CURVE('',#12188,#12256,#5551,.T.); +#19126=ADVANCED_FACE('',(#19125),#19118,.T.); +#19133=EDGE_CURVE('',#12190,#12260,#5564,.T.); +#19135=EDGE_CURVE('',#12260,#12256,#5568,.T.); +#19140=ADVANCED_FACE('',(#19139),#19131,.T.); +#19148=EDGE_CURVE('',#12230,#12260,#5582,.T.); +#19153=ADVANCED_FACE('',(#19152),#19145,.T.); +#19160=EDGE_CURVE('',#12258,#12260,#5592,.T.); +#19163=EDGE_CURVE('',#12234,#12230,#5587,.T.); +#19167=ADVANCED_FACE('',(#19166),#19158,.T.); +#19179=ADVANCED_FACE('',(#19178),#19172,.T.); +#19186=EDGE_CURVE('',#12229,#12233,#5602,.T.); +#19192=ADVANCED_FACE('',(#19191),#19184,.T.); +#19198=EDGE_CURVE('',#12306,#12269,#5611,.T.); +#19200=EDGE_CURVE('',#12233,#12269,#5597,.T.); +#19206=ADVANCED_FACE('',(#19205),#19197,.F.); +#19212=EDGE_CURVE('',#12269,#12270,#5606,.T.); +#19217=EDGE_CURVE('',#12450,#12350,#5628,.T.); +#19219=EDGE_CURVE('',#12270,#12450,#5632,.T.); +#19223=ADVANCED_FACE('',(#19222),#19211,.T.); +#19232=EDGE_CURVE('',#12270,#12266,#5637,.T.); +#19236=ADVANCED_FACE('',(#19235),#19228,.F.); +#19256=EDGE_CURVE('',#12414,#12270,#5642,.T.); +#19258=EDGE_CURVE('',#12416,#12414,#5697,.T.); +#19262=ADVANCED_FACE('',(#19261),#19253,.F.); +#19269=EDGE_CURVE('',#12450,#12348,#5652,.T.); +#19271=EDGE_CURVE('',#12414,#12348,#5711,.T.); +#19276=ADVANCED_FACE('',(#19275),#19267,.F.); +#19283=EDGE_CURVE('',#12348,#12346,#5647,.T.); +#19289=ADVANCED_FACE('',(#19288),#19281,.T.); +#19296=EDGE_CURVE('',#12343,#12339,#5657,.T.); +#19298=EDGE_CURVE('',#12348,#12339,#5702,.T.); +#19303=ADVANCED_FACE('',(#19302),#19294,.T.); +#19309=EDGE_CURVE('',#12339,#12340,#5666,.T.); +#19313=EDGE_CURVE('',#12344,#12340,#5662,.T.); +#19317=ADVANCED_FACE('',(#19316),#19308,.T.); +#19324=EDGE_CURVE('',#12340,#12356,#5678,.T.); +#19326=EDGE_CURVE('',#12354,#12356,#5670,.T.); +#19328=EDGE_CURVE('',#12339,#12354,#5674,.T.); +#19332=ADVANCED_FACE('',(#19331),#19322,.T.); +#19343=EDGE_CURVE('',#12356,#12410,#5687,.T.); +#19347=ADVANCED_FACE('',(#19346),#19337,.T.); +#19355=EDGE_CURVE('',#12412,#12354,#5692,.T.); +#19360=ADVANCED_FACE('',(#19359),#19352,.F.); +#19366=EDGE_CURVE('',#12414,#12354,#5707,.T.); +#19373=ADVANCED_FACE('',(#19372),#19365,.F.); +#19385=ADVANCED_FACE('',(#19384),#19378,.T.); +#19404=EDGE_CURVE('',#12408,#12406,#5716,.T.); +#19410=ADVANCED_FACE('',(#19409),#19402,.F.); +#19417=EDGE_CURVE('',#12404,#12374,#5726,.T.); +#19423=ADVANCED_FACE('',(#19422),#19415,.F.); +#19430=EDGE_CURVE('',#12372,#12374,#5734,.T.); +#19436=ADVANCED_FACE('',(#19435),#19428,.F.); +#19448=ADVANCED_FACE('',(#19447),#19441,.T.); +#19457=EDGE_CURVE('',#12263,#12237,#5748,.T.); +#19461=ADVANCED_FACE('',(#19460),#19453,.T.); +#19467=EDGE_CURVE('',#12302,#12241,#5757,.T.); +#19469=EDGE_CURVE('',#12237,#12241,#5743,.T.); +#19475=ADVANCED_FACE('',(#19474),#19466,.F.); +#19481=EDGE_CURVE('',#12241,#12242,#5752,.T.); +#19486=EDGE_CURVE('',#12454,#12314,#5774,.T.); +#19488=EDGE_CURVE('',#12242,#12454,#5778,.T.); +#19492=ADVANCED_FACE('',(#19491),#19480,.T.); +#19501=EDGE_CURVE('',#12242,#12238,#5783,.T.); +#19505=ADVANCED_FACE('',(#19504),#19497,.F.); +#19525=EDGE_CURVE('',#12431,#12242,#5788,.T.); +#19527=EDGE_CURVE('',#12436,#12431,#5831,.T.); +#19531=ADVANCED_FACE('',(#19530),#19522,.F.); +#19538=EDGE_CURVE('',#12454,#12324,#5798,.T.); +#19540=EDGE_CURVE('',#12431,#12324,#5806,.T.); +#19545=ADVANCED_FACE('',(#19544),#19536,.F.); +#19557=ADVANCED_FACE('',(#19556),#19550,.T.); +#19564=EDGE_CURVE('',#12432,#12323,#5802,.T.); +#19566=EDGE_CURVE('',#12431,#12432,#5821,.T.); +#19571=ADVANCED_FACE('',(#19570),#19562,.T.); +#19580=EDGE_CURVE('',#12274,#12432,#5816,.T.); +#19584=ADVANCED_FACE('',(#19583),#19576,.F.); +#19603=EDGE_CURVE('',#12432,#12435,#5811,.T.); +#19609=ADVANCED_FACE('',(#19608),#19601,.F.); +#19621=ADVANCED_FACE('',(#19620),#19614,.F.); +#19628=EDGE_CURVE('',#12182,#12288,#5839,.T.); +#19630=EDGE_CURVE('',#12288,#12281,#5843,.T.); +#19635=ADVANCED_FACE('',(#19634),#19626,.T.); +#19641=EDGE_CURVE('',#11854,#12299,#5888,.T.); +#19643=EDGE_CURVE('',#12299,#12292,#5855,.T.); +#19645=EDGE_CURVE('',#12292,#12288,#5860,.T.); +#19653=ADVANCED_FACE('',(#19652),#19640,.T.); +#19659=EDGE_CURVE('',#12297,#12298,#5873,.T.); +#19661=EDGE_CURVE('',#12299,#12298,#5884,.T.); +#19665=EDGE_CURVE('',#12458,#12376,#5905,.T.); +#19667=EDGE_CURVE('',#12297,#12458,#5909,.T.); +#19671=ADVANCED_FACE('',(#19670),#19658,.T.); +#19678=EDGE_CURVE('',#12290,#12298,#5919,.T.); +#19681=EDGE_CURVE('',#12297,#12294,#5914,.T.); +#19685=ADVANCED_FACE('',(#19684),#19676,.F.); +#19769=EDGE_CURVE('',#12292,#12290,#5939,.T.); +#19774=ADVANCED_FACE('',(#19773),#19766,.F.); +#19781=EDGE_CURVE('',#12286,#12288,#5944,.T.); +#19787=ADVANCED_FACE('',(#19786),#19779,.T.); +#19794=EDGE_CURVE('',#12284,#12281,#5949,.T.); +#19800=ADVANCED_FACE('',(#19799),#19792,.T.); +#19812=ADVANCED_FACE('',(#19811),#19805,.T.); +#19820=EDGE_CURVE('',#12423,#12297,#5954,.T.); +#19822=EDGE_CURVE('',#12428,#12423,#5959,.T.); +#19826=ADVANCED_FACE('',(#19825),#19817,.F.); +#19833=EDGE_CURVE('',#12458,#12396,#5969,.T.); +#19839=ADVANCED_FACE('',(#19838),#19831,.F.); +#19851=ADVANCED_FACE('',(#19850),#19844,.T.); +#19858=EDGE_CURVE('',#12424,#12427,#5984,.T.); +#19864=ADVANCED_FACE('',(#19863),#19856,.F.); +#19888=ADVANCED_FACE('',(#19887),#19881,.F.); +#19900=ADVANCED_FACE('',(#19899),#19893,.T.); +#19908=EDGE_CURVE('',#12202,#12252,#6016,.T.); +#19913=ADVANCED_FACE('',(#19912),#19905,.F.); +#19920=EDGE_CURVE('',#12251,#12213,#6031,.T.); +#19926=ADVANCED_FACE('',(#19925),#19918,.T.); +#19938=ADVANCED_FACE('',(#19937),#19931,.F.); +#19944=EDGE_CURVE('',#11887,#11888,#6035,.T.); +#19946=EDGE_CURVE('',#11888,#10430,#6039,.T.); +#19948=EDGE_CURVE('',#10429,#10430,#6043,.T.); +#19953=ADVANCED_FACE('',(#19952),#19943,.F.); +#19959=EDGE_CURVE('',#12475,#12470,#6047,.T.); +#19962=EDGE_CURVE('',#10417,#10440,#6263,.T.); +#19964=EDGE_CURVE('',#10417,#10418,#6052,.T.); +#19966=EDGE_CURVE('',#10418,#12470,#6056,.T.); +#19970=ADVANCED_FACE('',(#19969),#19958,.F.); +#19978=EDGE_CURVE('',#11899,#11900,#6064,.T.); +#19980=EDGE_CURVE('',#11900,#11904,#8534,.T.); +#19982=EDGE_CURVE('',#11904,#11908,#8522,.T.); +#19984=EDGE_CURVE('',#11908,#11888,#8510,.T.); +#19988=ADVANCED_FACE('',(#19987),#19975,.F.); +#19994=EDGE_CURVE('',#11895,#11896,#6060,.T.); +#19996=EDGE_CURVE('',#11900,#11896,#8538,.T.); +#20002=ADVANCED_FACE('',(#20001),#19993,.T.); +#20008=EDGE_CURVE('',#11891,#11892,#6068,.T.); +#20010=EDGE_CURVE('',#11906,#11892,#8498,.T.); +#20012=EDGE_CURVE('',#11902,#11906,#8518,.T.); +#20014=EDGE_CURVE('',#11896,#11902,#8530,.T.); +#20020=ADVANCED_FACE('',(#20019),#20007,.F.); +#20028=EDGE_CURVE('',#11827,#11828,#6072,.T.); +#20030=EDGE_CURVE('',#11892,#11828,#6076,.T.); +#20034=ADVANCED_FACE('',(#20033),#20025,.T.); +#20040=EDGE_CURVE('',#12467,#12469,#6080,.T.); +#20042=EDGE_CURVE('',#10422,#12467,#6084,.T.); +#20044=EDGE_CURVE('',#10421,#10422,#6089,.T.); +#20046=EDGE_CURVE('',#11838,#10421,#6259,.T.); +#20051=ADVANCED_FACE('',(#20050),#20039,.T.); +#20059=EDGE_CURVE('',#11824,#11830,#6097,.T.); +#20061=EDGE_CURVE('',#11828,#11830,#8502,.T.); +#20065=ADVANCED_FACE('',(#20064),#20056,.F.); +#20071=EDGE_CURVE('',#11830,#11832,#6109,.T.); +#20075=EDGE_CURVE('',#11836,#11823,#6105,.T.); +#20077=EDGE_CURVE('',#11834,#11836,#6243,.T.); +#20079=EDGE_CURVE('',#11832,#11834,#6231,.T.); +#20083=ADVANCED_FACE('',(#20082),#20070,.T.); +#20090=EDGE_CURVE('',#10434,#11832,#6239,.T.); +#20092=EDGE_CURVE('',#10432,#10434,#6113,.T.); +#20094=EDGE_CURVE('',#10432,#11830,#6117,.T.); +#20098=EDGE_CURVE('',#11585,#11586,#6122,.T.); +#20100=EDGE_CURVE('',#11586,#11585,#6127,.T.); +#20104=EDGE_CURVE('',#11589,#11590,#6132,.T.); +#20106=EDGE_CURVE('',#11590,#11589,#6137,.T.); +#20110=EDGE_CURVE('',#11593,#11594,#6142,.T.); +#20112=EDGE_CURVE('',#11594,#11593,#6147,.T.); +#20116=EDGE_CURVE('',#11597,#11598,#6152,.T.); +#20118=EDGE_CURVE('',#11598,#11597,#6157,.T.); +#20122=EDGE_CURVE('',#11601,#11602,#6162,.T.); +#20124=EDGE_CURVE('',#11602,#11601,#6167,.T.); +#20128=EDGE_CURVE('',#11605,#11606,#6172,.T.); +#20130=EDGE_CURVE('',#11606,#11605,#6177,.T.); +#20134=EDGE_CURVE('',#11609,#11610,#6182,.T.); +#20136=EDGE_CURVE('',#11610,#11609,#6187,.T.); +#20140=EDGE_CURVE('',#11613,#11614,#6192,.T.); +#20142=EDGE_CURVE('',#11614,#11613,#6197,.T.); +#20146=EDGE_CURVE('',#11617,#11618,#6202,.T.); +#20148=EDGE_CURVE('',#11618,#11617,#6207,.T.); +#20152=EDGE_CURVE('',#11621,#11622,#6212,.T.); +#20154=EDGE_CURVE('',#11622,#11621,#6217,.T.); +#20158=EDGE_CURVE('',#11625,#11626,#6222,.T.); +#20160=EDGE_CURVE('',#11626,#11625,#6227,.T.); +#20164=ADVANCED_FACE('',(#20097,#20103,#20109,#20115,#20121,#20127,#20133, +#20139,#20145,#20151,#20157,#20163),#20088,.T.); +#20171=EDGE_CURVE('',#10436,#11834,#6251,.T.); +#20173=EDGE_CURVE('',#10434,#10436,#6235,.T.); +#20178=ADVANCED_FACE('',(#20177),#20169,.T.); +#20185=EDGE_CURVE('',#10438,#11836,#6255,.T.); +#20187=EDGE_CURVE('',#10436,#10438,#6247,.T.); +#20192=ADVANCED_FACE('',(#20191),#20183,.T.); +#20202=EDGE_CURVE('',#10417,#10421,#7159,.T.); +#20206=EDGE_CURVE('',#10426,#10438,#8486,.T.); +#20210=EDGE_CURVE('',#11417,#11418,#6272,.T.); +#20212=EDGE_CURVE('',#11418,#11417,#6277,.T.); +#20216=EDGE_CURVE('',#11427,#11428,#6282,.T.); +#20218=EDGE_CURVE('',#11428,#11427,#6287,.T.); +#20222=EDGE_CURVE('',#11437,#11438,#6292,.T.); +#20224=EDGE_CURVE('',#11438,#11437,#6297,.T.); +#20228=EDGE_CURVE('',#11447,#11448,#6302,.T.); +#20230=EDGE_CURVE('',#11448,#11447,#6307,.T.); +#20234=EDGE_CURVE('',#11457,#11458,#6312,.T.); +#20236=EDGE_CURVE('',#11458,#11457,#6317,.T.); +#20240=EDGE_CURVE('',#11467,#11468,#6322,.T.); +#20242=EDGE_CURVE('',#11468,#11467,#6327,.T.); +#20246=EDGE_CURVE('',#11477,#11478,#6332,.T.); +#20248=EDGE_CURVE('',#11478,#11477,#6337,.T.); +#20252=EDGE_CURVE('',#11487,#11488,#6342,.T.); +#20254=EDGE_CURVE('',#11488,#11487,#6347,.T.); +#20258=EDGE_CURVE('',#11497,#11498,#6352,.T.); +#20260=EDGE_CURVE('',#11498,#11497,#6357,.T.); +#20264=EDGE_CURVE('',#11507,#11508,#6362,.T.); +#20266=EDGE_CURVE('',#11508,#11507,#6367,.T.); +#20270=EDGE_CURVE('',#11517,#11518,#6372,.T.); +#20272=EDGE_CURVE('',#11518,#11517,#6377,.T.); +#20276=EDGE_CURVE('',#11527,#11528,#6382,.T.); +#20278=EDGE_CURVE('',#11528,#11527,#6387,.T.); +#20282=ADVANCED_FACE('',(#20209,#20215,#20221,#20227,#20233,#20239,#20245, +#20251,#20257,#20263,#20269,#20275,#20281),#20197,.T.); +#20288=EDGE_CURVE('',#11529,#11530,#6419,.T.); +#20290=EDGE_CURVE('',#11531,#11530,#8464,.T.); +#20292=EDGE_CURVE('',#11531,#11532,#6451,.T.); +#20294=EDGE_CURVE('',#11519,#11532,#7506,.T.); +#20296=EDGE_CURVE('',#11519,#11520,#6483,.T.); +#20298=EDGE_CURVE('',#11521,#11520,#8415,.T.); +#20300=EDGE_CURVE('',#11521,#11522,#6515,.T.); +#20302=EDGE_CURVE('',#11509,#11522,#7482,.T.); +#20304=EDGE_CURVE('',#11509,#11510,#6547,.T.); +#20306=EDGE_CURVE('',#11511,#11510,#8335,.T.); +#20308=EDGE_CURVE('',#11511,#11512,#6579,.T.); +#20310=EDGE_CURVE('',#11499,#11512,#7458,.T.); +#20312=EDGE_CURVE('',#11499,#11500,#6611,.T.); +#20314=EDGE_CURVE('',#11501,#11500,#8255,.T.); +#20316=EDGE_CURVE('',#11501,#11502,#6643,.T.); +#20318=EDGE_CURVE('',#11489,#11502,#7434,.T.); +#20320=EDGE_CURVE('',#11489,#11490,#6675,.T.); +#20322=EDGE_CURVE('',#11491,#11490,#8175,.T.); +#20324=EDGE_CURVE('',#11491,#11492,#6707,.T.); +#20326=EDGE_CURVE('',#11479,#11492,#7410,.T.); +#20328=EDGE_CURVE('',#11479,#11480,#6739,.T.); +#20330=EDGE_CURVE('',#11481,#11480,#8095,.T.); +#20332=EDGE_CURVE('',#11481,#11482,#6771,.T.); +#20334=EDGE_CURVE('',#11469,#11482,#7386,.T.); +#20336=EDGE_CURVE('',#11469,#11470,#6803,.T.); +#20338=EDGE_CURVE('',#11471,#11470,#8015,.T.); +#20340=EDGE_CURVE('',#11471,#11472,#6835,.T.); +#20342=EDGE_CURVE('',#11459,#11472,#7362,.T.); +#20344=EDGE_CURVE('',#11459,#11460,#6867,.T.); +#20346=EDGE_CURVE('',#11461,#11460,#7935,.T.); +#20348=EDGE_CURVE('',#11461,#11462,#6899,.T.); +#20350=EDGE_CURVE('',#11449,#11462,#7338,.T.); +#20352=EDGE_CURVE('',#11449,#11450,#6931,.T.); +#20354=EDGE_CURVE('',#11451,#11450,#7855,.T.); +#20356=EDGE_CURVE('',#11451,#11452,#6963,.T.); +#20358=EDGE_CURVE('',#11439,#11452,#7314,.T.); +#20360=EDGE_CURVE('',#11439,#11440,#6995,.T.); +#20362=EDGE_CURVE('',#11441,#11440,#7775,.T.); +#20364=EDGE_CURVE('',#11441,#11442,#7027,.T.); +#20366=EDGE_CURVE('',#11429,#11442,#7290,.T.); +#20368=EDGE_CURVE('',#11429,#11430,#7059,.T.); +#20370=EDGE_CURVE('',#11431,#11430,#7680,.T.); +#20372=EDGE_CURVE('',#11431,#11432,#7091,.T.); +#20374=EDGE_CURVE('',#11419,#11432,#7266,.T.); +#20376=EDGE_CURVE('',#11419,#11420,#7123,.T.); +#20378=EDGE_CURVE('',#11421,#11420,#7600,.T.); +#20380=EDGE_CURVE('',#11421,#11422,#7155,.T.); +#20382=EDGE_CURVE('',#10418,#11422,#7242,.T.); +#20387=EDGE_CURVE('',#11529,#10422,#7204,.T.); +#20391=ADVANCED_FACE('',(#20390),#20287,.T.); +#20397=EDGE_CURVE('',#11533,#11534,#7164,.T.); +#20399=EDGE_CURVE('',#11532,#11534,#7516,.T.); +#20402=EDGE_CURVE('',#11530,#11531,#8452,.T.); +#20405=EDGE_CURVE('',#11533,#11529,#7200,.T.); +#20409=ADVANCED_FACE('',(#20408),#20396,.T.); +#20415=EDGE_CURVE('',#11533,#11534,#7186,.T.); +#20420=ADVANCED_FACE('',(#20419),#20414,.T.); +#20427=EDGE_CURVE('',#10677,#10685,#8437,.T.); +#20429=EDGE_CURVE('',#10678,#10677,#8447,.T.); +#20431=EDGE_CURVE('',#10678,#10686,#7190,.T.); +#20435=ADVANCED_FACE('',(#20434),#20425,.T.); +#20445=EDGE_CURVE('',#12465,#12467,#7221,.T.); +#20447=EDGE_CURVE('',#12471,#12465,#7533,.T.); +#20449=EDGE_CURVE('',#12470,#12471,#7238,.T.); +#20453=EDGE_CURVE('',#11422,#11424,#7252,.T.); +#20455=EDGE_CURVE('',#11423,#11424,#7565,.T.); +#20457=EDGE_CURVE('',#11423,#11419,#7262,.T.); +#20460=EDGE_CURVE('',#11432,#11434,#7276,.T.); +#20462=EDGE_CURVE('',#11433,#11434,#7645,.T.); +#20464=EDGE_CURVE('',#11433,#11429,#7286,.T.); +#20467=EDGE_CURVE('',#11442,#11444,#7300,.T.); +#20469=EDGE_CURVE('',#11443,#11444,#7740,.T.); +#20471=EDGE_CURVE('',#11443,#11439,#7310,.T.); +#20474=EDGE_CURVE('',#11452,#11454,#7324,.T.); +#20476=EDGE_CURVE('',#11453,#11454,#7820,.T.); +#20478=EDGE_CURVE('',#11453,#11449,#7334,.T.); +#20481=EDGE_CURVE('',#11462,#11464,#7348,.T.); +#20483=EDGE_CURVE('',#11463,#11464,#7900,.T.); +#20485=EDGE_CURVE('',#11463,#11459,#7358,.T.); +#20488=EDGE_CURVE('',#11472,#11474,#7372,.T.); +#20490=EDGE_CURVE('',#11473,#11474,#7980,.T.); +#20492=EDGE_CURVE('',#11473,#11469,#7382,.T.); +#20495=EDGE_CURVE('',#11482,#11484,#7396,.T.); +#20497=EDGE_CURVE('',#11483,#11484,#8060,.T.); +#20499=EDGE_CURVE('',#11483,#11479,#7406,.T.); +#20502=EDGE_CURVE('',#11492,#11494,#7420,.T.); +#20504=EDGE_CURVE('',#11493,#11494,#8140,.T.); +#20506=EDGE_CURVE('',#11493,#11489,#7430,.T.); +#20509=EDGE_CURVE('',#11502,#11504,#7444,.T.); +#20511=EDGE_CURVE('',#11503,#11504,#8220,.T.); +#20513=EDGE_CURVE('',#11503,#11499,#7454,.T.); +#20516=EDGE_CURVE('',#11512,#11514,#7468,.T.); +#20518=EDGE_CURVE('',#11513,#11514,#8300,.T.); +#20520=EDGE_CURVE('',#11513,#11509,#7478,.T.); +#20523=EDGE_CURVE('',#11522,#11524,#7492,.T.); +#20525=EDGE_CURVE('',#11523,#11524,#8380,.T.); +#20527=EDGE_CURVE('',#11523,#11519,#7502,.T.); +#20533=ADVANCED_FACE('',(#20532),#20440,.T.); +#20539=EDGE_CURVE('',#12465,#12466,#7525,.T.); +#20546=ADVANCED_FACE('',(#20545),#20538,.T.); +#20554=EDGE_CURVE('',#12473,#12471,#7529,.T.); +#20559=ADVANCED_FACE('',(#20558),#20551,.T.); +#20571=ADVANCED_FACE('',(#20570),#20564,.T.); +#20577=EDGE_CURVE('',#11423,#11424,#7543,.T.); +#20581=EDGE_CURVE('',#11420,#11421,#7588,.T.); +#20587=ADVANCED_FACE('',(#20586),#20576,.T.); +#20597=ADVANCED_FACE('',(#20596),#20592,.T.); +#20604=EDGE_CURVE('',#10545,#10553,#7573,.T.); +#20606=EDGE_CURVE('',#10546,#10545,#7583,.T.); +#20608=EDGE_CURVE('',#10546,#10554,#7569,.T.); +#20612=ADVANCED_FACE('',(#20611),#20602,.T.); +#20620=EDGE_CURVE('',#10545,#10546,#7578,.T.); +#20625=ADVANCED_FACE('',(#20624),#20617,.T.); +#20635=ADVANCED_FACE('',(#20634),#20630,.F.); +#20645=ADVANCED_FACE('',(#20644),#20640,.T.); +#20652=EDGE_CURVE('',#11418,#10550,#7604,.T.); +#20654=EDGE_CURVE('',#10550,#10549,#7618,.T.); +#20656=EDGE_CURVE('',#11417,#10549,#7608,.T.); +#20660=ADVANCED_FACE('',(#20659),#20650,.T.); +#20668=EDGE_CURVE('',#10549,#10550,#7613,.T.); +#20673=ADVANCED_FACE('',(#20672),#20665,.T.); +#20683=ADVANCED_FACE('',(#20682),#20678,.T.); +#20689=EDGE_CURVE('',#11433,#11434,#7623,.T.); +#20693=EDGE_CURVE('',#11430,#11431,#7668,.T.); +#20699=ADVANCED_FACE('',(#20698),#20688,.T.); +#20709=ADVANCED_FACE('',(#20708),#20704,.T.); +#20716=EDGE_CURVE('',#10557,#10565,#7653,.T.); +#20718=EDGE_CURVE('',#10558,#10557,#7663,.T.); +#20720=EDGE_CURVE('',#10558,#10566,#7649,.T.); +#20724=ADVANCED_FACE('',(#20723),#20714,.T.); +#20732=EDGE_CURVE('',#10557,#10558,#7658,.T.); +#20737=ADVANCED_FACE('',(#20736),#20729,.T.); +#20747=ADVANCED_FACE('',(#20746),#20742,.F.); +#20757=ADVANCED_FACE('',(#20756),#20752,.T.); +#20764=EDGE_CURVE('',#11428,#10562,#7684,.T.); +#20766=EDGE_CURVE('',#10562,#10561,#7698,.T.); +#20768=EDGE_CURVE('',#11427,#10561,#7688,.T.); +#20772=ADVANCED_FACE('',(#20771),#20762,.T.); +#20780=EDGE_CURVE('',#10561,#10562,#7693,.T.); +#20785=ADVANCED_FACE('',(#20784),#20777,.T.); +#20795=ADVANCED_FACE('',(#20794),#20790,.T.); +#20801=EDGE_CURVE('',#11443,#11444,#7703,.T.); +#20805=EDGE_CURVE('',#11440,#11441,#7763,.T.); +#20811=ADVANCED_FACE('',(#20810),#20800,.T.); +#20821=ADVANCED_FACE('',(#20820),#20816,.T.); +#20828=EDGE_CURVE('',#10569,#10577,#7748,.T.); +#20830=EDGE_CURVE('',#10570,#10569,#7758,.T.); +#20832=EDGE_CURVE('',#10570,#10578,#7744,.T.); +#20836=ADVANCED_FACE('',(#20835),#20826,.T.); +#20844=EDGE_CURVE('',#10569,#10570,#7753,.T.); +#20849=ADVANCED_FACE('',(#20848),#20841,.T.); +#20859=ADVANCED_FACE('',(#20858),#20854,.F.); +#20869=ADVANCED_FACE('',(#20868),#20864,.T.); +#20876=EDGE_CURVE('',#11438,#10574,#7779,.T.); +#20878=EDGE_CURVE('',#10574,#10573,#7793,.T.); +#20880=EDGE_CURVE('',#11437,#10573,#7783,.T.); +#20884=ADVANCED_FACE('',(#20883),#20874,.T.); +#20892=EDGE_CURVE('',#10573,#10574,#7788,.T.); +#20897=ADVANCED_FACE('',(#20896),#20889,.T.); +#20907=ADVANCED_FACE('',(#20906),#20902,.T.); +#20913=EDGE_CURVE('',#11453,#11454,#7798,.T.); +#20917=EDGE_CURVE('',#11450,#11451,#7843,.T.); +#20923=ADVANCED_FACE('',(#20922),#20912,.T.); +#20933=ADVANCED_FACE('',(#20932),#20928,.T.); +#20940=EDGE_CURVE('',#10581,#10589,#7828,.T.); +#20942=EDGE_CURVE('',#10582,#10581,#7838,.T.); +#20944=EDGE_CURVE('',#10582,#10590,#7824,.T.); +#20948=ADVANCED_FACE('',(#20947),#20938,.T.); +#20956=EDGE_CURVE('',#10581,#10582,#7833,.T.); +#20961=ADVANCED_FACE('',(#20960),#20953,.T.); +#20971=ADVANCED_FACE('',(#20970),#20966,.F.); +#20981=ADVANCED_FACE('',(#20980),#20976,.T.); +#20988=EDGE_CURVE('',#11448,#10586,#7859,.T.); +#20990=EDGE_CURVE('',#10586,#10585,#7873,.T.); +#20992=EDGE_CURVE('',#11447,#10585,#7863,.T.); +#20996=ADVANCED_FACE('',(#20995),#20986,.T.); +#21004=EDGE_CURVE('',#10585,#10586,#7868,.T.); +#21009=ADVANCED_FACE('',(#21008),#21001,.T.); +#21019=ADVANCED_FACE('',(#21018),#21014,.T.); +#21025=EDGE_CURVE('',#11463,#11464,#7878,.T.); +#21029=EDGE_CURVE('',#11460,#11461,#7923,.T.); +#21035=ADVANCED_FACE('',(#21034),#21024,.T.); +#21045=ADVANCED_FACE('',(#21044),#21040,.T.); +#21052=EDGE_CURVE('',#10593,#10601,#7908,.T.); +#21054=EDGE_CURVE('',#10594,#10593,#7918,.T.); +#21056=EDGE_CURVE('',#10594,#10602,#7904,.T.); +#21060=ADVANCED_FACE('',(#21059),#21050,.T.); +#21068=EDGE_CURVE('',#10593,#10594,#7913,.T.); +#21073=ADVANCED_FACE('',(#21072),#21065,.T.); +#21083=ADVANCED_FACE('',(#21082),#21078,.F.); +#21093=ADVANCED_FACE('',(#21092),#21088,.T.); +#21100=EDGE_CURVE('',#11458,#10598,#7939,.T.); +#21102=EDGE_CURVE('',#10598,#10597,#7953,.T.); +#21104=EDGE_CURVE('',#11457,#10597,#7943,.T.); +#21108=ADVANCED_FACE('',(#21107),#21098,.T.); +#21116=EDGE_CURVE('',#10597,#10598,#7948,.T.); +#21121=ADVANCED_FACE('',(#21120),#21113,.T.); +#21131=ADVANCED_FACE('',(#21130),#21126,.T.); +#21137=EDGE_CURVE('',#11473,#11474,#7958,.T.); +#21141=EDGE_CURVE('',#11470,#11471,#8003,.T.); +#21147=ADVANCED_FACE('',(#21146),#21136,.T.); +#21157=ADVANCED_FACE('',(#21156),#21152,.T.); +#21164=EDGE_CURVE('',#10605,#10613,#7988,.T.); +#21166=EDGE_CURVE('',#10606,#10605,#7998,.T.); +#21168=EDGE_CURVE('',#10606,#10614,#7984,.T.); +#21172=ADVANCED_FACE('',(#21171),#21162,.T.); +#21180=EDGE_CURVE('',#10605,#10606,#7993,.T.); +#21185=ADVANCED_FACE('',(#21184),#21177,.T.); +#21195=ADVANCED_FACE('',(#21194),#21190,.F.); +#21205=ADVANCED_FACE('',(#21204),#21200,.T.); +#21212=EDGE_CURVE('',#11468,#10610,#8019,.T.); +#21214=EDGE_CURVE('',#10610,#10609,#8033,.T.); +#21216=EDGE_CURVE('',#11467,#10609,#8023,.T.); +#21220=ADVANCED_FACE('',(#21219),#21210,.T.); +#21228=EDGE_CURVE('',#10609,#10610,#8028,.T.); +#21233=ADVANCED_FACE('',(#21232),#21225,.T.); +#21243=ADVANCED_FACE('',(#21242),#21238,.T.); +#21249=EDGE_CURVE('',#11483,#11484,#8038,.T.); +#21253=EDGE_CURVE('',#11480,#11481,#8083,.T.); +#21259=ADVANCED_FACE('',(#21258),#21248,.T.); +#21269=ADVANCED_FACE('',(#21268),#21264,.T.); +#21276=EDGE_CURVE('',#10617,#10625,#8068,.T.); +#21278=EDGE_CURVE('',#10618,#10617,#8078,.T.); +#21280=EDGE_CURVE('',#10618,#10626,#8064,.T.); +#21284=ADVANCED_FACE('',(#21283),#21274,.T.); +#21292=EDGE_CURVE('',#10617,#10618,#8073,.T.); +#21297=ADVANCED_FACE('',(#21296),#21289,.T.); +#21307=ADVANCED_FACE('',(#21306),#21302,.F.); +#21317=ADVANCED_FACE('',(#21316),#21312,.T.); +#21324=EDGE_CURVE('',#11478,#10622,#8099,.T.); +#21326=EDGE_CURVE('',#10622,#10621,#8113,.T.); +#21328=EDGE_CURVE('',#11477,#10621,#8103,.T.); +#21332=ADVANCED_FACE('',(#21331),#21322,.T.); +#21340=EDGE_CURVE('',#10621,#10622,#8108,.T.); +#21345=ADVANCED_FACE('',(#21344),#21337,.T.); +#21355=ADVANCED_FACE('',(#21354),#21350,.T.); +#21361=EDGE_CURVE('',#11493,#11494,#8118,.T.); +#21365=EDGE_CURVE('',#11490,#11491,#8163,.T.); +#21371=ADVANCED_FACE('',(#21370),#21360,.T.); +#21381=ADVANCED_FACE('',(#21380),#21376,.T.); +#21388=EDGE_CURVE('',#10629,#10637,#8148,.T.); +#21390=EDGE_CURVE('',#10630,#10629,#8158,.T.); +#21392=EDGE_CURVE('',#10630,#10638,#8144,.T.); +#21396=ADVANCED_FACE('',(#21395),#21386,.T.); +#21404=EDGE_CURVE('',#10629,#10630,#8153,.T.); +#21409=ADVANCED_FACE('',(#21408),#21401,.T.); +#21419=ADVANCED_FACE('',(#21418),#21414,.F.); +#21429=ADVANCED_FACE('',(#21428),#21424,.T.); +#21436=EDGE_CURVE('',#11488,#10634,#8179,.T.); +#21438=EDGE_CURVE('',#10634,#10633,#8193,.T.); +#21440=EDGE_CURVE('',#11487,#10633,#8183,.T.); +#21444=ADVANCED_FACE('',(#21443),#21434,.T.); +#21452=EDGE_CURVE('',#10633,#10634,#8188,.T.); +#21457=ADVANCED_FACE('',(#21456),#21449,.T.); +#21467=ADVANCED_FACE('',(#21466),#21462,.T.); +#21473=EDGE_CURVE('',#11503,#11504,#8198,.T.); +#21477=EDGE_CURVE('',#11500,#11501,#8243,.T.); +#21483=ADVANCED_FACE('',(#21482),#21472,.T.); +#21493=ADVANCED_FACE('',(#21492),#21488,.T.); +#21500=EDGE_CURVE('',#10641,#10649,#8228,.T.); +#21502=EDGE_CURVE('',#10642,#10641,#8238,.T.); +#21504=EDGE_CURVE('',#10642,#10650,#8224,.T.); +#21508=ADVANCED_FACE('',(#21507),#21498,.T.); +#21516=EDGE_CURVE('',#10641,#10642,#8233,.T.); +#21521=ADVANCED_FACE('',(#21520),#21513,.T.); +#21531=ADVANCED_FACE('',(#21530),#21526,.F.); +#21541=ADVANCED_FACE('',(#21540),#21536,.T.); +#21548=EDGE_CURVE('',#11498,#10646,#8259,.T.); +#21550=EDGE_CURVE('',#10646,#10645,#8273,.T.); +#21552=EDGE_CURVE('',#11497,#10645,#8263,.T.); +#21556=ADVANCED_FACE('',(#21555),#21546,.T.); +#21564=EDGE_CURVE('',#10645,#10646,#8268,.T.); +#21569=ADVANCED_FACE('',(#21568),#21561,.T.); +#21579=ADVANCED_FACE('',(#21578),#21574,.T.); +#21585=EDGE_CURVE('',#11513,#11514,#8278,.T.); +#21589=EDGE_CURVE('',#11510,#11511,#8323,.T.); +#21595=ADVANCED_FACE('',(#21594),#21584,.T.); +#21605=ADVANCED_FACE('',(#21604),#21600,.T.); +#21612=EDGE_CURVE('',#10653,#10661,#8308,.T.); +#21614=EDGE_CURVE('',#10654,#10653,#8318,.T.); +#21616=EDGE_CURVE('',#10654,#10662,#8304,.T.); +#21620=ADVANCED_FACE('',(#21619),#21610,.T.); +#21628=EDGE_CURVE('',#10653,#10654,#8313,.T.); +#21633=ADVANCED_FACE('',(#21632),#21625,.T.); +#21643=ADVANCED_FACE('',(#21642),#21638,.F.); +#21653=ADVANCED_FACE('',(#21652),#21648,.T.); +#21660=EDGE_CURVE('',#11508,#10658,#8339,.T.); +#21662=EDGE_CURVE('',#10658,#10657,#8353,.T.); +#21664=EDGE_CURVE('',#11507,#10657,#8343,.T.); +#21668=ADVANCED_FACE('',(#21667),#21658,.T.); +#21676=EDGE_CURVE('',#10657,#10658,#8348,.T.); +#21681=ADVANCED_FACE('',(#21680),#21673,.T.); +#21691=ADVANCED_FACE('',(#21690),#21686,.T.); +#21697=EDGE_CURVE('',#11523,#11524,#8358,.T.); +#21701=EDGE_CURVE('',#11520,#11521,#8403,.T.); +#21707=ADVANCED_FACE('',(#21706),#21696,.T.); +#21717=ADVANCED_FACE('',(#21716),#21712,.T.); +#21724=EDGE_CURVE('',#10665,#10673,#8388,.T.); +#21726=EDGE_CURVE('',#10666,#10665,#8398,.T.); +#21728=EDGE_CURVE('',#10666,#10674,#8384,.T.); +#21732=ADVANCED_FACE('',(#21731),#21722,.T.); +#21740=EDGE_CURVE('',#10665,#10666,#8393,.T.); +#21745=ADVANCED_FACE('',(#21744),#21737,.T.); +#21755=ADVANCED_FACE('',(#21754),#21750,.F.); +#21765=ADVANCED_FACE('',(#21764),#21760,.T.); +#21772=EDGE_CURVE('',#11518,#10670,#8419,.T.); +#21774=EDGE_CURVE('',#10670,#10669,#8433,.T.); +#21776=EDGE_CURVE('',#11517,#10669,#8423,.T.); +#21780=ADVANCED_FACE('',(#21779),#21770,.T.); +#21788=EDGE_CURVE('',#10669,#10670,#8428,.T.); +#21793=ADVANCED_FACE('',(#21792),#21785,.T.); +#21803=ADVANCED_FACE('',(#21802),#21798,.T.); +#21811=EDGE_CURVE('',#10677,#10678,#8442,.T.); +#21816=ADVANCED_FACE('',(#21815),#21808,.T.); +#21826=ADVANCED_FACE('',(#21825),#21821,.F.); +#21836=ADVANCED_FACE('',(#21835),#21831,.T.); +#21843=EDGE_CURVE('',#11528,#10682,#8468,.T.); +#21845=EDGE_CURVE('',#10682,#10681,#8482,.T.); +#21847=EDGE_CURVE('',#11527,#10681,#8472,.T.); +#21851=ADVANCED_FACE('',(#21850),#21841,.T.); +#21859=EDGE_CURVE('',#10681,#10682,#8477,.T.); +#21864=ADVANCED_FACE('',(#21863),#21856,.T.); +#21874=ADVANCED_FACE('',(#21873),#21869,.T.); +#21885=EDGE_CURVE('',#10432,#10425,#8494,.T.); +#21889=ADVANCED_FACE('',(#21888),#21879,.T.); +#21896=EDGE_CURVE('',#10430,#10432,#8506,.T.); +#21902=ADVANCED_FACE('',(#21901),#21894,.F.); +#21915=EDGE_CURVE('',#11908,#11906,#8514,.T.); +#21919=ADVANCED_FACE('',(#21918),#21907,.T.); +#21928=EDGE_CURVE('',#11904,#11902,#8526,.T.); +#21932=ADVANCED_FACE('',(#21931),#21924,.T.); +#21944=EDGE_CURVE('',#11537,#11538,#8543,.T.); +#21946=EDGE_CURVE('',#11538,#11537,#8548,.T.); +#21950=EDGE_CURVE('',#11541,#11542,#8553,.T.); +#21952=EDGE_CURVE('',#11542,#11541,#8558,.T.); +#21956=EDGE_CURVE('',#11545,#11546,#8563,.T.); +#21958=EDGE_CURVE('',#11546,#11545,#8568,.T.); +#21962=EDGE_CURVE('',#11549,#11550,#8573,.T.); +#21964=EDGE_CURVE('',#11550,#11549,#8578,.T.); +#21968=EDGE_CURVE('',#11553,#11554,#8583,.T.); +#21970=EDGE_CURVE('',#11554,#11553,#8588,.T.); +#21974=EDGE_CURVE('',#11557,#11558,#8593,.T.); +#21976=EDGE_CURVE('',#11558,#11557,#8598,.T.); +#21980=EDGE_CURVE('',#11561,#11562,#8603,.T.); +#21982=EDGE_CURVE('',#11562,#11561,#8608,.T.); +#21986=EDGE_CURVE('',#11565,#11566,#8613,.T.); +#21988=EDGE_CURVE('',#11566,#11565,#8618,.T.); +#21992=EDGE_CURVE('',#11569,#11570,#8623,.T.); +#21994=EDGE_CURVE('',#11570,#11569,#8628,.T.); +#21998=EDGE_CURVE('',#11573,#11574,#8633,.T.); +#22000=EDGE_CURVE('',#11574,#11573,#8638,.T.); +#22004=EDGE_CURVE('',#11577,#11578,#8643,.T.); +#22006=EDGE_CURVE('',#11578,#11577,#8648,.T.); +#22010=EDGE_CURVE('',#11581,#11582,#8653,.T.); +#22012=EDGE_CURVE('',#11582,#11581,#8658,.T.); +#22016=ADVANCED_FACE('',(#21943,#21949,#21955,#21961,#21967,#21973,#21979, +#21985,#21991,#21997,#22003,#22009,#22015),#21937,.T.); +#22023=EDGE_CURVE('',#11537,#10693,#8662,.T.); +#22025=EDGE_CURVE('',#10693,#10694,#8671,.T.); +#22027=EDGE_CURVE('',#11538,#10694,#8666,.T.); +#22031=ADVANCED_FACE('',(#22030),#22021,.T.); +#22039=EDGE_CURVE('',#10694,#10693,#8676,.T.); +#22044=ADVANCED_FACE('',(#22043),#22036,.T.); +#22054=ADVANCED_FACE('',(#22053),#22049,.T.); +#22061=EDGE_CURVE('',#11541,#10705,#8680,.T.); +#22063=EDGE_CURVE('',#10705,#10706,#8689,.T.); +#22065=EDGE_CURVE('',#11542,#10706,#8684,.T.); +#22069=ADVANCED_FACE('',(#22068),#22059,.T.); +#22077=EDGE_CURVE('',#10706,#10705,#8694,.T.); +#22082=ADVANCED_FACE('',(#22081),#22074,.T.); +#22092=ADVANCED_FACE('',(#22091),#22087,.T.); +#22099=EDGE_CURVE('',#11545,#10717,#8698,.T.); +#22101=EDGE_CURVE('',#10717,#10718,#8707,.T.); +#22103=EDGE_CURVE('',#11546,#10718,#8702,.T.); +#22107=ADVANCED_FACE('',(#22106),#22097,.T.); +#22115=EDGE_CURVE('',#10718,#10717,#8712,.T.); +#22120=ADVANCED_FACE('',(#22119),#22112,.T.); +#22130=ADVANCED_FACE('',(#22129),#22125,.T.); +#22137=EDGE_CURVE('',#11549,#10729,#8716,.T.); +#22139=EDGE_CURVE('',#10729,#10730,#8725,.T.); +#22141=EDGE_CURVE('',#11550,#10730,#8720,.T.); +#22145=ADVANCED_FACE('',(#22144),#22135,.T.); +#22153=EDGE_CURVE('',#10730,#10729,#8730,.T.); +#22158=ADVANCED_FACE('',(#22157),#22150,.T.); +#22168=ADVANCED_FACE('',(#22167),#22163,.T.); +#22175=EDGE_CURVE('',#11553,#10741,#8734,.T.); +#22177=EDGE_CURVE('',#10741,#10742,#8743,.T.); +#22179=EDGE_CURVE('',#11554,#10742,#8738,.T.); +#22183=ADVANCED_FACE('',(#22182),#22173,.T.); +#22191=EDGE_CURVE('',#10742,#10741,#8748,.T.); +#22196=ADVANCED_FACE('',(#22195),#22188,.T.); +#22206=ADVANCED_FACE('',(#22205),#22201,.T.); +#22213=EDGE_CURVE('',#11557,#10753,#8752,.T.); +#22215=EDGE_CURVE('',#10753,#10754,#8761,.T.); +#22217=EDGE_CURVE('',#11558,#10754,#8756,.T.); +#22221=ADVANCED_FACE('',(#22220),#22211,.T.); +#22229=EDGE_CURVE('',#10754,#10753,#8766,.T.); +#22234=ADVANCED_FACE('',(#22233),#22226,.T.); +#22244=ADVANCED_FACE('',(#22243),#22239,.T.); +#22251=EDGE_CURVE('',#11561,#10765,#8770,.T.); +#22253=EDGE_CURVE('',#10765,#10766,#8779,.T.); +#22255=EDGE_CURVE('',#11562,#10766,#8774,.T.); +#22259=ADVANCED_FACE('',(#22258),#22249,.T.); +#22267=EDGE_CURVE('',#10766,#10765,#8784,.T.); +#22272=ADVANCED_FACE('',(#22271),#22264,.T.); +#22282=ADVANCED_FACE('',(#22281),#22277,.T.); +#22289=EDGE_CURVE('',#11565,#10777,#8788,.T.); +#22291=EDGE_CURVE('',#10777,#10778,#8797,.T.); +#22293=EDGE_CURVE('',#11566,#10778,#8792,.T.); +#22297=ADVANCED_FACE('',(#22296),#22287,.T.); +#22305=EDGE_CURVE('',#10778,#10777,#8802,.T.); +#22310=ADVANCED_FACE('',(#22309),#22302,.T.); +#22320=ADVANCED_FACE('',(#22319),#22315,.T.); +#22327=EDGE_CURVE('',#11569,#10789,#8806,.T.); +#22329=EDGE_CURVE('',#10789,#10790,#8815,.T.); +#22331=EDGE_CURVE('',#11570,#10790,#8810,.T.); +#22335=ADVANCED_FACE('',(#22334),#22325,.T.); +#22343=EDGE_CURVE('',#10790,#10789,#8820,.T.); +#22348=ADVANCED_FACE('',(#22347),#22340,.T.); +#22358=ADVANCED_FACE('',(#22357),#22353,.T.); +#22365=EDGE_CURVE('',#11573,#10801,#8824,.T.); +#22367=EDGE_CURVE('',#10801,#10802,#8833,.T.); +#22369=EDGE_CURVE('',#11574,#10802,#8828,.T.); +#22373=ADVANCED_FACE('',(#22372),#22363,.T.); +#22381=EDGE_CURVE('',#10802,#10801,#8838,.T.); +#22386=ADVANCED_FACE('',(#22385),#22378,.T.); +#22396=ADVANCED_FACE('',(#22395),#22391,.T.); +#22403=EDGE_CURVE('',#11577,#10813,#8842,.T.); +#22405=EDGE_CURVE('',#10813,#10814,#8851,.T.); +#22407=EDGE_CURVE('',#11578,#10814,#8846,.T.); +#22411=ADVANCED_FACE('',(#22410),#22401,.T.); +#22419=EDGE_CURVE('',#10814,#10813,#8856,.T.); +#22424=ADVANCED_FACE('',(#22423),#22416,.T.); +#22434=ADVANCED_FACE('',(#22433),#22429,.T.); +#22441=EDGE_CURVE('',#11581,#10825,#8860,.T.); +#22443=EDGE_CURVE('',#10825,#10826,#8869,.T.); +#22445=EDGE_CURVE('',#11582,#10826,#8864,.T.); +#22449=ADVANCED_FACE('',(#22448),#22439,.T.); +#22457=EDGE_CURVE('',#10826,#10825,#8874,.T.); +#22462=ADVANCED_FACE('',(#22461),#22454,.T.); +#22472=ADVANCED_FACE('',(#22471),#22467,.T.); +#22479=EDGE_CURVE('',#11585,#10837,#8878,.T.); +#22481=EDGE_CURVE('',#10837,#10838,#8887,.T.); +#22483=EDGE_CURVE('',#11586,#10838,#8882,.T.); +#22487=ADVANCED_FACE('',(#22486),#22477,.T.); +#22495=EDGE_CURVE('',#10838,#10837,#8892,.T.); +#22500=ADVANCED_FACE('',(#22499),#22492,.T.); +#22510=ADVANCED_FACE('',(#22509),#22505,.T.); +#22517=EDGE_CURVE('',#11589,#10849,#8896,.T.); +#22519=EDGE_CURVE('',#10849,#10850,#8905,.T.); +#22521=EDGE_CURVE('',#11590,#10850,#8900,.T.); +#22525=ADVANCED_FACE('',(#22524),#22515,.T.); +#22533=EDGE_CURVE('',#10850,#10849,#8910,.T.); +#22538=ADVANCED_FACE('',(#22537),#22530,.T.); +#22548=ADVANCED_FACE('',(#22547),#22543,.T.); +#22555=EDGE_CURVE('',#11593,#10861,#8914,.T.); +#22557=EDGE_CURVE('',#10861,#10862,#8923,.T.); +#22559=EDGE_CURVE('',#11594,#10862,#8918,.T.); +#22563=ADVANCED_FACE('',(#22562),#22553,.T.); +#22571=EDGE_CURVE('',#10862,#10861,#8928,.T.); +#22576=ADVANCED_FACE('',(#22575),#22568,.T.); +#22586=ADVANCED_FACE('',(#22585),#22581,.T.); +#22593=EDGE_CURVE('',#11597,#10873,#8932,.T.); +#22595=EDGE_CURVE('',#10873,#10874,#8941,.T.); +#22597=EDGE_CURVE('',#11598,#10874,#8936,.T.); +#22601=ADVANCED_FACE('',(#22600),#22591,.T.); +#22609=EDGE_CURVE('',#10874,#10873,#8946,.T.); +#22614=ADVANCED_FACE('',(#22613),#22606,.T.); +#22624=ADVANCED_FACE('',(#22623),#22619,.T.); +#22631=EDGE_CURVE('',#11601,#10885,#8950,.T.); +#22633=EDGE_CURVE('',#10885,#10886,#8959,.T.); +#22635=EDGE_CURVE('',#11602,#10886,#8954,.T.); +#22639=ADVANCED_FACE('',(#22638),#22629,.T.); +#22647=EDGE_CURVE('',#10886,#10885,#8964,.T.); +#22652=ADVANCED_FACE('',(#22651),#22644,.T.); +#22662=ADVANCED_FACE('',(#22661),#22657,.T.); +#22669=EDGE_CURVE('',#11605,#10897,#8968,.T.); +#22671=EDGE_CURVE('',#10897,#10898,#8977,.T.); +#22673=EDGE_CURVE('',#11606,#10898,#8972,.T.); +#22677=ADVANCED_FACE('',(#22676),#22667,.T.); +#22685=EDGE_CURVE('',#10898,#10897,#8982,.T.); +#22690=ADVANCED_FACE('',(#22689),#22682,.T.); +#22700=ADVANCED_FACE('',(#22699),#22695,.T.); +#22707=EDGE_CURVE('',#11609,#10909,#8986,.T.); +#22709=EDGE_CURVE('',#10909,#10910,#8995,.T.); +#22711=EDGE_CURVE('',#11610,#10910,#8990,.T.); +#22715=ADVANCED_FACE('',(#22714),#22705,.T.); +#22723=EDGE_CURVE('',#10910,#10909,#9000,.T.); +#22728=ADVANCED_FACE('',(#22727),#22720,.T.); +#22738=ADVANCED_FACE('',(#22737),#22733,.T.); +#22745=EDGE_CURVE('',#11613,#10921,#9004,.T.); +#22747=EDGE_CURVE('',#10921,#10922,#9013,.T.); +#22749=EDGE_CURVE('',#11614,#10922,#9008,.T.); +#22753=ADVANCED_FACE('',(#22752),#22743,.T.); +#22761=EDGE_CURVE('',#10922,#10921,#9018,.T.); +#22766=ADVANCED_FACE('',(#22765),#22758,.T.); +#22776=ADVANCED_FACE('',(#22775),#22771,.T.); +#22783=EDGE_CURVE('',#11617,#10933,#9022,.T.); +#22785=EDGE_CURVE('',#10933,#10934,#9031,.T.); +#22787=EDGE_CURVE('',#11618,#10934,#9026,.T.); +#22791=ADVANCED_FACE('',(#22790),#22781,.T.); +#22799=EDGE_CURVE('',#10934,#10933,#9036,.T.); +#22804=ADVANCED_FACE('',(#22803),#22796,.T.); +#22814=ADVANCED_FACE('',(#22813),#22809,.T.); +#22821=EDGE_CURVE('',#11621,#10945,#9040,.T.); +#22823=EDGE_CURVE('',#10945,#10946,#9049,.T.); +#22825=EDGE_CURVE('',#11622,#10946,#9044,.T.); +#22829=ADVANCED_FACE('',(#22828),#22819,.T.); +#22837=EDGE_CURVE('',#10946,#10945,#9054,.T.); +#22842=ADVANCED_FACE('',(#22841),#22834,.T.); +#22852=ADVANCED_FACE('',(#22851),#22847,.T.); +#22859=EDGE_CURVE('',#11625,#10957,#9058,.T.); +#22861=EDGE_CURVE('',#10957,#10958,#9067,.T.); +#22863=EDGE_CURVE('',#11626,#10958,#9062,.T.); +#22867=ADVANCED_FACE('',(#22866),#22857,.T.); +#22875=EDGE_CURVE('',#10958,#10957,#9072,.T.); +#22880=ADVANCED_FACE('',(#22879),#22872,.T.); +#22890=ADVANCED_FACE('',(#22889),#22885,.T.); +#22897=EDGE_CURVE('',#10690,#10698,#9080,.T.); +#22899=EDGE_CURVE('',#10689,#10690,#9085,.T.); +#22901=EDGE_CURVE('',#10689,#10697,#9076,.T.); +#22905=ADVANCED_FACE('',(#22904),#22895,.T.); +#22913=EDGE_CURVE('',#10690,#10689,#9090,.T.); +#22918=ADVANCED_FACE('',(#22917),#22910,.T.); +#22928=ADVANCED_FACE('',(#22927),#22923,.F.); +#22935=EDGE_CURVE('',#10702,#10710,#9098,.T.); +#22937=EDGE_CURVE('',#10701,#10702,#9103,.T.); +#22939=EDGE_CURVE('',#10701,#10709,#9094,.T.); +#22943=ADVANCED_FACE('',(#22942),#22933,.T.); +#22951=EDGE_CURVE('',#10702,#10701,#9108,.T.); +#22956=ADVANCED_FACE('',(#22955),#22948,.T.); +#22966=ADVANCED_FACE('',(#22965),#22961,.F.); +#22973=EDGE_CURVE('',#10714,#10722,#9116,.T.); +#22975=EDGE_CURVE('',#10713,#10714,#9121,.T.); +#22977=EDGE_CURVE('',#10713,#10721,#9112,.T.); +#22981=ADVANCED_FACE('',(#22980),#22971,.T.); +#22989=EDGE_CURVE('',#10714,#10713,#9126,.T.); +#22994=ADVANCED_FACE('',(#22993),#22986,.T.); +#23004=ADVANCED_FACE('',(#23003),#22999,.F.); +#23011=EDGE_CURVE('',#10726,#10734,#9134,.T.); +#23013=EDGE_CURVE('',#10725,#10726,#9139,.T.); +#23015=EDGE_CURVE('',#10725,#10733,#9130,.T.); +#23019=ADVANCED_FACE('',(#23018),#23009,.T.); +#23027=EDGE_CURVE('',#10726,#10725,#9144,.T.); +#23032=ADVANCED_FACE('',(#23031),#23024,.T.); +#23042=ADVANCED_FACE('',(#23041),#23037,.F.); +#23049=EDGE_CURVE('',#10738,#10746,#9152,.T.); +#23051=EDGE_CURVE('',#10737,#10738,#9157,.T.); +#23053=EDGE_CURVE('',#10737,#10745,#9148,.T.); +#23057=ADVANCED_FACE('',(#23056),#23047,.T.); +#23065=EDGE_CURVE('',#10738,#10737,#9162,.T.); +#23070=ADVANCED_FACE('',(#23069),#23062,.T.); +#23080=ADVANCED_FACE('',(#23079),#23075,.F.); +#23087=EDGE_CURVE('',#10750,#10758,#9170,.T.); +#23089=EDGE_CURVE('',#10749,#10750,#9175,.T.); +#23091=EDGE_CURVE('',#10749,#10757,#9166,.T.); +#23095=ADVANCED_FACE('',(#23094),#23085,.T.); +#23103=EDGE_CURVE('',#10750,#10749,#9180,.T.); +#23108=ADVANCED_FACE('',(#23107),#23100,.T.); +#23118=ADVANCED_FACE('',(#23117),#23113,.F.); +#23125=EDGE_CURVE('',#10762,#10770,#9188,.T.); +#23127=EDGE_CURVE('',#10761,#10762,#9193,.T.); +#23129=EDGE_CURVE('',#10761,#10769,#9184,.T.); +#23133=ADVANCED_FACE('',(#23132),#23123,.T.); +#23141=EDGE_CURVE('',#10762,#10761,#9198,.T.); +#23146=ADVANCED_FACE('',(#23145),#23138,.T.); +#23156=ADVANCED_FACE('',(#23155),#23151,.F.); +#23163=EDGE_CURVE('',#10774,#10782,#9206,.T.); +#23165=EDGE_CURVE('',#10773,#10774,#9211,.T.); +#23167=EDGE_CURVE('',#10773,#10781,#9202,.T.); +#23171=ADVANCED_FACE('',(#23170),#23161,.T.); +#23179=EDGE_CURVE('',#10774,#10773,#9216,.T.); +#23184=ADVANCED_FACE('',(#23183),#23176,.T.); +#23194=ADVANCED_FACE('',(#23193),#23189,.F.); +#23201=EDGE_CURVE('',#10786,#10794,#9224,.T.); +#23203=EDGE_CURVE('',#10785,#10786,#9229,.T.); +#23205=EDGE_CURVE('',#10785,#10793,#9220,.T.); +#23209=ADVANCED_FACE('',(#23208),#23199,.T.); +#23217=EDGE_CURVE('',#10786,#10785,#9234,.T.); +#23222=ADVANCED_FACE('',(#23221),#23214,.T.); +#23232=ADVANCED_FACE('',(#23231),#23227,.F.); +#23239=EDGE_CURVE('',#10798,#10806,#9242,.T.); +#23241=EDGE_CURVE('',#10797,#10798,#9247,.T.); +#23243=EDGE_CURVE('',#10797,#10805,#9238,.T.); +#23247=ADVANCED_FACE('',(#23246),#23237,.T.); +#23255=EDGE_CURVE('',#10798,#10797,#9252,.T.); +#23260=ADVANCED_FACE('',(#23259),#23252,.T.); +#23270=ADVANCED_FACE('',(#23269),#23265,.F.); +#23277=EDGE_CURVE('',#10810,#10818,#9260,.T.); +#23279=EDGE_CURVE('',#10809,#10810,#9265,.T.); +#23281=EDGE_CURVE('',#10809,#10817,#9256,.T.); +#23285=ADVANCED_FACE('',(#23284),#23275,.T.); +#23293=EDGE_CURVE('',#10810,#10809,#9270,.T.); +#23298=ADVANCED_FACE('',(#23297),#23290,.T.); +#23308=ADVANCED_FACE('',(#23307),#23303,.F.); +#23315=EDGE_CURVE('',#10822,#10830,#9278,.T.); +#23317=EDGE_CURVE('',#10821,#10822,#9283,.T.); +#23319=EDGE_CURVE('',#10821,#10829,#9274,.T.); +#23323=ADVANCED_FACE('',(#23322),#23313,.T.); +#23331=EDGE_CURVE('',#10822,#10821,#9288,.T.); +#23336=ADVANCED_FACE('',(#23335),#23328,.T.); +#23346=ADVANCED_FACE('',(#23345),#23341,.F.); +#23353=EDGE_CURVE('',#10834,#10842,#9296,.T.); +#23355=EDGE_CURVE('',#10833,#10834,#9301,.T.); +#23357=EDGE_CURVE('',#10833,#10841,#9292,.T.); +#23361=ADVANCED_FACE('',(#23360),#23351,.T.); +#23369=EDGE_CURVE('',#10834,#10833,#9306,.T.); +#23374=ADVANCED_FACE('',(#23373),#23366,.T.); +#23384=ADVANCED_FACE('',(#23383),#23379,.F.); +#23391=EDGE_CURVE('',#10846,#10854,#9314,.T.); +#23393=EDGE_CURVE('',#10845,#10846,#9319,.T.); +#23395=EDGE_CURVE('',#10845,#10853,#9310,.T.); +#23399=ADVANCED_FACE('',(#23398),#23389,.T.); +#23407=EDGE_CURVE('',#10846,#10845,#9324,.T.); +#23412=ADVANCED_FACE('',(#23411),#23404,.T.); +#23422=ADVANCED_FACE('',(#23421),#23417,.F.); +#23429=EDGE_CURVE('',#10858,#10866,#9332,.T.); +#23431=EDGE_CURVE('',#10857,#10858,#9337,.T.); +#23433=EDGE_CURVE('',#10857,#10865,#9328,.T.); +#23437=ADVANCED_FACE('',(#23436),#23427,.T.); +#23445=EDGE_CURVE('',#10858,#10857,#9342,.T.); +#23450=ADVANCED_FACE('',(#23449),#23442,.T.); +#23460=ADVANCED_FACE('',(#23459),#23455,.F.); +#23467=EDGE_CURVE('',#10870,#10878,#9350,.T.); +#23469=EDGE_CURVE('',#10869,#10870,#9355,.T.); +#23471=EDGE_CURVE('',#10869,#10877,#9346,.T.); +#23475=ADVANCED_FACE('',(#23474),#23465,.T.); +#23483=EDGE_CURVE('',#10870,#10869,#9360,.T.); +#23488=ADVANCED_FACE('',(#23487),#23480,.T.); +#23498=ADVANCED_FACE('',(#23497),#23493,.F.); +#23505=EDGE_CURVE('',#10882,#10890,#9368,.T.); +#23507=EDGE_CURVE('',#10881,#10882,#9373,.T.); +#23509=EDGE_CURVE('',#10881,#10889,#9364,.T.); +#23513=ADVANCED_FACE('',(#23512),#23503,.T.); +#23521=EDGE_CURVE('',#10882,#10881,#9378,.T.); +#23526=ADVANCED_FACE('',(#23525),#23518,.T.); +#23536=ADVANCED_FACE('',(#23535),#23531,.F.); +#23543=EDGE_CURVE('',#10894,#10902,#9386,.T.); +#23545=EDGE_CURVE('',#10893,#10894,#9391,.T.); +#23547=EDGE_CURVE('',#10893,#10901,#9382,.T.); +#23551=ADVANCED_FACE('',(#23550),#23541,.T.); +#23559=EDGE_CURVE('',#10894,#10893,#9396,.T.); +#23564=ADVANCED_FACE('',(#23563),#23556,.T.); +#23574=ADVANCED_FACE('',(#23573),#23569,.F.); +#23581=EDGE_CURVE('',#10906,#10914,#9404,.T.); +#23583=EDGE_CURVE('',#10905,#10906,#9409,.T.); +#23585=EDGE_CURVE('',#10905,#10913,#9400,.T.); +#23589=ADVANCED_FACE('',(#23588),#23579,.T.); +#23597=EDGE_CURVE('',#10906,#10905,#9414,.T.); +#23602=ADVANCED_FACE('',(#23601),#23594,.T.); +#23612=ADVANCED_FACE('',(#23611),#23607,.F.); +#23619=EDGE_CURVE('',#10918,#10926,#9422,.T.); +#23621=EDGE_CURVE('',#10917,#10918,#9427,.T.); +#23623=EDGE_CURVE('',#10917,#10925,#9418,.T.); +#23627=ADVANCED_FACE('',(#23626),#23617,.T.); +#23635=EDGE_CURVE('',#10918,#10917,#9432,.T.); +#23640=ADVANCED_FACE('',(#23639),#23632,.T.); +#23650=ADVANCED_FACE('',(#23649),#23645,.F.); +#23657=EDGE_CURVE('',#10930,#10938,#9440,.T.); +#23659=EDGE_CURVE('',#10929,#10930,#9445,.T.); +#23661=EDGE_CURVE('',#10929,#10937,#9436,.T.); +#23665=ADVANCED_FACE('',(#23664),#23655,.T.); +#23673=EDGE_CURVE('',#10930,#10929,#9450,.T.); +#23678=ADVANCED_FACE('',(#23677),#23670,.T.); +#23688=ADVANCED_FACE('',(#23687),#23683,.F.); +#23695=EDGE_CURVE('',#10942,#10950,#9458,.T.); +#23697=EDGE_CURVE('',#10941,#10942,#9463,.T.); +#23699=EDGE_CURVE('',#10941,#10949,#9454,.T.); +#23703=ADVANCED_FACE('',(#23702),#23693,.T.); +#23711=EDGE_CURVE('',#10942,#10941,#9468,.T.); +#23716=ADVANCED_FACE('',(#23715),#23708,.T.); +#23726=ADVANCED_FACE('',(#23725),#23721,.F.); +#23733=EDGE_CURVE('',#10954,#10962,#9476,.T.); +#23735=EDGE_CURVE('',#10953,#10954,#9481,.T.); +#23737=EDGE_CURVE('',#10953,#10961,#9472,.T.); +#23741=ADVANCED_FACE('',(#23740),#23731,.T.); +#23749=EDGE_CURVE('',#10954,#10953,#9486,.T.); +#23754=ADVANCED_FACE('',(#23753),#23746,.T.); +#23764=ADVANCED_FACE('',(#23763),#23759,.F.); +#23772=EDGE_CURVE('',#12052,#12029,#9490,.T.); +#23777=ADVANCED_FACE('',(#23776),#23769,.T.); +#23786=EDGE_CURVE('',#12054,#12030,#9494,.T.); +#23790=ADVANCED_FACE('',(#23789),#23782,.T.); +#23802=ADVANCED_FACE('',(#23801),#23795,.T.); +#23810=EDGE_CURVE('',#11658,#11744,#9498,.T.); +#23815=ADVANCED_FACE('',(#23814),#23807,.T.); +#23824=EDGE_CURVE('',#11657,#11742,#9502,.T.); +#23828=ADVANCED_FACE('',(#23827),#23820,.T.); +#23840=ADVANCED_FACE('',(#23839),#23833,.T.); +#23848=EDGE_CURVE('',#11662,#11748,#9506,.T.); +#23853=ADVANCED_FACE('',(#23852),#23845,.T.); +#23862=EDGE_CURVE('',#11661,#11746,#9510,.T.); +#23866=ADVANCED_FACE('',(#23865),#23858,.T.); +#23878=ADVANCED_FACE('',(#23877),#23871,.T.); +#23886=EDGE_CURVE('',#11670,#11756,#9514,.T.); +#23891=ADVANCED_FACE('',(#23890),#23883,.T.); +#23900=EDGE_CURVE('',#11669,#11754,#9518,.T.); +#23904=ADVANCED_FACE('',(#23903),#23896,.T.); +#23916=ADVANCED_FACE('',(#23915),#23909,.T.); +#23924=EDGE_CURVE('',#11666,#11752,#9522,.T.); +#23929=ADVANCED_FACE('',(#23928),#23921,.T.); +#23938=EDGE_CURVE('',#11665,#11750,#9526,.T.); +#23942=ADVANCED_FACE('',(#23941),#23934,.T.); +#23954=ADVANCED_FACE('',(#23953),#23947,.T.); +#23962=EDGE_CURVE('',#11674,#11760,#9530,.T.); +#23967=ADVANCED_FACE('',(#23966),#23959,.T.); +#23979=ADVANCED_FACE('',(#23978),#23972,.T.); +#23988=EDGE_CURVE('',#10366,#10010,#9538,.T.); +#23992=ADVANCED_FACE('',(#23991),#23984,.F.); +#23999=EDGE_CURVE('',#10349,#10252,#9546,.T.); +#24002=EDGE_CURVE('',#10350,#10255,#9554,.T.); +#24005=EDGE_CURVE('',#11642,#10256,#9562,.T.); +#24010=EDGE_CURVE('',#10362,#10021,#9570,.T.); +#24013=EDGE_CURVE('',#10346,#10251,#9578,.T.); +#24017=ADVANCED_FACE('',(#24016),#23997,.T.); +#24025=EDGE_CURVE('',#11634,#11650,#9582,.T.); +#24030=ADVANCED_FACE('',(#24029),#24022,.T.); +#24039=EDGE_CURVE('',#11633,#11648,#9586,.T.); +#24043=ADVANCED_FACE('',(#24042),#24035,.T.); +#24055=ADVANCED_FACE('',(#24054),#24048,.T.); +#24063=EDGE_CURVE('',#11637,#11652,#9590,.T.); +#24068=ADVANCED_FACE('',(#24067),#24060,.T.); +#24075=EDGE_CURVE('',#11638,#11654,#9594,.T.); +#24081=ADVANCED_FACE('',(#24080),#24073,.T.); +#24093=ADVANCED_FACE('',(#24092),#24086,.T.); +#24102=EDGE_CURVE('',#10358,#10022,#9598,.T.); +#24106=ADVANCED_FACE('',(#24105),#24098,.F.); +#24113=EDGE_CURVE('',#12045,#12046,#9602,.T.); +#24116=EDGE_CURVE('',#11740,#10223,#9610,.T.); +#24119=EDGE_CURVE('',#10321,#10224,#9618,.T.); +#24122=EDGE_CURVE('',#10322,#10227,#9626,.T.); +#24125=EDGE_CURVE('',#10325,#10228,#9634,.T.); +#24128=EDGE_CURVE('',#10326,#10231,#9642,.T.); +#24131=EDGE_CURVE('',#10329,#10232,#9650,.T.); +#24134=EDGE_CURVE('',#10330,#10235,#9658,.T.); +#24137=EDGE_CURVE('',#10333,#10236,#9666,.T.); +#24140=EDGE_CURVE('',#10334,#10239,#9674,.T.); +#24143=EDGE_CURVE('',#10337,#10240,#9682,.T.); +#24146=EDGE_CURVE('',#10338,#10243,#9690,.T.); +#24149=EDGE_CURVE('',#10341,#10244,#9698,.T.); +#24152=EDGE_CURVE('',#10342,#10247,#9706,.T.); +#24155=EDGE_CURVE('',#10344,#10248,#9714,.T.); +#24160=EDGE_CURVE('',#10357,#10017,#9722,.T.); +#24163=EDGE_CURVE('',#10306,#10207,#9730,.T.); +#24166=EDGE_CURVE('',#10309,#10208,#9738,.T.); +#24169=EDGE_CURVE('',#10310,#10211,#9746,.T.); +#24172=EDGE_CURVE('',#10313,#10212,#9754,.T.); +#24175=EDGE_CURVE('',#10314,#10215,#9762,.T.); +#24178=EDGE_CURVE('',#10317,#10216,#9770,.T.); +#24181=EDGE_CURVE('',#10318,#10219,#9778,.T.); +#24184=EDGE_CURVE('',#11738,#10220,#9786,.T.); +#24187=EDGE_CURVE('',#12049,#12050,#9794,.T.); +#24191=ADVANCED_FACE('',(#24190),#24111,.T.); +#24199=EDGE_CURVE('',#12056,#12033,#9798,.T.); +#24204=ADVANCED_FACE('',(#24203),#24196,.T.); +#24213=EDGE_CURVE('',#12058,#12034,#9802,.T.); +#24217=ADVANCED_FACE('',(#24216),#24209,.T.); +#24229=ADVANCED_FACE('',(#24228),#24222,.T.); +#24237=EDGE_CURVE('',#11733,#11819,#9806,.T.); +#24242=ADVANCED_FACE('',(#24241),#24234,.T.); +#24249=EDGE_CURVE('',#11734,#11820,#9810,.T.); +#24255=ADVANCED_FACE('',(#24254),#24247,.T.); +#24267=ADVANCED_FACE('',(#24266),#24260,.T.); +#24275=EDGE_CURVE('',#11693,#11779,#9814,.T.); +#24280=ADVANCED_FACE('',(#24279),#24272,.T.); +#24287=EDGE_CURVE('',#11694,#11780,#9818,.T.); +#24293=ADVANCED_FACE('',(#24292),#24285,.T.); +#24305=ADVANCED_FACE('',(#24304),#24298,.T.); +#24313=EDGE_CURVE('',#11923,#11933,#9822,.T.); +#24318=ADVANCED_FACE('',(#24317),#24310,.T.); +#24325=EDGE_CURVE('',#11924,#11934,#9826,.T.); +#24331=ADVANCED_FACE('',(#24330),#24323,.T.); +#24343=ADVANCED_FACE('',(#24342),#24336,.T.); +#24351=EDGE_CURVE('',#11689,#11775,#9830,.T.); +#24356=ADVANCED_FACE('',(#24355),#24348,.T.); +#24363=EDGE_CURVE('',#11690,#11776,#9834,.T.); +#24369=ADVANCED_FACE('',(#24368),#24361,.T.); +#24381=ADVANCED_FACE('',(#24380),#24374,.T.); +#24389=EDGE_CURVE('',#11681,#11767,#9838,.T.); +#24394=ADVANCED_FACE('',(#24393),#24386,.T.); +#24401=EDGE_CURVE('',#11682,#11768,#9842,.T.); +#24407=ADVANCED_FACE('',(#24406),#24399,.T.); +#24419=ADVANCED_FACE('',(#24418),#24412,.T.); +#24427=EDGE_CURVE('',#11685,#11771,#9846,.T.); +#24432=ADVANCED_FACE('',(#24431),#24424,.T.); +#24439=EDGE_CURVE('',#11686,#11772,#9850,.T.); +#24445=ADVANCED_FACE('',(#24444),#24437,.T.); +#24457=ADVANCED_FACE('',(#24456),#24450,.T.); +#24465=EDGE_CURVE('',#11677,#11763,#9854,.T.); +#24470=ADVANCED_FACE('',(#24469),#24462,.T.); +#24477=EDGE_CURVE('',#11678,#11764,#9858,.T.); +#24483=ADVANCED_FACE('',(#24482),#24475,.T.); +#24495=ADVANCED_FACE('',(#24494),#24488,.T.); +#24504=EDGE_CURVE('',#10382,#10018,#9862,.T.); +#24508=ADVANCED_FACE('',(#24507),#24500,.F.); +#24515=EDGE_CURVE('',#10204,#10198,#9870,.T.); +#24518=EDGE_CURVE('',#10304,#10201,#9878,.T.); +#24521=EDGE_CURVE('',#11640,#10202,#9886,.T.); +#24526=EDGE_CURVE('',#10378,#10013,#9894,.T.); +#24529=EDGE_CURVE('',#10259,#10197,#9902,.T.); +#24533=ADVANCED_FACE('',(#24532),#24513,.T.); +#24541=EDGE_CURVE('',#10150,#10262,#9906,.T.); +#24546=ADVANCED_FACE('',(#24545),#24538,.T.); +#24555=EDGE_CURVE('',#10149,#10260,#9910,.T.); +#24559=ADVANCED_FACE('',(#24558),#24551,.T.); +#24571=ADVANCED_FACE('',(#24570),#24564,.T.); +#24579=EDGE_CURVE('',#11629,#11644,#9914,.T.); +#24584=ADVANCED_FACE('',(#24583),#24576,.T.); +#24591=EDGE_CURVE('',#11630,#11646,#9918,.T.); +#24597=ADVANCED_FACE('',(#24596),#24589,.T.); +#24609=ADVANCED_FACE('',(#24608),#24602,.T.); +#24621=ADVANCED_FACE('',(#24620),#24614,.F.); +#24629=EDGE_CURVE('',#11717,#11803,#9926,.T.); +#24634=ADVANCED_FACE('',(#24633),#24626,.T.); +#24641=EDGE_CURVE('',#11718,#11804,#9930,.T.); +#24647=ADVANCED_FACE('',(#24646),#24639,.T.); +#24659=ADVANCED_FACE('',(#24658),#24652,.T.); +#24667=EDGE_CURVE('',#11725,#11811,#9934,.T.); +#24672=ADVANCED_FACE('',(#24671),#24664,.T.); +#24679=EDGE_CURVE('',#11726,#11812,#9938,.T.); +#24685=ADVANCED_FACE('',(#24684),#24677,.T.); +#24697=ADVANCED_FACE('',(#24696),#24690,.T.); +#24705=EDGE_CURVE('',#11721,#11807,#9942,.T.); +#24710=ADVANCED_FACE('',(#24709),#24702,.T.); +#24717=EDGE_CURVE('',#11722,#11808,#9946,.T.); +#24723=ADVANCED_FACE('',(#24722),#24715,.T.); +#24735=ADVANCED_FACE('',(#24734),#24728,.T.); +#24743=EDGE_CURVE('',#11729,#11815,#9950,.T.); +#24748=ADVANCED_FACE('',(#24747),#24740,.T.); +#24755=EDGE_CURVE('',#11730,#11816,#9954,.T.); +#24761=ADVANCED_FACE('',(#24760),#24753,.T.); +#24773=ADVANCED_FACE('',(#24772),#24766,.T.); +#24781=EDGE_CURVE('',#11714,#11800,#9958,.T.); +#24786=ADVANCED_FACE('',(#24785),#24778,.T.); +#24795=EDGE_CURVE('',#11713,#11798,#9962,.T.); +#24799=ADVANCED_FACE('',(#24798),#24791,.T.); +#24811=ADVANCED_FACE('',(#24810),#24804,.T.); +#24819=EDGE_CURVE('',#11706,#11792,#9966,.T.); +#24824=ADVANCED_FACE('',(#24823),#24816,.T.); +#24833=EDGE_CURVE('',#11705,#11790,#9970,.T.); +#24837=ADVANCED_FACE('',(#24836),#24829,.T.); +#24849=ADVANCED_FACE('',(#24848),#24842,.T.); +#24857=EDGE_CURVE('',#11710,#11796,#9974,.T.); +#24862=ADVANCED_FACE('',(#24861),#24854,.T.); +#24871=EDGE_CURVE('',#11709,#11794,#9978,.T.); +#24875=ADVANCED_FACE('',(#24874),#24867,.T.); +#24887=ADVANCED_FACE('',(#24886),#24880,.T.); +#24895=EDGE_CURVE('',#11702,#11788,#9982,.T.); +#24900=ADVANCED_FACE('',(#24899),#24892,.T.); +#24909=EDGE_CURVE('',#11701,#11786,#9986,.T.); +#24913=ADVANCED_FACE('',(#24912),#24905,.T.); +#24925=ADVANCED_FACE('',(#24924),#24918,.T.); +#24933=EDGE_CURVE('',#11698,#11784,#9990,.T.); +#24938=ADVANCED_FACE('',(#24937),#24930,.T.); +#24947=EDGE_CURVE('',#11697,#11782,#9994,.T.); +#24951=ADVANCED_FACE('',(#24950),#24943,.T.); +#24963=ADVANCED_FACE('',(#24962),#24956,.T.); +#24971=EDGE_CURVE('',#11920,#11930,#9998,.T.); +#24976=ADVANCED_FACE('',(#24975),#24968,.T.); +#24985=EDGE_CURVE('',#11919,#11928,#10002,.T.); +#24989=ADVANCED_FACE('',(#24988),#24981,.T.); +#25001=ADVANCED_FACE('',(#25000),#24994,.T.); +#25004=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#25005=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#25008=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#25010=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( +#25009))GLOBAL_UNIT_ASSIGNED_CONTEXT((#25004,#25007,#25008))REPRESENTATION_CONTEXT('ID1','3')); +#25011=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#25003),#25010); +#25018=PRODUCT_DEFINITION('part definition','',#25017,#25014); +#25019=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR C-1-776163-2.',#25018); +#25020=SHAPE_ASPECT('','solid data associated with C-1-776163-2',#25019,.F.); +#25021=PROPERTY_DEFINITION('', +'shape for solid data with which properties are associated',#25020); +#25022=SHAPE_REPRESENTATION('',(#25003),#25010); +#25023=SHAPE_DEFINITION_REPRESENTATION(#25021,#25022); +#25024=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#25028=PROPERTY_DEFINITION('geometric validation property', +'area of C-1-776163-2',#25020); +#25029=REPRESENTATION('surface area',(#25027),#25010); +#25030=PROPERTY_DEFINITION_REPRESENTATION(#25028,#25029); +#25031=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#25035=PROPERTY_DEFINITION('geometric validation property', +'volume of C-1-776163-2',#25020); +#25036=REPRESENTATION('volume',(#25034),#25010); +#25037=PROPERTY_DEFINITION_REPRESENTATION(#25035,#25036); +#25039=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-1-776163-2',#25020); +#25040=REPRESENTATION('centroid',(#25038),#25010); +#25041=PROPERTY_DEFINITION_REPRESENTATION(#25039,#25040); +#25042=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#25046=PROPERTY_DEFINITION('geometric validation property', +'area of C-1-776163-2',#25019); +#25047=REPRESENTATION('surface area',(#25045),#25010); +#25048=PROPERTY_DEFINITION_REPRESENTATION(#25046,#25047); +#25049=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#25053=PROPERTY_DEFINITION('geometric validation property', +'volume of C-1-776163-2',#25019); +#25054=REPRESENTATION('volume',(#25052),#25010); +#25055=PROPERTY_DEFINITION_REPRESENTATION(#25053,#25054); +#25057=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-1-776163-2',#25019); +#25058=REPRESENTATION('centroid',(#25056),#25010); +#25059=PROPERTY_DEFINITION_REPRESENTATION(#25057,#25058); +#25060=SHAPE_DEFINITION_REPRESENTATION(#25019,#25011); +ENDSEC; +END-ISO-10303-21; diff --git a/lib/c-770669-1-n-3d.stp b/lib/c-770669-1-n-3d.stp new file mode 100644 index 0000000..cec4beb --- /dev/null +++ b/lib/c-770669-1-n-3d.stp @@ -0,0 +1,31836 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION((''),'2;1'); +FILE_NAME('C-770669-1','2017-02-15T',('workeradm'),('Tyco Electronics Ltd.'), +'CREO PARAMETRIC BY PTC INC, 2016050','CREO PARAMETRIC BY PTC INC, 2016050',''); +FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); +ENDSEC; +DATA; +#134=DIRECTION('',(0.E0,0.E0,1.E0)); +#135=VECTOR('',#134,9.55E0); +#136=CARTESIAN_POINT('',(-5.75E-1,1.03E1,-2.E1)); +#137=LINE('',#136,#135); +#138=DIRECTION('',(0.E0,0.E0,1.E0)); +#139=VECTOR('',#138,9.55E0); +#140=CARTESIAN_POINT('',(-2.925E0,1.03E1,-2.E1)); +#141=LINE('',#140,#139); +#142=DIRECTION('',(1.E0,0.E0,0.E0)); +#143=VECTOR('',#142,1.325E0); +#144=CARTESIAN_POINT('',(-4.25E0,1.03E1,-2.E1)); +#145=LINE('',#144,#143); +#146=DIRECTION('',(0.E0,0.E0,1.E0)); +#147=VECTOR('',#146,9.55E0); +#148=CARTESIAN_POINT('',(-4.25E0,1.03E1,-2.E1)); +#149=LINE('',#148,#147); +#150=DIRECTION('',(1.E0,0.E0,0.E0)); +#151=VECTOR('',#150,3.844505578597E-1); +#152=CARTESIAN_POINT('',(-5.442225278930E0,1.03E1,-1.E1)); +#153=LINE('',#152,#151); +#154=DIRECTION('',(0.E0,0.E0,1.E0)); +#155=VECTOR('',#154,9.55E0); +#156=CARTESIAN_POINT('',(-6.25E0,1.03E1,-2.E1)); +#157=LINE('',#156,#155); +#158=DIRECTION('',(1.E0,0.E0,0.E0)); +#159=VECTOR('',#158,1.5E0); +#160=CARTESIAN_POINT('',(-7.75E0,1.03E1,-2.E1)); +#161=LINE('',#160,#159); +#162=DIRECTION('',(0.E0,0.E0,1.E0)); +#163=VECTOR('',#162,9.55E0); +#164=CARTESIAN_POINT('',(-7.75E0,1.03E1,-2.E1)); +#165=LINE('',#164,#163); +#166=DIRECTION('',(1.E0,0.E0,0.E0)); +#167=VECTOR('',#166,3.844505578596E-1); +#168=CARTESIAN_POINT('',(-8.942225278930E0,1.03E1,-1.E1)); +#169=LINE('',#168,#167); +#170=DIRECTION('',(0.E0,0.E0,1.E0)); +#171=VECTOR('',#170,9.55E0); +#172=CARTESIAN_POINT('',(-9.75E0,1.03E1,-2.E1)); +#173=LINE('',#172,#171); +#174=DIRECTION('',(1.E0,0.E0,0.E0)); +#175=VECTOR('',#174,1.5E0); +#176=CARTESIAN_POINT('',(-1.125E1,1.03E1,-2.E1)); +#177=LINE('',#176,#175); +#178=DIRECTION('',(0.E0,0.E0,1.E0)); +#179=VECTOR('',#178,9.55E0); +#180=CARTESIAN_POINT('',(-1.125E1,1.03E1,-2.E1)); +#181=LINE('',#180,#179); +#182=DIRECTION('',(1.E0,0.E0,0.E0)); +#183=VECTOR('',#182,3.844505578596E-1); +#184=CARTESIAN_POINT('',(-1.244222527893E1,1.03E1,-1.E1)); +#185=LINE('',#184,#183); +#186=DIRECTION('',(0.E0,0.E0,1.E0)); +#187=VECTOR('',#186,9.55E0); +#188=CARTESIAN_POINT('',(-1.325E1,1.03E1,-2.E1)); +#189=LINE('',#188,#187); +#190=DIRECTION('',(1.E0,0.E0,0.E0)); +#191=VECTOR('',#190,2.25E0); +#192=CARTESIAN_POINT('',(-1.55E1,1.03E1,-2.E1)); +#193=LINE('',#192,#191); +#194=DIRECTION('',(1.E0,0.E0,0.E0)); +#195=VECTOR('',#194,3.1E1); +#196=CARTESIAN_POINT('',(-1.55E1,1.03E1,-2.E0)); +#197=LINE('',#196,#195); +#198=DIRECTION('',(1.E0,0.E0,0.E0)); +#199=VECTOR('',#198,2.25E0); +#200=CARTESIAN_POINT('',(1.325E1,1.03E1,-2.E1)); +#201=LINE('',#200,#199); +#202=DIRECTION('',(0.E0,0.E0,1.E0)); +#203=VECTOR('',#202,9.55E0); +#204=CARTESIAN_POINT('',(1.325E1,1.03E1,-2.E1)); +#205=LINE('',#204,#203); +#206=DIRECTION('',(1.E0,0.E0,0.E0)); +#207=VECTOR('',#206,3.844505578597E-1); +#208=CARTESIAN_POINT('',(1.205777472107E1,1.03E1,-1.E1)); +#209=LINE('',#208,#207); +#210=DIRECTION('',(0.E0,0.E0,1.E0)); +#211=VECTOR('',#210,9.55E0); +#212=CARTESIAN_POINT('',(1.125E1,1.03E1,-2.E1)); +#213=LINE('',#212,#211); +#214=DIRECTION('',(1.E0,0.E0,0.E0)); +#215=VECTOR('',#214,1.5E0); +#216=CARTESIAN_POINT('',(9.75E0,1.03E1,-2.E1)); +#217=LINE('',#216,#215); +#218=DIRECTION('',(0.E0,0.E0,1.E0)); +#219=VECTOR('',#218,9.55E0); +#220=CARTESIAN_POINT('',(9.75E0,1.03E1,-2.E1)); +#221=LINE('',#220,#219); +#222=DIRECTION('',(1.E0,0.E0,0.E0)); +#223=VECTOR('',#222,3.844505578597E-1); +#224=CARTESIAN_POINT('',(8.557774721070E0,1.03E1,-1.E1)); +#225=LINE('',#224,#223); +#226=DIRECTION('',(0.E0,0.E0,1.E0)); +#227=VECTOR('',#226,9.55E0); +#228=CARTESIAN_POINT('',(7.75E0,1.03E1,-2.E1)); +#229=LINE('',#228,#227); +#230=DIRECTION('',(1.E0,0.E0,0.E0)); +#231=VECTOR('',#230,1.5E0); +#232=CARTESIAN_POINT('',(6.25E0,1.03E1,-2.E1)); +#233=LINE('',#232,#231); +#234=DIRECTION('',(0.E0,0.E0,1.E0)); +#235=VECTOR('',#234,9.55E0); +#236=CARTESIAN_POINT('',(6.25E0,1.03E1,-2.E1)); +#237=LINE('',#236,#235); +#238=DIRECTION('',(1.E0,0.E0,0.E0)); +#239=VECTOR('',#238,3.844505578596E-1); +#240=CARTESIAN_POINT('',(5.057774721070E0,1.03E1,-1.E1)); +#241=LINE('',#240,#239); +#242=DIRECTION('',(0.E0,0.E0,1.E0)); +#243=VECTOR('',#242,9.55E0); +#244=CARTESIAN_POINT('',(4.25E0,1.03E1,-2.E1)); +#245=LINE('',#244,#243); +#246=DIRECTION('',(1.E0,0.E0,0.E0)); +#247=VECTOR('',#246,1.5E0); +#248=CARTESIAN_POINT('',(2.75E0,1.03E1,-2.E1)); +#249=LINE('',#248,#247); +#250=DIRECTION('',(0.E0,0.E0,1.E0)); +#251=VECTOR('',#250,9.55E0); +#252=CARTESIAN_POINT('',(2.75E0,1.03E1,-2.E1)); +#253=LINE('',#252,#251); +#254=DIRECTION('',(1.E0,0.E0,0.E0)); +#255=VECTOR('',#254,3.844505578596E-1); +#256=CARTESIAN_POINT('',(1.557774721070E0,1.03E1,-1.E1)); +#257=LINE('',#256,#255); +#258=DIRECTION('',(0.E0,0.E0,1.E0)); +#259=VECTOR('',#258,9.55E0); +#260=CARTESIAN_POINT('',(7.5E-1,1.03E1,-2.E1)); +#261=LINE('',#260,#259); +#262=DIRECTION('',(1.E0,0.E0,0.E0)); +#263=VECTOR('',#262,1.325E0); +#264=CARTESIAN_POINT('',(-5.75E-1,1.03E1,-2.E1)); +#265=LINE('',#264,#263); +#266=CARTESIAN_POINT('',(-5.75E-1,9.8E0,-1.045E1)); +#267=DIRECTION('',(0.E0,0.E0,-1.E0)); +#268=DIRECTION('',(-1.E0,0.E0,0.E0)); +#269=AXIS2_PLACEMENT_3D('',#266,#267,#268); +#271=DIRECTION('',(0.E0,2.418635390861E-12,1.E0)); +#272=VECTOR('',#271,9.55E0); +#273=CARTESIAN_POINT('',(-1.075E0,9.8E0,-2.E1)); +#274=LINE('',#273,#272); +#275=CARTESIAN_POINT('',(-1.525E0,9.8E0,-1.045E1)); +#276=DIRECTION('',(0.E0,1.E0,0.E0)); +#277=DIRECTION('',(0.E0,0.E0,1.E0)); +#278=AXIS2_PLACEMENT_3D('',#275,#276,#277); +#280=CARTESIAN_POINT('',(-1.382774721070E0,1.03E1,-1.E1)); +#281=CARTESIAN_POINT('',(-1.330383322705E0,1.03E1,-1.E1)); +#282=CARTESIAN_POINT('',(-1.224195181513E0,1.03E1,-1.001285537861E1)); +#283=CARTESIAN_POINT('',(-1.056691285149E0,1.03E1,-1.007315715647E1)); +#284=CARTESIAN_POINT('',(-8.929786341348E-1,1.03E1,-1.017101580606E1)); +#285=CARTESIAN_POINT('',(-7.344953160272E-1,1.03E1,-1.029894496681E1)); +#286=CARTESIAN_POINT('',(-6.293505418350E-1,1.03E1,-1.039843854872E1)); +#287=CARTESIAN_POINT('',(-5.75E-1,1.03E1,-1.045E1)); +#289=CARTESIAN_POINT('',(-1.525E0,7.85E0,-1.045E1)); +#290=DIRECTION('',(0.E0,1.E0,0.E0)); +#291=DIRECTION('',(0.E0,0.E0,1.E0)); +#292=AXIS2_PLACEMENT_3D('',#289,#290,#291); +#294=CARTESIAN_POINT('',(-1.125E1,9.8E0,-1.E1)); +#295=DIRECTION('',(0.E0,0.E0,-1.E0)); +#296=DIRECTION('',(-1.E0,0.E0,0.E0)); +#297=AXIS2_PLACEMENT_3D('',#294,#295,#296); +#299=DIRECTION('',(1.603458106935E-11,1.E0,0.E0)); +#300=VECTOR('',#299,7.500000000453E-1); +#301=CARTESIAN_POINT('',(-1.22E1,9.05E0,-1.E1)); +#302=LINE('',#301,#300); +#303=DIRECTION('',(1.E0,0.E0,0.E0)); +#304=VECTOR('',#303,1.E-1); +#305=CARTESIAN_POINT('',(-1.23E1,9.05E0,-1.E1)); +#306=LINE('',#305,#304); +#307=DIRECTION('',(8.249377435430E-10,-1.E0,0.E0)); +#308=VECTOR('',#307,7.500000038173E-1); +#309=CARTESIAN_POINT('',(-1.230000000062E1,9.800000003817E0,-1.E1)); +#310=LINE('',#309,#308); +#311=CARTESIAN_POINT('',(-1.325E1,9.8E0,-1.E1)); +#312=DIRECTION('',(0.E0,0.E0,-1.E0)); +#313=DIRECTION('',(8.502891800739E-1,5.263157894737E-1,0.E0)); +#314=AXIS2_PLACEMENT_3D('',#311,#312,#313); +#316=CARTESIAN_POINT('',(-7.75E0,9.8E0,-1.E1)); +#317=DIRECTION('',(0.E0,0.E0,-1.E0)); +#318=DIRECTION('',(-1.E0,0.E0,0.E0)); +#319=AXIS2_PLACEMENT_3D('',#316,#317,#318); +#321=DIRECTION('',(1.600615935992E-11,1.E0,0.E0)); +#322=VECTOR('',#321,7.500000000454E-1); +#323=CARTESIAN_POINT('',(-8.7E0,9.05E0,-1.E1)); +#324=LINE('',#323,#322); +#325=DIRECTION('',(1.E0,1.776356839400E-14,0.E0)); +#326=VECTOR('',#325,1.E-1); +#327=CARTESIAN_POINT('',(-8.8E0,9.05E0,-1.E1)); +#328=LINE('',#327,#326); +#329=DIRECTION('',(8.113474295947E-10,-1.E0,0.E0)); +#330=VECTOR('',#329,7.500000037786E-1); +#331=CARTESIAN_POINT('',(-8.800000000609E0,9.800000003779E0,-1.E1)); +#332=LINE('',#331,#330); +#333=CARTESIAN_POINT('',(-9.75E0,9.8E0,-1.E1)); +#334=DIRECTION('',(0.E0,0.E0,-1.E0)); +#335=DIRECTION('',(8.502891800739E-1,5.263157894737E-1,0.E0)); +#336=AXIS2_PLACEMENT_3D('',#333,#334,#335); +#338=CARTESIAN_POINT('',(-4.25E0,9.8E0,-1.E1)); +#339=DIRECTION('',(0.E0,0.E0,-1.E0)); +#340=DIRECTION('',(-1.E0,0.E0,0.E0)); +#341=AXIS2_PLACEMENT_3D('',#338,#339,#340); +#343=DIRECTION('',(1.600142240835E-11,1.E0,0.E0)); +#344=VECTOR('',#343,7.500000000454E-1); +#345=CARTESIAN_POINT('',(-5.2E0,9.05E0,-1.E1)); +#346=LINE('',#345,#344); +#347=DIRECTION('',(1.E0,1.776356839400E-14,0.E0)); +#348=VECTOR('',#347,1.E-1); +#349=CARTESIAN_POINT('',(-5.3E0,9.05E0,-1.E1)); +#350=LINE('',#349,#348); +#351=DIRECTION('',(8.113462453568E-10,-1.E0,0.E0)); +#352=VECTOR('',#351,7.500000037786E-1); +#353=CARTESIAN_POINT('',(-5.300000000609E0,9.800000003779E0,-1.E1)); +#354=LINE('',#353,#352); +#355=CARTESIAN_POINT('',(-6.25E0,9.8E0,-1.E1)); +#356=DIRECTION('',(0.E0,0.E0,-1.E0)); +#357=DIRECTION('',(8.502891800739E-1,5.263157894737E-1,0.E0)); +#358=AXIS2_PLACEMENT_3D('',#355,#356,#357); +#360=CARTESIAN_POINT('',(2.75E0,9.8E0,-1.E1)); +#361=DIRECTION('',(0.E0,0.E0,-1.E0)); +#362=DIRECTION('',(-1.E0,0.E0,0.E0)); +#363=AXIS2_PLACEMENT_3D('',#360,#361,#362); +#365=DIRECTION('',(1.600171846782E-11,1.E0,0.E0)); +#366=VECTOR('',#365,7.500000000454E-1); +#367=CARTESIAN_POINT('',(1.8E0,9.05E0,-1.E1)); +#368=LINE('',#367,#366); +#369=DIRECTION('',(1.E0,1.776356839400E-14,0.E0)); +#370=VECTOR('',#369,1.E-1); +#371=CARTESIAN_POINT('',(1.7E0,9.05E0,-1.E1)); +#372=LINE('',#371,#370); +#373=DIRECTION('',(8.113456532379E-10,-1.E0,0.E0)); +#374=VECTOR('',#373,7.500000037786E-1); +#375=CARTESIAN_POINT('',(1.699999999392E0,9.800000003779E0,-1.E1)); +#376=LINE('',#375,#374); +#377=CARTESIAN_POINT('',(7.5E-1,9.8E0,-1.E1)); +#378=DIRECTION('',(0.E0,0.E0,-1.E0)); +#379=DIRECTION('',(8.502891800739E-1,5.263157894737E-1,0.E0)); +#380=AXIS2_PLACEMENT_3D('',#377,#378,#379); +#382=CARTESIAN_POINT('',(6.25E0,9.8E0,-1.E1)); +#383=DIRECTION('',(0.E0,0.E0,-1.E0)); +#384=DIRECTION('',(-1.E0,0.E0,0.E0)); +#385=AXIS2_PLACEMENT_3D('',#382,#383,#384); +#387=DIRECTION('',(1.600260664624E-11,1.E0,0.E0)); +#388=VECTOR('',#387,7.500000000454E-1); +#389=CARTESIAN_POINT('',(5.3E0,9.05E0,-1.E1)); +#390=LINE('',#389,#388); +#391=DIRECTION('',(1.E0,1.776356839400E-14,0.E0)); +#392=VECTOR('',#391,1.E-1); +#393=CARTESIAN_POINT('',(5.2E0,9.05E0,-1.E1)); +#394=LINE('',#393,#392); +#395=DIRECTION('',(8.113497980705E-10,-1.E0,0.E0)); +#396=VECTOR('',#395,7.500000037786E-1); +#397=CARTESIAN_POINT('',(5.199999999391E0,9.800000003779E0,-1.E1)); +#398=LINE('',#397,#396); +#399=CARTESIAN_POINT('',(4.25E0,9.8E0,-1.E1)); +#400=DIRECTION('',(0.E0,0.E0,-1.E0)); +#401=DIRECTION('',(8.502891800739E-1,5.263157894737E-1,0.E0)); +#402=AXIS2_PLACEMENT_3D('',#399,#400,#401); +#404=CARTESIAN_POINT('',(9.75E0,9.8E0,-1.E1)); +#405=DIRECTION('',(0.E0,0.E0,-1.E0)); +#406=DIRECTION('',(-1.E0,0.E0,0.E0)); +#407=AXIS2_PLACEMENT_3D('',#404,#405,#406); +#409=DIRECTION('',(1.600615935992E-11,1.E0,0.E0)); +#410=VECTOR('',#409,7.500000000454E-1); +#411=CARTESIAN_POINT('',(8.8E0,9.05E0,-1.E1)); +#412=LINE('',#411,#410); +#413=DIRECTION('',(1.E0,0.E0,0.E0)); +#414=VECTOR('',#413,1.E-1); +#415=CARTESIAN_POINT('',(8.7E0,9.05E0,-1.E1)); +#416=LINE('',#415,#414); +#417=DIRECTION('',(8.113474295947E-10,-1.E0,0.E0)); +#418=VECTOR('',#417,7.500000037786E-1); +#419=CARTESIAN_POINT('',(8.699999999391E0,9.800000003779E0,-1.E1)); +#420=LINE('',#419,#418); +#421=CARTESIAN_POINT('',(7.75E0,9.8E0,-1.E1)); +#422=DIRECTION('',(0.E0,0.E0,-1.E0)); +#423=DIRECTION('',(8.502891800739E-1,5.263157894737E-1,0.E0)); +#424=AXIS2_PLACEMENT_3D('',#421,#422,#423); +#426=CARTESIAN_POINT('',(1.325E1,9.8E0,-1.E1)); +#427=DIRECTION('',(0.E0,0.E0,-1.E0)); +#428=DIRECTION('',(-1.E0,0.E0,0.E0)); +#429=AXIS2_PLACEMENT_3D('',#426,#427,#428); +#431=DIRECTION('',(1.603458106935E-11,1.E0,0.E0)); +#432=VECTOR('',#431,7.500000000453E-1); +#433=CARTESIAN_POINT('',(1.23E1,9.05E0,-1.E1)); +#434=LINE('',#433,#432); +#435=DIRECTION('',(1.E0,0.E0,0.E0)); +#436=VECTOR('',#435,1.E-1); +#437=CARTESIAN_POINT('',(1.22E1,9.05E0,-1.E1)); +#438=LINE('',#437,#436); +#439=DIRECTION('',(8.249377435430E-10,-1.E0,0.E0)); +#440=VECTOR('',#439,7.500000038173E-1); +#441=CARTESIAN_POINT('',(1.219999999938E1,9.800000003817E0,-1.E1)); +#442=LINE('',#441,#440); +#443=CARTESIAN_POINT('',(1.125E1,9.8E0,-1.E1)); +#444=DIRECTION('',(0.E0,0.E0,-1.E0)); +#445=DIRECTION('',(8.502891800739E-1,5.263157894737E-1,0.E0)); +#446=AXIS2_PLACEMENT_3D('',#443,#444,#445); +#448=CARTESIAN_POINT('',(-1.325E1,-9.8E0,-1.E1)); +#449=DIRECTION('',(0.E0,0.E0,-1.E0)); +#450=DIRECTION('',(1.E0,0.E0,0.E0)); +#451=AXIS2_PLACEMENT_3D('',#448,#449,#450); +#453=DIRECTION('',(-1.451875656649E-11,-1.E0,0.E0)); +#454=VECTOR('',#453,7.500000000453E-1); +#455=CARTESIAN_POINT('',(-1.23E1,-9.05E0,-1.E1)); +#456=LINE('',#455,#454); +#457=DIRECTION('',(-1.E0,-1.776356839400E-14,0.E0)); +#458=VECTOR('',#457,1.E-1); +#459=CARTESIAN_POINT('',(-1.22E1,-9.05E0,-1.E1)); +#460=LINE('',#459,#458); +#461=DIRECTION('',(-8.172401973012E-10,1.E0,0.E0)); +#462=VECTOR('',#461,7.500000037961E-1); +#463=CARTESIAN_POINT('',(-1.219999999939E1,-9.800000003796E0,-1.E1)); +#464=LINE('',#463,#462); +#465=CARTESIAN_POINT('',(-1.125E1,-9.8E0,-1.E1)); +#466=DIRECTION('',(0.E0,0.E0,-1.E0)); +#467=DIRECTION('',(-8.502891800739E-1,-5.263157894737E-1,0.E0)); +#468=AXIS2_PLACEMENT_3D('',#465,#466,#467); +#470=CARTESIAN_POINT('',(-9.75E0,-9.8E0,-1.E1)); +#471=DIRECTION('',(0.E0,0.E0,-1.E0)); +#472=DIRECTION('',(1.E0,0.E0,0.E0)); +#473=AXIS2_PLACEMENT_3D('',#470,#471,#472); +#475=DIRECTION('',(-1.448796638127E-11,-1.E0,0.E0)); +#476=VECTOR('',#475,7.500000000454E-1); +#477=CARTESIAN_POINT('',(-8.8E0,-9.05E0,-1.E1)); +#478=LINE('',#477,#476); +#479=DIRECTION('',(-1.E0,0.E0,0.E0)); +#480=VECTOR('',#479,1.E-1); +#481=CARTESIAN_POINT('',(-8.7E0,-9.05E0,-1.E1)); +#482=LINE('',#481,#480); +#483=DIRECTION('',(-8.037872549467E-10,1.E0,0.E0)); +#484=VECTOR('',#483,7.500000037577E-1); +#485=CARTESIAN_POINT('',(-8.699999999397E0,-9.800000003758E0,-1.E1)); +#486=LINE('',#485,#484); +#487=CARTESIAN_POINT('',(-7.75E0,-9.8E0,-1.E1)); +#488=DIRECTION('',(0.E0,0.E0,-1.E0)); +#489=DIRECTION('',(-8.502891800739E-1,-5.263157894737E-1,0.E0)); +#490=AXIS2_PLACEMENT_3D('',#487,#488,#489); +#492=CARTESIAN_POINT('',(-6.25E0,-9.8E0,-1.E1)); +#493=DIRECTION('',(0.E0,0.E0,-1.E0)); +#494=DIRECTION('',(1.E0,0.E0,0.E0)); +#495=AXIS2_PLACEMENT_3D('',#492,#493,#494); +#497=DIRECTION('',(-1.448678214338E-11,-1.E0,0.E0)); +#498=VECTOR('',#497,7.500000000454E-1); +#499=CARTESIAN_POINT('',(-5.3E0,-9.05E0,-1.E1)); +#500=LINE('',#499,#498); +#501=DIRECTION('',(-1.E0,0.E0,0.E0)); +#502=VECTOR('',#501,1.E-1); +#503=CARTESIAN_POINT('',(-5.2E0,-9.05E0,-1.E1)); +#504=LINE('',#503,#502); +#505=DIRECTION('',(-8.037848864710E-10,1.E0,0.E0)); +#506=VECTOR('',#505,7.500000037577E-1); +#507=CARTESIAN_POINT('',(-5.199999999397E0,-9.800000003758E0,-1.E1)); +#508=LINE('',#507,#506); +#509=CARTESIAN_POINT('',(-4.25E0,-9.8E0,-1.E1)); +#510=DIRECTION('',(0.E0,0.E0,-1.E0)); +#511=DIRECTION('',(-8.502891800739E-1,-5.263157894737E-1,0.E0)); +#512=AXIS2_PLACEMENT_3D('',#509,#510,#511); +#514=CARTESIAN_POINT('',(-2.75E0,-9.8E0,-1.E1)); +#515=DIRECTION('',(0.E0,0.E0,-1.E0)); +#516=DIRECTION('',(1.E0,0.E0,0.E0)); +#517=AXIS2_PLACEMENT_3D('',#514,#515,#516); +#519=DIRECTION('',(-1.448619002443E-11,-1.E0,0.E0)); +#520=VECTOR('',#519,7.500000000454E-1); +#521=CARTESIAN_POINT('',(-1.8E0,-9.05E0,-1.E1)); +#522=LINE('',#521,#520); +#523=DIRECTION('',(-1.E0,-1.776356839400E-14,0.E0)); +#524=VECTOR('',#523,1.E-1); +#525=CARTESIAN_POINT('',(-1.7E0,-9.05E0,-1.E1)); +#526=LINE('',#525,#524); +#527=DIRECTION('',(-8.037810376978E-10,1.E0,0.E0)); +#528=VECTOR('',#527,7.500000037577E-1); +#529=CARTESIAN_POINT('',(-1.699999999397E0,-9.800000003758E0,-1.E1)); +#530=LINE('',#529,#528); +#531=CARTESIAN_POINT('',(-7.5E-1,-9.8E0,-1.E1)); +#532=DIRECTION('',(0.E0,0.E0,-1.E0)); +#533=DIRECTION('',(-8.502891800739E-1,-5.263157894737E-1,0.E0)); +#534=AXIS2_PLACEMENT_3D('',#531,#532,#533); +#536=CARTESIAN_POINT('',(7.5E-1,-9.8E0,-1.E1)); +#537=DIRECTION('',(0.E0,0.E0,-1.E0)); +#538=DIRECTION('',(1.E0,0.E0,0.E0)); +#539=AXIS2_PLACEMENT_3D('',#536,#537,#538); +#541=DIRECTION('',(-1.448648608391E-11,-1.E0,0.E0)); +#542=VECTOR('',#541,7.500000000454E-1); +#543=CARTESIAN_POINT('',(1.7E0,-9.05E0,-1.E1)); +#544=LINE('',#543,#542); +#545=DIRECTION('',(-1.E0,0.E0,0.E0)); +#546=VECTOR('',#545,1.E-1); +#547=CARTESIAN_POINT('',(1.8E0,-9.05E0,-1.E1)); +#548=LINE('',#547,#546); +#549=DIRECTION('',(-8.037795574005E-10,1.E0,0.E0)); +#550=VECTOR('',#549,7.500000037577E-1); +#551=CARTESIAN_POINT('',(1.800000000603E0,-9.800000003758E0,-1.E1)); +#552=LINE('',#551,#550); +#553=CARTESIAN_POINT('',(2.75E0,-9.8E0,-1.E1)); +#554=DIRECTION('',(0.E0,0.E0,-1.E0)); +#555=DIRECTION('',(-8.502891800739E-1,-5.263157894737E-1,0.E0)); +#556=AXIS2_PLACEMENT_3D('',#553,#554,#555); +#558=CARTESIAN_POINT('',(7.75E0,-9.8E0,-1.E1)); +#559=DIRECTION('',(0.E0,0.E0,-1.E0)); +#560=DIRECTION('',(1.E0,0.E0,0.E0)); +#561=AXIS2_PLACEMENT_3D('',#558,#559,#560); +#563=DIRECTION('',(-1.449033485706E-11,-1.E0,0.E0)); +#564=VECTOR('',#563,7.500000000454E-1); +#565=CARTESIAN_POINT('',(8.7E0,-9.05E0,-1.E1)); +#566=LINE('',#565,#564); +#567=DIRECTION('',(-1.E0,0.E0,0.E0)); +#568=VECTOR('',#567,1.E-1); +#569=CARTESIAN_POINT('',(8.8E0,-9.05E0,-1.E1)); +#570=LINE('',#569,#568); +#571=DIRECTION('',(-8.037825179952E-10,1.E0,0.E0)); +#572=VECTOR('',#571,7.500000037577E-1); +#573=CARTESIAN_POINT('',(8.800000000603E0,-9.800000003758E0,-1.E1)); +#574=LINE('',#573,#572); +#575=CARTESIAN_POINT('',(9.75E0,-9.8E0,-1.E1)); +#576=DIRECTION('',(0.E0,0.E0,-1.E0)); +#577=DIRECTION('',(-8.502891800739E-1,-5.263157894737E-1,0.E0)); +#578=AXIS2_PLACEMENT_3D('',#575,#576,#577); +#580=CARTESIAN_POINT('',(1.125E1,-9.8E0,-1.E1)); +#581=DIRECTION('',(0.E0,0.E0,-1.E0)); +#582=DIRECTION('',(1.E0,0.E0,0.E0)); +#583=AXIS2_PLACEMENT_3D('',#580,#581,#582); +#585=DIRECTION('',(-1.451875656649E-11,-1.E0,0.E0)); +#586=VECTOR('',#585,7.500000000453E-1); +#587=CARTESIAN_POINT('',(1.22E1,-9.05E0,-1.E1)); +#588=LINE('',#587,#586); +#589=DIRECTION('',(-1.E0,0.E0,0.E0)); +#590=VECTOR('',#589,1.E-1); +#591=CARTESIAN_POINT('',(1.23E1,-9.05E0,-1.E1)); +#592=LINE('',#591,#590); +#593=DIRECTION('',(-8.172378288254E-10,1.E0,0.E0)); +#594=VECTOR('',#593,7.500000037961E-1); +#595=CARTESIAN_POINT('',(1.230000000061E1,-9.800000003796E0,-1.E1)); +#596=LINE('',#595,#594); +#597=CARTESIAN_POINT('',(1.325E1,-9.8E0,-1.E1)); +#598=DIRECTION('',(0.E0,0.E0,-1.E0)); +#599=DIRECTION('',(-8.502891800739E-1,-5.263157894737E-1,0.E0)); +#600=AXIS2_PLACEMENT_3D('',#597,#598,#599); +#602=CARTESIAN_POINT('',(-1.97E1,5.7E0,-1.E1)); +#603=DIRECTION('',(0.E0,0.E0,-1.E0)); +#604=DIRECTION('',(0.E0,-1.E0,0.E0)); +#605=AXIS2_PLACEMENT_3D('',#602,#603,#604); +#607=DIRECTION('',(-1.E0,1.836042429097E-11,0.E0)); +#608=VECTOR('',#607,7.500000000437E-1); +#609=CARTESIAN_POINT('',(-1.895E1,4.75E0,-1.E1)); +#610=LINE('',#609,#608); +#611=DIRECTION('',(3.552713678801E-14,1.E0,0.E0)); +#612=VECTOR('',#611,1.E-1); +#613=CARTESIAN_POINT('',(-1.895E1,4.65E0,-1.E1)); +#614=LINE('',#613,#612); +#615=DIRECTION('',(1.E0,2.157089316681E-10,0.E0)); +#616=VECTOR('',#615,7.500000018420E-1); +#617=CARTESIAN_POINT('',(-1.970000000184E1,4.649999999838E0,-1.E1)); +#618=LINE('',#617,#616); +#619=CARTESIAN_POINT('',(-1.97E1,3.7E0,-1.E1)); +#620=DIRECTION('',(0.E0,0.E0,-1.E0)); +#621=DIRECTION('',(-5.263157894737E-1,8.502891800739E-1,0.E0)); +#622=AXIS2_PLACEMENT_3D('',#619,#620,#621); +#624=CARTESIAN_POINT('',(-1.97E1,-3.7E0,-1.E1)); +#625=DIRECTION('',(0.E0,0.E0,-1.E0)); +#626=DIRECTION('',(0.E0,-1.E0,0.E0)); +#627=AXIS2_PLACEMENT_3D('',#624,#625,#626); +#629=DIRECTION('',(-1.E0,1.731118951787E-11,0.E0)); +#630=VECTOR('',#629,7.500000000448E-1); +#631=CARTESIAN_POINT('',(-1.895E1,-4.65E0,-1.E1)); +#632=LINE('',#631,#630); +#633=DIRECTION('',(-7.105427357601E-14,1.E0,0.E0)); +#634=VECTOR('',#633,1.E-1); +#635=CARTESIAN_POINT('',(-1.895E1,-4.75E0,-1.E1)); +#636=LINE('',#635,#634); +#637=DIRECTION('',(1.E0,2.051561878460E-10,0.E0)); +#638=VECTOR('',#637,7.500000017836E-1); +#639=CARTESIAN_POINT('',(-1.970000000178E1,-4.750000000154E0,-1.E1)); +#640=LINE('',#639,#638); +#641=CARTESIAN_POINT('',(-1.97E1,-5.7E0,-1.E1)); +#642=DIRECTION('',(0.E0,0.E0,-1.E0)); +#643=DIRECTION('',(-5.263157894737E-1,8.502891800739E-1,0.E0)); +#644=AXIS2_PLACEMENT_3D('',#641,#642,#643); +#646=CARTESIAN_POINT('',(1.97E1,3.7E0,-1.E1)); +#647=DIRECTION('',(0.E0,0.E0,-1.E0)); +#648=DIRECTION('',(0.E0,1.E0,0.E0)); +#649=AXIS2_PLACEMENT_3D('',#646,#647,#648); +#651=DIRECTION('',(1.E0,-1.372768565406E-11,0.E0)); +#652=VECTOR('',#651,7.500000000451E-1); +#653=CARTESIAN_POINT('',(1.895E1,4.65E0,-1.E1)); +#654=LINE('',#653,#652); +#655=DIRECTION('',(3.552713678800E-14,-1.E0,0.E0)); +#656=VECTOR('',#655,1.E-1); +#657=CARTESIAN_POINT('',(1.895E1,4.75E0,-1.E1)); +#658=LINE('',#657,#656); +#659=DIRECTION('',(-1.E0,-8.014519378353E-10,0.E0)); +#660=VECTOR('',#659,7.500000037560E-1); +#661=CARTESIAN_POINT('',(1.970000000376E1,4.750000000601E0,-1.E1)); +#662=LINE('',#661,#660); +#663=CARTESIAN_POINT('',(1.97E1,5.7E0,-1.E1)); +#664=DIRECTION('',(0.E0,0.E0,-1.E0)); +#665=DIRECTION('',(5.263157894737E-1,-8.502891800739E-1,0.E0)); +#666=AXIS2_PLACEMENT_3D('',#663,#664,#665); +#668=CARTESIAN_POINT('',(1.97E1,-5.7E0,-1.E1)); +#669=DIRECTION('',(0.E0,0.E0,-1.E0)); +#670=DIRECTION('',(0.E0,1.E0,0.E0)); +#671=AXIS2_PLACEMENT_3D('',#668,#669,#670); +#673=DIRECTION('',(1.E0,-1.379873992763E-11,0.E0)); +#674=VECTOR('',#673,7.500000000451E-1); +#675=CARTESIAN_POINT('',(1.895E1,-4.75E0,-1.E1)); +#676=LINE('',#675,#674); +#677=DIRECTION('',(-3.552713678800E-14,-1.E0,0.E0)); +#678=VECTOR('',#677,1.E-1); +#679=CARTESIAN_POINT('',(1.895E1,-4.65E0,-1.E1)); +#680=LINE('',#679,#678); +#681=DIRECTION('',(-1.E0,-8.073612848733E-10,0.E0)); +#682=VECTOR('',#681,7.500000037729E-1); +#683=CARTESIAN_POINT('',(1.970000000377E1,-4.649999999394E0,-1.E1)); +#684=LINE('',#683,#682); +#685=CARTESIAN_POINT('',(1.97E1,-3.7E0,-1.E1)); +#686=DIRECTION('',(0.E0,0.E0,-1.E0)); +#687=DIRECTION('',(5.263157894737E-1,-8.502891800739E-1,0.E0)); +#688=AXIS2_PLACEMENT_3D('',#685,#686,#687); +#690=CARTESIAN_POINT('',(-5.75E-1,9.8E0,-1.E1)); +#691=DIRECTION('',(0.E0,0.E0,-1.E0)); +#692=DIRECTION('',(-1.E0,0.E0,0.E0)); +#693=AXIS2_PLACEMENT_3D('',#690,#691,#692); +#695=DIRECTION('',(6.241844647830E-12,1.E0,0.E0)); +#696=VECTOR('',#695,1.950000000049E0); +#697=CARTESIAN_POINT('',(-1.525E0,7.85E0,-1.E1)); +#698=LINE('',#697,#696); +#699=DIRECTION('',(1.E0,0.E0,0.E0)); +#700=VECTOR('',#699,4.5E-1); +#701=CARTESIAN_POINT('',(-1.975E0,7.85E0,-1.E1)); +#702=LINE('',#701,#700); +#703=DIRECTION('',(3.011013654797E-10,-1.E0,0.E0)); +#704=VECTOR('',#703,1.950000003775E0); +#705=CARTESIAN_POINT('',(-1.975000000587E0,9.800000003775E0,-1.E1)); +#706=LINE('',#705,#704); +#707=CARTESIAN_POINT('',(-2.925E0,9.8E0,-1.E1)); +#708=DIRECTION('',(0.E0,0.E0,-1.E0)); +#709=DIRECTION('',(8.502891800739E-1,5.263157894737E-1,0.E0)); +#710=AXIS2_PLACEMENT_3D('',#707,#708,#709); +#712=DIRECTION('',(1.E0,0.E0,0.E0)); +#713=VECTOR('',#712,7.344505578596E-1); +#714=CARTESIAN_POINT('',(-2.117225278930E0,1.03E1,-1.E1)); +#715=LINE('',#714,#713); +#716=CARTESIAN_POINT('',(4.075E0,-9.8E0,-1.E1)); +#717=DIRECTION('',(0.E0,0.E0,-1.E0)); +#718=DIRECTION('',(1.E0,0.E0,0.E0)); +#719=AXIS2_PLACEMENT_3D('',#716,#717,#718); +#721=DIRECTION('',(-5.628318324084E-12,-1.E0,0.E0)); +#722=VECTOR('',#721,1.950000000048E0); +#723=CARTESIAN_POINT('',(5.025E0,-7.85E0,-1.E1)); +#724=LINE('',#723,#722); +#725=DIRECTION('',(-1.E0,0.E0,0.E0)); +#726=VECTOR('',#725,4.5E-1); +#727=CARTESIAN_POINT('',(5.475E0,-7.85E0,-1.E1)); +#728=LINE('',#727,#726); +#729=DIRECTION('',(-2.970127841673E-10,1.E0,0.E0)); +#730=VECTOR('',#729,1.950000003742E0); +#731=CARTESIAN_POINT('',(5.475000000579E0,-9.800000003742E0,-1.E1)); +#732=LINE('',#731,#730); +#733=CARTESIAN_POINT('',(6.425E0,-9.8E0,-1.E1)); +#734=DIRECTION('',(0.E0,0.E0,-1.E0)); +#735=DIRECTION('',(-8.502891800739E-1,-5.263157894737E-1,0.E0)); +#736=AXIS2_PLACEMENT_3D('',#733,#734,#735); +#738=DIRECTION('',(-1.E0,0.E0,0.E0)); +#739=VECTOR('',#738,7.344505578596E-1); +#740=CARTESIAN_POINT('',(5.617225278930E0,-1.03E1,-1.E1)); +#741=LINE('',#740,#739); +#742=CARTESIAN_POINT('',(-1.22E1,9.8E0,-1.045E1)); +#743=DIRECTION('',(0.E0,1.E0,0.E0)); +#744=DIRECTION('',(0.E0,0.E0,1.E0)); +#745=AXIS2_PLACEMENT_3D('',#742,#743,#744); +#747=CARTESIAN_POINT('',(-1.205777472107E1,1.03E1,-1.E1)); +#748=CARTESIAN_POINT('',(-1.200541243316E1,1.03E1,-1.E1)); +#749=CARTESIAN_POINT('',(-1.189926885779E1,1.03E1,-1.001284056213E1)); +#750=CARTESIAN_POINT('',(-1.173178140406E1,1.03E1,-1.007311416779E1)); +#751=CARTESIAN_POINT('',(-1.156806283349E1,1.03E1,-1.017095470454E1)); +#752=CARTESIAN_POINT('',(-1.140954436527E1,1.03E1,-1.029889892728E1)); +#753=CARTESIAN_POINT('',(-1.130436940165E1,1.03E1,-1.039842065673E1)); +#754=CARTESIAN_POINT('',(-1.125E1,1.03E1,-1.045E1)); +#756=CARTESIAN_POINT('',(-1.125E1,9.8E0,-1.045E1)); +#757=DIRECTION('',(0.E0,0.E0,-1.E0)); +#758=DIRECTION('',(-1.E0,0.E0,0.E0)); +#759=AXIS2_PLACEMENT_3D('',#756,#757,#758); +#761=DIRECTION('',(0.E0,2.208076653458E-12,1.E0)); +#762=VECTOR('',#761,9.55E0); +#763=CARTESIAN_POINT('',(-1.175E1,9.8E0,-2.E1)); +#764=LINE('',#763,#762); +#765=CARTESIAN_POINT('',(-1.995E1,1.28E1,-2.E1)); +#766=DIRECTION('',(0.E0,0.E0,1.E0)); +#767=DIRECTION('',(-8.823529411765E-1,-4.705882352941E-1,0.E0)); +#768=AXIS2_PLACEMENT_3D('',#765,#766,#767); +#770=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.E1)); +#771=DIRECTION('',(0.E0,0.E0,1.E0)); +#772=DIRECTION('',(8.823529411765E-1,4.705882352941E-1,0.E0)); +#773=AXIS2_PLACEMENT_3D('',#770,#771,#772); +#775=DIRECTION('',(-1.E0,0.E0,0.E0)); +#776=VECTOR('',#775,4.690415759823E0); +#777=CARTESIAN_POINT('',(-2.135479212009E1,1.305E1,-2.E1)); +#778=LINE('',#777,#776); +#779=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.E1)); +#780=DIRECTION('',(0.E0,0.E0,1.E0)); +#781=DIRECTION('',(-7.216024245882E-1,6.923076923077E-1,0.E0)); +#782=AXIS2_PLACEMENT_3D('',#779,#780,#781); +#784=DIRECTION('',(1.E0,0.E0,0.E0)); +#785=VECTOR('',#784,1.062948788190E0); +#786=CARTESIAN_POINT('',(-2.37E1,7.55E0,-2.E1)); +#787=LINE('',#786,#785); +#788=CARTESIAN_POINT('',(-2.263705121181E1,6.55E0,-2.E1)); +#789=DIRECTION('',(0.E0,0.E0,1.E0)); +#790=DIRECTION('',(9.912571127514E-1,-1.319444444444E-1,0.E0)); +#791=AXIS2_PLACEMENT_3D('',#788,#789,#790); +#793=CARTESIAN_POINT('',(-2.27E1,-3.05E0,-2.E1)); +#794=DIRECTION('',(0.E0,0.E0,1.E0)); +#795=DIRECTION('',(0.E0,-1.E0,0.E0)); +#796=AXIS2_PLACEMENT_3D('',#793,#794,#795); +#798=DIRECTION('',(-1.E0,0.E0,0.E0)); +#799=VECTOR('',#798,2.5E0); +#800=CARTESIAN_POINT('',(-2.27E1,-4.05E0,-2.E1)); +#801=LINE('',#800,#799); +#802=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-2.E1)); +#803=DIRECTION('',(0.E0,0.E0,1.E0)); +#804=DIRECTION('',(0.E0,1.E0,0.E0)); +#805=AXIS2_PLACEMENT_3D('',#802,#803,#804); +#807=DIRECTION('',(0.E0,-1.E0,0.E0)); +#808=VECTOR('',#807,4.784349485562E0); +#809=CARTESIAN_POINT('',(-2.74E1,-4.907825257219E0,-2.E1)); +#810=LINE('',#809,#808); +#811=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-2.E1)); +#812=DIRECTION('',(0.E0,0.E0,1.E0)); +#813=DIRECTION('',(-6.769230769231E-1,-7.360537670096E-1,0.E0)); +#814=AXIS2_PLACEMENT_3D('',#811,#812,#813); +#816=DIRECTION('',(1.E0,0.E0,0.E0)); +#817=VECTOR('',#816,5.645681314943E0); +#818=CARTESIAN_POINT('',(-2.52E1,-1.055E1,-2.E1)); +#819=LINE('',#818,#817); +#820=CARTESIAN_POINT('',(-1.955431868506E1,-1.155E1,-2.E1)); +#821=DIRECTION('',(0.E0,0.E0,1.E0)); +#822=DIRECTION('',(5.630998173690E-1,8.263888888889E-1,0.E0)); +#823=AXIS2_PLACEMENT_3D('',#820,#821,#822); +#825=CARTESIAN_POINT('',(1.955431868506E1,-1.155E1,-2.E1)); +#826=DIRECTION('',(0.E0,0.E0,1.E0)); +#827=DIRECTION('',(0.E0,1.E0,0.E0)); +#828=AXIS2_PLACEMENT_3D('',#825,#826,#827); +#830=DIRECTION('',(1.E0,0.E0,0.E0)); +#831=VECTOR('',#830,5.645681314943E0); +#832=CARTESIAN_POINT('',(1.955431868506E1,-1.055E1,-2.E1)); +#833=LINE('',#832,#831); +#834=CARTESIAN_POINT('',(2.52E1,-7.3E0,-2.E1)); +#835=DIRECTION('',(0.E0,0.E0,1.E0)); +#836=DIRECTION('',(-1.202456937440E-14,-1.E0,0.E0)); +#837=AXIS2_PLACEMENT_3D('',#834,#835,#836); +#839=DIRECTION('',(0.E0,1.E0,0.E0)); +#840=VECTOR('',#839,4.784349485562E0); +#841=CARTESIAN_POINT('',(2.74E1,-9.692174742781E0,-2.E1)); +#842=LINE('',#841,#840); +#843=CARTESIAN_POINT('',(2.52E1,-7.3E0,-2.E1)); +#844=DIRECTION('',(0.E0,0.E0,1.E0)); +#845=DIRECTION('',(6.769230769231E-1,7.360537670096E-1,0.E0)); +#846=AXIS2_PLACEMENT_3D('',#843,#844,#845); +#848=DIRECTION('',(-1.E0,0.E0,0.E0)); +#849=VECTOR('',#848,2.5E0); +#850=CARTESIAN_POINT('',(2.52E1,-4.05E0,-2.E1)); +#851=LINE('',#850,#849); +#852=CARTESIAN_POINT('',(2.27E1,-3.05E0,-2.E1)); +#853=DIRECTION('',(0.E0,0.E0,1.E0)); +#854=DIRECTION('',(-1.E0,0.E0,0.E0)); +#855=AXIS2_PLACEMENT_3D('',#852,#853,#854); +#857=CARTESIAN_POINT('',(2.263705121181E1,6.55E0,-2.E1)); +#858=DIRECTION('',(0.E0,0.E0,1.E0)); +#859=DIRECTION('',(0.E0,1.E0,0.E0)); +#860=AXIS2_PLACEMENT_3D('',#857,#858,#859); +#862=DIRECTION('',(1.E0,0.E0,0.E0)); +#863=VECTOR('',#862,1.062948788190E0); +#864=CARTESIAN_POINT('',(2.263705121181E1,7.55E0,-2.E1)); +#865=LINE('',#864,#863); +#866=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.E1)); +#867=DIRECTION('',(0.E0,0.E0,1.E0)); +#868=DIRECTION('',(0.E0,-1.E0,0.E0)); +#869=AXIS2_PLACEMENT_3D('',#866,#867,#868); +#871=DIRECTION('',(-1.E0,0.E0,0.E0)); +#872=VECTOR('',#871,4.690415759823E0); +#873=CARTESIAN_POINT('',(2.604520787991E1,1.305E1,-2.E1)); +#874=LINE('',#873,#872); +#875=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.E1)); +#876=DIRECTION('',(0.E0,0.E0,1.E0)); +#877=DIRECTION('',(-7.216024245882E-1,6.923076923077E-1,0.E0)); +#878=AXIS2_PLACEMENT_3D('',#875,#876,#877); +#880=CARTESIAN_POINT('',(1.995E1,1.28E1,-2.E1)); +#881=DIRECTION('',(0.E0,0.E0,1.E0)); +#882=DIRECTION('',(0.E0,-1.E0,0.E0)); +#883=AXIS2_PLACEMENT_3D('',#880,#881,#882); +#885=CARTESIAN_POINT('',(2.54E1,1.105E1,-2.E1)); +#886=DIRECTION('',(0.E0,0.E0,1.E0)); +#887=DIRECTION('',(9.749957496628E-1,2.222235094211E-1,0.E0)); +#888=AXIS2_PLACEMENT_3D('',#885,#886,#887); +#890=CARTESIAN_POINT('',(2.54E1,-1.105E1,-2.E1)); +#891=DIRECTION('',(0.E0,0.E0,1.E0)); +#892=DIRECTION('',(0.E0,-1.E0,0.E0)); +#893=AXIS2_PLACEMENT_3D('',#890,#891,#892); +#895=DIRECTION('',(1.E0,0.E0,0.E0)); +#896=VECTOR('',#895,5.08E1); +#897=CARTESIAN_POINT('',(-2.54E1,-1.555E1,-2.E1)); +#898=LINE('',#897,#896); +#899=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-2.E1)); +#900=DIRECTION('',(0.E0,0.E0,1.E0)); +#901=DIRECTION('',(-1.E0,0.E0,0.E0)); +#902=AXIS2_PLACEMENT_3D('',#899,#900,#901); +#904=CARTESIAN_POINT('',(-2.54E1,1.105E1,-2.E1)); +#905=DIRECTION('',(0.E0,0.E0,1.E0)); +#906=DIRECTION('',(0.E0,1.E0,0.E0)); +#907=AXIS2_PLACEMENT_3D('',#904,#905,#906); +#909=DIRECTION('',(-1.E0,0.E0,0.E0)); +#910=VECTOR('',#909,5.08E1); +#911=CARTESIAN_POINT('',(2.54E1,1.555E1,-2.E1)); +#912=LINE('',#911,#910); +#913=DIRECTION('',(1.E0,0.E0,0.E0)); +#914=VECTOR('',#913,2.5E-1); +#915=CARTESIAN_POINT('',(-1.65E1,3.25E0,-2.E1)); +#916=LINE('',#915,#914); +#917=DIRECTION('',(0.E0,1.E0,0.E0)); +#918=VECTOR('',#917,2.E0); +#919=CARTESIAN_POINT('',(-1.625E1,3.25E0,-2.E1)); +#920=LINE('',#919,#918); +#921=DIRECTION('',(-1.E0,0.E0,0.E0)); +#922=VECTOR('',#921,2.5E-1); +#923=CARTESIAN_POINT('',(-1.625E1,5.25E0,-2.E1)); +#924=LINE('',#923,#922); +#925=DIRECTION('',(-6.428712937139E-1,-7.659742160926E-1,0.E0)); +#926=VECTOR('',#925,3.888803286825E-1); +#927=CARTESIAN_POINT('',(-1.65E1,5.25E0,-2.E1)); +#928=LINE('',#927,#926); +#929=DIRECTION('',(0.E0,-1.E0,0.E0)); +#930=VECTOR('',#929,3.404256105423E-1); +#931=CARTESIAN_POINT('',(-1.675E1,4.952127695084E0,-2.E1)); +#932=LINE('',#931,#930); +#933=DIRECTION('',(6.428711427642E-1,7.659743427825E-1,0.E0)); +#934=VECTOR('',#933,3.888804199937E-1); +#935=CARTESIAN_POINT('',(-1.675E1,4.611702084541E0,-2.E1)); +#936=LINE('',#935,#934); +#937=DIRECTION('',(0.E0,-1.E0,0.E0)); +#938=VECTOR('',#937,1.659574508667E0); +#939=CARTESIAN_POINT('',(-1.65E1,4.909574508667E0,-2.E1)); +#940=LINE('',#939,#938); +#941=DIRECTION('',(1.E0,0.E0,0.E0)); +#942=VECTOR('',#941,1.625E-1); +#943=CARTESIAN_POINT('',(-1.709583330750E1,-6.25E0,-2.E1)); +#944=LINE('',#943,#942); +#945=DIRECTION('',(0.E0,1.E0,0.E0)); +#946=VECTOR('',#945,2.E0); +#947=CARTESIAN_POINT('',(-1.693333330750E1,-6.25E0,-2.E1)); +#948=LINE('',#947,#946); +#949=DIRECTION('',(-1.E0,0.E0,0.E0)); +#950=VECTOR('',#949,1.625E-1); +#951=CARTESIAN_POINT('',(-1.693333330750E1,-4.25E0,-2.E1)); +#952=LINE('',#951,#950); +#953=DIRECTION('',(-4.789070902653E-1,-8.778655927268E-1,0.E0)); +#954=VECTOR('',#953,3.393142496804E-1); +#955=CARTESIAN_POINT('',(-1.709583330750E1,-4.25E0,-2.E1)); +#956=LINE('',#955,#954); +#957=DIRECTION('',(0.E0,-1.E0,0.E0)); +#958=VECTOR('',#957,3.404256105423E-1); +#959=CARTESIAN_POINT('',(-1.725833330750E1,-4.547872304916E0,-2.E1)); +#960=LINE('',#959,#958); +#961=DIRECTION('',(4.789069425630E-1,8.778656733037E-1,0.E0)); +#962=VECTOR('',#961,3.393143543302E-1); +#963=CARTESIAN_POINT('',(-1.725833330750E1,-4.888297915459E0,-2.E1)); +#964=LINE('',#963,#962); +#965=DIRECTION('',(0.E0,-1.E0,0.E0)); +#966=VECTOR('',#965,1.659574508667E0); +#967=CARTESIAN_POINT('',(-1.709583330750E1,-4.590425491333E0,-2.E1)); +#968=LINE('',#967,#966); +#969=DIRECTION('',(-2.467135613299E-1,9.690884472822E-1,0.E0)); +#970=VECTOR('',#969,2.195526452511E-1); +#971=CARTESIAN_POINT('',(-1.574166669250E1,-5.484042525291E0,-2.E1)); +#972=LINE('',#971,#970); +#973=DIRECTION('',(-5.369323985274E-1,8.436252719138E-1,0.E0)); +#974=VECTOR('',#973,1.513225877649E-1); +#975=CARTESIAN_POINT('',(-1.579583330750E1,-5.271276593208E0,-2.E1)); +#976=LINE('',#975,#974); +#977=DIRECTION('',(-7.276404606759E-1,6.859587159497E-1,0.E0)); +#978=VECTOR('',#977,1.861038518523E-1); +#979=CARTESIAN_POINT('',(-1.587708330750E1,-5.143617033958E0,-2.E1)); +#980=LINE('',#979,#978); +#981=DIRECTION('',(-9.540064537591E-1,2.997860673647E-1,0.E0)); +#982=VECTOR('',#981,1.419451770748E-1); +#983=CARTESIAN_POINT('',(-1.60125E1,-5.015957474709E0,-2.E1)); +#984=LINE('',#983,#982); +#985=DIRECTION('',(-1.E0,0.E0,0.E0)); +#986=VECTOR('',#985,1.354166924953E-1); +#987=CARTESIAN_POINT('',(-1.614791661501E1,-4.973404288292E0,-2.E1)); +#988=LINE('',#987,#986); +#989=DIRECTION('',(-9.540065028189E-1,-2.997859112422E-1,0.E0)); +#990=VECTOR('',#989,1.419452509970E-1); +#991=CARTESIAN_POINT('',(-1.628333330750E1,-4.973404288292E0,-2.E1)); +#992=LINE('',#991,#990); +#993=DIRECTION('',(-6.905295469395E-1,-7.233041855288E-1,0.E0)); +#994=VECTOR('',#993,2.353266427486E-1); +#995=CARTESIAN_POINT('',(-1.641875E1,-5.015957474709E0,-2.E1)); +#996=LINE('',#995,#994); +#997=DIRECTION('',(0.E0,1.E0,0.E0)); +#998=VECTOR('',#997,8.510637283325E-2); +#999=CARTESIAN_POINT('',(-1.658125E1,-5.186170220375E0,-2.E1)); +#1000=LINE('',#999,#998); +#1001=DIRECTION('',(1.054847935832E-1,9.944209160726E-1,0.E0)); +#1002=VECTOR('',#1001,2.567515569847E-1); +#1003=CARTESIAN_POINT('',(-1.658125E1,-5.101063847542E0,-2.E1)); +#1004=LINE('',#1003,#1002); +#1005=DIRECTION('',(2.075334059328E-1,9.782279312215E-1,0.E0)); +#1006=VECTOR('',#1005,1.305009542099E-1); +#1007=CARTESIAN_POINT('',(-1.655416661501E1,-4.845744729042E0,-2.E1)); +#1008=LINE('',#1007,#1006); +#1009=DIRECTION('',(3.905992953907E-1,9.205608021420E-1,0.E0)); +#1010=VECTOR('',#1009,1.386758581865E-1); +#1011=CARTESIAN_POINT('',(-1.652708330750E1,-4.718085050583E0,-2.E1)); +#1012=LINE('',#1011,#1010); +#1013=DIRECTION('',(6.905295469396E-1,7.233041855288E-1,0.E0)); +#1014=VECTOR('',#1013,1.176633213743E-1); +#1015=CARTESIAN_POINT('',(-1.647291661501E1,-4.590425491333E0,-2.E1)); +#1016=LINE('',#1015,#1014); +#1017=DIRECTION('',(8.858599342517E-1,4.639527744152E-1,0.E0)); +#1018=VECTOR('',#1017,9.171878855616E-2); +#1019=CARTESIAN_POINT('',(-1.639166661501E1,-4.505319118500E0,-2.E1)); +#1020=LINE('',#1019,#1018); +#1021=DIRECTION('',(1.E0,0.E0,0.E0)); +#1022=VECTOR('',#1021,1.625E-1); +#1023=CARTESIAN_POINT('',(-1.631041661501E1,-4.462765932083E0,-2.E1)); +#1024=LINE('',#1023,#1022); +#1025=DIRECTION('',(8.858599342517E-1,-4.639527744152E-1,0.E0)); +#1026=VECTOR('',#1025,9.171878855616E-2); +#1027=CARTESIAN_POINT('',(-1.614791661501E1,-4.462765932083E0,-2.E1)); +#1028=LINE('',#1027,#1026); +#1029=DIRECTION('',(6.905295469395E-1,-7.233041855288E-1,0.E0)); +#1030=VECTOR('',#1029,1.176633213743E-1); +#1031=CARTESIAN_POINT('',(-1.606666661501E1,-4.505319118500E0,-2.E1)); +#1032=LINE('',#1031,#1030); +#1033=DIRECTION('',(3.905992953907E-1,-9.205608021420E-1,0.E0)); +#1034=VECTOR('',#1033,1.386758581865E-1); +#1035=CARTESIAN_POINT('',(-1.598541661501E1,-4.590425491333E0,-2.E1)); +#1036=LINE('',#1035,#1034); +#1037=DIRECTION('',(1.E0,0.E0,0.E0)); +#1038=VECTOR('',#1037,1.354166150093E-1); +#1039=CARTESIAN_POINT('',(-1.593124992251E1,-4.718085050583E0,-2.E1)); +#1040=LINE('',#1039,#1038); +#1041=DIRECTION('',(-2.075341595674E-1,9.782277713358E-1,0.E0)); +#1042=VECTOR('',#1041,1.305008536770E-1); +#1043=CARTESIAN_POINT('',(-1.579583330750E1,-4.718085050583E0,-2.E1)); +#1044=LINE('',#1043,#1042); +#1045=DIRECTION('',(-4.307818308166E-1,9.024561010034E-1,0.E0)); +#1046=VECTOR('',#1045,1.886105545491E-1); +#1047=CARTESIAN_POINT('',(-1.582291669250E1,-4.590425491333E0,-2.E1)); +#1048=LINE('',#1047,#1046); +#1049=DIRECTION('',(-7.276402647624E-1,6.859589237676E-1,0.E0)); +#1050=VECTOR('',#1049,1.861037954703E-1); +#1051=CARTESIAN_POINT('',(-1.590416669250E1,-4.420212745667E0,-2.E1)); +#1052=LINE('',#1051,#1050); +#1053=DIRECTION('',(-9.540065028189E-1,2.997859112422E-1,0.E0)); +#1054=VECTOR('',#1053,1.419452509969E-1); +#1055=CARTESIAN_POINT('',(-1.603958330750E1,-4.292553186417E0,-2.E1)); +#1056=LINE('',#1055,#1054); +#1057=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1058=VECTOR('',#1057,1.083333075047E-1); +#1059=CARTESIAN_POINT('',(-1.6175E1,-4.25E0,-2.E1)); +#1060=LINE('',#1059,#1058); +#1061=DIRECTION('',(-9.540065028189E-1,-2.997859112422E-1,0.E0)); +#1062=VECTOR('',#1061,1.419452509970E-1); +#1063=CARTESIAN_POINT('',(-1.628333330750E1,-4.25E0,-2.E1)); +#1064=LINE('',#1063,#1062); +#1065=DIRECTION('',(-7.276402647625E-1,-6.859589237676E-1,0.E0)); +#1066=VECTOR('',#1065,1.861037954703E-1); +#1067=CARTESIAN_POINT('',(-1.641875E1,-4.292553186417E0,-2.E1)); +#1068=LINE('',#1067,#1066); +#1069=DIRECTION('',(-4.307819981103E-1,-9.024560211468E-1,0.E0)); +#1070=VECTOR('',#1069,1.886105712389E-1); +#1071=CARTESIAN_POINT('',(-1.655416661501E1,-4.420212745667E0,-2.E1)); +#1072=LINE('',#1071,#1070); +#1073=DIRECTION('',(-2.467135972365E-1,-9.690884381410E-1,0.E0)); +#1074=VECTOR('',#1073,2.195527703339E-1); +#1075=CARTESIAN_POINT('',(-1.663541665375E1,-4.590425491333E0,-2.E1)); +#1076=LINE('',#1075,#1074); +#1077=DIRECTION('',(-9.054916169731E-2,-9.958919867716E-1,0.E0)); +#1078=VECTOR('',#1077,2.991010158461E-1); +#1079=CARTESIAN_POINT('',(-1.668958330750E1,-4.803191542625E0,-2.E1)); +#1080=LINE('',#1079,#1078); +#1081=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1082=VECTOR('',#1081,2.978723049164E-1); +#1083=CARTESIAN_POINT('',(-1.671666665375E1,-5.101063847542E0,-2.E1)); +#1084=LINE('',#1083,#1082); +#1085=DIRECTION('',(9.054914372687E-2,-9.958919884055E-1,0.E0)); +#1086=VECTOR('',#1085,2.991010752059E-1); +#1087=CARTESIAN_POINT('',(-1.671666665375E1,-5.398936152458E0,-2.E1)); +#1088=LINE('',#1087,#1086); +#1089=DIRECTION('',(2.467136945984E-1,-9.690884133543E-1,0.E0)); +#1090=VECTOR('',#1089,2.195526836906E-1); +#1091=CARTESIAN_POINT('',(-1.668958330750E1,-5.696808516979E0,-2.E1)); +#1092=LINE('',#1091,#1090); +#1093=DIRECTION('',(4.307819673962E-1,-9.024560358080E-1,0.E0)); +#1094=VECTOR('',#1093,1.886105846866E-1); +#1095=CARTESIAN_POINT('',(-1.663541665375E1,-5.909574478865E0,-2.E1)); +#1096=LINE('',#1095,#1094); +#1097=DIRECTION('',(7.276402347887E-1,-6.859589555627E-1,0.E0)); +#1098=VECTOR('',#1097,1.861038031365E-1); +#1099=CARTESIAN_POINT('',(-1.655416661501E1,-6.079787239432E0,-2.E1)); +#1100=LINE('',#1099,#1098); +#1101=DIRECTION('',(9.540064953130E-1,-2.997859351281E-1,0.E0)); +#1102=VECTOR('',#1101,1.419452521137E-1); +#1103=CARTESIAN_POINT('',(-1.641875E1,-6.207446809858E0,-2.E1)); +#1104=LINE('',#1103,#1102); +#1105=DIRECTION('',(1.E0,0.E0,0.E0)); +#1106=VECTOR('',#1105,1.354166924953E-1); +#1107=CARTESIAN_POINT('',(-1.628333330750E1,-6.25E0,-2.E1)); +#1108=LINE('',#1107,#1106); +#1109=DIRECTION('',(9.540064462532E-1,2.997860912506E-1,0.E0)); +#1110=VECTOR('',#1109,1.419451781916E-1); +#1111=CARTESIAN_POINT('',(-1.614791661501E1,-6.25E0,-2.E1)); +#1112=LINE('',#1111,#1110); +#1113=DIRECTION('',(7.276404307022E-1,6.859587477448E-1,0.E0)); +#1114=VECTOR('',#1113,1.861038595185E-1); +#1115=CARTESIAN_POINT('',(-1.60125E1,-6.207446809858E0,-2.E1)); +#1116=LINE('',#1115,#1114); +#1117=DIRECTION('',(4.307818001025E-1,9.024561156646E-1,0.E0)); +#1118=VECTOR('',#1117,1.886105679968E-1); +#1119=CARTESIAN_POINT('',(-1.587708330750E1,-6.079787239432E0,-2.E1)); +#1120=LINE('',#1119,#1118); +#1121=DIRECTION('',(2.467135288759E-1,9.690884555445E-1,0.E0)); +#1122=VECTOR('',#1121,2.195526741322E-1); +#1123=CARTESIAN_POINT('',(-1.579583330750E1,-5.909574478865E0,-2.E1)); +#1124=LINE('',#1123,#1122); +#1125=DIRECTION('',(0.E0,1.E0,0.E0)); +#1126=VECTOR('',#1125,2.127659916878E-1); +#1127=CARTESIAN_POINT('',(-1.574166669250E1,-5.696808516979E0,-2.E1)); +#1128=LINE('',#1127,#1126); +#1129=DIRECTION('',(5.369320340933E-1,-8.436255038608E-1,0.E0)); +#1130=VECTOR('',#1129,1.008816974401E-1); +#1131=CARTESIAN_POINT('',(-1.595833330750E1,-5.313829779625E0,-2.E1)); +#1132=LINE('',#1131,#1130); +#1133=DIRECTION('',(2.075340668426E-1,-9.782277910077E-1,0.E0)); +#1134=VECTOR('',#1133,1.305009119839E-1); +#1135=CARTESIAN_POINT('',(-1.590416669250E1,-5.398936152458E0,-2.E1)); +#1136=LINE('',#1135,#1134); +#1137=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1138=VECTOR('',#1137,1.276595592499E-1); +#1139=CARTESIAN_POINT('',(-1.587708330750E1,-5.526595771313E0,-2.E1)); +#1140=LINE('',#1139,#1138); +#1141=DIRECTION('',(-2.075341595675E-1,-9.782277713358E-1,0.E0)); +#1142=VECTOR('',#1141,1.305008536770E-1); +#1143=CARTESIAN_POINT('',(-1.587708330750E1,-5.654255330563E0,-2.E1)); +#1144=LINE('',#1143,#1142); +#1145=DIRECTION('',(-3.905987446084E-1,-9.205610358419E-1,0.E0)); +#1146=VECTOR('',#1145,1.386758553554E-1); +#1147=CARTESIAN_POINT('',(-1.590416669250E1,-5.781914889812E0,-2.E1)); +#1148=LINE('',#1147,#1146); +#1149=DIRECTION('',(-6.905295469395E-1,-7.233041855288E-1,0.E0)); +#1150=VECTOR('',#1149,1.176633213743E-1); +#1151=CARTESIAN_POINT('',(-1.595833330750E1,-5.909574478865E0,-2.E1)); +#1152=LINE('',#1151,#1150); +#1153=DIRECTION('',(-8.858599342517E-1,-4.639527744152E-1,0.E0)); +#1154=VECTOR('',#1153,9.171878855615E-2); +#1155=CARTESIAN_POINT('',(-1.603958330750E1,-5.994680851698E0,-2.E1)); +#1156=LINE('',#1155,#1154); +#1157=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1158=VECTOR('',#1157,1.895833075047E-1); +#1159=CARTESIAN_POINT('',(-1.612083330750E1,-6.037234038115E0,-2.E1)); +#1160=LINE('',#1159,#1158); +#1161=DIRECTION('',(-8.858599342517E-1,4.639527744152E-1,0.E0)); +#1162=VECTOR('',#1161,9.171878855615E-2); +#1163=CARTESIAN_POINT('',(-1.631041661501E1,-6.037234038115E0,-2.E1)); +#1164=LINE('',#1163,#1162); +#1165=DIRECTION('',(-6.905295469396E-1,7.233041855288E-1,0.E0)); +#1166=VECTOR('',#1165,1.176633213743E-1); +#1167=CARTESIAN_POINT('',(-1.639166661501E1,-5.994680851698E0,-2.E1)); +#1168=LINE('',#1167,#1166); +#1169=DIRECTION('',(-3.905992181168E-1,9.205608349297E-1,0.E0)); +#1170=VECTOR('',#1169,1.386758856213E-1); +#1171=CARTESIAN_POINT('',(-1.647291661501E1,-5.909574478865E0,-2.E1)); +#1172=LINE('',#1171,#1170); +#1173=DIRECTION('',(-2.075335913819E-1,9.782278918780E-1,0.E0)); +#1174=VECTOR('',#1173,1.305008375960E-1); +#1175=CARTESIAN_POINT('',(-1.652708330750E1,-5.781914889812E0,-2.E1)); +#1176=LINE('',#1175,#1174); +#1177=DIRECTION('',(0.E0,1.E0,0.E0)); +#1178=VECTOR('',#1177,1.276595592499E-1); +#1179=CARTESIAN_POINT('',(-1.655416661501E1,-5.654255330563E0,-2.E1)); +#1180=LINE('',#1179,#1178); +#1181=DIRECTION('',(2.075334986573E-1,9.782279115498E-1,0.E0)); +#1182=VECTOR('',#1181,1.305008959030E-1); +#1183=CARTESIAN_POINT('',(-1.655416661501E1,-5.526595771313E0,-2.E1)); +#1184=LINE('',#1183,#1182); +#1185=DIRECTION('',(5.369325807444E-1,8.436251559402E-1,0.E0)); +#1186=VECTOR('',#1185,1.008817390449E-1); +#1187=CARTESIAN_POINT('',(-1.652708330750E1,-5.398936152458E0,-2.E1)); +#1188=LINE('',#1187,#1186); +#1189=DIRECTION('',(6.905295469396E-1,7.233041855288E-1,0.E0)); +#1190=VECTOR('',#1189,1.176633213743E-1); +#1191=CARTESIAN_POINT('',(-1.647291661501E1,-5.313829779625E0,-2.E1)); +#1192=LINE('',#1191,#1190); +#1193=DIRECTION('',(8.858599342517E-1,4.639527744152E-1,0.E0)); +#1194=VECTOR('',#1193,9.171878855615E-2); +#1195=CARTESIAN_POINT('',(-1.639166661501E1,-5.228723406792E0,-2.E1)); +#1196=LINE('',#1195,#1194); +#1197=DIRECTION('',(1.E0,0.E0,0.E0)); +#1198=VECTOR('',#1197,1.895833075046E-1); +#1199=CARTESIAN_POINT('',(-1.631041661501E1,-5.186170220375E0,-2.E1)); +#1200=LINE('',#1199,#1198); +#1201=DIRECTION('',(8.858599342517E-1,-4.639527744152E-1,0.E0)); +#1202=VECTOR('',#1201,9.171878855615E-2); +#1203=CARTESIAN_POINT('',(-1.612083330750E1,-5.186170220375E0,-2.E1)); +#1204=LINE('',#1203,#1202); +#1205=DIRECTION('',(6.905295469396E-1,-7.233041855288E-1,0.E0)); +#1206=VECTOR('',#1205,1.176633213743E-1); +#1207=CARTESIAN_POINT('',(-1.603958330750E1,-5.228723406792E0,-2.E1)); +#1208=LINE('',#1207,#1206); +#1209=DIRECTION('',(-8.078858098213E-1,-5.893390520654E-1,0.E0)); +#1210=VECTOR('',#1209,7.220493240265E-2); +#1211=CARTESIAN_POINT('',(1.620833334029E1,4.271276593208E0,-2.E1)); +#1212=LINE('',#1211,#1210); +#1213=DIRECTION('',(-6.746096690251E-1,-7.381746368292E-1,0.E0)); +#1214=VECTOR('',#1213,1.729395090005E-1); +#1215=CARTESIAN_POINT('',(1.615E1,4.228723406792E0,-2.E1)); +#1216=LINE('',#1215,#1214); +#1217=DIRECTION('',(-2.644092004665E-1,-9.644105840920E-1,0.E0)); +#1218=VECTOR('',#1217,2.206176448054E-1); +#1219=CARTESIAN_POINT('',(1.603333333507E1,4.101063847542E0,-2.E1)); +#1220=LINE('',#1219,#1218); +#1221=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1222=VECTOR('',#1221,1.276595592499E-1); +#1223=CARTESIAN_POINT('',(1.5975E1,3.888297855854E0,-2.E1)); +#1224=LINE('',#1223,#1222); +#1225=DIRECTION('',(2.644092349133E-1,-9.644105746478E-1,0.E0)); +#1226=VECTOR('',#1225,2.206176160637E-1); +#1227=CARTESIAN_POINT('',(1.5975E1,3.760638296604E0,-2.E1)); +#1228=LINE('',#1227,#1226); +#1229=DIRECTION('',(5.653613457914E-1,-8.248433479667E-1,0.E0)); +#1230=VECTOR('',#1229,1.547682653509E-1); +#1231=CARTESIAN_POINT('',(1.603333333507E1,3.547872334719E0,-2.E1)); +#1232=LINE('',#1231,#1230); +#1233=DIRECTION('',(7.524350050167E-1,-6.586665037980E-1,0.E0)); +#1234=VECTOR('',#1233,1.938151852108E-1); +#1235=CARTESIAN_POINT('',(1.612083332986E1,3.420212760568E0,-2.E1)); +#1236=LINE('',#1235,#1234); +#1237=DIRECTION('',(9.599673196998E-1,-2.801120224275E-1,0.E0)); +#1238=VECTOR('',#1237,1.519149009498E-1); +#1239=CARTESIAN_POINT('',(1.626666665971E1,3.292553190142E0,-2.E1)); +#1240=LINE('',#1239,#1238); +#1241=DIRECTION('',(1.E0,0.E0,0.E0)); +#1242=VECTOR('',#1241,1.75E-1); +#1243=CARTESIAN_POINT('',(1.64125E1,3.25E0,-2.E1)); +#1244=LINE('',#1243,#1242); +#1245=DIRECTION('',(9.599673304746E-1,2.801119855012E-1,0.E0)); +#1246=VECTOR('',#1245,1.519149209763E-1); +#1247=CARTESIAN_POINT('',(1.65875E1,3.25E0,-2.E1)); +#1248=LINE('',#1247,#1246); +#1249=DIRECTION('',(7.524348882735E-1,6.586666371609E-1,0.E0)); +#1250=VECTOR('',#1249,1.938151459682E-1); +#1251=CARTESIAN_POINT('',(1.673333336115E1,3.292553190142E0,-2.E1)); +#1252=LINE('',#1251,#1250); +#1253=DIRECTION('',(5.653613687186E-1,8.248433322521E-1,0.E0)); +#1254=VECTOR('',#1253,1.547682682995E-1); +#1255=CARTESIAN_POINT('',(1.687916663885E1,3.420212760568E0,-2.E1)); +#1256=LINE('',#1255,#1254); +#1257=DIRECTION('',(2.644093448498E-1,9.644105445069E-1,0.E0)); +#1258=VECTOR('',#1257,2.206176229587E-1); +#1259=CARTESIAN_POINT('',(1.696666663885E1,3.547872334719E0,-2.E1)); +#1260=LINE('',#1259,#1258); +#1261=DIRECTION('',(0.E0,1.E0,0.E0)); +#1262=VECTOR('',#1261,1.276595592499E-1); +#1263=CARTESIAN_POINT('',(1.7025E1,3.760638296604E0,-2.E1)); +#1264=LINE('',#1263,#1262); +#1265=DIRECTION('',(-2.644093104030E-1,9.644105539511E-1,0.E0)); +#1266=VECTOR('',#1265,2.206176517004E-1); +#1267=CARTESIAN_POINT('',(1.7025E1,3.888297855854E0,-2.E1)); +#1268=LINE('',#1267,#1266); +#1269=DIRECTION('',(-6.746095868609E-1,7.381747119181E-1,0.E0)); +#1270=VECTOR('',#1269,1.729394914087E-1); +#1271=CARTESIAN_POINT('',(1.696666663885E1,4.101063847542E0,-2.E1)); +#1272=LINE('',#1271,#1270); +#1273=DIRECTION('',(-8.078859101700E-1,5.893389145041E-1,0.E0)); +#1274=VECTOR('',#1273,7.220494925647E-2); +#1275=CARTESIAN_POINT('',(1.685E1,4.228723406792E0,-2.E1)); +#1276=LINE('',#1275,#1274); +#1277=DIRECTION('',(8.078859101700E-1,5.893389145041E-1,0.E0)); +#1278=VECTOR('',#1277,7.220494925646E-2); +#1279=CARTESIAN_POINT('',(1.679166663885E1,4.271276593208E0,-2.E1)); +#1280=LINE('',#1279,#1278); +#1281=DIRECTION('',(5.653614136175E-1,8.248433014776E-1,0.E0)); +#1282=VECTOR('',#1281,1.547682560084E-1); +#1283=CARTESIAN_POINT('',(1.685E1,4.313829779625E0,-2.E1)); +#1284=LINE('',#1283,#1282); +#1285=DIRECTION('',(3.241985294860E-1,9.459890662577E-1,0.E0)); +#1286=VECTOR('',#1285,1.799309862426E-1); +#1287=CARTESIAN_POINT('',(1.69375E1,4.441489338875E0,-2.E1)); +#1288=LINE('',#1287,#1286); +#1289=DIRECTION('',(0.E0,1.E0,0.E0)); +#1290=VECTOR('',#1289,1.276595592499E-1); +#1291=CARTESIAN_POINT('',(1.699583336115E1,4.611702084541E0,-2.E1)); +#1292=LINE('',#1291,#1290); +#1293=DIRECTION('',(-2.644092415095E-1,9.644105728394E-1,0.E0)); +#1294=VECTOR('',#1293,2.206177091838E-1); +#1295=CARTESIAN_POINT('',(1.699583336115E1,4.739361643791E0,-2.E1)); +#1296=LINE('',#1295,#1294); +#1297=DIRECTION('',(-5.653614136175E-1,8.248433014776E-1,0.E0)); +#1298=VECTOR('',#1297,1.547682560084E-1); +#1299=CARTESIAN_POINT('',(1.69375E1,4.952127695084E0,-2.E1)); +#1300=LINE('',#1299,#1298); +#1301=DIRECTION('',(-7.524351036404E-1,6.586663911342E-1,0.E0)); +#1302=VECTOR('',#1301,1.938152013951E-1); +#1303=CARTESIAN_POINT('',(1.685E1,5.079787254333E0,-2.E1)); +#1304=LINE('',#1303,#1302); +#1305=DIRECTION('',(-9.599673155189E-1,2.801120367557E-1,0.E0)); +#1306=VECTOR('',#1305,1.519148798798E-1); +#1307=CARTESIAN_POINT('',(1.670416663885E1,5.207446813583E0,-2.E1)); +#1308=LINE('',#1307,#1306); +#1309=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1310=VECTOR('',#1309,1.166666388512E-1); +#1311=CARTESIAN_POINT('',(1.655833331943E1,5.25E0,-2.E1)); +#1312=LINE('',#1311,#1310); +#1313=DIRECTION('',(-9.599673262938E-1,-2.801119998294E-1,0.E0)); +#1314=VECTOR('',#1313,1.519148999063E-1); +#1315=CARTESIAN_POINT('',(1.644166668057E1,5.25E0,-2.E1)); +#1316=LINE('',#1315,#1314); +#1317=DIRECTION('',(-7.524350569431E-1,-6.586664444793E-1,0.E0)); +#1318=VECTOR('',#1317,1.938151856981E-1); +#1319=CARTESIAN_POINT('',(1.629583334029E1,5.207446813583E0,-2.E1)); +#1320=LINE('',#1319,#1318); +#1321=DIRECTION('',(-5.653614136174E-1,-8.248433014776E-1,0.E0)); +#1322=VECTOR('',#1321,1.547682560084E-1); +#1323=CARTESIAN_POINT('',(1.615E1,5.079787254333E0,-2.E1)); +#1324=LINE('',#1323,#1322); +#1325=DIRECTION('',(-2.644091205794E-1,-9.644106059944E-1,0.E0)); +#1326=VECTOR('',#1325,2.206177015993E-1); +#1327=CARTESIAN_POINT('',(1.60625E1,4.952127695084E0,-2.E1)); +#1328=LINE('',#1327,#1326); +#1329=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1330=VECTOR('',#1329,1.276595592499E-1); +#1331=CARTESIAN_POINT('',(1.600416666754E1,4.739361643791E0,-2.E1)); +#1332=LINE('',#1331,#1330); +#1333=DIRECTION('',(3.241983868211E-1,-9.459891151502E-1,0.E0)); +#1334=VECTOR('',#1333,1.799309769431E-1); +#1335=CARTESIAN_POINT('',(1.600416666754E1,4.611702084541E0,-2.E1)); +#1336=LINE('',#1335,#1334); +#1337=DIRECTION('',(5.653614136174E-1,-8.248433014776E-1,0.E0)); +#1338=VECTOR('',#1337,1.547682560084E-1); +#1339=CARTESIAN_POINT('',(1.60625E1,4.441489338875E0,-2.E1)); +#1340=LINE('',#1339,#1338); +#1341=DIRECTION('',(8.078858098213E-1,-5.893390520654E-1,0.E0)); +#1342=VECTOR('',#1341,7.220493240265E-2); +#1343=CARTESIAN_POINT('',(1.615E1,4.313829779625E0,-2.E1)); +#1344=LINE('',#1343,#1342); +#1345=DIRECTION('',(-9.394595132689E-1,3.426599231432E-1,0.E0)); +#1346=VECTOR('',#1345,1.241848945342E-1); +#1347=CARTESIAN_POINT('',(1.644166668057E1,3.462765961885E0,-2.E1)); +#1348=LINE('',#1347,#1346); +#1349=DIRECTION('',(-8.078857596470E-1,5.893391208461E-1,0.E0)); +#1350=VECTOR('',#1349,1.444098479515E-1); +#1351=CARTESIAN_POINT('',(1.6325E1,3.505319148302E0,-2.E1)); +#1352=LINE('',#1351,#1350); +#1353=DIRECTION('',(-4.571910324580E-1,8.893685174549E-1,0.E0)); +#1354=VECTOR('',#1353,1.913861038795E-1); +#1355=CARTESIAN_POINT('',(1.620833334029E1,3.590425521135E0,-2.E1)); +#1356=LINE('',#1355,#1354); +#1357=DIRECTION('',(0.E0,1.E0,0.E0)); +#1358=VECTOR('',#1357,1.276595592499E-1); +#1359=CARTESIAN_POINT('',(1.612083332986E1,3.760638296604E0,-2.E1)); +#1360=LINE('',#1359,#1358); +#1361=DIRECTION('',(4.571909691412E-1,8.893685500037E-1,0.E0)); +#1362=VECTOR('',#1361,1.913861303848E-1); +#1363=CARTESIAN_POINT('',(1.612083332986E1,3.888297855854E0,-2.E1)); +#1364=LINE('',#1363,#1362); +#1365=DIRECTION('',(8.078857596470E-1,5.893391208461E-1,0.E0)); +#1366=VECTOR('',#1365,1.444098479515E-1); +#1367=CARTESIAN_POINT('',(1.620833334029E1,4.058510661125E0,-2.E1)); +#1368=LINE('',#1367,#1366); +#1369=DIRECTION('',(9.394595132689E-1,3.426599231432E-1,0.E0)); +#1370=VECTOR('',#1369,1.241848945342E-1); +#1371=CARTESIAN_POINT('',(1.6325E1,4.143617033958E0,-2.E1)); +#1372=LINE('',#1371,#1370); +#1373=DIRECTION('',(1.E0,0.E0,0.E0)); +#1374=VECTOR('',#1373,1.166666388512E-1); +#1375=CARTESIAN_POINT('',(1.644166668057E1,4.186170220375E0,-2.E1)); +#1376=LINE('',#1375,#1374); +#1377=DIRECTION('',(9.394595132689E-1,-3.426599231432E-1,0.E0)); +#1378=VECTOR('',#1377,1.241848945342E-1); +#1379=CARTESIAN_POINT('',(1.655833331943E1,4.186170220375E0,-2.E1)); +#1380=LINE('',#1379,#1378); +#1381=DIRECTION('',(8.078857094726E-1,-5.893391896268E-1,0.E0)); +#1382=VECTOR('',#1381,1.444098310977E-1); +#1383=CARTESIAN_POINT('',(1.6675E1,4.143617033958E0,-2.E1)); +#1384=LINE('',#1383,#1382); +#1385=DIRECTION('',(4.571909260319E-1,-8.893685721646E-1,0.E0)); +#1386=VECTOR('',#1385,1.913861256159E-1); +#1387=CARTESIAN_POINT('',(1.679166663885E1,4.058510661125E0,-2.E1)); +#1388=LINE('',#1387,#1386); +#1389=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1390=VECTOR('',#1389,1.276595592499E-1); +#1391=CARTESIAN_POINT('',(1.687916663885E1,3.888297855854E0,-2.E1)); +#1392=LINE('',#1391,#1390); +#1393=DIRECTION('',(-4.571909893487E-1,-8.893685396158E-1,0.E0)); +#1394=VECTOR('',#1393,1.913860991107E-1); +#1395=CARTESIAN_POINT('',(1.687916663885E1,3.760638296604E0,-2.E1)); +#1396=LINE('',#1395,#1394); +#1397=DIRECTION('',(-8.078857094726E-1,-5.893391896268E-1,0.E0)); +#1398=VECTOR('',#1397,1.444098310977E-1); +#1399=CARTESIAN_POINT('',(1.679166663885E1,3.590425521135E0,-2.E1)); +#1400=LINE('',#1399,#1398); +#1401=DIRECTION('',(-9.394595132689E-1,-3.426599231432E-1,0.E0)); +#1402=VECTOR('',#1401,1.241848945342E-1); +#1403=CARTESIAN_POINT('',(1.6675E1,3.505319148302E0,-2.E1)); +#1404=LINE('',#1403,#1402); +#1405=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1406=VECTOR('',#1405,1.166666388512E-1); +#1407=CARTESIAN_POINT('',(1.655833331943E1,3.462765961885E0,-2.E1)); +#1408=LINE('',#1407,#1406); +#1409=DIRECTION('',(9.394595132689E-1,-3.426599231432E-1,0.E0)); +#1410=VECTOR('',#1409,1.241848945342E-1); +#1411=CARTESIAN_POINT('',(1.655833331943E1,5.037234067917E0,-2.E1)); +#1412=LINE('',#1411,#1410); +#1413=DIRECTION('',(6.746095868609E-1,-7.381747119181E-1,0.E0)); +#1414=VECTOR('',#1413,1.729394914087E-1); +#1415=CARTESIAN_POINT('',(1.6675E1,4.994680881500E0,-2.E1)); +#1416=LINE('',#1415,#1414); +#1417=DIRECTION('',(4.156103681768E-1,-9.095427542804E-1,0.E0)); +#1418=VECTOR('',#1417,1.403558852604E-1); +#1419=CARTESIAN_POINT('',(1.679166663885E1,4.867021322250E0,-2.E1)); +#1420=LINE('',#1419,#1418); +#1421=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1422=VECTOR('',#1421,8.510637283325E-2); +#1423=CARTESIAN_POINT('',(1.685E1,4.739361643791E0,-2.E1)); +#1424=LINE('',#1423,#1422); +#1425=DIRECTION('',(-4.156106892390E-1,-9.095426075728E-1,0.E0)); +#1426=VECTOR('',#1425,1.403557768344E-1); +#1427=CARTESIAN_POINT('',(1.685E1,4.654255270958E0,-2.E1)); +#1428=LINE('',#1427,#1426); +#1429=DIRECTION('',(-6.746095868609E-1,-7.381747119181E-1,0.E0)); +#1430=VECTOR('',#1429,1.729394914087E-1); +#1431=CARTESIAN_POINT('',(1.679166663885E1,4.526595711708E0,-2.E1)); +#1432=LINE('',#1431,#1430); +#1433=DIRECTION('',(-9.394595132689E-1,-3.426599231432E-1,0.E0)); +#1434=VECTOR('',#1433,1.241848945342E-1); +#1435=CARTESIAN_POINT('',(1.6675E1,4.398936152458E0,-2.E1)); +#1436=LINE('',#1435,#1434); +#1437=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1438=VECTOR('',#1437,1.166666388512E-1); +#1439=CARTESIAN_POINT('',(1.655833331943E1,4.356382966042E0,-2.E1)); +#1440=LINE('',#1439,#1438); +#1441=DIRECTION('',(-9.394595132689E-1,3.426599231432E-1,0.E0)); +#1442=VECTOR('',#1441,1.241848945342E-1); +#1443=CARTESIAN_POINT('',(1.644166668057E1,4.356382966042E0,-2.E1)); +#1444=LINE('',#1443,#1442); +#1445=DIRECTION('',(-6.746096525923E-1,7.381746518469E-1,0.E0)); +#1446=VECTOR('',#1445,1.729395054822E-1); +#1447=CARTESIAN_POINT('',(1.6325E1,4.398936152458E0,-2.E1)); +#1448=LINE('',#1447,#1446); +#1449=DIRECTION('',(-4.156105662790E-1,9.095426637587E-1,0.E0)); +#1450=VECTOR('',#1449,1.403557681641E-1); +#1451=CARTESIAN_POINT('',(1.620833334029E1,4.526595711708E0,-2.E1)); +#1452=LINE('',#1451,#1450); +#1453=DIRECTION('',(0.E0,1.E0,0.E0)); +#1454=VECTOR('',#1453,8.510637283325E-2); +#1455=CARTESIAN_POINT('',(1.615E1,4.654255270958E0,-2.E1)); +#1456=LINE('',#1455,#1454); +#1457=DIRECTION('',(4.156102452168E-1,9.095428104662E-1,0.E0)); +#1458=VECTOR('',#1457,1.403558765901E-1); +#1459=CARTESIAN_POINT('',(1.615E1,4.739361643791E0,-2.E1)); +#1460=LINE('',#1459,#1458); +#1461=DIRECTION('',(6.746096525922E-1,7.381746518470E-1,0.E0)); +#1462=VECTOR('',#1461,1.729395054822E-1); +#1463=CARTESIAN_POINT('',(1.620833334029E1,4.867021322250E0,-2.E1)); +#1464=LINE('',#1463,#1462); +#1465=DIRECTION('',(9.394595132689E-1,3.426599231432E-1,0.E0)); +#1466=VECTOR('',#1465,1.241848945342E-1); +#1467=CARTESIAN_POINT('',(1.6325E1,4.994680881500E0,-2.E1)); +#1468=LINE('',#1467,#1466); +#1469=DIRECTION('',(1.E0,0.E0,0.E0)); +#1470=VECTOR('',#1469,1.166666388512E-1); +#1471=CARTESIAN_POINT('',(1.644166668057E1,5.037234067917E0,-2.E1)); +#1472=LINE('',#1471,#1470); +#1473=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1474=VECTOR('',#1473,2.127659618855E-1); +#1475=CARTESIAN_POINT('',(1.541666669250E1,-6.037234038115E0,-2.E1)); +#1476=LINE('',#1475,#1474); +#1477=DIRECTION('',(1.E0,0.E0,0.E0)); +#1478=VECTOR('',#1477,9.75E-1); +#1479=CARTESIAN_POINT('',(1.541666669250E1,-6.25E0,-2.E1)); +#1480=LINE('',#1479,#1478); +#1481=DIRECTION('',(0.E0,1.E0,0.E0)); +#1482=VECTOR('',#1481,2.127659618855E-1); +#1483=CARTESIAN_POINT('',(1.639166669250E1,-6.25E0,-2.E1)); +#1484=LINE('',#1483,#1482); +#1485=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1486=VECTOR('',#1485,7.583333268762E-1); +#1487=CARTESIAN_POINT('',(1.639166669250E1,-6.037234038115E0,-2.E1)); +#1488=LINE('',#1487,#1486); +#1489=DIRECTION('',(5.860367296327E-1,8.102844880173E-1,0.E0)); +#1490=VECTOR('',#1489,1.155359882137E0); +#1491=CARTESIAN_POINT('',(1.563333336562E1,-6.037234038115E0,-2.E1)); +#1492=LINE('',#1491,#1490); +#1493=DIRECTION('',(3.905992953907E-1,9.205608021420E-1,0.E0)); +#1494=VECTOR('',#1493,1.386758581865E-1); +#1495=CARTESIAN_POINT('',(1.631041669250E1,-5.101063847542E0,-2.E1)); +#1496=LINE('',#1495,#1494); +#1497=DIRECTION('',(1.571377237929E-1,9.875766986727E-1,0.E0)); +#1498=VECTOR('',#1497,1.723539507314E-1); +#1499=CARTESIAN_POINT('',(1.636458338499E1,-4.973404288292E0,-2.E1)); +#1500=LINE('',#1499,#1498); +#1501=DIRECTION('',(0.E0,1.E0,0.E0)); +#1502=VECTOR('',#1501,8.510649204254E-2); +#1503=CARTESIAN_POINT('',(1.639166669250E1,-4.803191542625E0,-2.E1)); +#1504=LINE('',#1503,#1502); +#1505=DIRECTION('',(-1.571377237929E-1,9.875766986727E-1,0.E0)); +#1506=VECTOR('',#1505,1.723539507314E-1); +#1507=CARTESIAN_POINT('',(1.639166669250E1,-4.718085050583E0,-2.E1)); +#1508=LINE('',#1507,#1506); +#1509=DIRECTION('',(-5.369325807444E-1,8.436251559402E-1,0.E0)); +#1510=VECTOR('',#1509,2.017634780897E-1); +#1511=CARTESIAN_POINT('',(1.636458338499E1,-4.547872304916E0,-2.E1)); +#1512=LINE('',#1511,#1510); +#1513=DIRECTION('',(-7.863629606396E-1,6.177647563062E-1,0.E0)); +#1514=VECTOR('',#1513,1.377650180987E-1); +#1515=CARTESIAN_POINT('',(1.625625E1,-4.377659559250E0,-2.E1)); +#1516=LINE('',#1515,#1514); +#1517=DIRECTION('',(-9.673814694122E-1,2.533240861778E-1,0.E0)); +#1518=VECTOR('',#1517,1.679792358424E-1); +#1519=CARTESIAN_POINT('',(1.614791669250E1,-4.292553186417E0,-2.E1)); +#1520=LINE('',#1519,#1518); +#1521=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1522=VECTOR('',#1521,1.083333462477E-1); +#1523=CARTESIAN_POINT('',(1.598541669250E1,-4.25E0,-2.E1)); +#1524=LINE('',#1523,#1522); +#1525=DIRECTION('',(-9.757232207763E-1,-2.190072976821E-1,0.E0)); +#1526=VECTOR('',#1525,1.943003126700E-1); +#1527=CARTESIAN_POINT('',(1.587708334625E1,-4.25E0,-2.E1)); +#1528=LINE('',#1527,#1526); +#1529=DIRECTION('',(-7.863630143021E-1,-6.177646879983E-1,0.E0)); +#1530=VECTOR('',#1529,1.377650333317E-1); +#1531=CARTESIAN_POINT('',(1.568750001937E1,-4.292553186417E0,-2.E1)); +#1532=LINE('',#1531,#1530); +#1533=DIRECTION('',(-5.369323928332E-1,-8.436252755379E-1,0.E0)); +#1534=VECTOR('',#1533,2.017634494865E-1); +#1535=CARTESIAN_POINT('',(1.557916669250E1,-4.377659559250E0,-2.E1)); +#1536=LINE('',#1535,#1534); +#1537=DIRECTION('',(-1.571378745184E-1,-9.875766746900E-1,0.E0)); +#1538=VECTOR('',#1537,1.723539549169E-1); +#1539=CARTESIAN_POINT('',(1.547083336078E1,-4.547872304916E0,-2.E1)); +#1540=LINE('',#1539,#1538); +#1541=DIRECTION('',(1.E0,0.E0,0.E0)); +#1542=VECTOR('',#1541,1.354166658595E-1); +#1543=CARTESIAN_POINT('',(1.544375002664E1,-4.718085050583E0,-2.E1)); +#1544=LINE('',#1543,#1542); +#1545=DIRECTION('',(3.905991770137E-1,9.205608523700E-1,0.E0)); +#1546=VECTOR('',#1545,1.386758506200E-1); +#1547=CARTESIAN_POINT('',(1.557916669250E1,-4.718085050583E0,-2.E1)); +#1548=LINE('',#1547,#1546); +#1549=DIRECTION('',(6.905295469395E-1,7.233041855288E-1,0.E0)); +#1550=VECTOR('',#1549,1.176633213743E-1); +#1551=CARTESIAN_POINT('',(1.563333336562E1,-4.590425491333E0,-2.E1)); +#1552=LINE('',#1551,#1550); +#1553=DIRECTION('',(9.540064905539E-1,2.997859502728E-1,0.E0)); +#1554=VECTOR('',#1553,1.419452325164E-1); +#1555=CARTESIAN_POINT('',(1.571458336562E1,-4.505319118500E0,-2.E1)); +#1556=LINE('',#1555,#1554); +#1557=DIRECTION('',(1.E0,0.E0,0.E0)); +#1558=VECTOR('',#1557,1.083333075047E-1); +#1559=CARTESIAN_POINT('',(1.585000003874E1,-4.462765932083E0,-2.E1)); +#1560=LINE('',#1559,#1558); +#1561=DIRECTION('',(9.540064782890E-1,-2.997859893034E-1,0.E0)); +#1562=VECTOR('',#1561,1.419452140359E-1); +#1563=CARTESIAN_POINT('',(1.595833334625E1,-4.462765932083E0,-2.E1)); +#1564=LINE('',#1563,#1562); +#1565=DIRECTION('',(6.905295469395E-1,-7.233041855288E-1,0.E0)); +#1566=VECTOR('',#1565,1.176633213743E-1); +#1567=CARTESIAN_POINT('',(1.609375E1,-4.505319118500E0,-2.E1)); +#1568=LINE('',#1567,#1566); +#1569=DIRECTION('',(3.905992953907E-1,-9.205608021420E-1,0.E0)); +#1570=VECTOR('',#1569,1.386758581865E-1); +#1571=CARTESIAN_POINT('',(1.6175E1,-4.590425491333E0,-2.E1)); +#1572=LINE('',#1571,#1570); +#1573=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1574=VECTOR('',#1573,8.510649204254E-2); +#1575=CARTESIAN_POINT('',(1.622916669250E1,-4.718085050583E0,-2.E1)); +#1576=LINE('',#1575,#1574); +#1577=DIRECTION('',(-3.032447921998E-1,-9.529126906510E-1,0.E0)); +#1578=VECTOR('',#1577,1.786236528661E-1); +#1579=CARTESIAN_POINT('',(1.622916669250E1,-4.803191542625E0,-2.E1)); +#1580=LINE('',#1579,#1578); +#1581=DIRECTION('',(-5.804548103877E-1,-8.142924616486E-1,0.E0)); +#1582=VECTOR('',#1581,1.306446761976E0); +#1583=CARTESIAN_POINT('',(1.6175E1,-4.973404288292E0,-2.E1)); +#1584=LINE('',#1583,#1582); +#1585=DIRECTION('',(3.905997688989E-1,9.205606012296E-1,0.E0)); +#1586=VECTOR('',#1585,1.386758884525E-1); +#1587=CARTESIAN_POINT('',(1.750208330750E1,-6.037234038115E0,-2.E1)); +#1588=LINE('',#1587,#1586); +#1589=DIRECTION('',(2.075329768340E-1,9.782280222558E-1,0.E0)); +#1590=VECTOR('',#1589,1.305008506686E-1); +#1591=CARTESIAN_POINT('',(1.755625007749E1,-5.909574478865E0,-2.E1)); +#1592=LINE('',#1591,#1590); +#1593=DIRECTION('',(0.E0,1.E0,0.E0)); +#1594=VECTOR('',#1593,1.702127456665E-1); +#1595=CARTESIAN_POINT('',(1.758333330750E1,-5.781914889812E0,-2.E1)); +#1596=LINE('',#1595,#1594); +#1597=DIRECTION('',(-1.571372316517E-1,9.875767769793E-1,0.E0)); +#1598=VECTOR('',#1597,1.723539974196E-1); +#1599=CARTESIAN_POINT('',(1.758333330750E1,-5.611702144146E0,-2.E1)); +#1600=LINE('',#1599,#1598); +#1601=DIRECTION('',(-3.905997688989E-1,9.205606012296E-1,0.E0)); +#1602=VECTOR('',#1601,1.386758884525E-1); +#1603=CARTESIAN_POINT('',(1.755625007749E1,-5.441489338875E0,-2.E1)); +#1604=LINE('',#1603,#1602); +#1605=DIRECTION('',(-8.466721611395E-1,5.321148856698E-1,0.E0)); +#1606=VECTOR('',#1605,1.599398459341E-1); +#1607=CARTESIAN_POINT('',(1.750208330750E1,-5.313829779625E0,-2.E1)); +#1608=LINE('',#1607,#1606); +#1609=DIRECTION('',(6.470336442144E-1,7.624614503400E-1,0.E0)); +#1610=VECTOR('',#1609,1.674308375760E-1); +#1611=CARTESIAN_POINT('',(1.736666669250E1,-5.228723406792E0,-2.E1)); +#1612=LINE('',#1611,#1610); +#1613=DIRECTION('',(3.905988218823E-1,9.205610030542E-1,0.E0)); +#1614=VECTOR('',#1613,1.386758279205E-1); +#1615=CARTESIAN_POINT('',(1.747500007749E1,-5.101063847542E0,-2.E1)); +#1616=LINE('',#1615,#1614); +#1617=DIRECTION('',(1.571381622669E-1,9.875766289050E-1,0.E0)); +#1618=VECTOR('',#1617,1.723539629074E-1); +#1619=CARTESIAN_POINT('',(1.752916669250E1,-4.973404288292E0,-2.E1)); +#1620=LINE('',#1619,#1618); +#1621=DIRECTION('',(0.E0,1.E0,0.E0)); +#1622=VECTOR('',#1621,8.510649204254E-2); +#1623=CARTESIAN_POINT('',(1.755625007749E1,-4.803191542625E0,-2.E1)); +#1624=LINE('',#1623,#1622); +#1625=DIRECTION('',(-1.571381622669E-1,9.875766289050E-1,0.E0)); +#1626=VECTOR('',#1625,1.723539629074E-1); +#1627=CARTESIAN_POINT('',(1.755625007749E1,-4.718085050583E0,-2.E1)); +#1628=LINE('',#1627,#1626); +#1629=DIRECTION('',(-5.369325807444E-1,8.436251559402E-1,0.E0)); +#1630=VECTOR('',#1629,2.017634780897E-1); +#1631=CARTESIAN_POINT('',(1.752916669250E1,-4.547872304916E0,-2.E1)); +#1632=LINE('',#1631,#1630); +#1633=DIRECTION('',(-7.863627459896E-1,6.177650295377E-1,0.E0)); +#1634=VECTOR('',#1633,1.377649571665E-1); +#1635=CARTESIAN_POINT('',(1.742083330750E1,-4.377659559250E0,-2.E1)); +#1636=LINE('',#1635,#1634); +#1637=DIRECTION('',(-9.757232351222E-1,2.190072337680E-1,0.E0)); +#1638=VECTOR('',#1637,1.943003693736E-1); +#1639=CARTESIAN_POINT('',(1.731250007749E1,-4.292553186417E0,-2.E1)); +#1640=LINE('',#1639,#1638); +#1641=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1642=VECTOR('',#1641,5.416661500931E-2); +#1643=CARTESIAN_POINT('',(1.712291669250E1,-4.25E0,-2.E1)); +#1644=LINE('',#1643,#1642); +#1645=DIRECTION('',(-9.757232351222E-1,-2.190072337680E-1,0.E0)); +#1646=VECTOR('',#1645,1.943003693736E-1); +#1647=CARTESIAN_POINT('',(1.706875007749E1,-4.25E0,-2.E1)); +#1648=LINE('',#1647,#1646); +#1649=DIRECTION('',(-7.863631752894E-1,-6.177644830748E-1,0.E0)); +#1650=VECTOR('',#1649,1.377650790308E-1); +#1651=CARTESIAN_POINT('',(1.687916669250E1,-4.292553186417E0,-2.E1)); +#1652=LINE('',#1651,#1650); +#1653=DIRECTION('',(-5.369323074190E-1,-8.436253299005E-1,0.E0)); +#1654=VECTOR('',#1653,2.017634364850E-1); +#1655=CARTESIAN_POINT('',(1.677083330750E1,-4.377659559250E0,-2.E1)); +#1656=LINE('',#1655,#1654); +#1657=DIRECTION('',(-1.571377237929E-1,-9.875766986727E-1,0.E0)); +#1658=VECTOR('',#1657,1.723539507314E-1); +#1659=CARTESIAN_POINT('',(1.66625E1,-4.547872304916E0,-2.E1)); +#1660=LINE('',#1659,#1658); +#1661=DIRECTION('',(1.E0,0.E0,0.E0)); +#1662=VECTOR('',#1661,1.354166150093E-1); +#1663=CARTESIAN_POINT('',(1.663541669250E1,-4.718085050583E0,-2.E1)); +#1664=LINE('',#1663,#1662); +#1665=DIRECTION('',(3.905997688988E-1,9.205606012296E-1,0.E0)); +#1666=VECTOR('',#1665,1.386758884525E-1); +#1667=CARTESIAN_POINT('',(1.677083330750E1,-4.718085050583E0,-2.E1)); +#1668=LINE('',#1667,#1666); +#1669=DIRECTION('',(6.905295469395E-1,7.233041855288E-1,0.E0)); +#1670=VECTOR('',#1669,1.176633213743E-1); +#1671=CARTESIAN_POINT('',(1.682500007749E1,-4.590425491333E0,-2.E1)); +#1672=LINE('',#1671,#1670); +#1673=DIRECTION('',(9.540064537591E-1,2.997860673647E-1,0.E0)); +#1674=VECTOR('',#1673,1.419451770748E-1); +#1675=CARTESIAN_POINT('',(1.690625007749E1,-4.505319118500E0,-2.E1)); +#1676=LINE('',#1675,#1674); +#1677=DIRECTION('',(1.E0,0.E0,0.E0)); +#1678=VECTOR('',#1677,1.083333849907E-1); +#1679=CARTESIAN_POINT('',(1.704166669250E1,-4.462765932083E0,-2.E1)); +#1680=LINE('',#1679,#1678); +#1681=DIRECTION('',(9.540064537591E-1,-2.997860673647E-1,0.E0)); +#1682=VECTOR('',#1681,1.419451770748E-1); +#1683=CARTESIAN_POINT('',(1.715000007749E1,-4.462765932083E0,-2.E1)); +#1684=LINE('',#1683,#1682); +#1685=DIRECTION('',(6.905295469395E-1,-7.233041855288E-1,0.E0)); +#1686=VECTOR('',#1685,1.176633213743E-1); +#1687=CARTESIAN_POINT('',(1.728541669250E1,-4.505319118500E0,-2.E1)); +#1688=LINE('',#1687,#1686); +#1689=DIRECTION('',(3.905988218823E-1,-9.205610030542E-1,0.E0)); +#1690=VECTOR('',#1689,1.386758279205E-1); +#1691=CARTESIAN_POINT('',(1.736666669250E1,-4.590425491333E0,-2.E1)); +#1692=LINE('',#1691,#1690); +#1693=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1694=VECTOR('',#1693,8.510649204254E-2); +#1695=CARTESIAN_POINT('',(1.742083330750E1,-4.718085050583E0,-2.E1)); +#1696=LINE('',#1695,#1694); +#1697=DIRECTION('',(-3.032443982955E-1,-9.529128160028E-1,0.E0)); +#1698=VECTOR('',#1697,1.786236293688E-1); +#1699=CARTESIAN_POINT('',(1.742083330750E1,-4.803191542625E0,-2.E1)); +#1700=LINE('',#1699,#1698); +#1701=DIRECTION('',(-5.369320340933E-1,-8.436255038608E-1,0.E0)); +#1702=VECTOR('',#1701,1.008816974401E-1); +#1703=CARTESIAN_POINT('',(1.736666669250E1,-4.973404288292E0,-2.E1)); +#1704=LINE('',#1703,#1702); +#1705=DIRECTION('',(-8.858599342517E-1,-4.639527744152E-1,0.E0)); +#1706=VECTOR('',#1705,1.834375771123E-1); +#1707=CARTESIAN_POINT('',(1.731250007749E1,-5.058510661125E0,-2.E1)); +#1708=LINE('',#1707,#1706); +#1709=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1710=VECTOR('',#1709,1.083333849907E-1); +#1711=CARTESIAN_POINT('',(1.715000007749E1,-5.143617033958E0,-2.E1)); +#1712=LINE('',#1711,#1710); +#1713=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1714=VECTOR('',#1713,1.702127456665E-1); +#1715=CARTESIAN_POINT('',(1.704166669250E1,-5.143617033958E0,-2.E1)); +#1716=LINE('',#1715,#1714); +#1717=DIRECTION('',(1.E0,0.E0,0.E0)); +#1718=VECTOR('',#1717,1.083333849907E-1); +#1719=CARTESIAN_POINT('',(1.704166669250E1,-5.313829779625E0,-2.E1)); +#1720=LINE('',#1719,#1718); +#1721=DIRECTION('',(9.673814694122E-1,-2.533240861778E-1,0.E0)); +#1722=VECTOR('',#1721,1.679792358424E-1); +#1723=CARTESIAN_POINT('',(1.715000007749E1,-5.313829779625E0,-2.E1)); +#1724=LINE('',#1723,#1722); +#1725=DIRECTION('',(6.905295469395E-1,-7.233041855288E-1,0.E0)); +#1726=VECTOR('',#1725,1.176633213743E-1); +#1727=CARTESIAN_POINT('',(1.731250007749E1,-5.356382966042E0,-2.E1)); +#1728=LINE('',#1727,#1726); +#1729=DIRECTION('',(3.032443018711E-1,-9.529128466878E-1,0.E0)); +#1730=VECTOR('',#1729,1.786236861669E-1); +#1731=CARTESIAN_POINT('',(1.739375007749E1,-5.441489338875E0,-2.E1)); +#1732=LINE('',#1731,#1730); +#1733=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1734=VECTOR('',#1733,1.702127456665E-1); +#1735=CARTESIAN_POINT('',(1.744791669250E1,-5.611702144146E0,-2.E1)); +#1736=LINE('',#1735,#1734); +#1737=DIRECTION('',(-3.032451861040E-1,-9.529125652990E-1,0.E0)); +#1738=VECTOR('',#1737,8.931183818166E-2); +#1739=CARTESIAN_POINT('',(1.744791669250E1,-5.781914889812E0,-2.E1)); +#1740=LINE('',#1739,#1738); +#1741=DIRECTION('',(-5.369319002777E-1,-8.436255890288E-1,0.E0)); +#1742=VECTOR('',#1741,1.008817225821E-1); +#1743=CARTESIAN_POINT('',(1.742083330750E1,-5.867021262646E0,-2.E1)); +#1744=LINE('',#1743,#1742); +#1745=DIRECTION('',(-7.863627459896E-1,-6.177650295377E-1,0.E0)); +#1746=VECTOR('',#1745,6.888247858327E-2); +#1747=CARTESIAN_POINT('',(1.736666669250E1,-5.952127665281E0,-2.E1)); +#1748=LINE('',#1747,#1746); +#1749=DIRECTION('',(-9.540065518785E-1,-2.997857551199E-1,0.E0)); +#1750=VECTOR('',#1749,1.419453249191E-1); +#1751=CARTESIAN_POINT('',(1.731250007749E1,-5.994680851698E0,-2.E1)); +#1752=LINE('',#1751,#1750); +#1753=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1754=VECTOR('',#1753,1.625E-1); +#1755=CARTESIAN_POINT('',(1.717708330750E1,-6.037234038115E0,-2.E1)); +#1756=LINE('',#1755,#1754); +#1757=DIRECTION('',(-9.540064537591E-1,2.997860673647E-1,0.E0)); +#1758=VECTOR('',#1757,1.419451770748E-1); +#1759=CARTESIAN_POINT('',(1.701458330750E1,-6.037234038115E0,-2.E1)); +#1760=LINE('',#1759,#1758); +#1761=DIRECTION('',(-7.863627459896E-1,6.177650295377E-1,0.E0)); +#1762=VECTOR('',#1761,6.888247858327E-2); +#1763=CARTESIAN_POINT('',(1.687916669250E1,-5.994680851698E0,-2.E1)); +#1764=LINE('',#1763,#1762); +#1765=DIRECTION('',(-5.369329935792E-1,8.436248931878E-1,0.E0)); +#1766=VECTOR('',#1765,1.008818057917E-1); +#1767=CARTESIAN_POINT('',(1.682500007749E1,-5.952127665281E0,-2.E1)); +#1768=LINE('',#1767,#1766); +#1769=DIRECTION('',(-3.032436104863E-1,9.529130667061E-1,0.E0)); +#1770=VECTOR('',#1769,8.931179118724E-2); +#1771=CARTESIAN_POINT('',(1.677083330750E1,-5.867021262646E0,-2.E1)); +#1772=LINE('',#1771,#1770); +#1773=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1774=VECTOR('',#1773,1.354166924954E-1); +#1775=CARTESIAN_POINT('',(1.674375007749E1,-5.781914889812E0,-2.E1)); +#1776=LINE('',#1775,#1774); +#1777=DIRECTION('',(2.075335450196E-1,-9.782279017139E-1,0.E0)); +#1778=VECTOR('',#1777,1.305008667495E-1); +#1779=CARTESIAN_POINT('',(1.660833338499E1,-5.781914889812E0,-2.E1)); +#1780=LINE('',#1779,#1778); +#1781=DIRECTION('',(3.905992953908E-1,-9.205608021420E-1,0.E0)); +#1782=VECTOR('',#1781,1.386758581865E-1); +#1783=CARTESIAN_POINT('',(1.663541669250E1,-5.909574478865E0,-2.E1)); +#1784=LINE('',#1783,#1782); +#1785=DIRECTION('',(6.905291391583E-1,-7.233045748323E-1,0.E0)); +#1786=VECTOR('',#1785,1.176632786460E-1); +#1787=CARTESIAN_POINT('',(1.668958338499E1,-6.037234038115E0,-2.E1)); +#1788=LINE('',#1787,#1786); +#1789=DIRECTION('',(7.863631358811E-1,-6.177645332384E-1,0.E0)); +#1790=VECTOR('',#1789,1.377650859349E-1); +#1791=CARTESIAN_POINT('',(1.677083330750E1,-6.122340425849E0,-2.E1)); +#1792=LINE('',#1791,#1790); +#1793=DIRECTION('',(9.307700714306E-1,-3.656050794628E-1,0.E0)); +#1794=VECTOR('',#1793,1.163911349493E-1); +#1795=CARTESIAN_POINT('',(1.687916669250E1,-6.207446809858E0,-2.E1)); +#1796=LINE('',#1795,#1794); +#1797=DIRECTION('',(1.E0,0.E0,0.E0)); +#1798=VECTOR('',#1797,2.166666150093E-1); +#1799=CARTESIAN_POINT('',(1.698750007749E1,-6.25E0,-2.E1)); +#1800=LINE('',#1799,#1798); +#1801=DIRECTION('',(9.307700714306E-1,3.656050794628E-1,0.E0)); +#1802=VECTOR('',#1801,1.163911349493E-1); +#1803=CARTESIAN_POINT('',(1.720416669250E1,-6.25E0,-2.E1)); +#1804=LINE('',#1803,#1802); +#1805=DIRECTION('',(7.863627065812E-1,6.177650797013E-1,0.E0)); +#1806=VECTOR('',#1805,1.377649640706E-1); +#1807=CARTESIAN_POINT('',(1.731250007749E1,-6.207446809858E0,-2.E1)); +#1808=LINE('',#1807,#1806); +#1809=DIRECTION('',(6.905294836864E-1,7.233042459158E-1,0.E0)); +#1810=VECTOR('',#1809,1.176633321524E-1); +#1811=CARTESIAN_POINT('',(1.742083330750E1,-6.122340425849E0,-2.E1)); +#1812=LINE('',#1811,#1810); +#1813=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.E1)); +#1814=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1815=DIRECTION('',(0.E0,1.E0,0.E0)); +#1816=AXIS2_PLACEMENT_3D('',#1813,#1814,#1815); +#1818=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.E1)); +#1819=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1820=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1821=AXIS2_PLACEMENT_3D('',#1818,#1819,#1820); +#1823=CARTESIAN_POINT('',(-1.E1,4.E0,-2.E1)); +#1824=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1825=DIRECTION('',(0.E0,1.E0,0.E0)); +#1826=AXIS2_PLACEMENT_3D('',#1823,#1824,#1825); +#1828=CARTESIAN_POINT('',(-1.E1,4.E0,-2.E1)); +#1829=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1830=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1831=AXIS2_PLACEMENT_3D('',#1828,#1829,#1830); +#1833=CARTESIAN_POINT('',(-6.E0,4.E0,-2.E1)); +#1834=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1835=DIRECTION('',(0.E0,1.E0,0.E0)); +#1836=AXIS2_PLACEMENT_3D('',#1833,#1834,#1835); +#1838=CARTESIAN_POINT('',(-6.E0,4.E0,-2.E1)); +#1839=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1840=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1841=AXIS2_PLACEMENT_3D('',#1838,#1839,#1840); +#1843=CARTESIAN_POINT('',(-2.E0,4.E0,-2.E1)); +#1844=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1845=DIRECTION('',(0.E0,1.E0,0.E0)); +#1846=AXIS2_PLACEMENT_3D('',#1843,#1844,#1845); +#1848=CARTESIAN_POINT('',(-2.E0,4.E0,-2.E1)); +#1849=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1850=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1851=AXIS2_PLACEMENT_3D('',#1848,#1849,#1850); +#1853=CARTESIAN_POINT('',(2.E0,4.E0,-2.E1)); +#1854=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1855=DIRECTION('',(0.E0,1.E0,0.E0)); +#1856=AXIS2_PLACEMENT_3D('',#1853,#1854,#1855); +#1858=CARTESIAN_POINT('',(2.E0,4.E0,-2.E1)); +#1859=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1860=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1861=AXIS2_PLACEMENT_3D('',#1858,#1859,#1860); +#1863=CARTESIAN_POINT('',(6.E0,4.E0,-2.E1)); +#1864=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1865=DIRECTION('',(0.E0,1.E0,0.E0)); +#1866=AXIS2_PLACEMENT_3D('',#1863,#1864,#1865); +#1868=CARTESIAN_POINT('',(6.E0,4.E0,-2.E1)); +#1869=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1870=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1871=AXIS2_PLACEMENT_3D('',#1868,#1869,#1870); +#1873=CARTESIAN_POINT('',(1.E1,4.E0,-2.E1)); +#1874=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1875=DIRECTION('',(0.E0,1.E0,0.E0)); +#1876=AXIS2_PLACEMENT_3D('',#1873,#1874,#1875); +#1878=CARTESIAN_POINT('',(1.E1,4.E0,-2.E1)); +#1879=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1880=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1881=AXIS2_PLACEMENT_3D('',#1878,#1879,#1880); +#1883=CARTESIAN_POINT('',(1.4E1,4.E0,-2.E1)); +#1884=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1885=DIRECTION('',(0.E0,1.E0,0.E0)); +#1886=AXIS2_PLACEMENT_3D('',#1883,#1884,#1885); +#1888=CARTESIAN_POINT('',(1.4E1,4.E0,-2.E1)); +#1889=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1890=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1891=AXIS2_PLACEMENT_3D('',#1888,#1889,#1890); +#1893=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.E1)); +#1894=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1895=DIRECTION('',(0.E0,1.E0,0.E0)); +#1896=AXIS2_PLACEMENT_3D('',#1893,#1894,#1895); +#1898=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.E1)); +#1899=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1900=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1901=AXIS2_PLACEMENT_3D('',#1898,#1899,#1900); +#1903=CARTESIAN_POINT('',(-8.E0,0.E0,-2.E1)); +#1904=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1905=DIRECTION('',(0.E0,1.E0,0.E0)); +#1906=AXIS2_PLACEMENT_3D('',#1903,#1904,#1905); +#1908=CARTESIAN_POINT('',(-8.E0,0.E0,-2.E1)); +#1909=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1910=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1911=AXIS2_PLACEMENT_3D('',#1908,#1909,#1910); +#1913=CARTESIAN_POINT('',(-4.E0,0.E0,-2.E1)); +#1914=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1915=DIRECTION('',(0.E0,1.E0,0.E0)); +#1916=AXIS2_PLACEMENT_3D('',#1913,#1914,#1915); +#1918=CARTESIAN_POINT('',(-4.E0,0.E0,-2.E1)); +#1919=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1920=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1921=AXIS2_PLACEMENT_3D('',#1918,#1919,#1920); +#1923=CARTESIAN_POINT('',(5.684341886081E-14,0.E0,-2.E1)); +#1924=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1925=DIRECTION('',(0.E0,1.E0,0.E0)); +#1926=AXIS2_PLACEMENT_3D('',#1923,#1924,#1925); +#1928=CARTESIAN_POINT('',(5.684341886081E-14,0.E0,-2.E1)); +#1929=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1930=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1931=AXIS2_PLACEMENT_3D('',#1928,#1929,#1930); +#1933=CARTESIAN_POINT('',(4.E0,0.E0,-2.E1)); +#1934=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1935=DIRECTION('',(0.E0,1.E0,0.E0)); +#1936=AXIS2_PLACEMENT_3D('',#1933,#1934,#1935); +#1938=CARTESIAN_POINT('',(4.E0,0.E0,-2.E1)); +#1939=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1940=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1941=AXIS2_PLACEMENT_3D('',#1938,#1939,#1940); +#1943=CARTESIAN_POINT('',(8.E0,0.E0,-2.E1)); +#1944=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1945=DIRECTION('',(0.E0,1.E0,0.E0)); +#1946=AXIS2_PLACEMENT_3D('',#1943,#1944,#1945); +#1948=CARTESIAN_POINT('',(8.E0,0.E0,-2.E1)); +#1949=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1950=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1951=AXIS2_PLACEMENT_3D('',#1948,#1949,#1950); +#1953=CARTESIAN_POINT('',(1.2E1,0.E0,-2.E1)); +#1954=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1955=DIRECTION('',(0.E0,1.E0,0.E0)); +#1956=AXIS2_PLACEMENT_3D('',#1953,#1954,#1955); +#1958=CARTESIAN_POINT('',(1.2E1,0.E0,-2.E1)); +#1959=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1960=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1961=AXIS2_PLACEMENT_3D('',#1958,#1959,#1960); +#1963=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.E1)); +#1964=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1965=DIRECTION('',(0.E0,1.E0,0.E0)); +#1966=AXIS2_PLACEMENT_3D('',#1963,#1964,#1965); +#1968=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.E1)); +#1969=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1970=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1971=AXIS2_PLACEMENT_3D('',#1968,#1969,#1970); +#1973=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.E1)); +#1974=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1975=DIRECTION('',(0.E0,1.E0,0.E0)); +#1976=AXIS2_PLACEMENT_3D('',#1973,#1974,#1975); +#1978=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.E1)); +#1979=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1980=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1981=AXIS2_PLACEMENT_3D('',#1978,#1979,#1980); +#1983=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.E1)); +#1984=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1985=DIRECTION('',(0.E0,1.E0,0.E0)); +#1986=AXIS2_PLACEMENT_3D('',#1983,#1984,#1985); +#1988=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.E1)); +#1989=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1990=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1991=AXIS2_PLACEMENT_3D('',#1988,#1989,#1990); +#1993=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.E1)); +#1994=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1995=DIRECTION('',(0.E0,1.E0,0.E0)); +#1996=AXIS2_PLACEMENT_3D('',#1993,#1994,#1995); +#1998=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.E1)); +#1999=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2000=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2001=AXIS2_PLACEMENT_3D('',#1998,#1999,#2000); +#2003=CARTESIAN_POINT('',(2.E0,-4.E0,-2.E1)); +#2004=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2005=DIRECTION('',(0.E0,1.E0,0.E0)); +#2006=AXIS2_PLACEMENT_3D('',#2003,#2004,#2005); +#2008=CARTESIAN_POINT('',(2.E0,-4.E0,-2.E1)); +#2009=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2010=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2011=AXIS2_PLACEMENT_3D('',#2008,#2009,#2010); +#2013=CARTESIAN_POINT('',(6.E0,-4.E0,-2.E1)); +#2014=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2015=DIRECTION('',(0.E0,1.E0,0.E0)); +#2016=AXIS2_PLACEMENT_3D('',#2013,#2014,#2015); +#2018=CARTESIAN_POINT('',(6.E0,-4.E0,-2.E1)); +#2019=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2020=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2021=AXIS2_PLACEMENT_3D('',#2018,#2019,#2020); +#2023=CARTESIAN_POINT('',(1.E1,-4.E0,-2.E1)); +#2024=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2025=DIRECTION('',(0.E0,1.E0,0.E0)); +#2026=AXIS2_PLACEMENT_3D('',#2023,#2024,#2025); +#2028=CARTESIAN_POINT('',(1.E1,-4.E0,-2.E1)); +#2029=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2030=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2031=AXIS2_PLACEMENT_3D('',#2028,#2029,#2030); +#2033=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.E1)); +#2034=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2035=DIRECTION('',(0.E0,1.E0,0.E0)); +#2036=AXIS2_PLACEMENT_3D('',#2033,#2034,#2035); +#2038=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.E1)); +#2039=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2040=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2041=AXIS2_PLACEMENT_3D('',#2038,#2039,#2040); +#2043=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2044=VECTOR('',#2043,1.95E0); +#2045=CARTESIAN_POINT('',(-2.425E0,9.8E0,-2.E1)); +#2046=LINE('',#2045,#2044); +#2047=CARTESIAN_POINT('',(-1.975E0,7.85E0,-2.E1)); +#2048=DIRECTION('',(0.E0,0.E0,1.E0)); +#2049=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2050=AXIS2_PLACEMENT_3D('',#2047,#2048,#2049); +#2052=DIRECTION('',(1.E0,0.E0,0.E0)); +#2053=VECTOR('',#2052,4.5E-1); +#2054=CARTESIAN_POINT('',(-1.975E0,7.4E0,-2.E1)); +#2055=LINE('',#2054,#2053); +#2056=CARTESIAN_POINT('',(-1.525E0,7.85E0,-2.E1)); +#2057=DIRECTION('',(0.E0,0.E0,1.E0)); +#2058=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2059=AXIS2_PLACEMENT_3D('',#2056,#2057,#2058); +#2061=DIRECTION('',(0.E0,1.E0,0.E0)); +#2062=VECTOR('',#2061,1.95E0); +#2063=CARTESIAN_POINT('',(-1.075E0,7.85E0,-2.E1)); +#2064=LINE('',#2063,#2062); +#2065=CARTESIAN_POINT('',(-5.75E-1,9.8E0,-2.E1)); +#2066=DIRECTION('',(0.E0,0.E0,1.E0)); +#2067=DIRECTION('',(0.E0,1.E0,0.E0)); +#2068=AXIS2_PLACEMENT_3D('',#2065,#2066,#2067); +#2070=CARTESIAN_POINT('',(7.5E-1,9.8E0,-2.E1)); +#2071=DIRECTION('',(0.E0,0.E0,1.E0)); +#2072=DIRECTION('',(1.E0,0.E0,0.E0)); +#2073=AXIS2_PLACEMENT_3D('',#2070,#2071,#2072); +#2075=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2076=VECTOR('',#2075,7.5E-1); +#2077=CARTESIAN_POINT('',(1.25E0,9.8E0,-2.E1)); +#2078=LINE('',#2077,#2076); +#2079=CARTESIAN_POINT('',(1.7E0,9.05E0,-2.E1)); +#2080=DIRECTION('',(0.E0,0.E0,1.E0)); +#2081=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2082=AXIS2_PLACEMENT_3D('',#2079,#2080,#2081); +#2084=DIRECTION('',(1.E0,0.E0,0.E0)); +#2085=VECTOR('',#2084,9.999999999999E-2); +#2086=CARTESIAN_POINT('',(1.7E0,8.6E0,-2.E1)); +#2087=LINE('',#2086,#2085); +#2088=CARTESIAN_POINT('',(1.8E0,9.05E0,-2.E1)); +#2089=DIRECTION('',(0.E0,0.E0,1.E0)); +#2090=DIRECTION('',(-2.516505522484E-14,-1.E0,0.E0)); +#2091=AXIS2_PLACEMENT_3D('',#2088,#2089,#2090); +#2093=DIRECTION('',(0.E0,1.E0,0.E0)); +#2094=VECTOR('',#2093,7.5E-1); +#2095=CARTESIAN_POINT('',(2.25E0,9.05E0,-2.E1)); +#2096=LINE('',#2095,#2094); +#2097=CARTESIAN_POINT('',(2.75E0,9.8E0,-2.E1)); +#2098=DIRECTION('',(0.E0,0.E0,1.E0)); +#2099=DIRECTION('',(0.E0,1.E0,0.E0)); +#2100=AXIS2_PLACEMENT_3D('',#2097,#2098,#2099); +#2102=CARTESIAN_POINT('',(4.25E0,9.8E0,-2.E1)); +#2103=DIRECTION('',(0.E0,0.E0,1.E0)); +#2104=DIRECTION('',(1.E0,0.E0,0.E0)); +#2105=AXIS2_PLACEMENT_3D('',#2102,#2103,#2104); +#2107=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2108=VECTOR('',#2107,7.5E-1); +#2109=CARTESIAN_POINT('',(4.75E0,9.8E0,-2.E1)); +#2110=LINE('',#2109,#2108); +#2111=CARTESIAN_POINT('',(5.2E0,9.05E0,-2.E1)); +#2112=DIRECTION('',(0.E0,0.E0,1.E0)); +#2113=DIRECTION('',(-1.E0,6.710681393290E-14,0.E0)); +#2114=AXIS2_PLACEMENT_3D('',#2111,#2112,#2113); +#2116=DIRECTION('',(1.E0,0.E0,0.E0)); +#2117=VECTOR('',#2116,9.999999999999E-2); +#2118=CARTESIAN_POINT('',(5.2E0,8.6E0,-2.E1)); +#2119=LINE('',#2118,#2117); +#2120=CARTESIAN_POINT('',(5.3E0,9.05E0,-2.E1)); +#2121=DIRECTION('',(0.E0,0.E0,1.E0)); +#2122=DIRECTION('',(-2.565848768023E-14,-1.E0,0.E0)); +#2123=AXIS2_PLACEMENT_3D('',#2120,#2121,#2122); +#2125=DIRECTION('',(0.E0,1.E0,0.E0)); +#2126=VECTOR('',#2125,7.5E-1); +#2127=CARTESIAN_POINT('',(5.75E0,9.05E0,-2.E1)); +#2128=LINE('',#2127,#2126); +#2129=CARTESIAN_POINT('',(6.25E0,9.8E0,-2.E1)); +#2130=DIRECTION('',(0.E0,0.E0,1.E0)); +#2131=DIRECTION('',(0.E0,1.E0,0.E0)); +#2132=AXIS2_PLACEMENT_3D('',#2129,#2130,#2131); +#2134=CARTESIAN_POINT('',(7.75E0,9.8E0,-2.E1)); +#2135=DIRECTION('',(0.E0,0.E0,1.E0)); +#2136=DIRECTION('',(1.E0,0.E0,0.E0)); +#2137=AXIS2_PLACEMENT_3D('',#2134,#2135,#2136); +#2139=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2140=VECTOR('',#2139,7.5E-1); +#2141=CARTESIAN_POINT('',(8.25E0,9.8E0,-2.E1)); +#2142=LINE('',#2141,#2140); +#2143=CARTESIAN_POINT('',(8.7E0,9.05E0,-2.E1)); +#2144=DIRECTION('',(0.E0,0.E0,1.E0)); +#2145=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2146=AXIS2_PLACEMENT_3D('',#2143,#2144,#2145); +#2148=DIRECTION('',(1.E0,0.E0,0.E0)); +#2149=VECTOR('',#2148,1.E-1); +#2150=CARTESIAN_POINT('',(8.7E0,8.6E0,-2.E1)); +#2151=LINE('',#2150,#2149); +#2152=CARTESIAN_POINT('',(8.8E0,9.05E0,-2.E1)); +#2153=DIRECTION('',(0.E0,0.E0,1.E0)); +#2154=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2155=AXIS2_PLACEMENT_3D('',#2152,#2153,#2154); +#2157=DIRECTION('',(0.E0,1.E0,0.E0)); +#2158=VECTOR('',#2157,7.5E-1); +#2159=CARTESIAN_POINT('',(9.25E0,9.05E0,-2.E1)); +#2160=LINE('',#2159,#2158); +#2161=CARTESIAN_POINT('',(9.75E0,9.8E0,-2.E1)); +#2162=DIRECTION('',(0.E0,0.E0,1.E0)); +#2163=DIRECTION('',(0.E0,1.E0,0.E0)); +#2164=AXIS2_PLACEMENT_3D('',#2161,#2162,#2163); +#2166=CARTESIAN_POINT('',(1.125E1,9.8E0,-2.E1)); +#2167=DIRECTION('',(0.E0,0.E0,1.E0)); +#2168=DIRECTION('',(1.E0,0.E0,0.E0)); +#2169=AXIS2_PLACEMENT_3D('',#2166,#2167,#2168); +#2171=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2172=VECTOR('',#2171,7.5E-1); +#2173=CARTESIAN_POINT('',(1.175E1,9.8E0,-2.E1)); +#2174=LINE('',#2173,#2172); +#2175=CARTESIAN_POINT('',(1.22E1,9.05E0,-2.E1)); +#2176=DIRECTION('',(0.E0,0.E0,1.E0)); +#2177=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2178=AXIS2_PLACEMENT_3D('',#2175,#2176,#2177); +#2180=DIRECTION('',(1.E0,0.E0,0.E0)); +#2181=VECTOR('',#2180,1.E-1); +#2182=CARTESIAN_POINT('',(1.22E1,8.6E0,-2.E1)); +#2183=LINE('',#2182,#2181); +#2184=CARTESIAN_POINT('',(1.23E1,9.05E0,-2.E1)); +#2185=DIRECTION('',(0.E0,0.E0,1.E0)); +#2186=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2187=AXIS2_PLACEMENT_3D('',#2184,#2185,#2186); +#2189=DIRECTION('',(0.E0,1.E0,0.E0)); +#2190=VECTOR('',#2189,7.5E-1); +#2191=CARTESIAN_POINT('',(1.275E1,9.05E0,-2.E1)); +#2192=LINE('',#2191,#2190); +#2193=CARTESIAN_POINT('',(1.325E1,9.8E0,-2.E1)); +#2194=DIRECTION('',(0.E0,0.E0,1.E0)); +#2195=DIRECTION('',(0.E0,1.E0,0.E0)); +#2196=AXIS2_PLACEMENT_3D('',#2193,#2194,#2195); +#2198=CARTESIAN_POINT('',(1.97E1,5.7E0,-2.E1)); +#2199=DIRECTION('',(0.E0,0.E0,1.E0)); +#2200=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2201=AXIS2_PLACEMENT_3D('',#2198,#2199,#2200); +#2203=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2204=VECTOR('',#2203,7.5E-1); +#2205=CARTESIAN_POINT('',(1.97E1,5.2E0,-2.E1)); +#2206=LINE('',#2205,#2204); +#2207=CARTESIAN_POINT('',(1.895E1,4.75E0,-2.E1)); +#2208=DIRECTION('',(0.E0,0.E0,1.E0)); +#2209=DIRECTION('',(-1.578983857245E-14,1.E0,0.E0)); +#2210=AXIS2_PLACEMENT_3D('',#2207,#2208,#2209); +#2212=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2213=VECTOR('',#2212,9.999999999999E-2); +#2214=CARTESIAN_POINT('',(1.85E1,4.75E0,-2.E1)); +#2215=LINE('',#2214,#2213); +#2216=CARTESIAN_POINT('',(1.895E1,4.65E0,-2.E1)); +#2217=DIRECTION('',(0.E0,0.E0,1.E0)); +#2218=DIRECTION('',(-1.E0,4.934324553890E-14,0.E0)); +#2219=AXIS2_PLACEMENT_3D('',#2216,#2217,#2218); +#2221=DIRECTION('',(1.E0,0.E0,0.E0)); +#2222=VECTOR('',#2221,7.5E-1); +#2223=CARTESIAN_POINT('',(1.895E1,4.2E0,-2.E1)); +#2224=LINE('',#2223,#2222); +#2225=CARTESIAN_POINT('',(1.97E1,3.7E0,-2.E1)); +#2226=DIRECTION('',(0.E0,0.E0,1.E0)); +#2227=DIRECTION('',(1.E0,0.E0,0.E0)); +#2228=AXIS2_PLACEMENT_3D('',#2225,#2226,#2227); +#2230=CARTESIAN_POINT('',(1.97E1,-3.7E0,-2.E1)); +#2231=DIRECTION('',(0.E0,0.E0,1.E0)); +#2232=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2233=AXIS2_PLACEMENT_3D('',#2230,#2231,#2232); +#2235=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2236=VECTOR('',#2235,7.5E-1); +#2237=CARTESIAN_POINT('',(1.97E1,-4.2E0,-2.E1)); +#2238=LINE('',#2237,#2236); +#2239=CARTESIAN_POINT('',(1.895E1,-4.65E0,-2.E1)); +#2240=DIRECTION('',(0.E0,0.E0,1.E0)); +#2241=DIRECTION('',(-2.368475785867E-14,1.E0,0.E0)); +#2242=AXIS2_PLACEMENT_3D('',#2239,#2240,#2241); +#2244=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2245=VECTOR('',#2244,9.999999999999E-2); +#2246=CARTESIAN_POINT('',(1.85E1,-4.65E0,-2.E1)); +#2247=LINE('',#2246,#2245); +#2248=CARTESIAN_POINT('',(1.895E1,-4.75E0,-2.E1)); +#2249=DIRECTION('',(0.E0,0.E0,1.E0)); +#2250=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2251=AXIS2_PLACEMENT_3D('',#2248,#2249,#2250); +#2253=DIRECTION('',(1.E0,0.E0,0.E0)); +#2254=VECTOR('',#2253,7.5E-1); +#2255=CARTESIAN_POINT('',(1.895E1,-5.2E0,-2.E1)); +#2256=LINE('',#2255,#2254); +#2257=CARTESIAN_POINT('',(1.97E1,-5.7E0,-2.E1)); +#2258=DIRECTION('',(0.E0,0.E0,1.E0)); +#2259=DIRECTION('',(9.987899777276E-1,4.917906455910E-2,0.E0)); +#2260=AXIS2_PLACEMENT_3D('',#2257,#2258,#2259); +#2262=CARTESIAN_POINT('',(1.325E1,-9.8E0,-2.E1)); +#2263=DIRECTION('',(0.E0,0.E0,1.E0)); +#2264=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2265=AXIS2_PLACEMENT_3D('',#2262,#2263,#2264); +#2267=DIRECTION('',(0.E0,1.E0,0.E0)); +#2268=VECTOR('',#2267,7.5E-1); +#2269=CARTESIAN_POINT('',(1.275E1,-9.8E0,-2.E1)); +#2270=LINE('',#2269,#2268); +#2271=CARTESIAN_POINT('',(1.23E1,-9.05E0,-2.E1)); +#2272=DIRECTION('',(0.E0,0.E0,1.E0)); +#2273=DIRECTION('',(1.E0,-2.368475785867E-14,0.E0)); +#2274=AXIS2_PLACEMENT_3D('',#2271,#2272,#2273); +#2276=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2277=VECTOR('',#2276,9.999999999998E-2); +#2278=CARTESIAN_POINT('',(1.23E1,-8.6E0,-2.E1)); +#2279=LINE('',#2278,#2277); +#2280=CARTESIAN_POINT('',(1.22E1,-9.05E0,-2.E1)); +#2281=DIRECTION('',(0.E0,0.E0,1.E0)); +#2282=DIRECTION('',(5.131697536045E-14,1.E0,0.E0)); +#2283=AXIS2_PLACEMENT_3D('',#2280,#2281,#2282); +#2285=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2286=VECTOR('',#2285,7.5E-1); +#2287=CARTESIAN_POINT('',(1.175E1,-9.05E0,-2.E1)); +#2288=LINE('',#2287,#2286); +#2289=CARTESIAN_POINT('',(1.125E1,-9.8E0,-2.E1)); +#2290=DIRECTION('',(0.E0,0.E0,1.E0)); +#2291=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2292=AXIS2_PLACEMENT_3D('',#2289,#2290,#2291); +#2294=CARTESIAN_POINT('',(9.75E0,-9.8E0,-2.E1)); +#2295=DIRECTION('',(0.E0,0.E0,1.E0)); +#2296=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2297=AXIS2_PLACEMENT_3D('',#2294,#2295,#2296); +#2299=DIRECTION('',(0.E0,1.E0,0.E0)); +#2300=VECTOR('',#2299,7.5E-1); +#2301=CARTESIAN_POINT('',(9.25E0,-9.8E0,-2.E1)); +#2302=LINE('',#2301,#2300); +#2303=CARTESIAN_POINT('',(8.8E0,-9.05E0,-2.E1)); +#2304=DIRECTION('',(0.E0,0.E0,1.E0)); +#2305=DIRECTION('',(1.E0,-2.368475785867E-14,0.E0)); +#2306=AXIS2_PLACEMENT_3D('',#2303,#2304,#2305); +#2308=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2309=VECTOR('',#2308,1.E-1); +#2310=CARTESIAN_POINT('',(8.8E0,-8.6E0,-2.E1)); +#2311=LINE('',#2310,#2309); +#2312=CARTESIAN_POINT('',(8.7E0,-9.05E0,-2.E1)); +#2313=DIRECTION('',(0.E0,0.E0,1.E0)); +#2314=DIRECTION('',(0.E0,1.E0,0.E0)); +#2315=AXIS2_PLACEMENT_3D('',#2312,#2313,#2314); +#2317=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2318=VECTOR('',#2317,7.5E-1); +#2319=CARTESIAN_POINT('',(8.25E0,-9.05E0,-2.E1)); +#2320=LINE('',#2319,#2318); +#2321=CARTESIAN_POINT('',(7.75E0,-9.8E0,-2.E1)); +#2322=DIRECTION('',(0.E0,0.E0,1.E0)); +#2323=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2324=AXIS2_PLACEMENT_3D('',#2321,#2322,#2323); +#2326=CARTESIAN_POINT('',(6.425E0,-9.8E0,-2.E1)); +#2327=DIRECTION('',(0.E0,0.E0,1.E0)); +#2328=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2329=AXIS2_PLACEMENT_3D('',#2326,#2327,#2328); +#2331=DIRECTION('',(0.E0,1.E0,0.E0)); +#2332=VECTOR('',#2331,1.95E0); +#2333=CARTESIAN_POINT('',(5.925E0,-9.8E0,-2.E1)); +#2334=LINE('',#2333,#2332); +#2335=CARTESIAN_POINT('',(5.475E0,-7.85E0,-2.E1)); +#2336=DIRECTION('',(0.E0,0.E0,1.E0)); +#2337=DIRECTION('',(1.E0,0.E0,0.E0)); +#2338=AXIS2_PLACEMENT_3D('',#2335,#2336,#2337); +#2340=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2341=VECTOR('',#2340,4.5E-1); +#2342=CARTESIAN_POINT('',(5.475E0,-7.4E0,-2.E1)); +#2343=LINE('',#2342,#2341); +#2344=CARTESIAN_POINT('',(5.025E0,-7.85E0,-2.E1)); +#2345=DIRECTION('',(0.E0,0.E0,1.E0)); +#2346=DIRECTION('',(0.E0,1.E0,0.E0)); +#2347=AXIS2_PLACEMENT_3D('',#2344,#2345,#2346); +#2349=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2350=VECTOR('',#2349,1.95E0); +#2351=CARTESIAN_POINT('',(4.575E0,-7.85E0,-2.E1)); +#2352=LINE('',#2351,#2350); +#2353=CARTESIAN_POINT('',(4.075E0,-9.8E0,-2.E1)); +#2354=DIRECTION('',(0.E0,0.E0,1.E0)); +#2355=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2356=AXIS2_PLACEMENT_3D('',#2353,#2354,#2355); +#2358=CARTESIAN_POINT('',(2.75E0,-9.8E0,-2.E1)); +#2359=DIRECTION('',(0.E0,0.E0,1.E0)); +#2360=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2361=AXIS2_PLACEMENT_3D('',#2358,#2359,#2360); +#2363=DIRECTION('',(0.E0,1.E0,0.E0)); +#2364=VECTOR('',#2363,7.5E-1); +#2365=CARTESIAN_POINT('',(2.25E0,-9.8E0,-2.E1)); +#2366=LINE('',#2365,#2364); +#2367=CARTESIAN_POINT('',(1.8E0,-9.05E0,-2.E1)); +#2368=DIRECTION('',(0.E0,0.E0,1.E0)); +#2369=DIRECTION('',(1.E0,1.184237892933E-14,0.E0)); +#2370=AXIS2_PLACEMENT_3D('',#2367,#2368,#2369); +#2372=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2373=VECTOR('',#2372,9.999999999999E-2); +#2374=CARTESIAN_POINT('',(1.8E0,-8.6E0,-2.E1)); +#2375=LINE('',#2374,#2373); +#2376=CARTESIAN_POINT('',(1.7E0,-9.05E0,-2.E1)); +#2377=DIRECTION('',(0.E0,0.E0,1.E0)); +#2378=DIRECTION('',(2.516505522484E-14,1.E0,0.E0)); +#2379=AXIS2_PLACEMENT_3D('',#2376,#2377,#2378); +#2381=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2382=VECTOR('',#2381,7.5E-1); +#2383=CARTESIAN_POINT('',(1.25E0,-9.05E0,-2.E1)); +#2384=LINE('',#2383,#2382); +#2385=CARTESIAN_POINT('',(7.5E-1,-9.8E0,-2.E1)); +#2386=DIRECTION('',(0.E0,0.E0,1.E0)); +#2387=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2388=AXIS2_PLACEMENT_3D('',#2385,#2386,#2387); +#2390=CARTESIAN_POINT('',(-7.5E-1,-9.8E0,-2.E1)); +#2391=DIRECTION('',(0.E0,0.E0,1.E0)); +#2392=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2393=AXIS2_PLACEMENT_3D('',#2390,#2391,#2392); +#2395=DIRECTION('',(0.E0,1.E0,0.E0)); +#2396=VECTOR('',#2395,7.5E-1); +#2397=CARTESIAN_POINT('',(-1.25E0,-9.8E0,-2.E1)); +#2398=LINE('',#2397,#2396); +#2399=CARTESIAN_POINT('',(-1.7E0,-9.05E0,-2.E1)); +#2400=DIRECTION('',(0.E0,0.E0,1.E0)); +#2401=DIRECTION('',(1.E0,1.184237892934E-14,0.E0)); +#2402=AXIS2_PLACEMENT_3D('',#2399,#2400,#2401); +#2404=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2405=VECTOR('',#2404,9.999999999999E-2); +#2406=CARTESIAN_POINT('',(-1.7E0,-8.6E0,-2.E1)); +#2407=LINE('',#2406,#2405); +#2408=CARTESIAN_POINT('',(-1.8E0,-9.05E0,-2.E1)); +#2409=DIRECTION('',(0.E0,0.E0,1.E0)); +#2410=DIRECTION('',(2.516505522484E-14,1.E0,0.E0)); +#2411=AXIS2_PLACEMENT_3D('',#2408,#2409,#2410); +#2413=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2414=VECTOR('',#2413,7.5E-1); +#2415=CARTESIAN_POINT('',(-2.25E0,-9.05E0,-2.E1)); +#2416=LINE('',#2415,#2414); +#2417=CARTESIAN_POINT('',(-2.75E0,-9.8E0,-2.E1)); +#2418=DIRECTION('',(0.E0,0.E0,1.E0)); +#2419=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2420=AXIS2_PLACEMENT_3D('',#2417,#2418,#2419); +#2422=CARTESIAN_POINT('',(-4.25E0,-9.8E0,-2.E1)); +#2423=DIRECTION('',(0.E0,0.E0,1.E0)); +#2424=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2425=AXIS2_PLACEMENT_3D('',#2422,#2423,#2424); +#2427=DIRECTION('',(0.E0,1.E0,0.E0)); +#2428=VECTOR('',#2427,7.5E-1); +#2429=CARTESIAN_POINT('',(-4.75E0,-9.8E0,-2.E1)); +#2430=LINE('',#2429,#2428); +#2431=CARTESIAN_POINT('',(-5.2E0,-9.05E0,-2.E1)); +#2432=DIRECTION('',(0.E0,0.E0,1.E0)); +#2433=DIRECTION('',(1.E0,-6.315935428979E-14,0.E0)); +#2434=AXIS2_PLACEMENT_3D('',#2431,#2432,#2433); +#2436=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2437=VECTOR('',#2436,9.999999999999E-2); +#2438=CARTESIAN_POINT('',(-5.2E0,-8.6E0,-2.E1)); +#2439=LINE('',#2438,#2437); +#2440=CARTESIAN_POINT('',(-5.3E0,-9.05E0,-2.E1)); +#2441=DIRECTION('',(0.E0,0.E0,1.E0)); +#2442=DIRECTION('',(2.565848768023E-14,1.E0,0.E0)); +#2443=AXIS2_PLACEMENT_3D('',#2440,#2441,#2442); +#2445=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2446=VECTOR('',#2445,7.5E-1); +#2447=CARTESIAN_POINT('',(-5.75E0,-9.05E0,-2.E1)); +#2448=LINE('',#2447,#2446); +#2449=CARTESIAN_POINT('',(-6.25E0,-9.8E0,-2.E1)); +#2450=DIRECTION('',(0.E0,0.E0,1.E0)); +#2451=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2452=AXIS2_PLACEMENT_3D('',#2449,#2450,#2451); +#2454=CARTESIAN_POINT('',(-7.75E0,-9.8E0,-2.E1)); +#2455=DIRECTION('',(0.E0,0.E0,1.E0)); +#2456=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2457=AXIS2_PLACEMENT_3D('',#2454,#2455,#2456); +#2459=DIRECTION('',(0.E0,1.E0,0.E0)); +#2460=VECTOR('',#2459,7.5E-1); +#2461=CARTESIAN_POINT('',(-8.25E0,-9.8E0,-2.E1)); +#2462=LINE('',#2461,#2460); +#2463=CARTESIAN_POINT('',(-8.7E0,-9.05E0,-2.E1)); +#2464=DIRECTION('',(0.E0,0.E0,1.E0)); +#2465=DIRECTION('',(1.E0,0.E0,0.E0)); +#2466=AXIS2_PLACEMENT_3D('',#2463,#2464,#2465); +#2468=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2469=VECTOR('',#2468,1.E-1); +#2470=CARTESIAN_POINT('',(-8.7E0,-8.6E0,-2.E1)); +#2471=LINE('',#2470,#2469); +#2472=CARTESIAN_POINT('',(-8.8E0,-9.05E0,-2.E1)); +#2473=DIRECTION('',(0.E0,0.E0,1.E0)); +#2474=DIRECTION('',(0.E0,1.E0,0.E0)); +#2475=AXIS2_PLACEMENT_3D('',#2472,#2473,#2474); +#2477=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2478=VECTOR('',#2477,7.5E-1); +#2479=CARTESIAN_POINT('',(-9.25E0,-9.05E0,-2.E1)); +#2480=LINE('',#2479,#2478); +#2481=CARTESIAN_POINT('',(-9.75E0,-9.8E0,-2.E1)); +#2482=DIRECTION('',(0.E0,0.E0,1.E0)); +#2483=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2484=AXIS2_PLACEMENT_3D('',#2481,#2482,#2483); +#2486=CARTESIAN_POINT('',(-1.125E1,-9.8E0,-2.E1)); +#2487=DIRECTION('',(0.E0,0.E0,1.E0)); +#2488=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2489=AXIS2_PLACEMENT_3D('',#2486,#2487,#2488); +#2491=DIRECTION('',(0.E0,1.E0,0.E0)); +#2492=VECTOR('',#2491,7.5E-1); +#2493=CARTESIAN_POINT('',(-1.175E1,-9.8E0,-2.E1)); +#2494=LINE('',#2493,#2492); +#2495=CARTESIAN_POINT('',(-1.22E1,-9.05E0,-2.E1)); +#2496=DIRECTION('',(0.E0,0.E0,1.E0)); +#2497=DIRECTION('',(1.E0,0.E0,0.E0)); +#2498=AXIS2_PLACEMENT_3D('',#2495,#2496,#2497); +#2500=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2501=VECTOR('',#2500,1.E-1); +#2502=CARTESIAN_POINT('',(-1.22E1,-8.6E0,-2.E1)); +#2503=LINE('',#2502,#2501); +#2504=CARTESIAN_POINT('',(-1.23E1,-9.05E0,-2.E1)); +#2505=DIRECTION('',(0.E0,0.E0,1.E0)); +#2506=DIRECTION('',(0.E0,1.E0,0.E0)); +#2507=AXIS2_PLACEMENT_3D('',#2504,#2505,#2506); +#2509=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2510=VECTOR('',#2509,7.5E-1); +#2511=CARTESIAN_POINT('',(-1.275E1,-9.05E0,-2.E1)); +#2512=LINE('',#2511,#2510); +#2513=CARTESIAN_POINT('',(-1.325E1,-9.8E0,-2.E1)); +#2514=DIRECTION('',(0.E0,0.E0,1.E0)); +#2515=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2516=AXIS2_PLACEMENT_3D('',#2513,#2514,#2515); +#2518=CARTESIAN_POINT('',(-1.97E1,-5.7E0,-2.E1)); +#2519=DIRECTION('',(0.E0,0.E0,1.E0)); +#2520=DIRECTION('',(0.E0,1.E0,0.E0)); +#2521=AXIS2_PLACEMENT_3D('',#2518,#2519,#2520); +#2523=DIRECTION('',(1.E0,0.E0,0.E0)); +#2524=VECTOR('',#2523,7.5E-1); +#2525=CARTESIAN_POINT('',(-1.97E1,-5.2E0,-2.E1)); +#2526=LINE('',#2525,#2524); +#2527=CARTESIAN_POINT('',(-1.895E1,-4.75E0,-2.E1)); +#2528=DIRECTION('',(0.E0,0.E0,1.E0)); +#2529=DIRECTION('',(1.578983857245E-14,-1.E0,0.E0)); +#2530=AXIS2_PLACEMENT_3D('',#2527,#2528,#2529); +#2532=DIRECTION('',(0.E0,1.E0,0.E0)); +#2533=VECTOR('',#2532,9.999999999999E-2); +#2534=CARTESIAN_POINT('',(-1.85E1,-4.75E0,-2.E1)); +#2535=LINE('',#2534,#2533); +#2536=CARTESIAN_POINT('',(-1.895E1,-4.65E0,-2.E1)); +#2537=DIRECTION('',(0.E0,0.E0,1.E0)); +#2538=DIRECTION('',(1.E0,-4.934324553890E-14,0.E0)); +#2539=AXIS2_PLACEMENT_3D('',#2536,#2537,#2538); +#2541=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2542=VECTOR('',#2541,7.5E-1); +#2543=CARTESIAN_POINT('',(-1.895E1,-4.2E0,-2.E1)); +#2544=LINE('',#2543,#2542); +#2545=CARTESIAN_POINT('',(-1.97E1,-3.7E0,-2.E1)); +#2546=DIRECTION('',(0.E0,0.E0,1.E0)); +#2547=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2548=AXIS2_PLACEMENT_3D('',#2545,#2546,#2547); +#2550=CARTESIAN_POINT('',(-1.97E1,3.7E0,-2.E1)); +#2551=DIRECTION('',(0.E0,0.E0,1.E0)); +#2552=DIRECTION('',(0.E0,1.E0,0.E0)); +#2553=AXIS2_PLACEMENT_3D('',#2550,#2551,#2552); +#2555=DIRECTION('',(1.E0,0.E0,0.E0)); +#2556=VECTOR('',#2555,7.5E-1); +#2557=CARTESIAN_POINT('',(-1.97E1,4.2E0,-2.E1)); +#2558=LINE('',#2557,#2556); +#2559=CARTESIAN_POINT('',(-1.895E1,4.65E0,-2.E1)); +#2560=DIRECTION('',(0.E0,0.E0,1.E0)); +#2561=DIRECTION('',(2.368475785867E-14,-1.E0,0.E0)); +#2562=AXIS2_PLACEMENT_3D('',#2559,#2560,#2561); +#2564=DIRECTION('',(0.E0,1.E0,0.E0)); +#2565=VECTOR('',#2564,9.999999999999E-2); +#2566=CARTESIAN_POINT('',(-1.85E1,4.65E0,-2.E1)); +#2567=LINE('',#2566,#2565); +#2568=CARTESIAN_POINT('',(-1.895E1,4.75E0,-2.E1)); +#2569=DIRECTION('',(0.E0,0.E0,1.E0)); +#2570=DIRECTION('',(1.E0,0.E0,0.E0)); +#2571=AXIS2_PLACEMENT_3D('',#2568,#2569,#2570); +#2573=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2574=VECTOR('',#2573,7.5E-1); +#2575=CARTESIAN_POINT('',(-1.895E1,5.2E0,-2.E1)); +#2576=LINE('',#2575,#2574); +#2577=CARTESIAN_POINT('',(-1.97E1,5.7E0,-2.E1)); +#2578=DIRECTION('',(0.E0,0.E0,1.E0)); +#2579=DIRECTION('',(-9.987899777276E-1,-4.917906455909E-2,0.E0)); +#2580=AXIS2_PLACEMENT_3D('',#2577,#2578,#2579); +#2582=CARTESIAN_POINT('',(-1.325E1,9.8E0,-2.E1)); +#2583=DIRECTION('',(0.E0,0.E0,1.E0)); +#2584=DIRECTION('',(1.E0,0.E0,0.E0)); +#2585=AXIS2_PLACEMENT_3D('',#2582,#2583,#2584); +#2587=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2588=VECTOR('',#2587,7.5E-1); +#2589=CARTESIAN_POINT('',(-1.275E1,9.8E0,-2.E1)); +#2590=LINE('',#2589,#2588); +#2591=CARTESIAN_POINT('',(-1.23E1,9.05E0,-2.E1)); +#2592=DIRECTION('',(0.E0,0.E0,1.E0)); +#2593=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2594=AXIS2_PLACEMENT_3D('',#2591,#2592,#2593); +#2596=DIRECTION('',(1.E0,0.E0,0.E0)); +#2597=VECTOR('',#2596,9.999999999999E-2); +#2598=CARTESIAN_POINT('',(-1.23E1,8.6E0,-2.E1)); +#2599=LINE('',#2598,#2597); +#2600=CARTESIAN_POINT('',(-1.22E1,9.05E0,-2.E1)); +#2601=DIRECTION('',(0.E0,0.E0,1.E0)); +#2602=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2603=AXIS2_PLACEMENT_3D('',#2600,#2601,#2602); +#2605=DIRECTION('',(0.E0,1.E0,0.E0)); +#2606=VECTOR('',#2605,7.5E-1); +#2607=CARTESIAN_POINT('',(-1.175E1,9.05E0,-2.E1)); +#2608=LINE('',#2607,#2606); +#2609=CARTESIAN_POINT('',(-1.125E1,9.8E0,-2.E1)); +#2610=DIRECTION('',(0.E0,0.E0,1.E0)); +#2611=DIRECTION('',(0.E0,1.E0,0.E0)); +#2612=AXIS2_PLACEMENT_3D('',#2609,#2610,#2611); +#2614=CARTESIAN_POINT('',(-9.75E0,9.8E0,-2.E1)); +#2615=DIRECTION('',(0.E0,0.E0,1.E0)); +#2616=DIRECTION('',(1.E0,0.E0,0.E0)); +#2617=AXIS2_PLACEMENT_3D('',#2614,#2615,#2616); +#2619=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2620=VECTOR('',#2619,7.5E-1); +#2621=CARTESIAN_POINT('',(-9.25E0,9.8E0,-2.E1)); +#2622=LINE('',#2621,#2620); +#2623=CARTESIAN_POINT('',(-8.8E0,9.05E0,-2.E1)); +#2624=DIRECTION('',(0.E0,0.E0,1.E0)); +#2625=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2626=AXIS2_PLACEMENT_3D('',#2623,#2624,#2625); +#2628=DIRECTION('',(1.E0,0.E0,0.E0)); +#2629=VECTOR('',#2628,1.E-1); +#2630=CARTESIAN_POINT('',(-8.8E0,8.6E0,-2.E1)); +#2631=LINE('',#2630,#2629); +#2632=CARTESIAN_POINT('',(-8.7E0,9.05E0,-2.E1)); +#2633=DIRECTION('',(0.E0,0.E0,1.E0)); +#2634=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2635=AXIS2_PLACEMENT_3D('',#2632,#2633,#2634); +#2637=DIRECTION('',(0.E0,1.E0,0.E0)); +#2638=VECTOR('',#2637,7.5E-1); +#2639=CARTESIAN_POINT('',(-8.25E0,9.05E0,-2.E1)); +#2640=LINE('',#2639,#2638); +#2641=CARTESIAN_POINT('',(-7.75E0,9.8E0,-2.E1)); +#2642=DIRECTION('',(0.E0,0.E0,1.E0)); +#2643=DIRECTION('',(0.E0,1.E0,0.E0)); +#2644=AXIS2_PLACEMENT_3D('',#2641,#2642,#2643); +#2646=CARTESIAN_POINT('',(-6.25E0,9.8E0,-2.E1)); +#2647=DIRECTION('',(0.E0,0.E0,1.E0)); +#2648=DIRECTION('',(1.E0,0.E0,0.E0)); +#2649=AXIS2_PLACEMENT_3D('',#2646,#2647,#2648); +#2651=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2652=VECTOR('',#2651,7.5E-1); +#2653=CARTESIAN_POINT('',(-5.75E0,9.8E0,-2.E1)); +#2654=LINE('',#2653,#2652); +#2655=CARTESIAN_POINT('',(-5.3E0,9.05E0,-2.E1)); +#2656=DIRECTION('',(0.E0,0.E0,1.E0)); +#2657=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2658=AXIS2_PLACEMENT_3D('',#2655,#2656,#2657); +#2660=DIRECTION('',(1.E0,0.E0,0.E0)); +#2661=VECTOR('',#2660,9.999999999999E-2); +#2662=CARTESIAN_POINT('',(-5.3E0,8.6E0,-2.E1)); +#2663=LINE('',#2662,#2661); +#2664=CARTESIAN_POINT('',(-5.2E0,9.05E0,-2.E1)); +#2665=DIRECTION('',(0.E0,0.E0,1.E0)); +#2666=DIRECTION('',(-2.565848768023E-14,-1.E0,0.E0)); +#2667=AXIS2_PLACEMENT_3D('',#2664,#2665,#2666); +#2669=DIRECTION('',(0.E0,1.E0,0.E0)); +#2670=VECTOR('',#2669,7.5E-1); +#2671=CARTESIAN_POINT('',(-4.75E0,9.05E0,-2.E1)); +#2672=LINE('',#2671,#2670); +#2673=CARTESIAN_POINT('',(-4.25E0,9.8E0,-2.E1)); +#2674=DIRECTION('',(0.E0,0.E0,1.E0)); +#2675=DIRECTION('',(0.E0,1.E0,0.E0)); +#2676=AXIS2_PLACEMENT_3D('',#2673,#2674,#2675); +#2678=CARTESIAN_POINT('',(-2.925E0,9.8E0,-2.E1)); +#2679=DIRECTION('',(0.E0,0.E0,1.E0)); +#2680=DIRECTION('',(1.E0,0.E0,0.E0)); +#2681=AXIS2_PLACEMENT_3D('',#2678,#2679,#2680); +#2683=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2684=VECTOR('',#2683,5.4E0); +#2685=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#2686=LINE('',#2685,#2684); +#2687=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2688=VECTOR('',#2687,4.9E0); +#2689=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.E-1)); +#2690=LINE('',#2689,#2688); +#2691=DIRECTION('',(1.E0,0.E0,0.E0)); +#2692=VECTOR('',#2691,1.8E0); +#2693=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#2694=LINE('',#2693,#2692); +#2695=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2696=VECTOR('',#2695,4.9E0); +#2697=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#2698=LINE('',#2697,#2696); +#2699=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2700=VECTOR('',#2699,1.099999999994E1); +#2701=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#2702=LINE('',#2701,#2700); +#2703=DIRECTION('',(1.E0,0.E0,0.E0)); +#2704=VECTOR('',#2703,3.99E1); +#2705=CARTESIAN_POINT('',(-1.995E1,1.18E1,-2.E1)); +#2706=LINE('',#2705,#2704); +#2707=DIRECTION('',(0.E0,0.E0,1.E0)); +#2708=VECTOR('',#2707,7.25E-1); +#2709=CARTESIAN_POINT('',(1.995E1,1.18E1,-2.E1)); +#2710=LINE('',#2709,#2708); +#2711=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2712=VECTOR('',#2711,1.099999999998E1); +#2713=CARTESIAN_POINT('',(1.549999999998E1,1.18E1,-5.E-1)); +#2714=LINE('',#2713,#2712); +#2715=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2716=VECTOR('',#2715,4.9E0); +#2717=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.E-1)); +#2718=LINE('',#2717,#2716); +#2719=DIRECTION('',(1.E0,0.E0,0.E0)); +#2720=VECTOR('',#2719,1.8E0); +#2721=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.4E0)); +#2722=LINE('',#2721,#2720); +#2723=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2724=VECTOR('',#2723,4.9E0); +#2725=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#2726=LINE('',#2725,#2724); +#2727=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#2728=CARTESIAN_POINT('',(2.7E0,1.18E1,-3.330150135380E-1)); +#2729=CARTESIAN_POINT('',(2.688935928932E0,1.167353708901E1, +-1.259910193835E-1)); +#2730=CARTESIAN_POINT('',(2.670809368760E0,1.146634955817E1,0.E0)); +#2731=CARTESIAN_POINT('',(2.656255668237E0,1.13E1,0.E0)); +#2733=CARTESIAN_POINT('',(-2.656255668237E0,1.13E1,0.E0)); +#2734=CARTESIAN_POINT('',(-2.670809368760E0,1.146634955817E1,0.E0)); +#2735=CARTESIAN_POINT('',(-2.688935928932E0,1.167353708901E1, +-1.259910193835E-1)); +#2736=CARTESIAN_POINT('',(-2.7E0,1.18E1,-3.330150135380E-1)); +#2737=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.E-1)); +#2739=CARTESIAN_POINT('',(2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#2740=CARTESIAN_POINT('',(2.708124821781E0,1.189286713790E1, +-1.810909189136E-1)); +#2741=CARTESIAN_POINT('',(2.696985777179E0,1.176554727551E1, +-5.594389734846E-2)); +#2742=CARTESIAN_POINT('',(2.682167899167E0,1.159617815481E1,0.E0)); +#2743=CARTESIAN_POINT('',(2.673271973258E0,1.149449725639E1,0.E0)); +#2745=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2746=VECTOR('',#2745,1.5E0); +#2747=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-3.9E0)); +#2748=LINE('',#2747,#2746); +#2749=DIRECTION('',(3.989075718142E-2,4.559534409803E-1,-8.891092099116E-1)); +#2750=VECTOR('',#2749,4.080666675536E0); +#2751=CARTESIAN_POINT('',(2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#2752=LINE('',#2751,#2750); +#2753=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2754=VECTOR('',#2753,1.853456053484E0); +#2755=CARTESIAN_POINT('',(4.526728026742E0,1.149449725639E1, +1.016225745589E-14)); +#2756=LINE('',#2755,#2754); +#2757=DIRECTION('',(-8.715574274763E-2,9.961946980917E-1,7.631219748088E-14)); +#2758=VECTOR('',#2757,1.952402043115E-1); +#2759=CARTESIAN_POINT('',(4.543744331763E0,1.13E1,0.E0)); +#2760=LINE('',#2759,#2758); +#2761=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2762=VECTOR('',#2761,1.095625566824E1); +#2763=CARTESIAN_POINT('',(1.55E1,1.13E1,0.E0)); +#2764=LINE('',#2763,#2762); +#2765=CARTESIAN_POINT('',(1.55E1,5.6E0,0.E0)); +#2766=DIRECTION('',(0.E0,0.E0,1.E0)); +#2767=DIRECTION('',(1.E0,0.E0,0.E0)); +#2768=AXIS2_PLACEMENT_3D('',#2765,#2766,#2767); +#2770=DIRECTION('',(0.E0,1.E0,0.E0)); +#2771=VECTOR('',#2770,1.12E1); +#2772=CARTESIAN_POINT('',(2.12E1,-5.6E0,0.E0)); +#2773=LINE('',#2772,#2771); +#2774=CARTESIAN_POINT('',(1.55E1,-5.6E0,0.E0)); +#2775=DIRECTION('',(0.E0,0.E0,1.E0)); +#2776=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2777=AXIS2_PLACEMENT_3D('',#2774,#2775,#2776); +#2779=DIRECTION('',(1.E0,0.E0,0.E0)); +#2780=VECTOR('',#2779,3.1E1); +#2781=CARTESIAN_POINT('',(-1.55E1,-1.13E1,0.E0)); +#2782=LINE('',#2781,#2780); +#2783=CARTESIAN_POINT('',(-1.55E1,-5.6E0,0.E0)); +#2784=DIRECTION('',(0.E0,0.E0,1.E0)); +#2785=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2786=AXIS2_PLACEMENT_3D('',#2783,#2784,#2785); +#2788=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2789=VECTOR('',#2788,1.12E1); +#2790=CARTESIAN_POINT('',(-2.12E1,5.6E0,0.E0)); +#2791=LINE('',#2790,#2789); +#2792=CARTESIAN_POINT('',(-1.55E1,5.6E0,0.E0)); +#2793=DIRECTION('',(0.E0,0.E0,1.E0)); +#2794=DIRECTION('',(0.E0,1.E0,0.E0)); +#2795=AXIS2_PLACEMENT_3D('',#2792,#2793,#2794); +#2797=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2798=VECTOR('',#2797,1.095625566824E1); +#2799=CARTESIAN_POINT('',(-4.543744331763E0,1.13E1,-1.421085471520E-14)); +#2800=LINE('',#2799,#2798); +#2801=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,1.248365430524E-13)); +#2802=VECTOR('',#2801,1.952402043115E-1); +#2803=CARTESIAN_POINT('',(-4.543744331763E0,1.13E1,-1.421085471520E-14)); +#2804=LINE('',#2803,#2802); +#2805=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2806=VECTOR('',#2805,1.853456053484E0); +#2807=CARTESIAN_POINT('',(-2.673271973258E0,1.149449725639E1, +1.016225745589E-14)); +#2808=LINE('',#2807,#2806); +#2809=DIRECTION('',(-8.715574274763E-2,9.961946980917E-1,5.205002469511E-14)); +#2810=VECTOR('',#2809,1.952402043115E-1); +#2811=CARTESIAN_POINT('',(-2.656255668237E0,1.13E1,0.E0)); +#2812=LINE('',#2811,#2810); +#2813=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2814=VECTOR('',#2813,5.312511336474E0); +#2815=CARTESIAN_POINT('',(2.656255668237E0,1.13E1,0.E0)); +#2816=LINE('',#2815,#2814); +#2817=DIRECTION('',(8.715574274767E-2,9.961946980917E-1,2.778785190935E-14)); +#2818=VECTOR('',#2817,1.952402043115E-1); +#2819=CARTESIAN_POINT('',(2.656255668237E0,1.13E1,0.E0)); +#2820=LINE('',#2819,#2818); +#2821=DIRECTION('',(2.588190451025E-1,0.E0,-9.659258262891E-1)); +#2822=VECTOR('',#2821,2.070552360820E0); +#2823=CARTESIAN_POINT('',(-2.073589838486E1,5.6E0,0.E0)); +#2824=LINE('',#2823,#2822); +#2825=CARTESIAN_POINT('',(-1.55E1,5.6E0,0.E0)); +#2826=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2827=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2828=AXIS2_PLACEMENT_3D('',#2825,#2826,#2827); +#2830=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); +#2831=VECTOR('',#2830,2.070552360820E0); +#2832=CARTESIAN_POINT('',(-1.55E1,1.083589838486E1,0.E0)); +#2833=LINE('',#2832,#2831); +#2834=DIRECTION('',(2.588190451025E-1,0.E0,-9.659258262891E-1)); +#2835=VECTOR('',#2834,2.070552360820E0); +#2836=CARTESIAN_POINT('',(-2.073589838486E1,-5.6E0,0.E0)); +#2837=LINE('',#2836,#2835); +#2838=DIRECTION('',(0.E0,1.E0,0.E0)); +#2839=VECTOR('',#2838,1.12E1); +#2840=CARTESIAN_POINT('',(-2.073589838486E1,-5.6E0,0.E0)); +#2841=LINE('',#2840,#2839); +#2842=DIRECTION('',(0.E0,2.588190451025E-1,-9.659258262891E-1)); +#2843=VECTOR('',#2842,2.070552360820E0); +#2844=CARTESIAN_POINT('',(-1.55E1,-1.083589838486E1,0.E0)); +#2845=LINE('',#2844,#2843); +#2846=CARTESIAN_POINT('',(-1.55E1,-5.6E0,0.E0)); +#2847=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2848=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2849=AXIS2_PLACEMENT_3D('',#2846,#2847,#2848); +#2851=DIRECTION('',(0.E0,2.588190451025E-1,-9.659258262891E-1)); +#2852=VECTOR('',#2851,2.070552360820E0); +#2853=CARTESIAN_POINT('',(1.55E1,-1.083589838486E1,0.E0)); +#2854=LINE('',#2853,#2852); +#2855=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2856=VECTOR('',#2855,3.1E1); +#2857=CARTESIAN_POINT('',(1.55E1,-1.083589838486E1,0.E0)); +#2858=LINE('',#2857,#2856); +#2859=DIRECTION('',(-2.588190451025E-1,0.E0,-9.659258262891E-1)); +#2860=VECTOR('',#2859,2.070552360820E0); +#2861=CARTESIAN_POINT('',(2.073589838486E1,-5.6E0,0.E0)); +#2862=LINE('',#2861,#2860); +#2863=CARTESIAN_POINT('',(1.55E1,-5.6E0,0.E0)); +#2864=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2865=DIRECTION('',(1.E0,0.E0,0.E0)); +#2866=AXIS2_PLACEMENT_3D('',#2863,#2864,#2865); +#2868=DIRECTION('',(-2.588190451025E-1,0.E0,-9.659258262891E-1)); +#2869=VECTOR('',#2868,2.070552360820E0); +#2870=CARTESIAN_POINT('',(2.073589838486E1,5.6E0,0.E0)); +#2871=LINE('',#2870,#2869); +#2872=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2873=VECTOR('',#2872,1.12E1); +#2874=CARTESIAN_POINT('',(2.073589838486E1,5.6E0,0.E0)); +#2875=LINE('',#2874,#2873); +#2876=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); +#2877=VECTOR('',#2876,2.070552360820E0); +#2878=CARTESIAN_POINT('',(1.55E1,1.083589838486E1,0.E0)); +#2879=LINE('',#2878,#2877); +#2880=CARTESIAN_POINT('',(1.55E1,5.6E0,0.E0)); +#2881=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2882=DIRECTION('',(0.E0,1.E0,0.E0)); +#2883=AXIS2_PLACEMENT_3D('',#2880,#2881,#2882); +#2885=DIRECTION('',(1.E0,0.E0,0.E0)); +#2886=VECTOR('',#2885,3.1E1); +#2887=CARTESIAN_POINT('',(-1.55E1,1.083589838486E1,0.E0)); +#2888=LINE('',#2887,#2886); +#2889=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2890=VECTOR('',#2889,9.55E0); +#2891=CARTESIAN_POINT('',(2.019939498886E1,5.675410467720E0,-1.045E1)); +#2892=LINE('',#2891,#2890); +#2893=CARTESIAN_POINT('',(1.55E1,5.6E0,-2.E1)); +#2894=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2895=DIRECTION('',(0.E0,1.E0,0.E0)); +#2896=AXIS2_PLACEMENT_3D('',#2893,#2894,#2895); +#2898=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2899=VECTOR('',#2898,1.8E1); +#2900=CARTESIAN_POINT('',(1.55E1,1.03E1,-2.E0)); +#2901=LINE('',#2900,#2899); +#2902=CARTESIAN_POINT('',(1.55E1,5.6E0,-2.E0)); +#2903=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2904=DIRECTION('',(0.E0,1.E0,0.E0)); +#2905=AXIS2_PLACEMENT_3D('',#2902,#2903,#2904); +#2907=DIRECTION('',(0.E0,0.E0,1.E0)); +#2908=VECTOR('',#2907,9.55E0); +#2909=CARTESIAN_POINT('',(2.02E1,3.7E0,-2.E1)); +#2910=LINE('',#2909,#2908); +#2911=DIRECTION('',(-1.552583060618E-10,-1.E0,0.E0)); +#2912=VECTOR('',#2911,3.844505587595E-1); +#2913=CARTESIAN_POINT('',(2.020000000006E1,4.892225279830E0,-1.E1)); +#2914=LINE('',#2913,#2912); +#2915=DIRECTION('',(0.E0,0.E0,1.E0)); +#2916=VECTOR('',#2915,8.356118651572E0); +#2917=CARTESIAN_POINT('',(2.02E1,5.6E0,-1.035611865157E1)); +#2918=LINE('',#2917,#2916); +#2919=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2920=VECTOR('',#2919,1.12E1); +#2921=CARTESIAN_POINT('',(2.02E1,5.6E0,-2.E0)); +#2922=LINE('',#2921,#2920); +#2923=DIRECTION('',(-1.022980448690E-10,-1.E0,0.E0)); +#2924=VECTOR('',#2923,3.844505579232E-1); +#2925=CARTESIAN_POINT('',(2.02E1,-4.507774721070E0,-1.E1)); +#2926=LINE('',#2925,#2924); +#2927=DIRECTION('',(0.E0,0.E0,1.E0)); +#2928=VECTOR('',#2927,9.55E0); +#2929=CARTESIAN_POINT('',(2.02E1,-3.7E0,-2.E1)); +#2930=LINE('',#2929,#2928); +#2931=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2932=VECTOR('',#2931,7.4E0); +#2933=CARTESIAN_POINT('',(2.02E1,3.7E0,-2.E1)); +#2934=LINE('',#2933,#2932); +#2935=CARTESIAN_POINT('',(1.97E1,3.7E0,-1.045E1)); +#2936=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2937=DIRECTION('',(0.E0,1.E0,0.E0)); +#2938=AXIS2_PLACEMENT_3D('',#2935,#2936,#2937); +#2940=DIRECTION('',(2.302381671005E-12,0.E0,1.E0)); +#2941=VECTOR('',#2940,9.55E0); +#2942=CARTESIAN_POINT('',(1.97E1,4.2E0,-2.E1)); +#2943=LINE('',#2942,#2941); +#2944=CARTESIAN_POINT('',(1.97E1,4.65E0,-1.045E1)); +#2945=DIRECTION('',(1.E0,0.E0,0.E0)); +#2946=DIRECTION('',(0.E0,0.E0,1.E0)); +#2947=AXIS2_PLACEMENT_3D('',#2944,#2945,#2946); +#2949=CARTESIAN_POINT('',(2.02E1,4.507774721070E0,-1.E1)); +#2950=CARTESIAN_POINT('',(2.02E1,4.455412433161E0,-1.E1)); +#2951=CARTESIAN_POINT('',(2.02E1,4.349268857794E0,-1.001284056213E1)); +#2952=CARTESIAN_POINT('',(2.02E1,4.181781404061E0,-1.007311416779E1)); +#2953=CARTESIAN_POINT('',(2.02E1,4.018062833493E0,-1.017095470454E1)); +#2954=CARTESIAN_POINT('',(2.02E1,3.859544365273E0,-1.029889892728E1)); +#2955=CARTESIAN_POINT('',(2.02E1,3.754369401651E0,-1.039842065673E1)); +#2956=CARTESIAN_POINT('',(2.02E1,3.7E0,-1.045E1)); +#2958=CARTESIAN_POINT('',(1.895E1,4.65E0,-1.045E1)); +#2959=DIRECTION('',(1.E0,0.E0,0.E0)); +#2960=DIRECTION('',(0.E0,0.E0,1.E0)); +#2961=AXIS2_PLACEMENT_3D('',#2958,#2959,#2960); +#2963=DIRECTION('',(1.E0,0.E0,0.E0)); +#2964=VECTOR('',#2963,7.500000000131E-1); +#2965=CARTESIAN_POINT('',(1.895000000001E1,4.2E0,-1.045E1)); +#2966=LINE('',#2965,#2964); +#2967=DIRECTION('',(9.311457945589E-13,0.E0,1.E0)); +#2968=VECTOR('',#2967,9.55E0); +#2969=CARTESIAN_POINT('',(1.895E1,4.2E0,-2.E1)); +#2970=LINE('',#2969,#2968); +#2971=CARTESIAN_POINT('',(1.895E1,4.65E0,-1.045E1)); +#2972=DIRECTION('',(0.E0,0.E0,1.E0)); +#2973=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2974=AXIS2_PLACEMENT_3D('',#2971,#2972,#2973); +#2976=DIRECTION('',(0.E0,2.098147135962E-13,1.E0)); +#2977=VECTOR('',#2976,9.55E0); +#2978=CARTESIAN_POINT('',(1.85E1,4.65E0,-2.E1)); +#2979=LINE('',#2978,#2977); +#2980=CARTESIAN_POINT('',(1.895E1,4.65E0,-1.045E1)); +#2981=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2982=DIRECTION('',(0.E0,0.E0,1.E0)); +#2983=AXIS2_PLACEMENT_3D('',#2980,#2981,#2982); +#2985=CARTESIAN_POINT('',(1.895E1,4.75E0,-1.045E1)); +#2986=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2987=DIRECTION('',(0.E0,0.E0,1.E0)); +#2988=AXIS2_PLACEMENT_3D('',#2985,#2986,#2987); +#2990=DIRECTION('',(3.552713679188E-14,-1.E0,0.E0)); +#2991=VECTOR('',#2990,9.999999998911E-2); +#2992=CARTESIAN_POINT('',(1.85E1,4.749999999991E0,-1.045E1)); +#2993=LINE('',#2992,#2991); +#2994=DIRECTION('',(0.E0,-9.304017707518E-13,1.E0)); +#2995=VECTOR('',#2994,9.55E0); +#2996=CARTESIAN_POINT('',(1.85E1,4.75E0,-2.E1)); +#2997=LINE('',#2996,#2995); +#2998=CARTESIAN_POINT('',(1.895E1,4.75E0,-1.045E1)); +#2999=DIRECTION('',(0.E0,0.E0,1.E0)); +#3000=DIRECTION('',(0.E0,1.E0,0.E0)); +#3001=AXIS2_PLACEMENT_3D('',#2998,#2999,#3000); +#3003=DIRECTION('',(2.105587374033E-13,0.E0,1.E0)); +#3004=VECTOR('',#3003,9.55E0); +#3005=CARTESIAN_POINT('',(1.895E1,5.2E0,-2.E1)); +#3006=LINE('',#3005,#3004); +#3007=CARTESIAN_POINT('',(1.895E1,4.75E0,-1.045E1)); +#3008=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3009=DIRECTION('',(0.E0,0.E0,1.E0)); +#3010=AXIS2_PLACEMENT_3D('',#3007,#3008,#3009); +#3012=CARTESIAN_POINT('',(1.97E1,4.75E0,-1.045E1)); +#3013=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3014=DIRECTION('',(0.E0,0.E0,1.E0)); +#3015=AXIS2_PLACEMENT_3D('',#3012,#3013,#3014); +#3017=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3018=VECTOR('',#3017,7.500000020166E-1); +#3019=CARTESIAN_POINT('',(1.970000000202E1,5.2E0,-1.045E1)); +#3020=LINE('',#3019,#3018); +#3021=DIRECTION('',(2.113686073173E-10,0.E0,1.E0)); +#3022=VECTOR('',#3021,9.55E0); +#3023=CARTESIAN_POINT('',(1.97E1,5.2E0,-2.E1)); +#3024=LINE('',#3023,#3022); +#3025=CARTESIAN_POINT('',(1.97E1,5.7E0,-1.045E1)); +#3026=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3027=DIRECTION('',(9.987899777276E-1,-4.917906455908E-2,0.E0)); +#3028=AXIS2_PLACEMENT_3D('',#3025,#3026,#3027); +#3030=CARTESIAN_POINT('',(2.019939498886E1,5.675410467720E0,-1.045E1)); +#3031=CARTESIAN_POINT('',(2.019939498886E1,5.675410467720E0,-1.044297104718E1)); +#3032=CARTESIAN_POINT('',(2.019948127962E1,5.670127202536E0,-1.042965804857E1)); +#3033=CARTESIAN_POINT('',(2.019967039651E1,5.656360363267E0,-1.041199288739E1)); +#3034=CARTESIAN_POINT('',(2.019984196237E1,5.639876895174E0,-1.039424171829E1)); +#3035=CARTESIAN_POINT('',(2.019996717142E1,5.620972652490E0,-1.037563688277E1)); +#3036=CARTESIAN_POINT('',(2.02E1,5.607193204673E0,-1.036273176342E1)); +#3037=CARTESIAN_POINT('',(2.02E1,5.6E0,-1.035611865157E1)); +#3039=CARTESIAN_POINT('',(2.02E1,5.6E0,-1.035611865157E1)); +#3040=CARTESIAN_POINT('',(2.02E1,5.564847599246E0,-1.032380110071E1)); +#3041=CARTESIAN_POINT('',(2.02E1,5.495121453413E0,-1.026195056795E1)); +#3042=CARTESIAN_POINT('',(2.02E1,5.392848699765E0,-1.018197442362E1)); +#3043=CARTESIAN_POINT('',(2.02E1,5.282809442852E0,-1.011046848785E1)); +#3044=CARTESIAN_POINT('',(2.020000000001E1,5.161385812668E0,-1.005086654695E1)); +#3045=CARTESIAN_POINT('',(2.019999999997E1,5.028961240786E0,-1.001006050446E1)); +#3046=CARTESIAN_POINT('',(2.020000000006E1,4.938462191713E0,-1.000000000019E1)); +#3047=CARTESIAN_POINT('',(2.020000000006E1,4.892225279830E0,-1.E1)); +#3049=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3050=VECTOR('',#3049,9.55E0); +#3051=CARTESIAN_POINT('',(2.019939498886E1,-5.675410467720E0,-1.045E1)); +#3052=LINE('',#3051,#3050); +#3053=DIRECTION('',(0.E0,0.E0,1.E0)); +#3054=VECTOR('',#3053,8.356118651572E0); +#3055=CARTESIAN_POINT('',(2.02E1,-5.6E0,-1.035611865157E1)); +#3056=LINE('',#3055,#3054); +#3057=CARTESIAN_POINT('',(1.55E1,-5.6E0,-2.E0)); +#3058=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3059=DIRECTION('',(1.E0,0.E0,0.E0)); +#3060=AXIS2_PLACEMENT_3D('',#3057,#3058,#3059); +#3062=CARTESIAN_POINT('',(1.55E1,-5.6E0,-2.E1)); +#3063=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3064=DIRECTION('',(9.998712742263E-1,-1.604478036605E-2,0.E0)); +#3065=AXIS2_PLACEMENT_3D('',#3062,#3063,#3064); +#3067=CARTESIAN_POINT('',(1.97E1,-5.7E0,-1.045E1)); +#3068=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3069=DIRECTION('',(0.E0,1.E0,0.E0)); +#3070=AXIS2_PLACEMENT_3D('',#3067,#3068,#3069); +#3072=DIRECTION('',(2.297545516259E-12,0.E0,1.E0)); +#3073=VECTOR('',#3072,9.55E0); +#3074=CARTESIAN_POINT('',(1.97E1,-5.2E0,-2.E1)); +#3075=LINE('',#3074,#3073); +#3076=CARTESIAN_POINT('',(1.97E1,-4.75E0,-1.045E1)); +#3077=DIRECTION('',(1.E0,0.E0,0.E0)); +#3078=DIRECTION('',(0.E0,0.E0,1.E0)); +#3079=AXIS2_PLACEMENT_3D('',#3076,#3077,#3078); +#3081=CARTESIAN_POINT('',(2.019999999996E1,-4.892225278993E0,-1.E1)); +#3082=CARTESIAN_POINT('',(2.019999999996E1,-4.938441345221E0, +-1.000000000002E1)); +#3083=CARTESIAN_POINT('',(2.020000000002E1,-5.028901369983E0, +-1.001005244554E1)); +#3084=CARTESIAN_POINT('',(2.02E1,-5.161255515037E0,-1.005081774977E1)); +#3085=CARTESIAN_POINT('',(2.02E1,-5.282656332941E0,-1.011038092796E1)); +#3086=CARTESIAN_POINT('',(2.02E1,-5.392721979245E0,-1.018188114143E1)); +#3087=CARTESIAN_POINT('',(2.02E1,-5.495057666923E0,-1.026189462130E1)); +#3088=CARTESIAN_POINT('',(2.02E1,-5.564824758903E0,-1.032378010232E1)); +#3089=CARTESIAN_POINT('',(2.02E1,-5.6E0,-1.035611865157E1)); +#3091=CARTESIAN_POINT('',(2.02E1,-5.6E0,-1.035611865157E1)); +#3092=CARTESIAN_POINT('',(2.02E1,-5.607266740792E0,-1.036279936925E1)); +#3093=CARTESIAN_POINT('',(2.019996652161E1,-5.621144984250E0, +-1.037579872457E1)); +#3094=CARTESIAN_POINT('',(2.019984030390E1,-5.640054103862E0, +-1.039442860323E1)); +#3095=CARTESIAN_POINT('',(2.019966979877E1,-5.656415732618E0, +-1.041204556033E1)); +#3096=CARTESIAN_POINT('',(2.019947790122E1,-5.670352331070E0, +-1.042999483466E1)); +#3097=CARTESIAN_POINT('',(2.019939498886E1,-5.675410467720E0, +-1.044312101973E1)); +#3098=CARTESIAN_POINT('',(2.019939498886E1,-5.675410467720E0,-1.045E1)); +#3100=CARTESIAN_POINT('',(1.895E1,-4.75E0,-1.045E1)); +#3101=DIRECTION('',(1.E0,0.E0,0.E0)); +#3102=DIRECTION('',(0.E0,0.E0,1.E0)); +#3103=AXIS2_PLACEMENT_3D('',#3100,#3101,#3102); +#3105=DIRECTION('',(1.E0,0.E0,0.E0)); +#3106=VECTOR('',#3105,7.500000000131E-1); +#3107=CARTESIAN_POINT('',(1.895000000001E1,-5.2E0,-1.045E1)); +#3108=LINE('',#3107,#3106); +#3109=DIRECTION('',(9.307737826554E-13,0.E0,1.E0)); +#3110=VECTOR('',#3109,9.55E0); +#3111=CARTESIAN_POINT('',(1.895E1,-5.2E0,-2.E1)); +#3112=LINE('',#3111,#3110); +#3113=CARTESIAN_POINT('',(1.895E1,-4.75E0,-1.045E1)); +#3114=DIRECTION('',(0.E0,0.E0,1.E0)); +#3115=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3116=AXIS2_PLACEMENT_3D('',#3113,#3114,#3115); +#3118=DIRECTION('',(0.E0,2.105587374033E-13,1.E0)); +#3119=VECTOR('',#3118,9.55E0); +#3120=CARTESIAN_POINT('',(1.85E1,-4.75E0,-2.E1)); +#3121=LINE('',#3120,#3119); +#3122=CARTESIAN_POINT('',(1.895E1,-4.75E0,-1.045E1)); +#3123=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3124=DIRECTION('',(0.E0,0.E0,1.E0)); +#3125=AXIS2_PLACEMENT_3D('',#3122,#3123,#3124); +#3127=CARTESIAN_POINT('',(1.895E1,-4.65E0,-1.045E1)); +#3128=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3129=DIRECTION('',(0.E0,0.E0,1.E0)); +#3130=AXIS2_PLACEMENT_3D('',#3127,#3128,#3129); +#3132=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3133=VECTOR('',#3132,9.999999998910E-2); +#3134=CARTESIAN_POINT('',(1.85E1,-4.650000000009E0,-1.045E1)); +#3135=LINE('',#3134,#3133); +#3136=DIRECTION('',(0.E0,-9.296577469448E-13,1.E0)); +#3137=VECTOR('',#3136,9.55E0); +#3138=CARTESIAN_POINT('',(1.85E1,-4.65E0,-2.E1)); +#3139=LINE('',#3138,#3137); +#3140=CARTESIAN_POINT('',(1.895E1,-4.65E0,-1.045E1)); +#3141=DIRECTION('',(0.E0,0.E0,1.E0)); +#3142=DIRECTION('',(0.E0,1.E0,0.E0)); +#3143=AXIS2_PLACEMENT_3D('',#3140,#3141,#3142); +#3145=DIRECTION('',(2.109307493068E-13,0.E0,1.E0)); +#3146=VECTOR('',#3145,9.55E0); +#3147=CARTESIAN_POINT('',(1.895E1,-4.2E0,-2.E1)); +#3148=LINE('',#3147,#3146); +#3149=CARTESIAN_POINT('',(1.895E1,-4.65E0,-1.045E1)); +#3150=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3151=DIRECTION('',(0.E0,0.E0,1.E0)); +#3152=AXIS2_PLACEMENT_3D('',#3149,#3150,#3151); +#3154=CARTESIAN_POINT('',(1.97E1,-4.65E0,-1.045E1)); +#3155=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3156=DIRECTION('',(0.E0,0.E0,1.E0)); +#3157=AXIS2_PLACEMENT_3D('',#3154,#3155,#3156); +#3159=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3160=VECTOR('',#3159,7.500000020262E-1); +#3161=CARTESIAN_POINT('',(1.970000000203E1,-4.2E0,-1.045E1)); +#3162=LINE('',#3161,#3160); +#3163=DIRECTION('',(2.123737834806E-10,0.E0,1.E0)); +#3164=VECTOR('',#3163,9.55E0); +#3165=CARTESIAN_POINT('',(1.97E1,-4.2E0,-2.E1)); +#3166=LINE('',#3165,#3164); +#3167=CARTESIAN_POINT('',(1.97E1,-3.7E0,-1.045E1)); +#3168=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3169=DIRECTION('',(1.E0,0.E0,0.E0)); +#3170=AXIS2_PLACEMENT_3D('',#3167,#3168,#3169); +#3172=CARTESIAN_POINT('',(2.02E1,-3.7E0,-1.045E1)); +#3173=CARTESIAN_POINT('',(2.02E1,-3.737686040350E0,-1.041424788295E1)); +#3174=CARTESIAN_POINT('',(2.02E1,-3.810148222775E0,-1.034564652042E1)); +#3175=CARTESIAN_POINT('',(2.02E1,-3.911345158398E0,-1.025662981744E1)); +#3176=CARTESIAN_POINT('',(2.02E1,-4.012813774769E0,-1.017794984399E1)); +#3177=CARTESIAN_POINT('',(2.02E1,-4.121922226532E0,-1.010784546324E1)); +#3178=CARTESIAN_POINT('',(2.02E1,-4.242122756562E0,-1.004956123184E1)); +#3179=CARTESIAN_POINT('',(2.02E1,-4.372881224319E0,-1.000979017308E1)); +#3180=CARTESIAN_POINT('',(2.02E1,-4.462178790192E0,-1.E1)); +#3181=CARTESIAN_POINT('',(2.02E1,-4.507774721070E0,-1.E1)); +#3183=DIRECTION('',(0.E0,0.E0,1.E0)); +#3184=VECTOR('',#3183,9.55E0); +#3185=CARTESIAN_POINT('',(4.075E0,-1.03E1,-2.E1)); +#3186=LINE('',#3185,#3184); +#3187=DIRECTION('',(0.E0,0.E0,1.E0)); +#3188=VECTOR('',#3187,9.55E0); +#3189=CARTESIAN_POINT('',(6.425E0,-1.03E1,-2.E1)); +#3190=LINE('',#3189,#3188); +#3191=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3192=VECTOR('',#3191,1.325E0); +#3193=CARTESIAN_POINT('',(7.75E0,-1.03E1,-2.E1)); +#3194=LINE('',#3193,#3192); +#3195=DIRECTION('',(0.E0,0.E0,1.E0)); +#3196=VECTOR('',#3195,9.55E0); +#3197=CARTESIAN_POINT('',(7.75E0,-1.03E1,-2.E1)); +#3198=LINE('',#3197,#3196); +#3199=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3200=VECTOR('',#3199,3.844505578596E-1); +#3201=CARTESIAN_POINT('',(8.942225278930E0,-1.03E1,-1.E1)); +#3202=LINE('',#3201,#3200); +#3203=DIRECTION('',(0.E0,0.E0,1.E0)); +#3204=VECTOR('',#3203,9.55E0); +#3205=CARTESIAN_POINT('',(9.75E0,-1.03E1,-2.E1)); +#3206=LINE('',#3205,#3204); +#3207=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3208=VECTOR('',#3207,1.5E0); +#3209=CARTESIAN_POINT('',(1.125E1,-1.03E1,-2.E1)); +#3210=LINE('',#3209,#3208); +#3211=DIRECTION('',(0.E0,0.E0,1.E0)); +#3212=VECTOR('',#3211,9.55E0); +#3213=CARTESIAN_POINT('',(1.125E1,-1.03E1,-2.E1)); +#3214=LINE('',#3213,#3212); +#3215=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3216=VECTOR('',#3215,3.844505578596E-1); +#3217=CARTESIAN_POINT('',(1.244222527893E1,-1.03E1,-1.E1)); +#3218=LINE('',#3217,#3216); +#3219=DIRECTION('',(0.E0,0.E0,1.E0)); +#3220=VECTOR('',#3219,9.55E0); +#3221=CARTESIAN_POINT('',(1.325E1,-1.03E1,-2.E1)); +#3222=LINE('',#3221,#3220); +#3223=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3224=VECTOR('',#3223,2.25E0); +#3225=CARTESIAN_POINT('',(1.55E1,-1.03E1,-2.E1)); +#3226=LINE('',#3225,#3224); +#3227=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3228=VECTOR('',#3227,1.8E1); +#3229=CARTESIAN_POINT('',(1.55E1,-1.03E1,-2.E0)); +#3230=LINE('',#3229,#3228); +#3231=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3232=VECTOR('',#3231,3.1E1); +#3233=CARTESIAN_POINT('',(1.55E1,-1.03E1,-2.E0)); +#3234=LINE('',#3233,#3232); +#3235=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3236=VECTOR('',#3235,2.25E0); +#3237=CARTESIAN_POINT('',(-1.325E1,-1.03E1,-2.E1)); +#3238=LINE('',#3237,#3236); +#3239=DIRECTION('',(0.E0,0.E0,1.E0)); +#3240=VECTOR('',#3239,9.55E0); +#3241=CARTESIAN_POINT('',(-1.325E1,-1.03E1,-2.E1)); +#3242=LINE('',#3241,#3240); +#3243=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3244=VECTOR('',#3243,3.844505578597E-1); +#3245=CARTESIAN_POINT('',(-1.205777472107E1,-1.03E1,-1.E1)); +#3246=LINE('',#3245,#3244); +#3247=DIRECTION('',(0.E0,0.E0,1.E0)); +#3248=VECTOR('',#3247,9.55E0); +#3249=CARTESIAN_POINT('',(-1.125E1,-1.03E1,-2.E1)); +#3250=LINE('',#3249,#3248); +#3251=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3252=VECTOR('',#3251,1.5E0); +#3253=CARTESIAN_POINT('',(-9.75E0,-1.03E1,-2.E1)); +#3254=LINE('',#3253,#3252); +#3255=DIRECTION('',(0.E0,0.E0,1.E0)); +#3256=VECTOR('',#3255,9.55E0); +#3257=CARTESIAN_POINT('',(-9.75E0,-1.03E1,-2.E1)); +#3258=LINE('',#3257,#3256); +#3259=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3260=VECTOR('',#3259,3.844505578597E-1); +#3261=CARTESIAN_POINT('',(-8.557774721070E0,-1.03E1,-1.E1)); +#3262=LINE('',#3261,#3260); +#3263=DIRECTION('',(0.E0,0.E0,1.E0)); +#3264=VECTOR('',#3263,9.55E0); +#3265=CARTESIAN_POINT('',(-7.75E0,-1.03E1,-2.E1)); +#3266=LINE('',#3265,#3264); +#3267=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3268=VECTOR('',#3267,1.5E0); +#3269=CARTESIAN_POINT('',(-6.25E0,-1.03E1,-2.E1)); +#3270=LINE('',#3269,#3268); +#3271=DIRECTION('',(0.E0,0.E0,1.E0)); +#3272=VECTOR('',#3271,9.55E0); +#3273=CARTESIAN_POINT('',(-6.25E0,-1.03E1,-2.E1)); +#3274=LINE('',#3273,#3272); +#3275=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3276=VECTOR('',#3275,3.844505578596E-1); +#3277=CARTESIAN_POINT('',(-5.057774721070E0,-1.03E1,-1.E1)); +#3278=LINE('',#3277,#3276); +#3279=DIRECTION('',(0.E0,0.E0,1.E0)); +#3280=VECTOR('',#3279,9.55E0); +#3281=CARTESIAN_POINT('',(-4.25E0,-1.03E1,-2.E1)); +#3282=LINE('',#3281,#3280); +#3283=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3284=VECTOR('',#3283,1.5E0); +#3285=CARTESIAN_POINT('',(-2.75E0,-1.03E1,-2.E1)); +#3286=LINE('',#3285,#3284); +#3287=DIRECTION('',(0.E0,0.E0,1.E0)); +#3288=VECTOR('',#3287,9.55E0); +#3289=CARTESIAN_POINT('',(-2.75E0,-1.03E1,-2.E1)); +#3290=LINE('',#3289,#3288); +#3291=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3292=VECTOR('',#3291,3.844505578596E-1); +#3293=CARTESIAN_POINT('',(-1.557774721070E0,-1.03E1,-1.E1)); +#3294=LINE('',#3293,#3292); +#3295=DIRECTION('',(0.E0,0.E0,1.E0)); +#3296=VECTOR('',#3295,9.55E0); +#3297=CARTESIAN_POINT('',(-7.5E-1,-1.03E1,-2.E1)); +#3298=LINE('',#3297,#3296); +#3299=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3300=VECTOR('',#3299,1.5E0); +#3301=CARTESIAN_POINT('',(7.5E-1,-1.03E1,-2.E1)); +#3302=LINE('',#3301,#3300); +#3303=DIRECTION('',(0.E0,0.E0,1.E0)); +#3304=VECTOR('',#3303,9.55E0); +#3305=CARTESIAN_POINT('',(7.5E-1,-1.03E1,-2.E1)); +#3306=LINE('',#3305,#3304); +#3307=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3308=VECTOR('',#3307,3.844505578596E-1); +#3309=CARTESIAN_POINT('',(1.942225278930E0,-1.03E1,-1.E1)); +#3310=LINE('',#3309,#3308); +#3311=DIRECTION('',(0.E0,0.E0,1.E0)); +#3312=VECTOR('',#3311,9.55E0); +#3313=CARTESIAN_POINT('',(2.75E0,-1.03E1,-2.E1)); +#3314=LINE('',#3313,#3312); +#3315=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3316=VECTOR('',#3315,1.325E0); +#3317=CARTESIAN_POINT('',(4.075E0,-1.03E1,-2.E1)); +#3318=LINE('',#3317,#3316); +#3319=CARTESIAN_POINT('',(4.075E0,-9.8E0,-1.045E1)); +#3320=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3321=DIRECTION('',(1.E0,0.E0,0.E0)); +#3322=AXIS2_PLACEMENT_3D('',#3319,#3320,#3321); +#3324=DIRECTION('',(0.E0,-2.464578860948E-12,1.E0)); +#3325=VECTOR('',#3324,9.55E0); +#3326=CARTESIAN_POINT('',(4.575E0,-9.8E0,-2.E1)); +#3327=LINE('',#3326,#3325); +#3328=CARTESIAN_POINT('',(5.025E0,-9.8E0,-1.045E1)); +#3329=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3330=DIRECTION('',(0.E0,0.E0,1.E0)); +#3331=AXIS2_PLACEMENT_3D('',#3328,#3329,#3330); +#3333=CARTESIAN_POINT('',(4.882774721070E0,-1.03E1,-1.E1)); +#3334=CARTESIAN_POINT('',(4.830383322705E0,-1.03E1,-1.E1)); +#3335=CARTESIAN_POINT('',(4.724195181513E0,-1.03E1,-1.001285537861E1)); +#3336=CARTESIAN_POINT('',(4.556691285149E0,-1.03E1,-1.007315715647E1)); +#3337=CARTESIAN_POINT('',(4.392978634135E0,-1.03E1,-1.017101580606E1)); +#3338=CARTESIAN_POINT('',(4.234495316027E0,-1.03E1,-1.029894496681E1)); +#3339=CARTESIAN_POINT('',(4.129350541835E0,-1.03E1,-1.039843854872E1)); +#3340=CARTESIAN_POINT('',(4.075E0,-1.03E1,-1.045E1)); +#3342=CARTESIAN_POINT('',(5.025E0,-7.85E0,-1.045E1)); +#3343=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3344=DIRECTION('',(0.E0,0.E0,1.E0)); +#3345=AXIS2_PLACEMENT_3D('',#3342,#3343,#3344); +#3347=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3348=VECTOR('',#3347,1.950000000014E0); +#3349=CARTESIAN_POINT('',(4.575E0,-7.850000000009E0,-1.045E1)); +#3350=LINE('',#3349,#3348); +#3351=DIRECTION('',(0.E0,-9.807163807055E-13,1.E0)); +#3352=VECTOR('',#3351,9.55E0); +#3353=CARTESIAN_POINT('',(4.575E0,-7.85E0,-2.E1)); +#3354=LINE('',#3353,#3352); +#3355=CARTESIAN_POINT('',(5.025E0,-7.85E0,-1.045E1)); +#3356=DIRECTION('',(0.E0,0.E0,1.E0)); +#3357=DIRECTION('',(0.E0,1.E0,0.E0)); +#3358=AXIS2_PLACEMENT_3D('',#3355,#3356,#3357); +#3360=DIRECTION('',(2.245091837860E-13,0.E0,1.E0)); +#3361=VECTOR('',#3360,9.55E0); +#3362=CARTESIAN_POINT('',(5.025E0,-7.4E0,-2.E1)); +#3363=LINE('',#3362,#3361); +#3364=CARTESIAN_POINT('',(5.025E0,-7.85E0,-1.045E1)); +#3365=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3366=DIRECTION('',(0.E0,0.E0,1.E0)); +#3367=AXIS2_PLACEMENT_3D('',#3364,#3365,#3366); +#3369=CARTESIAN_POINT('',(5.475E0,-7.85E0,-1.045E1)); +#3370=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3371=DIRECTION('',(0.E0,0.E0,1.E0)); +#3372=AXIS2_PLACEMENT_3D('',#3369,#3370,#3371); +#3374=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3375=VECTOR('',#3374,4.499999999885E-1); +#3376=CARTESIAN_POINT('',(5.474999999991E0,-7.4E0,-1.045E1)); +#3377=LINE('',#3376,#3375); +#3378=DIRECTION('',(-9.805303747538E-13,0.E0,1.E0)); +#3379=VECTOR('',#3378,9.55E0); +#3380=CARTESIAN_POINT('',(5.475E0,-7.4E0,-2.E1)); +#3381=LINE('',#3380,#3379); +#3382=CARTESIAN_POINT('',(5.475E0,-7.85E0,-1.045E1)); +#3383=DIRECTION('',(0.E0,0.E0,1.E0)); +#3384=DIRECTION('',(1.E0,0.E0,0.E0)); +#3385=AXIS2_PLACEMENT_3D('',#3382,#3383,#3384); +#3387=DIRECTION('',(0.E0,-2.245091837860E-13,1.E0)); +#3388=VECTOR('',#3387,9.55E0); +#3389=CARTESIAN_POINT('',(5.925E0,-7.85E0,-2.E1)); +#3390=LINE('',#3389,#3388); +#3391=CARTESIAN_POINT('',(5.475E0,-7.85E0,-1.045E1)); +#3392=DIRECTION('',(0.E0,1.E0,0.E0)); +#3393=DIRECTION('',(0.E0,0.E0,1.E0)); +#3394=AXIS2_PLACEMENT_3D('',#3391,#3392,#3393); +#3396=CARTESIAN_POINT('',(5.475E0,-9.8E0,-1.045E1)); +#3397=DIRECTION('',(0.E0,1.E0,0.E0)); +#3398=DIRECTION('',(0.E0,0.E0,1.E0)); +#3399=AXIS2_PLACEMENT_3D('',#3396,#3397,#3398); +#3401=DIRECTION('',(0.E0,1.E0,0.E0)); +#3402=VECTOR('',#3401,1.950000002008E0); +#3403=CARTESIAN_POINT('',(5.925E0,-9.800000002010E0,-1.045E1)); +#3404=LINE('',#3403,#3402); +#3405=DIRECTION('',(0.E0,-2.105114918915E-10,1.E0)); +#3406=VECTOR('',#3405,9.55E0); +#3407=CARTESIAN_POINT('',(5.925E0,-9.8E0,-2.E1)); +#3408=LINE('',#3407,#3406); +#3409=CARTESIAN_POINT('',(6.425E0,-9.8E0,-1.045E1)); +#3410=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3411=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3412=AXIS2_PLACEMENT_3D('',#3409,#3410,#3411); +#3414=CARTESIAN_POINT('',(6.425E0,-1.03E1,-1.045E1)); +#3415=CARTESIAN_POINT('',(6.387331340899E0,-1.03E1,-1.041426437225E1)); +#3416=CARTESIAN_POINT('',(6.314896574981E0,-1.03E1,-1.034568856956E1)); +#3417=CARTESIAN_POINT('',(6.213716286398E0,-1.03E1,-1.025668122629E1)); +#3418=CARTESIAN_POINT('',(6.112251873845E0,-1.03E1,-1.017799687203E1)); +#3419=CARTESIAN_POINT('',(6.003152657295E0,-1.03E1,-1.010788787664E1)); +#3420=CARTESIAN_POINT('',(5.882951604843E0,-1.03E1,-1.004959066211E1)); +#3421=CARTESIAN_POINT('',(5.752187150409E0,-1.03E1,-1.000980251565E1)); +#3422=CARTESIAN_POINT('',(5.662848387131E0,-1.03E1,-1.E1)); +#3423=CARTESIAN_POINT('',(5.617225278930E0,-1.03E1,-1.E1)); +#3425=CARTESIAN_POINT('',(7.75E0,-9.8E0,-1.045E1)); +#3426=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3427=DIRECTION('',(1.E0,0.E0,0.E0)); +#3428=AXIS2_PLACEMENT_3D('',#3425,#3426,#3427); +#3430=DIRECTION('',(0.E0,-2.280804980600E-12,1.E0)); +#3431=VECTOR('',#3430,9.55E0); +#3432=CARTESIAN_POINT('',(8.25E0,-9.8E0,-2.E1)); +#3433=LINE('',#3432,#3431); +#3434=CARTESIAN_POINT('',(8.7E0,-9.8E0,-1.045E1)); +#3435=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3436=DIRECTION('',(0.E0,0.E0,1.E0)); +#3437=AXIS2_PLACEMENT_3D('',#3434,#3435,#3436); +#3439=CARTESIAN_POINT('',(8.557774721070E0,-1.03E1,-1.E1)); +#3440=CARTESIAN_POINT('',(8.505412433161E0,-1.03E1,-1.E1)); +#3441=CARTESIAN_POINT('',(8.399268857794E0,-1.03E1,-1.001284056213E1)); +#3442=CARTESIAN_POINT('',(8.231781404061E0,-1.03E1,-1.007311416779E1)); +#3443=CARTESIAN_POINT('',(8.068062833493E0,-1.03E1,-1.017095470454E1)); +#3444=CARTESIAN_POINT('',(7.909544365273E0,-1.03E1,-1.029889892728E1)); +#3445=CARTESIAN_POINT('',(7.804369401651E0,-1.03E1,-1.039842065673E1)); +#3446=CARTESIAN_POINT('',(7.75E0,-1.03E1,-1.045E1)); +#3448=CARTESIAN_POINT('',(8.7E0,-9.05E0,-1.045E1)); +#3449=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3450=DIRECTION('',(0.E0,0.E0,1.E0)); +#3451=AXIS2_PLACEMENT_3D('',#3448,#3449,#3450); +#3453=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3454=VECTOR('',#3453,7.500000000129E-1); +#3455=CARTESIAN_POINT('',(8.25E0,-9.050000000009E0,-1.045E1)); +#3456=LINE('',#3455,#3454); +#3457=DIRECTION('',(0.E0,-9.304017707518E-13,1.E0)); +#3458=VECTOR('',#3457,9.55E0); +#3459=CARTESIAN_POINT('',(8.25E0,-9.05E0,-2.E1)); +#3460=LINE('',#3459,#3458); +#3461=CARTESIAN_POINT('',(8.7E0,-9.05E0,-1.045E1)); +#3462=DIRECTION('',(0.E0,0.E0,1.E0)); +#3463=DIRECTION('',(0.E0,1.E0,0.E0)); +#3464=AXIS2_PLACEMENT_3D('',#3461,#3462,#3463); +#3466=DIRECTION('',(2.107447433550E-13,0.E0,1.E0)); +#3467=VECTOR('',#3466,9.55E0); +#3468=CARTESIAN_POINT('',(8.7E0,-8.6E0,-2.E1)); +#3469=LINE('',#3468,#3467); +#3470=CARTESIAN_POINT('',(8.7E0,-9.05E0,-1.045E1)); +#3471=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3472=DIRECTION('',(0.E0,0.E0,1.E0)); +#3473=AXIS2_PLACEMENT_3D('',#3470,#3471,#3472); +#3475=CARTESIAN_POINT('',(8.8E0,-9.05E0,-1.045E1)); +#3476=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3477=DIRECTION('',(0.E0,0.E0,1.E0)); +#3478=AXIS2_PLACEMENT_3D('',#3475,#3476,#3477); +#3480=DIRECTION('',(-1.E0,1.776356839594E-14,0.E0)); +#3481=VECTOR('',#3480,9.999999998910E-2); +#3482=CARTESIAN_POINT('',(8.799999999991E0,-8.6E0,-1.045E1)); +#3483=LINE('',#3482,#3481); +#3484=DIRECTION('',(-9.302157648001E-13,0.E0,1.E0)); +#3485=VECTOR('',#3484,9.55E0); +#3486=CARTESIAN_POINT('',(8.8E0,-8.6E0,-2.E1)); +#3487=LINE('',#3486,#3485); +#3488=CARTESIAN_POINT('',(8.8E0,-9.05E0,-1.045E1)); +#3489=DIRECTION('',(0.E0,0.E0,1.E0)); +#3490=DIRECTION('',(1.E0,0.E0,0.E0)); +#3491=AXIS2_PLACEMENT_3D('',#3488,#3489,#3490); +#3493=DIRECTION('',(0.E0,-2.101867254997E-13,1.E0)); +#3494=VECTOR('',#3493,9.55E0); +#3495=CARTESIAN_POINT('',(9.25E0,-9.05E0,-2.E1)); +#3496=LINE('',#3495,#3494); +#3497=CARTESIAN_POINT('',(8.8E0,-9.05E0,-1.045E1)); +#3498=DIRECTION('',(0.E0,1.E0,0.E0)); +#3499=DIRECTION('',(0.E0,0.E0,1.E0)); +#3500=AXIS2_PLACEMENT_3D('',#3497,#3498,#3499); +#3502=CARTESIAN_POINT('',(8.8E0,-9.8E0,-1.045E1)); +#3503=DIRECTION('',(0.E0,1.E0,0.E0)); +#3504=DIRECTION('',(0.E0,0.E0,1.E0)); +#3505=AXIS2_PLACEMENT_3D('',#3502,#3503,#3504); +#3507=DIRECTION('',(0.E0,1.E0,0.E0)); +#3508=VECTOR('',#3507,7.500000020188E-1); +#3509=CARTESIAN_POINT('',(9.25E0,-9.800000002021E0,-1.045E1)); +#3510=LINE('',#3509,#3508); +#3511=DIRECTION('',(0.E0,-2.116001847272E-10,1.E0)); +#3512=VECTOR('',#3511,9.55E0); +#3513=CARTESIAN_POINT('',(9.25E0,-9.8E0,-2.E1)); +#3514=LINE('',#3513,#3512); +#3515=CARTESIAN_POINT('',(9.75E0,-9.8E0,-1.045E1)); +#3516=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3517=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3518=AXIS2_PLACEMENT_3D('',#3515,#3516,#3517); +#3520=CARTESIAN_POINT('',(9.75E0,-1.03E1,-1.045E1)); +#3521=CARTESIAN_POINT('',(9.712313959650E0,-1.03E1,-1.041424788295E1)); +#3522=CARTESIAN_POINT('',(9.639851777225E0,-1.03E1,-1.034564652042E1)); +#3523=CARTESIAN_POINT('',(9.538654841602E0,-1.03E1,-1.025662981744E1)); +#3524=CARTESIAN_POINT('',(9.437186225231E0,-1.03E1,-1.017794984399E1)); +#3525=CARTESIAN_POINT('',(9.328077773468E0,-1.03E1,-1.010784546324E1)); +#3526=CARTESIAN_POINT('',(9.207877243438E0,-1.03E1,-1.004956123184E1)); +#3527=CARTESIAN_POINT('',(9.077118775681E0,-1.03E1,-1.000979017308E1)); +#3528=CARTESIAN_POINT('',(8.987821209808E0,-1.03E1,-1.E1)); +#3529=CARTESIAN_POINT('',(8.942225278930E0,-1.03E1,-1.E1)); +#3531=CARTESIAN_POINT('',(1.125E1,-9.8E0,-1.045E1)); +#3532=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3533=DIRECTION('',(1.E0,0.E0,0.E0)); +#3534=AXIS2_PLACEMENT_3D('',#3531,#3532,#3533); +#3536=DIRECTION('',(0.E0,-2.279130927034E-12,1.E0)); +#3537=VECTOR('',#3536,9.55E0); +#3538=CARTESIAN_POINT('',(1.175E1,-9.8E0,-2.E1)); +#3539=LINE('',#3538,#3537); +#3540=CARTESIAN_POINT('',(1.22E1,-9.8E0,-1.045E1)); +#3541=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3542=DIRECTION('',(0.E0,0.E0,1.E0)); +#3543=AXIS2_PLACEMENT_3D('',#3540,#3541,#3542); +#3545=CARTESIAN_POINT('',(1.205777472107E1,-1.03E1,-1.E1)); +#3546=CARTESIAN_POINT('',(1.200541243316E1,-1.03E1,-1.E1)); +#3547=CARTESIAN_POINT('',(1.189926885779E1,-1.03E1,-1.001284056213E1)); +#3548=CARTESIAN_POINT('',(1.173178140406E1,-1.03E1,-1.007311416779E1)); +#3549=CARTESIAN_POINT('',(1.156806283349E1,-1.03E1,-1.017095470454E1)); +#3550=CARTESIAN_POINT('',(1.140954436527E1,-1.03E1,-1.029889892728E1)); +#3551=CARTESIAN_POINT('',(1.130436940165E1,-1.03E1,-1.039842065673E1)); +#3552=CARTESIAN_POINT('',(1.125E1,-1.03E1,-1.045E1)); +#3554=CARTESIAN_POINT('',(1.22E1,-9.05E0,-1.045E1)); +#3555=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3556=DIRECTION('',(0.E0,0.E0,1.E0)); +#3557=AXIS2_PLACEMENT_3D('',#3554,#3555,#3556); +#3559=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3560=VECTOR('',#3559,7.500000000129E-1); +#3561=CARTESIAN_POINT('',(1.175E1,-9.050000000009E0,-1.045E1)); +#3562=LINE('',#3561,#3560); +#3563=DIRECTION('',(0.E0,-9.304017707518E-13,1.E0)); +#3564=VECTOR('',#3563,9.55E0); +#3565=CARTESIAN_POINT('',(1.175E1,-9.05E0,-2.E1)); +#3566=LINE('',#3565,#3564); +#3567=CARTESIAN_POINT('',(1.22E1,-9.05E0,-1.045E1)); +#3568=DIRECTION('',(0.E0,0.E0,1.E0)); +#3569=DIRECTION('',(0.E0,1.E0,0.E0)); +#3570=AXIS2_PLACEMENT_3D('',#3567,#3568,#3569); +#3572=DIRECTION('',(2.100007195479E-13,0.E0,1.E0)); +#3573=VECTOR('',#3572,9.55E0); +#3574=CARTESIAN_POINT('',(1.22E1,-8.6E0,-2.E1)); +#3575=LINE('',#3574,#3573); +#3576=CARTESIAN_POINT('',(1.22E1,-9.05E0,-1.045E1)); +#3577=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3578=DIRECTION('',(0.E0,0.E0,1.E0)); +#3579=AXIS2_PLACEMENT_3D('',#3576,#3577,#3578); +#3581=CARTESIAN_POINT('',(1.23E1,-9.05E0,-1.045E1)); +#3582=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3583=DIRECTION('',(0.E0,0.E0,1.E0)); +#3584=AXIS2_PLACEMENT_3D('',#3581,#3582,#3583); +#3586=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3587=VECTOR('',#3586,9.999999998910E-2); +#3588=CARTESIAN_POINT('',(1.229999999999E1,-8.6E0,-1.045E1)); +#3589=LINE('',#3588,#3587); +#3590=DIRECTION('',(-9.296577469448E-13,0.E0,1.E0)); +#3591=VECTOR('',#3590,9.55E0); +#3592=CARTESIAN_POINT('',(1.23E1,-8.6E0,-2.E1)); +#3593=LINE('',#3592,#3591); +#3594=CARTESIAN_POINT('',(1.23E1,-9.05E0,-1.045E1)); +#3595=DIRECTION('',(0.E0,0.E0,1.E0)); +#3596=DIRECTION('',(1.E0,0.E0,0.E0)); +#3597=AXIS2_PLACEMENT_3D('',#3594,#3595,#3596); +#3599=DIRECTION('',(0.E0,-2.101867254997E-13,1.E0)); +#3600=VECTOR('',#3599,9.55E0); +#3601=CARTESIAN_POINT('',(1.275E1,-9.05E0,-2.E1)); +#3602=LINE('',#3601,#3600); +#3603=CARTESIAN_POINT('',(1.23E1,-9.05E0,-1.045E1)); +#3604=DIRECTION('',(0.E0,1.E0,0.E0)); +#3605=DIRECTION('',(0.E0,0.E0,1.E0)); +#3606=AXIS2_PLACEMENT_3D('',#3603,#3604,#3605); +#3608=CARTESIAN_POINT('',(1.23E1,-9.8E0,-1.045E1)); +#3609=DIRECTION('',(0.E0,1.E0,0.E0)); +#3610=DIRECTION('',(0.E0,0.E0,1.E0)); +#3611=AXIS2_PLACEMENT_3D('',#3608,#3609,#3610); +#3613=DIRECTION('',(0.E0,1.E0,0.E0)); +#3614=VECTOR('',#3613,7.500000020393E-1); +#3615=CARTESIAN_POINT('',(1.275E1,-9.800000002041E0,-1.045E1)); +#3616=LINE('',#3615,#3614); +#3617=DIRECTION('',(0.E0,-2.137522735892E-10,1.E0)); +#3618=VECTOR('',#3617,9.55E0); +#3619=CARTESIAN_POINT('',(1.275E1,-9.8E0,-2.E1)); +#3620=LINE('',#3619,#3618); +#3621=CARTESIAN_POINT('',(1.325E1,-9.8E0,-1.045E1)); +#3622=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3623=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3624=AXIS2_PLACEMENT_3D('',#3621,#3622,#3623); +#3626=CARTESIAN_POINT('',(1.325E1,-1.03E1,-1.045E1)); +#3627=CARTESIAN_POINT('',(1.321231395965E1,-1.03E1,-1.041424788295E1)); +#3628=CARTESIAN_POINT('',(1.313985177722E1,-1.03E1,-1.034564652042E1)); +#3629=CARTESIAN_POINT('',(1.303865484160E1,-1.03E1,-1.025662981744E1)); +#3630=CARTESIAN_POINT('',(1.293718622523E1,-1.03E1,-1.017794984399E1)); +#3631=CARTESIAN_POINT('',(1.282807777347E1,-1.03E1,-1.010784546324E1)); +#3632=CARTESIAN_POINT('',(1.270787724344E1,-1.03E1,-1.004956123184E1)); +#3633=CARTESIAN_POINT('',(1.257711877568E1,-1.03E1,-1.000979017308E1)); +#3634=CARTESIAN_POINT('',(1.248782120981E1,-1.03E1,-1.E1)); +#3635=CARTESIAN_POINT('',(1.244222527893E1,-1.03E1,-1.E1)); +#3637=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3638=VECTOR('',#3637,8.356118651572E0); +#3639=CARTESIAN_POINT('',(-2.02E1,-5.6E0,-2.E0)); +#3640=LINE('',#3639,#3638); +#3641=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3642=VECTOR('',#3641,9.55E0); +#3643=CARTESIAN_POINT('',(-2.019939498886E1,-5.675410467720E0,-1.045E1)); +#3644=LINE('',#3643,#3642); +#3645=CARTESIAN_POINT('',(-1.55E1,-5.6E0,-2.E1)); +#3646=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3647=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3648=AXIS2_PLACEMENT_3D('',#3645,#3646,#3647); +#3650=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3651=VECTOR('',#3650,1.8E1); +#3652=CARTESIAN_POINT('',(-1.55E1,-1.03E1,-2.E0)); +#3653=LINE('',#3652,#3651); +#3654=CARTESIAN_POINT('',(-1.55E1,-5.6E0,-2.E0)); +#3655=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3656=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3657=AXIS2_PLACEMENT_3D('',#3654,#3655,#3656); +#3659=DIRECTION('',(7.179345172774E-11,1.E0,0.E0)); +#3660=VECTOR('',#3659,3.844505579042E-1); +#3661=CARTESIAN_POINT('',(-2.02E1,4.507774721070E0,-1.E1)); +#3662=LINE('',#3661,#3660); +#3663=DIRECTION('',(0.E0,0.E0,1.E0)); +#3664=VECTOR('',#3663,9.55E0); +#3665=CARTESIAN_POINT('',(-2.02E1,3.7E0,-2.E1)); +#3666=LINE('',#3665,#3664); +#3667=DIRECTION('',(0.E0,1.E0,0.E0)); +#3668=VECTOR('',#3667,7.4E0); +#3669=CARTESIAN_POINT('',(-2.02E1,-3.7E0,-2.E1)); +#3670=LINE('',#3669,#3668); +#3671=DIRECTION('',(0.E0,0.E0,1.E0)); +#3672=VECTOR('',#3671,9.55E0); +#3673=CARTESIAN_POINT('',(-2.02E1,-3.7E0,-2.E1)); +#3674=LINE('',#3673,#3672); +#3675=DIRECTION('',(1.848203159636E-14,1.E0,0.E0)); +#3676=VECTOR('',#3675,3.844505578598E-1); +#3677=CARTESIAN_POINT('',(-2.02E1,-4.892225278930E0,-1.E1)); +#3678=LINE('',#3677,#3676); +#3679=DIRECTION('',(0.E0,1.E0,0.E0)); +#3680=VECTOR('',#3679,1.12E1); +#3681=CARTESIAN_POINT('',(-2.02E1,-5.6E0,-2.E0)); +#3682=LINE('',#3681,#3680); +#3683=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3684=VECTOR('',#3683,9.55E0); +#3685=CARTESIAN_POINT('',(-2.019939498886E1,5.675410467720E0,-1.045E1)); +#3686=LINE('',#3685,#3684); +#3687=DIRECTION('',(0.E0,0.E0,1.E0)); +#3688=VECTOR('',#3687,8.356118651572E0); +#3689=CARTESIAN_POINT('',(-2.02E1,5.6E0,-1.035611865157E1)); +#3690=LINE('',#3689,#3688); +#3691=CARTESIAN_POINT('',(-1.55E1,5.6E0,-2.E0)); +#3692=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3693=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3694=AXIS2_PLACEMENT_3D('',#3691,#3692,#3693); +#3696=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3697=VECTOR('',#3696,1.8E1); +#3698=CARTESIAN_POINT('',(-1.55E1,1.03E1,-2.E0)); +#3699=LINE('',#3698,#3697); +#3700=CARTESIAN_POINT('',(-1.55E1,5.6E0,-2.E1)); +#3701=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3702=DIRECTION('',(-9.998712742263E-1,1.604478036605E-2,0.E0)); +#3703=AXIS2_PLACEMENT_3D('',#3700,#3701,#3702); +#3705=CARTESIAN_POINT('',(-1.97E1,5.7E0,-1.045E1)); +#3706=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3707=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3708=AXIS2_PLACEMENT_3D('',#3705,#3706,#3707); +#3710=DIRECTION('',(-2.028208898096E-12,0.E0,1.E0)); +#3711=VECTOR('',#3710,9.55E0); +#3712=CARTESIAN_POINT('',(-1.97E1,5.2E0,-2.E1)); +#3713=LINE('',#3712,#3711); +#3714=CARTESIAN_POINT('',(-1.97E1,4.75E0,-1.045E1)); +#3715=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3716=DIRECTION('',(0.E0,0.E0,1.E0)); +#3717=AXIS2_PLACEMENT_3D('',#3714,#3715,#3716); +#3719=CARTESIAN_POINT('',(-2.019999999997E1,4.892225278974E0,-1.E1)); +#3720=CARTESIAN_POINT('',(-2.019999999997E1,4.938441345176E0, +-1.000000000001E1)); +#3721=CARTESIAN_POINT('',(-2.020000000001E1,5.028901369931E0, +-1.001005244553E1)); +#3722=CARTESIAN_POINT('',(-2.02E1,5.161255515003E0,-1.005081774975E1)); +#3723=CARTESIAN_POINT('',(-2.02E1,5.282656332917E0,-1.011038092795E1)); +#3724=CARTESIAN_POINT('',(-2.02E1,5.392721979230E0,-1.018188114142E1)); +#3725=CARTESIAN_POINT('',(-2.02E1,5.495057666916E0,-1.026189462129E1)); +#3726=CARTESIAN_POINT('',(-2.02E1,5.564824758900E0,-1.032378010232E1)); +#3727=CARTESIAN_POINT('',(-2.02E1,5.6E0,-1.035611865157E1)); +#3729=CARTESIAN_POINT('',(-2.02E1,5.6E0,-1.035611865157E1)); +#3730=CARTESIAN_POINT('',(-2.02E1,5.607266740792E0,-1.036279936925E1)); +#3731=CARTESIAN_POINT('',(-2.019996652161E1,5.621144984250E0, +-1.037579872457E1)); +#3732=CARTESIAN_POINT('',(-2.019984030390E1,5.640054103862E0, +-1.039442860323E1)); +#3733=CARTESIAN_POINT('',(-2.019966979877E1,5.656415732618E0, +-1.041204556033E1)); +#3734=CARTESIAN_POINT('',(-2.019947790122E1,5.670352331070E0, +-1.042999483466E1)); +#3735=CARTESIAN_POINT('',(-2.019939498886E1,5.675410467720E0, +-1.044312101973E1)); +#3736=CARTESIAN_POINT('',(-2.019939498886E1,5.675410467720E0,-1.045E1)); +#3738=CARTESIAN_POINT('',(-1.895E1,4.75E0,-1.045E1)); +#3739=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3740=DIRECTION('',(0.E0,0.E0,1.E0)); +#3741=AXIS2_PLACEMENT_3D('',#3738,#3739,#3740); +#3743=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3744=VECTOR('',#3743,7.500000000105E-1); +#3745=CARTESIAN_POINT('',(-1.895000000001E1,5.2E0,-1.045E1)); +#3746=LINE('',#3745,#3744); +#3747=DIRECTION('',(-9.307737826554E-13,0.E0,1.E0)); +#3748=VECTOR('',#3747,9.55E0); +#3749=CARTESIAN_POINT('',(-1.895E1,5.2E0,-2.E1)); +#3750=LINE('',#3749,#3748); +#3751=CARTESIAN_POINT('',(-1.895E1,4.75E0,-1.045E1)); +#3752=DIRECTION('',(0.E0,0.E0,1.E0)); +#3753=DIRECTION('',(1.E0,0.E0,0.E0)); +#3754=AXIS2_PLACEMENT_3D('',#3751,#3752,#3753); +#3756=DIRECTION('',(0.E0,-2.105587374033E-13,1.E0)); +#3757=VECTOR('',#3756,9.55E0); +#3758=CARTESIAN_POINT('',(-1.85E1,4.75E0,-2.E1)); +#3759=LINE('',#3758,#3757); +#3760=CARTESIAN_POINT('',(-1.895E1,4.75E0,-1.045E1)); +#3761=DIRECTION('',(0.E0,1.E0,0.E0)); +#3762=DIRECTION('',(0.E0,0.E0,1.E0)); +#3763=AXIS2_PLACEMENT_3D('',#3760,#3761,#3762); +#3765=CARTESIAN_POINT('',(-1.895E1,4.65E0,-1.045E1)); +#3766=DIRECTION('',(0.E0,1.E0,0.E0)); +#3767=DIRECTION('',(0.E0,0.E0,1.E0)); +#3768=AXIS2_PLACEMENT_3D('',#3765,#3766,#3767); +#3770=DIRECTION('',(0.E0,1.E0,-1.776356839594E-14)); +#3771=VECTOR('',#3770,9.999999998911E-2); +#3772=CARTESIAN_POINT('',(-1.85E1,4.650000000009E0,-1.045E1)); +#3773=LINE('',#3772,#3771); +#3774=DIRECTION('',(0.E0,9.295647439689E-13,1.E0)); +#3775=VECTOR('',#3774,9.55E0); +#3776=CARTESIAN_POINT('',(-1.85E1,4.65E0,-2.E1)); +#3777=LINE('',#3776,#3775); +#3778=CARTESIAN_POINT('',(-1.895E1,4.65E0,-1.045E1)); +#3779=DIRECTION('',(0.E0,0.E0,1.E0)); +#3780=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3781=AXIS2_PLACEMENT_3D('',#3778,#3779,#3780); +#3783=DIRECTION('',(-2.109307493068E-13,0.E0,1.E0)); +#3784=VECTOR('',#3783,9.55E0); +#3785=CARTESIAN_POINT('',(-1.895E1,4.2E0,-2.E1)); +#3786=LINE('',#3785,#3784); +#3787=CARTESIAN_POINT('',(-1.895E1,4.65E0,-1.045E1)); +#3788=DIRECTION('',(1.E0,0.E0,0.E0)); +#3789=DIRECTION('',(0.E0,0.E0,1.E0)); +#3790=AXIS2_PLACEMENT_3D('',#3787,#3788,#3789); +#3792=CARTESIAN_POINT('',(-1.97E1,4.65E0,-1.045E1)); +#3793=DIRECTION('',(1.E0,0.E0,0.E0)); +#3794=DIRECTION('',(0.E0,0.E0,1.E0)); +#3795=AXIS2_PLACEMENT_3D('',#3792,#3793,#3794); +#3797=DIRECTION('',(1.E0,0.E0,0.E0)); +#3798=VECTOR('',#3797,7.500000009249E-1); +#3799=CARTESIAN_POINT('',(-1.970000000093E1,4.2E0,-1.045E1)); +#3800=LINE('',#3799,#3798); +#3801=DIRECTION('',(-9.706348581196E-11,0.E0,1.E0)); +#3802=VECTOR('',#3801,9.55E0); +#3803=CARTESIAN_POINT('',(-1.97E1,4.2E0,-2.E1)); +#3804=LINE('',#3803,#3802); +#3805=CARTESIAN_POINT('',(-1.97E1,3.7E0,-1.045E1)); +#3806=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3807=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3808=AXIS2_PLACEMENT_3D('',#3805,#3806,#3807); +#3810=CARTESIAN_POINT('',(-2.02E1,3.7E0,-1.045E1)); +#3811=CARTESIAN_POINT('',(-2.02E1,3.737686040350E0,-1.041424788295E1)); +#3812=CARTESIAN_POINT('',(-2.02E1,3.810148222774E0,-1.034564652042E1)); +#3813=CARTESIAN_POINT('',(-2.02E1,3.911345158392E0,-1.025662981744E1)); +#3814=CARTESIAN_POINT('',(-2.02E1,4.012813774760E0,-1.017794984399E1)); +#3815=CARTESIAN_POINT('',(-2.02E1,4.121922226530E0,-1.010784546324E1)); +#3816=CARTESIAN_POINT('',(-2.02E1,4.242122756567E0,-1.004956123183E1)); +#3817=CARTESIAN_POINT('',(-2.02E1,4.372881224327E0,-1.000979017308E1)); +#3818=CARTESIAN_POINT('',(-2.02E1,4.462178790195E0,-1.E1)); +#3819=CARTESIAN_POINT('',(-2.02E1,4.507774721070E0,-1.E1)); +#3821=CARTESIAN_POINT('',(-1.97E1,-3.7E0,-1.045E1)); +#3822=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3823=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3824=AXIS2_PLACEMENT_3D('',#3821,#3822,#3823); +#3826=DIRECTION('',(-2.120095838271E-12,0.E0,1.E0)); +#3827=VECTOR('',#3826,9.55E0); +#3828=CARTESIAN_POINT('',(-1.97E1,-4.2E0,-2.E1)); +#3829=LINE('',#3828,#3827); +#3830=CARTESIAN_POINT('',(-1.97E1,-4.65E0,-1.045E1)); +#3831=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3832=DIRECTION('',(0.E0,0.E0,1.E0)); +#3833=AXIS2_PLACEMENT_3D('',#3830,#3831,#3832); +#3835=CARTESIAN_POINT('',(-2.02E1,-4.507774721070E0,-1.E1)); +#3836=CARTESIAN_POINT('',(-2.02E1,-4.455412433161E0,-1.E1)); +#3837=CARTESIAN_POINT('',(-2.02E1,-4.349268857794E0,-1.001284056213E1)); +#3838=CARTESIAN_POINT('',(-2.02E1,-4.181781404061E0,-1.007311416779E1)); +#3839=CARTESIAN_POINT('',(-2.02E1,-4.018062833493E0,-1.017095470454E1)); +#3840=CARTESIAN_POINT('',(-2.02E1,-3.859544365273E0,-1.029889892728E1)); +#3841=CARTESIAN_POINT('',(-2.02E1,-3.754369401651E0,-1.039842065673E1)); +#3842=CARTESIAN_POINT('',(-2.02E1,-3.7E0,-1.045E1)); +#3844=CARTESIAN_POINT('',(-1.895E1,-4.65E0,-1.045E1)); +#3845=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3846=DIRECTION('',(0.E0,0.E0,1.E0)); +#3847=AXIS2_PLACEMENT_3D('',#3844,#3845,#3846); +#3849=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3850=VECTOR('',#3849,7.500000000114E-1); +#3851=CARTESIAN_POINT('',(-1.895000000001E1,-4.2E0,-1.045E1)); +#3852=LINE('',#3851,#3850); +#3853=DIRECTION('',(-9.311457945589E-13,0.E0,1.E0)); +#3854=VECTOR('',#3853,9.55E0); +#3855=CARTESIAN_POINT('',(-1.895E1,-4.2E0,-2.E1)); +#3856=LINE('',#3855,#3854); +#3857=CARTESIAN_POINT('',(-1.895E1,-4.65E0,-1.045E1)); +#3858=DIRECTION('',(0.E0,0.E0,1.E0)); +#3859=DIRECTION('',(1.E0,0.E0,0.E0)); +#3860=AXIS2_PLACEMENT_3D('',#3857,#3858,#3859); +#3862=DIRECTION('',(0.E0,-2.098147135962E-13,1.E0)); +#3863=VECTOR('',#3862,9.55E0); +#3864=CARTESIAN_POINT('',(-1.85E1,-4.65E0,-2.E1)); +#3865=LINE('',#3864,#3863); +#3866=CARTESIAN_POINT('',(-1.895E1,-4.65E0,-1.045E1)); +#3867=DIRECTION('',(0.E0,1.E0,0.E0)); +#3868=DIRECTION('',(0.E0,0.E0,1.E0)); +#3869=AXIS2_PLACEMENT_3D('',#3866,#3867,#3868); +#3871=CARTESIAN_POINT('',(-1.895E1,-4.75E0,-1.045E1)); +#3872=DIRECTION('',(0.E0,1.E0,0.E0)); +#3873=DIRECTION('',(0.E0,0.E0,1.E0)); +#3874=AXIS2_PLACEMENT_3D('',#3871,#3872,#3873); +#3876=DIRECTION('',(-3.552713679188E-14,1.E0,0.E0)); +#3877=VECTOR('',#3876,9.999999998910E-2); +#3878=CARTESIAN_POINT('',(-1.85E1,-4.749999999991E0,-1.045E1)); +#3879=LINE('',#3878,#3877); +#3880=DIRECTION('',(0.E0,9.304017707518E-13,1.E0)); +#3881=VECTOR('',#3880,9.55E0); +#3882=CARTESIAN_POINT('',(-1.85E1,-4.75E0,-2.E1)); +#3883=LINE('',#3882,#3881); +#3884=CARTESIAN_POINT('',(-1.895E1,-4.75E0,-1.045E1)); +#3885=DIRECTION('',(0.E0,0.E0,1.E0)); +#3886=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3887=AXIS2_PLACEMENT_3D('',#3884,#3885,#3886); +#3889=DIRECTION('',(-2.105587374033E-13,0.E0,1.E0)); +#3890=VECTOR('',#3889,9.55E0); +#3891=CARTESIAN_POINT('',(-1.895E1,-5.2E0,-2.E1)); +#3892=LINE('',#3891,#3890); +#3893=CARTESIAN_POINT('',(-1.895E1,-4.75E0,-1.045E1)); +#3894=DIRECTION('',(1.E0,0.E0,0.E0)); +#3895=DIRECTION('',(0.E0,0.E0,1.E0)); +#3896=AXIS2_PLACEMENT_3D('',#3893,#3894,#3895); +#3898=CARTESIAN_POINT('',(-1.97E1,-4.75E0,-1.045E1)); +#3899=DIRECTION('',(1.E0,0.E0,0.E0)); +#3900=DIRECTION('',(0.E0,0.E0,1.E0)); +#3901=AXIS2_PLACEMENT_3D('',#3898,#3899,#3900); +#3903=DIRECTION('',(1.E0,0.E0,0.E0)); +#3904=VECTOR('',#3903,7.500000008955E-1); +#3905=CARTESIAN_POINT('',(-1.970000000090E1,-5.2E0,-1.045E1)); +#3906=LINE('',#3905,#3904); +#3907=DIRECTION('',(-9.397950713162E-11,0.E0,1.E0)); +#3908=VECTOR('',#3907,9.55E0); +#3909=CARTESIAN_POINT('',(-1.97E1,-5.2E0,-2.E1)); +#3910=LINE('',#3909,#3908); +#3911=CARTESIAN_POINT('',(-1.97E1,-5.7E0,-1.045E1)); +#3912=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3913=DIRECTION('',(-9.987899777276E-1,4.917906455907E-2,0.E0)); +#3914=AXIS2_PLACEMENT_3D('',#3911,#3912,#3913); +#3916=CARTESIAN_POINT('',(-2.019939498886E1,-5.675410467720E0,-1.045E1)); +#3917=CARTESIAN_POINT('',(-2.019939498886E1,-5.675410467720E0, +-1.044297104718E1)); +#3918=CARTESIAN_POINT('',(-2.019948127962E1,-5.670127202535E0, +-1.042965804857E1)); +#3919=CARTESIAN_POINT('',(-2.019967039651E1,-5.656360363267E0, +-1.041199288739E1)); +#3920=CARTESIAN_POINT('',(-2.019984196237E1,-5.639876895174E0, +-1.039424171829E1)); +#3921=CARTESIAN_POINT('',(-2.019996717142E1,-5.620972652490E0, +-1.037563688277E1)); +#3922=CARTESIAN_POINT('',(-2.02E1,-5.607193204673E0,-1.036273176342E1)); +#3923=CARTESIAN_POINT('',(-2.02E1,-5.6E0,-1.035611865157E1)); +#3925=CARTESIAN_POINT('',(-2.02E1,-5.6E0,-1.035611865157E1)); +#3926=CARTESIAN_POINT('',(-2.02E1,-5.564847599249E0,-1.032380110072E1)); +#3927=CARTESIAN_POINT('',(-2.02E1,-5.495121453420E0,-1.026195056795E1)); +#3928=CARTESIAN_POINT('',(-2.02E1,-5.392848699766E0,-1.018197442363E1)); +#3929=CARTESIAN_POINT('',(-2.02E1,-5.282809442883E0,-1.011046848786E1)); +#3930=CARTESIAN_POINT('',(-2.02E1,-5.161385812550E0,-1.005086654692E1)); +#3931=CARTESIAN_POINT('',(-2.02E1,-5.028961241193E0,-1.001006050455E1)); +#3932=CARTESIAN_POINT('',(-2.02E1,-4.938462190809E0,-1.E1)); +#3933=CARTESIAN_POINT('',(-2.02E1,-4.892225278930E0,-1.E1)); +#3935=CARTESIAN_POINT('',(-1.325E1,-9.8E0,-1.045E1)); +#3936=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3937=DIRECTION('',(1.E0,0.E0,0.E0)); +#3938=AXIS2_PLACEMENT_3D('',#3935,#3936,#3937); +#3940=DIRECTION('',(0.E0,-2.278944921082E-12,1.E0)); +#3941=VECTOR('',#3940,9.55E0); +#3942=CARTESIAN_POINT('',(-1.275E1,-9.8E0,-2.E1)); +#3943=LINE('',#3942,#3941); +#3944=CARTESIAN_POINT('',(-1.23E1,-9.8E0,-1.045E1)); +#3945=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3946=DIRECTION('',(0.E0,0.E0,1.E0)); +#3947=AXIS2_PLACEMENT_3D('',#3944,#3945,#3946); +#3949=CARTESIAN_POINT('',(-1.244222527893E1,-1.03E1,-1.E1)); +#3950=CARTESIAN_POINT('',(-1.249458756684E1,-1.03E1,-1.E1)); +#3951=CARTESIAN_POINT('',(-1.260073114221E1,-1.03E1,-1.001284056213E1)); +#3952=CARTESIAN_POINT('',(-1.276821859594E1,-1.03E1,-1.007311416779E1)); +#3953=CARTESIAN_POINT('',(-1.293193716651E1,-1.03E1,-1.017095470454E1)); +#3954=CARTESIAN_POINT('',(-1.309045563473E1,-1.03E1,-1.029889892728E1)); +#3955=CARTESIAN_POINT('',(-1.319563059835E1,-1.03E1,-1.039842065673E1)); +#3956=CARTESIAN_POINT('',(-1.325E1,-1.03E1,-1.045E1)); +#3958=CARTESIAN_POINT('',(-1.23E1,-9.05E0,-1.045E1)); +#3959=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3960=DIRECTION('',(0.E0,0.E0,1.E0)); +#3961=AXIS2_PLACEMENT_3D('',#3958,#3959,#3960); +#3963=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3964=VECTOR('',#3963,7.500000000129E-1); +#3965=CARTESIAN_POINT('',(-1.275E1,-9.050000000009E0,-1.045E1)); +#3966=LINE('',#3965,#3964); +#3967=DIRECTION('',(0.E0,-9.298437528965E-13,1.E0)); +#3968=VECTOR('',#3967,9.55E0); +#3969=CARTESIAN_POINT('',(-1.275E1,-9.05E0,-2.E1)); +#3970=LINE('',#3969,#3968); +#3971=CARTESIAN_POINT('',(-1.23E1,-9.05E0,-1.045E1)); +#3972=DIRECTION('',(0.E0,0.E0,1.E0)); +#3973=DIRECTION('',(0.E0,1.E0,0.E0)); +#3974=AXIS2_PLACEMENT_3D('',#3971,#3972,#3973); +#3976=DIRECTION('',(2.105587374033E-13,0.E0,1.E0)); +#3977=VECTOR('',#3976,9.55E0); +#3978=CARTESIAN_POINT('',(-1.23E1,-8.6E0,-2.E1)); +#3979=LINE('',#3978,#3977); +#3980=CARTESIAN_POINT('',(-1.23E1,-9.05E0,-1.045E1)); +#3981=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3982=DIRECTION('',(0.E0,0.E0,1.E0)); +#3983=AXIS2_PLACEMENT_3D('',#3980,#3981,#3982); +#3985=CARTESIAN_POINT('',(-1.22E1,-9.05E0,-1.045E1)); +#3986=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3987=DIRECTION('',(0.E0,0.E0,1.E0)); +#3988=AXIS2_PLACEMENT_3D('',#3985,#3986,#3987); +#3990=DIRECTION('',(-1.E0,1.776356839594E-14,0.E0)); +#3991=VECTOR('',#3990,9.999999998910E-2); +#3992=CARTESIAN_POINT('',(-1.220000000001E1,-8.6E0,-1.045E1)); +#3993=LINE('',#3992,#3991); +#3994=DIRECTION('',(-9.305877767036E-13,0.E0,1.E0)); +#3995=VECTOR('',#3994,9.55E0); +#3996=CARTESIAN_POINT('',(-1.22E1,-8.6E0,-2.E1)); +#3997=LINE('',#3996,#3995); +#3998=CARTESIAN_POINT('',(-1.22E1,-9.05E0,-1.045E1)); +#3999=DIRECTION('',(0.E0,0.E0,1.E0)); +#4000=DIRECTION('',(1.E0,0.E0,0.E0)); +#4001=AXIS2_PLACEMENT_3D('',#3998,#3999,#4000); +#4003=DIRECTION('',(0.E0,-2.103727314515E-13,1.E0)); +#4004=VECTOR('',#4003,9.55E0); +#4005=CARTESIAN_POINT('',(-1.175E1,-9.05E0,-2.E1)); +#4006=LINE('',#4005,#4004); +#4007=CARTESIAN_POINT('',(-1.22E1,-9.05E0,-1.045E1)); +#4008=DIRECTION('',(0.E0,1.E0,0.E0)); +#4009=DIRECTION('',(0.E0,0.E0,1.E0)); +#4010=AXIS2_PLACEMENT_3D('',#4007,#4008,#4009); +#4012=CARTESIAN_POINT('',(-1.22E1,-9.8E0,-1.045E1)); +#4013=DIRECTION('',(0.E0,1.E0,0.E0)); +#4014=DIRECTION('',(0.E0,0.E0,1.E0)); +#4015=AXIS2_PLACEMENT_3D('',#4012,#4013,#4014); +#4017=DIRECTION('',(0.E0,1.E0,0.E0)); +#4018=VECTOR('',#4017,7.500000020393E-1); +#4019=CARTESIAN_POINT('',(-1.175E1,-9.800000002041E0,-1.045E1)); +#4020=LINE('',#4019,#4018); +#4021=DIRECTION('',(0.E0,-2.137524595951E-10,1.E0)); +#4022=VECTOR('',#4021,9.55E0); +#4023=CARTESIAN_POINT('',(-1.175E1,-9.8E0,-2.E1)); +#4024=LINE('',#4023,#4022); +#4025=CARTESIAN_POINT('',(-1.125E1,-9.8E0,-1.045E1)); +#4026=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4027=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4028=AXIS2_PLACEMENT_3D('',#4025,#4026,#4027); +#4030=CARTESIAN_POINT('',(-1.125E1,-1.03E1,-1.045E1)); +#4031=CARTESIAN_POINT('',(-1.128768604035E1,-1.03E1,-1.041424788295E1)); +#4032=CARTESIAN_POINT('',(-1.136014822278E1,-1.03E1,-1.034564652042E1)); +#4033=CARTESIAN_POINT('',(-1.146134515840E1,-1.03E1,-1.025662981744E1)); +#4034=CARTESIAN_POINT('',(-1.156281377477E1,-1.03E1,-1.017794984399E1)); +#4035=CARTESIAN_POINT('',(-1.167192222653E1,-1.03E1,-1.010784546324E1)); +#4036=CARTESIAN_POINT('',(-1.179212275656E1,-1.03E1,-1.004956123184E1)); +#4037=CARTESIAN_POINT('',(-1.192288122432E1,-1.03E1,-1.000979017308E1)); +#4038=CARTESIAN_POINT('',(-1.201217879019E1,-1.03E1,-1.E1)); +#4039=CARTESIAN_POINT('',(-1.205777472107E1,-1.03E1,-1.E1)); +#4041=CARTESIAN_POINT('',(-9.75E0,-9.8E0,-1.045E1)); +#4042=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4043=DIRECTION('',(1.E0,0.E0,0.E0)); +#4044=AXIS2_PLACEMENT_3D('',#4041,#4042,#4043); +#4046=DIRECTION('',(0.E0,-2.280804980600E-12,1.E0)); +#4047=VECTOR('',#4046,9.55E0); +#4048=CARTESIAN_POINT('',(-9.25E0,-9.8E0,-2.E1)); +#4049=LINE('',#4048,#4047); +#4050=CARTESIAN_POINT('',(-8.8E0,-9.8E0,-1.045E1)); +#4051=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4052=DIRECTION('',(0.E0,0.E0,1.E0)); +#4053=AXIS2_PLACEMENT_3D('',#4050,#4051,#4052); +#4055=CARTESIAN_POINT('',(-8.942225278930E0,-1.03E1,-1.E1)); +#4056=CARTESIAN_POINT('',(-8.994587566839E0,-1.03E1,-1.E1)); +#4057=CARTESIAN_POINT('',(-9.100731142206E0,-1.03E1,-1.001284056213E1)); +#4058=CARTESIAN_POINT('',(-9.268218595939E0,-1.03E1,-1.007311416779E1)); +#4059=CARTESIAN_POINT('',(-9.431937166507E0,-1.03E1,-1.017095470454E1)); +#4060=CARTESIAN_POINT('',(-9.590455634727E0,-1.03E1,-1.029889892728E1)); +#4061=CARTESIAN_POINT('',(-9.695630598349E0,-1.03E1,-1.039842065673E1)); +#4062=CARTESIAN_POINT('',(-9.75E0,-1.03E1,-1.045E1)); +#4064=CARTESIAN_POINT('',(-8.8E0,-9.05E0,-1.045E1)); +#4065=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4066=DIRECTION('',(0.E0,0.E0,1.E0)); +#4067=AXIS2_PLACEMENT_3D('',#4064,#4065,#4066); +#4069=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4070=VECTOR('',#4069,7.500000000129E-1); +#4071=CARTESIAN_POINT('',(-9.25E0,-9.050000000009E0,-1.045E1)); +#4072=LINE('',#4071,#4070); +#4073=DIRECTION('',(0.E0,-9.298437528965E-13,1.E0)); +#4074=VECTOR('',#4073,9.55E0); +#4075=CARTESIAN_POINT('',(-9.25E0,-9.05E0,-2.E1)); +#4076=LINE('',#4075,#4074); +#4077=CARTESIAN_POINT('',(-8.8E0,-9.05E0,-1.045E1)); +#4078=DIRECTION('',(0.E0,0.E0,1.E0)); +#4079=DIRECTION('',(0.E0,1.E0,0.E0)); +#4080=AXIS2_PLACEMENT_3D('',#4077,#4078,#4079); +#4082=DIRECTION('',(2.103727314515E-13,0.E0,1.E0)); +#4083=VECTOR('',#4082,9.55E0); +#4084=CARTESIAN_POINT('',(-8.8E0,-8.6E0,-2.E1)); +#4085=LINE('',#4084,#4083); +#4086=CARTESIAN_POINT('',(-8.8E0,-9.05E0,-1.045E1)); +#4087=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4088=DIRECTION('',(0.E0,0.E0,1.E0)); +#4089=AXIS2_PLACEMENT_3D('',#4086,#4087,#4088); +#4091=CARTESIAN_POINT('',(-8.7E0,-9.05E0,-1.045E1)); +#4092=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4093=DIRECTION('',(0.E0,0.E0,1.E0)); +#4094=AXIS2_PLACEMENT_3D('',#4091,#4092,#4093); +#4096=DIRECTION('',(-1.E0,1.776356839594E-14,0.E0)); +#4097=VECTOR('',#4096,9.999999998910E-2); +#4098=CARTESIAN_POINT('',(-8.700000000009E0,-8.6E0,-1.045E1)); +#4099=LINE('',#4098,#4097); +#4100=DIRECTION('',(-9.304017707518E-13,0.E0,1.E0)); +#4101=VECTOR('',#4100,9.55E0); +#4102=CARTESIAN_POINT('',(-8.7E0,-8.6E0,-2.E1)); +#4103=LINE('',#4102,#4101); +#4104=CARTESIAN_POINT('',(-8.7E0,-9.05E0,-1.045E1)); +#4105=DIRECTION('',(0.E0,0.E0,1.E0)); +#4106=DIRECTION('',(1.E0,0.E0,0.E0)); +#4107=AXIS2_PLACEMENT_3D('',#4104,#4105,#4106); +#4109=DIRECTION('',(0.E0,-2.105587374033E-13,1.E0)); +#4110=VECTOR('',#4109,9.55E0); +#4111=CARTESIAN_POINT('',(-8.25E0,-9.05E0,-2.E1)); +#4112=LINE('',#4111,#4110); +#4113=CARTESIAN_POINT('',(-8.7E0,-9.05E0,-1.045E1)); +#4114=DIRECTION('',(0.E0,1.E0,0.E0)); +#4115=DIRECTION('',(0.E0,0.E0,1.E0)); +#4116=AXIS2_PLACEMENT_3D('',#4113,#4114,#4115); +#4118=CARTESIAN_POINT('',(-8.7E0,-9.8E0,-1.045E1)); +#4119=DIRECTION('',(0.E0,1.E0,0.E0)); +#4120=DIRECTION('',(0.E0,0.E0,1.E0)); +#4121=AXIS2_PLACEMENT_3D('',#4118,#4119,#4120); +#4123=DIRECTION('',(0.E0,1.E0,0.E0)); +#4124=VECTOR('',#4123,7.500000020188E-1); +#4125=CARTESIAN_POINT('',(-8.25E0,-9.800000002021E0,-1.045E1)); +#4126=LINE('',#4125,#4124); +#4127=DIRECTION('',(0.E0,-2.116001847272E-10,1.E0)); +#4128=VECTOR('',#4127,9.55E0); +#4129=CARTESIAN_POINT('',(-8.25E0,-9.8E0,-2.E1)); +#4130=LINE('',#4129,#4128); +#4131=CARTESIAN_POINT('',(-7.75E0,-9.8E0,-1.045E1)); +#4132=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4133=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4134=AXIS2_PLACEMENT_3D('',#4131,#4132,#4133); +#4136=CARTESIAN_POINT('',(-7.75E0,-1.03E1,-1.045E1)); +#4137=CARTESIAN_POINT('',(-7.787686040350E0,-1.03E1,-1.041424788295E1)); +#4138=CARTESIAN_POINT('',(-7.860148222775E0,-1.03E1,-1.034564652042E1)); +#4139=CARTESIAN_POINT('',(-7.961345158398E0,-1.03E1,-1.025662981744E1)); +#4140=CARTESIAN_POINT('',(-8.062813774769E0,-1.03E1,-1.017794984399E1)); +#4141=CARTESIAN_POINT('',(-8.171922226532E0,-1.03E1,-1.010784546324E1)); +#4142=CARTESIAN_POINT('',(-8.292122756562E0,-1.03E1,-1.004956123184E1)); +#4143=CARTESIAN_POINT('',(-8.422881224319E0,-1.03E1,-1.000979017308E1)); +#4144=CARTESIAN_POINT('',(-8.512178790192E0,-1.03E1,-1.E1)); +#4145=CARTESIAN_POINT('',(-8.557774721070E0,-1.03E1,-1.E1)); +#4147=CARTESIAN_POINT('',(-6.25E0,-9.8E0,-1.045E1)); +#4148=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4149=DIRECTION('',(1.E0,0.E0,0.E0)); +#4150=AXIS2_PLACEMENT_3D('',#4147,#4148,#4149); +#4152=DIRECTION('',(0.E0,-2.280804980600E-12,1.E0)); +#4153=VECTOR('',#4152,9.55E0); +#4154=CARTESIAN_POINT('',(-5.75E0,-9.8E0,-2.E1)); +#4155=LINE('',#4154,#4153); +#4156=CARTESIAN_POINT('',(-5.3E0,-9.8E0,-1.045E1)); +#4157=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4158=DIRECTION('',(0.E0,0.E0,1.E0)); +#4159=AXIS2_PLACEMENT_3D('',#4156,#4157,#4158); +#4161=CARTESIAN_POINT('',(-5.442225278930E0,-1.03E1,-1.E1)); +#4162=CARTESIAN_POINT('',(-5.494587566839E0,-1.03E1,-1.E1)); +#4163=CARTESIAN_POINT('',(-5.600731142206E0,-1.03E1,-1.001284056213E1)); +#4164=CARTESIAN_POINT('',(-5.768218595939E0,-1.03E1,-1.007311416779E1)); +#4165=CARTESIAN_POINT('',(-5.931937166507E0,-1.03E1,-1.017095470454E1)); +#4166=CARTESIAN_POINT('',(-6.090455634727E0,-1.03E1,-1.029889892728E1)); +#4167=CARTESIAN_POINT('',(-6.195630598349E0,-1.03E1,-1.039842065673E1)); +#4168=CARTESIAN_POINT('',(-6.25E0,-1.03E1,-1.045E1)); +#4170=CARTESIAN_POINT('',(-5.3E0,-9.05E0,-1.045E1)); +#4171=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4172=DIRECTION('',(0.E0,0.E0,1.E0)); +#4173=AXIS2_PLACEMENT_3D('',#4170,#4171,#4172); +#4175=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4176=VECTOR('',#4175,7.500000000129E-1); +#4177=CARTESIAN_POINT('',(-5.75E0,-9.050000000009E0,-1.045E1)); +#4178=LINE('',#4177,#4176); +#4179=DIRECTION('',(0.E0,-9.304017707518E-13,1.E0)); +#4180=VECTOR('',#4179,9.55E0); +#4181=CARTESIAN_POINT('',(-5.75E0,-9.05E0,-2.E1)); +#4182=LINE('',#4181,#4180); +#4183=CARTESIAN_POINT('',(-5.3E0,-9.05E0,-1.045E1)); +#4184=DIRECTION('',(0.E0,0.E0,1.E0)); +#4185=DIRECTION('',(0.E0,1.E0,0.E0)); +#4186=AXIS2_PLACEMENT_3D('',#4183,#4184,#4185); +#4188=DIRECTION('',(2.102797284756E-13,0.E0,1.E0)); +#4189=VECTOR('',#4188,9.55E0); +#4190=CARTESIAN_POINT('',(-5.3E0,-8.6E0,-2.E1)); +#4191=LINE('',#4190,#4189); +#4192=CARTESIAN_POINT('',(-5.3E0,-9.05E0,-1.045E1)); +#4193=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4194=DIRECTION('',(0.E0,0.E0,1.E0)); +#4195=AXIS2_PLACEMENT_3D('',#4192,#4193,#4194); +#4197=CARTESIAN_POINT('',(-5.2E0,-9.05E0,-1.045E1)); +#4198=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4199=DIRECTION('',(0.E0,0.E0,1.E0)); +#4200=AXIS2_PLACEMENT_3D('',#4197,#4198,#4199); +#4202=DIRECTION('',(-1.E0,1.776356839594E-14,0.E0)); +#4203=VECTOR('',#4202,9.999999998910E-2); +#4204=CARTESIAN_POINT('',(-5.200000000009E0,-8.6E0,-1.045E1)); +#4205=LINE('',#4204,#4203); +#4206=DIRECTION('',(-9.299367558724E-13,0.E0,1.E0)); +#4207=VECTOR('',#4206,9.55E0); +#4208=CARTESIAN_POINT('',(-5.2E0,-8.6E0,-2.E1)); +#4209=LINE('',#4208,#4207); +#4210=CARTESIAN_POINT('',(-5.2E0,-9.05E0,-1.045E1)); +#4211=DIRECTION('',(0.E0,0.E0,1.E0)); +#4212=DIRECTION('',(1.E0,0.E0,0.E0)); +#4213=AXIS2_PLACEMENT_3D('',#4210,#4211,#4212); +#4215=DIRECTION('',(0.E0,-2.096287076444E-13,1.E0)); +#4216=VECTOR('',#4215,9.55E0); +#4217=CARTESIAN_POINT('',(-4.75E0,-9.05E0,-2.E1)); +#4218=LINE('',#4217,#4216); +#4219=CARTESIAN_POINT('',(-5.2E0,-9.05E0,-1.045E1)); +#4220=DIRECTION('',(0.E0,1.E0,0.E0)); +#4221=DIRECTION('',(0.E0,0.E0,1.E0)); +#4222=AXIS2_PLACEMENT_3D('',#4219,#4220,#4221); +#4224=CARTESIAN_POINT('',(-5.2E0,-9.8E0,-1.045E1)); +#4225=DIRECTION('',(0.E0,1.E0,0.E0)); +#4226=DIRECTION('',(0.E0,0.E0,1.E0)); +#4227=AXIS2_PLACEMENT_3D('',#4224,#4225,#4226); +#4229=DIRECTION('',(0.E0,1.E0,0.E0)); +#4230=VECTOR('',#4229,7.500000020188E-1); +#4231=CARTESIAN_POINT('',(-4.75E0,-9.800000002021E0,-1.045E1)); +#4232=LINE('',#4231,#4230); +#4233=DIRECTION('',(0.E0,-2.116001847272E-10,1.E0)); +#4234=VECTOR('',#4233,9.55E0); +#4235=CARTESIAN_POINT('',(-4.75E0,-9.8E0,-2.E1)); +#4236=LINE('',#4235,#4234); +#4237=CARTESIAN_POINT('',(-4.25E0,-9.8E0,-1.045E1)); +#4238=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4239=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4240=AXIS2_PLACEMENT_3D('',#4237,#4238,#4239); +#4242=CARTESIAN_POINT('',(-4.25E0,-1.03E1,-1.045E1)); +#4243=CARTESIAN_POINT('',(-4.287686040350E0,-1.03E1,-1.041424788295E1)); +#4244=CARTESIAN_POINT('',(-4.360148222775E0,-1.03E1,-1.034564652042E1)); +#4245=CARTESIAN_POINT('',(-4.461345158398E0,-1.03E1,-1.025662981744E1)); +#4246=CARTESIAN_POINT('',(-4.562813774769E0,-1.03E1,-1.017794984399E1)); +#4247=CARTESIAN_POINT('',(-4.671922226532E0,-1.03E1,-1.010784546324E1)); +#4248=CARTESIAN_POINT('',(-4.792122756562E0,-1.03E1,-1.004956123184E1)); +#4249=CARTESIAN_POINT('',(-4.922881224319E0,-1.03E1,-1.000979017308E1)); +#4250=CARTESIAN_POINT('',(-5.012178790192E0,-1.03E1,-1.E1)); +#4251=CARTESIAN_POINT('',(-5.057774721070E0,-1.03E1,-1.E1)); +#4253=CARTESIAN_POINT('',(-2.75E0,-9.8E0,-1.045E1)); +#4254=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4255=DIRECTION('',(1.E0,0.E0,0.E0)); +#4256=AXIS2_PLACEMENT_3D('',#4253,#4254,#4255); +#4258=DIRECTION('',(0.E0,-2.280804980600E-12,1.E0)); +#4259=VECTOR('',#4258,9.55E0); +#4260=CARTESIAN_POINT('',(-2.25E0,-9.8E0,-2.E1)); +#4261=LINE('',#4260,#4259); +#4262=CARTESIAN_POINT('',(-1.8E0,-9.8E0,-1.045E1)); +#4263=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4264=DIRECTION('',(0.E0,0.E0,1.E0)); +#4265=AXIS2_PLACEMENT_3D('',#4262,#4263,#4264); +#4267=CARTESIAN_POINT('',(-1.942225278930E0,-1.03E1,-1.E1)); +#4268=CARTESIAN_POINT('',(-1.994587566839E0,-1.03E1,-1.E1)); +#4269=CARTESIAN_POINT('',(-2.100731142206E0,-1.03E1,-1.001284056213E1)); +#4270=CARTESIAN_POINT('',(-2.268218595939E0,-1.03E1,-1.007311416779E1)); +#4271=CARTESIAN_POINT('',(-2.431937166507E0,-1.03E1,-1.017095470454E1)); +#4272=CARTESIAN_POINT('',(-2.590455634727E0,-1.03E1,-1.029889892728E1)); +#4273=CARTESIAN_POINT('',(-2.695630598349E0,-1.03E1,-1.039842065673E1)); +#4274=CARTESIAN_POINT('',(-2.75E0,-1.03E1,-1.045E1)); +#4276=CARTESIAN_POINT('',(-1.8E0,-9.05E0,-1.045E1)); +#4277=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4278=DIRECTION('',(0.E0,0.E0,1.E0)); +#4279=AXIS2_PLACEMENT_3D('',#4276,#4277,#4278); +#4281=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4282=VECTOR('',#4281,7.500000000129E-1); +#4283=CARTESIAN_POINT('',(-2.25E0,-9.050000000009E0,-1.045E1)); +#4284=LINE('',#4283,#4282); +#4285=DIRECTION('',(0.E0,-9.304017707518E-13,1.E0)); +#4286=VECTOR('',#4285,9.55E0); +#4287=CARTESIAN_POINT('',(-2.25E0,-9.05E0,-2.E1)); +#4288=LINE('',#4287,#4286); +#4289=CARTESIAN_POINT('',(-1.8E0,-9.05E0,-1.045E1)); +#4290=DIRECTION('',(0.E0,0.E0,1.E0)); +#4291=DIRECTION('',(0.E0,1.E0,0.E0)); +#4292=AXIS2_PLACEMENT_3D('',#4289,#4290,#4291); +#4294=DIRECTION('',(2.101402240118E-13,0.E0,1.E0)); +#4295=VECTOR('',#4294,9.55E0); +#4296=CARTESIAN_POINT('',(-1.8E0,-8.6E0,-2.E1)); +#4297=LINE('',#4296,#4295); +#4298=CARTESIAN_POINT('',(-1.8E0,-9.05E0,-1.045E1)); +#4299=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4300=DIRECTION('',(0.E0,0.E0,1.E0)); +#4301=AXIS2_PLACEMENT_3D('',#4298,#4299,#4300); +#4303=CARTESIAN_POINT('',(-1.7E0,-9.05E0,-1.045E1)); +#4304=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4305=DIRECTION('',(0.E0,0.E0,1.E0)); +#4306=AXIS2_PLACEMENT_3D('',#4303,#4304,#4305); +#4308=DIRECTION('',(-1.E0,1.776356839594E-14,0.E0)); +#4309=VECTOR('',#4308,9.999999998911E-2); +#4310=CARTESIAN_POINT('',(-1.700000000009E0,-8.6E0,-1.045E1)); +#4311=LINE('',#4310,#4309); +#4312=DIRECTION('',(-9.299600066164E-13,0.E0,1.E0)); +#4313=VECTOR('',#4312,9.55E0); +#4314=CARTESIAN_POINT('',(-1.7E0,-8.6E0,-2.E1)); +#4315=LINE('',#4314,#4313); +#4316=CARTESIAN_POINT('',(-1.7E0,-9.05E0,-1.045E1)); +#4317=DIRECTION('',(0.E0,0.E0,1.E0)); +#4318=DIRECTION('',(1.E0,0.E0,0.E0)); +#4319=AXIS2_PLACEMENT_3D('',#4316,#4317,#4318); +#4321=DIRECTION('',(0.E0,-2.105587374033E-13,1.E0)); +#4322=VECTOR('',#4321,9.55E0); +#4323=CARTESIAN_POINT('',(-1.25E0,-9.05E0,-2.E1)); +#4324=LINE('',#4323,#4322); +#4325=CARTESIAN_POINT('',(-1.7E0,-9.05E0,-1.045E1)); +#4326=DIRECTION('',(0.E0,1.E0,0.E0)); +#4327=DIRECTION('',(0.E0,0.E0,1.E0)); +#4328=AXIS2_PLACEMENT_3D('',#4325,#4326,#4327); +#4330=CARTESIAN_POINT('',(-1.7E0,-9.8E0,-1.045E1)); +#4331=DIRECTION('',(0.E0,1.E0,0.E0)); +#4332=DIRECTION('',(0.E0,0.E0,1.E0)); +#4333=AXIS2_PLACEMENT_3D('',#4330,#4331,#4332); +#4335=DIRECTION('',(0.E0,1.E0,0.E0)); +#4336=VECTOR('',#4335,7.500000020188E-1); +#4337=CARTESIAN_POINT('',(-1.25E0,-9.800000002021E0,-1.045E1)); +#4338=LINE('',#4337,#4336); +#4339=DIRECTION('',(0.E0,-2.116001847272E-10,1.E0)); +#4340=VECTOR('',#4339,9.55E0); +#4341=CARTESIAN_POINT('',(-1.25E0,-9.8E0,-2.E1)); +#4342=LINE('',#4341,#4340); +#4343=CARTESIAN_POINT('',(-7.5E-1,-9.8E0,-1.045E1)); +#4344=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4345=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4346=AXIS2_PLACEMENT_3D('',#4343,#4344,#4345); +#4348=CARTESIAN_POINT('',(-7.5E-1,-1.03E1,-1.045E1)); +#4349=CARTESIAN_POINT('',(-7.876860403504E-1,-1.03E1,-1.041424788295E1)); +#4350=CARTESIAN_POINT('',(-8.601482227755E-1,-1.03E1,-1.034564652042E1)); +#4351=CARTESIAN_POINT('',(-9.613451583978E-1,-1.03E1,-1.025662981744E1)); +#4352=CARTESIAN_POINT('',(-1.062813774769E0,-1.03E1,-1.017794984399E1)); +#4353=CARTESIAN_POINT('',(-1.171922226532E0,-1.03E1,-1.010784546324E1)); +#4354=CARTESIAN_POINT('',(-1.292122756562E0,-1.03E1,-1.004956123184E1)); +#4355=CARTESIAN_POINT('',(-1.422881224319E0,-1.03E1,-1.000979017308E1)); +#4356=CARTESIAN_POINT('',(-1.512178790192E0,-1.03E1,-1.E1)); +#4357=CARTESIAN_POINT('',(-1.557774721070E0,-1.03E1,-1.E1)); +#4359=CARTESIAN_POINT('',(7.5E-1,-9.8E0,-1.045E1)); +#4360=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4361=DIRECTION('',(1.E0,0.E0,0.E0)); +#4362=AXIS2_PLACEMENT_3D('',#4359,#4360,#4361); +#4364=DIRECTION('',(0.E0,-2.280804980600E-12,1.E0)); +#4365=VECTOR('',#4364,9.55E0); +#4366=CARTESIAN_POINT('',(1.25E0,-9.8E0,-2.E1)); +#4367=LINE('',#4366,#4365); +#4368=CARTESIAN_POINT('',(1.7E0,-9.8E0,-1.045E1)); +#4369=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4370=DIRECTION('',(0.E0,0.E0,1.E0)); +#4371=AXIS2_PLACEMENT_3D('',#4368,#4369,#4370); +#4373=CARTESIAN_POINT('',(1.557774721070E0,-1.03E1,-1.E1)); +#4374=CARTESIAN_POINT('',(1.505412433161E0,-1.03E1,-1.E1)); +#4375=CARTESIAN_POINT('',(1.399268857794E0,-1.03E1,-1.001284056213E1)); +#4376=CARTESIAN_POINT('',(1.231781404061E0,-1.03E1,-1.007311416779E1)); +#4377=CARTESIAN_POINT('',(1.068062833493E0,-1.03E1,-1.017095470454E1)); +#4378=CARTESIAN_POINT('',(9.095443652732E-1,-1.03E1,-1.029889892728E1)); +#4379=CARTESIAN_POINT('',(8.043694016507E-1,-1.03E1,-1.039842065673E1)); +#4380=CARTESIAN_POINT('',(7.5E-1,-1.03E1,-1.045E1)); +#4382=CARTESIAN_POINT('',(1.7E0,-9.05E0,-1.045E1)); +#4383=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4384=DIRECTION('',(0.E0,0.E0,1.E0)); +#4385=AXIS2_PLACEMENT_3D('',#4382,#4383,#4384); +#4387=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4388=VECTOR('',#4387,7.500000000129E-1); +#4389=CARTESIAN_POINT('',(1.25E0,-9.050000000009E0,-1.045E1)); +#4390=LINE('',#4389,#4388); +#4391=DIRECTION('',(0.E0,-9.304017707518E-13,1.E0)); +#4392=VECTOR('',#4391,9.55E0); +#4393=CARTESIAN_POINT('',(1.25E0,-9.05E0,-2.E1)); +#4394=LINE('',#4393,#4392); +#4395=CARTESIAN_POINT('',(1.7E0,-9.05E0,-1.045E1)); +#4396=DIRECTION('',(0.E0,0.E0,1.E0)); +#4397=DIRECTION('',(0.E0,1.E0,0.E0)); +#4398=AXIS2_PLACEMENT_3D('',#4395,#4396,#4397); +#4400=DIRECTION('',(2.101634747557E-13,0.E0,1.E0)); +#4401=VECTOR('',#4400,9.55E0); +#4402=CARTESIAN_POINT('',(1.7E0,-8.6E0,-2.E1)); +#4403=LINE('',#4402,#4401); +#4404=CARTESIAN_POINT('',(1.7E0,-9.05E0,-1.045E1)); +#4405=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4406=DIRECTION('',(0.E0,0.E0,1.E0)); +#4407=AXIS2_PLACEMENT_3D('',#4404,#4405,#4406); +#4409=CARTESIAN_POINT('',(1.8E0,-9.05E0,-1.045E1)); +#4410=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4411=DIRECTION('',(0.E0,0.E0,1.E0)); +#4412=AXIS2_PLACEMENT_3D('',#4409,#4410,#4411); +#4414=DIRECTION('',(-1.E0,1.776356839594E-14,0.E0)); +#4415=VECTOR('',#4414,9.999999998911E-2); +#4416=CARTESIAN_POINT('',(1.799999999991E0,-8.6E0,-1.045E1)); +#4417=LINE('',#4416,#4415); +#4418=DIRECTION('',(-9.299367558724E-13,0.E0,1.E0)); +#4419=VECTOR('',#4418,9.55E0); +#4420=CARTESIAN_POINT('',(1.8E0,-8.6E0,-2.E1)); +#4421=LINE('',#4420,#4419); +#4422=CARTESIAN_POINT('',(1.8E0,-9.05E0,-1.045E1)); +#4423=DIRECTION('',(0.E0,0.E0,1.E0)); +#4424=DIRECTION('',(1.E0,0.E0,0.E0)); +#4425=AXIS2_PLACEMENT_3D('',#4422,#4423,#4424); +#4427=DIRECTION('',(0.E0,-2.105587374033E-13,1.E0)); +#4428=VECTOR('',#4427,9.55E0); +#4429=CARTESIAN_POINT('',(2.25E0,-9.05E0,-2.E1)); +#4430=LINE('',#4429,#4428); +#4431=CARTESIAN_POINT('',(1.8E0,-9.05E0,-1.045E1)); +#4432=DIRECTION('',(0.E0,1.E0,0.E0)); +#4433=DIRECTION('',(0.E0,0.E0,1.E0)); +#4434=AXIS2_PLACEMENT_3D('',#4431,#4432,#4433); +#4436=CARTESIAN_POINT('',(1.8E0,-9.8E0,-1.045E1)); +#4437=DIRECTION('',(0.E0,1.E0,0.E0)); +#4438=DIRECTION('',(0.E0,0.E0,1.E0)); +#4439=AXIS2_PLACEMENT_3D('',#4436,#4437,#4438); +#4441=DIRECTION('',(0.E0,1.E0,0.E0)); +#4442=VECTOR('',#4441,7.500000020188E-1); +#4443=CARTESIAN_POINT('',(2.25E0,-9.800000002021E0,-1.045E1)); +#4444=LINE('',#4443,#4442); +#4445=DIRECTION('',(0.E0,-2.116001847272E-10,1.E0)); +#4446=VECTOR('',#4445,9.55E0); +#4447=CARTESIAN_POINT('',(2.25E0,-9.8E0,-2.E1)); +#4448=LINE('',#4447,#4446); +#4449=CARTESIAN_POINT('',(2.75E0,-9.8E0,-1.045E1)); +#4450=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4451=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4452=AXIS2_PLACEMENT_3D('',#4449,#4450,#4451); +#4454=CARTESIAN_POINT('',(2.75E0,-1.03E1,-1.045E1)); +#4455=CARTESIAN_POINT('',(2.712313959650E0,-1.03E1,-1.041424788295E1)); +#4456=CARTESIAN_POINT('',(2.639851777225E0,-1.03E1,-1.034564652042E1)); +#4457=CARTESIAN_POINT('',(2.538654841602E0,-1.03E1,-1.025662981744E1)); +#4458=CARTESIAN_POINT('',(2.437186225231E0,-1.03E1,-1.017794984399E1)); +#4459=CARTESIAN_POINT('',(2.328077773468E0,-1.03E1,-1.010784546324E1)); +#4460=CARTESIAN_POINT('',(2.207877243438E0,-1.03E1,-1.004956123184E1)); +#4461=CARTESIAN_POINT('',(2.077118775681E0,-1.03E1,-1.000979017308E1)); +#4462=CARTESIAN_POINT('',(1.987821209808E0,-1.03E1,-1.E1)); +#4463=CARTESIAN_POINT('',(1.942225278930E0,-1.03E1,-1.E1)); +#4465=CARTESIAN_POINT('',(4.526728026742E0,1.149449725639E1,0.E0)); +#4466=CARTESIAN_POINT('',(4.517832100833E0,1.159617815481E1,0.E0)); +#4467=CARTESIAN_POINT('',(4.503014222821E0,1.176554727551E1, +-5.594389734846E-2)); +#4468=CARTESIAN_POINT('',(4.491875178219E0,1.189286713790E1, +-1.810909189136E-1)); +#4469=CARTESIAN_POINT('',(4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#4471=DIRECTION('',(-3.989075718142E-2,4.559534409803E-1,-8.891092099116E-1)); +#4472=VECTOR('',#4471,4.080666675536E0); +#4473=CARTESIAN_POINT('',(4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#4474=LINE('',#4473,#4472); +#4475=CARTESIAN_POINT('',(1.55E1,1.13E1,-5.E-1)); +#4476=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4477=DIRECTION('',(0.E0,0.E0,1.E0)); +#4478=AXIS2_PLACEMENT_3D('',#4475,#4476,#4477); +#4480=CARTESIAN_POINT('',(4.543744331763E0,1.13E1,0.E0)); +#4481=CARTESIAN_POINT('',(4.529190631240E0,1.146634955817E1,0.E0)); +#4482=CARTESIAN_POINT('',(4.511064071068E0,1.167353708901E1, +-1.259910193835E-1)); +#4483=CARTESIAN_POINT('',(4.5E0,1.18E1,-3.330150135380E-1)); +#4484=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.E-1)); +#4486=CARTESIAN_POINT('',(2.12E1,5.6E0,-5.E-1)); +#4487=DIRECTION('',(0.E0,1.E0,0.E0)); +#4488=DIRECTION('',(1.421085471520E-14,0.E0,1.E0)); +#4489=AXIS2_PLACEMENT_3D('',#4486,#4487,#4488); +#4491=CARTESIAN_POINT('',(1.55E1,5.6E0,-5.E-1)); +#4492=DIRECTION('',(0.E0,0.E0,1.E0)); +#4493=DIRECTION('',(1.E0,0.E0,0.E0)); +#4494=AXIS2_PLACEMENT_3D('',#4491,#4492,#4493); +#4496=DIRECTION('',(-1.037335626481E-12,0.E0,1.E0)); +#4497=VECTOR('',#4496,1.8775E1); +#4498=CARTESIAN_POINT('',(1.55E1,1.18E1,-1.9275E1)); +#4499=LINE('',#4498,#4497); +#4500=CARTESIAN_POINT('',(1.55E1,5.6E0,-1.9275E1)); +#4501=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4502=DIRECTION('',(0.E0,1.E0,0.E0)); +#4503=AXIS2_PLACEMENT_3D('',#4500,#4501,#4502); +#4505=DIRECTION('',(-3.249875464525E-10,-8.552187923356E-9,-1.E0)); +#4506=VECTOR('',#4505,7.25E-1); +#4507=CARTESIAN_POINT('',(2.164579409929E1,6.418055561756E0,-1.9275E1)); +#4508=LINE('',#4507,#4506); +#4509=CARTESIAN_POINT('',(1.55E1,5.6E0,-2.E1)); +#4510=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4511=DIRECTION('',(9.912571127514E-1,1.319444444444E-1,0.E0)); +#4512=AXIS2_PLACEMENT_3D('',#4509,#4510,#4511); +#4514=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4515=VECTOR('',#4514,4.45E0); +#4516=CARTESIAN_POINT('',(1.995E1,1.18E1,-1.9275E1)); +#4517=LINE('',#4516,#4515); +#4518=CARTESIAN_POINT('',(1.995E1,1.28E1,-1.9275E1)); +#4519=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4520=DIRECTION('',(8.823529411765E-1,-4.705882352941E-1,0.E0)); +#4521=AXIS2_PLACEMENT_3D('',#4518,#4519,#4520); +#4523=CARTESIAN_POINT('',(2.37E1,1.08E1,-1.9275E1)); +#4524=DIRECTION('',(0.E0,0.E0,1.E0)); +#4525=DIRECTION('',(-7.216024245882E-1,6.923076923077E-1,0.E0)); +#4526=AXIS2_PLACEMENT_3D('',#4523,#4524,#4525); +#4528=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4529=VECTOR('',#4528,4.690415759823E0); +#4530=CARTESIAN_POINT('',(2.604520787991E1,1.305E1,-1.9275E1)); +#4531=LINE('',#4530,#4529); +#4532=CARTESIAN_POINT('',(2.37E1,1.08E1,-1.9275E1)); +#4533=DIRECTION('',(0.E0,0.E0,1.E0)); +#4534=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4535=AXIS2_PLACEMENT_3D('',#4532,#4533,#4534); +#4537=DIRECTION('',(1.E0,0.E0,0.E0)); +#4538=VECTOR('',#4537,1.062948788190E0); +#4539=CARTESIAN_POINT('',(2.263705121181E1,7.55E0,-1.9275E1)); +#4540=LINE('',#4539,#4538); +#4541=CARTESIAN_POINT('',(2.263705121181E1,6.55E0,-1.9275E1)); +#4542=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4543=DIRECTION('',(-9.912571151719E-1,-1.319444262597E-1,0.E0)); +#4544=AXIS2_PLACEMENT_3D('',#4541,#4542,#4543); +#4546=CARTESIAN_POINT('',(2.27E1,-3.05E0,-1.9275E1)); +#4547=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4548=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4549=AXIS2_PLACEMENT_3D('',#4546,#4547,#4548); +#4551=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4552=VECTOR('',#4551,2.5E0); +#4553=CARTESIAN_POINT('',(2.52E1,-4.05E0,-1.9275E1)); +#4554=LINE('',#4553,#4552); +#4555=CARTESIAN_POINT('',(2.52E1,-7.3E0,-1.9275E1)); +#4556=DIRECTION('',(0.E0,0.E0,1.E0)); +#4557=DIRECTION('',(6.769230769231E-1,7.360537670096E-1,0.E0)); +#4558=AXIS2_PLACEMENT_3D('',#4555,#4556,#4557); +#4560=DIRECTION('',(0.E0,1.E0,0.E0)); +#4561=VECTOR('',#4560,4.784349485562E0); +#4562=CARTESIAN_POINT('',(2.74E1,-9.692174742781E0,-1.9275E1)); +#4563=LINE('',#4562,#4561); +#4564=CARTESIAN_POINT('',(2.52E1,-7.3E0,-1.9275E1)); +#4565=DIRECTION('',(0.E0,0.E0,1.E0)); +#4566=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4567=AXIS2_PLACEMENT_3D('',#4564,#4565,#4566); +#4569=DIRECTION('',(1.E0,0.E0,0.E0)); +#4570=VECTOR('',#4569,5.645681314943E0); +#4571=CARTESIAN_POINT('',(1.955431868506E1,-1.055E1,-1.9275E1)); +#4572=LINE('',#4571,#4570); +#4573=CARTESIAN_POINT('',(1.955431868506E1,-1.155E1,-1.9275E1)); +#4574=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4575=DIRECTION('',(-5.630998047957E-1,8.263888974563E-1,0.E0)); +#4576=AXIS2_PLACEMENT_3D('',#4573,#4574,#4575); +#4578=CARTESIAN_POINT('',(-1.955431868506E1,-1.155E1,-1.9275E1)); +#4579=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4580=DIRECTION('',(0.E0,1.E0,0.E0)); +#4581=AXIS2_PLACEMENT_3D('',#4578,#4579,#4580); +#4583=DIRECTION('',(1.E0,0.E0,0.E0)); +#4584=VECTOR('',#4583,5.645681314943E0); +#4585=CARTESIAN_POINT('',(-2.52E1,-1.055E1,-1.9275E1)); +#4586=LINE('',#4585,#4584); +#4587=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-1.9275E1)); +#4588=DIRECTION('',(0.E0,0.E0,1.E0)); +#4589=DIRECTION('',(-6.769230769231E-1,-7.360537670096E-1,0.E0)); +#4590=AXIS2_PLACEMENT_3D('',#4587,#4588,#4589); +#4592=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4593=VECTOR('',#4592,4.784349485562E0); +#4594=CARTESIAN_POINT('',(-2.74E1,-4.907825257219E0,-1.9275E1)); +#4595=LINE('',#4594,#4593); +#4596=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-1.9275E1)); +#4597=DIRECTION('',(0.E0,0.E0,1.E0)); +#4598=DIRECTION('',(0.E0,1.E0,0.E0)); +#4599=AXIS2_PLACEMENT_3D('',#4596,#4597,#4598); +#4601=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4602=VECTOR('',#4601,2.5E0); +#4603=CARTESIAN_POINT('',(-2.27E1,-4.05E0,-1.9275E1)); +#4604=LINE('',#4603,#4602); +#4605=CARTESIAN_POINT('',(-2.27E1,-3.05E0,-1.9275E1)); +#4606=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4607=DIRECTION('',(1.E0,0.E0,0.E0)); +#4608=AXIS2_PLACEMENT_3D('',#4605,#4606,#4607); +#4610=CARTESIAN_POINT('',(-2.263705121181E1,6.55E0,-1.9275E1)); +#4611=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4612=DIRECTION('',(0.E0,1.E0,0.E0)); +#4613=AXIS2_PLACEMENT_3D('',#4610,#4611,#4612); +#4615=DIRECTION('',(1.E0,0.E0,0.E0)); +#4616=VECTOR('',#4615,1.062948788190E0); +#4617=CARTESIAN_POINT('',(-2.37E1,7.55E0,-1.9275E1)); +#4618=LINE('',#4617,#4616); +#4619=CARTESIAN_POINT('',(-2.37E1,1.08E1,-1.9275E1)); +#4620=DIRECTION('',(0.E0,0.E0,1.E0)); +#4621=DIRECTION('',(-7.216024245882E-1,6.923076923077E-1,0.E0)); +#4622=AXIS2_PLACEMENT_3D('',#4619,#4620,#4621); +#4624=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4625=VECTOR('',#4624,4.690415759823E0); +#4626=CARTESIAN_POINT('',(-2.135479212009E1,1.305E1,-1.9275E1)); +#4627=LINE('',#4626,#4625); +#4628=CARTESIAN_POINT('',(-2.37E1,1.08E1,-1.9275E1)); +#4629=DIRECTION('',(0.E0,0.E0,1.E0)); +#4630=DIRECTION('',(8.823529411765E-1,4.705882352941E-1,0.E0)); +#4631=AXIS2_PLACEMENT_3D('',#4628,#4629,#4630); +#4633=CARTESIAN_POINT('',(-1.995E1,1.28E1,-1.9275E1)); +#4634=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4635=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4636=AXIS2_PLACEMENT_3D('',#4633,#4634,#4635); +#4638=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4639=VECTOR('',#4638,4.45E0); +#4640=CARTESIAN_POINT('',(-1.55E1,1.18E1,-1.9275E1)); +#4641=LINE('',#4640,#4639); +#4642=CARTESIAN_POINT('',(-2.37E1,1.08E1,-1.9275E1)); +#4643=DIRECTION('',(0.E0,0.E0,1.E0)); +#4644=DIRECTION('',(1.E0,0.E0,0.E0)); +#4645=AXIS2_PLACEMENT_3D('',#4642,#4643,#4644); +#4647=CARTESIAN_POINT('',(-2.37E1,1.08E1,-1.9275E1)); +#4648=DIRECTION('',(0.E0,0.E0,1.E0)); +#4649=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4650=AXIS2_PLACEMENT_3D('',#4647,#4648,#4649); +#4652=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-1.9275E1)); +#4653=DIRECTION('',(0.E0,0.E0,1.E0)); +#4654=DIRECTION('',(1.E0,0.E0,0.E0)); +#4655=AXIS2_PLACEMENT_3D('',#4652,#4653,#4654); +#4657=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-1.9275E1)); +#4658=DIRECTION('',(0.E0,0.E0,1.E0)); +#4659=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4660=AXIS2_PLACEMENT_3D('',#4657,#4658,#4659); +#4662=CARTESIAN_POINT('',(2.37E1,1.08E1,-1.9275E1)); +#4663=DIRECTION('',(0.E0,0.E0,1.E0)); +#4664=DIRECTION('',(1.E0,0.E0,0.E0)); +#4665=AXIS2_PLACEMENT_3D('',#4662,#4663,#4664); +#4667=CARTESIAN_POINT('',(2.37E1,1.08E1,-1.9275E1)); +#4668=DIRECTION('',(0.E0,0.E0,1.E0)); +#4669=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4670=AXIS2_PLACEMENT_3D('',#4667,#4668,#4669); +#4672=CARTESIAN_POINT('',(2.52E1,-7.3E0,-1.9275E1)); +#4673=DIRECTION('',(0.E0,0.E0,1.E0)); +#4674=DIRECTION('',(1.E0,0.E0,0.E0)); +#4675=AXIS2_PLACEMENT_3D('',#4672,#4673,#4674); +#4677=CARTESIAN_POINT('',(2.52E1,-7.3E0,-1.9275E1)); +#4678=DIRECTION('',(0.E0,0.E0,1.E0)); +#4679=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4680=AXIS2_PLACEMENT_3D('',#4677,#4678,#4679); +#4682=DIRECTION('',(0.E0,0.E0,1.E0)); +#4683=VECTOR('',#4682,7.25E-1); +#4684=CARTESIAN_POINT('',(2.083235294118E1,1.232941176471E1,-2.E1)); +#4685=LINE('',#4684,#4683); +#4686=DIRECTION('',(0.E0,0.E0,1.E0)); +#4687=VECTOR('',#4686,7.25E-1); +#4688=CARTESIAN_POINT('',(2.135479212009E1,1.305E1,-2.E1)); +#4689=LINE('',#4688,#4687); +#4690=DIRECTION('',(0.E0,0.E0,1.E0)); +#4691=VECTOR('',#4690,7.25E-1); +#4692=CARTESIAN_POINT('',(2.604520787991E1,1.305E1,-2.E1)); +#4693=LINE('',#4692,#4691); +#4694=DIRECTION('',(0.E0,0.E0,1.E0)); +#4695=VECTOR('',#4694,7.25E-1); +#4696=CARTESIAN_POINT('',(2.37E1,7.55E0,-2.E1)); +#4697=LINE('',#4696,#4695); +#4698=DIRECTION('',(0.E0,0.E0,1.E0)); +#4699=VECTOR('',#4698,7.25E-1); +#4700=CARTESIAN_POINT('',(2.263705121181E1,7.55E0,-2.E1)); +#4701=LINE('',#4700,#4699); +#4702=DIRECTION('',(0.E0,1.E0,0.E0)); +#4703=VECTOR('',#4702,1.119999999995E1); +#4704=CARTESIAN_POINT('',(2.17E1,-5.599999999981E0,-5.E-1)); +#4705=LINE('',#4704,#4703); +#4706=DIRECTION('',(0.E0,-1.455974941750E-12,1.E0)); +#4707=VECTOR('',#4706,1.95E1); +#4708=CARTESIAN_POINT('',(2.17E1,5.6E0,-2.E1)); +#4709=LINE('',#4708,#4707); +#4710=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4711=VECTOR('',#4710,8.65E0); +#4712=CARTESIAN_POINT('',(2.17E1,5.6E0,-2.E1)); +#4713=LINE('',#4712,#4711); +#4714=DIRECTION('',(0.E0,0.E0,1.E0)); +#4715=VECTOR('',#4714,7.25E-1); +#4716=CARTESIAN_POINT('',(2.17E1,-3.05E0,-2.E1)); +#4717=LINE('',#4716,#4715); +#4718=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4719=VECTOR('',#4718,2.55E0); +#4720=CARTESIAN_POINT('',(2.17E1,-3.05E0,-1.9275E1)); +#4721=LINE('',#4720,#4719); +#4722=CARTESIAN_POINT('',(2.12E1,-5.6E0,-5.E-1)); +#4723=DIRECTION('',(0.E0,1.E0,0.E0)); +#4724=DIRECTION('',(0.E0,0.E0,1.E0)); +#4725=AXIS2_PLACEMENT_3D('',#4722,#4723,#4724); +#4727=CARTESIAN_POINT('',(1.55E1,-1.13E1,-5.E-1)); +#4728=DIRECTION('',(1.E0,0.E0,0.E0)); +#4729=DIRECTION('',(0.E0,0.E0,1.E0)); +#4730=AXIS2_PLACEMENT_3D('',#4727,#4728,#4729); +#4732=CARTESIAN_POINT('',(1.55E1,-5.6E0,-5.E-1)); +#4733=DIRECTION('',(0.E0,0.E0,1.E0)); +#4734=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4735=AXIS2_PLACEMENT_3D('',#4732,#4733,#4734); +#4737=DIRECTION('',(0.E0,1.037146400719E-12,1.E0)); +#4738=VECTOR('',#4737,1.8775E1); +#4739=CARTESIAN_POINT('',(2.17E1,-5.6E0,-1.9275E1)); +#4740=LINE('',#4739,#4738); +#4741=CARTESIAN_POINT('',(1.55E1,-5.6E0,-1.9275E1)); +#4742=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4743=DIRECTION('',(1.E0,0.E0,0.E0)); +#4744=AXIS2_PLACEMENT_3D('',#4741,#4742,#4743); +#4746=DIRECTION('',(-6.174856488197E-9,-3.360864689998E-9,-1.E0)); +#4747=VECTOR('',#4746,7.25E-1); +#4748=CARTESIAN_POINT('',(1.899121887216E1,-1.072361110867E1,-1.9275E1)); +#4749=LINE('',#4748,#4747); +#4750=CARTESIAN_POINT('',(1.55E1,-5.6E0,-2.E1)); +#4751=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4752=DIRECTION('',(5.630998173690E-1,-8.263888888889E-1,0.E0)); +#4753=AXIS2_PLACEMENT_3D('',#4750,#4751,#4752); +#4755=DIRECTION('',(0.E0,0.E0,1.E0)); +#4756=VECTOR('',#4755,7.25E-1); +#4757=CARTESIAN_POINT('',(1.955431868506E1,-1.055E1,-2.E1)); +#4758=LINE('',#4757,#4756); +#4759=DIRECTION('',(0.E0,0.E0,1.E0)); +#4760=VECTOR('',#4759,7.25E-1); +#4761=CARTESIAN_POINT('',(2.52E1,-1.055E1,-2.E1)); +#4762=LINE('',#4761,#4760); +#4763=DIRECTION('',(0.E0,0.E0,1.E0)); +#4764=VECTOR('',#4763,7.25E-1); +#4765=CARTESIAN_POINT('',(2.74E1,-9.692174742781E0,-2.E1)); +#4766=LINE('',#4765,#4764); +#4767=DIRECTION('',(0.E0,0.E0,1.E0)); +#4768=VECTOR('',#4767,7.25E-1); +#4769=CARTESIAN_POINT('',(2.74E1,-4.907825257219E0,-2.E1)); +#4770=LINE('',#4769,#4768); +#4771=DIRECTION('',(0.E0,0.E0,1.E0)); +#4772=VECTOR('',#4771,7.25E-1); +#4773=CARTESIAN_POINT('',(2.52E1,-4.05E0,-2.E1)); +#4774=LINE('',#4773,#4772); +#4775=DIRECTION('',(0.E0,0.E0,1.E0)); +#4776=VECTOR('',#4775,7.25E-1); +#4777=CARTESIAN_POINT('',(2.27E1,-4.05E0,-2.E1)); +#4778=LINE('',#4777,#4776); +#4779=DIRECTION('',(1.E0,0.E0,0.E0)); +#4780=VECTOR('',#4779,3.099999999995E1); +#4781=CARTESIAN_POINT('',(-1.549999999998E1,-1.18E1,-5.E-1)); +#4782=LINE('',#4781,#4780); +#4783=DIRECTION('',(-1.456066036973E-12,0.E0,1.E0)); +#4784=VECTOR('',#4783,1.95E1); +#4785=CARTESIAN_POINT('',(1.55E1,-1.18E1,-2.E1)); +#4786=LINE('',#4785,#4784); +#4787=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4788=VECTOR('',#4787,3.1E1); +#4789=CARTESIAN_POINT('',(1.55E1,-1.18E1,-2.E1)); +#4790=LINE('',#4789,#4788); +#4791=CARTESIAN_POINT('',(-1.55E1,-1.13E1,-5.E-1)); +#4792=DIRECTION('',(1.E0,0.E0,0.E0)); +#4793=DIRECTION('',(0.E0,0.E0,1.E0)); +#4794=AXIS2_PLACEMENT_3D('',#4791,#4792,#4793); +#4796=CARTESIAN_POINT('',(-2.12E1,-5.6E0,-5.E-1)); +#4797=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4798=DIRECTION('',(0.E0,0.E0,1.E0)); +#4799=AXIS2_PLACEMENT_3D('',#4796,#4797,#4798); +#4801=CARTESIAN_POINT('',(-1.55E1,-5.6E0,-5.E-1)); +#4802=DIRECTION('',(0.E0,0.E0,1.E0)); +#4803=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4804=AXIS2_PLACEMENT_3D('',#4801,#4802,#4803); +#4806=DIRECTION('',(9.984036389655E-13,0.E0,1.E0)); +#4807=VECTOR('',#4806,1.95E1); +#4808=CARTESIAN_POINT('',(-1.55E1,-1.18E1,-2.E1)); +#4809=LINE('',#4808,#4807); +#4810=CARTESIAN_POINT('',(-1.55E1,-5.6E0,-2.E1)); +#4811=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4812=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4813=AXIS2_PLACEMENT_3D('',#4810,#4811,#4812); +#4815=DIRECTION('',(6.174831986723E-9,-3.360857339556E-9,-1.E0)); +#4816=VECTOR('',#4815,7.25E-1); +#4817=CARTESIAN_POINT('',(-1.899121887216E1,-1.072361110867E1,-1.9275E1)); +#4818=LINE('',#4817,#4816); +#4819=CARTESIAN_POINT('',(-1.55E1,-5.6E0,-1.9275E1)); +#4820=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4821=DIRECTION('',(-5.630998193969E-1,-8.263888875070E-1,0.E0)); +#4822=AXIS2_PLACEMENT_3D('',#4819,#4820,#4821); +#4824=DIRECTION('',(0.E0,0.E0,1.E0)); +#4825=VECTOR('',#4824,7.25E-1); +#4826=CARTESIAN_POINT('',(-1.955431868506E1,-1.055E1,-2.E1)); +#4827=LINE('',#4826,#4825); +#4828=DIRECTION('',(0.E0,0.E0,1.E0)); +#4829=VECTOR('',#4828,7.25E-1); +#4830=CARTESIAN_POINT('',(-2.52E1,-1.055E1,-2.E1)); +#4831=LINE('',#4830,#4829); +#4832=DIRECTION('',(0.E0,0.E0,1.E0)); +#4833=VECTOR('',#4832,7.25E-1); +#4834=CARTESIAN_POINT('',(-2.74E1,-9.692174742781E0,-2.E1)); +#4835=LINE('',#4834,#4833); +#4836=DIRECTION('',(0.E0,0.E0,1.E0)); +#4837=VECTOR('',#4836,7.25E-1); +#4838=CARTESIAN_POINT('',(-2.74E1,-4.907825257219E0,-2.E1)); +#4839=LINE('',#4838,#4837); +#4840=DIRECTION('',(0.E0,0.E0,1.E0)); +#4841=VECTOR('',#4840,7.25E-1); +#4842=CARTESIAN_POINT('',(-2.52E1,-4.05E0,-2.E1)); +#4843=LINE('',#4842,#4841); +#4844=DIRECTION('',(0.E0,0.E0,1.E0)); +#4845=VECTOR('',#4844,7.25E-1); +#4846=CARTESIAN_POINT('',(-2.27E1,-4.05E0,-2.E1)); +#4847=LINE('',#4846,#4845); +#4848=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4849=VECTOR('',#4848,1.119999999995E1); +#4850=CARTESIAN_POINT('',(-2.17E1,5.599999999981E0,-5.E-1)); +#4851=LINE('',#4850,#4849); +#4852=DIRECTION('',(0.E0,1.512292288734E-12,1.E0)); +#4853=VECTOR('',#4852,1.8775E1); +#4854=CARTESIAN_POINT('',(-2.17E1,-5.6E0,-1.9275E1)); +#4855=LINE('',#4854,#4853); +#4856=DIRECTION('',(0.E0,1.E0,0.E0)); +#4857=VECTOR('',#4856,2.55E0); +#4858=CARTESIAN_POINT('',(-2.17E1,-5.6E0,-1.9275E1)); +#4859=LINE('',#4858,#4857); +#4860=DIRECTION('',(0.E0,0.E0,1.E0)); +#4861=VECTOR('',#4860,7.25E-1); +#4862=CARTESIAN_POINT('',(-2.17E1,-3.05E0,-2.E1)); +#4863=LINE('',#4862,#4861); +#4864=DIRECTION('',(0.E0,1.E0,0.E0)); +#4865=VECTOR('',#4864,8.65E0); +#4866=CARTESIAN_POINT('',(-2.17E1,-3.05E0,-2.E1)); +#4867=LINE('',#4866,#4865); +#4868=CARTESIAN_POINT('',(-2.12E1,5.6E0,-5.E-1)); +#4869=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4870=DIRECTION('',(0.E0,0.E0,1.E0)); +#4871=AXIS2_PLACEMENT_3D('',#4868,#4869,#4870); +#4873=CARTESIAN_POINT('',(-1.55E1,1.13E1,-5.E-1)); +#4874=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4875=DIRECTION('',(0.E0,0.E0,1.E0)); +#4876=AXIS2_PLACEMENT_3D('',#4873,#4874,#4875); +#4878=CARTESIAN_POINT('',(-1.55E1,5.6E0,-5.E-1)); +#4879=DIRECTION('',(0.E0,0.E0,1.E0)); +#4880=DIRECTION('',(0.E0,1.E0,0.E0)); +#4881=AXIS2_PLACEMENT_3D('',#4878,#4879,#4880); +#4883=DIRECTION('',(0.E0,-9.985402817993E-13,1.E0)); +#4884=VECTOR('',#4883,1.95E1); +#4885=CARTESIAN_POINT('',(-2.17E1,5.6E0,-2.E1)); +#4886=LINE('',#4885,#4884); +#4887=CARTESIAN_POINT('',(-1.55E1,5.6E0,-2.E1)); +#4888=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4889=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4890=AXIS2_PLACEMENT_3D('',#4887,#4888,#4889); +#4892=DIRECTION('',(3.249875464525E-10,-8.552191598577E-9,-1.E0)); +#4893=VECTOR('',#4892,7.25E-1); +#4894=CARTESIAN_POINT('',(-2.164579409929E1,6.418055561756E0,-1.9275E1)); +#4895=LINE('',#4894,#4893); +#4896=CARTESIAN_POINT('',(-1.55E1,5.6E0,-1.9275E1)); +#4897=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4898=DIRECTION('',(-9.912571123610E-1,1.319444473775E-1,0.E0)); +#4899=AXIS2_PLACEMENT_3D('',#4896,#4897,#4898); +#4901=DIRECTION('',(3.024395351705E-12,0.E0,1.E0)); +#4902=VECTOR('',#4901,1.8775E1); +#4903=CARTESIAN_POINT('',(-1.55E1,1.18E1,-1.9275E1)); +#4904=LINE('',#4903,#4902); +#4905=DIRECTION('',(0.E0,0.E0,1.E0)); +#4906=VECTOR('',#4905,7.25E-1); +#4907=CARTESIAN_POINT('',(-2.263705121181E1,7.55E0,-2.E1)); +#4908=LINE('',#4907,#4906); +#4909=DIRECTION('',(0.E0,0.E0,1.E0)); +#4910=VECTOR('',#4909,7.25E-1); +#4911=CARTESIAN_POINT('',(-2.37E1,7.55E0,-2.E1)); +#4912=LINE('',#4911,#4910); +#4913=DIRECTION('',(0.E0,0.E0,1.E0)); +#4914=VECTOR('',#4913,7.25E-1); +#4915=CARTESIAN_POINT('',(-2.604520787991E1,1.305E1,-2.E1)); +#4916=LINE('',#4915,#4914); +#4917=DIRECTION('',(0.E0,0.E0,1.E0)); +#4918=VECTOR('',#4917,7.25E-1); +#4919=CARTESIAN_POINT('',(-2.135479212009E1,1.305E1,-2.E1)); +#4920=LINE('',#4919,#4918); +#4921=DIRECTION('',(0.E0,0.E0,1.E0)); +#4922=VECTOR('',#4921,7.25E-1); +#4923=CARTESIAN_POINT('',(-2.083235294118E1,1.232941176471E1,-2.E1)); +#4924=LINE('',#4923,#4922); +#4925=DIRECTION('',(0.E0,0.E0,1.E0)); +#4926=VECTOR('',#4925,7.25E-1); +#4927=CARTESIAN_POINT('',(-1.995E1,1.18E1,-2.E1)); +#4928=LINE('',#4927,#4926); +#4929=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#4930=CARTESIAN_POINT('',(-4.5E0,1.18E1,-3.330150135381E-1)); +#4931=CARTESIAN_POINT('',(-4.511064071068E0,1.167353708901E1, +-1.259910193835E-1)); +#4932=CARTESIAN_POINT('',(-4.529190631240E0,1.146634955817E1, +-1.491361706599E-14)); +#4933=CARTESIAN_POINT('',(-4.543744331763E0,1.13E1,0.E0)); +#4935=CARTESIAN_POINT('',(-4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#4936=CARTESIAN_POINT('',(-4.491875178219E0,1.189286713790E1, +-1.810909189136E-1)); +#4937=CARTESIAN_POINT('',(-4.503014222821E0,1.176554727551E1, +-5.594389734846E-2)); +#4938=CARTESIAN_POINT('',(-4.517832100833E0,1.159617815481E1,0.E0)); +#4939=CARTESIAN_POINT('',(-4.526728026742E0,1.149449725639E1,0.E0)); +#4941=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4942=VECTOR('',#4941,1.5E0); +#4943=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-3.9E0)); +#4944=LINE('',#4943,#4942); +#4945=DIRECTION('',(3.989075718141E-2,4.559534409803E-1,-8.891092099116E-1)); +#4946=VECTOR('',#4945,4.080666675536E0); +#4947=CARTESIAN_POINT('',(-4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#4948=LINE('',#4947,#4946); +#4949=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4950=VECTOR('',#4949,1.775607112880E0); +#4951=CARTESIAN_POINT('',(4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#4952=LINE('',#4951,#4950); +#4953=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4954=VECTOR('',#4953,1.775607112880E0); +#4955=CARTESIAN_POINT('',(-2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#4956=LINE('',#4955,#4954); +#4957=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4958=VECTOR('',#4957,1.450045345896E0); +#4959=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-3.9E0)); +#4960=LINE('',#4959,#4958); +#4961=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4962=VECTOR('',#4961,1.5E0); +#4963=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-3.9E0)); +#4964=LINE('',#4963,#4962); +#4965=DIRECTION('',(-8.715574274766E-2,9.961946980917E-1,0.E0)); +#4966=VECTOR('',#4965,2.007639675087E0); +#4967=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.4E0)); +#4968=LINE('',#4967,#4966); +#4969=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4970=VECTOR('',#4969,1.450045345896E0); +#4971=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-5.4E0)); +#4972=LINE('',#4971,#4970); +#4973=DIRECTION('',(-8.715574274766E-2,-9.961946980917E-1,0.E0)); +#4974=VECTOR('',#4973,2.007639675087E0); +#4975=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-5.4E0)); +#4976=LINE('',#4975,#4974); +#4977=DIRECTION('',(-8.715574274766E-2,9.961946980917E-1,0.E0)); +#4978=VECTOR('',#4977,2.007639675087E0); +#4979=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.4E0)); +#4980=LINE('',#4979,#4978); +#4981=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4982=VECTOR('',#4981,1.450045345896E0); +#4983=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-5.4E0)); +#4984=LINE('',#4983,#4982); +#4985=DIRECTION('',(-8.715574274766E-2,-9.961946980917E-1,0.E0)); +#4986=VECTOR('',#4985,2.007639675087E0); +#4987=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-5.4E0)); +#4988=LINE('',#4987,#4986); +#4989=CARTESIAN_POINT('',(-2.673271973258E0,1.149449725639E1,0.E0)); +#4990=CARTESIAN_POINT('',(-2.682167899167E0,1.159617815481E1,0.E0)); +#4991=CARTESIAN_POINT('',(-2.696985777179E0,1.176554727551E1, +-5.594389734846E-2)); +#4992=CARTESIAN_POINT('',(-2.708124821781E0,1.189286713790E1, +-1.810909189136E-1)); +#4993=CARTESIAN_POINT('',(-2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#4995=DIRECTION('',(-3.989075718142E-2,4.559534409803E-1,-8.891092099116E-1)); +#4996=VECTOR('',#4995,4.080666675536E0); +#4997=CARTESIAN_POINT('',(-2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#4998=LINE('',#4997,#4996); +#4999=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5000=VECTOR('',#4999,1.450045345896E0); +#5001=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-3.9E0)); +#5002=LINE('',#5001,#5000); +#5003=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5004=VECTOR('',#5003,1.5E0); +#5005=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-3.9E0)); +#5006=LINE('',#5005,#5004); +#5007=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5008=VECTOR('',#5007,1.E0); +#5009=CARTESIAN_POINT('',(-2.2615E1,1.08E1,-1.9275E1)); +#5010=LINE('',#5009,#5008); +#5011=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5012=VECTOR('',#5011,1.E0); +#5013=CARTESIAN_POINT('',(-2.4785E1,1.08E1,-1.9275E1)); +#5014=LINE('',#5013,#5012); +#5015=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.0275E1)); +#5016=DIRECTION('',(0.E0,0.E0,1.E0)); +#5017=DIRECTION('',(1.E0,0.E0,0.E0)); +#5018=AXIS2_PLACEMENT_3D('',#5015,#5016,#5017); +#5020=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.0275E1)); +#5021=DIRECTION('',(0.E0,0.E0,1.E0)); +#5022=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5023=AXIS2_PLACEMENT_3D('',#5020,#5021,#5022); +#5025=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-2.0275E1)); +#5026=DIRECTION('',(0.E0,0.E0,1.E0)); +#5027=DIRECTION('',(1.E0,0.E0,0.E0)); +#5028=AXIS2_PLACEMENT_3D('',#5025,#5026,#5027); +#5030=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-2.0275E1)); +#5031=DIRECTION('',(0.E0,0.E0,1.E0)); +#5032=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5033=AXIS2_PLACEMENT_3D('',#5030,#5031,#5032); +#5035=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.0275E1)); +#5036=DIRECTION('',(0.E0,0.E0,1.E0)); +#5037=DIRECTION('',(1.E0,0.E0,0.E0)); +#5038=AXIS2_PLACEMENT_3D('',#5035,#5036,#5037); +#5040=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.0275E1)); +#5041=DIRECTION('',(0.E0,0.E0,1.E0)); +#5042=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5043=AXIS2_PLACEMENT_3D('',#5040,#5041,#5042); +#5045=CARTESIAN_POINT('',(2.52E1,-7.3E0,-2.0275E1)); +#5046=DIRECTION('',(0.E0,0.E0,1.E0)); +#5047=DIRECTION('',(1.E0,0.E0,0.E0)); +#5048=AXIS2_PLACEMENT_3D('',#5045,#5046,#5047); +#5050=CARTESIAN_POINT('',(2.52E1,-7.3E0,-2.0275E1)); +#5051=DIRECTION('',(0.E0,0.E0,1.E0)); +#5052=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5053=AXIS2_PLACEMENT_3D('',#5050,#5051,#5052); +#5055=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5056=VECTOR('',#5055,1.E0); +#5057=CARTESIAN_POINT('',(-2.4115E1,-7.3E0,-1.9275E1)); +#5058=LINE('',#5057,#5056); +#5059=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5060=VECTOR('',#5059,1.E0); +#5061=CARTESIAN_POINT('',(-2.6285E1,-7.3E0,-1.9275E1)); +#5062=LINE('',#5061,#5060); +#5063=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5064=VECTOR('',#5063,1.E0); +#5065=CARTESIAN_POINT('',(2.4785E1,1.08E1,-1.9275E1)); +#5066=LINE('',#5065,#5064); +#5067=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5068=VECTOR('',#5067,1.E0); +#5069=CARTESIAN_POINT('',(2.2615E1,1.08E1,-1.9275E1)); +#5070=LINE('',#5069,#5068); +#5071=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5072=VECTOR('',#5071,1.E0); +#5073=CARTESIAN_POINT('',(2.6285E1,-7.3E0,-1.9275E1)); +#5074=LINE('',#5073,#5072); +#5075=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5076=VECTOR('',#5075,1.E0); +#5077=CARTESIAN_POINT('',(2.4115E1,-7.3E0,-1.9275E1)); +#5078=LINE('',#5077,#5076); +#5079=CARTESIAN_POINT('',(3.031665884668E1,1.195909054233E1,-2.049999999813E1)); +#5080=CARTESIAN_POINT('',(3.031667018160E1,1.195908408439E1,-2.045686904292E1)); +#5081=CARTESIAN_POINT('',(3.030493469182E1,1.196075386502E1,-2.037048896654E1)); +#5082=CARTESIAN_POINT('',(3.025303632886E1,1.196828563142E1,-2.024926514133E1)); +#5083=CARTESIAN_POINT('',(3.016975978748E1,1.198090759579E1,-2.014454531937E1)); +#5084=CARTESIAN_POINT('',(3.005996186014E1,1.199869528994E1,-2.006346086293E1)); +#5085=CARTESIAN_POINT('',(2.992967914164E1,1.202170473609E1,-2.001183054969E1)); +#5086=CARTESIAN_POINT('',(2.983523614450E1,1.204013477647E1,-1.999999491946E1)); +#5087=CARTESIAN_POINT('',(2.978748047070E1,1.205000163814E1,-2.000000315252E1)); +#5089=CARTESIAN_POINT('',(3.039999917544E1,1.176143551385E1,-2.049999998783E1)); +#5090=CARTESIAN_POINT('',(3.039999917544E1,1.176145257742E1,-2.044906383241E1)); +#5091=CARTESIAN_POINT('',(3.038438935209E1,1.176427255764E1,-2.035270394399E1)); +#5092=CARTESIAN_POINT('',(3.032955674417E1,1.177497175590E1,-2.023470937277E1)); +#5093=CARTESIAN_POINT('',(3.025824291917E1,1.179071485829E1,-2.014576860460E1)); +#5094=CARTESIAN_POINT('',(3.017883816815E1,1.181110835163E1,-2.008113336148E1)); +#5095=CARTESIAN_POINT('',(3.009631085791E1,1.183688862890E1,-2.003742740851E1)); +#5096=CARTESIAN_POINT('',(3.002042801165E1,1.186687157039E1,-2.001290284705E1)); +#5097=CARTESIAN_POINT('',(2.995401126350E1,1.190130590009E1,-2.000164410527E1)); +#5098=CARTESIAN_POINT('',(2.991639416365E1,1.192859702588E1,-2.E1)); +#5099=CARTESIAN_POINT('',(2.99E1,1.194378123506E1,-2.E1)); +#5101=CARTESIAN_POINT('',(2.99E1,1.194378123506E1,-2.E1)); +#5102=CARTESIAN_POINT('',(2.988083658223E1,1.196153031640E1,-2.E1)); +#5103=CARTESIAN_POINT('',(2.984303497929E1,1.199711194974E1,-2.000000001385E1)); +#5104=CARTESIAN_POINT('',(2.980592725052E1,1.203245189144E1,-1.999999997230E1)); +#5105=CARTESIAN_POINT('',(2.978748047070E1,1.205000163814E1,-2.000000315252E1)); +#5107=DIRECTION('',(-1.237689555538E-6,1.750291108809E-6,9.999999999977E-1)); +#5108=VECTOR('',#5107,1.000000011999E0); +#5109=CARTESIAN_POINT('',(3.040000041313E1,1.176143376356E1,-2.149999999983E1)); +#5110=LINE('',#5109,#5108); +#5111=CARTESIAN_POINT('',(3.080832691320E1,1.205E1,-2.049999998232E1)); +#5112=DIRECTION('',(0.E0,0.E0,1.E0)); +#5113=DIRECTION('',(-9.833321660356E-1,-1.818181818182E-1,0.E0)); +#5114=AXIS2_PLACEMENT_3D('',#5111,#5112,#5113); +#5116=DIRECTION('',(-1.089331581308E-6,-3.716558343648E-7,9.999999999993E-1)); +#5117=VECTOR('',#5116,1.000000001699E0); +#5118=CARTESIAN_POINT('',(3.031665993601E1,1.195909091399E1,-2.149999999983E1)); +#5119=LINE('',#5118,#5117); +#5120=CARTESIAN_POINT('',(2.54E1,1.105E1,-2.05E1)); +#5121=DIRECTION('',(0.E0,0.E0,1.E0)); +#5122=DIRECTION('',(9.833321660356E-1,1.818181818182E-1,0.E0)); +#5123=AXIS2_PLACEMENT_3D('',#5120,#5121,#5122); +#5125=DIRECTION('',(-9.347900231660E-11,0.E0,-1.E0)); +#5126=VECTOR('',#5125,1.E0); +#5127=CARTESIAN_POINT('',(2.539999999994E1,1.605E1,-2.05E1)); +#5128=LINE('',#5127,#5126); +#5129=CARTESIAN_POINT('',(2.54E1,1.105E1,-2.15E1)); +#5130=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5131=DIRECTION('',(0.E0,1.E0,0.E0)); +#5132=AXIS2_PLACEMENT_3D('',#5129,#5130,#5131); +#5134=DIRECTION('',(1.E0,0.E0,0.E0)); +#5135=VECTOR('',#5134,5.079999999923E1); +#5136=CARTESIAN_POINT('',(-2.539999999938E1,1.605E1,-2.15E1)); +#5137=LINE('',#5136,#5135); +#5138=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5139=VECTOR('',#5138,5.079999999985E1); +#5140=CARTESIAN_POINT('',(2.539999999994E1,1.605E1,-2.05E1)); +#5141=LINE('',#5140,#5139); +#5142=DIRECTION('',(5.274110037590E-10,0.E0,-1.E0)); +#5143=VECTOR('',#5142,1.E0); +#5144=CARTESIAN_POINT('',(-2.539999999991E1,1.605E1,-2.05E1)); +#5145=LINE('',#5144,#5143); +#5146=CARTESIAN_POINT('',(-2.54E1,1.555E1,-2.15E1)); +#5147=DIRECTION('',(1.E0,0.E0,0.E0)); +#5148=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5149=AXIS2_PLACEMENT_3D('',#5146,#5147,#5148); +#5151=DIRECTION('',(1.E0,0.E0,0.E0)); +#5152=VECTOR('',#5151,3.04E1); +#5153=CARTESIAN_POINT('',(-1.52E1,7.E0,-2.2E1)); +#5154=LINE('',#5153,#5152); +#5155=DIRECTION('',(0.E0,1.E0,0.E0)); +#5156=VECTOR('',#5155,1.058749886678E1); +#5157=CARTESIAN_POINT('',(1.67E1,-5.087498866778E0,-2.2E1)); +#5158=LINE('',#5157,#5156); +#5159=DIRECTION('',(5.294974447832E-1,8.483115323795E-1,0.E0)); +#5160=VECTOR('',#5159,2.254479704946E0); +#5161=CARTESIAN_POINT('',(1.550625875692E1,-7.E0,-2.2E1)); +#5162=LINE('',#5161,#5160); +#5163=DIRECTION('',(1.E0,0.E0,0.E0)); +#5164=VECTOR('',#5163,7.562587569153E-1); +#5165=CARTESIAN_POINT('',(1.475E1,-7.E0,-2.2E1)); +#5166=LINE('',#5165,#5164); +#5167=DIRECTION('',(0.E0,1.E0,0.E0)); +#5168=VECTOR('',#5167,2.944486372867E0); +#5169=CARTESIAN_POINT('',(1.475E1,-9.944486372867E0,-2.2E1)); +#5170=LINE('',#5169,#5168); +#5171=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5172=VECTOR('',#5171,2.95E1); +#5173=CARTESIAN_POINT('',(1.475E1,-9.944486372867E0,-2.2E1)); +#5174=LINE('',#5173,#5172); +#5175=DIRECTION('',(0.E0,1.E0,0.E0)); +#5176=VECTOR('',#5175,2.944486372867E0); +#5177=CARTESIAN_POINT('',(-1.475E1,-9.944486372867E0,-2.2E1)); +#5178=LINE('',#5177,#5176); +#5179=DIRECTION('',(1.E0,0.E0,0.E0)); +#5180=VECTOR('',#5179,7.562587569153E-1); +#5181=CARTESIAN_POINT('',(-1.550625875692E1,-7.E0,-2.2E1)); +#5182=LINE('',#5181,#5180); +#5183=DIRECTION('',(5.294974447832E-1,-8.483115323795E-1,0.E0)); +#5184=VECTOR('',#5183,2.254479704946E0); +#5185=CARTESIAN_POINT('',(-1.67E1,-5.087498866778E0,-2.2E1)); +#5186=LINE('',#5185,#5184); +#5187=DIRECTION('',(0.E0,1.E0,0.E0)); +#5188=VECTOR('',#5187,1.058749886678E1); +#5189=CARTESIAN_POINT('',(-1.67E1,-5.087498866778E0,-2.2E1)); +#5190=LINE('',#5189,#5188); +#5191=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-2.2E1)); +#5192=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5193=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#5194=AXIS2_PLACEMENT_3D('',#5191,#5192,#5193); +#5196=DIRECTION('',(1.E0,0.E0,0.E0)); +#5197=VECTOR('',#5196,4.108207765149E0); +#5198=CARTESIAN_POINT('',(-2.215820776515E1,-5.568671030682E0,-2.2E1)); +#5199=LINE('',#5198,#5197); +#5200=DIRECTION('',(5.294974447832E-1,-8.483115323795E-1,0.E0)); +#5201=VECTOR('',#5200,2.983961519676E0); +#5202=CARTESIAN_POINT('',(-1.805E1,-5.568671030682E0,-2.2E1)); +#5203=LINE('',#5202,#5201); +#5204=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5205=VECTOR('',#5204,1.15E0); +#5206=CARTESIAN_POINT('',(-1.647E1,-8.1E0,-2.2E1)); +#5207=LINE('',#5206,#5205); +#5208=DIRECTION('',(-7.071067811865E-1,-7.071067811866E-1,0.E0)); +#5209=VECTOR('',#5208,4.242640687119E-1); +#5210=CARTESIAN_POINT('',(-1.647E1,-9.25E0,-2.2E1)); +#5211=LINE('',#5210,#5209); +#5212=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5213=VECTOR('',#5212,1.1E0); +#5214=CARTESIAN_POINT('',(-1.677E1,-9.55E0,-2.2E1)); +#5215=LINE('',#5214,#5213); +#5216=DIRECTION('',(7.071067811866E-1,-7.071067811865E-1,0.E0)); +#5217=VECTOR('',#5216,4.242640687119E-1); +#5218=CARTESIAN_POINT('',(-1.677E1,-1.065E1,-2.2E1)); +#5219=LINE('',#5218,#5217); +#5220=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5221=VECTOR('',#5220,6.5E-1); +#5222=CARTESIAN_POINT('',(-1.647E1,-1.095E1,-2.2E1)); +#5223=LINE('',#5222,#5221); +#5224=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5225=VECTOR('',#5224,1.3E0); +#5226=CARTESIAN_POINT('',(-1.647E1,-1.16E1,-2.2E1)); +#5227=LINE('',#5226,#5225); +#5228=DIRECTION('',(0.E0,1.E0,0.E0)); +#5229=VECTOR('',#5228,6.5E-1); +#5230=CARTESIAN_POINT('',(-1.777E1,-1.16E1,-2.2E1)); +#5231=LINE('',#5230,#5229); +#5232=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5233=VECTOR('',#5232,4.98E0); +#5234=CARTESIAN_POINT('',(-1.777E1,-1.095E1,-2.2E1)); +#5235=LINE('',#5234,#5233); +#5236=DIRECTION('',(0.E0,1.E0,0.E0)); +#5237=VECTOR('',#5236,1.5E-1); +#5238=CARTESIAN_POINT('',(-2.275E1,-1.095E1,-2.2E1)); +#5239=LINE('',#5238,#5237); +#5240=DIRECTION('',(-1.E0,1.635625875133E-11,0.E0)); +#5241=VECTOR('',#5240,2.449999999955E0); +#5242=CARTESIAN_POINT('',(-2.275E1,-1.08E1,-2.2E1)); +#5243=LINE('',#5242,#5241); +#5244=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-2.2E1)); +#5245=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5246=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5247=AXIS2_PLACEMENT_3D('',#5244,#5245,#5246); +#5249=CARTESIAN_POINT('',(-2.879687364248E1,-9.05E0,-2.2E1)); +#5250=DIRECTION('',(0.E0,0.E0,1.E0)); +#5251=DIRECTION('',(8.992184106211E-1,4.375E-1,0.E0)); +#5252=AXIS2_PLACEMENT_3D('',#5249,#5250,#5251); +#5254=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5255=VECTOR('',#5254,1.103126358555E0); +#5256=CARTESIAN_POINT('',(-2.879687364248E1,-8.55E0,-2.2E1)); +#5257=LINE('',#5256,#5255); +#5258=DIRECTION('',(-4.156348154538E-10,1.E0,0.E0)); +#5259=VECTOR('',#5258,2.5E0); +#5260=CARTESIAN_POINT('',(-2.99E1,-1.105E1,-2.2E1)); +#5261=LINE('',#5260,#5259); +#5262=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-2.2E1)); +#5263=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5264=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5265=AXIS2_PLACEMENT_3D('',#5262,#5263,#5264); +#5267=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5268=VECTOR('',#5267,5.08E1); +#5269=CARTESIAN_POINT('',(2.54E1,-1.555E1,-2.2E1)); +#5270=LINE('',#5269,#5268); +#5271=CARTESIAN_POINT('',(2.54E1,-1.105E1,-2.2E1)); +#5272=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5273=DIRECTION('',(1.E0,0.E0,0.E0)); +#5274=AXIS2_PLACEMENT_3D('',#5271,#5272,#5273); +#5276=DIRECTION('',(-6.503782401524E-10,-1.E0,0.E0)); +#5277=VECTOR('',#5276,2.5E0); +#5278=CARTESIAN_POINT('',(2.990000000163E1,-8.55E0,-2.2E1)); +#5279=LINE('',#5278,#5277); +#5280=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5281=VECTOR('',#5280,1.103126359141E0); +#5282=CARTESIAN_POINT('',(2.990000000163E1,-8.55E0,-2.2E1)); +#5283=LINE('',#5282,#5281); +#5284=CARTESIAN_POINT('',(2.879687364248E1,-9.05E0,-2.2E1)); +#5285=DIRECTION('',(0.E0,0.E0,1.E0)); +#5286=DIRECTION('',(0.E0,1.E0,0.E0)); +#5287=AXIS2_PLACEMENT_3D('',#5284,#5285,#5286); +#5289=CARTESIAN_POINT('',(2.52E1,-7.3E0,-2.2E1)); +#5290=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5291=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#5292=AXIS2_PLACEMENT_3D('',#5289,#5290,#5291); +#5294=DIRECTION('',(-1.E0,-3.851576654087E-11,0.E0)); +#5295=VECTOR('',#5294,2.449999999935E0); +#5296=CARTESIAN_POINT('',(2.519999999993E1,-1.079999999991E1,-2.2E1)); +#5297=LINE('',#5296,#5295); +#5298=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5299=VECTOR('',#5298,1.5E-1); +#5300=CARTESIAN_POINT('',(2.275E1,-1.08E1,-2.2E1)); +#5301=LINE('',#5300,#5299); +#5302=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5303=VECTOR('',#5302,4.98E0); +#5304=CARTESIAN_POINT('',(2.275E1,-1.095E1,-2.2E1)); +#5305=LINE('',#5304,#5303); +#5306=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5307=VECTOR('',#5306,6.5E-1); +#5308=CARTESIAN_POINT('',(1.777E1,-1.095E1,-2.2E1)); +#5309=LINE('',#5308,#5307); +#5310=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5311=VECTOR('',#5310,1.3E0); +#5312=CARTESIAN_POINT('',(1.777E1,-1.16E1,-2.2E1)); +#5313=LINE('',#5312,#5311); +#5314=DIRECTION('',(0.E0,1.E0,0.E0)); +#5315=VECTOR('',#5314,6.5E-1); +#5316=CARTESIAN_POINT('',(1.647E1,-1.16E1,-2.2E1)); +#5317=LINE('',#5316,#5315); +#5318=DIRECTION('',(7.071067811866E-1,7.071067811865E-1,0.E0)); +#5319=VECTOR('',#5318,4.242640687119E-1); +#5320=CARTESIAN_POINT('',(1.647E1,-1.095E1,-2.2E1)); +#5321=LINE('',#5320,#5319); +#5322=DIRECTION('',(0.E0,1.E0,0.E0)); +#5323=VECTOR('',#5322,1.1E0); +#5324=CARTESIAN_POINT('',(1.677E1,-1.065E1,-2.2E1)); +#5325=LINE('',#5324,#5323); +#5326=DIRECTION('',(-7.071067811865E-1,7.071067811866E-1,0.E0)); +#5327=VECTOR('',#5326,4.242640687119E-1); +#5328=CARTESIAN_POINT('',(1.677E1,-9.55E0,-2.2E1)); +#5329=LINE('',#5328,#5327); +#5330=DIRECTION('',(0.E0,1.E0,0.E0)); +#5331=VECTOR('',#5330,1.15E0); +#5332=CARTESIAN_POINT('',(1.647E1,-9.25E0,-2.2E1)); +#5333=LINE('',#5332,#5331); +#5334=DIRECTION('',(5.294974447832E-1,8.483115323795E-1,0.E0)); +#5335=VECTOR('',#5334,2.983961519676E0); +#5336=CARTESIAN_POINT('',(1.647E1,-8.1E0,-2.2E1)); +#5337=LINE('',#5336,#5335); +#5338=DIRECTION('',(1.E0,0.E0,0.E0)); +#5339=VECTOR('',#5338,4.108207765149E0); +#5340=CARTESIAN_POINT('',(1.805E1,-5.568671030682E0,-2.2E1)); +#5341=LINE('',#5340,#5339); +#5342=CARTESIAN_POINT('',(2.52E1,-7.3E0,-2.2E1)); +#5343=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5344=DIRECTION('',(-8.690834956717E-1,4.946654198052E-1,0.E0)); +#5345=AXIS2_PLACEMENT_3D('',#5342,#5343,#5344); +#5347=CARTESIAN_POINT('',(2.879687364248E1,-5.55E0,-2.2E1)); +#5348=DIRECTION('',(0.E0,0.E0,1.E0)); +#5349=DIRECTION('',(-8.992184106211E-1,-4.375E-1,0.E0)); +#5350=AXIS2_PLACEMENT_3D('',#5347,#5348,#5349); +#5352=DIRECTION('',(1.E0,0.E0,0.E0)); +#5353=VECTOR('',#5352,1.103126358555E0); +#5354=CARTESIAN_POINT('',(2.879687364248E1,-6.05E0,-2.2E1)); +#5355=LINE('',#5354,#5353); +#5356=DIRECTION('',(-3.761891083543E-11,-1.E0,0.E0)); +#5357=VECTOR('',#5356,1.56E1); +#5358=CARTESIAN_POINT('',(2.990000000163E1,9.55E0,-2.2E1)); +#5359=LINE('',#5358,#5357); +#5360=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5361=VECTOR('',#5360,2.603126359142E0); +#5362=CARTESIAN_POINT('',(2.990000000163E1,9.55E0,-2.2E1)); +#5363=LINE('',#5362,#5361); +#5364=CARTESIAN_POINT('',(2.729687364248E1,9.05E0,-2.2E1)); +#5365=DIRECTION('',(0.E0,0.E0,1.E0)); +#5366=DIRECTION('',(0.E0,1.E0,0.E0)); +#5367=AXIS2_PLACEMENT_3D('',#5364,#5365,#5366); +#5369=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.2E1)); +#5370=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5371=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#5372=AXIS2_PLACEMENT_3D('',#5369,#5370,#5371); +#5374=CARTESIAN_POINT('',(2.729687364248E1,1.255E1,-2.2E1)); +#5375=DIRECTION('',(0.E0,0.E0,1.E0)); +#5376=DIRECTION('',(-8.992184106212E-1,-4.374999999998E-1,0.E0)); +#5377=AXIS2_PLACEMENT_3D('',#5374,#5375,#5376); +#5379=DIRECTION('',(9.999999999993E-1,1.143590253122E-6,-1.297208136172E-11)); +#5380=VECTOR('',#5379,2.490608661334E0); +#5381=CARTESIAN_POINT('',(2.729687364248E1,1.205E1,-2.2E1)); +#5382=LINE('',#5381,#5380); +#5383=CARTESIAN_POINT('',(2.54E1,1.105E1,-2.2E1)); +#5384=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5385=DIRECTION('',(0.E0,1.E0,0.E0)); +#5386=AXIS2_PLACEMENT_3D('',#5383,#5384,#5385); +#5388=DIRECTION('',(1.E0,0.E0,0.E0)); +#5389=VECTOR('',#5388,5.08E1); +#5390=CARTESIAN_POINT('',(-2.54E1,1.555E1,-2.2E1)); +#5391=LINE('',#5390,#5389); +#5392=CARTESIAN_POINT('',(-2.54E1,1.105E1,-2.2E1)); +#5393=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5394=DIRECTION('',(-9.749960506052E-1,2.222221890457E-1,0.E0)); +#5395=AXIS2_PLACEMENT_3D('',#5392,#5393,#5394); +#5397=DIRECTION('',(9.999999999988E-1,-1.533363130506E-6,2.330381435678E-11)); +#5398=VECTOR('',#5397,2.490608725334E0); +#5399=CARTESIAN_POINT('',(-2.978748236782E1,1.205000381901E1, +-2.200000000006E1)); +#5400=LINE('',#5399,#5398); +#5401=CARTESIAN_POINT('',(-2.729687364248E1,1.255E1,-2.2E1)); +#5402=DIRECTION('',(0.E0,0.E0,1.E0)); +#5403=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5404=AXIS2_PLACEMENT_3D('',#5401,#5402,#5403); +#5406=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.2E1)); +#5407=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5408=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#5409=AXIS2_PLACEMENT_3D('',#5406,#5407,#5408); +#5411=CARTESIAN_POINT('',(-2.729687364248E1,9.05E0,-2.2E1)); +#5412=DIRECTION('',(0.E0,0.E0,1.E0)); +#5413=DIRECTION('',(8.992184106212E-1,4.374999999999E-1,0.E0)); +#5414=AXIS2_PLACEMENT_3D('',#5411,#5412,#5413); +#5416=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5417=VECTOR('',#5416,2.603126358555E0); +#5418=CARTESIAN_POINT('',(-2.729687364248E1,9.55E0,-2.2E1)); +#5419=LINE('',#5418,#5417); +#5420=DIRECTION('',(3.761913857348E-11,1.E0,0.E0)); +#5421=VECTOR('',#5420,1.56E1); +#5422=CARTESIAN_POINT('',(-2.990000000163E1,-6.05E0,-2.2E1)); +#5423=LINE('',#5422,#5421); +#5424=DIRECTION('',(1.E0,0.E0,0.E0)); +#5425=VECTOR('',#5424,1.103126359141E0); +#5426=CARTESIAN_POINT('',(-2.990000000163E1,-6.05E0,-2.2E1)); +#5427=LINE('',#5426,#5425); +#5428=CARTESIAN_POINT('',(-2.879687364248E1,-5.55E0,-2.2E1)); +#5429=DIRECTION('',(0.E0,0.E0,1.E0)); +#5430=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5431=AXIS2_PLACEMENT_3D('',#5428,#5429,#5430); +#5433=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5434=VECTOR('',#5433,3.E0); +#5435=CARTESIAN_POINT('',(-1.52E1,7.E0,-2.2E1)); +#5436=LINE('',#5435,#5434); +#5437=DIRECTION('',(1.E0,0.E0,0.E0)); +#5438=VECTOR('',#5437,3.04E1); +#5439=CARTESIAN_POINT('',(-1.52E1,7.E0,-2.5E1)); +#5440=LINE('',#5439,#5438); +#5441=DIRECTION('',(0.E0,0.E0,1.E0)); +#5442=VECTOR('',#5441,3.E0); +#5443=CARTESIAN_POINT('',(1.52E1,7.E0,-2.5E1)); +#5444=LINE('',#5443,#5442); +#5445=CARTESIAN_POINT('',(-1.52E1,5.5E0,-2.2E1)); +#5446=DIRECTION('',(0.E0,0.E0,1.E0)); +#5447=DIRECTION('',(0.E0,1.E0,0.E0)); +#5448=AXIS2_PLACEMENT_3D('',#5445,#5446,#5447); +#5450=CARTESIAN_POINT('',(1.460012164638E1,4.249707848397E0,-3.315E1)); +#5451=CARTESIAN_POINT('',(1.461561706366E1,4.212467788101E0,-3.326035427318E1)); +#5452=CARTESIAN_POINT('',(1.463460047671E1,4.13499884E0,-3.348991967649E1)); +#5453=CARTESIAN_POINT('',(1.464328700531E1,4.010384615148E0,-3.385919169436E1)); +#5454=CARTESIAN_POINT('',(1.464305903081E1,3.922184923290E0,-3.412055574189E1)); +#5455=CARTESIAN_POINT('',(1.464098518058E1,3.876763209470E0,-3.425515488527E1)); +#5457=DIRECTION('',(1.E0,0.E0,0.E0)); +#5458=VECTOR('',#5457,2.059014819423E0); +#5459=CARTESIAN_POINT('',(1.464098518058E1,3.876763209470E0,-3.425515488527E1)); +#5460=LINE('',#5459,#5458); +#5461=CARTESIAN_POINT('',(1.52E1,7.E0,-2.5E1)); +#5462=CARTESIAN_POINT('',(1.532898446670E1,7.E0,-2.5E1)); +#5463=CARTESIAN_POINT('',(1.550533120960E1,6.973901802102E0,-2.507733735223E1)); +#5464=CARTESIAN_POINT('',(1.577702224398E1,6.895805366208E0,-2.530876220365E1)); +#5465=CARTESIAN_POINT('',(1.602003942988E1,6.767298645021E0,-2.568956893978E1)); +#5466=CARTESIAN_POINT('',(1.624148344490E1,6.590652036925E0,-2.621302964018E1)); +#5467=CARTESIAN_POINT('',(1.641050286793E1,6.397242985083E0,-2.678616285136E1)); +#5468=CARTESIAN_POINT('',(1.653862439194E1,6.190757079320E0,-2.739804698555E1)); +#5469=CARTESIAN_POINT('',(1.666045770987E1,5.899776563953E0,-2.826031581720E1)); +#5470=CARTESIAN_POINT('',(1.67E1,5.664967988078E0,-2.895612913007E1)); +#5471=CARTESIAN_POINT('',(1.67E1,5.5E0,-2.944498232411E1)); +#5473=CARTESIAN_POINT('',(-1.67E1,5.5E0,-2.944498232411E1)); +#5474=CARTESIAN_POINT('',(-1.67E1,5.664967988078E0,-2.895612913007E1)); +#5475=CARTESIAN_POINT('',(-1.666045770987E1,5.899776563953E0, +-2.826031581720E1)); +#5476=CARTESIAN_POINT('',(-1.653862439194E1,6.190757079320E0, +-2.739804698555E1)); +#5477=CARTESIAN_POINT('',(-1.641050286793E1,6.397242985083E0, +-2.678616285136E1)); +#5478=CARTESIAN_POINT('',(-1.624148344490E1,6.590652036925E0, +-2.621302964018E1)); +#5479=CARTESIAN_POINT('',(-1.602003942988E1,6.767298645021E0, +-2.568956893978E1)); +#5480=CARTESIAN_POINT('',(-1.577702224398E1,6.895805366208E0, +-2.530876220365E1)); +#5481=CARTESIAN_POINT('',(-1.550533120960E1,6.973901802102E0, +-2.507733735223E1)); +#5482=CARTESIAN_POINT('',(-1.532898446670E1,7.E0,-2.5E1)); +#5483=CARTESIAN_POINT('',(-1.52E1,7.E0,-2.5E1)); +#5485=DIRECTION('',(1.E0,0.E0,0.E0)); +#5486=VECTOR('',#5485,2.059014819423E0); +#5487=CARTESIAN_POINT('',(-1.67E1,3.876763209470E0,-3.425515488527E1)); +#5488=LINE('',#5487,#5486); +#5489=CARTESIAN_POINT('',(-1.464098518058E1,3.876763209470E0, +-3.425515488527E1)); +#5490=CARTESIAN_POINT('',(-1.464305903081E1,3.922184923290E0, +-3.412055574189E1)); +#5491=CARTESIAN_POINT('',(-1.464328700531E1,4.010384615148E0, +-3.385919169436E1)); +#5492=CARTESIAN_POINT('',(-1.463460047671E1,4.13499884E0,-3.348991967649E1)); +#5493=CARTESIAN_POINT('',(-1.461561706366E1,4.212467788101E0, +-3.326035427318E1)); +#5494=CARTESIAN_POINT('',(-1.460012164638E1,4.249707848397E0,-3.315E1)); +#5496=CARTESIAN_POINT('',(-1.339987835362E1,4.249707848397E0,-3.315E1)); +#5497=CARTESIAN_POINT('',(-1.338438293634E1,4.212467788101E0, +-3.326035427318E1)); +#5498=CARTESIAN_POINT('',(-1.336539952329E1,4.13499884E0,-3.348991967649E1)); +#5499=CARTESIAN_POINT('',(-1.335671299469E1,4.010384615148E0, +-3.385919169436E1)); +#5500=CARTESIAN_POINT('',(-1.335694096919E1,3.922184923290E0, +-3.412055574189E1)); +#5501=CARTESIAN_POINT('',(-1.335901481942E1,3.876763209470E0, +-3.425515488527E1)); +#5503=DIRECTION('',(1.E0,0.E0,0.E0)); +#5504=VECTOR('',#5503,2.718029638846E0); +#5505=CARTESIAN_POINT('',(-1.335901481942E1,3.876763209470E0, +-3.425515488527E1)); +#5506=LINE('',#5505,#5504); +#5507=CARTESIAN_POINT('',(-1.064098518058E1,3.876763209470E0, +-3.425515488527E1)); +#5508=CARTESIAN_POINT('',(-1.064305903081E1,3.922184923290E0, +-3.412055574189E1)); +#5509=CARTESIAN_POINT('',(-1.064328700531E1,4.010384615148E0, +-3.385919169436E1)); +#5510=CARTESIAN_POINT('',(-1.063460047671E1,4.13499884E0,-3.348991967649E1)); +#5511=CARTESIAN_POINT('',(-1.061561706366E1,4.212467788101E0, +-3.326035427318E1)); +#5512=CARTESIAN_POINT('',(-1.060012164638E1,4.249707848397E0,-3.315E1)); +#5514=CARTESIAN_POINT('',(-9.399878353624E0,4.249707848397E0,-3.315E1)); +#5515=CARTESIAN_POINT('',(-9.384382936342E0,4.212467788101E0, +-3.326035427318E1)); +#5516=CARTESIAN_POINT('',(-9.365399523291E0,4.13499884E0,-3.348991967649E1)); +#5517=CARTESIAN_POINT('',(-9.356712994692E0,4.010384615148E0, +-3.385919169436E1)); +#5518=CARTESIAN_POINT('',(-9.356940969189E0,3.922184923290E0, +-3.412055574189E1)); +#5519=CARTESIAN_POINT('',(-9.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#5521=DIRECTION('',(1.E0,0.E0,0.E0)); +#5522=VECTOR('',#5521,2.718029638846E0); +#5523=CARTESIAN_POINT('',(-9.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#5524=LINE('',#5523,#5522); +#5525=CARTESIAN_POINT('',(-6.640985180577E0,3.876763209470E0, +-3.425515488527E1)); +#5526=CARTESIAN_POINT('',(-6.643059030811E0,3.922184923290E0, +-3.412055574189E1)); +#5527=CARTESIAN_POINT('',(-6.643287005308E0,4.010384615148E0, +-3.385919169436E1)); +#5528=CARTESIAN_POINT('',(-6.634600476709E0,4.13499884E0,-3.348991967649E1)); +#5529=CARTESIAN_POINT('',(-6.615617063658E0,4.212467788101E0, +-3.326035427318E1)); +#5530=CARTESIAN_POINT('',(-6.600121646376E0,4.249707848397E0,-3.315E1)); +#5532=CARTESIAN_POINT('',(-5.399878353624E0,4.249707848397E0,-3.315E1)); +#5533=CARTESIAN_POINT('',(-5.384382936342E0,4.212467788101E0, +-3.326035427318E1)); +#5534=CARTESIAN_POINT('',(-5.365399523291E0,4.13499884E0,-3.348991967649E1)); +#5535=CARTESIAN_POINT('',(-5.356712994692E0,4.010384615148E0, +-3.385919169436E1)); +#5536=CARTESIAN_POINT('',(-5.356940969189E0,3.922184923290E0, +-3.412055574189E1)); +#5537=CARTESIAN_POINT('',(-5.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#5539=DIRECTION('',(1.E0,0.E0,0.E0)); +#5540=VECTOR('',#5539,2.718029638846E0); +#5541=CARTESIAN_POINT('',(-5.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#5542=LINE('',#5541,#5540); +#5543=CARTESIAN_POINT('',(-2.640985180577E0,3.876763209470E0, +-3.425515488527E1)); +#5544=CARTESIAN_POINT('',(-2.643059030811E0,3.922184923290E0, +-3.412055574189E1)); +#5545=CARTESIAN_POINT('',(-2.643287005308E0,4.010384615148E0, +-3.385919169436E1)); +#5546=CARTESIAN_POINT('',(-2.634600476709E0,4.13499884E0,-3.348991967649E1)); +#5547=CARTESIAN_POINT('',(-2.615617063658E0,4.212467788101E0, +-3.326035427318E1)); +#5548=CARTESIAN_POINT('',(-2.600121646376E0,4.249707848397E0,-3.315E1)); +#5550=CARTESIAN_POINT('',(-1.399878353624E0,4.249707848397E0,-3.315E1)); +#5551=CARTESIAN_POINT('',(-1.384382936342E0,4.212467788101E0, +-3.326035427318E1)); +#5552=CARTESIAN_POINT('',(-1.365399523291E0,4.13499884E0,-3.348991967649E1)); +#5553=CARTESIAN_POINT('',(-1.356712994692E0,4.010384615148E0, +-3.385919169436E1)); +#5554=CARTESIAN_POINT('',(-1.356940969189E0,3.922184923290E0, +-3.412055574189E1)); +#5555=CARTESIAN_POINT('',(-1.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#5557=DIRECTION('',(1.E0,0.E0,0.E0)); +#5558=VECTOR('',#5557,2.718029638846E0); +#5559=CARTESIAN_POINT('',(-1.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#5560=LINE('',#5559,#5558); +#5561=CARTESIAN_POINT('',(1.359014819423E0,3.876763209470E0,-3.425515488527E1)); +#5562=CARTESIAN_POINT('',(1.356940969189E0,3.922184923290E0,-3.412055574189E1)); +#5563=CARTESIAN_POINT('',(1.356712994692E0,4.010384615148E0,-3.385919169436E1)); +#5564=CARTESIAN_POINT('',(1.365399523291E0,4.13499884E0,-3.348991967649E1)); +#5565=CARTESIAN_POINT('',(1.384382936342E0,4.212467788101E0,-3.326035427318E1)); +#5566=CARTESIAN_POINT('',(1.399878353624E0,4.249707848397E0,-3.315E1)); +#5568=CARTESIAN_POINT('',(2.600121646376E0,4.249707848397E0,-3.315E1)); +#5569=CARTESIAN_POINT('',(2.615617063658E0,4.212467788101E0,-3.326035427318E1)); +#5570=CARTESIAN_POINT('',(2.634600476709E0,4.13499884E0,-3.348991967649E1)); +#5571=CARTESIAN_POINT('',(2.643287005308E0,4.010384615148E0,-3.385919169436E1)); +#5572=CARTESIAN_POINT('',(2.643059030811E0,3.922184923290E0,-3.412055574189E1)); +#5573=CARTESIAN_POINT('',(2.640985180577E0,3.876763209470E0,-3.425515488527E1)); +#5575=DIRECTION('',(1.E0,0.E0,0.E0)); +#5576=VECTOR('',#5575,2.718029638846E0); +#5577=CARTESIAN_POINT('',(2.640985180577E0,3.876763209470E0,-3.425515488527E1)); +#5578=LINE('',#5577,#5576); +#5579=CARTESIAN_POINT('',(5.359014819423E0,3.876763209470E0,-3.425515488527E1)); +#5580=CARTESIAN_POINT('',(5.356940969189E0,3.922184923290E0,-3.412055574189E1)); +#5581=CARTESIAN_POINT('',(5.356712994692E0,4.010384615148E0,-3.385919169436E1)); +#5582=CARTESIAN_POINT('',(5.365399523291E0,4.13499884E0,-3.348991967649E1)); +#5583=CARTESIAN_POINT('',(5.384382936342E0,4.212467788101E0,-3.326035427318E1)); +#5584=CARTESIAN_POINT('',(5.399878353624E0,4.249707848397E0,-3.315E1)); +#5586=CARTESIAN_POINT('',(6.600121646376E0,4.249707848397E0,-3.315E1)); +#5587=CARTESIAN_POINT('',(6.615617063658E0,4.212467788101E0,-3.326035427318E1)); +#5588=CARTESIAN_POINT('',(6.634600476709E0,4.13499884E0,-3.348991967649E1)); +#5589=CARTESIAN_POINT('',(6.643287005308E0,4.010384615148E0,-3.385919169436E1)); +#5590=CARTESIAN_POINT('',(6.643059030811E0,3.922184923290E0,-3.412055574189E1)); +#5591=CARTESIAN_POINT('',(6.640985180577E0,3.876763209470E0,-3.425515488527E1)); +#5593=DIRECTION('',(1.E0,0.E0,0.E0)); +#5594=VECTOR('',#5593,2.718029638846E0); +#5595=CARTESIAN_POINT('',(6.640985180577E0,3.876763209470E0,-3.425515488527E1)); +#5596=LINE('',#5595,#5594); +#5597=CARTESIAN_POINT('',(9.359014819423E0,3.876763209470E0,-3.425515488527E1)); +#5598=CARTESIAN_POINT('',(9.356940969189E0,3.922184923290E0,-3.412055574189E1)); +#5599=CARTESIAN_POINT('',(9.356712994692E0,4.010384615148E0,-3.385919169436E1)); +#5600=CARTESIAN_POINT('',(9.365399523291E0,4.13499884E0,-3.348991967649E1)); +#5601=CARTESIAN_POINT('',(9.384382936342E0,4.212467788101E0,-3.326035427318E1)); +#5602=CARTESIAN_POINT('',(9.399878353624E0,4.249707848397E0,-3.315E1)); +#5604=CARTESIAN_POINT('',(1.060012164638E1,4.249707848397E0,-3.315E1)); +#5605=CARTESIAN_POINT('',(1.061561706366E1,4.212467788101E0,-3.326035427318E1)); +#5606=CARTESIAN_POINT('',(1.063460047671E1,4.13499884E0,-3.348991967649E1)); +#5607=CARTESIAN_POINT('',(1.064328700531E1,4.010384615148E0,-3.385919169436E1)); +#5608=CARTESIAN_POINT('',(1.064305903081E1,3.922184923290E0,-3.412055574189E1)); +#5609=CARTESIAN_POINT('',(1.064098518058E1,3.876763209470E0,-3.425515488527E1)); +#5611=DIRECTION('',(1.E0,0.E0,0.E0)); +#5612=VECTOR('',#5611,2.718029638846E0); +#5613=CARTESIAN_POINT('',(1.064098518058E1,3.876763209470E0,-3.425515488527E1)); +#5614=LINE('',#5613,#5612); +#5615=CARTESIAN_POINT('',(1.335901481942E1,3.876763209470E0,-3.425515488527E1)); +#5616=CARTESIAN_POINT('',(1.335694096919E1,3.922184923290E0,-3.412055574189E1)); +#5617=CARTESIAN_POINT('',(1.335671299469E1,4.010384615148E0,-3.385919169436E1)); +#5618=CARTESIAN_POINT('',(1.336539952329E1,4.13499884E0,-3.348991967649E1)); +#5619=CARTESIAN_POINT('',(1.338438293634E1,4.212467788101E0,-3.326035427318E1)); +#5620=CARTESIAN_POINT('',(1.339987835362E1,4.249707848397E0,-3.315E1)); +#5622=CARTESIAN_POINT('',(1.460012164638E1,4.249707848397E0,-3.315E1)); +#5623=CARTESIAN_POINT('',(1.457511657778E1,4.309802407025E0,-3.297192049942E1)); +#5624=CARTESIAN_POINT('',(1.452510644058E1,4.388911792851E0,-3.273749395164E1)); +#5625=CARTESIAN_POINT('',(1.442508616618E1,4.500611330572E0,-3.240649230445E1)); +#5626=CARTESIAN_POINT('',(1.430006082319E1,4.584249480515E0,-3.215864557237E1)); +#5627=CARTESIAN_POINT('',(1.415003041159E1,4.638697265885E0,-3.199729927667E1)); +#5628=CARTESIAN_POINT('',(1.4E1,4.655651367058E0,-3.194705882331E1)); +#5629=CARTESIAN_POINT('',(1.384996958841E1,4.638697265885E0,-3.199729927667E1)); +#5630=CARTESIAN_POINT('',(1.369993917681E1,4.584249480515E0,-3.215864557237E1)); +#5631=CARTESIAN_POINT('',(1.357491383382E1,4.500611330572E0,-3.240649230445E1)); +#5632=CARTESIAN_POINT('',(1.347489355942E1,4.388911792851E0,-3.273749395164E1)); +#5633=CARTESIAN_POINT('',(1.342488342222E1,4.309802407025E0,-3.297192049942E1)); +#5634=CARTESIAN_POINT('',(1.339987835362E1,4.249707848397E0,-3.315E1)); +#5636=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5637=VECTOR('',#5636,7.525E0); +#5638=CARTESIAN_POINT('',(1.4E1,3.35E0,-1.2475E1)); +#5639=LINE('',#5638,#5637); +#5640=CARTESIAN_POINT('',(1.4E1,4.E0,-3.315E1)); +#5641=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5642=DIRECTION('',(0.E0,1.E0,0.E0)); +#5643=AXIS2_PLACEMENT_3D('',#5640,#5641,#5642); +#5645=CARTESIAN_POINT('',(1.4E1,4.E0,-3.315E1)); +#5646=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5647=DIRECTION('',(-9.232640713473E-1,3.841659206114E-1,0.E0)); +#5648=AXIS2_PLACEMENT_3D('',#5645,#5646,#5647); +#5650=CARTESIAN_POINT('',(1.464098518058E1,3.876763209470E0,-3.425515488527E1)); +#5651=CARTESIAN_POINT('',(1.463896773930E1,3.832576971234E0,-3.438609291722E1)); +#5652=CARTESIAN_POINT('',(1.463385015555E1,3.731814806461E0,-3.463038664708E1)); +#5653=CARTESIAN_POINT('',(1.462261403343E1,3.558716806908E0,-3.494335221769E1)); +#5654=CARTESIAN_POINT('',(1.460792948407E1,3.375353309736E0,-3.520705677568E1)); +#5655=CARTESIAN_POINT('',(1.459009389083E1,3.189164817477E0,-3.542840865076E1)); +#5656=CARTESIAN_POINT('',(1.456920616281E1,3.002937929722E0,-3.561565833133E1)); +#5657=CARTESIAN_POINT('',(1.454563065149E1,2.820716346351E0,-3.577289400915E1)); +#5658=CARTESIAN_POINT('',(1.451948770373E1,2.643634608067E0,-3.590539269282E1)); +#5659=CARTESIAN_POINT('',(1.449101767410E1,2.473729810001E0,-3.601621655701E1)); +#5660=CARTESIAN_POINT('',(1.446111204308E1,2.315679278819E0,-3.610664412305E1)); +#5661=CARTESIAN_POINT('',(1.442980992715E1,2.168638894512E0,-3.618087937432E1)); +#5662=CARTESIAN_POINT('',(1.439711890650E1,2.032218030671E0,-3.624182195117E1)); +#5663=CARTESIAN_POINT('',(1.436271406983E1,1.905089341381E0,-3.629217129693E1)); +#5664=CARTESIAN_POINT('',(1.432587263531E1,1.785650179860E0,-3.633406149603E1)); +#5665=CARTESIAN_POINT('',(1.428634422509E1,1.674932389749E0,-3.636836355602E1)); +#5666=CARTESIAN_POINT('',(1.424402166211E1,1.574771353962E0,-3.639576609711E1)); +#5667=CARTESIAN_POINT('',(1.419793121634E1,1.485271400872E0,-3.641743875566E1)); +#5668=CARTESIAN_POINT('',(1.414428021728E1,1.405762294970E0,-3.643446030995E1)); +#5669=CARTESIAN_POINT('',(1.410349827545E1,1.365524602639E0,-3.644212564787E1)); +#5670=CARTESIAN_POINT('',(1.408049825570E1,1.35E0,-3.644499614792E1)); +#5672=CARTESIAN_POINT('',(1.391950174430E1,1.35E0,-3.644499614792E1)); +#5673=CARTESIAN_POINT('',(1.389723323305E1,1.365030847463E0,-3.644221694324E1)); +#5674=CARTESIAN_POINT('',(1.385758061773E1,1.403660908041E0,-3.643487102723E1)); +#5675=CARTESIAN_POINT('',(1.380468982053E1,1.481022631741E0,-3.641837581535E1)); +#5676=CARTESIAN_POINT('',(1.376052668717E1,1.565412143689E0,-3.639810425540E1)); +#5677=CARTESIAN_POINT('',(1.372009508010E1,1.658820293477E0,-3.637293414909E1)); +#5678=CARTESIAN_POINT('',(1.368231302281E1,1.761585457555E0,-3.634180412658E1)); +#5679=CARTESIAN_POINT('',(1.364642244087E1,1.874079176538E0,-3.630349085075E1)); +#5680=CARTESIAN_POINT('',(1.361210069922E1,1.996662088437E0,-3.625648278474E1)); +#5681=CARTESIAN_POINT('',(1.357953417775E1,2.128059105425E0,-3.619973714878E1)); +#5682=CARTESIAN_POINT('',(1.354821317566E1,2.270078181901E0,-3.613063391893E1)); +#5683=CARTESIAN_POINT('',(1.351785977540E1,2.424804325299E0,-3.604545592059E1)); +#5684=CARTESIAN_POINT('',(1.348862693031E1,2.592860954075E0,-3.594019638084E1)); +#5685=CARTESIAN_POINT('',(1.346074902973E1,2.774951443540E0,-3.580925549479E1)); +#5686=CARTESIAN_POINT('',(1.343525875190E1,2.965969987007E0,-3.564992319648E1)); +#5687=CARTESIAN_POINT('',(1.341277274151E1,3.161381878723E0,-3.545885070089E1)); +#5688=CARTESIAN_POINT('',(1.339367283953E1,3.356759400691E0,-3.523168336597E1)); +#5689=CARTESIAN_POINT('',(1.337811288963E1,3.548243749741E0,-3.496080472218E1)); +#5690=CARTESIAN_POINT('',(1.336635078630E1,3.727920086866E0,-3.463938849318E1)); +#5691=CARTESIAN_POINT('',(1.336107911646E1,3.831550730943E0,-3.438913399719E1)); +#5692=CARTESIAN_POINT('',(1.335901481942E1,3.876763209470E0,-3.425515488527E1)); +#5694=CARTESIAN_POINT('',(1.064098518058E1,3.876763209470E0,-3.425515488527E1)); +#5695=CARTESIAN_POINT('',(1.063896773930E1,3.832576971234E0,-3.438609291722E1)); +#5696=CARTESIAN_POINT('',(1.063385015555E1,3.731814806461E0,-3.463038664708E1)); +#5697=CARTESIAN_POINT('',(1.062261403343E1,3.558716806908E0,-3.494335221769E1)); +#5698=CARTESIAN_POINT('',(1.060792948407E1,3.375353309736E0,-3.520705677568E1)); +#5699=CARTESIAN_POINT('',(1.059009389083E1,3.189164817477E0,-3.542840865076E1)); +#5700=CARTESIAN_POINT('',(1.056920616281E1,3.002937929722E0,-3.561565833133E1)); +#5701=CARTESIAN_POINT('',(1.054563065149E1,2.820716346351E0,-3.577289400915E1)); +#5702=CARTESIAN_POINT('',(1.051948770373E1,2.643634608067E0,-3.590539269282E1)); +#5703=CARTESIAN_POINT('',(1.049101767410E1,2.473729810001E0,-3.601621655701E1)); +#5704=CARTESIAN_POINT('',(1.046111204308E1,2.315679278819E0,-3.610664412305E1)); +#5705=CARTESIAN_POINT('',(1.042980992715E1,2.168638894512E0,-3.618087937432E1)); +#5706=CARTESIAN_POINT('',(1.039711890650E1,2.032218030671E0,-3.624182195117E1)); +#5707=CARTESIAN_POINT('',(1.036271406983E1,1.905089341381E0,-3.629217129693E1)); +#5708=CARTESIAN_POINT('',(1.032587263531E1,1.785650179860E0,-3.633406149603E1)); +#5709=CARTESIAN_POINT('',(1.028634422509E1,1.674932389749E0,-3.636836355602E1)); +#5710=CARTESIAN_POINT('',(1.024402166211E1,1.574771353962E0,-3.639576609711E1)); +#5711=CARTESIAN_POINT('',(1.019793121634E1,1.485271400872E0,-3.641743875566E1)); +#5712=CARTESIAN_POINT('',(1.014428021728E1,1.405762294970E0,-3.643446030995E1)); +#5713=CARTESIAN_POINT('',(1.010349827545E1,1.365524602639E0,-3.644212564787E1)); +#5714=CARTESIAN_POINT('',(1.008049825570E1,1.35E0,-3.644499614792E1)); +#5716=CARTESIAN_POINT('',(9.919501744296E0,1.35E0,-3.644499614792E1)); +#5717=CARTESIAN_POINT('',(9.897233233052E0,1.365030847463E0,-3.644221694324E1)); +#5718=CARTESIAN_POINT('',(9.857580617729E0,1.403660908041E0,-3.643487102723E1)); +#5719=CARTESIAN_POINT('',(9.804689820526E0,1.481022631741E0,-3.641837581535E1)); +#5720=CARTESIAN_POINT('',(9.760526687165E0,1.565412143689E0,-3.639810425540E1)); +#5721=CARTESIAN_POINT('',(9.720095080100E0,1.658820293477E0,-3.637293414909E1)); +#5722=CARTESIAN_POINT('',(9.682313022812E0,1.761585457555E0,-3.634180412658E1)); +#5723=CARTESIAN_POINT('',(9.646422440873E0,1.874079176538E0,-3.630349085075E1)); +#5724=CARTESIAN_POINT('',(9.612100699219E0,1.996662088437E0,-3.625648278474E1)); +#5725=CARTESIAN_POINT('',(9.579534177752E0,2.128059105425E0,-3.619973714878E1)); +#5726=CARTESIAN_POINT('',(9.548213175663E0,2.270078181901E0,-3.613063391893E1)); +#5727=CARTESIAN_POINT('',(9.517859775396E0,2.424804325299E0,-3.604545592059E1)); +#5728=CARTESIAN_POINT('',(9.488626930305E0,2.592860954075E0,-3.594019638084E1)); +#5729=CARTESIAN_POINT('',(9.460749029732E0,2.774951443540E0,-3.580925549479E1)); +#5730=CARTESIAN_POINT('',(9.435258751904E0,2.965969987007E0,-3.564992319648E1)); +#5731=CARTESIAN_POINT('',(9.412772741511E0,3.161381878723E0,-3.545885070089E1)); +#5732=CARTESIAN_POINT('',(9.393672839528E0,3.356759400691E0,-3.523168336597E1)); +#5733=CARTESIAN_POINT('',(9.378112889629E0,3.548243749741E0,-3.496080472218E1)); +#5734=CARTESIAN_POINT('',(9.366350786305E0,3.727920086866E0,-3.463938849318E1)); +#5735=CARTESIAN_POINT('',(9.361079116458E0,3.831550730943E0,-3.438913399719E1)); +#5736=CARTESIAN_POINT('',(9.359014819423E0,3.876763209470E0,-3.425515488527E1)); +#5738=CARTESIAN_POINT('',(6.640985180577E0,3.876763209470E0,-3.425515488527E1)); +#5739=CARTESIAN_POINT('',(6.638967739297E0,3.832576971234E0,-3.438609291722E1)); +#5740=CARTESIAN_POINT('',(6.633850155550E0,3.731814806461E0,-3.463038664708E1)); +#5741=CARTESIAN_POINT('',(6.622614033431E0,3.558716806908E0,-3.494335221769E1)); +#5742=CARTESIAN_POINT('',(6.607929484067E0,3.375353309736E0,-3.520705677568E1)); +#5743=CARTESIAN_POINT('',(6.590093890832E0,3.189164817477E0,-3.542840865076E1)); +#5744=CARTESIAN_POINT('',(6.569206162811E0,3.002937929722E0,-3.561565833133E1)); +#5745=CARTESIAN_POINT('',(6.545630651486E0,2.820716346351E0,-3.577289400915E1)); +#5746=CARTESIAN_POINT('',(6.519487703728E0,2.643634608067E0,-3.590539269282E1)); +#5747=CARTESIAN_POINT('',(6.491017674096E0,2.473729810001E0,-3.601621655701E1)); +#5748=CARTESIAN_POINT('',(6.461112043081E0,2.315679278819E0,-3.610664412305E1)); +#5749=CARTESIAN_POINT('',(6.429809927149E0,2.168638894512E0,-3.618087937432E1)); +#5750=CARTESIAN_POINT('',(6.397118906502E0,2.032218030671E0,-3.624182195117E1)); +#5751=CARTESIAN_POINT('',(6.362714069832E0,1.905089341381E0,-3.629217129693E1)); +#5752=CARTESIAN_POINT('',(6.325872635315E0,1.785650179860E0,-3.633406149603E1)); +#5753=CARTESIAN_POINT('',(6.286344225088E0,1.674932389749E0,-3.636836355602E1)); +#5754=CARTESIAN_POINT('',(6.244021662114E0,1.574771353962E0,-3.639576609711E1)); +#5755=CARTESIAN_POINT('',(6.197931216344E0,1.485271400872E0,-3.641743875566E1)); +#5756=CARTESIAN_POINT('',(6.144280217283E0,1.405762294970E0,-3.643446030995E1)); +#5757=CARTESIAN_POINT('',(6.103498275449E0,1.365524602639E0,-3.644212564787E1)); +#5758=CARTESIAN_POINT('',(6.080498255704E0,1.35E0,-3.644499614792E1)); +#5760=CARTESIAN_POINT('',(5.919501744296E0,1.35E0,-3.644499614792E1)); +#5761=CARTESIAN_POINT('',(5.897233233052E0,1.365030847463E0,-3.644221694324E1)); +#5762=CARTESIAN_POINT('',(5.857580617729E0,1.403660908041E0,-3.643487102723E1)); +#5763=CARTESIAN_POINT('',(5.804689820526E0,1.481022631741E0,-3.641837581535E1)); +#5764=CARTESIAN_POINT('',(5.760526687165E0,1.565412143689E0,-3.639810425540E1)); +#5765=CARTESIAN_POINT('',(5.720095080100E0,1.658820293477E0,-3.637293414909E1)); +#5766=CARTESIAN_POINT('',(5.682313022812E0,1.761585457555E0,-3.634180412658E1)); +#5767=CARTESIAN_POINT('',(5.646422440873E0,1.874079176538E0,-3.630349085075E1)); +#5768=CARTESIAN_POINT('',(5.612100699219E0,1.996662088437E0,-3.625648278474E1)); +#5769=CARTESIAN_POINT('',(5.579534177752E0,2.128059105425E0,-3.619973714878E1)); +#5770=CARTESIAN_POINT('',(5.548213175663E0,2.270078181901E0,-3.613063391893E1)); +#5771=CARTESIAN_POINT('',(5.517859775396E0,2.424804325299E0,-3.604545592059E1)); +#5772=CARTESIAN_POINT('',(5.488626930305E0,2.592860954075E0,-3.594019638084E1)); +#5773=CARTESIAN_POINT('',(5.460749029732E0,2.774951443540E0,-3.580925549479E1)); +#5774=CARTESIAN_POINT('',(5.435258751904E0,2.965969987007E0,-3.564992319648E1)); +#5775=CARTESIAN_POINT('',(5.412772741511E0,3.161381878723E0,-3.545885070089E1)); +#5776=CARTESIAN_POINT('',(5.393672839528E0,3.356759400691E0,-3.523168336597E1)); +#5777=CARTESIAN_POINT('',(5.378112889629E0,3.548243749741E0,-3.496080472218E1)); +#5778=CARTESIAN_POINT('',(5.366350786305E0,3.727920086866E0,-3.463938849318E1)); +#5779=CARTESIAN_POINT('',(5.361079116458E0,3.831550730943E0,-3.438913399719E1)); +#5780=CARTESIAN_POINT('',(5.359014819423E0,3.876763209470E0,-3.425515488527E1)); +#5782=CARTESIAN_POINT('',(2.640985180577E0,3.876763209470E0,-3.425515488527E1)); +#5783=CARTESIAN_POINT('',(2.638967739297E0,3.832576971234E0,-3.438609291722E1)); +#5784=CARTESIAN_POINT('',(2.633850155550E0,3.731814806461E0,-3.463038664708E1)); +#5785=CARTESIAN_POINT('',(2.622614033431E0,3.558716806908E0,-3.494335221769E1)); +#5786=CARTESIAN_POINT('',(2.607929484067E0,3.375353309736E0,-3.520705677568E1)); +#5787=CARTESIAN_POINT('',(2.590093890832E0,3.189164817477E0,-3.542840865076E1)); +#5788=CARTESIAN_POINT('',(2.569206162811E0,3.002937929722E0,-3.561565833133E1)); +#5789=CARTESIAN_POINT('',(2.545630651486E0,2.820716346351E0,-3.577289400915E1)); +#5790=CARTESIAN_POINT('',(2.519487703728E0,2.643634608067E0,-3.590539269282E1)); +#5791=CARTESIAN_POINT('',(2.491017674096E0,2.473729810001E0,-3.601621655701E1)); +#5792=CARTESIAN_POINT('',(2.461112043081E0,2.315679278819E0,-3.610664412305E1)); +#5793=CARTESIAN_POINT('',(2.429809927149E0,2.168638894512E0,-3.618087937432E1)); +#5794=CARTESIAN_POINT('',(2.397118906502E0,2.032218030671E0,-3.624182195117E1)); +#5795=CARTESIAN_POINT('',(2.362714069832E0,1.905089341381E0,-3.629217129693E1)); +#5796=CARTESIAN_POINT('',(2.325872635315E0,1.785650179860E0,-3.633406149603E1)); +#5797=CARTESIAN_POINT('',(2.286344225088E0,1.674932389749E0,-3.636836355602E1)); +#5798=CARTESIAN_POINT('',(2.244021662114E0,1.574771353962E0,-3.639576609711E1)); +#5799=CARTESIAN_POINT('',(2.197931216344E0,1.485271400872E0,-3.641743875566E1)); +#5800=CARTESIAN_POINT('',(2.144280217283E0,1.405762294970E0,-3.643446030995E1)); +#5801=CARTESIAN_POINT('',(2.103498275449E0,1.365524602639E0,-3.644212564787E1)); +#5802=CARTESIAN_POINT('',(2.080498255704E0,1.35E0,-3.644499614792E1)); +#5804=CARTESIAN_POINT('',(1.919501744296E0,1.35E0,-3.644499614792E1)); +#5805=CARTESIAN_POINT('',(1.897233233052E0,1.365030847463E0,-3.644221694324E1)); +#5806=CARTESIAN_POINT('',(1.857580617729E0,1.403660908041E0,-3.643487102723E1)); +#5807=CARTESIAN_POINT('',(1.804689820526E0,1.481022631741E0,-3.641837581535E1)); +#5808=CARTESIAN_POINT('',(1.760526687165E0,1.565412143689E0,-3.639810425540E1)); +#5809=CARTESIAN_POINT('',(1.720095080100E0,1.658820293477E0,-3.637293414909E1)); +#5810=CARTESIAN_POINT('',(1.682313022812E0,1.761585457555E0,-3.634180412658E1)); +#5811=CARTESIAN_POINT('',(1.646422440873E0,1.874079176538E0,-3.630349085075E1)); +#5812=CARTESIAN_POINT('',(1.612100699219E0,1.996662088437E0,-3.625648278474E1)); +#5813=CARTESIAN_POINT('',(1.579534177752E0,2.128059105425E0,-3.619973714878E1)); +#5814=CARTESIAN_POINT('',(1.548213175663E0,2.270078181901E0,-3.613063391893E1)); +#5815=CARTESIAN_POINT('',(1.517859775396E0,2.424804325299E0,-3.604545592059E1)); +#5816=CARTESIAN_POINT('',(1.488626930305E0,2.592860954075E0,-3.594019638084E1)); +#5817=CARTESIAN_POINT('',(1.460749029732E0,2.774951443540E0,-3.580925549479E1)); +#5818=CARTESIAN_POINT('',(1.435258751904E0,2.965969987007E0,-3.564992319648E1)); +#5819=CARTESIAN_POINT('',(1.412772741511E0,3.161381878723E0,-3.545885070089E1)); +#5820=CARTESIAN_POINT('',(1.393672839528E0,3.356759400691E0,-3.523168336597E1)); +#5821=CARTESIAN_POINT('',(1.378112889629E0,3.548243749741E0,-3.496080472218E1)); +#5822=CARTESIAN_POINT('',(1.366350786305E0,3.727920086866E0,-3.463938849318E1)); +#5823=CARTESIAN_POINT('',(1.361079116458E0,3.831550730943E0,-3.438913399719E1)); +#5824=CARTESIAN_POINT('',(1.359014819423E0,3.876763209470E0,-3.425515488527E1)); +#5826=CARTESIAN_POINT('',(-1.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#5827=CARTESIAN_POINT('',(-1.361032260703E0,3.832576971234E0, +-3.438609291722E1)); +#5828=CARTESIAN_POINT('',(-1.366149844450E0,3.731814806461E0, +-3.463038664708E1)); +#5829=CARTESIAN_POINT('',(-1.377385966569E0,3.558716806908E0, +-3.494335221769E1)); +#5830=CARTESIAN_POINT('',(-1.392070515933E0,3.375353309736E0, +-3.520705677568E1)); +#5831=CARTESIAN_POINT('',(-1.409906109168E0,3.189164817477E0, +-3.542840865076E1)); +#5832=CARTESIAN_POINT('',(-1.430793837189E0,3.002937929722E0, +-3.561565833133E1)); +#5833=CARTESIAN_POINT('',(-1.454369348514E0,2.820716346351E0, +-3.577289400915E1)); +#5834=CARTESIAN_POINT('',(-1.480512296272E0,2.643634608067E0, +-3.590539269282E1)); +#5835=CARTESIAN_POINT('',(-1.508982325904E0,2.473729810001E0, +-3.601621655701E1)); +#5836=CARTESIAN_POINT('',(-1.538887956919E0,2.315679278819E0, +-3.610664412305E1)); +#5837=CARTESIAN_POINT('',(-1.570190072851E0,2.168638894512E0, +-3.618087937432E1)); +#5838=CARTESIAN_POINT('',(-1.602881093498E0,2.032218030671E0, +-3.624182195117E1)); +#5839=CARTESIAN_POINT('',(-1.637285930168E0,1.905089341381E0, +-3.629217129693E1)); +#5840=CARTESIAN_POINT('',(-1.674127364685E0,1.785650179860E0, +-3.633406149603E1)); +#5841=CARTESIAN_POINT('',(-1.713655774912E0,1.674932389749E0, +-3.636836355602E1)); +#5842=CARTESIAN_POINT('',(-1.755978337886E0,1.574771353962E0, +-3.639576609711E1)); +#5843=CARTESIAN_POINT('',(-1.802068783656E0,1.485271400872E0, +-3.641743875566E1)); +#5844=CARTESIAN_POINT('',(-1.855719782717E0,1.405762294970E0, +-3.643446030995E1)); +#5845=CARTESIAN_POINT('',(-1.896501724551E0,1.365524602639E0, +-3.644212564787E1)); +#5846=CARTESIAN_POINT('',(-1.919501744296E0,1.35E0,-3.644499614792E1)); +#5848=CARTESIAN_POINT('',(-2.080498255704E0,1.35E0,-3.644499614792E1)); +#5849=CARTESIAN_POINT('',(-2.102766766948E0,1.365030847463E0, +-3.644221694324E1)); +#5850=CARTESIAN_POINT('',(-2.142419382270E0,1.403660908041E0, +-3.643487102723E1)); +#5851=CARTESIAN_POINT('',(-2.195310179474E0,1.481022631741E0, +-3.641837581535E1)); +#5852=CARTESIAN_POINT('',(-2.239473312835E0,1.565412143689E0, +-3.639810425540E1)); +#5853=CARTESIAN_POINT('',(-2.279904919900E0,1.658820293477E0, +-3.637293414909E1)); +#5854=CARTESIAN_POINT('',(-2.317686977188E0,1.761585457555E0, +-3.634180412658E1)); +#5855=CARTESIAN_POINT('',(-2.353577559127E0,1.874079176538E0, +-3.630349085075E1)); +#5856=CARTESIAN_POINT('',(-2.387899300781E0,1.996662088437E0, +-3.625648278474E1)); +#5857=CARTESIAN_POINT('',(-2.420465822248E0,2.128059105425E0, +-3.619973714878E1)); +#5858=CARTESIAN_POINT('',(-2.451786824337E0,2.270078181901E0, +-3.613063391893E1)); +#5859=CARTESIAN_POINT('',(-2.482140224604E0,2.424804325298E0, +-3.604545592059E1)); +#5860=CARTESIAN_POINT('',(-2.511373069695E0,2.592860954075E0, +-3.594019638084E1)); +#5861=CARTESIAN_POINT('',(-2.539250970268E0,2.774951443540E0, +-3.580925549479E1)); +#5862=CARTESIAN_POINT('',(-2.564741248096E0,2.965969987006E0, +-3.564992319648E1)); +#5863=CARTESIAN_POINT('',(-2.587227258489E0,3.161381878723E0, +-3.545885070089E1)); +#5864=CARTESIAN_POINT('',(-2.606327160472E0,3.356759400691E0, +-3.523168336597E1)); +#5865=CARTESIAN_POINT('',(-2.621887110371E0,3.548243749741E0, +-3.496080472218E1)); +#5866=CARTESIAN_POINT('',(-2.633649213695E0,3.727920086866E0, +-3.463938849318E1)); +#5867=CARTESIAN_POINT('',(-2.638920883542E0,3.831550730943E0, +-3.438913399719E1)); +#5868=CARTESIAN_POINT('',(-2.640985180577E0,3.876763209470E0, +-3.425515488527E1)); +#5870=CARTESIAN_POINT('',(-5.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#5871=CARTESIAN_POINT('',(-5.361032260703E0,3.832576971234E0, +-3.438609291722E1)); +#5872=CARTESIAN_POINT('',(-5.366149844450E0,3.731814806461E0, +-3.463038664708E1)); +#5873=CARTESIAN_POINT('',(-5.377385966569E0,3.558716806908E0, +-3.494335221769E1)); +#5874=CARTESIAN_POINT('',(-5.392070515933E0,3.375353309736E0, +-3.520705677568E1)); +#5875=CARTESIAN_POINT('',(-5.409906109168E0,3.189164817477E0, +-3.542840865076E1)); +#5876=CARTESIAN_POINT('',(-5.430793837189E0,3.002937929722E0, +-3.561565833133E1)); +#5877=CARTESIAN_POINT('',(-5.454369348514E0,2.820716346351E0, +-3.577289400915E1)); +#5878=CARTESIAN_POINT('',(-5.480512296272E0,2.643634608067E0, +-3.590539269282E1)); +#5879=CARTESIAN_POINT('',(-5.508982325904E0,2.473729810001E0, +-3.601621655701E1)); +#5880=CARTESIAN_POINT('',(-5.538887956919E0,2.315679278819E0, +-3.610664412305E1)); +#5881=CARTESIAN_POINT('',(-5.570190072851E0,2.168638894512E0, +-3.618087937432E1)); +#5882=CARTESIAN_POINT('',(-5.602881093498E0,2.032218030671E0, +-3.624182195117E1)); +#5883=CARTESIAN_POINT('',(-5.637285930168E0,1.905089341381E0, +-3.629217129693E1)); +#5884=CARTESIAN_POINT('',(-5.674127364685E0,1.785650179860E0, +-3.633406149603E1)); +#5885=CARTESIAN_POINT('',(-5.713655774912E0,1.674932389749E0, +-3.636836355602E1)); +#5886=CARTESIAN_POINT('',(-5.755978337886E0,1.574771353962E0, +-3.639576609711E1)); +#5887=CARTESIAN_POINT('',(-5.802068783656E0,1.485271400872E0, +-3.641743875566E1)); +#5888=CARTESIAN_POINT('',(-5.855719782717E0,1.405762294970E0, +-3.643446030995E1)); +#5889=CARTESIAN_POINT('',(-5.896501724551E0,1.365524602639E0, +-3.644212564787E1)); +#5890=CARTESIAN_POINT('',(-5.919501744296E0,1.35E0,-3.644499614792E1)); +#5892=CARTESIAN_POINT('',(-6.080498255704E0,1.35E0,-3.644499614792E1)); +#5893=CARTESIAN_POINT('',(-6.102766766948E0,1.365030847463E0, +-3.644221694324E1)); +#5894=CARTESIAN_POINT('',(-6.142419382271E0,1.403660908041E0, +-3.643487102723E1)); +#5895=CARTESIAN_POINT('',(-6.195310179474E0,1.481022631741E0, +-3.641837581535E1)); +#5896=CARTESIAN_POINT('',(-6.239473312835E0,1.565412143689E0, +-3.639810425540E1)); +#5897=CARTESIAN_POINT('',(-6.279904919900E0,1.658820293477E0, +-3.637293414909E1)); +#5898=CARTESIAN_POINT('',(-6.317686977188E0,1.761585457555E0, +-3.634180412658E1)); +#5899=CARTESIAN_POINT('',(-6.353577559127E0,1.874079176538E0, +-3.630349085075E1)); +#5900=CARTESIAN_POINT('',(-6.387899300781E0,1.996662088437E0, +-3.625648278474E1)); +#5901=CARTESIAN_POINT('',(-6.420465822248E0,2.128059105425E0, +-3.619973714878E1)); +#5902=CARTESIAN_POINT('',(-6.451786824337E0,2.270078181901E0, +-3.613063391893E1)); +#5903=CARTESIAN_POINT('',(-6.482140224604E0,2.424804325299E0, +-3.604545592059E1)); +#5904=CARTESIAN_POINT('',(-6.511373069695E0,2.592860954075E0, +-3.594019638084E1)); +#5905=CARTESIAN_POINT('',(-6.539250970268E0,2.774951443540E0, +-3.580925549479E1)); +#5906=CARTESIAN_POINT('',(-6.564741248096E0,2.965969987007E0, +-3.564992319648E1)); +#5907=CARTESIAN_POINT('',(-6.587227258489E0,3.161381878723E0, +-3.545885070089E1)); +#5908=CARTESIAN_POINT('',(-6.606327160472E0,3.356759400691E0, +-3.523168336597E1)); +#5909=CARTESIAN_POINT('',(-6.621887110371E0,3.548243749741E0, +-3.496080472218E1)); +#5910=CARTESIAN_POINT('',(-6.633649213695E0,3.727920086866E0, +-3.463938849318E1)); +#5911=CARTESIAN_POINT('',(-6.638920883542E0,3.831550730943E0, +-3.438913399719E1)); +#5912=CARTESIAN_POINT('',(-6.640985180577E0,3.876763209470E0, +-3.425515488527E1)); +#5914=CARTESIAN_POINT('',(-9.359014819423E0,3.876763209470E0, +-3.425515488527E1)); +#5915=CARTESIAN_POINT('',(-9.361032260703E0,3.832576971234E0, +-3.438609291722E1)); +#5916=CARTESIAN_POINT('',(-9.366149844450E0,3.731814806461E0, +-3.463038664708E1)); +#5917=CARTESIAN_POINT('',(-9.377385966569E0,3.558716806908E0, +-3.494335221769E1)); +#5918=CARTESIAN_POINT('',(-9.392070515933E0,3.375353309736E0, +-3.520705677568E1)); +#5919=CARTESIAN_POINT('',(-9.409906109168E0,3.189164817477E0, +-3.542840865076E1)); +#5920=CARTESIAN_POINT('',(-9.430793837189E0,3.002937929722E0, +-3.561565833133E1)); +#5921=CARTESIAN_POINT('',(-9.454369348514E0,2.820716346351E0, +-3.577289400915E1)); +#5922=CARTESIAN_POINT('',(-9.480512296272E0,2.643634608067E0, +-3.590539269282E1)); +#5923=CARTESIAN_POINT('',(-9.508982325904E0,2.473729810001E0, +-3.601621655701E1)); +#5924=CARTESIAN_POINT('',(-9.538887956919E0,2.315679278819E0, +-3.610664412305E1)); +#5925=CARTESIAN_POINT('',(-9.570190072851E0,2.168638894512E0, +-3.618087937432E1)); +#5926=CARTESIAN_POINT('',(-9.602881093498E0,2.032218030671E0, +-3.624182195117E1)); +#5927=CARTESIAN_POINT('',(-9.637285930168E0,1.905089341381E0, +-3.629217129693E1)); +#5928=CARTESIAN_POINT('',(-9.674127364685E0,1.785650179860E0, +-3.633406149603E1)); +#5929=CARTESIAN_POINT('',(-9.713655774912E0,1.674932389749E0, +-3.636836355602E1)); +#5930=CARTESIAN_POINT('',(-9.755978337886E0,1.574771353962E0, +-3.639576609711E1)); +#5931=CARTESIAN_POINT('',(-9.802068783656E0,1.485271400872E0, +-3.641743875566E1)); +#5932=CARTESIAN_POINT('',(-9.855719782717E0,1.405762294970E0, +-3.643446030995E1)); +#5933=CARTESIAN_POINT('',(-9.896501724551E0,1.365524602639E0, +-3.644212564787E1)); +#5934=CARTESIAN_POINT('',(-9.919501744296E0,1.35E0,-3.644499614792E1)); +#5936=CARTESIAN_POINT('',(-1.008049825570E1,1.35E0,-3.644499614792E1)); +#5937=CARTESIAN_POINT('',(-1.010276676695E1,1.365030847463E0, +-3.644221694324E1)); +#5938=CARTESIAN_POINT('',(-1.014241938227E1,1.403660908041E0, +-3.643487102723E1)); +#5939=CARTESIAN_POINT('',(-1.019531017947E1,1.481022631741E0, +-3.641837581535E1)); +#5940=CARTESIAN_POINT('',(-1.023947331283E1,1.565412143689E0, +-3.639810425540E1)); +#5941=CARTESIAN_POINT('',(-1.027990491990E1,1.658820293477E0, +-3.637293414909E1)); +#5942=CARTESIAN_POINT('',(-1.031768697719E1,1.761585457555E0, +-3.634180412658E1)); +#5943=CARTESIAN_POINT('',(-1.035357755913E1,1.874079176538E0, +-3.630349085075E1)); +#5944=CARTESIAN_POINT('',(-1.038789930078E1,1.996662088437E0, +-3.625648278474E1)); +#5945=CARTESIAN_POINT('',(-1.042046582225E1,2.128059105425E0, +-3.619973714878E1)); +#5946=CARTESIAN_POINT('',(-1.045178682434E1,2.270078181901E0, +-3.613063391893E1)); +#5947=CARTESIAN_POINT('',(-1.048214022460E1,2.424804325298E0, +-3.604545592059E1)); +#5948=CARTESIAN_POINT('',(-1.051137306969E1,2.592860954075E0, +-3.594019638084E1)); +#5949=CARTESIAN_POINT('',(-1.053925097027E1,2.774951443540E0, +-3.580925549479E1)); +#5950=CARTESIAN_POINT('',(-1.056474124810E1,2.965969987006E0, +-3.564992319648E1)); +#5951=CARTESIAN_POINT('',(-1.058722725849E1,3.161381878723E0, +-3.545885070089E1)); +#5952=CARTESIAN_POINT('',(-1.060632716047E1,3.356759400691E0, +-3.523168336597E1)); +#5953=CARTESIAN_POINT('',(-1.062188711037E1,3.548243749741E0, +-3.496080472218E1)); +#5954=CARTESIAN_POINT('',(-1.063364921370E1,3.727920086866E0, +-3.463938849318E1)); +#5955=CARTESIAN_POINT('',(-1.063892088354E1,3.831550730943E0, +-3.438913399719E1)); +#5956=CARTESIAN_POINT('',(-1.064098518058E1,3.876763209470E0, +-3.425515488527E1)); +#5958=CARTESIAN_POINT('',(-1.335901481942E1,3.876763209470E0, +-3.425515488527E1)); +#5959=CARTESIAN_POINT('',(-1.336103226070E1,3.832576971234E0, +-3.438609291722E1)); +#5960=CARTESIAN_POINT('',(-1.336614984445E1,3.731814806461E0, +-3.463038664708E1)); +#5961=CARTESIAN_POINT('',(-1.337738596657E1,3.558716806908E0, +-3.494335221769E1)); +#5962=CARTESIAN_POINT('',(-1.339207051593E1,3.375353309736E0, +-3.520705677568E1)); +#5963=CARTESIAN_POINT('',(-1.340990610917E1,3.189164817477E0, +-3.542840865076E1)); +#5964=CARTESIAN_POINT('',(-1.343079383719E1,3.002937929722E0, +-3.561565833133E1)); +#5965=CARTESIAN_POINT('',(-1.345436934851E1,2.820716346351E0, +-3.577289400915E1)); +#5966=CARTESIAN_POINT('',(-1.348051229627E1,2.643634608067E0, +-3.590539269282E1)); +#5967=CARTESIAN_POINT('',(-1.350898232590E1,2.473729810001E0, +-3.601621655701E1)); +#5968=CARTESIAN_POINT('',(-1.353888795692E1,2.315679278819E0, +-3.610664412305E1)); +#5969=CARTESIAN_POINT('',(-1.357019007285E1,2.168638894512E0, +-3.618087937432E1)); +#5970=CARTESIAN_POINT('',(-1.360288109350E1,2.032218030671E0, +-3.624182195117E1)); +#5971=CARTESIAN_POINT('',(-1.363728593017E1,1.905089341381E0, +-3.629217129693E1)); +#5972=CARTESIAN_POINT('',(-1.367412736469E1,1.785650179860E0, +-3.633406149603E1)); +#5973=CARTESIAN_POINT('',(-1.371365577491E1,1.674932389749E0, +-3.636836355602E1)); +#5974=CARTESIAN_POINT('',(-1.375597833789E1,1.574771353962E0, +-3.639576609711E1)); +#5975=CARTESIAN_POINT('',(-1.380206878366E1,1.485271400872E0, +-3.641743875566E1)); +#5976=CARTESIAN_POINT('',(-1.385571978272E1,1.405762294970E0, +-3.643446030995E1)); +#5977=CARTESIAN_POINT('',(-1.389650172455E1,1.365524602639E0, +-3.644212564787E1)); +#5978=CARTESIAN_POINT('',(-1.391950174430E1,1.35E0,-3.644499614792E1)); +#5980=CARTESIAN_POINT('',(-1.408049825570E1,1.35E0,-3.644499614792E1)); +#5981=CARTESIAN_POINT('',(-1.410276676695E1,1.365030847463E0, +-3.644221694324E1)); +#5982=CARTESIAN_POINT('',(-1.414241938227E1,1.403660908041E0, +-3.643487102723E1)); +#5983=CARTESIAN_POINT('',(-1.419531017947E1,1.481022631741E0, +-3.641837581535E1)); +#5984=CARTESIAN_POINT('',(-1.423947331283E1,1.565412143689E0, +-3.639810425540E1)); +#5985=CARTESIAN_POINT('',(-1.427990491990E1,1.658820293477E0, +-3.637293414909E1)); +#5986=CARTESIAN_POINT('',(-1.431768697719E1,1.761585457555E0, +-3.634180412658E1)); +#5987=CARTESIAN_POINT('',(-1.435357755913E1,1.874079176538E0, +-3.630349085075E1)); +#5988=CARTESIAN_POINT('',(-1.438789930078E1,1.996662088437E0, +-3.625648278474E1)); +#5989=CARTESIAN_POINT('',(-1.442046582225E1,2.128059105425E0, +-3.619973714878E1)); +#5990=CARTESIAN_POINT('',(-1.445178682434E1,2.270078181901E0, +-3.613063391893E1)); +#5991=CARTESIAN_POINT('',(-1.448214022460E1,2.424804325298E0, +-3.604545592059E1)); +#5992=CARTESIAN_POINT('',(-1.451137306969E1,2.592860954075E0, +-3.594019638084E1)); +#5993=CARTESIAN_POINT('',(-1.453925097027E1,2.774951443540E0, +-3.580925549479E1)); +#5994=CARTESIAN_POINT('',(-1.456474124810E1,2.965969987006E0, +-3.564992319648E1)); +#5995=CARTESIAN_POINT('',(-1.458722725849E1,3.161381878723E0, +-3.545885070089E1)); +#5996=CARTESIAN_POINT('',(-1.460632716047E1,3.356759400691E0, +-3.523168336597E1)); +#5997=CARTESIAN_POINT('',(-1.462188711037E1,3.548243749741E0, +-3.496080472218E1)); +#5998=CARTESIAN_POINT('',(-1.463364921370E1,3.727920086866E0, +-3.463938849318E1)); +#5999=CARTESIAN_POINT('',(-1.463892088354E1,3.831550730943E0, +-3.438913399719E1)); +#6000=CARTESIAN_POINT('',(-1.464098518058E1,3.876763209470E0, +-3.425515488527E1)); +#6002=DIRECTION('',(1.E0,0.E0,0.E0)); +#6003=VECTOR('',#6002,3.34E1); +#6004=CARTESIAN_POINT('',(-1.67E1,7.500000000001E-1,-3.65E1)); +#6005=LINE('',#6004,#6003); +#6006=CARTESIAN_POINT('',(1.4E1,1.35E0,-3.58E1)); +#6007=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6008=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6009=AXIS2_PLACEMENT_3D('',#6006,#6007,#6008); +#6011=CARTESIAN_POINT('',(1.4E1,1.35E0,-3.58E1)); +#6012=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6013=DIRECTION('',(-1.238434703144E-1,0.E0,-9.923017660271E-1)); +#6014=AXIS2_PLACEMENT_3D('',#6011,#6012,#6013); +#6016=CARTESIAN_POINT('',(1.391950174430E1,1.35E0,-3.644499614792E1)); +#6017=CARTESIAN_POINT('',(1.393721915484E1,1.338041064244E0,-3.644720735592E1)); +#6018=CARTESIAN_POINT('',(1.397232874157E1,1.321328208329E0,-3.645017027349E1)); +#6019=CARTESIAN_POINT('',(1.402766791215E1,1.321327102076E0,-3.645017046558E1)); +#6020=CARTESIAN_POINT('',(1.406277963061E1,1.338040244447E0,-3.644720750750E1)); +#6021=CARTESIAN_POINT('',(1.408049825570E1,1.35E0,-3.644499614792E1)); +#6023=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6024=VECTOR('',#6023,8.1E0); +#6025=CARTESIAN_POINT('',(1.4E1,-7.E0,-3.515E1)); +#6026=LINE('',#6025,#6024); +#6027=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6028=VECTOR('',#6027,8.1E0); +#6029=CARTESIAN_POINT('',(1.4E1,-7.E0,-3.645E1)); +#6030=LINE('',#6029,#6028); +#6031=CARTESIAN_POINT('',(1.4E1,-1.51E1,-3.58E1)); +#6032=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6033=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6034=AXIS2_PLACEMENT_3D('',#6031,#6032,#6033); +#6036=CARTESIAN_POINT('',(1.4E1,-1.51E1,-3.58E1)); +#6037=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6038=DIRECTION('',(0.E0,0.E0,1.E0)); +#6039=AXIS2_PLACEMENT_3D('',#6036,#6037,#6038); +#6041=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6042=VECTOR('',#6041,5.1E0); +#6043=CARTESIAN_POINT('',(1.475E1,-7.E0,-2.2E1)); +#6044=LINE('',#6043,#6042); +#6045=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6046=VECTOR('',#6045,1.45E1); +#6047=CARTESIAN_POINT('',(1.550625875692E1,-7.E0,-2.2E1)); +#6048=LINE('',#6047,#6046); +#6049=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6050=VECTOR('',#6049,1.45E1); +#6051=CARTESIAN_POINT('',(-1.550625875692E1,-7.E0,-2.2E1)); +#6052=LINE('',#6051,#6050); +#6053=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6054=VECTOR('',#6053,5.1E0); +#6055=CARTESIAN_POINT('',(-1.475E1,-7.E0,-2.2E1)); +#6056=LINE('',#6055,#6054); +#6057=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6058=VECTOR('',#6057,2.95E1); +#6059=CARTESIAN_POINT('',(1.475E1,-7.E0,-2.71E1)); +#6060=LINE('',#6059,#6058); +#6061=CARTESIAN_POINT('',(-1.4E1,-7.E0,-3.58E1)); +#6062=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6063=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6064=AXIS2_PLACEMENT_3D('',#6061,#6062,#6063); +#6066=CARTESIAN_POINT('',(-1.4E1,-7.E0,-3.58E1)); +#6067=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6068=DIRECTION('',(0.E0,0.E0,1.E0)); +#6069=AXIS2_PLACEMENT_3D('',#6066,#6067,#6068); +#6071=CARTESIAN_POINT('',(-1.E1,-7.E0,-3.58E1)); +#6072=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6073=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6074=AXIS2_PLACEMENT_3D('',#6071,#6072,#6073); +#6076=CARTESIAN_POINT('',(-1.E1,-7.E0,-3.58E1)); +#6077=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6078=DIRECTION('',(0.E0,0.E0,1.E0)); +#6079=AXIS2_PLACEMENT_3D('',#6076,#6077,#6078); +#6081=CARTESIAN_POINT('',(-6.E0,-7.E0,-3.58E1)); +#6082=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6083=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6084=AXIS2_PLACEMENT_3D('',#6081,#6082,#6083); +#6086=CARTESIAN_POINT('',(-6.E0,-7.E0,-3.58E1)); +#6087=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6088=DIRECTION('',(0.E0,0.E0,1.E0)); +#6089=AXIS2_PLACEMENT_3D('',#6086,#6087,#6088); +#6091=CARTESIAN_POINT('',(-2.E0,-7.E0,-3.58E1)); +#6092=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6093=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6094=AXIS2_PLACEMENT_3D('',#6091,#6092,#6093); +#6096=CARTESIAN_POINT('',(-2.E0,-7.E0,-3.58E1)); +#6097=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6098=DIRECTION('',(0.E0,0.E0,1.E0)); +#6099=AXIS2_PLACEMENT_3D('',#6096,#6097,#6098); +#6101=CARTESIAN_POINT('',(2.E0,-7.E0,-3.58E1)); +#6102=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6103=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6104=AXIS2_PLACEMENT_3D('',#6101,#6102,#6103); +#6106=CARTESIAN_POINT('',(2.E0,-7.E0,-3.58E1)); +#6107=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6108=DIRECTION('',(0.E0,0.E0,1.E0)); +#6109=AXIS2_PLACEMENT_3D('',#6106,#6107,#6108); +#6111=CARTESIAN_POINT('',(6.E0,-7.E0,-3.58E1)); +#6112=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6113=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6114=AXIS2_PLACEMENT_3D('',#6111,#6112,#6113); +#6116=CARTESIAN_POINT('',(6.E0,-7.E0,-3.58E1)); +#6117=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6118=DIRECTION('',(0.E0,0.E0,1.E0)); +#6119=AXIS2_PLACEMENT_3D('',#6116,#6117,#6118); +#6121=CARTESIAN_POINT('',(1.E1,-7.E0,-3.58E1)); +#6122=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6123=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6124=AXIS2_PLACEMENT_3D('',#6121,#6122,#6123); +#6126=CARTESIAN_POINT('',(1.E1,-7.E0,-3.58E1)); +#6127=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6128=DIRECTION('',(0.E0,0.E0,1.E0)); +#6129=AXIS2_PLACEMENT_3D('',#6126,#6127,#6128); +#6131=CARTESIAN_POINT('',(1.4E1,-7.E0,-3.58E1)); +#6132=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6133=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6134=AXIS2_PLACEMENT_3D('',#6131,#6132,#6133); +#6136=CARTESIAN_POINT('',(1.4E1,-7.E0,-3.58E1)); +#6137=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6138=DIRECTION('',(0.E0,0.E0,1.E0)); +#6139=AXIS2_PLACEMENT_3D('',#6136,#6137,#6138); +#6141=CARTESIAN_POINT('',(-1.2E1,-7.E0,-3.18E1)); +#6142=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6143=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6144=AXIS2_PLACEMENT_3D('',#6141,#6142,#6143); +#6146=CARTESIAN_POINT('',(-1.2E1,-7.E0,-3.18E1)); +#6147=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6148=DIRECTION('',(0.E0,0.E0,1.E0)); +#6149=AXIS2_PLACEMENT_3D('',#6146,#6147,#6148); +#6151=CARTESIAN_POINT('',(-8.E0,-7.E0,-3.18E1)); +#6152=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6153=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6154=AXIS2_PLACEMENT_3D('',#6151,#6152,#6153); +#6156=CARTESIAN_POINT('',(-8.E0,-7.E0,-3.18E1)); +#6157=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6158=DIRECTION('',(0.E0,0.E0,1.E0)); +#6159=AXIS2_PLACEMENT_3D('',#6156,#6157,#6158); +#6161=CARTESIAN_POINT('',(-4.E0,-7.E0,-3.18E1)); +#6162=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6163=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6164=AXIS2_PLACEMENT_3D('',#6161,#6162,#6163); +#6166=CARTESIAN_POINT('',(-4.E0,-7.E0,-3.18E1)); +#6167=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6168=DIRECTION('',(0.E0,0.E0,1.E0)); +#6169=AXIS2_PLACEMENT_3D('',#6166,#6167,#6168); +#6171=CARTESIAN_POINT('',(5.684341886081E-14,-7.E0,-3.18E1)); +#6172=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6173=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6174=AXIS2_PLACEMENT_3D('',#6171,#6172,#6173); +#6176=CARTESIAN_POINT('',(5.684341886081E-14,-7.E0,-3.18E1)); +#6177=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6178=DIRECTION('',(0.E0,0.E0,1.E0)); +#6179=AXIS2_PLACEMENT_3D('',#6176,#6177,#6178); +#6181=CARTESIAN_POINT('',(4.E0,-7.E0,-3.18E1)); +#6182=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6183=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6184=AXIS2_PLACEMENT_3D('',#6181,#6182,#6183); +#6186=CARTESIAN_POINT('',(4.E0,-7.E0,-3.18E1)); +#6187=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6188=DIRECTION('',(0.E0,0.E0,1.E0)); +#6189=AXIS2_PLACEMENT_3D('',#6186,#6187,#6188); +#6191=CARTESIAN_POINT('',(8.E0,-7.E0,-3.18E1)); +#6192=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6193=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6194=AXIS2_PLACEMENT_3D('',#6191,#6192,#6193); +#6196=CARTESIAN_POINT('',(8.E0,-7.E0,-3.18E1)); +#6197=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6198=DIRECTION('',(0.E0,0.E0,1.E0)); +#6199=AXIS2_PLACEMENT_3D('',#6196,#6197,#6198); +#6201=CARTESIAN_POINT('',(1.2E1,-7.E0,-3.18E1)); +#6202=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6203=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6204=AXIS2_PLACEMENT_3D('',#6201,#6202,#6203); +#6206=CARTESIAN_POINT('',(1.2E1,-7.E0,-3.18E1)); +#6207=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6208=DIRECTION('',(0.E0,0.E0,1.E0)); +#6209=AXIS2_PLACEMENT_3D('',#6206,#6207,#6208); +#6211=CARTESIAN_POINT('',(-1.4E1,-7.E0,-2.78E1)); +#6212=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6213=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6214=AXIS2_PLACEMENT_3D('',#6211,#6212,#6213); +#6216=CARTESIAN_POINT('',(-1.4E1,-7.E0,-2.78E1)); +#6217=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6218=DIRECTION('',(0.E0,0.E0,1.E0)); +#6219=AXIS2_PLACEMENT_3D('',#6216,#6217,#6218); +#6221=CARTESIAN_POINT('',(-1.E1,-7.E0,-2.78E1)); +#6222=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6223=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6224=AXIS2_PLACEMENT_3D('',#6221,#6222,#6223); +#6226=CARTESIAN_POINT('',(-1.E1,-7.E0,-2.78E1)); +#6227=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6228=DIRECTION('',(0.E0,0.E0,1.E0)); +#6229=AXIS2_PLACEMENT_3D('',#6226,#6227,#6228); +#6231=CARTESIAN_POINT('',(-6.E0,-7.E0,-2.78E1)); +#6232=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6233=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6234=AXIS2_PLACEMENT_3D('',#6231,#6232,#6233); +#6236=CARTESIAN_POINT('',(-6.E0,-7.E0,-2.78E1)); +#6237=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6238=DIRECTION('',(0.E0,0.E0,1.E0)); +#6239=AXIS2_PLACEMENT_3D('',#6236,#6237,#6238); +#6241=CARTESIAN_POINT('',(-2.E0,-7.E0,-2.78E1)); +#6242=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6243=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6244=AXIS2_PLACEMENT_3D('',#6241,#6242,#6243); +#6246=CARTESIAN_POINT('',(-2.E0,-7.E0,-2.78E1)); +#6247=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6248=DIRECTION('',(0.E0,0.E0,1.E0)); +#6249=AXIS2_PLACEMENT_3D('',#6246,#6247,#6248); +#6251=CARTESIAN_POINT('',(2.E0,-7.E0,-2.78E1)); +#6252=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6253=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6254=AXIS2_PLACEMENT_3D('',#6251,#6252,#6253); +#6256=CARTESIAN_POINT('',(2.E0,-7.E0,-2.78E1)); +#6257=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6258=DIRECTION('',(0.E0,0.E0,1.E0)); +#6259=AXIS2_PLACEMENT_3D('',#6256,#6257,#6258); +#6261=CARTESIAN_POINT('',(6.E0,-7.E0,-2.78E1)); +#6262=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6263=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6264=AXIS2_PLACEMENT_3D('',#6261,#6262,#6263); +#6266=CARTESIAN_POINT('',(6.E0,-7.E0,-2.78E1)); +#6267=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6268=DIRECTION('',(0.E0,0.E0,1.E0)); +#6269=AXIS2_PLACEMENT_3D('',#6266,#6267,#6268); +#6271=CARTESIAN_POINT('',(1.E1,-7.E0,-2.78E1)); +#6272=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6273=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6274=AXIS2_PLACEMENT_3D('',#6271,#6272,#6273); +#6276=CARTESIAN_POINT('',(1.E1,-7.E0,-2.78E1)); +#6277=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6278=DIRECTION('',(0.E0,0.E0,1.E0)); +#6279=AXIS2_PLACEMENT_3D('',#6276,#6277,#6278); +#6281=CARTESIAN_POINT('',(1.4E1,-7.E0,-2.78E1)); +#6282=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6283=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6284=AXIS2_PLACEMENT_3D('',#6281,#6282,#6283); +#6286=CARTESIAN_POINT('',(1.4E1,-7.E0,-2.78E1)); +#6287=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6288=DIRECTION('',(0.E0,0.E0,1.E0)); +#6289=AXIS2_PLACEMENT_3D('',#6286,#6287,#6288); +#6291=DIRECTION('',(0.E0,-5.E-1,8.660254037844E-1)); +#6292=VECTOR('',#6291,5.888972745734E0); +#6293=CARTESIAN_POINT('',(1.475E1,-7.E0,-2.71E1)); +#6294=LINE('',#6293,#6292); +#6295=DIRECTION('',(0.E0,-5.E-1,8.660254037844E-1)); +#6296=VECTOR('',#6295,5.888972745734E0); +#6297=CARTESIAN_POINT('',(-1.475E1,-7.E0,-2.71E1)); +#6298=LINE('',#6297,#6296); +#6299=DIRECTION('',(0.E0,0.E0,1.E0)); +#6300=VECTOR('',#6299,7.444982324106E0); +#6301=CARTESIAN_POINT('',(1.67E1,5.5E0,-2.944498232411E1)); +#6302=LINE('',#6301,#6300); +#6303=DIRECTION('',(0.E0,3.197439046264E-1,9.475040028698E-1)); +#6304=VECTOR('',#6303,5.076677825732E0); +#6305=CARTESIAN_POINT('',(1.67E1,3.876763209470E0,-3.425515488527E1)); +#6306=LINE('',#6305,#6304); +#6307=CARTESIAN_POINT('',(1.67E1,7.500000000001E-1,-3.32E1)); +#6308=DIRECTION('',(1.E0,0.E0,0.E0)); +#6309=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6310=AXIS2_PLACEMENT_3D('',#6307,#6308,#6309); +#6312=DIRECTION('',(0.E0,1.E0,0.E0)); +#6313=VECTOR('',#6312,5.837498866779E0); +#6314=CARTESIAN_POINT('',(1.67E1,-5.087498866778E0,-3.65E1)); +#6315=LINE('',#6314,#6313); +#6316=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6317=VECTOR('',#6316,1.45E1); +#6318=CARTESIAN_POINT('',(1.67E1,-5.087498866778E0,-2.2E1)); +#6319=LINE('',#6318,#6317); +#6320=CARTESIAN_POINT('',(1.52E1,5.5E0,-2.2E1)); +#6321=DIRECTION('',(0.E0,0.E0,1.E0)); +#6322=DIRECTION('',(1.E0,0.E0,0.E0)); +#6323=AXIS2_PLACEMENT_3D('',#6320,#6321,#6322); +#6325=DIRECTION('',(5.294974447832E-1,8.483115323795E-1,0.E0)); +#6326=VECTOR('',#6325,2.254479704946E0); +#6327=CARTESIAN_POINT('',(1.550625875692E1,-7.E0,-3.65E1)); +#6328=LINE('',#6327,#6326); +#6329=DIRECTION('',(5.294974447832E-1,-8.483115323795E-1,0.E0)); +#6330=VECTOR('',#6329,2.254479704946E0); +#6331=CARTESIAN_POINT('',(-1.67E1,-5.087498866778E0,-3.65E1)); +#6332=LINE('',#6331,#6330); +#6333=DIRECTION('',(1.E0,0.E0,0.E0)); +#6334=VECTOR('',#6333,3.101251751383E1); +#6335=CARTESIAN_POINT('',(-1.550625875692E1,-7.E0,-3.65E1)); +#6336=LINE('',#6335,#6334); +#6337=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6338=VECTOR('',#6337,7.444982324106E0); +#6339=CARTESIAN_POINT('',(-1.67E1,5.5E0,-2.2E1)); +#6340=LINE('',#6339,#6338); +#6341=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6342=VECTOR('',#6341,1.45E1); +#6343=CARTESIAN_POINT('',(-1.67E1,-5.087498866778E0,-2.2E1)); +#6344=LINE('',#6343,#6342); +#6345=DIRECTION('',(0.E0,1.E0,0.E0)); +#6346=VECTOR('',#6345,5.837498866779E0); +#6347=CARTESIAN_POINT('',(-1.67E1,-5.087498866778E0,-3.65E1)); +#6348=LINE('',#6347,#6346); +#6349=CARTESIAN_POINT('',(-1.67E1,7.500000000001E-1,-3.32E1)); +#6350=DIRECTION('',(1.E0,0.E0,0.E0)); +#6351=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6352=AXIS2_PLACEMENT_3D('',#6349,#6350,#6351); +#6354=DIRECTION('',(0.E0,3.197439046264E-1,9.475040028698E-1)); +#6355=VECTOR('',#6354,5.076677825732E0); +#6356=CARTESIAN_POINT('',(-1.67E1,3.876763209470E0,-3.425515488527E1)); +#6357=LINE('',#6356,#6355); +#6358=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6359=VECTOR('',#6358,8.1E0); +#6360=CARTESIAN_POINT('',(-1.4E1,-7.E0,-3.645E1)); +#6361=LINE('',#6360,#6359); +#6362=CARTESIAN_POINT('',(-1.4E1,1.35E0,-3.58E1)); +#6363=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6364=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6365=AXIS2_PLACEMENT_3D('',#6362,#6363,#6364); +#6367=CARTESIAN_POINT('',(-1.4E1,1.35E0,-3.58E1)); +#6368=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6369=DIRECTION('',(-1.238434703143E-1,0.E0,-9.923017660271E-1)); +#6370=AXIS2_PLACEMENT_3D('',#6367,#6368,#6369); +#6372=CARTESIAN_POINT('',(-1.408049825570E1,1.35E0,-3.644499614792E1)); +#6373=CARTESIAN_POINT('',(-1.406278084516E1,1.338041064244E0, +-3.644720735592E1)); +#6374=CARTESIAN_POINT('',(-1.402767125843E1,1.321328208329E0, +-3.645017027349E1)); +#6375=CARTESIAN_POINT('',(-1.397233208785E1,1.321327102076E0, +-3.645017046558E1)); +#6376=CARTESIAN_POINT('',(-1.393722036939E1,1.338040244447E0, +-3.644720750750E1)); +#6377=CARTESIAN_POINT('',(-1.391950174430E1,1.35E0,-3.644499614792E1)); +#6379=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6380=VECTOR('',#6379,8.1E0); +#6381=CARTESIAN_POINT('',(-1.4E1,-7.E0,-3.515E1)); +#6382=LINE('',#6381,#6380); +#6383=CARTESIAN_POINT('',(-1.4E1,4.E0,-3.315E1)); +#6384=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6385=DIRECTION('',(0.E0,1.E0,0.E0)); +#6386=AXIS2_PLACEMENT_3D('',#6383,#6384,#6385); +#6388=CARTESIAN_POINT('',(-1.4E1,4.E0,-3.315E1)); +#6389=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6390=DIRECTION('',(-9.232640713473E-1,3.841659206114E-1,0.E0)); +#6391=AXIS2_PLACEMENT_3D('',#6388,#6389,#6390); +#6393=CARTESIAN_POINT('',(-1.339987835362E1,4.249707848397E0,-3.315E1)); +#6394=CARTESIAN_POINT('',(-1.342488342222E1,4.309802407025E0, +-3.297192049942E1)); +#6395=CARTESIAN_POINT('',(-1.347489355942E1,4.388911792851E0, +-3.273749395164E1)); +#6396=CARTESIAN_POINT('',(-1.357491383382E1,4.500611330572E0, +-3.240649230445E1)); +#6397=CARTESIAN_POINT('',(-1.369993917681E1,4.584249480515E0, +-3.215864557237E1)); +#6398=CARTESIAN_POINT('',(-1.384996958841E1,4.638697265885E0, +-3.199729927667E1)); +#6399=CARTESIAN_POINT('',(-1.4E1,4.655651367058E0,-3.194705882331E1)); +#6400=CARTESIAN_POINT('',(-1.415003041159E1,4.638697265885E0, +-3.199729927667E1)); +#6401=CARTESIAN_POINT('',(-1.430006082319E1,4.584249480515E0, +-3.215864557237E1)); +#6402=CARTESIAN_POINT('',(-1.442508616618E1,4.500611330572E0, +-3.240649230445E1)); +#6403=CARTESIAN_POINT('',(-1.452510644058E1,4.388911792851E0, +-3.273749395164E1)); +#6404=CARTESIAN_POINT('',(-1.457511657778E1,4.309802407025E0, +-3.297192049942E1)); +#6405=CARTESIAN_POINT('',(-1.460012164638E1,4.249707848397E0,-3.315E1)); +#6407=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6408=VECTOR('',#6407,7.525E0); +#6409=CARTESIAN_POINT('',(-1.4E1,3.35E0,-1.2475E1)); +#6410=LINE('',#6409,#6408); +#6411=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6412=VECTOR('',#6411,7.525E0); +#6413=CARTESIAN_POINT('',(-1.4E1,4.65E0,-1.2475E1)); +#6414=LINE('',#6413,#6412); +#6415=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.2475E1)); +#6416=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6417=DIRECTION('',(0.E0,1.E0,0.E0)); +#6418=AXIS2_PLACEMENT_3D('',#6415,#6416,#6417); +#6420=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.2475E1)); +#6421=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6422=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6423=AXIS2_PLACEMENT_3D('',#6420,#6421,#6422); +#6425=CARTESIAN_POINT('',(-1.4E1,-1.51E1,-3.58E1)); +#6426=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6427=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6428=AXIS2_PLACEMENT_3D('',#6425,#6426,#6427); +#6430=CARTESIAN_POINT('',(-1.4E1,-1.51E1,-3.58E1)); +#6431=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6432=DIRECTION('',(0.E0,0.E0,1.E0)); +#6433=AXIS2_PLACEMENT_3D('',#6430,#6431,#6432); +#6435=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6436=VECTOR('',#6435,8.1E0); +#6437=CARTESIAN_POINT('',(-1.E1,-7.E0,-3.645E1)); +#6438=LINE('',#6437,#6436); +#6439=CARTESIAN_POINT('',(-1.E1,1.35E0,-3.58E1)); +#6440=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6441=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6442=AXIS2_PLACEMENT_3D('',#6439,#6440,#6441); +#6444=CARTESIAN_POINT('',(-1.E1,1.35E0,-3.58E1)); +#6445=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6446=DIRECTION('',(-1.238434703143E-1,0.E0,-9.923017660271E-1)); +#6447=AXIS2_PLACEMENT_3D('',#6444,#6445,#6446); +#6449=CARTESIAN_POINT('',(-1.008049825570E1,1.35E0,-3.644499614792E1)); +#6450=CARTESIAN_POINT('',(-1.006278084516E1,1.338041064244E0, +-3.644720735592E1)); +#6451=CARTESIAN_POINT('',(-1.002767125843E1,1.321328208329E0, +-3.645017027349E1)); +#6452=CARTESIAN_POINT('',(-9.972332087853E0,1.321327102076E0, +-3.645017046558E1)); +#6453=CARTESIAN_POINT('',(-9.937220369387E0,1.338040244447E0, +-3.644720750750E1)); +#6454=CARTESIAN_POINT('',(-9.919501744296E0,1.35E0,-3.644499614792E1)); +#6456=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6457=VECTOR('',#6456,8.1E0); +#6458=CARTESIAN_POINT('',(-1.E1,-7.E0,-3.515E1)); +#6459=LINE('',#6458,#6457); +#6460=CARTESIAN_POINT('',(-1.E1,4.E0,-3.315E1)); +#6461=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6462=DIRECTION('',(0.E0,1.E0,0.E0)); +#6463=AXIS2_PLACEMENT_3D('',#6460,#6461,#6462); +#6465=CARTESIAN_POINT('',(-1.E1,4.E0,-3.315E1)); +#6466=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6467=DIRECTION('',(-9.232640713473E-1,3.841659206114E-1,0.E0)); +#6468=AXIS2_PLACEMENT_3D('',#6465,#6466,#6467); +#6470=CARTESIAN_POINT('',(-9.399878353624E0,4.249707848397E0,-3.315E1)); +#6471=CARTESIAN_POINT('',(-9.424883422223E0,4.309802407025E0, +-3.297192049942E1)); +#6472=CARTESIAN_POINT('',(-9.474893559421E0,4.388911792851E0, +-3.273749395164E1)); +#6473=CARTESIAN_POINT('',(-9.574913833817E0,4.500611330572E0, +-3.240649230445E1)); +#6474=CARTESIAN_POINT('',(-9.699939176812E0,4.584249480515E0, +-3.215864557237E1)); +#6475=CARTESIAN_POINT('',(-9.849969588406E0,4.638697265885E0, +-3.199729927667E1)); +#6476=CARTESIAN_POINT('',(-1.E1,4.655651367058E0,-3.194705882331E1)); +#6477=CARTESIAN_POINT('',(-1.015003041159E1,4.638697265885E0, +-3.199729927667E1)); +#6478=CARTESIAN_POINT('',(-1.030006082319E1,4.584249480515E0, +-3.215864557237E1)); +#6479=CARTESIAN_POINT('',(-1.042508616618E1,4.500611330572E0, +-3.240649230445E1)); +#6480=CARTESIAN_POINT('',(-1.052510644058E1,4.388911792851E0, +-3.273749395164E1)); +#6481=CARTESIAN_POINT('',(-1.057511657778E1,4.309802407025E0, +-3.297192049942E1)); +#6482=CARTESIAN_POINT('',(-1.060012164638E1,4.249707848397E0,-3.315E1)); +#6484=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6485=VECTOR('',#6484,7.525E0); +#6486=CARTESIAN_POINT('',(-1.E1,3.35E0,-1.2475E1)); +#6487=LINE('',#6486,#6485); +#6488=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6489=VECTOR('',#6488,7.525E0); +#6490=CARTESIAN_POINT('',(-1.E1,4.65E0,-1.2475E1)); +#6491=LINE('',#6490,#6489); +#6492=CARTESIAN_POINT('',(-1.E1,4.E0,-1.2475E1)); +#6493=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6494=DIRECTION('',(0.E0,1.E0,0.E0)); +#6495=AXIS2_PLACEMENT_3D('',#6492,#6493,#6494); +#6497=CARTESIAN_POINT('',(-1.E1,4.E0,-1.2475E1)); +#6498=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6499=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6500=AXIS2_PLACEMENT_3D('',#6497,#6498,#6499); +#6502=CARTESIAN_POINT('',(-1.E1,-1.51E1,-3.58E1)); +#6503=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6504=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6505=AXIS2_PLACEMENT_3D('',#6502,#6503,#6504); +#6507=CARTESIAN_POINT('',(-1.E1,-1.51E1,-3.58E1)); +#6508=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6509=DIRECTION('',(0.E0,0.E0,1.E0)); +#6510=AXIS2_PLACEMENT_3D('',#6507,#6508,#6509); +#6512=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6513=VECTOR('',#6512,8.1E0); +#6514=CARTESIAN_POINT('',(-6.E0,-7.E0,-3.645E1)); +#6515=LINE('',#6514,#6513); +#6516=CARTESIAN_POINT('',(-6.E0,1.35E0,-3.58E1)); +#6517=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6518=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6519=AXIS2_PLACEMENT_3D('',#6516,#6517,#6518); +#6521=CARTESIAN_POINT('',(-6.E0,1.35E0,-3.58E1)); +#6522=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6523=DIRECTION('',(-1.238434703143E-1,0.E0,-9.923017660271E-1)); +#6524=AXIS2_PLACEMENT_3D('',#6521,#6522,#6523); +#6526=CARTESIAN_POINT('',(-6.080498255704E0,1.35E0,-3.644499614792E1)); +#6527=CARTESIAN_POINT('',(-6.062780845158E0,1.338041064244E0, +-3.644720735592E1)); +#6528=CARTESIAN_POINT('',(-6.027671258426E0,1.321328208329E0, +-3.645017027349E1)); +#6529=CARTESIAN_POINT('',(-5.972332087853E0,1.321327102076E0, +-3.645017046558E1)); +#6530=CARTESIAN_POINT('',(-5.937220369387E0,1.338040244447E0, +-3.644720750750E1)); +#6531=CARTESIAN_POINT('',(-5.919501744296E0,1.35E0,-3.644499614792E1)); +#6533=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6534=VECTOR('',#6533,8.1E0); +#6535=CARTESIAN_POINT('',(-6.E0,-7.E0,-3.515E1)); +#6536=LINE('',#6535,#6534); +#6537=CARTESIAN_POINT('',(-6.E0,4.E0,-3.315E1)); +#6538=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6539=DIRECTION('',(0.E0,1.E0,0.E0)); +#6540=AXIS2_PLACEMENT_3D('',#6537,#6538,#6539); +#6542=CARTESIAN_POINT('',(-6.E0,4.E0,-3.315E1)); +#6543=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6544=DIRECTION('',(-9.232640713473E-1,3.841659206114E-1,0.E0)); +#6545=AXIS2_PLACEMENT_3D('',#6542,#6543,#6544); +#6547=CARTESIAN_POINT('',(-5.399878353624E0,4.249707848397E0,-3.315E1)); +#6548=CARTESIAN_POINT('',(-5.424883422223E0,4.309802407025E0, +-3.297192049942E1)); +#6549=CARTESIAN_POINT('',(-5.474893559421E0,4.388911792851E0, +-3.273749395164E1)); +#6550=CARTESIAN_POINT('',(-5.574913833817E0,4.500611330572E0, +-3.240649230445E1)); +#6551=CARTESIAN_POINT('',(-5.699939176812E0,4.584249480515E0, +-3.215864557237E1)); +#6552=CARTESIAN_POINT('',(-5.849969588406E0,4.638697265885E0, +-3.199729927667E1)); +#6553=CARTESIAN_POINT('',(-6.E0,4.655651367058E0,-3.194705882331E1)); +#6554=CARTESIAN_POINT('',(-6.150030411594E0,4.638697265885E0, +-3.199729927667E1)); +#6555=CARTESIAN_POINT('',(-6.300060823188E0,4.584249480515E0, +-3.215864557237E1)); +#6556=CARTESIAN_POINT('',(-6.425086166183E0,4.500611330572E0, +-3.240649230445E1)); +#6557=CARTESIAN_POINT('',(-6.525106440579E0,4.388911792851E0, +-3.273749395164E1)); +#6558=CARTESIAN_POINT('',(-6.575116577777E0,4.309802407025E0, +-3.297192049942E1)); +#6559=CARTESIAN_POINT('',(-6.600121646376E0,4.249707848397E0,-3.315E1)); +#6561=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6562=VECTOR('',#6561,7.525E0); +#6563=CARTESIAN_POINT('',(-6.E0,3.35E0,-1.2475E1)); +#6564=LINE('',#6563,#6562); +#6565=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6566=VECTOR('',#6565,7.525E0); +#6567=CARTESIAN_POINT('',(-6.E0,4.65E0,-1.2475E1)); +#6568=LINE('',#6567,#6566); +#6569=CARTESIAN_POINT('',(-6.E0,4.E0,-1.2475E1)); +#6570=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6571=DIRECTION('',(0.E0,1.E0,0.E0)); +#6572=AXIS2_PLACEMENT_3D('',#6569,#6570,#6571); +#6574=CARTESIAN_POINT('',(-6.E0,4.E0,-1.2475E1)); +#6575=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6576=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6577=AXIS2_PLACEMENT_3D('',#6574,#6575,#6576); +#6579=CARTESIAN_POINT('',(-6.E0,-1.51E1,-3.58E1)); +#6580=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6581=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6582=AXIS2_PLACEMENT_3D('',#6579,#6580,#6581); +#6584=CARTESIAN_POINT('',(-6.E0,-1.51E1,-3.58E1)); +#6585=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6586=DIRECTION('',(0.E0,0.E0,1.E0)); +#6587=AXIS2_PLACEMENT_3D('',#6584,#6585,#6586); +#6589=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6590=VECTOR('',#6589,8.1E0); +#6591=CARTESIAN_POINT('',(-2.E0,-7.E0,-3.645E1)); +#6592=LINE('',#6591,#6590); +#6593=CARTESIAN_POINT('',(-2.E0,1.35E0,-3.58E1)); +#6594=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6595=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6596=AXIS2_PLACEMENT_3D('',#6593,#6594,#6595); +#6598=CARTESIAN_POINT('',(-2.E0,1.35E0,-3.58E1)); +#6599=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6600=DIRECTION('',(-1.238434703144E-1,0.E0,-9.923017660271E-1)); +#6601=AXIS2_PLACEMENT_3D('',#6598,#6599,#6600); +#6603=CARTESIAN_POINT('',(-2.080498255704E0,1.35E0,-3.644499614792E1)); +#6604=CARTESIAN_POINT('',(-2.062780845158E0,1.338041064244E0, +-3.644720735592E1)); +#6605=CARTESIAN_POINT('',(-2.027671258426E0,1.321328208329E0, +-3.645017027349E1)); +#6606=CARTESIAN_POINT('',(-1.972332087853E0,1.321327102076E0, +-3.645017046558E1)); +#6607=CARTESIAN_POINT('',(-1.937220369387E0,1.338040244447E0, +-3.644720750750E1)); +#6608=CARTESIAN_POINT('',(-1.919501744296E0,1.35E0,-3.644499614792E1)); +#6610=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6611=VECTOR('',#6610,8.1E0); +#6612=CARTESIAN_POINT('',(-2.E0,-7.E0,-3.515E1)); +#6613=LINE('',#6612,#6611); +#6614=CARTESIAN_POINT('',(-2.E0,4.E0,-3.315E1)); +#6615=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6616=DIRECTION('',(0.E0,1.E0,0.E0)); +#6617=AXIS2_PLACEMENT_3D('',#6614,#6615,#6616); +#6619=CARTESIAN_POINT('',(-2.E0,4.E0,-3.315E1)); +#6620=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6621=DIRECTION('',(-9.232640713473E-1,3.841659206114E-1,0.E0)); +#6622=AXIS2_PLACEMENT_3D('',#6619,#6620,#6621); +#6624=CARTESIAN_POINT('',(-1.399878353624E0,4.249707848397E0,-3.315E1)); +#6625=CARTESIAN_POINT('',(-1.424883422223E0,4.309802407025E0, +-3.297192049942E1)); +#6626=CARTESIAN_POINT('',(-1.474893559421E0,4.388911792851E0, +-3.273749395164E1)); +#6627=CARTESIAN_POINT('',(-1.574913833817E0,4.500611330572E0, +-3.240649230445E1)); +#6628=CARTESIAN_POINT('',(-1.699939176812E0,4.584249480515E0, +-3.215864557237E1)); +#6629=CARTESIAN_POINT('',(-1.849969588406E0,4.638697265885E0, +-3.199729927667E1)); +#6630=CARTESIAN_POINT('',(-2.E0,4.655651367058E0,-3.194705882331E1)); +#6631=CARTESIAN_POINT('',(-2.150030411594E0,4.638697265885E0, +-3.199729927667E1)); +#6632=CARTESIAN_POINT('',(-2.300060823188E0,4.584249480515E0, +-3.215864557237E1)); +#6633=CARTESIAN_POINT('',(-2.425086166183E0,4.500611330572E0, +-3.240649230445E1)); +#6634=CARTESIAN_POINT('',(-2.525106440579E0,4.388911792851E0, +-3.273749395164E1)); +#6635=CARTESIAN_POINT('',(-2.575116577777E0,4.309802407025E0, +-3.297192049942E1)); +#6636=CARTESIAN_POINT('',(-2.600121646376E0,4.249707848397E0,-3.315E1)); +#6638=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6639=VECTOR('',#6638,7.525E0); +#6640=CARTESIAN_POINT('',(-2.E0,3.35E0,-1.2475E1)); +#6641=LINE('',#6640,#6639); +#6642=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6643=VECTOR('',#6642,7.525E0); +#6644=CARTESIAN_POINT('',(-2.E0,4.65E0,-1.2475E1)); +#6645=LINE('',#6644,#6643); +#6646=CARTESIAN_POINT('',(-2.E0,4.E0,-1.2475E1)); +#6647=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6648=DIRECTION('',(0.E0,1.E0,0.E0)); +#6649=AXIS2_PLACEMENT_3D('',#6646,#6647,#6648); +#6651=CARTESIAN_POINT('',(-2.E0,4.E0,-1.2475E1)); +#6652=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6653=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6654=AXIS2_PLACEMENT_3D('',#6651,#6652,#6653); +#6656=CARTESIAN_POINT('',(-2.E0,-1.51E1,-3.58E1)); +#6657=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6658=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6659=AXIS2_PLACEMENT_3D('',#6656,#6657,#6658); +#6661=CARTESIAN_POINT('',(-2.E0,-1.51E1,-3.58E1)); +#6662=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6663=DIRECTION('',(0.E0,0.E0,1.E0)); +#6664=AXIS2_PLACEMENT_3D('',#6661,#6662,#6663); +#6666=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6667=VECTOR('',#6666,8.1E0); +#6668=CARTESIAN_POINT('',(2.E0,-7.E0,-3.645E1)); +#6669=LINE('',#6668,#6667); +#6670=CARTESIAN_POINT('',(2.E0,1.35E0,-3.58E1)); +#6671=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6672=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6673=AXIS2_PLACEMENT_3D('',#6670,#6671,#6672); +#6675=CARTESIAN_POINT('',(2.E0,1.35E0,-3.58E1)); +#6676=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6677=DIRECTION('',(-1.238434703144E-1,0.E0,-9.923017660271E-1)); +#6678=AXIS2_PLACEMENT_3D('',#6675,#6676,#6677); +#6680=CARTESIAN_POINT('',(1.919501744296E0,1.35E0,-3.644499614792E1)); +#6681=CARTESIAN_POINT('',(1.937219154841E0,1.338041064244E0,-3.644720735592E1)); +#6682=CARTESIAN_POINT('',(1.972328741574E0,1.321328208329E0,-3.645017027349E1)); +#6683=CARTESIAN_POINT('',(2.027667912147E0,1.321327102076E0,-3.645017046558E1)); +#6684=CARTESIAN_POINT('',(2.062779630613E0,1.338040244447E0,-3.644720750750E1)); +#6685=CARTESIAN_POINT('',(2.080498255704E0,1.35E0,-3.644499614792E1)); +#6687=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6688=VECTOR('',#6687,8.1E0); +#6689=CARTESIAN_POINT('',(2.E0,-7.E0,-3.515E1)); +#6690=LINE('',#6689,#6688); +#6691=CARTESIAN_POINT('',(2.E0,4.E0,-3.315E1)); +#6692=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6693=DIRECTION('',(0.E0,1.E0,0.E0)); +#6694=AXIS2_PLACEMENT_3D('',#6691,#6692,#6693); +#6696=CARTESIAN_POINT('',(2.E0,4.E0,-3.315E1)); +#6697=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6698=DIRECTION('',(-9.232640713473E-1,3.841659206114E-1,0.E0)); +#6699=AXIS2_PLACEMENT_3D('',#6696,#6697,#6698); +#6701=CARTESIAN_POINT('',(2.600121646376E0,4.249707848397E0,-3.315E1)); +#6702=CARTESIAN_POINT('',(2.575116577777E0,4.309802407025E0,-3.297192049942E1)); +#6703=CARTESIAN_POINT('',(2.525106440579E0,4.388911792851E0,-3.273749395164E1)); +#6704=CARTESIAN_POINT('',(2.425086166183E0,4.500611330572E0,-3.240649230445E1)); +#6705=CARTESIAN_POINT('',(2.300060823188E0,4.584249480515E0,-3.215864557237E1)); +#6706=CARTESIAN_POINT('',(2.150030411594E0,4.638697265885E0,-3.199729927667E1)); +#6707=CARTESIAN_POINT('',(2.E0,4.655651367058E0,-3.194705882331E1)); +#6708=CARTESIAN_POINT('',(1.849969588406E0,4.638697265885E0,-3.199729927667E1)); +#6709=CARTESIAN_POINT('',(1.699939176812E0,4.584249480515E0,-3.215864557237E1)); +#6710=CARTESIAN_POINT('',(1.574913833817E0,4.500611330572E0,-3.240649230445E1)); +#6711=CARTESIAN_POINT('',(1.474893559421E0,4.388911792851E0,-3.273749395164E1)); +#6712=CARTESIAN_POINT('',(1.424883422223E0,4.309802407025E0,-3.297192049942E1)); +#6713=CARTESIAN_POINT('',(1.399878353624E0,4.249707848397E0,-3.315E1)); +#6715=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6716=VECTOR('',#6715,7.525E0); +#6717=CARTESIAN_POINT('',(2.E0,3.35E0,-1.2475E1)); +#6718=LINE('',#6717,#6716); +#6719=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6720=VECTOR('',#6719,7.525E0); +#6721=CARTESIAN_POINT('',(2.E0,4.65E0,-1.2475E1)); +#6722=LINE('',#6721,#6720); +#6723=CARTESIAN_POINT('',(2.E0,4.E0,-1.2475E1)); +#6724=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6725=DIRECTION('',(0.E0,1.E0,0.E0)); +#6726=AXIS2_PLACEMENT_3D('',#6723,#6724,#6725); +#6728=CARTESIAN_POINT('',(2.E0,4.E0,-1.2475E1)); +#6729=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6730=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6731=AXIS2_PLACEMENT_3D('',#6728,#6729,#6730); +#6733=CARTESIAN_POINT('',(2.E0,-1.51E1,-3.58E1)); +#6734=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6735=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6736=AXIS2_PLACEMENT_3D('',#6733,#6734,#6735); +#6738=CARTESIAN_POINT('',(2.E0,-1.51E1,-3.58E1)); +#6739=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6740=DIRECTION('',(0.E0,0.E0,1.E0)); +#6741=AXIS2_PLACEMENT_3D('',#6738,#6739,#6740); +#6743=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6744=VECTOR('',#6743,8.1E0); +#6745=CARTESIAN_POINT('',(6.E0,-7.E0,-3.645E1)); +#6746=LINE('',#6745,#6744); +#6747=CARTESIAN_POINT('',(6.E0,1.35E0,-3.58E1)); +#6748=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6749=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6750=AXIS2_PLACEMENT_3D('',#6747,#6748,#6749); +#6752=CARTESIAN_POINT('',(6.E0,1.35E0,-3.58E1)); +#6753=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6754=DIRECTION('',(-1.238434703143E-1,0.E0,-9.923017660271E-1)); +#6755=AXIS2_PLACEMENT_3D('',#6752,#6753,#6754); +#6757=CARTESIAN_POINT('',(5.919501744296E0,1.35E0,-3.644499614792E1)); +#6758=CARTESIAN_POINT('',(5.937219154841E0,1.338041064244E0,-3.644720735592E1)); +#6759=CARTESIAN_POINT('',(5.972328741574E0,1.321328208329E0,-3.645017027349E1)); +#6760=CARTESIAN_POINT('',(6.027667912147E0,1.321327102076E0,-3.645017046558E1)); +#6761=CARTESIAN_POINT('',(6.062779630613E0,1.338040244447E0,-3.644720750750E1)); +#6762=CARTESIAN_POINT('',(6.080498255704E0,1.35E0,-3.644499614792E1)); +#6764=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6765=VECTOR('',#6764,8.1E0); +#6766=CARTESIAN_POINT('',(6.E0,-7.E0,-3.515E1)); +#6767=LINE('',#6766,#6765); +#6768=CARTESIAN_POINT('',(6.E0,4.E0,-3.315E1)); +#6769=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6770=DIRECTION('',(0.E0,1.E0,0.E0)); +#6771=AXIS2_PLACEMENT_3D('',#6768,#6769,#6770); +#6773=CARTESIAN_POINT('',(6.E0,4.E0,-3.315E1)); +#6774=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6775=DIRECTION('',(-9.232640713473E-1,3.841659206114E-1,0.E0)); +#6776=AXIS2_PLACEMENT_3D('',#6773,#6774,#6775); +#6778=CARTESIAN_POINT('',(6.600121646376E0,4.249707848397E0,-3.315E1)); +#6779=CARTESIAN_POINT('',(6.575116577777E0,4.309802407025E0,-3.297192049942E1)); +#6780=CARTESIAN_POINT('',(6.525106440579E0,4.388911792851E0,-3.273749395164E1)); +#6781=CARTESIAN_POINT('',(6.425086166183E0,4.500611330572E0,-3.240649230445E1)); +#6782=CARTESIAN_POINT('',(6.300060823188E0,4.584249480515E0,-3.215864557237E1)); +#6783=CARTESIAN_POINT('',(6.150030411594E0,4.638697265885E0,-3.199729927667E1)); +#6784=CARTESIAN_POINT('',(6.E0,4.655651367058E0,-3.194705882331E1)); +#6785=CARTESIAN_POINT('',(5.849969588406E0,4.638697265885E0,-3.199729927667E1)); +#6786=CARTESIAN_POINT('',(5.699939176812E0,4.584249480515E0,-3.215864557237E1)); +#6787=CARTESIAN_POINT('',(5.574913833817E0,4.500611330572E0,-3.240649230445E1)); +#6788=CARTESIAN_POINT('',(5.474893559421E0,4.388911792851E0,-3.273749395164E1)); +#6789=CARTESIAN_POINT('',(5.424883422223E0,4.309802407025E0,-3.297192049942E1)); +#6790=CARTESIAN_POINT('',(5.399878353624E0,4.249707848397E0,-3.315E1)); +#6792=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6793=VECTOR('',#6792,7.525E0); +#6794=CARTESIAN_POINT('',(6.E0,3.35E0,-1.2475E1)); +#6795=LINE('',#6794,#6793); +#6796=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6797=VECTOR('',#6796,7.525E0); +#6798=CARTESIAN_POINT('',(6.E0,4.65E0,-1.2475E1)); +#6799=LINE('',#6798,#6797); +#6800=CARTESIAN_POINT('',(6.E0,4.E0,-1.2475E1)); +#6801=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6802=DIRECTION('',(0.E0,1.E0,0.E0)); +#6803=AXIS2_PLACEMENT_3D('',#6800,#6801,#6802); +#6805=CARTESIAN_POINT('',(6.E0,4.E0,-1.2475E1)); +#6806=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6807=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6808=AXIS2_PLACEMENT_3D('',#6805,#6806,#6807); +#6810=CARTESIAN_POINT('',(6.E0,-1.51E1,-3.58E1)); +#6811=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6812=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6813=AXIS2_PLACEMENT_3D('',#6810,#6811,#6812); +#6815=CARTESIAN_POINT('',(6.E0,-1.51E1,-3.58E1)); +#6816=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6817=DIRECTION('',(0.E0,0.E0,1.E0)); +#6818=AXIS2_PLACEMENT_3D('',#6815,#6816,#6817); +#6820=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6821=VECTOR('',#6820,8.1E0); +#6822=CARTESIAN_POINT('',(1.E1,-7.E0,-3.645E1)); +#6823=LINE('',#6822,#6821); +#6824=CARTESIAN_POINT('',(1.E1,1.35E0,-3.58E1)); +#6825=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6826=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6827=AXIS2_PLACEMENT_3D('',#6824,#6825,#6826); +#6829=CARTESIAN_POINT('',(1.E1,1.35E0,-3.58E1)); +#6830=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6831=DIRECTION('',(-1.238434703144E-1,0.E0,-9.923017660271E-1)); +#6832=AXIS2_PLACEMENT_3D('',#6829,#6830,#6831); +#6834=CARTESIAN_POINT('',(9.919501744296E0,1.35E0,-3.644499614792E1)); +#6835=CARTESIAN_POINT('',(9.937219154841E0,1.338041064244E0,-3.644720735592E1)); +#6836=CARTESIAN_POINT('',(9.972328741574E0,1.321328208329E0,-3.645017027349E1)); +#6837=CARTESIAN_POINT('',(1.002766791215E1,1.321327102076E0,-3.645017046558E1)); +#6838=CARTESIAN_POINT('',(1.006277963061E1,1.338040244447E0,-3.644720750750E1)); +#6839=CARTESIAN_POINT('',(1.008049825570E1,1.35E0,-3.644499614792E1)); +#6841=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6842=VECTOR('',#6841,8.1E0); +#6843=CARTESIAN_POINT('',(1.E1,-7.E0,-3.515E1)); +#6844=LINE('',#6843,#6842); +#6845=CARTESIAN_POINT('',(1.E1,4.E0,-3.315E1)); +#6846=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6847=DIRECTION('',(0.E0,1.E0,0.E0)); +#6848=AXIS2_PLACEMENT_3D('',#6845,#6846,#6847); +#6850=CARTESIAN_POINT('',(1.E1,4.E0,-3.315E1)); +#6851=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6852=DIRECTION('',(-9.232640713473E-1,3.841659206114E-1,0.E0)); +#6853=AXIS2_PLACEMENT_3D('',#6850,#6851,#6852); +#6855=CARTESIAN_POINT('',(1.060012164638E1,4.249707848397E0,-3.315E1)); +#6856=CARTESIAN_POINT('',(1.057511657778E1,4.309802407025E0,-3.297192049942E1)); +#6857=CARTESIAN_POINT('',(1.052510644058E1,4.388911792851E0,-3.273749395164E1)); +#6858=CARTESIAN_POINT('',(1.042508616618E1,4.500611330572E0,-3.240649230445E1)); +#6859=CARTESIAN_POINT('',(1.030006082319E1,4.584249480515E0,-3.215864557237E1)); +#6860=CARTESIAN_POINT('',(1.015003041159E1,4.638697265885E0,-3.199729927667E1)); +#6861=CARTESIAN_POINT('',(1.E1,4.655651367058E0,-3.194705882331E1)); +#6862=CARTESIAN_POINT('',(9.849969588406E0,4.638697265885E0,-3.199729927667E1)); +#6863=CARTESIAN_POINT('',(9.699939176812E0,4.584249480515E0,-3.215864557237E1)); +#6864=CARTESIAN_POINT('',(9.574913833817E0,4.500611330572E0,-3.240649230445E1)); +#6865=CARTESIAN_POINT('',(9.474893559421E0,4.388911792851E0,-3.273749395164E1)); +#6866=CARTESIAN_POINT('',(9.424883422223E0,4.309802407025E0,-3.297192049942E1)); +#6867=CARTESIAN_POINT('',(9.399878353624E0,4.249707848397E0,-3.315E1)); +#6869=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6870=VECTOR('',#6869,7.525E0); +#6871=CARTESIAN_POINT('',(1.E1,3.35E0,-1.2475E1)); +#6872=LINE('',#6871,#6870); +#6873=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6874=VECTOR('',#6873,7.525E0); +#6875=CARTESIAN_POINT('',(1.E1,4.65E0,-1.2475E1)); +#6876=LINE('',#6875,#6874); +#6877=CARTESIAN_POINT('',(1.E1,4.E0,-1.2475E1)); +#6878=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6879=DIRECTION('',(0.E0,1.E0,0.E0)); +#6880=AXIS2_PLACEMENT_3D('',#6877,#6878,#6879); +#6882=CARTESIAN_POINT('',(1.E1,4.E0,-1.2475E1)); +#6883=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6884=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6885=AXIS2_PLACEMENT_3D('',#6882,#6883,#6884); +#6887=CARTESIAN_POINT('',(1.E1,-1.51E1,-3.58E1)); +#6888=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6889=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6890=AXIS2_PLACEMENT_3D('',#6887,#6888,#6889); +#6892=CARTESIAN_POINT('',(1.E1,-1.51E1,-3.58E1)); +#6893=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6894=DIRECTION('',(0.E0,0.E0,1.E0)); +#6895=AXIS2_PLACEMENT_3D('',#6892,#6893,#6894); +#6897=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6898=VECTOR('',#6897,8.1E0); +#6899=CARTESIAN_POINT('',(-1.2E1,-7.E0,-3.245E1)); +#6900=LINE('',#6899,#6898); +#6901=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6902=VECTOR('',#6901,8.1E0); +#6903=CARTESIAN_POINT('',(-1.2E1,-7.E0,-3.115E1)); +#6904=LINE('',#6903,#6902); +#6905=CARTESIAN_POINT('',(-1.2E1,-1.51E1,-3.18E1)); +#6906=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6907=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6908=AXIS2_PLACEMENT_3D('',#6905,#6906,#6907); +#6910=CARTESIAN_POINT('',(-1.2E1,-1.51E1,-3.18E1)); +#6911=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6912=DIRECTION('',(0.E0,0.E0,1.E0)); +#6913=AXIS2_PLACEMENT_3D('',#6910,#6911,#6912); +#6915=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6916=VECTOR('',#6915,8.1E0); +#6917=CARTESIAN_POINT('',(-8.E0,-7.E0,-3.245E1)); +#6918=LINE('',#6917,#6916); +#6919=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6920=VECTOR('',#6919,8.1E0); +#6921=CARTESIAN_POINT('',(-8.E0,-7.E0,-3.115E1)); +#6922=LINE('',#6921,#6920); +#6923=CARTESIAN_POINT('',(-8.E0,-1.51E1,-3.18E1)); +#6924=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6925=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6926=AXIS2_PLACEMENT_3D('',#6923,#6924,#6925); +#6928=CARTESIAN_POINT('',(-8.E0,-1.51E1,-3.18E1)); +#6929=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6930=DIRECTION('',(0.E0,0.E0,1.E0)); +#6931=AXIS2_PLACEMENT_3D('',#6928,#6929,#6930); +#6933=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6934=VECTOR('',#6933,8.1E0); +#6935=CARTESIAN_POINT('',(-4.E0,-7.E0,-3.245E1)); +#6936=LINE('',#6935,#6934); +#6937=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6938=VECTOR('',#6937,8.1E0); +#6939=CARTESIAN_POINT('',(-4.E0,-7.E0,-3.115E1)); +#6940=LINE('',#6939,#6938); +#6941=CARTESIAN_POINT('',(-4.E0,-1.51E1,-3.18E1)); +#6942=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6943=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6944=AXIS2_PLACEMENT_3D('',#6941,#6942,#6943); +#6946=CARTESIAN_POINT('',(-4.E0,-1.51E1,-3.18E1)); +#6947=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6948=DIRECTION('',(0.E0,0.E0,1.E0)); +#6949=AXIS2_PLACEMENT_3D('',#6946,#6947,#6948); +#6951=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6952=VECTOR('',#6951,8.1E0); +#6953=CARTESIAN_POINT('',(5.676381681886E-14,-7.E0,-3.245E1)); +#6954=LINE('',#6953,#6952); +#6955=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6956=VECTOR('',#6955,8.1E0); +#6957=CARTESIAN_POINT('',(5.689648688877E-14,-7.E0,-3.115E1)); +#6958=LINE('',#6957,#6956); +#6959=CARTESIAN_POINT('',(5.684341886081E-14,-1.51E1,-3.18E1)); +#6960=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6961=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6962=AXIS2_PLACEMENT_3D('',#6959,#6960,#6961); +#6964=CARTESIAN_POINT('',(5.684341886081E-14,-1.51E1,-3.18E1)); +#6965=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6966=DIRECTION('',(0.E0,0.E0,1.E0)); +#6967=AXIS2_PLACEMENT_3D('',#6964,#6965,#6966); +#6969=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6970=VECTOR('',#6969,8.1E0); +#6971=CARTESIAN_POINT('',(4.E0,-7.E0,-3.245E1)); +#6972=LINE('',#6971,#6970); +#6973=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6974=VECTOR('',#6973,8.1E0); +#6975=CARTESIAN_POINT('',(4.E0,-7.E0,-3.115E1)); +#6976=LINE('',#6975,#6974); +#6977=CARTESIAN_POINT('',(4.E0,-1.51E1,-3.18E1)); +#6978=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6979=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6980=AXIS2_PLACEMENT_3D('',#6977,#6978,#6979); +#6982=CARTESIAN_POINT('',(4.E0,-1.51E1,-3.18E1)); +#6983=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6984=DIRECTION('',(0.E0,0.E0,1.E0)); +#6985=AXIS2_PLACEMENT_3D('',#6982,#6983,#6984); +#6987=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6988=VECTOR('',#6987,8.1E0); +#6989=CARTESIAN_POINT('',(8.E0,-7.E0,-3.245E1)); +#6990=LINE('',#6989,#6988); +#6991=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6992=VECTOR('',#6991,8.1E0); +#6993=CARTESIAN_POINT('',(8.E0,-7.E0,-3.115E1)); +#6994=LINE('',#6993,#6992); +#6995=CARTESIAN_POINT('',(8.E0,-1.51E1,-3.18E1)); +#6996=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6997=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6998=AXIS2_PLACEMENT_3D('',#6995,#6996,#6997); +#7000=CARTESIAN_POINT('',(8.E0,-1.51E1,-3.18E1)); +#7001=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7002=DIRECTION('',(0.E0,0.E0,1.E0)); +#7003=AXIS2_PLACEMENT_3D('',#7000,#7001,#7002); +#7005=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7006=VECTOR('',#7005,8.1E0); +#7007=CARTESIAN_POINT('',(1.2E1,-7.E0,-3.245E1)); +#7008=LINE('',#7007,#7006); +#7009=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7010=VECTOR('',#7009,8.1E0); +#7011=CARTESIAN_POINT('',(1.2E1,-7.E0,-3.115E1)); +#7012=LINE('',#7011,#7010); +#7013=CARTESIAN_POINT('',(1.2E1,-1.51E1,-3.18E1)); +#7014=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7015=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7016=AXIS2_PLACEMENT_3D('',#7013,#7014,#7015); +#7018=CARTESIAN_POINT('',(1.2E1,-1.51E1,-3.18E1)); +#7019=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7020=DIRECTION('',(0.E0,0.E0,1.E0)); +#7021=AXIS2_PLACEMENT_3D('',#7018,#7019,#7020); +#7023=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7024=VECTOR('',#7023,8.1E0); +#7025=CARTESIAN_POINT('',(-1.4E1,-7.E0,-2.845E1)); +#7026=LINE('',#7025,#7024); +#7027=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7028=VECTOR('',#7027,8.1E0); +#7029=CARTESIAN_POINT('',(-1.4E1,-7.E0,-2.715E1)); +#7030=LINE('',#7029,#7028); +#7031=CARTESIAN_POINT('',(-1.4E1,-1.51E1,-2.78E1)); +#7032=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7033=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7034=AXIS2_PLACEMENT_3D('',#7031,#7032,#7033); +#7036=CARTESIAN_POINT('',(-1.4E1,-1.51E1,-2.78E1)); +#7037=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7038=DIRECTION('',(0.E0,0.E0,1.E0)); +#7039=AXIS2_PLACEMENT_3D('',#7036,#7037,#7038); +#7041=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7042=VECTOR('',#7041,8.1E0); +#7043=CARTESIAN_POINT('',(-1.E1,-7.E0,-2.845E1)); +#7044=LINE('',#7043,#7042); +#7045=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7046=VECTOR('',#7045,8.1E0); +#7047=CARTESIAN_POINT('',(-1.E1,-7.E0,-2.715E1)); +#7048=LINE('',#7047,#7046); +#7049=CARTESIAN_POINT('',(-1.E1,-1.51E1,-2.78E1)); +#7050=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7051=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7052=AXIS2_PLACEMENT_3D('',#7049,#7050,#7051); +#7054=CARTESIAN_POINT('',(-1.E1,-1.51E1,-2.78E1)); +#7055=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7056=DIRECTION('',(0.E0,0.E0,1.E0)); +#7057=AXIS2_PLACEMENT_3D('',#7054,#7055,#7056); +#7059=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7060=VECTOR('',#7059,8.1E0); +#7061=CARTESIAN_POINT('',(-6.E0,-7.E0,-2.845E1)); +#7062=LINE('',#7061,#7060); +#7063=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7064=VECTOR('',#7063,8.1E0); +#7065=CARTESIAN_POINT('',(-6.E0,-7.E0,-2.715E1)); +#7066=LINE('',#7065,#7064); +#7067=CARTESIAN_POINT('',(-6.E0,-1.51E1,-2.78E1)); +#7068=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7069=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7070=AXIS2_PLACEMENT_3D('',#7067,#7068,#7069); +#7072=CARTESIAN_POINT('',(-6.E0,-1.51E1,-2.78E1)); +#7073=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7074=DIRECTION('',(0.E0,0.E0,1.E0)); +#7075=AXIS2_PLACEMENT_3D('',#7072,#7073,#7074); +#7077=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7078=VECTOR('',#7077,8.1E0); +#7079=CARTESIAN_POINT('',(-2.E0,-7.E0,-2.845E1)); +#7080=LINE('',#7079,#7078); +#7081=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7082=VECTOR('',#7081,8.1E0); +#7083=CARTESIAN_POINT('',(-2.E0,-7.E0,-2.715E1)); +#7084=LINE('',#7083,#7082); +#7085=CARTESIAN_POINT('',(-2.E0,-1.51E1,-2.78E1)); +#7086=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7087=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7088=AXIS2_PLACEMENT_3D('',#7085,#7086,#7087); +#7090=CARTESIAN_POINT('',(-2.E0,-1.51E1,-2.78E1)); +#7091=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7092=DIRECTION('',(0.E0,0.E0,1.E0)); +#7093=AXIS2_PLACEMENT_3D('',#7090,#7091,#7092); +#7095=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7096=VECTOR('',#7095,8.1E0); +#7097=CARTESIAN_POINT('',(2.E0,-7.E0,-2.845E1)); +#7098=LINE('',#7097,#7096); +#7099=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7100=VECTOR('',#7099,8.1E0); +#7101=CARTESIAN_POINT('',(2.E0,-7.E0,-2.715E1)); +#7102=LINE('',#7101,#7100); +#7103=CARTESIAN_POINT('',(2.E0,-1.51E1,-2.78E1)); +#7104=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7105=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7106=AXIS2_PLACEMENT_3D('',#7103,#7104,#7105); +#7108=CARTESIAN_POINT('',(2.E0,-1.51E1,-2.78E1)); +#7109=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7110=DIRECTION('',(0.E0,0.E0,1.E0)); +#7111=AXIS2_PLACEMENT_3D('',#7108,#7109,#7110); +#7113=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7114=VECTOR('',#7113,8.1E0); +#7115=CARTESIAN_POINT('',(6.E0,-7.E0,-2.845E1)); +#7116=LINE('',#7115,#7114); +#7117=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7118=VECTOR('',#7117,8.1E0); +#7119=CARTESIAN_POINT('',(6.E0,-7.E0,-2.715E1)); +#7120=LINE('',#7119,#7118); +#7121=CARTESIAN_POINT('',(6.E0,-1.51E1,-2.78E1)); +#7122=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7123=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7124=AXIS2_PLACEMENT_3D('',#7121,#7122,#7123); +#7126=CARTESIAN_POINT('',(6.E0,-1.51E1,-2.78E1)); +#7127=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7128=DIRECTION('',(0.E0,0.E0,1.E0)); +#7129=AXIS2_PLACEMENT_3D('',#7126,#7127,#7128); +#7131=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7132=VECTOR('',#7131,8.1E0); +#7133=CARTESIAN_POINT('',(1.E1,-7.E0,-2.845E1)); +#7134=LINE('',#7133,#7132); +#7135=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7136=VECTOR('',#7135,8.1E0); +#7137=CARTESIAN_POINT('',(1.E1,-7.E0,-2.715E1)); +#7138=LINE('',#7137,#7136); +#7139=CARTESIAN_POINT('',(1.E1,-1.51E1,-2.78E1)); +#7140=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7141=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7142=AXIS2_PLACEMENT_3D('',#7139,#7140,#7141); +#7144=CARTESIAN_POINT('',(1.E1,-1.51E1,-2.78E1)); +#7145=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7146=DIRECTION('',(0.E0,0.E0,1.E0)); +#7147=AXIS2_PLACEMENT_3D('',#7144,#7145,#7146); +#7149=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7150=VECTOR('',#7149,8.1E0); +#7151=CARTESIAN_POINT('',(1.4E1,-7.E0,-2.845E1)); +#7152=LINE('',#7151,#7150); +#7153=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7154=VECTOR('',#7153,8.1E0); +#7155=CARTESIAN_POINT('',(1.4E1,-7.E0,-2.715E1)); +#7156=LINE('',#7155,#7154); +#7157=CARTESIAN_POINT('',(1.4E1,-1.51E1,-2.78E1)); +#7158=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7159=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7160=AXIS2_PLACEMENT_3D('',#7157,#7158,#7159); +#7162=CARTESIAN_POINT('',(1.4E1,-1.51E1,-2.78E1)); +#7163=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7164=DIRECTION('',(0.E0,0.E0,1.E0)); +#7165=AXIS2_PLACEMENT_3D('',#7162,#7163,#7164); +#7167=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7168=VECTOR('',#7167,7.525E0); +#7169=CARTESIAN_POINT('',(1.4E1,4.65E0,-1.2475E1)); +#7170=LINE('',#7169,#7168); +#7171=CARTESIAN_POINT('',(1.4E1,4.E0,-1.2475E1)); +#7172=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7173=DIRECTION('',(0.E0,1.E0,0.E0)); +#7174=AXIS2_PLACEMENT_3D('',#7171,#7172,#7173); +#7176=CARTESIAN_POINT('',(1.4E1,4.E0,-1.2475E1)); +#7177=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7178=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7179=AXIS2_PLACEMENT_3D('',#7176,#7177,#7178); +#7181=CARTESIAN_POINT('',(-2.834726443717E1,-5.76875E0,-2.25E1)); +#7182=DIRECTION('',(4.375E-1,8.992184106211E-1,0.E0)); +#7183=DIRECTION('',(3.436682050051E-10,-1.672084692927E-10,1.E0)); +#7184=AXIS2_PLACEMENT_3D('',#7181,#7182,#7183); +#7186=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7187=VECTOR('',#7186,4.7E0); +#7188=CARTESIAN_POINT('',(-1.895E1,-5.568671030682E0,-3.31E1)); +#7189=LINE('',#7188,#7187); +#7190=CARTESIAN_POINT('',(-2.275E1,-5.568671030682E0,-2.25E1)); +#7191=CARTESIAN_POINT('',(-2.275E1,-5.568671030682E0,-2.245101261676E1)); +#7192=CARTESIAN_POINT('',(-2.273358795209E1,-5.568671030682E0, +-2.235606826806E1)); +#7193=CARTESIAN_POINT('',(-2.265222308680E1,-5.568671030682E0, +-2.221365850602E1)); +#7194=CARTESIAN_POINT('',(-2.252291068946E1,-5.568671030682E0, +-2.209845551306E1)); +#7195=CARTESIAN_POINT('',(-2.235022102922E1,-5.568671030682E0, +-2.201890617390E1)); +#7196=CARTESIAN_POINT('',(-2.222495349268E1,-5.568671030682E0,-2.2E1)); +#7197=CARTESIAN_POINT('',(-2.215820776515E1,-5.568671030682E0,-2.2E1)); +#7199=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7200=VECTOR('',#7199,5.5E0); +#7201=CARTESIAN_POINT('',(-2.275E1,-5.568671030682E0,-2.25E1)); +#7202=LINE('',#7201,#7200); +#7203=DIRECTION('',(0.E0,0.E0,1.E0)); +#7204=VECTOR('',#7203,5.1E0); +#7205=CARTESIAN_POINT('',(-2.275E1,-5.568671030682E0,-3.31E1)); +#7206=LINE('',#7205,#7204); +#7207=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7208=VECTOR('',#7207,4.6E0); +#7209=CARTESIAN_POINT('',(-2.275E1,-7.218671030682E0,-2.85E1)); +#7210=LINE('',#7209,#7208); +#7211=CARTESIAN_POINT('',(-2.744804602655E1,-6.20625E0,-2.8E1)); +#7212=DIRECTION('',(4.375E-1,8.992184106211E-1,0.E0)); +#7213=DIRECTION('',(1.421085471520E-14,0.E0,-1.E0)); +#7214=AXIS2_PLACEMENT_3D('',#7211,#7212,#7213); +#7216=CARTESIAN_POINT('',(-2.275E1,-6.802506281447E0,-2.85E1)); +#7217=CARTESIAN_POINT('',(-2.275E1,-6.717943004097E0,-2.85E1)); +#7218=CARTESIAN_POINT('',(-2.275E1,-6.554349544483E0,-2.849838388817E1)); +#7219=CARTESIAN_POINT('',(-2.275E1,-6.319678040254E0,-2.848366053132E1)); +#7220=CARTESIAN_POINT('',(-2.275E1,-6.113247217404E0,-2.845198278552E1)); +#7221=CARTESIAN_POINT('',(-2.275E1,-5.936010525290E0,-2.840188416949E1)); +#7222=CARTESIAN_POINT('',(-2.275E1,-5.785461398516E0,-2.833171806899E1)); +#7223=CARTESIAN_POINT('',(-2.275E1,-5.661851117168E0,-2.823512289274E1)); +#7224=CARTESIAN_POINT('',(-2.275E1,-5.585743813218E0,-2.812097404875E1)); +#7225=CARTESIAN_POINT('',(-2.275E1,-5.568671030682E0,-2.804136511634E1)); +#7226=CARTESIAN_POINT('',(-2.275E1,-5.568671030682E0,-2.8E1)); +#7228=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-2.8E1)); +#7229=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7230=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#7231=AXIS2_PLACEMENT_3D('',#7228,#7229,#7230); +#7233=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-2.25E1)); +#7234=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7235=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#7236=AXIS2_PLACEMENT_3D('',#7233,#7234,#7235); +#7238=DIRECTION('',(2.354351057544E-11,4.839005963607E-11,-1.E0)); +#7239=VECTOR('',#7238,5.5E0); +#7240=CARTESIAN_POINT('',(-2.789765523179E1,-5.987499999851E0,-2.25E1)); +#7241=LINE('',#7240,#7239); +#7242=CARTESIAN_POINT('',(-2.879687364248E1,-5.55E0,-2.8E1)); +#7243=DIRECTION('',(0.E0,0.E0,1.E0)); +#7244=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7245=AXIS2_PLACEMENT_3D('',#7242,#7243,#7244); +#7247=CARTESIAN_POINT('',(-2.879687364248E1,-5.55E0,-2.25E1)); +#7248=DIRECTION('',(0.E0,0.E0,1.E0)); +#7249=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7250=AXIS2_PLACEMENT_3D('',#7247,#7248,#7249); +#7252=CARTESIAN_POINT('',(-2.879687364248E1,-7.05E0,-2.8E1)); +#7253=DIRECTION('',(1.E0,0.E0,0.E0)); +#7254=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7255=AXIS2_PLACEMENT_3D('',#7252,#7253,#7254); +#7257=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-2.85E1)); +#7258=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7259=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#7260=AXIS2_PLACEMENT_3D('',#7257,#7258,#7259); +#7262=CARTESIAN_POINT('',(-2.879687364248E1,-5.55E0,-2.85E1)); +#7263=DIRECTION('',(0.E0,0.E0,1.E0)); +#7264=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7265=AXIS2_PLACEMENT_3D('',#7262,#7263,#7264); +#7267=DIRECTION('',(1.E0,7.147078773358E-10,0.E0)); +#7268=VECTOR('',#7267,1.603126358526E0); +#7269=CARTESIAN_POINT('',(-3.04E1,-7.05E0,-2.85E1)); +#7270=LINE('',#7269,#7268); +#7271=DIRECTION('',(0.E0,1.E0,0.E0)); +#7272=VECTOR('',#7271,5.E-1); +#7273=CARTESIAN_POINT('',(-3.04E1,-7.55E0,-2.85E1)); +#7274=LINE('',#7273,#7272); +#7275=DIRECTION('',(-1.E0,7.173882703599E-10,0.E0)); +#7276=VECTOR('',#7275,1.603126356416E0); +#7277=CARTESIAN_POINT('',(-2.879687364358E1,-7.550000001150E0,-2.85E1)); +#7278=LINE('',#7277,#7276); +#7279=CARTESIAN_POINT('',(-2.879687364248E1,-9.05E0,-2.85E1)); +#7280=DIRECTION('',(0.E0,0.E0,1.E0)); +#7281=DIRECTION('',(8.992184106211E-1,4.375000000001E-1,0.E0)); +#7282=AXIS2_PLACEMENT_3D('',#7279,#7280,#7281); +#7284=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-2.85E1)); +#7285=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7286=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7287=AXIS2_PLACEMENT_3D('',#7284,#7285,#7286); +#7289=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7290=VECTOR('',#7289,2.45E0); +#7291=CARTESIAN_POINT('',(-2.275E1,-9.8E0,-2.85E1)); +#7292=LINE('',#7291,#7290); +#7293=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7294=VECTOR('',#7293,3.8E0); +#7295=CARTESIAN_POINT('',(-1.895E1,-1.095E1,-2.85E1)); +#7296=LINE('',#7295,#7294); +#7297=DIRECTION('',(0.E0,1.E0,0.E0)); +#7298=VECTOR('',#7297,3.731328969318E0); +#7299=CARTESIAN_POINT('',(-1.895E1,-1.095E1,-2.85E1)); +#7300=LINE('',#7299,#7298); +#7301=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7302=VECTOR('',#7301,3.8E0); +#7303=CARTESIAN_POINT('',(-1.895E1,-7.218671030682E0,-2.85E1)); +#7304=LINE('',#7303,#7302); +#7305=DIRECTION('',(1.707359253915E-14,1.E0,0.E0)); +#7306=VECTOR('',#7305,4.161647492352E-1); +#7307=CARTESIAN_POINT('',(-2.275E1,-7.218671030682E0,-2.85E1)); +#7308=LINE('',#7307,#7306); +#7309=DIRECTION('',(1.E0,-7.173871623020E-10,0.E0)); +#7310=VECTOR('',#7309,1.603126356416E0); +#7311=CARTESIAN_POINT('',(2.879687364358E1,-7.049999998850E0,-2.85E1)); +#7312=LINE('',#7311,#7310); +#7313=CARTESIAN_POINT('',(2.879687364248E1,-5.55E0,-2.85E1)); +#7314=DIRECTION('',(0.E0,0.E0,1.E0)); +#7315=DIRECTION('',(-8.992184106211E-1,-4.375000000001E-1,0.E0)); +#7316=AXIS2_PLACEMENT_3D('',#7313,#7314,#7315); +#7318=CARTESIAN_POINT('',(2.52E1,-7.3E0,-2.85E1)); +#7319=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7320=DIRECTION('',(-9.8E-1,1.989974874213E-1,0.E0)); +#7321=AXIS2_PLACEMENT_3D('',#7318,#7319,#7320); +#7323=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7324=VECTOR('',#7323,4.161647492353E-1); +#7325=CARTESIAN_POINT('',(2.275E1,-6.802506281447E0,-2.85E1)); +#7326=LINE('',#7325,#7324); +#7327=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7328=VECTOR('',#7327,3.8E0); +#7329=CARTESIAN_POINT('',(2.275E1,-7.218671030682E0,-2.85E1)); +#7330=LINE('',#7329,#7328); +#7331=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7332=VECTOR('',#7331,3.731328969318E0); +#7333=CARTESIAN_POINT('',(1.895E1,-7.218671030682E0,-2.85E1)); +#7334=LINE('',#7333,#7332); +#7335=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7336=VECTOR('',#7335,3.8E0); +#7337=CARTESIAN_POINT('',(2.275E1,-1.095E1,-2.85E1)); +#7338=LINE('',#7337,#7336); +#7339=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7340=VECTOR('',#7339,2.45E0); +#7341=CARTESIAN_POINT('',(2.52E1,-9.8E0,-2.85E1)); +#7342=LINE('',#7341,#7340); +#7343=CARTESIAN_POINT('',(2.52E1,-7.3E0,-2.85E1)); +#7344=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7345=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#7346=AXIS2_PLACEMENT_3D('',#7343,#7344,#7345); +#7348=CARTESIAN_POINT('',(2.879687364248E1,-9.05E0,-2.85E1)); +#7349=DIRECTION('',(0.E0,0.E0,1.E0)); +#7350=DIRECTION('',(0.E0,1.E0,0.E0)); +#7351=AXIS2_PLACEMENT_3D('',#7348,#7349,#7350); +#7353=DIRECTION('',(-1.E0,-7.147084313647E-10,0.E0)); +#7354=VECTOR('',#7353,1.603126358526E0); +#7355=CARTESIAN_POINT('',(3.04E1,-7.55E0,-2.85E1)); +#7356=LINE('',#7355,#7354); +#7357=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7358=VECTOR('',#7357,5.E-1); +#7359=CARTESIAN_POINT('',(3.04E1,-7.05E0,-2.85E1)); +#7360=LINE('',#7359,#7358); +#7361=DIRECTION('',(1.E0,-3.706137770009E-10,0.E0)); +#7362=VECTOR('',#7361,3.103126356416E0); +#7363=CARTESIAN_POINT('',(2.729687364358E1,1.105000000115E1,-2.85E1)); +#7364=LINE('',#7363,#7362); +#7365=CARTESIAN_POINT('',(2.729687364248E1,1.255E1,-2.85E1)); +#7366=DIRECTION('',(0.E0,0.E0,1.E0)); +#7367=DIRECTION('',(-8.992184106211E-1,-4.375000000001E-1,0.E0)); +#7368=AXIS2_PLACEMENT_3D('',#7365,#7366,#7367); +#7370=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.85E1)); +#7371=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7372=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#7373=AXIS2_PLACEMENT_3D('',#7370,#7371,#7372); +#7375=CARTESIAN_POINT('',(2.729687364248E1,9.05E0,-2.85E1)); +#7376=DIRECTION('',(0.E0,0.E0,1.E0)); +#7377=DIRECTION('',(0.E0,1.E0,0.E0)); +#7378=AXIS2_PLACEMENT_3D('',#7375,#7376,#7377); +#7380=DIRECTION('',(-1.E0,-3.692301867868E-10,0.E0)); +#7381=VECTOR('',#7380,3.103126358526E0); +#7382=CARTESIAN_POINT('',(3.04E1,1.055E1,-2.85E1)); +#7383=LINE('',#7382,#7381); +#7384=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7385=VECTOR('',#7384,5.E-1); +#7386=CARTESIAN_POINT('',(3.04E1,1.105E1,-2.85E1)); +#7387=LINE('',#7386,#7385); +#7388=DIRECTION('',(-1.E0,3.706143494419E-10,0.E0)); +#7389=VECTOR('',#7388,3.103126356416E0); +#7390=CARTESIAN_POINT('',(-2.729687364358E1,1.054999999885E1,-2.85E1)); +#7391=LINE('',#7390,#7389); +#7392=CARTESIAN_POINT('',(-2.729687364248E1,9.05E0,-2.85E1)); +#7393=DIRECTION('',(0.E0,0.E0,1.E0)); +#7394=DIRECTION('',(8.992184106211E-1,4.375E-1,0.E0)); +#7395=AXIS2_PLACEMENT_3D('',#7392,#7393,#7394); +#7397=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.85E1)); +#7398=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7399=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#7400=AXIS2_PLACEMENT_3D('',#7397,#7398,#7399); +#7402=CARTESIAN_POINT('',(-2.729687364248E1,1.255E1,-2.85E1)); +#7403=DIRECTION('',(0.E0,0.E0,1.E0)); +#7404=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7405=AXIS2_PLACEMENT_3D('',#7402,#7403,#7404); +#7407=DIRECTION('',(1.E0,3.692307592278E-10,0.E0)); +#7408=VECTOR('',#7407,3.103126358526E0); +#7409=CARTESIAN_POINT('',(-3.04E1,1.105E1,-2.85E1)); +#7410=LINE('',#7409,#7408); +#7411=DIRECTION('',(0.E0,1.E0,0.E0)); +#7412=VECTOR('',#7411,5.E-1); +#7413=CARTESIAN_POINT('',(-3.04E1,1.055E1,-2.85E1)); +#7414=LINE('',#7413,#7412); +#7415=CARTESIAN_POINT('',(-3.04E1,-7.05E0,-2.8E1)); +#7416=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7417=DIRECTION('',(0.E0,1.E0,0.E0)); +#7418=AXIS2_PLACEMENT_3D('',#7415,#7416,#7417); +#7420=DIRECTION('',(0.E0,1.E0,0.E0)); +#7421=VECTOR('',#7420,1.56E1); +#7422=CARTESIAN_POINT('',(-3.04E1,-6.05E0,-2.15E1)); +#7423=LINE('',#7422,#7421); +#7424=CARTESIAN_POINT('',(-3.04E1,1.055E1,-2.8E1)); +#7425=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7426=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7427=AXIS2_PLACEMENT_3D('',#7424,#7425,#7426); +#7429=CARTESIAN_POINT('',(-3.04E1,1.105E1,-2.8E1)); +#7430=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7431=DIRECTION('',(0.E0,1.E0,0.E0)); +#7432=AXIS2_PLACEMENT_3D('',#7429,#7430,#7431); +#7434=DIRECTION('',(0.E0,2.662758902261E-12,-1.E0)); +#7435=VECTOR('',#7434,1.E0); +#7436=CARTESIAN_POINT('',(-3.04E1,-1.104999999991E1,-2.05E1)); +#7437=LINE('',#7436,#7435); +#7438=DIRECTION('',(0.E0,1.E0,0.E0)); +#7439=VECTOR('',#7438,2.499999999908E0); +#7440=CARTESIAN_POINT('',(-3.04E1,-1.104999999991E1,-2.15E1)); +#7441=LINE('',#7440,#7439); +#7442=CARTESIAN_POINT('',(-3.04E1,-7.55E0,-2.8E1)); +#7443=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7444=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7445=AXIS2_PLACEMENT_3D('',#7442,#7443,#7444); +#7447=DIRECTION('',(1.E0,0.E0,0.E0)); +#7448=VECTOR('',#7447,1.603126360512E0); +#7449=CARTESIAN_POINT('',(-3.04E1,-6.55E0,-2.8E1)); +#7450=LINE('',#7449,#7448); +#7451=DIRECTION('',(3.380090550887E-10,0.E0,-1.E0)); +#7452=VECTOR('',#7451,5.5E0); +#7453=CARTESIAN_POINT('',(-2.879687364135E1,-6.55E0,-2.25E1)); +#7454=LINE('',#7453,#7452); +#7455=DIRECTION('',(1.E0,0.E0,-3.446993545373E-10)); +#7456=VECTOR('',#7455,1.103126362254E0); +#7457=CARTESIAN_POINT('',(-2.990000000360E1,-6.55E0,-2.249999999962E1)); +#7458=LINE('',#7457,#7456); +#7459=CARTESIAN_POINT('',(-2.99E1,-6.55E0,-2.15E1)); +#7460=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7461=DIRECTION('',(-5.E-1,0.E0,-8.660254037844E-1)); +#7462=AXIS2_PLACEMENT_3D('',#7459,#7460,#7461); +#7464=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7465=VECTOR('',#7464,5.633974596216E0); +#7466=CARTESIAN_POINT('',(-3.04E1,-6.55E0,-2.236602540378E1)); +#7467=LINE('',#7466,#7465); +#7468=CARTESIAN_POINT('',(-2.99E1,-6.05E0,-2.25E1)); +#7469=DIRECTION('',(1.E0,0.E0,0.E0)); +#7470=DIRECTION('',(0.E0,0.E0,1.E0)); +#7471=AXIS2_PLACEMENT_3D('',#7468,#7469,#7470); +#7473=CARTESIAN_POINT('',(-3.04E1,-6.05E0,-2.15E1)); +#7474=CARTESIAN_POINT('',(-3.04E1,-6.090019835542E0,-2.154001983554E1)); +#7475=CARTESIAN_POINT('',(-3.04E1,-6.166745435908E0,-2.161691785483E1)); +#7476=CARTESIAN_POINT('',(-3.04E1,-6.266099333875E0,-2.172459365118E1)); +#7477=CARTESIAN_POINT('',(-3.04E1,-6.353627502081E0,-2.183293224134E1)); +#7478=CARTESIAN_POINT('',(-3.04E1,-6.431312499997E0,-2.194986608239E1)); +#7479=CARTESIAN_POINT('',(-3.04E1,-6.495667273018E0,-2.207922314771E1)); +#7480=CARTESIAN_POINT('',(-3.04E1,-6.539371202214E0,-2.222049289445E1)); +#7481=CARTESIAN_POINT('',(-3.04E1,-6.55E0,-2.231682972010E1)); +#7482=CARTESIAN_POINT('',(-3.04E1,-6.55E0,-2.236602540378E1)); +#7484=CARTESIAN_POINT('',(-2.99E1,-6.05E0,-2.15E1)); +#7485=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7486=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7487=AXIS2_PLACEMENT_3D('',#7484,#7485,#7486); +#7489=CARTESIAN_POINT('',(-2.99E1,9.55E0,-2.15E1)); +#7490=DIRECTION('',(0.E0,1.E0,0.E0)); +#7491=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7492=AXIS2_PLACEMENT_3D('',#7489,#7490,#7491); +#7494=CARTESIAN_POINT('',(-2.99E1,9.55E0,-2.25E1)); +#7495=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7496=DIRECTION('',(0.E0,0.E0,1.E0)); +#7497=AXIS2_PLACEMENT_3D('',#7494,#7495,#7496); +#7499=CARTESIAN_POINT('',(-3.04E1,1.005E1,-2.236602540378E1)); +#7500=CARTESIAN_POINT('',(-3.04E1,1.005E1,-2.231683241329E1)); +#7501=CARTESIAN_POINT('',(-3.04E1,1.003937317093E1,-2.222045662637E1)); +#7502=CARTESIAN_POINT('',(-3.04E1,9.995581477537E0,-2.207896637458E1)); +#7503=CARTESIAN_POINT('',(-3.04E1,9.931008658317E0,-2.194931739036E1)); +#7504=CARTESIAN_POINT('',(-3.04E1,9.853110757462E0,-2.183223336836E1)); +#7505=CARTESIAN_POINT('',(-3.04E1,9.765623144649E0,-2.172405889023E1)); +#7506=CARTESIAN_POINT('',(-3.04E1,9.666489321803E0,-2.161666109753E1)); +#7507=CARTESIAN_POINT('',(-3.04E1,9.589928951342E0,-2.153992895134E1)); +#7508=CARTESIAN_POINT('',(-3.04E1,9.55E0,-2.15E1)); +#7510=CARTESIAN_POINT('',(-2.729687364248E1,9.55E0,-2.25E1)); +#7511=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7512=DIRECTION('',(0.E0,0.E0,1.E0)); +#7513=AXIS2_PLACEMENT_3D('',#7510,#7511,#7512); +#7515=CARTESIAN_POINT('',(-2.684726443717E1,9.26875E0,-2.25E1)); +#7516=DIRECTION('',(-4.375E-1,8.992184106211E-1,0.E0)); +#7517=DIRECTION('',(-1.421085471520E-14,0.E0,1.E0)); +#7518=AXIS2_PLACEMENT_3D('',#7515,#7516,#7517); +#7520=CARTESIAN_POINT('',(-2.684726443717E1,1.233125E1,-2.25E1)); +#7521=DIRECTION('',(4.375E-1,8.992184106211E-1,0.E0)); +#7522=DIRECTION('',(3.867413056469E-10,-1.881623745703E-10,1.E0)); +#7523=AXIS2_PLACEMENT_3D('',#7520,#7521,#7522); +#7525=CARTESIAN_POINT('',(-2.729687364248E1,1.205E1,-2.25E1)); +#7526=DIRECTION('',(1.E0,0.E0,0.E0)); +#7527=DIRECTION('',(0.E0,0.E0,1.E0)); +#7528=AXIS2_PLACEMENT_3D('',#7525,#7526,#7527); +#7530=CARTESIAN_POINT('',(-2.978748219370E1,1.205E1,-2.25E1)); +#7531=DIRECTION('',(1.E0,0.E0,0.E0)); +#7532=DIRECTION('',(0.E0,0.E0,1.E0)); +#7533=AXIS2_PLACEMENT_3D('',#7530,#7531,#7532); +#7535=CARTESIAN_POINT('',(-3.080831025552E1,1.205E1,-2.150000001057E1)); +#7536=DIRECTION('',(-2.928703163016E-7,0.E0,-1.E0)); +#7537=DIRECTION('',(9.833310646478E-1,-1.818241383824E-1,-2.879884813876E-7)); +#7538=AXIS2_PLACEMENT_3D('',#7535,#7536,#7537); +#7540=CARTESIAN_POINT('',(-3.040000094960E1,1.176143074665E1, +-2.149999999991E1)); +#7541=CARTESIAN_POINT('',(-3.040000094960E1,1.176142906586E1, +-2.154373517421E1)); +#7542=CARTESIAN_POINT('',(-3.039999955927E1,1.174609999177E1, +-2.163306361980E1)); +#7543=CARTESIAN_POINT('',(-3.040000011816E1,1.169219322128E1, +-2.176945339852E1)); +#7544=CARTESIAN_POINT('',(-3.039999996810E1,1.162811150990E1, +-2.191915487730E1)); +#7545=CARTESIAN_POINT('',(-3.040000000944E1,1.156989311776E1, +-2.209338340732E1)); +#7546=CARTESIAN_POINT('',(-3.039999999923E1,1.155001230282E1, +-2.222850466418E1)); +#7547=CARTESIAN_POINT('',(-3.039999999923E1,1.155000378288E1, +-2.230073442729E1)); +#7549=DIRECTION('',(1.E0,0.E0,0.E0)); +#7550=VECTOR('',#7549,3.103126360512E0); +#7551=CARTESIAN_POINT('',(-3.04E1,1.155E1,-2.8E1)); +#7552=LINE('',#7551,#7550); +#7553=DIRECTION('',(3.380103469846E-10,0.E0,-1.E0)); +#7554=VECTOR('',#7553,5.5E0); +#7555=CARTESIAN_POINT('',(-2.729687364135E1,1.155E1,-2.25E1)); +#7556=LINE('',#7555,#7554); +#7557=DIRECTION('',(1.E0,-7.988086510942E-14,-2.139327106618E-8)); +#7558=VECTOR('',#7557,2.490608554881E0); +#7559=CARTESIAN_POINT('',(-2.978748219623E1,1.155E1,-2.249999994672E1)); +#7560=LINE('',#7559,#7558); +#7561=CARTESIAN_POINT('',(-3.039999999923E1,1.155000378288E1, +-2.230073442729E1)); +#7562=CARTESIAN_POINT('',(-3.034179947044E1,1.154999536894E1, +-2.234344605004E1)); +#7563=CARTESIAN_POINT('',(-3.021873533882E1,1.155000253449E1, +-2.241519815324E1)); +#7564=CARTESIAN_POINT('',(-3.000897115184E1,1.154999807589E1, +-2.248421229895E1)); +#7565=CARTESIAN_POINT('',(-2.986293345049E1,1.155000279993E1, +-2.249998853952E1)); +#7566=CARTESIAN_POINT('',(-2.978748219623E1,1.155E1,-2.249999994672E1)); +#7568=DIRECTION('',(-1.344563728734E-10,-6.637492590173E-7,-9.999999999998E-1)); +#7569=VECTOR('',#7568,5.699265572710E0); +#7570=CARTESIAN_POINT('',(-3.039999999923E1,1.155000378288E1, +-2.230073442729E1)); +#7571=LINE('',#7570,#7569); +#7572=CARTESIAN_POINT('',(-2.729687364248E1,1.105E1,-2.8E1)); +#7573=DIRECTION('',(1.E0,0.E0,0.E0)); +#7574=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7575=AXIS2_PLACEMENT_3D('',#7572,#7573,#7574); +#7577=CARTESIAN_POINT('',(-2.594804602655E1,1.189375E1,-2.8E1)); +#7578=DIRECTION('',(4.375E-1,8.992184106211E-1,0.E0)); +#7579=DIRECTION('',(2.131628207280E-14,-1.065814103640E-14,-1.E0)); +#7580=AXIS2_PLACEMENT_3D('',#7577,#7578,#7579); +#7582=CARTESIAN_POINT('',(-2.594804602655E1,9.70625E0,-2.8E1)); +#7583=DIRECTION('',(-4.375E-1,8.992184106211E-1,0.E0)); +#7584=DIRECTION('',(-6.193630497364E-9,-3.013411742359E-9,-1.E0)); +#7585=AXIS2_PLACEMENT_3D('',#7582,#7583,#7584); +#7587=CARTESIAN_POINT('',(-2.729687364248E1,1.055E1,-2.8E1)); +#7588=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7589=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7590=AXIS2_PLACEMENT_3D('',#7587,#7588,#7589); +#7592=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7593=VECTOR('',#7592,3.103126356176E0); +#7594=CARTESIAN_POINT('',(-2.729687364382E1,1.005E1,-2.8E1)); +#7595=LINE('',#7594,#7593); +#7596=DIRECTION('',(0.E0,0.E0,1.E0)); +#7597=VECTOR('',#7596,5.633974596216E0); +#7598=CARTESIAN_POINT('',(-3.04E1,1.005E1,-2.8E1)); +#7599=LINE('',#7598,#7597); +#7600=CARTESIAN_POINT('',(-2.99E1,1.005E1,-2.15E1)); +#7601=DIRECTION('',(0.E0,1.E0,0.E0)); +#7602=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7603=AXIS2_PLACEMENT_3D('',#7600,#7601,#7602); +#7605=DIRECTION('',(-1.E0,0.E0,7.332046573053E-11)); +#7606=VECTOR('',#7605,2.603126358576E0); +#7607=CARTESIAN_POINT('',(-2.729687364374E1,1.005E1,-2.25E1)); +#7608=LINE('',#7607,#7606); +#7609=DIRECTION('',(-1.607764426643E-11,0.E0,-1.E0)); +#7610=VECTOR('',#7609,5.5E0); +#7611=CARTESIAN_POINT('',(-2.729687364374E1,1.005E1,-2.25E1)); +#7612=LINE('',#7611,#7610); +#7613=CARTESIAN_POINT('',(-2.729687364248E1,9.05E0,-2.8E1)); +#7614=DIRECTION('',(0.E0,0.E0,1.E0)); +#7615=DIRECTION('',(8.992184106211E-1,4.375E-1,0.E0)); +#7616=AXIS2_PLACEMENT_3D('',#7613,#7614,#7615); +#7618=CARTESIAN_POINT('',(-2.729687364248E1,9.05E0,-2.25E1)); +#7619=DIRECTION('',(0.E0,0.E0,1.E0)); +#7620=DIRECTION('',(8.992184106211E-1,4.375E-1,0.E0)); +#7621=AXIS2_PLACEMENT_3D('',#7618,#7619,#7620); +#7623=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.8E1)); +#7624=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7625=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#7626=AXIS2_PLACEMENT_3D('',#7623,#7624,#7625); +#7628=DIRECTION('',(-5.577372906952E-11,1.146331514561E-10,-1.E0)); +#7629=VECTOR('',#7628,5.5E0); +#7630=CARTESIAN_POINT('',(-2.639765523296E1,9.487500002255E0,-2.25E1)); +#7631=LINE('',#7630,#7629); +#7632=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.25E1)); +#7633=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7634=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#7635=AXIS2_PLACEMENT_3D('',#7632,#7633,#7634); +#7637=DIRECTION('',(-6.233397636441E-12,-1.280850173399E-11,-1.E0)); +#7638=VECTOR('',#7637,5.5E0); +#7639=CARTESIAN_POINT('',(-2.639765523173E1,1.211250000028E1,-2.25E1)); +#7640=LINE('',#7639,#7638); +#7641=CARTESIAN_POINT('',(-2.729687364248E1,1.255E1,-2.8E1)); +#7642=DIRECTION('',(0.E0,0.E0,1.E0)); +#7643=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7644=AXIS2_PLACEMENT_3D('',#7641,#7642,#7643); +#7646=CARTESIAN_POINT('',(-2.729687364248E1,1.255E1,-2.25E1)); +#7647=DIRECTION('',(0.E0,0.E0,1.E0)); +#7648=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7649=AXIS2_PLACEMENT_3D('',#7646,#7647,#7648); +#7651=CARTESIAN_POINT('',(-3.031665877303E1,1.195909092030E1, +-2.149999999988E1)); +#7652=CARTESIAN_POINT('',(-3.031665731938E1,1.195909175818E1, +-2.154213572194E1)); +#7653=CARTESIAN_POINT('',(-3.030549159953E1,1.196067352107E1, +-2.162662352783E1)); +#7654=CARTESIAN_POINT('',(-3.025558523670E1,1.196789781550E1, +-2.174599168480E1)); +#7655=CARTESIAN_POINT('',(-3.017536189977E1,1.198002202377E1, +-2.184966369981E1)); +#7656=CARTESIAN_POINT('',(-3.006785846530E1,1.199738244083E1, +-2.193237025490E1)); +#7657=CARTESIAN_POINT('',(-2.993318074569E1,1.202095389293E1, +-2.198773877748E1)); +#7658=CARTESIAN_POINT('',(-2.983649076012E1,1.203995505221E1, +-2.199999591872E1)); +#7659=CARTESIAN_POINT('',(-2.978748236782E1,1.205000381901E1, +-2.200000000006E1)); +#7661=DIRECTION('',(3.017014687040E-7,3.358056334802E-7,-9.999999999999E-1)); +#7662=VECTOR('',#7661,1.000000001448E0); +#7663=CARTESIAN_POINT('',(-3.031665907473E1,1.195909058450E1, +-2.049999999843E1)); +#7664=LINE('',#7663,#7662); +#7665=CARTESIAN_POINT('',(-2.54E1,1.105E1,-2.15E1)); +#7666=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7667=DIRECTION('',(-9.833321660356E-1,1.818181818182E-1,0.E0)); +#7668=AXIS2_PLACEMENT_3D('',#7665,#7666,#7667); +#7670=CARTESIAN_POINT('',(-2.54E1,1.105E1,-2.05E1)); +#7671=DIRECTION('',(0.E0,0.E0,1.E0)); +#7672=DIRECTION('',(0.E0,1.E0,0.E0)); +#7673=AXIS2_PLACEMENT_3D('',#7670,#7671,#7672); +#7675=CARTESIAN_POINT('',(-3.080832691320E1,1.205E1,-2.049999998412E1)); +#7676=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7677=DIRECTION('',(9.833321660356E-1,-1.818181818182E-1,0.E0)); +#7678=AXIS2_PLACEMENT_3D('',#7675,#7676,#7677); +#7680=DIRECTION('',(2.201993478841E-7,-3.349785034656E-7,9.999999999999E-1)); +#7681=VECTOR('',#7680,1.000000011014E0); +#7682=CARTESIAN_POINT('',(-3.040000094960E1,1.176143074665E1, +-2.149999999991E1)); +#7683=LINE('',#7682,#7681); +#7684=CARTESIAN_POINT('',(-2.99E1,1.194378046335E1,-2.E1)); +#7685=CARTESIAN_POINT('',(-2.988083784419E1,1.196152775310E1,-2.E1)); +#7686=CARTESIAN_POINT('',(-2.984303761850E1,1.199710772198E1, +-2.000000008932E1)); +#7687=CARTESIAN_POINT('',(-2.980592791326E1,1.203244921042E1, +-1.999999982137E1)); +#7688=CARTESIAN_POINT('',(-2.978748051218E1,1.205000159868E1, +-2.000000291695E1)); +#7690=CARTESIAN_POINT('',(-3.040000072940E1,1.176143041167E1, +-2.049999998889E1)); +#7691=CARTESIAN_POINT('',(-3.040000072940E1,1.176144667837E1, +-2.044910152445E1)); +#7692=CARTESIAN_POINT('',(-3.038443519554E1,1.176426486973E1, +-2.035280214799E1)); +#7693=CARTESIAN_POINT('',(-3.032947329965E1,1.177498907470E1, +-2.023457524530E1)); +#7694=CARTESIAN_POINT('',(-3.025840263192E1,1.179068139426E1, +-2.014599680614E1)); +#7695=CARTESIAN_POINT('',(-3.017969702289E1,1.181088570369E1, +-2.008179703044E1)); +#7696=CARTESIAN_POINT('',(-3.009837504804E1,1.183619829366E1, +-2.003834579453E1)); +#7697=CARTESIAN_POINT('',(-3.002224596975E1,1.186601938462E1, +-2.001329010925E1)); +#7698=CARTESIAN_POINT('',(-2.995439838691E1,1.190100815546E1, +-2.000164047198E1)); +#7699=CARTESIAN_POINT('',(-2.991649617502E1,1.192850230777E1,-2.E1)); +#7700=CARTESIAN_POINT('',(-2.99E1,1.194378046335E1,-2.E1)); +#7702=CARTESIAN_POINT('',(-2.978748051218E1,1.205000159868E1, +-2.000000291695E1)); +#7703=CARTESIAN_POINT('',(-2.983490394433E1,1.204020525061E1, +-1.999999495901E1)); +#7704=CARTESIAN_POINT('',(-2.992887485892E1,1.202185401807E1, +-2.001165143008E1)); +#7705=CARTESIAN_POINT('',(-3.005932415002E1,1.199880151264E1, +-2.006308311411E1)); +#7706=CARTESIAN_POINT('',(-3.016938664664E1,1.198096593549E1, +-2.014417656581E1)); +#7707=CARTESIAN_POINT('',(-3.025279660804E1,1.196832052011E1, +-2.024884020007E1)); +#7708=CARTESIAN_POINT('',(-3.030490299265E1,1.196075895179E1, +-2.037028018982E1)); +#7709=CARTESIAN_POINT('',(-3.031666987328E1,1.195908443558E1, +-2.045679656350E1)); +#7710=CARTESIAN_POINT('',(-3.031665907473E1,1.195909058450E1, +-2.049999999843E1)); +#7712=CARTESIAN_POINT('',(-2.54E1,1.555E1,-2.05E1)); +#7713=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7714=DIRECTION('',(0.E0,0.E0,1.E0)); +#7715=AXIS2_PLACEMENT_3D('',#7712,#7713,#7714); +#7717=CARTESIAN_POINT('',(2.54E1,1.555E1,-2.05E1)); +#7718=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7719=DIRECTION('',(0.E0,0.E0,1.E0)); +#7720=AXIS2_PLACEMENT_3D('',#7717,#7718,#7719); +#7722=DIRECTION('',(-3.197512275146E-8,1.E0,4.868532260664E-10)); +#7723=VECTOR('',#7722,2.281143041158E1); +#7724=CARTESIAN_POINT('',(-3.04E1,-1.104999999991E1,-2.05E1)); +#7725=LINE('',#7724,#7723); +#7726=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7727=VECTOR('',#7726,2.299378046335E1); +#7728=CARTESIAN_POINT('',(-2.99E1,1.194378046335E1,-2.E1)); +#7729=LINE('',#7728,#7727); +#7730=CARTESIAN_POINT('',(-2.99E1,-1.105E1,-2.05E1)); +#7731=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7732=DIRECTION('',(0.E0,0.E0,1.E0)); +#7733=AXIS2_PLACEMENT_3D('',#7730,#7731,#7732); +#7735=CARTESIAN_POINT('',(-2.54E1,-1.555E1,-2.05E1)); +#7736=DIRECTION('',(1.E0,0.E0,0.E0)); +#7737=DIRECTION('',(0.E0,0.E0,1.E0)); +#7738=AXIS2_PLACEMENT_3D('',#7735,#7736,#7737); +#7740=CARTESIAN_POINT('',(2.54E1,-1.555E1,-2.05E1)); +#7741=DIRECTION('',(1.E0,0.E0,0.E0)); +#7742=DIRECTION('',(0.E0,0.E0,1.E0)); +#7743=AXIS2_PLACEMENT_3D('',#7740,#7741,#7742); +#7745=DIRECTION('',(0.E0,1.E0,0.E0)); +#7746=VECTOR('',#7745,2.299378123506E1); +#7747=CARTESIAN_POINT('',(2.99E1,-1.105E1,-2.E1)); +#7748=LINE('',#7747,#7746); +#7749=DIRECTION('',(3.614683074254E-8,-1.E0,-5.334292060254E-10)); +#7750=VECTOR('',#7749,2.281143551379E1); +#7751=CARTESIAN_POINT('',(3.039999917544E1,1.176143551385E1,-2.049999998783E1)); +#7752=LINE('',#7751,#7750); +#7753=CARTESIAN_POINT('',(2.99E1,-1.105E1,-2.05E1)); +#7754=DIRECTION('',(0.E0,1.E0,0.E0)); +#7755=DIRECTION('',(0.E0,0.E0,1.E0)); +#7756=AXIS2_PLACEMENT_3D('',#7753,#7754,#7755); +#7758=CARTESIAN_POINT('',(3.04E1,-7.05E0,-2.8E1)); +#7759=DIRECTION('',(1.E0,0.E0,0.E0)); +#7760=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7761=AXIS2_PLACEMENT_3D('',#7758,#7759,#7760); +#7763=CARTESIAN_POINT('',(3.04E1,-7.55E0,-2.8E1)); +#7764=DIRECTION('',(1.E0,0.E0,0.E0)); +#7765=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7766=AXIS2_PLACEMENT_3D('',#7763,#7764,#7765); +#7768=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7769=VECTOR('',#7768,2.499999999881E0); +#7770=CARTESIAN_POINT('',(3.04E1,-8.55E0,-2.15E1)); +#7771=LINE('',#7770,#7769); +#7772=DIRECTION('',(1.144096286409E-10,-1.044726580351E-6,-9.999999999995E-1)); +#7773=VECTOR('',#7772,5.699265573168E0); +#7774=CARTESIAN_POINT('',(3.039999999935E1,1.155000595417E1,-2.230073442683E1)); +#7775=LINE('',#7774,#7773); +#7776=CARTESIAN_POINT('',(3.04E1,1.105E1,-2.8E1)); +#7777=DIRECTION('',(1.E0,0.E0,0.E0)); +#7778=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7779=AXIS2_PLACEMENT_3D('',#7776,#7777,#7778); +#7781=CARTESIAN_POINT('',(3.04E1,1.055E1,-2.8E1)); +#7782=DIRECTION('',(1.E0,0.E0,0.E0)); +#7783=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7784=AXIS2_PLACEMENT_3D('',#7781,#7782,#7783); +#7786=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7787=VECTOR('',#7786,1.56E1); +#7788=CARTESIAN_POINT('',(3.04E1,9.55E0,-2.15E1)); +#7789=LINE('',#7788,#7787); +#7790=CARTESIAN_POINT('',(2.879687364248E1,-7.05E0,-2.8E1)); +#7791=DIRECTION('',(1.E0,0.E0,0.E0)); +#7792=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7793=AXIS2_PLACEMENT_3D('',#7790,#7791,#7792); +#7795=DIRECTION('',(1.E0,0.E0,0.E0)); +#7796=VECTOR('',#7795,1.603126356176E0); +#7797=CARTESIAN_POINT('',(2.879687364382E1,-6.55E0,-2.8E1)); +#7798=LINE('',#7797,#7796); +#7799=DIRECTION('',(0.E0,0.E0,1.E0)); +#7800=VECTOR('',#7799,5.633974596216E0); +#7801=CARTESIAN_POINT('',(3.04E1,-6.55E0,-2.8E1)); +#7802=LINE('',#7801,#7800); +#7803=CARTESIAN_POINT('',(2.99E1,-6.55E0,-2.15E1)); +#7804=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7805=DIRECTION('',(-1.421085471520E-14,0.E0,-1.E0)); +#7806=AXIS2_PLACEMENT_3D('',#7803,#7804,#7805); +#7808=DIRECTION('',(1.E0,0.E0,1.730195598015E-10)); +#7809=VECTOR('',#7808,1.103126358576E0); +#7810=CARTESIAN_POINT('',(2.879687364374E1,-6.55E0,-2.25E1)); +#7811=LINE('',#7810,#7809); +#7812=DIRECTION('',(1.607699831848E-11,0.E0,-1.E0)); +#7813=VECTOR('',#7812,5.5E0); +#7814=CARTESIAN_POINT('',(2.879687364374E1,-6.55E0,-2.25E1)); +#7815=LINE('',#7814,#7813); +#7816=CARTESIAN_POINT('',(2.99E1,-6.05E0,-2.25E1)); +#7817=DIRECTION('',(1.E0,0.E0,0.E0)); +#7818=DIRECTION('',(0.E0,0.E0,1.E0)); +#7819=AXIS2_PLACEMENT_3D('',#7816,#7817,#7818); +#7821=CARTESIAN_POINT('',(3.04E1,-6.55E0,-2.236602540378E1)); +#7822=CARTESIAN_POINT('',(3.04E1,-6.55E0,-2.231683241329E1)); +#7823=CARTESIAN_POINT('',(3.04E1,-6.539373170927E0,-2.222045662637E1)); +#7824=CARTESIAN_POINT('',(3.04E1,-6.495581477537E0,-2.207896637458E1)); +#7825=CARTESIAN_POINT('',(3.04E1,-6.431008658317E0,-2.194931739036E1)); +#7826=CARTESIAN_POINT('',(3.04E1,-6.353110757462E0,-2.183223336836E1)); +#7827=CARTESIAN_POINT('',(3.04E1,-6.265623144649E0,-2.172405889023E1)); +#7828=CARTESIAN_POINT('',(3.04E1,-6.166489321803E0,-2.161666109753E1)); +#7829=CARTESIAN_POINT('',(3.04E1,-6.089928951342E0,-2.153992895134E1)); +#7830=CARTESIAN_POINT('',(3.04E1,-6.05E0,-2.15E1)); +#7832=CARTESIAN_POINT('',(2.99E1,-6.05E0,-2.15E1)); +#7833=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7834=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7835=AXIS2_PLACEMENT_3D('',#7832,#7833,#7834); +#7837=CARTESIAN_POINT('',(2.99E1,9.55E0,-2.15E1)); +#7838=DIRECTION('',(0.E0,1.E0,0.E0)); +#7839=DIRECTION('',(1.E0,0.E0,0.E0)); +#7840=AXIS2_PLACEMENT_3D('',#7837,#7838,#7839); +#7842=CARTESIAN_POINT('',(3.04E1,9.55E0,-2.15E1)); +#7843=CARTESIAN_POINT('',(3.04E1,9.590019835542E0,-2.154001983554E1)); +#7844=CARTESIAN_POINT('',(3.04E1,9.666745435908E0,-2.161691785483E1)); +#7845=CARTESIAN_POINT('',(3.04E1,9.766099333875E0,-2.172459365118E1)); +#7846=CARTESIAN_POINT('',(3.04E1,9.853627502081E0,-2.183293224134E1)); +#7847=CARTESIAN_POINT('',(3.04E1,9.931312499997E0,-2.194986608239E1)); +#7848=CARTESIAN_POINT('',(3.04E1,9.995667273018E0,-2.207922314771E1)); +#7849=CARTESIAN_POINT('',(3.04E1,1.003937120221E1,-2.222049289445E1)); +#7850=CARTESIAN_POINT('',(3.04E1,1.005E1,-2.231682972010E1)); +#7851=CARTESIAN_POINT('',(3.04E1,1.005E1,-2.236602540378E1)); +#7853=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7854=VECTOR('',#7853,3.103126360513E0); +#7855=CARTESIAN_POINT('',(3.04E1,1.005E1,-2.8E1)); +#7856=LINE('',#7855,#7854); +#7857=DIRECTION('',(-3.380071172449E-10,0.E0,-1.E0)); +#7858=VECTOR('',#7857,5.5E0); +#7859=CARTESIAN_POINT('',(2.729687364135E1,1.005E1,-2.25E1)); +#7860=LINE('',#7859,#7858); +#7861=DIRECTION('',(-1.E0,0.E0,-1.460745445436E-10)); +#7862=VECTOR('',#7861,2.603126362254E0); +#7863=CARTESIAN_POINT('',(2.990000000360E1,1.005E1,-2.249999999962E1)); +#7864=LINE('',#7863,#7862); +#7865=CARTESIAN_POINT('',(2.99E1,1.005E1,-2.15E1)); +#7866=DIRECTION('',(0.E0,1.E0,0.E0)); +#7867=DIRECTION('',(5.E-1,0.E0,-8.660254037844E-1)); +#7868=AXIS2_PLACEMENT_3D('',#7865,#7866,#7867); +#7870=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7871=VECTOR('',#7870,5.633974596216E0); +#7872=CARTESIAN_POINT('',(3.04E1,1.005E1,-2.236602540378E1)); +#7873=LINE('',#7872,#7871); +#7874=CARTESIAN_POINT('',(2.729687364248E1,1.055E1,-2.8E1)); +#7875=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7876=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7877=AXIS2_PLACEMENT_3D('',#7874,#7875,#7876); +#7879=CARTESIAN_POINT('',(2.594804602655E1,9.70625E0,-2.8E1)); +#7880=DIRECTION('',(-4.375E-1,-8.992184106211E-1,0.E0)); +#7881=DIRECTION('',(-2.131628207280E-14,1.065814103640E-14,-1.E0)); +#7882=AXIS2_PLACEMENT_3D('',#7879,#7880,#7881); +#7884=CARTESIAN_POINT('',(2.594804602655E1,1.189375E1,-2.8E1)); +#7885=DIRECTION('',(4.375E-1,-8.992184106211E-1,0.E0)); +#7886=DIRECTION('',(6.193616286509E-9,3.013404636931E-9,-1.E0)); +#7887=AXIS2_PLACEMENT_3D('',#7884,#7885,#7886); +#7889=CARTESIAN_POINT('',(2.729687364248E1,1.105E1,-2.8E1)); +#7890=DIRECTION('',(1.E0,0.E0,0.E0)); +#7891=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7892=AXIS2_PLACEMENT_3D('',#7889,#7890,#7891); +#7894=DIRECTION('',(1.E0,0.E0,0.E0)); +#7895=VECTOR('',#7894,3.103126356176E0); +#7896=CARTESIAN_POINT('',(2.729687364382E1,1.155E1,-2.8E1)); +#7897=LINE('',#7896,#7895); +#7898=CARTESIAN_POINT('',(2.978748219534E1,1.155E1,-2.249999996511E1)); +#7899=CARTESIAN_POINT('',(2.986297021866E1,1.155000190731E1,-2.249999135405E1)); +#7900=CARTESIAN_POINT('',(3.000904973382E1,1.154999938648E1,-2.248413260478E1)); +#7901=CARTESIAN_POINT('',(3.021744982107E1,1.154999928636E1,-2.241574217200E1)); +#7902=CARTESIAN_POINT('',(3.034132079972E1,1.155000207417E1,-2.234378810499E1)); +#7903=CARTESIAN_POINT('',(3.039999999935E1,1.155000595417E1,-2.230073442683E1)); +#7905=DIRECTION('',(1.E0,3.494787853138E-14,1.400892415217E-8)); +#7906=VECTOR('',#7905,2.490608551602E0); +#7907=CARTESIAN_POINT('',(2.729687364374E1,1.155E1,-2.25E1)); +#7908=LINE('',#7907,#7906); +#7909=DIRECTION('',(1.607764426643E-11,0.E0,-1.E0)); +#7910=VECTOR('',#7909,5.5E0); +#7911=CARTESIAN_POINT('',(2.729687364374E1,1.155E1,-2.25E1)); +#7912=LINE('',#7911,#7910); +#7913=CARTESIAN_POINT('',(2.978748213030E1,1.205E1,-2.250000000001E1)); +#7914=DIRECTION('',(1.E0,0.E0,-6.026222151730E-8)); +#7915=DIRECTION('',(6.026222365515E-8,0.E0,1.E0)); +#7916=AXIS2_PLACEMENT_3D('',#7913,#7914,#7915); +#7918=CARTESIAN_POINT('',(3.039999999935E1,1.155000595417E1,-2.230073442683E1)); +#7919=CARTESIAN_POINT('',(3.039999999935E1,1.155000810126E1,-2.223143713692E1)); +#7920=CARTESIAN_POINT('',(3.040000000426E1,1.156830146004E1,-2.210040639482E1)); +#7921=CARTESIAN_POINT('',(3.039999998608E1,1.162549196367E1,-2.192558962261E1)); +#7922=CARTESIAN_POINT('',(3.040000005142E1,1.169043920004E1,-2.177348158299E1)); +#7923=CARTESIAN_POINT('',(3.039999980825E1,1.174555518210E1,-2.163523551444E1)); +#7924=CARTESIAN_POINT('',(3.040000041313E1,1.176142839645E1,-2.154446751680E1)); +#7925=CARTESIAN_POINT('',(3.040000041313E1,1.176143376356E1,-2.149999999983E1)); +#7927=CARTESIAN_POINT('',(3.080831967207E1,1.205E1,-2.150000003403E1)); +#7928=DIRECTION('',(9.140028866928E-7,0.E0,-9.999999999996E-1)); +#7929=DIRECTION('',(-8.166465237786E-1,-5.771381595422E-1,-7.464172768664E-7)); +#7930=AXIS2_PLACEMENT_3D('',#7927,#7928,#7929); +#7932=CARTESIAN_POINT('',(2.978748230382E1,1.205000284824E1,-2.200000000003E1)); +#7933=CARTESIAN_POINT('',(2.983512933612E1,1.204022657027E1,-2.199999703582E1)); +#7934=CARTESIAN_POINT('',(2.992918544771E1,1.202174489195E1,-2.198818557807E1)); +#7935=CARTESIAN_POINT('',(3.005808754936E1,1.199904763237E1,-2.193745544519E1)); +#7936=CARTESIAN_POINT('',(3.016797421017E1,1.198116682409E1,-2.185715266731E1)); +#7937=CARTESIAN_POINT('',(3.025164863783E1,1.196847597811E1,-2.175300190682E1)); +#7938=CARTESIAN_POINT('',(3.030437943165E1,1.196082570772E1,-2.163188595385E1)); +#7939=CARTESIAN_POINT('',(3.031665517699E1,1.195909364611E1,-2.154409263278E1)); +#7940=CARTESIAN_POINT('',(3.031665993601E1,1.195909091399E1,-2.149999999983E1)); +#7942=CARTESIAN_POINT('',(2.54E1,1.555E1,-2.15E1)); +#7943=DIRECTION('',(1.E0,0.E0,0.E0)); +#7944=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7945=AXIS2_PLACEMENT_3D('',#7942,#7943,#7944); +#7947=CARTESIAN_POINT('',(2.729687364248E1,1.205E1,-2.25E1)); +#7948=DIRECTION('',(1.E0,0.E0,0.E0)); +#7949=DIRECTION('',(0.E0,0.E0,1.E0)); +#7950=AXIS2_PLACEMENT_3D('',#7947,#7948,#7949); +#7952=CARTESIAN_POINT('',(2.684726443717E1,1.233125E1,-2.25E1)); +#7953=DIRECTION('',(4.375E-1,-8.992184106211E-1,0.E0)); +#7954=DIRECTION('',(1.421085471520E-14,0.E0,1.E0)); +#7955=AXIS2_PLACEMENT_3D('',#7952,#7953,#7954); +#7957=CARTESIAN_POINT('',(2.684726443717E1,9.26875E0,-2.25E1)); +#7958=DIRECTION('',(-4.375E-1,-8.992184106211E-1,0.E0)); +#7959=DIRECTION('',(-3.867270947921E-10,1.881552691430E-10,1.E0)); +#7960=AXIS2_PLACEMENT_3D('',#7957,#7958,#7959); +#7962=CARTESIAN_POINT('',(2.729687364248E1,9.55E0,-2.25E1)); +#7963=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7964=DIRECTION('',(0.E0,0.E0,1.E0)); +#7965=AXIS2_PLACEMENT_3D('',#7962,#7963,#7964); +#7967=CARTESIAN_POINT('',(2.99E1,9.55E0,-2.25E1)); +#7968=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7969=DIRECTION('',(0.E0,0.E0,1.E0)); +#7970=AXIS2_PLACEMENT_3D('',#7967,#7968,#7969); +#7972=CARTESIAN_POINT('',(2.729687364248E1,9.05E0,-2.8E1)); +#7973=DIRECTION('',(0.E0,0.E0,1.E0)); +#7974=DIRECTION('',(0.E0,1.E0,0.E0)); +#7975=AXIS2_PLACEMENT_3D('',#7972,#7973,#7974); +#7977=CARTESIAN_POINT('',(2.729687364248E1,9.05E0,-2.25E1)); +#7978=DIRECTION('',(0.E0,0.E0,1.E0)); +#7979=DIRECTION('',(0.E0,1.E0,0.E0)); +#7980=AXIS2_PLACEMENT_3D('',#7977,#7978,#7979); +#7982=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.8E1)); +#7983=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7984=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#7985=AXIS2_PLACEMENT_3D('',#7982,#7983,#7984); +#7987=DIRECTION('',(5.577308312158E-11,-1.146337974040E-10,-1.E0)); +#7988=VECTOR('',#7987,5.5E0); +#7989=CARTESIAN_POINT('',(2.639765523296E1,1.211249999775E1,-2.25E1)); +#7990=LINE('',#7989,#7988); +#7991=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.25E1)); +#7992=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7993=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#7994=AXIS2_PLACEMENT_3D('',#7991,#7992,#7993); +#7996=DIRECTION('',(6.231459792616E-12,1.280882470796E-11,-1.E0)); +#7997=VECTOR('',#7996,5.5E0); +#7998=CARTESIAN_POINT('',(2.639765523173E1,9.487499999720E0,-2.25E1)); +#7999=LINE('',#7998,#7997); +#8000=CARTESIAN_POINT('',(2.729687364248E1,1.255E1,-2.8E1)); +#8001=DIRECTION('',(0.E0,0.E0,1.E0)); +#8002=DIRECTION('',(-8.992184106211E-1,-4.375E-1,0.E0)); +#8003=AXIS2_PLACEMENT_3D('',#8000,#8001,#8002); +#8005=CARTESIAN_POINT('',(2.729687364248E1,1.255E1,-2.25E1)); +#8006=DIRECTION('',(0.E0,0.E0,1.E0)); +#8007=DIRECTION('',(-8.992184106211E-1,-4.375E-1,0.E0)); +#8008=AXIS2_PLACEMENT_3D('',#8005,#8006,#8007); +#8010=CARTESIAN_POINT('',(2.879687364248E1,-6.05E0,-2.25E1)); +#8011=DIRECTION('',(1.E0,0.E0,0.E0)); +#8012=DIRECTION('',(0.E0,0.E0,1.E0)); +#8013=AXIS2_PLACEMENT_3D('',#8010,#8011,#8012); +#8015=CARTESIAN_POINT('',(2.834726443717E1,-5.76875E0,-2.25E1)); +#8016=DIRECTION('',(4.375E-1,-8.992184106211E-1,0.E0)); +#8017=DIRECTION('',(1.421085471520E-14,0.E0,1.E0)); +#8018=AXIS2_PLACEMENT_3D('',#8015,#8016,#8017); +#8020=CARTESIAN_POINT('',(2.215820776515E1,-5.568671030682E0,-2.2E1)); +#8021=CARTESIAN_POINT('',(2.222495349268E1,-5.568671030682E0,-2.2E1)); +#8022=CARTESIAN_POINT('',(2.235022102922E1,-5.568671030682E0, +-2.201890617390E1)); +#8023=CARTESIAN_POINT('',(2.252291068946E1,-5.568671030682E0, +-2.209845551306E1)); +#8024=CARTESIAN_POINT('',(2.265222308681E1,-5.568671030682E0, +-2.221365850602E1)); +#8025=CARTESIAN_POINT('',(2.273358795209E1,-5.568671030682E0, +-2.235606826806E1)); +#8026=CARTESIAN_POINT('',(2.275E1,-5.568671030682E0,-2.245101261676E1)); +#8027=CARTESIAN_POINT('',(2.275E1,-5.568671030682E0,-2.25E1)); +#8029=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8030=VECTOR('',#8029,1.58E1); +#8031=CARTESIAN_POINT('',(1.805E1,-5.568671030682E0,-2.2E1)); +#8032=LINE('',#8031,#8030); +#8033=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8034=VECTOR('',#8033,5.1E0); +#8035=CARTESIAN_POINT('',(2.275E1,-5.568671030682E0,-2.8E1)); +#8036=LINE('',#8035,#8034); +#8037=CARTESIAN_POINT('',(2.275E1,-5.568671030682E0,-2.8E1)); +#8038=CARTESIAN_POINT('',(2.275E1,-5.568671030682E0,-2.804196589689E1)); +#8039=CARTESIAN_POINT('',(2.275E1,-5.586258788671E0,-2.812253652696E1)); +#8040=CARTESIAN_POINT('',(2.275E1,-5.663735547778E0,-2.823721322943E1)); +#8041=CARTESIAN_POINT('',(2.275E1,-5.789051341265E0,-2.833402052721E1)); +#8042=CARTESIAN_POINT('',(2.275E1,-5.943207339855E0,-2.840468464838E1)); +#8043=CARTESIAN_POINT('',(2.275E1,-6.124277537983E0,-2.845425821800E1)); +#8044=CARTESIAN_POINT('',(2.275E1,-6.327901368505E0,-2.848425106027E1)); +#8045=CARTESIAN_POINT('',(2.275E1,-6.553967169897E0,-2.849827649326E1)); +#8046=CARTESIAN_POINT('',(2.275E1,-6.717410661736E0,-2.85E1)); +#8047=CARTESIAN_POINT('',(2.275E1,-6.802506281447E0,-2.85E1)); +#8049=CARTESIAN_POINT('',(2.744804602655E1,-6.20625E0,-2.8E1)); +#8050=DIRECTION('',(4.375E-1,-8.992184106211E-1,0.E0)); +#8051=DIRECTION('',(6.193609181082E-9,3.013399307861E-9,-1.E0)); +#8052=AXIS2_PLACEMENT_3D('',#8049,#8050,#8051); +#8054=CARTESIAN_POINT('',(2.879687364248E1,-5.55E0,-2.8E1)); +#8055=DIRECTION('',(0.E0,0.E0,1.E0)); +#8056=DIRECTION('',(-8.992184106211E-1,-4.375E-1,0.E0)); +#8057=AXIS2_PLACEMENT_3D('',#8054,#8055,#8056); +#8059=CARTESIAN_POINT('',(2.879687364248E1,-5.55E0,-2.25E1)); +#8060=DIRECTION('',(0.E0,0.E0,1.E0)); +#8061=DIRECTION('',(-8.992184106211E-1,-4.375E-1,0.E0)); +#8062=AXIS2_PLACEMENT_3D('',#8059,#8060,#8061); +#8064=CARTESIAN_POINT('',(2.52E1,-7.3E0,-2.8E1)); +#8065=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8066=DIRECTION('',(-8.166666666667E-1,5.771096564394E-1,0.E0)); +#8067=AXIS2_PLACEMENT_3D('',#8064,#8065,#8066); +#8069=DIRECTION('',(3.405431088636E-10,-6.999377239419E-10,-1.E0)); +#8070=VECTOR('',#8069,5.5E0); +#8071=CARTESIAN_POINT('',(2.789765523301E1,-5.987500002356E0,-2.25E1)); +#8072=LINE('',#8071,#8070); +#8073=CARTESIAN_POINT('',(2.52E1,-7.3E0,-2.25E1)); +#8074=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8075=DIRECTION('',(-8.166666666667E-1,5.771096564394E-1,0.E0)); +#8076=AXIS2_PLACEMENT_3D('',#8073,#8074,#8075); +#8078=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8079=VECTOR('',#8078,5.5E0); +#8080=CARTESIAN_POINT('',(2.275E1,-5.568671030682E0,-2.25E1)); +#8081=LINE('',#8080,#8079); +#8082=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8083=VECTOR('',#8082,1.65E0); +#8084=CARTESIAN_POINT('',(-1.895E1,-5.568671030682E0,-3.31E1)); +#8085=LINE('',#8084,#8083); +#8086=DIRECTION('',(1.E0,0.E0,0.E0)); +#8087=VECTOR('',#8086,3.8E0); +#8088=CARTESIAN_POINT('',(-2.275E1,-5.568671030682E0,-3.31E1)); +#8089=LINE('',#8088,#8087); +#8090=DIRECTION('',(0.E0,1.E0,0.E0)); +#8091=VECTOR('',#8090,1.65E0); +#8092=CARTESIAN_POINT('',(-2.275E1,-7.218671030682E0,-3.31E1)); +#8093=LINE('',#8092,#8091); +#8094=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8095=VECTOR('',#8094,3.8E0); +#8096=CARTESIAN_POINT('',(-1.895E1,-7.218671030682E0,-3.31E1)); +#8097=LINE('',#8096,#8095); +#8098=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8099=VECTOR('',#8098,1.65E0); +#8100=CARTESIAN_POINT('',(1.895E1,-5.568671030682E0,-3.31E1)); +#8101=LINE('',#8100,#8099); +#8102=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8103=VECTOR('',#8102,3.8E0); +#8104=CARTESIAN_POINT('',(2.275E1,-7.218671030682E0,-3.31E1)); +#8105=LINE('',#8104,#8103); +#8106=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8107=VECTOR('',#8106,1.65E0); +#8108=CARTESIAN_POINT('',(2.275E1,-5.568671030682E0,-3.31E1)); +#8109=LINE('',#8108,#8107); +#8110=DIRECTION('',(1.E0,0.E0,0.E0)); +#8111=VECTOR('',#8110,3.8E0); +#8112=CARTESIAN_POINT('',(1.895E1,-5.568671030682E0,-3.31E1)); +#8113=LINE('',#8112,#8111); +#8114=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8115=VECTOR('',#8114,9.3E0); +#8116=CARTESIAN_POINT('',(-1.895E1,-1.095E1,-2.85E1)); +#8117=LINE('',#8116,#8115); +#8118=DIRECTION('',(1.E0,0.E0,0.E0)); +#8119=VECTOR('',#8118,1.3E0); +#8120=CARTESIAN_POINT('',(-1.777E1,-1.095E1,-3.28E1)); +#8121=LINE('',#8120,#8119); +#8122=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8123=VECTOR('',#8122,5.E0); +#8124=CARTESIAN_POINT('',(-1.777E1,-1.095E1,-3.28E1)); +#8125=LINE('',#8124,#8123); +#8126=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8127=VECTOR('',#8126,2.E0); +#8128=CARTESIAN_POINT('',(-1.777E1,-1.095E1,-2.2E1)); +#8129=LINE('',#8128,#8127); +#8130=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8131=VECTOR('',#8130,1.3E0); +#8132=CARTESIAN_POINT('',(-1.647E1,-1.095E1,-2.4E1)); +#8133=LINE('',#8132,#8131); +#8134=DIRECTION('',(1.E0,0.E0,0.E0)); +#8135=VECTOR('',#8134,1.3E0); +#8136=CARTESIAN_POINT('',(1.647E1,-1.095E1,-3.28E1)); +#8137=LINE('',#8136,#8135); +#8138=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8139=VECTOR('',#8138,1.3E0); +#8140=CARTESIAN_POINT('',(1.777E1,-1.095E1,-2.4E1)); +#8141=LINE('',#8140,#8139); +#8142=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8143=VECTOR('',#8142,6.5E0); +#8144=CARTESIAN_POINT('',(2.275E1,-1.095E1,-2.2E1)); +#8145=LINE('',#8144,#8143); +#8146=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8147=VECTOR('',#8146,9.3E0); +#8148=CARTESIAN_POINT('',(1.895E1,-1.095E1,-2.85E1)); +#8149=LINE('',#8148,#8147); +#8150=DIRECTION('',(0.E0,-1.E0,1.093142670400E-14)); +#8151=VECTOR('',#8150,6.5E-1); +#8152=CARTESIAN_POINT('',(-1.647E1,-1.095E1,-3.28E1)); +#8153=LINE('',#8152,#8151); +#8154=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8155=VECTOR('',#8154,5.E0); +#8156=CARTESIAN_POINT('',(-1.647E1,-1.095E1,-3.28E1)); +#8157=LINE('',#8156,#8155); +#8158=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8159=VECTOR('',#8158,6.5E-1); +#8160=CARTESIAN_POINT('',(-1.647E1,-1.095E1,-2.4E1)); +#8161=LINE('',#8160,#8159); +#8162=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8163=VECTOR('',#8162,2.E0); +#8164=CARTESIAN_POINT('',(-1.647E1,-1.095E1,-2.2E1)); +#8165=LINE('',#8164,#8163); +#8166=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8167=VECTOR('',#8166,8.8E0); +#8168=CARTESIAN_POINT('',(-1.647E1,-1.095E1,-2.4E1)); +#8169=LINE('',#8168,#8167); +#8170=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8171=VECTOR('',#8170,1.58E1); +#8172=CARTESIAN_POINT('',(-1.677E1,-1.065E1,-2.2E1)); +#8173=LINE('',#8172,#8171); +#8174=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8175=VECTOR('',#8174,1.58E1); +#8176=CARTESIAN_POINT('',(-1.677E1,-9.55E0,-2.2E1)); +#8177=LINE('',#8176,#8175); +#8178=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8179=VECTOR('',#8178,1.58E1); +#8180=CARTESIAN_POINT('',(-1.647E1,-9.25E0,-2.2E1)); +#8181=LINE('',#8180,#8179); +#8182=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8183=VECTOR('',#8182,1.58E1); +#8184=CARTESIAN_POINT('',(-1.805E1,-5.568671030682E0,-2.2E1)); +#8185=LINE('',#8184,#8183); +#8186=DIRECTION('',(0.E0,0.E0,1.E0)); +#8187=VECTOR('',#8186,1.58E1); +#8188=CARTESIAN_POINT('',(-1.647E1,-8.1E0,-3.78E1)); +#8189=LINE('',#8188,#8187); +#8190=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8191=VECTOR('',#8190,1.15E0); +#8192=CARTESIAN_POINT('',(-1.647E1,-8.1E0,-3.78E1)); +#8193=LINE('',#8192,#8191); +#8194=DIRECTION('',(5.294974447832E-1,-8.483115323795E-1,0.E0)); +#8195=VECTOR('',#8194,2.983961519676E0); +#8196=CARTESIAN_POINT('',(-1.805E1,-5.568671030682E0,-3.78E1)); +#8197=LINE('',#8196,#8195); +#8198=DIRECTION('',(1.E0,0.E0,0.E0)); +#8199=VECTOR('',#8198,9.E-1); +#8200=CARTESIAN_POINT('',(-1.895E1,-5.568671030682E0,-3.78E1)); +#8201=LINE('',#8200,#8199); +#8202=DIRECTION('',(0.E0,1.E0,0.E0)); +#8203=VECTOR('',#8202,5.381328969318E0); +#8204=CARTESIAN_POINT('',(-1.895E1,-1.095E1,-3.78E1)); +#8205=LINE('',#8204,#8203); +#8206=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8207=VECTOR('',#8206,1.18E0); +#8208=CARTESIAN_POINT('',(-1.777E1,-1.095E1,-3.78E1)); +#8209=LINE('',#8208,#8207); +#8210=DIRECTION('',(0.E0,1.E0,0.E0)); +#8211=VECTOR('',#8210,6.5E-1); +#8212=CARTESIAN_POINT('',(-1.777E1,-1.16E1,-3.78E1)); +#8213=LINE('',#8212,#8211); +#8214=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8215=VECTOR('',#8214,1.3E0); +#8216=CARTESIAN_POINT('',(-1.647E1,-1.16E1,-3.78E1)); +#8217=LINE('',#8216,#8215); +#8218=DIRECTION('',(1.093142670400E-14,-1.E0,0.E0)); +#8219=VECTOR('',#8218,6.5E-1); +#8220=CARTESIAN_POINT('',(-1.647E1,-1.095E1,-3.78E1)); +#8221=LINE('',#8220,#8219); +#8222=DIRECTION('',(7.071067811865E-1,-7.071067811865E-1,0.E0)); +#8223=VECTOR('',#8222,4.242640687119E-1); +#8224=CARTESIAN_POINT('',(-1.677E1,-1.065E1,-3.78E1)); +#8225=LINE('',#8224,#8223); +#8226=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8227=VECTOR('',#8226,1.1E0); +#8228=CARTESIAN_POINT('',(-1.677E1,-9.55E0,-3.78E1)); +#8229=LINE('',#8228,#8227); +#8230=DIRECTION('',(-7.071067811865E-1,-7.071067811866E-1,0.E0)); +#8231=VECTOR('',#8230,4.242640687119E-1); +#8232=CARTESIAN_POINT('',(-1.647E1,-9.25E0,-3.78E1)); +#8233=LINE('',#8232,#8231); +#8234=DIRECTION('',(5.294974447832E-1,8.483115323795E-1,0.E0)); +#8235=VECTOR('',#8234,2.983961519676E0); +#8236=CARTESIAN_POINT('',(1.647E1,-8.1E0,-3.78E1)); +#8237=LINE('',#8236,#8235); +#8238=DIRECTION('',(0.E0,1.E0,0.E0)); +#8239=VECTOR('',#8238,1.15E0); +#8240=CARTESIAN_POINT('',(1.647E1,-9.25E0,-3.78E1)); +#8241=LINE('',#8240,#8239); +#8242=DIRECTION('',(-7.071067811865E-1,7.071067811866E-1,0.E0)); +#8243=VECTOR('',#8242,4.242640687119E-1); +#8244=CARTESIAN_POINT('',(1.677E1,-9.55E0,-3.78E1)); +#8245=LINE('',#8244,#8243); +#8246=DIRECTION('',(0.E0,1.E0,0.E0)); +#8247=VECTOR('',#8246,1.1E0); +#8248=CARTESIAN_POINT('',(1.677E1,-1.065E1,-3.78E1)); +#8249=LINE('',#8248,#8247); +#8250=DIRECTION('',(7.071067811865E-1,7.071067811865E-1,0.E0)); +#8251=VECTOR('',#8250,4.242640687119E-1); +#8252=CARTESIAN_POINT('',(1.647E1,-1.095E1,-3.78E1)); +#8253=LINE('',#8252,#8251); +#8254=DIRECTION('',(0.E0,1.E0,0.E0)); +#8255=VECTOR('',#8254,6.5E-1); +#8256=CARTESIAN_POINT('',(1.647E1,-1.16E1,-3.78E1)); +#8257=LINE('',#8256,#8255); +#8258=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8259=VECTOR('',#8258,1.3E0); +#8260=CARTESIAN_POINT('',(1.777E1,-1.16E1,-3.78E1)); +#8261=LINE('',#8260,#8259); +#8262=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8263=VECTOR('',#8262,6.5E-1); +#8264=CARTESIAN_POINT('',(1.777E1,-1.095E1,-3.78E1)); +#8265=LINE('',#8264,#8263); +#8266=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8267=VECTOR('',#8266,1.18E0); +#8268=CARTESIAN_POINT('',(1.895E1,-1.095E1,-3.78E1)); +#8269=LINE('',#8268,#8267); +#8270=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8271=VECTOR('',#8270,5.381328969318E0); +#8272=CARTESIAN_POINT('',(1.895E1,-5.568671030682E0,-3.78E1)); +#8273=LINE('',#8272,#8271); +#8274=DIRECTION('',(1.E0,0.E0,0.E0)); +#8275=VECTOR('',#8274,9.E-1); +#8276=CARTESIAN_POINT('',(1.805E1,-5.568671030682E0,-3.78E1)); +#8277=LINE('',#8276,#8275); +#8278=DIRECTION('',(0.E0,1.E0,0.E0)); +#8279=VECTOR('',#8278,6.5E-1); +#8280=CARTESIAN_POINT('',(-1.777E1,-1.16E1,-3.28E1)); +#8281=LINE('',#8280,#8279); +#8282=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8283=VECTOR('',#8282,5.E0); +#8284=CARTESIAN_POINT('',(-1.777E1,-1.16E1,-3.28E1)); +#8285=LINE('',#8284,#8283); +#8286=DIRECTION('',(0.E0,1.E0,0.E0)); +#8287=VECTOR('',#8286,6.5E-1); +#8288=CARTESIAN_POINT('',(-1.777E1,-1.16E1,-2.4E1)); +#8289=LINE('',#8288,#8287); +#8290=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8291=VECTOR('',#8290,2.E0); +#8292=CARTESIAN_POINT('',(-1.777E1,-1.16E1,-2.2E1)); +#8293=LINE('',#8292,#8291); +#8294=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8295=VECTOR('',#8294,1.3E0); +#8296=CARTESIAN_POINT('',(-1.647E1,-1.16E1,-3.28E1)); +#8297=LINE('',#8296,#8295); +#8298=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8299=VECTOR('',#8298,5.E0); +#8300=CARTESIAN_POINT('',(-1.647E1,-1.16E1,-3.28E1)); +#8301=LINE('',#8300,#8299); +#8302=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8303=VECTOR('',#8302,1.3E0); +#8304=CARTESIAN_POINT('',(-1.647E1,-1.16E1,-2.4E1)); +#8305=LINE('',#8304,#8303); +#8306=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8307=VECTOR('',#8306,2.E0); +#8308=CARTESIAN_POINT('',(-1.647E1,-1.16E1,-2.2E1)); +#8309=LINE('',#8308,#8307); +#8310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8311=VECTOR('',#8310,1.58E1); +#8312=CARTESIAN_POINT('',(1.647E1,-9.25E0,-2.2E1)); +#8313=LINE('',#8312,#8311); +#8314=DIRECTION('',(0.E0,0.E0,1.E0)); +#8315=VECTOR('',#8314,1.58E1); +#8316=CARTESIAN_POINT('',(1.647E1,-8.1E0,-3.78E1)); +#8317=LINE('',#8316,#8315); +#8318=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8319=VECTOR('',#8318,1.58E1); +#8320=CARTESIAN_POINT('',(1.677E1,-9.55E0,-2.2E1)); +#8321=LINE('',#8320,#8319); +#8322=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8323=VECTOR('',#8322,1.58E1); +#8324=CARTESIAN_POINT('',(1.677E1,-1.065E1,-2.2E1)); +#8325=LINE('',#8324,#8323); +#8326=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8327=VECTOR('',#8326,8.8E0); +#8328=CARTESIAN_POINT('',(1.647E1,-1.095E1,-2.4E1)); +#8329=LINE('',#8328,#8327); +#8330=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8331=VECTOR('',#8330,5.E0); +#8332=CARTESIAN_POINT('',(1.647E1,-1.095E1,-3.28E1)); +#8333=LINE('',#8332,#8331); +#8334=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8335=VECTOR('',#8334,2.E0); +#8336=CARTESIAN_POINT('',(1.647E1,-1.095E1,-2.2E1)); +#8337=LINE('',#8336,#8335); +#8338=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8339=VECTOR('',#8338,2.E0); +#8340=CARTESIAN_POINT('',(1.647E1,-1.16E1,-2.2E1)); +#8341=LINE('',#8340,#8339); +#8342=DIRECTION('',(0.E0,1.E0,0.E0)); +#8343=VECTOR('',#8342,6.5E-1); +#8344=CARTESIAN_POINT('',(1.647E1,-1.16E1,-2.4E1)); +#8345=LINE('',#8344,#8343); +#8346=DIRECTION('',(0.E0,1.E0,-1.093142670400E-14)); +#8347=VECTOR('',#8346,6.5E-1); +#8348=CARTESIAN_POINT('',(1.647E1,-1.16E1,-3.28E1)); +#8349=LINE('',#8348,#8347); +#8350=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8351=VECTOR('',#8350,5.E0); +#8352=CARTESIAN_POINT('',(1.647E1,-1.16E1,-3.28E1)); +#8353=LINE('',#8352,#8351); +#8354=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8355=VECTOR('',#8354,2.E0); +#8356=CARTESIAN_POINT('',(1.777E1,-1.16E1,-2.2E1)); +#8357=LINE('',#8356,#8355); +#8358=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8359=VECTOR('',#8358,1.3E0); +#8360=CARTESIAN_POINT('',(1.777E1,-1.16E1,-2.4E1)); +#8361=LINE('',#8360,#8359); +#8362=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8363=VECTOR('',#8362,1.3E0); +#8364=CARTESIAN_POINT('',(1.777E1,-1.16E1,-3.28E1)); +#8365=LINE('',#8364,#8363); +#8366=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8367=VECTOR('',#8366,5.E0); +#8368=CARTESIAN_POINT('',(1.777E1,-1.16E1,-3.28E1)); +#8369=LINE('',#8368,#8367); +#8370=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8371=VECTOR('',#8370,2.E0); +#8372=CARTESIAN_POINT('',(1.777E1,-1.095E1,-2.2E1)); +#8373=LINE('',#8372,#8371); +#8374=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8375=VECTOR('',#8374,6.5E-1); +#8376=CARTESIAN_POINT('',(1.777E1,-1.095E1,-2.4E1)); +#8377=LINE('',#8376,#8375); +#8378=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8379=VECTOR('',#8378,6.5E-1); +#8380=CARTESIAN_POINT('',(1.777E1,-1.095E1,-3.28E1)); +#8381=LINE('',#8380,#8379); +#8382=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8383=VECTOR('',#8382,5.E0); +#8384=CARTESIAN_POINT('',(1.777E1,-1.095E1,-3.28E1)); +#8385=LINE('',#8384,#8383); +#8386=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8387=VECTOR('',#8386,4.6E0); +#8388=CARTESIAN_POINT('',(1.895E1,-7.218671030682E0,-2.85E1)); +#8389=LINE('',#8388,#8387); +#8390=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8391=VECTOR('',#8390,4.7E0); +#8392=CARTESIAN_POINT('',(1.895E1,-5.568671030682E0,-3.31E1)); +#8393=LINE('',#8392,#8391); +#8394=CARTESIAN_POINT('',(2.09E1,-7.218671030682E0,-3.13E1)); +#8395=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8396=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8397=AXIS2_PLACEMENT_3D('',#8394,#8395,#8396); +#8399=CARTESIAN_POINT('',(2.09E1,-7.218671030682E0,-3.13E1)); +#8400=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8401=DIRECTION('',(1.E0,0.E0,0.E0)); +#8402=AXIS2_PLACEMENT_3D('',#8399,#8400,#8401); +#8404=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8405=VECTOR('',#8404,4.6E0); +#8406=CARTESIAN_POINT('',(2.275E1,-7.218671030682E0,-2.85E1)); +#8407=LINE('',#8406,#8405); +#8408=CARTESIAN_POINT('',(2.09E1,-1.459367103068E1,-3.13E1)); +#8409=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8410=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8411=AXIS2_PLACEMENT_3D('',#8408,#8409,#8410); +#8413=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8414=VECTOR('',#8413,1.025E0); +#8415=CARTESIAN_POINT('',(2.156E1,-1.356867103068E1,-3.205126559884E1)); +#8416=LINE('',#8415,#8414); +#8417=CARTESIAN_POINT('',(2.09E1,-1.356867103068E1,-3.13E1)); +#8418=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8419=DIRECTION('',(6.6E-1,0.E0,-7.512655988397E-1)); +#8420=AXIS2_PLACEMENT_3D('',#8417,#8418,#8419); +#8422=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8423=VECTOR('',#8422,7.375E0); +#8424=CARTESIAN_POINT('',(1.99E1,-7.218671030682E0,-3.13E1)); +#8425=LINE('',#8424,#8423); +#8426=CARTESIAN_POINT('',(2.09E1,-1.459367103068E1,-3.13E1)); +#8427=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8428=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8429=AXIS2_PLACEMENT_3D('',#8426,#8427,#8428); +#8431=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#8432=VECTOR('',#8431,8.131727983645E-1); +#8433=CARTESIAN_POINT('',(2.09E1,-1.459367103068E1,-3.23E1)); +#8434=LINE('',#8433,#8432); +#8435=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#8436=VECTOR('',#8435,8.131727983645E-1); +#8437=CARTESIAN_POINT('',(2.09E1,-1.459367103068E1,-3.03E1)); +#8438=LINE('',#8437,#8436); +#8439=CARTESIAN_POINT('',(2.09E1,-1.459367103068E1,-3.13E1)); +#8440=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8441=DIRECTION('',(6.6E-1,0.E0,7.512655988397E-1)); +#8442=AXIS2_PLACEMENT_3D('',#8439,#8440,#8441); +#8444=CARTESIAN_POINT('',(2.09E1,-1.459367103068E1,-3.13E1)); +#8445=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8446=DIRECTION('',(0.E0,0.E0,1.E0)); +#8447=AXIS2_PLACEMENT_3D('',#8444,#8445,#8446); +#8449=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8450=VECTOR('',#8449,6.35E0); +#8451=CARTESIAN_POINT('',(2.19E1,-7.218671030682E0,-3.13E1)); +#8452=LINE('',#8451,#8450); +#8453=CARTESIAN_POINT('',(2.09E1,-1.356867103068E1,-3.13E1)); +#8454=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8455=DIRECTION('',(1.E0,0.E0,0.E0)); +#8456=AXIS2_PLACEMENT_3D('',#8453,#8454,#8455); +#8458=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8459=VECTOR('',#8458,1.025E0); +#8460=CARTESIAN_POINT('',(2.156E1,-1.356867103068E1,-3.054873440116E1)); +#8461=LINE('',#8460,#8459); +#8462=DIRECTION('',(1.E0,0.E0,0.E0)); +#8463=VECTOR('',#8462,5.E-1); +#8464=CARTESIAN_POINT('',(2.156E1,-1.356867103068E1,-3.205126559884E1)); +#8465=LINE('',#8464,#8463); +#8466=CARTESIAN_POINT('',(2.14E1,-1.356867103068E1,-3.13E1)); +#8467=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8468=DIRECTION('',(6.6E-1,0.E0,-7.512655988397E-1)); +#8469=AXIS2_PLACEMENT_3D('',#8466,#8467,#8468); +#8471=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8472=VECTOR('',#8471,5.E-1); +#8473=CARTESIAN_POINT('',(2.206E1,-1.356867103068E1,-3.054873440116E1)); +#8474=LINE('',#8473,#8472); +#8475=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8476=VECTOR('',#8475,5.E-1); +#8477=CARTESIAN_POINT('',(-2.156E1,-1.356867103068E1,-3.054873440116E1)); +#8478=LINE('',#8477,#8476); +#8479=CARTESIAN_POINT('',(-2.14E1,-1.356867103068E1,-3.13E1)); +#8480=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8481=DIRECTION('',(-6.6E-1,0.E0,7.512655988397E-1)); +#8482=AXIS2_PLACEMENT_3D('',#8479,#8480,#8481); +#8484=DIRECTION('',(1.E0,0.E0,0.E0)); +#8485=VECTOR('',#8484,5.E-1); +#8486=CARTESIAN_POINT('',(-2.206E1,-1.356867103068E1,-3.205126559884E1)); +#8487=LINE('',#8486,#8485); +#8488=CARTESIAN_POINT('',(2.156E1,-1.459367103068E1,-3.205126559884E1)); +#8489=CARTESIAN_POINT('',(2.161212696026E1,-1.455926723691E1, +-3.205126559884E1)); +#8490=CARTESIAN_POINT('',(2.171833048312E1,-1.448459255342E1, +-3.205126559884E1)); +#8491=CARTESIAN_POINT('',(2.188462152626E1,-1.435659557679E1, +-3.205126559884E1)); +#8492=CARTESIAN_POINT('',(2.200059889912E1,-1.426150164320E1, +-3.205126559884E1)); +#8493=CARTESIAN_POINT('',(2.206E1,-1.421164353457E1,-3.205126559884E1)); +#8495=CARTESIAN_POINT('',(2.206E1,-1.421164353457E1,-3.205126559884E1)); +#8496=CARTESIAN_POINT('',(2.213213699171E1,-1.418554528692E1, +-3.198789198689E1)); +#8497=CARTESIAN_POINT('',(2.225708910788E1,-1.414178490315E1, +-3.184100907185E1)); +#8498=CARTESIAN_POINT('',(2.237362383408E1,-1.410242527265E1, +-3.158063331657E1)); +#8499=CARTESIAN_POINT('',(2.241318887969E1,-1.408929364383E1, +-3.130000463266E1)); +#8500=CARTESIAN_POINT('',(2.237362064715E1,-1.410242633612E1, +-3.101935093837E1)); +#8501=CARTESIAN_POINT('',(2.225708539878E1,-1.414178618331E1, +-3.075898761539E1)); +#8502=CARTESIAN_POINT('',(2.213213532156E1,-1.418554589116E1, +-3.061210654585E1)); +#8503=CARTESIAN_POINT('',(2.206E1,-1.421164353457E1,-3.054873440116E1)); +#8505=DIRECTION('',(0.E0,1.E0,0.E0)); +#8506=VECTOR('',#8505,6.429725038915E-1); +#8507=CARTESIAN_POINT('',(2.206E1,-1.421164353457E1,-3.205126559884E1)); +#8508=LINE('',#8507,#8506); +#8509=CARTESIAN_POINT('',(2.206E1,-1.421164353457E1,-3.054873440116E1)); +#8510=CARTESIAN_POINT('',(2.200059121942E1,-1.426150808913E1, +-3.054873440116E1)); +#8511=CARTESIAN_POINT('',(2.188460332722E1,-1.435661019635E1, +-3.054873440116E1)); +#8512=CARTESIAN_POINT('',(2.171831521471E1,-1.448460368657E1, +-3.054873440116E1)); +#8513=CARTESIAN_POINT('',(2.161212022497E1,-1.455927168220E1, +-3.054873440116E1)); +#8514=CARTESIAN_POINT('',(2.156E1,-1.459367103068E1,-3.054873440116E1)); +#8516=DIRECTION('',(0.E0,1.E0,0.E0)); +#8517=VECTOR('',#8516,6.429725038915E-1); +#8518=CARTESIAN_POINT('',(2.206E1,-1.421164353457E1,-3.054873440116E1)); +#8519=LINE('',#8518,#8517); +#8520=CARTESIAN_POINT('',(-2.09E1,-1.459367103068E1,-3.13E1)); +#8521=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8522=DIRECTION('',(-6.6E-1,0.E0,-7.512655988397E-1)); +#8523=AXIS2_PLACEMENT_3D('',#8520,#8521,#8522); +#8525=CARTESIAN_POINT('',(-2.09E1,-1.459367103068E1,-3.13E1)); +#8526=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8527=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8528=AXIS2_PLACEMENT_3D('',#8525,#8526,#8527); +#8530=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8531=VECTOR('',#8530,6.35E0); +#8532=CARTESIAN_POINT('',(-2.19E1,-7.218671030682E0,-3.13E1)); +#8533=LINE('',#8532,#8531); +#8534=CARTESIAN_POINT('',(-2.09E1,-1.356867103068E1,-3.13E1)); +#8535=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8536=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8537=AXIS2_PLACEMENT_3D('',#8534,#8535,#8536); +#8539=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8540=VECTOR('',#8539,1.025E0); +#8541=CARTESIAN_POINT('',(-2.156E1,-1.356867103068E1,-3.205126559884E1)); +#8542=LINE('',#8541,#8540); +#8543=CARTESIAN_POINT('',(-2.09E1,-1.516867103068E1,-3.13E1)); +#8544=DIRECTION('',(0.E0,1.E0,0.E0)); +#8545=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8546=AXIS2_PLACEMENT_3D('',#8543,#8544,#8545); +#8548=CARTESIAN_POINT('',(-2.09E1,-1.516867103068E1,-3.13E1)); +#8549=DIRECTION('',(0.E0,1.E0,0.E0)); +#8550=DIRECTION('',(0.E0,0.E0,1.E0)); +#8551=AXIS2_PLACEMENT_3D('',#8548,#8549,#8550); +#8553=CARTESIAN_POINT('',(2.09E1,-1.516867103068E1,-3.13E1)); +#8554=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8555=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8556=AXIS2_PLACEMENT_3D('',#8553,#8554,#8555); +#8558=CARTESIAN_POINT('',(2.09E1,-1.516867103068E1,-3.13E1)); +#8559=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8560=DIRECTION('',(0.E0,0.E0,1.E0)); +#8561=AXIS2_PLACEMENT_3D('',#8558,#8559,#8560); +#8563=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#8564=VECTOR('',#8563,8.131727983645E-1); +#8565=CARTESIAN_POINT('',(-2.09E1,-1.459367103068E1,-3.03E1)); +#8566=LINE('',#8565,#8564); +#8567=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#8568=VECTOR('',#8567,8.131727983645E-1); +#8569=CARTESIAN_POINT('',(-2.09E1,-1.459367103068E1,-3.23E1)); +#8570=LINE('',#8569,#8568); +#8571=CARTESIAN_POINT('',(-2.09E1,-1.459367103068E1,-3.13E1)); +#8572=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8573=DIRECTION('',(0.E0,0.E0,1.E0)); +#8574=AXIS2_PLACEMENT_3D('',#8571,#8572,#8573); +#8576=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8577=VECTOR('',#8576,1.025E0); +#8578=CARTESIAN_POINT('',(-2.156E1,-1.356867103068E1,-3.054873440116E1)); +#8579=LINE('',#8578,#8577); +#8580=CARTESIAN_POINT('',(-2.09E1,-1.356867103068E1,-3.13E1)); +#8581=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8582=DIRECTION('',(-6.6E-1,0.E0,7.512655988397E-1)); +#8583=AXIS2_PLACEMENT_3D('',#8580,#8581,#8582); +#8585=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8586=VECTOR('',#8585,7.375E0); +#8587=CARTESIAN_POINT('',(-1.99E1,-7.218671030682E0,-3.13E1)); +#8588=LINE('',#8587,#8586); +#8589=CARTESIAN_POINT('',(-2.09E1,-1.459367103068E1,-3.13E1)); +#8590=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8591=DIRECTION('',(1.E0,0.E0,0.E0)); +#8592=AXIS2_PLACEMENT_3D('',#8589,#8590,#8591); +#8594=CARTESIAN_POINT('',(-2.206E1,-1.421164353457E1,-3.054873440116E1)); +#8595=CARTESIAN_POINT('',(-2.200059121942E1,-1.426150808913E1, +-3.054873440116E1)); +#8596=CARTESIAN_POINT('',(-2.188460332722E1,-1.435661019635E1, +-3.054873440116E1)); +#8597=CARTESIAN_POINT('',(-2.171831521471E1,-1.448460368657E1, +-3.054873440116E1)); +#8598=CARTESIAN_POINT('',(-2.161212022497E1,-1.455927168220E1, +-3.054873440116E1)); +#8599=CARTESIAN_POINT('',(-2.156E1,-1.459367103068E1,-3.054873440116E1)); +#8601=CARTESIAN_POINT('',(-2.206E1,-1.421164353457E1,-3.054873440116E1)); +#8602=CARTESIAN_POINT('',(-2.213213699171E1,-1.418554528692E1, +-3.061210801311E1)); +#8603=CARTESIAN_POINT('',(-2.225708910788E1,-1.414178490315E1, +-3.075899092815E1)); +#8604=CARTESIAN_POINT('',(-2.237362383408E1,-1.410242527265E1, +-3.101936668343E1)); +#8605=CARTESIAN_POINT('',(-2.241318887969E1,-1.408929364383E1, +-3.129999536734E1)); +#8606=CARTESIAN_POINT('',(-2.237362064715E1,-1.410242633612E1, +-3.158064906163E1)); +#8607=CARTESIAN_POINT('',(-2.225708539878E1,-1.414178618331E1, +-3.184101238461E1)); +#8608=CARTESIAN_POINT('',(-2.213213532156E1,-1.418554589116E1, +-3.198789345415E1)); +#8609=CARTESIAN_POINT('',(-2.206E1,-1.421164353457E1,-3.205126559884E1)); +#8611=DIRECTION('',(0.E0,1.E0,0.E0)); +#8612=VECTOR('',#8611,6.429725038915E-1); +#8613=CARTESIAN_POINT('',(-2.206E1,-1.421164353457E1,-3.054873440116E1)); +#8614=LINE('',#8613,#8612); +#8615=CARTESIAN_POINT('',(-2.156E1,-1.459367103068E1,-3.205126559884E1)); +#8616=CARTESIAN_POINT('',(-2.161212696026E1,-1.455926723691E1, +-3.205126559884E1)); +#8617=CARTESIAN_POINT('',(-2.171833048312E1,-1.448459255342E1, +-3.205126559884E1)); +#8618=CARTESIAN_POINT('',(-2.188462152626E1,-1.435659557679E1, +-3.205126559884E1)); +#8619=CARTESIAN_POINT('',(-2.200059889912E1,-1.426150164320E1, +-3.205126559884E1)); +#8620=CARTESIAN_POINT('',(-2.206E1,-1.421164353457E1,-3.205126559884E1)); +#8622=DIRECTION('',(0.E0,1.E0,0.E0)); +#8623=VECTOR('',#8622,6.429725038915E-1); +#8624=CARTESIAN_POINT('',(-2.206E1,-1.421164353457E1,-3.205126559884E1)); +#8625=LINE('',#8624,#8623); +#8626=CARTESIAN_POINT('',(-2.09E1,-7.218671030682E0,-3.13E1)); +#8627=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8628=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8629=AXIS2_PLACEMENT_3D('',#8626,#8627,#8628); +#8631=CARTESIAN_POINT('',(-2.09E1,-7.218671030682E0,-3.13E1)); +#8632=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8633=DIRECTION('',(1.E0,0.E0,0.E0)); +#8634=AXIS2_PLACEMENT_3D('',#8631,#8632,#8633); +#8636=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8637=VECTOR('',#8636,4.6E0); +#8638=CARTESIAN_POINT('',(-1.895E1,-7.218671030682E0,-2.85E1)); +#8639=LINE('',#8638,#8637); +#8640=DIRECTION('',(0.E0,1.E0,0.E0)); +#8641=VECTOR('',#8640,1.15E0); +#8642=CARTESIAN_POINT('',(-2.275E1,-1.095E1,-2.85E1)); +#8643=LINE('',#8642,#8641); +#8644=CARTESIAN_POINT('',(-2.275E1,-1.08E1,-2.25E1)); +#8645=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8646=DIRECTION('',(0.E0,1.065814103640E-14,1.E0)); +#8647=AXIS2_PLACEMENT_3D('',#8644,#8645,#8646); +#8649=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8650=VECTOR('',#8649,6.5E0); +#8651=CARTESIAN_POINT('',(-2.275E1,-1.095E1,-2.2E1)); +#8652=LINE('',#8651,#8650); +#8653=CARTESIAN_POINT('',(-2.275E1,-9.8E0,-2.8E1)); +#8654=DIRECTION('',(1.E0,0.E0,0.E0)); +#8655=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8656=AXIS2_PLACEMENT_3D('',#8653,#8654,#8655); +#8658=CARTESIAN_POINT('',(-2.52E1,-9.8E0,-2.8E1)); +#8659=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8660=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8661=AXIS2_PLACEMENT_3D('',#8658,#8659,#8660); +#8663=CARTESIAN_POINT('',(-2.744804602655E1,-8.39375E0,-2.8E1)); +#8664=DIRECTION('',(-4.375E-1,8.992184106211E-1,0.E0)); +#8665=DIRECTION('',(-6.193630497364E-9,-3.013411742359E-9,-1.E0)); +#8666=AXIS2_PLACEMENT_3D('',#8663,#8664,#8665); +#8668=CARTESIAN_POINT('',(-2.879687364248E1,-7.55E0,-2.8E1)); +#8669=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8670=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8671=AXIS2_PLACEMENT_3D('',#8668,#8669,#8670); +#8673=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8674=VECTOR('',#8673,1.603126356176E0); +#8675=CARTESIAN_POINT('',(-2.879687364382E1,-8.05E0,-2.8E1)); +#8676=LINE('',#8675,#8674); +#8677=DIRECTION('',(0.E0,0.E0,1.E0)); +#8678=VECTOR('',#8677,5.633974596216E0); +#8679=CARTESIAN_POINT('',(-3.04E1,-8.05E0,-2.8E1)); +#8680=LINE('',#8679,#8678); +#8681=CARTESIAN_POINT('',(-2.99E1,-8.05E0,-2.15E1)); +#8682=DIRECTION('',(0.E0,1.E0,0.E0)); +#8683=DIRECTION('',(-1.065814103640E-14,0.E0,-1.E0)); +#8684=AXIS2_PLACEMENT_3D('',#8681,#8682,#8683); +#8686=DIRECTION('',(-1.E0,0.E0,1.730195598015E-10)); +#8687=VECTOR('',#8686,1.103126358576E0); +#8688=CARTESIAN_POINT('',(-2.879687364374E1,-8.05E0,-2.25E1)); +#8689=LINE('',#8688,#8687); +#8690=DIRECTION('',(-1.607699831848E-11,0.E0,-1.E0)); +#8691=VECTOR('',#8690,5.5E0); +#8692=CARTESIAN_POINT('',(-2.879687364374E1,-8.05E0,-2.25E1)); +#8693=LINE('',#8692,#8691); +#8694=CARTESIAN_POINT('',(-2.99E1,-8.55E0,-2.25E1)); +#8695=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8696=DIRECTION('',(0.E0,0.E0,1.E0)); +#8697=AXIS2_PLACEMENT_3D('',#8694,#8695,#8696); +#8699=CARTESIAN_POINT('',(-3.04E1,-8.05E0,-2.236602540378E1)); +#8700=CARTESIAN_POINT('',(-3.04E1,-8.05E0,-2.231683241329E1)); +#8701=CARTESIAN_POINT('',(-3.04E1,-8.060626829073E0,-2.222045662637E1)); +#8702=CARTESIAN_POINT('',(-3.04E1,-8.104418522463E0,-2.207896637458E1)); +#8703=CARTESIAN_POINT('',(-3.04E1,-8.168991341683E0,-2.194931739036E1)); +#8704=CARTESIAN_POINT('',(-3.04E1,-8.246889242538E0,-2.183223336836E1)); +#8705=CARTESIAN_POINT('',(-3.04E1,-8.334376855351E0,-2.172405889023E1)); +#8706=CARTESIAN_POINT('',(-3.04E1,-8.433510678197E0,-2.161666109753E1)); +#8707=CARTESIAN_POINT('',(-3.04E1,-8.510071048658E0,-2.153992895134E1)); +#8708=CARTESIAN_POINT('',(-3.04E1,-8.55E0,-2.15E1)); +#8710=CARTESIAN_POINT('',(-2.99E1,-8.55E0,-2.15E1)); +#8711=DIRECTION('',(0.E0,1.E0,0.E0)); +#8712=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8713=AXIS2_PLACEMENT_3D('',#8710,#8711,#8712); +#8715=CARTESIAN_POINT('',(-2.99E1,-1.105E1,-2.15E1)); +#8716=DIRECTION('',(0.E0,1.E0,0.E0)); +#8717=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8718=AXIS2_PLACEMENT_3D('',#8715,#8716,#8717); +#8720=CARTESIAN_POINT('',(-2.54E1,-1.555E1,-2.15E1)); +#8721=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8722=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8723=AXIS2_PLACEMENT_3D('',#8720,#8721,#8722); +#8725=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-2.15E1)); +#8726=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8727=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8728=AXIS2_PLACEMENT_3D('',#8725,#8726,#8727); +#8730=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-2.05E1)); +#8731=DIRECTION('',(0.E0,0.E0,1.E0)); +#8732=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8733=AXIS2_PLACEMENT_3D('',#8730,#8731,#8732); +#8735=DIRECTION('',(-3.609557097661E-12,0.E0,-1.E0)); +#8736=VECTOR('',#8735,1.E0); +#8737=CARTESIAN_POINT('',(-2.539999999994E1,-1.605E1,-2.05E1)); +#8738=LINE('',#8737,#8736); +#8739=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8740=VECTOR('',#8739,5.079999999985E1); +#8741=CARTESIAN_POINT('',(2.539999999991E1,-1.605E1,-2.15E1)); +#8742=LINE('',#8741,#8740); +#8743=DIRECTION('',(1.E0,0.E0,0.E0)); +#8744=VECTOR('',#8743,5.079999999985E1); +#8745=CARTESIAN_POINT('',(-2.539999999994E1,-1.605E1,-2.05E1)); +#8746=LINE('',#8745,#8744); +#8747=DIRECTION('',(-2.660982545422E-12,0.E0,-1.E0)); +#8748=VECTOR('',#8747,1.E0); +#8749=CARTESIAN_POINT('',(2.539999999991E1,-1.605E1,-2.05E1)); +#8750=LINE('',#8749,#8748); +#8751=CARTESIAN_POINT('',(2.54E1,-1.555E1,-2.15E1)); +#8752=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8753=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8754=AXIS2_PLACEMENT_3D('',#8751,#8752,#8753); +#8756=CARTESIAN_POINT('',(2.99E1,-1.105E1,-2.15E1)); +#8757=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8758=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8759=AXIS2_PLACEMENT_3D('',#8756,#8757,#8758); +#8761=CARTESIAN_POINT('',(2.54E1,-1.105E1,-2.15E1)); +#8762=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8763=DIRECTION('',(1.E0,0.E0,0.E0)); +#8764=AXIS2_PLACEMENT_3D('',#8761,#8762,#8763); +#8766=CARTESIAN_POINT('',(2.54E1,-1.105E1,-2.05E1)); +#8767=DIRECTION('',(0.E0,0.E0,1.E0)); +#8768=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8769=AXIS2_PLACEMENT_3D('',#8766,#8767,#8768); +#8771=DIRECTION('',(0.E0,5.569766869939E-11,-1.E0)); +#8772=VECTOR('',#8771,1.E0); +#8773=CARTESIAN_POINT('',(3.04E1,-1.104999999994E1,-2.05E1)); +#8774=LINE('',#8773,#8772); +#8775=CARTESIAN_POINT('',(2.99E1,-8.55E0,-2.15E1)); +#8776=DIRECTION('',(0.E0,1.E0,0.E0)); +#8777=DIRECTION('',(1.E0,0.E0,0.E0)); +#8778=AXIS2_PLACEMENT_3D('',#8775,#8776,#8777); +#8780=CARTESIAN_POINT('',(3.04E1,-8.55E0,-2.15E1)); +#8781=CARTESIAN_POINT('',(3.04E1,-8.509980164458E0,-2.154001983554E1)); +#8782=CARTESIAN_POINT('',(3.04E1,-8.433254564092E0,-2.161691785483E1)); +#8783=CARTESIAN_POINT('',(3.04E1,-8.333900666125E0,-2.172459365118E1)); +#8784=CARTESIAN_POINT('',(3.04E1,-8.246372497919E0,-2.183293224134E1)); +#8785=CARTESIAN_POINT('',(3.04E1,-8.168687500003E0,-2.194986608239E1)); +#8786=CARTESIAN_POINT('',(3.04E1,-8.104332726982E0,-2.207922314771E1)); +#8787=CARTESIAN_POINT('',(3.04E1,-8.060628797786E0,-2.222049289445E1)); +#8788=CARTESIAN_POINT('',(3.04E1,-8.05E0,-2.231682972010E1)); +#8789=CARTESIAN_POINT('',(3.04E1,-8.05E0,-2.236602540378E1)); +#8791=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8792=VECTOR('',#8791,1.603126360512E0); +#8793=CARTESIAN_POINT('',(3.04E1,-8.05E0,-2.8E1)); +#8794=LINE('',#8793,#8792); +#8795=DIRECTION('',(-3.380097010367E-10,0.E0,-1.E0)); +#8796=VECTOR('',#8795,5.5E0); +#8797=CARTESIAN_POINT('',(2.879687364135E1,-8.05E0,-2.25E1)); +#8798=LINE('',#8797,#8796); +#8799=DIRECTION('',(-1.E0,0.E0,-3.447025751236E-10)); +#8800=VECTOR('',#8799,1.103126362254E0); +#8801=CARTESIAN_POINT('',(2.990000000360E1,-8.05E0,-2.249999999962E1)); +#8802=LINE('',#8801,#8800); +#8803=CARTESIAN_POINT('',(2.99E1,-8.05E0,-2.15E1)); +#8804=DIRECTION('',(0.E0,1.E0,0.E0)); +#8805=DIRECTION('',(5.E-1,0.E0,-8.660254037844E-1)); +#8806=AXIS2_PLACEMENT_3D('',#8803,#8804,#8805); +#8808=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8809=VECTOR('',#8808,5.633974596216E0); +#8810=CARTESIAN_POINT('',(3.04E1,-8.05E0,-2.236602540378E1)); +#8811=LINE('',#8810,#8809); +#8812=CARTESIAN_POINT('',(2.879687364248E1,-7.55E0,-2.8E1)); +#8813=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8814=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8815=AXIS2_PLACEMENT_3D('',#8812,#8813,#8814); +#8817=CARTESIAN_POINT('',(2.744804602655E1,-8.39375E0,-2.8E1)); +#8818=DIRECTION('',(-4.375E-1,-8.992184106211E-1,0.E0)); +#8819=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8820=AXIS2_PLACEMENT_3D('',#8817,#8818,#8819); +#8822=CARTESIAN_POINT('',(2.52E1,-9.8E0,-2.8E1)); +#8823=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8824=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8825=AXIS2_PLACEMENT_3D('',#8822,#8823,#8824); +#8827=CARTESIAN_POINT('',(2.275E1,-9.8E0,-2.8E1)); +#8828=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8829=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8830=AXIS2_PLACEMENT_3D('',#8827,#8828,#8829); +#8832=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8833=VECTOR('',#8832,2.449999999383E0); +#8834=CARTESIAN_POINT('',(2.519999999938E1,-1.03E1,-2.8E1)); +#8835=LINE('',#8834,#8833); +#8836=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8837=VECTOR('',#8836,2.449999998683E0); +#8838=CARTESIAN_POINT('',(2.519999999868E1,-1.03E1,-2.25E1)); +#8839=LINE('',#8838,#8837); +#8840=DIRECTION('',(1.272814581005E-10,0.E0,-1.E0)); +#8841=VECTOR('',#8840,5.5E0); +#8842=CARTESIAN_POINT('',(2.519999999868E1,-1.03E1,-2.25E1)); +#8843=LINE('',#8842,#8841); +#8844=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8845=VECTOR('',#8844,5.5E0); +#8846=CARTESIAN_POINT('',(2.275E1,-1.03E1,-2.25E1)); +#8847=LINE('',#8846,#8845); +#8848=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8849=VECTOR('',#8848,1.15E0); +#8850=CARTESIAN_POINT('',(2.275E1,-9.8E0,-2.85E1)); +#8851=LINE('',#8850,#8849); +#8852=CARTESIAN_POINT('',(2.275E1,-1.08E1,-2.25E1)); +#8853=DIRECTION('',(1.E0,0.E0,0.E0)); +#8854=DIRECTION('',(0.E0,1.E0,0.E0)); +#8855=AXIS2_PLACEMENT_3D('',#8852,#8853,#8854); +#8857=CARTESIAN_POINT('',(2.52E1,-1.08E1,-2.25E1)); +#8858=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8859=DIRECTION('',(0.E0,0.E0,1.E0)); +#8860=AXIS2_PLACEMENT_3D('',#8857,#8858,#8859); +#8862=CARTESIAN_POINT('',(2.834726443717E1,-8.83125E0,-2.25E1)); +#8863=DIRECTION('',(-4.375E-1,-8.992184106211E-1,0.E0)); +#8864=DIRECTION('',(-2.162252599192E-10,1.051994047430E-10,1.E0)); +#8865=AXIS2_PLACEMENT_3D('',#8862,#8863,#8864); +#8867=CARTESIAN_POINT('',(2.879687364248E1,-8.55E0,-2.25E1)); +#8868=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8869=DIRECTION('',(0.E0,0.E0,1.E0)); +#8870=AXIS2_PLACEMENT_3D('',#8867,#8868,#8869); +#8872=CARTESIAN_POINT('',(2.99E1,-8.55E0,-2.25E1)); +#8873=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8874=DIRECTION('',(0.E0,0.E0,1.E0)); +#8875=AXIS2_PLACEMENT_3D('',#8872,#8873,#8874); +#8877=CARTESIAN_POINT('',(2.879687364248E1,-9.05E0,-2.8E1)); +#8878=DIRECTION('',(0.E0,0.E0,1.E0)); +#8879=DIRECTION('',(0.E0,1.E0,0.E0)); +#8880=AXIS2_PLACEMENT_3D('',#8877,#8878,#8879); +#8882=CARTESIAN_POINT('',(2.879687364248E1,-9.05E0,-2.25E1)); +#8883=DIRECTION('',(0.E0,0.E0,1.E0)); +#8884=DIRECTION('',(0.E0,1.E0,0.E0)); +#8885=AXIS2_PLACEMENT_3D('',#8882,#8883,#8884); +#8887=CARTESIAN_POINT('',(2.52E1,-7.3E0,-2.8E1)); +#8888=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8889=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#8890=AXIS2_PLACEMENT_3D('',#8887,#8888,#8889); +#8892=CARTESIAN_POINT('',(2.52E1,-7.3E0,-2.25E1)); +#8893=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8894=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#8895=AXIS2_PLACEMENT_3D('',#8892,#8893,#8894); +#8897=DIRECTION('',(-1.086161463801E-11,-2.232492978361E-11,-1.E0)); +#8898=VECTOR('',#8897,5.5E0); +#8899=CARTESIAN_POINT('',(2.789765523153E1,-8.612500000677E0,-2.25E1)); +#8900=LINE('',#8899,#8898); +#8901=CARTESIAN_POINT('',(-2.879687364248E1,-8.55E0,-2.25E1)); +#8902=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8903=DIRECTION('',(0.E0,0.E0,1.E0)); +#8904=AXIS2_PLACEMENT_3D('',#8901,#8902,#8903); +#8906=CARTESIAN_POINT('',(-2.834726443717E1,-8.83125E0,-2.25E1)); +#8907=DIRECTION('',(-4.375E-1,8.992184106211E-1,0.E0)); +#8908=DIRECTION('',(-2.131628207280E-14,-1.065814103640E-14,1.E0)); +#8909=AXIS2_PLACEMENT_3D('',#8906,#8907,#8908); +#8911=CARTESIAN_POINT('',(-2.52E1,-1.08E1,-2.25E1)); +#8912=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8913=DIRECTION('',(0.E0,0.E0,1.E0)); +#8914=AXIS2_PLACEMENT_3D('',#8911,#8912,#8913); +#8916=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8917=VECTOR('',#8916,2.449999999096E0); +#8918=CARTESIAN_POINT('',(-2.275E1,-1.03E1,-2.8E1)); +#8919=LINE('',#8918,#8917); +#8920=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8921=VECTOR('',#8920,2.449999999501E0); +#8922=CARTESIAN_POINT('',(-2.275E1,-1.03E1,-2.25E1)); +#8923=LINE('',#8922,#8921); +#8924=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8925=VECTOR('',#8924,5.5E0); +#8926=CARTESIAN_POINT('',(-2.275E1,-1.03E1,-2.25E1)); +#8927=LINE('',#8926,#8925); +#8928=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-2.8E1)); +#8929=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8930=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8931=AXIS2_PLACEMENT_3D('',#8928,#8929,#8930); +#8933=DIRECTION('',(-2.542515692932E-11,5.225751144942E-11,-1.E0)); +#8934=VECTOR('',#8933,5.5E0); +#8935=CARTESIAN_POINT('',(-2.789765523265E1,-8.612499998385E0,-2.25E1)); +#8936=LINE('',#8935,#8934); +#8937=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-2.25E1)); +#8938=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8939=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8940=AXIS2_PLACEMENT_3D('',#8937,#8938,#8939); +#8942=DIRECTION('',(7.363935723829E-11,0.E0,-1.E0)); +#8943=VECTOR('',#8942,5.5E0); +#8944=CARTESIAN_POINT('',(-2.519999999950E1,-1.03E1,-2.25E1)); +#8945=LINE('',#8944,#8943); +#8946=CARTESIAN_POINT('',(-2.879687364248E1,-9.05E0,-2.8E1)); +#8947=DIRECTION('',(0.E0,0.E0,1.E0)); +#8948=DIRECTION('',(8.992184106211E-1,4.375E-1,0.E0)); +#8949=AXIS2_PLACEMENT_3D('',#8946,#8947,#8948); +#8951=CARTESIAN_POINT('',(-2.879687364248E1,-9.05E0,-2.25E1)); +#8952=DIRECTION('',(0.E0,0.E0,1.E0)); +#8953=DIRECTION('',(8.992184106211E-1,4.375E-1,0.E0)); +#8954=AXIS2_PLACEMENT_3D('',#8951,#8952,#8953); +#8956=CARTESIAN_POINT('',(-2.879687364248E1,-6.05E0,-2.25E1)); +#8957=DIRECTION('',(1.E0,0.E0,0.E0)); +#8958=DIRECTION('',(0.E0,0.E0,1.E0)); +#8959=AXIS2_PLACEMENT_3D('',#8956,#8957,#8958); +#8961=DIRECTION('',(0.E0,0.E0,1.E0)); +#8962=VECTOR('',#8961,2.E-1); +#8963=CARTESIAN_POINT('',(-1.65E1,3.25E0,-2.E1)); +#8964=LINE('',#8963,#8962); +#8965=DIRECTION('',(0.E0,0.E0,1.E0)); +#8966=VECTOR('',#8965,2.E-1); +#8967=CARTESIAN_POINT('',(-1.65E1,4.909574508667E0,-2.E1)); +#8968=LINE('',#8967,#8966); +#8969=DIRECTION('',(0.E0,0.E0,1.E0)); +#8970=VECTOR('',#8969,2.E-1); +#8971=CARTESIAN_POINT('',(-1.675E1,4.611702084541E0,-2.E1)); +#8972=LINE('',#8971,#8970); +#8973=DIRECTION('',(0.E0,0.E0,1.E0)); +#8974=VECTOR('',#8973,2.E-1); +#8975=CARTESIAN_POINT('',(-1.675E1,4.952127695084E0,-2.E1)); +#8976=LINE('',#8975,#8974); +#8977=DIRECTION('',(0.E0,0.E0,1.E0)); +#8978=VECTOR('',#8977,2.E-1); +#8979=CARTESIAN_POINT('',(-1.65E1,5.25E0,-2.E1)); +#8980=LINE('',#8979,#8978); +#8981=DIRECTION('',(0.E0,0.E0,1.E0)); +#8982=VECTOR('',#8981,2.E-1); +#8983=CARTESIAN_POINT('',(-1.625E1,5.25E0,-2.E1)); +#8984=LINE('',#8983,#8982); +#8985=DIRECTION('',(0.E0,0.E0,1.E0)); +#8986=VECTOR('',#8985,2.E-1); +#8987=CARTESIAN_POINT('',(-1.625E1,3.25E0,-2.E1)); +#8988=LINE('',#8987,#8986); +#8989=DIRECTION('',(0.E0,1.E0,0.E0)); +#8990=VECTOR('',#8989,2.E0); +#8991=CARTESIAN_POINT('',(-1.625E1,3.25E0,-1.98E1)); +#8992=LINE('',#8991,#8990); +#8993=DIRECTION('',(1.E0,0.E0,0.E0)); +#8994=VECTOR('',#8993,2.5E-1); +#8995=CARTESIAN_POINT('',(-1.65E1,3.25E0,-1.98E1)); +#8996=LINE('',#8995,#8994); +#8997=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8998=VECTOR('',#8997,1.659574508667E0); +#8999=CARTESIAN_POINT('',(-1.65E1,4.909574508667E0,-1.98E1)); +#9000=LINE('',#8999,#8998); +#9001=DIRECTION('',(6.428711427642E-1,7.659743427825E-1,0.E0)); +#9002=VECTOR('',#9001,3.888804199937E-1); +#9003=CARTESIAN_POINT('',(-1.675E1,4.611702084541E0,-1.98E1)); +#9004=LINE('',#9003,#9002); +#9005=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9006=VECTOR('',#9005,3.404256105423E-1); +#9007=CARTESIAN_POINT('',(-1.675E1,4.952127695084E0,-1.98E1)); +#9008=LINE('',#9007,#9006); +#9009=DIRECTION('',(-6.428712937139E-1,-7.659742160926E-1,0.E0)); +#9010=VECTOR('',#9009,3.888803286825E-1); +#9011=CARTESIAN_POINT('',(-1.65E1,5.25E0,-1.98E1)); +#9012=LINE('',#9011,#9010); +#9013=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9014=VECTOR('',#9013,2.5E-1); +#9015=CARTESIAN_POINT('',(-1.625E1,5.25E0,-1.98E1)); +#9016=LINE('',#9015,#9014); +#9017=DIRECTION('',(0.E0,1.E0,0.E0)); +#9018=VECTOR('',#9017,2.E0); +#9019=CARTESIAN_POINT('',(-1.693333330750E1,-6.25E0,-1.98E1)); +#9020=LINE('',#9019,#9018); +#9021=DIRECTION('',(1.E0,0.E0,0.E0)); +#9022=VECTOR('',#9021,1.625E-1); +#9023=CARTESIAN_POINT('',(-1.709583330750E1,-6.25E0,-1.98E1)); +#9024=LINE('',#9023,#9022); +#9025=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9026=VECTOR('',#9025,1.659574508667E0); +#9027=CARTESIAN_POINT('',(-1.709583330750E1,-4.590425491333E0,-1.98E1)); +#9028=LINE('',#9027,#9026); +#9029=DIRECTION('',(4.789069425630E-1,8.778656733037E-1,0.E0)); +#9030=VECTOR('',#9029,3.393143543302E-1); +#9031=CARTESIAN_POINT('',(-1.725833330750E1,-4.888297915459E0,-1.98E1)); +#9032=LINE('',#9031,#9030); +#9033=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9034=VECTOR('',#9033,3.404256105423E-1); +#9035=CARTESIAN_POINT('',(-1.725833330750E1,-4.547872304916E0,-1.98E1)); +#9036=LINE('',#9035,#9034); +#9037=DIRECTION('',(-4.789070902653E-1,-8.778655927268E-1,0.E0)); +#9038=VECTOR('',#9037,3.393142496804E-1); +#9039=CARTESIAN_POINT('',(-1.709583330750E1,-4.25E0,-1.98E1)); +#9040=LINE('',#9039,#9038); +#9041=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9042=VECTOR('',#9041,1.625E-1); +#9043=CARTESIAN_POINT('',(-1.693333330750E1,-4.25E0,-1.98E1)); +#9044=LINE('',#9043,#9042); +#9045=DIRECTION('',(-5.369323985274E-1,8.436252719138E-1,0.E0)); +#9046=VECTOR('',#9045,1.513225877649E-1); +#9047=CARTESIAN_POINT('',(-1.579583330750E1,-5.271276593208E0,-1.98E1)); +#9048=LINE('',#9047,#9046); +#9049=DIRECTION('',(-2.467135613299E-1,9.690884472822E-1,0.E0)); +#9050=VECTOR('',#9049,2.195526452511E-1); +#9051=CARTESIAN_POINT('',(-1.574166669250E1,-5.484042525291E0,-1.98E1)); +#9052=LINE('',#9051,#9050); +#9053=DIRECTION('',(0.E0,1.E0,0.E0)); +#9054=VECTOR('',#9053,2.127659916878E-1); +#9055=CARTESIAN_POINT('',(-1.574166669250E1,-5.696808516979E0,-1.98E1)); +#9056=LINE('',#9055,#9054); +#9057=DIRECTION('',(2.467135288759E-1,9.690884555445E-1,0.E0)); +#9058=VECTOR('',#9057,2.195526741322E-1); +#9059=CARTESIAN_POINT('',(-1.579583330750E1,-5.909574478865E0,-1.98E1)); +#9060=LINE('',#9059,#9058); +#9061=DIRECTION('',(4.307818001025E-1,9.024561156646E-1,0.E0)); +#9062=VECTOR('',#9061,1.886105679968E-1); +#9063=CARTESIAN_POINT('',(-1.587708330750E1,-6.079787239432E0,-1.98E1)); +#9064=LINE('',#9063,#9062); +#9065=DIRECTION('',(7.276404307022E-1,6.859587477448E-1,0.E0)); +#9066=VECTOR('',#9065,1.861038595185E-1); +#9067=CARTESIAN_POINT('',(-1.60125E1,-6.207446809858E0,-1.98E1)); +#9068=LINE('',#9067,#9066); +#9069=DIRECTION('',(9.540064462532E-1,2.997860912506E-1,0.E0)); +#9070=VECTOR('',#9069,1.419451781916E-1); +#9071=CARTESIAN_POINT('',(-1.614791661501E1,-6.25E0,-1.98E1)); +#9072=LINE('',#9071,#9070); +#9073=DIRECTION('',(1.E0,0.E0,0.E0)); +#9074=VECTOR('',#9073,1.354166924953E-1); +#9075=CARTESIAN_POINT('',(-1.628333330750E1,-6.25E0,-1.98E1)); +#9076=LINE('',#9075,#9074); +#9077=DIRECTION('',(9.540064953130E-1,-2.997859351281E-1,0.E0)); +#9078=VECTOR('',#9077,1.419452521137E-1); +#9079=CARTESIAN_POINT('',(-1.641875E1,-6.207446809858E0,-1.98E1)); +#9080=LINE('',#9079,#9078); +#9081=DIRECTION('',(7.276402347887E-1,-6.859589555627E-1,0.E0)); +#9082=VECTOR('',#9081,1.861038031365E-1); +#9083=CARTESIAN_POINT('',(-1.655416661501E1,-6.079787239432E0,-1.98E1)); +#9084=LINE('',#9083,#9082); +#9085=DIRECTION('',(4.307819673962E-1,-9.024560358080E-1,0.E0)); +#9086=VECTOR('',#9085,1.886105846866E-1); +#9087=CARTESIAN_POINT('',(-1.663541665375E1,-5.909574478865E0,-1.98E1)); +#9088=LINE('',#9087,#9086); +#9089=DIRECTION('',(2.467136945984E-1,-9.690884133543E-1,0.E0)); +#9090=VECTOR('',#9089,2.195526836906E-1); +#9091=CARTESIAN_POINT('',(-1.668958330750E1,-5.696808516979E0,-1.98E1)); +#9092=LINE('',#9091,#9090); +#9093=DIRECTION('',(9.054914372687E-2,-9.958919884055E-1,0.E0)); +#9094=VECTOR('',#9093,2.991010752059E-1); +#9095=CARTESIAN_POINT('',(-1.671666665375E1,-5.398936152458E0,-1.98E1)); +#9096=LINE('',#9095,#9094); +#9097=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9098=VECTOR('',#9097,2.978723049164E-1); +#9099=CARTESIAN_POINT('',(-1.671666665375E1,-5.101063847542E0,-1.98E1)); +#9100=LINE('',#9099,#9098); +#9101=DIRECTION('',(-9.054916169731E-2,-9.958919867716E-1,0.E0)); +#9102=VECTOR('',#9101,2.991010158461E-1); +#9103=CARTESIAN_POINT('',(-1.668958330750E1,-4.803191542625E0,-1.98E1)); +#9104=LINE('',#9103,#9102); +#9105=DIRECTION('',(-2.467135972365E-1,-9.690884381410E-1,0.E0)); +#9106=VECTOR('',#9105,2.195527703339E-1); +#9107=CARTESIAN_POINT('',(-1.663541665375E1,-4.590425491333E0,-1.98E1)); +#9108=LINE('',#9107,#9106); +#9109=DIRECTION('',(-4.307819981103E-1,-9.024560211468E-1,0.E0)); +#9110=VECTOR('',#9109,1.886105712389E-1); +#9111=CARTESIAN_POINT('',(-1.655416661501E1,-4.420212745667E0,-1.98E1)); +#9112=LINE('',#9111,#9110); +#9113=DIRECTION('',(-7.276402647625E-1,-6.859589237676E-1,0.E0)); +#9114=VECTOR('',#9113,1.861037954703E-1); +#9115=CARTESIAN_POINT('',(-1.641875E1,-4.292553186417E0,-1.98E1)); +#9116=LINE('',#9115,#9114); +#9117=DIRECTION('',(-9.540065028189E-1,-2.997859112422E-1,0.E0)); +#9118=VECTOR('',#9117,1.419452509970E-1); +#9119=CARTESIAN_POINT('',(-1.628333330750E1,-4.25E0,-1.98E1)); +#9120=LINE('',#9119,#9118); +#9121=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9122=VECTOR('',#9121,1.083333075047E-1); +#9123=CARTESIAN_POINT('',(-1.6175E1,-4.25E0,-1.98E1)); +#9124=LINE('',#9123,#9122); +#9125=DIRECTION('',(-9.540065028189E-1,2.997859112422E-1,0.E0)); +#9126=VECTOR('',#9125,1.419452509969E-1); +#9127=CARTESIAN_POINT('',(-1.603958330750E1,-4.292553186417E0,-1.98E1)); +#9128=LINE('',#9127,#9126); +#9129=DIRECTION('',(-7.276402647624E-1,6.859589237676E-1,0.E0)); +#9130=VECTOR('',#9129,1.861037954703E-1); +#9131=CARTESIAN_POINT('',(-1.590416669250E1,-4.420212745667E0,-1.98E1)); +#9132=LINE('',#9131,#9130); +#9133=DIRECTION('',(-4.307818308166E-1,9.024561010034E-1,0.E0)); +#9134=VECTOR('',#9133,1.886105545491E-1); +#9135=CARTESIAN_POINT('',(-1.582291669250E1,-4.590425491333E0,-1.98E1)); +#9136=LINE('',#9135,#9134); +#9137=DIRECTION('',(-2.075341595674E-1,9.782277713358E-1,0.E0)); +#9138=VECTOR('',#9137,1.305008536770E-1); +#9139=CARTESIAN_POINT('',(-1.579583330750E1,-4.718085050583E0,-1.98E1)); +#9140=LINE('',#9139,#9138); +#9141=DIRECTION('',(1.E0,0.E0,0.E0)); +#9142=VECTOR('',#9141,1.354166150093E-1); +#9143=CARTESIAN_POINT('',(-1.593124992251E1,-4.718085050583E0,-1.98E1)); +#9144=LINE('',#9143,#9142); +#9145=DIRECTION('',(3.905992953907E-1,-9.205608021420E-1,0.E0)); +#9146=VECTOR('',#9145,1.386758581865E-1); +#9147=CARTESIAN_POINT('',(-1.598541661501E1,-4.590425491333E0,-1.98E1)); +#9148=LINE('',#9147,#9146); +#9149=DIRECTION('',(6.905295469395E-1,-7.233041855288E-1,0.E0)); +#9150=VECTOR('',#9149,1.176633213743E-1); +#9151=CARTESIAN_POINT('',(-1.606666661501E1,-4.505319118500E0,-1.98E1)); +#9152=LINE('',#9151,#9150); +#9153=DIRECTION('',(8.858599342517E-1,-4.639527744152E-1,0.E0)); +#9154=VECTOR('',#9153,9.171878855615E-2); +#9155=CARTESIAN_POINT('',(-1.614791661501E1,-4.462765932083E0,-1.98E1)); +#9156=LINE('',#9155,#9154); +#9157=DIRECTION('',(1.E0,0.E0,0.E0)); +#9158=VECTOR('',#9157,1.625E-1); +#9159=CARTESIAN_POINT('',(-1.631041661501E1,-4.462765932083E0,-1.98E1)); +#9160=LINE('',#9159,#9158); +#9161=DIRECTION('',(8.858599342517E-1,4.639527744152E-1,0.E0)); +#9162=VECTOR('',#9161,9.171878855616E-2); +#9163=CARTESIAN_POINT('',(-1.639166661501E1,-4.505319118500E0,-1.98E1)); +#9164=LINE('',#9163,#9162); +#9165=DIRECTION('',(6.905295469396E-1,7.233041855288E-1,0.E0)); +#9166=VECTOR('',#9165,1.176633213743E-1); +#9167=CARTESIAN_POINT('',(-1.647291661501E1,-4.590425491333E0,-1.98E1)); +#9168=LINE('',#9167,#9166); +#9169=DIRECTION('',(3.905992953907E-1,9.205608021420E-1,0.E0)); +#9170=VECTOR('',#9169,1.386758581865E-1); +#9171=CARTESIAN_POINT('',(-1.652708330750E1,-4.718085050583E0,-1.98E1)); +#9172=LINE('',#9171,#9170); +#9173=DIRECTION('',(2.075334059328E-1,9.782279312215E-1,0.E0)); +#9174=VECTOR('',#9173,1.305009542099E-1); +#9175=CARTESIAN_POINT('',(-1.655416661501E1,-4.845744729042E0,-1.98E1)); +#9176=LINE('',#9175,#9174); +#9177=DIRECTION('',(1.054847935832E-1,9.944209160726E-1,0.E0)); +#9178=VECTOR('',#9177,2.567515569847E-1); +#9179=CARTESIAN_POINT('',(-1.658125E1,-5.101063847542E0,-1.98E1)); +#9180=LINE('',#9179,#9178); +#9181=DIRECTION('',(0.E0,1.E0,0.E0)); +#9182=VECTOR('',#9181,8.510637283325E-2); +#9183=CARTESIAN_POINT('',(-1.658125E1,-5.186170220375E0,-1.98E1)); +#9184=LINE('',#9183,#9182); +#9185=DIRECTION('',(-6.905295469395E-1,-7.233041855288E-1,0.E0)); +#9186=VECTOR('',#9185,2.353266427486E-1); +#9187=CARTESIAN_POINT('',(-1.641875E1,-5.015957474709E0,-1.98E1)); +#9188=LINE('',#9187,#9186); +#9189=DIRECTION('',(-9.540065028189E-1,-2.997859112422E-1,0.E0)); +#9190=VECTOR('',#9189,1.419452509970E-1); +#9191=CARTESIAN_POINT('',(-1.628333330750E1,-4.973404288292E0,-1.98E1)); +#9192=LINE('',#9191,#9190); +#9193=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9194=VECTOR('',#9193,1.354166924953E-1); +#9195=CARTESIAN_POINT('',(-1.614791661501E1,-4.973404288292E0,-1.98E1)); +#9196=LINE('',#9195,#9194); +#9197=DIRECTION('',(-9.540064537591E-1,2.997860673647E-1,0.E0)); +#9198=VECTOR('',#9197,1.419451770748E-1); +#9199=CARTESIAN_POINT('',(-1.60125E1,-5.015957474709E0,-1.98E1)); +#9200=LINE('',#9199,#9198); +#9201=DIRECTION('',(-7.276404606759E-1,6.859587159497E-1,0.E0)); +#9202=VECTOR('',#9201,1.861038518523E-1); +#9203=CARTESIAN_POINT('',(-1.587708330750E1,-5.143617033958E0,-1.98E1)); +#9204=LINE('',#9203,#9202); +#9205=DIRECTION('',(2.075340668426E-1,-9.782277910077E-1,0.E0)); +#9206=VECTOR('',#9205,1.305009119839E-1); +#9207=CARTESIAN_POINT('',(-1.590416669250E1,-5.398936152458E0,-1.98E1)); +#9208=LINE('',#9207,#9206); +#9209=DIRECTION('',(5.369320340933E-1,-8.436255038608E-1,0.E0)); +#9210=VECTOR('',#9209,1.008816974401E-1); +#9211=CARTESIAN_POINT('',(-1.595833330750E1,-5.313829779625E0,-1.98E1)); +#9212=LINE('',#9211,#9210); +#9213=DIRECTION('',(6.905295469395E-1,-7.233041855288E-1,0.E0)); +#9214=VECTOR('',#9213,1.176633213743E-1); +#9215=CARTESIAN_POINT('',(-1.603958330750E1,-5.228723406792E0,-1.98E1)); +#9216=LINE('',#9215,#9214); +#9217=DIRECTION('',(8.858599342517E-1,-4.639527744152E-1,0.E0)); +#9218=VECTOR('',#9217,9.171878855615E-2); +#9219=CARTESIAN_POINT('',(-1.612083330750E1,-5.186170220375E0,-1.98E1)); +#9220=LINE('',#9219,#9218); +#9221=DIRECTION('',(1.E0,0.E0,0.E0)); +#9222=VECTOR('',#9221,1.895833075046E-1); +#9223=CARTESIAN_POINT('',(-1.631041661501E1,-5.186170220375E0,-1.98E1)); +#9224=LINE('',#9223,#9222); +#9225=DIRECTION('',(8.858599342517E-1,4.639527744152E-1,0.E0)); +#9226=VECTOR('',#9225,9.171878855616E-2); +#9227=CARTESIAN_POINT('',(-1.639166661501E1,-5.228723406792E0,-1.98E1)); +#9228=LINE('',#9227,#9226); +#9229=DIRECTION('',(6.905295469396E-1,7.233041855288E-1,0.E0)); +#9230=VECTOR('',#9229,1.176633213743E-1); +#9231=CARTESIAN_POINT('',(-1.647291661501E1,-5.313829779625E0,-1.98E1)); +#9232=LINE('',#9231,#9230); +#9233=DIRECTION('',(5.369325807444E-1,8.436251559402E-1,0.E0)); +#9234=VECTOR('',#9233,1.008817390449E-1); +#9235=CARTESIAN_POINT('',(-1.652708330750E1,-5.398936152458E0,-1.98E1)); +#9236=LINE('',#9235,#9234); +#9237=DIRECTION('',(2.075334986573E-1,9.782279115498E-1,0.E0)); +#9238=VECTOR('',#9237,1.305008959030E-1); +#9239=CARTESIAN_POINT('',(-1.655416661501E1,-5.526595771313E0,-1.98E1)); +#9240=LINE('',#9239,#9238); +#9241=DIRECTION('',(0.E0,1.E0,0.E0)); +#9242=VECTOR('',#9241,1.276595592499E-1); +#9243=CARTESIAN_POINT('',(-1.655416661501E1,-5.654255330563E0,-1.98E1)); +#9244=LINE('',#9243,#9242); +#9245=DIRECTION('',(-2.075335913819E-1,9.782278918780E-1,0.E0)); +#9246=VECTOR('',#9245,1.305008375960E-1); +#9247=CARTESIAN_POINT('',(-1.652708330750E1,-5.781914889812E0,-1.98E1)); +#9248=LINE('',#9247,#9246); +#9249=DIRECTION('',(-3.905992181168E-1,9.205608349297E-1,0.E0)); +#9250=VECTOR('',#9249,1.386758856213E-1); +#9251=CARTESIAN_POINT('',(-1.647291661501E1,-5.909574478865E0,-1.98E1)); +#9252=LINE('',#9251,#9250); +#9253=DIRECTION('',(-6.905295469396E-1,7.233041855288E-1,0.E0)); +#9254=VECTOR('',#9253,1.176633213743E-1); +#9255=CARTESIAN_POINT('',(-1.639166661501E1,-5.994680851698E0,-1.98E1)); +#9256=LINE('',#9255,#9254); +#9257=DIRECTION('',(-8.858599342517E-1,4.639527744152E-1,0.E0)); +#9258=VECTOR('',#9257,9.171878855616E-2); +#9259=CARTESIAN_POINT('',(-1.631041661501E1,-6.037234038115E0,-1.98E1)); +#9260=LINE('',#9259,#9258); +#9261=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9262=VECTOR('',#9261,1.895833075046E-1); +#9263=CARTESIAN_POINT('',(-1.612083330750E1,-6.037234038115E0,-1.98E1)); +#9264=LINE('',#9263,#9262); +#9265=DIRECTION('',(-8.858599342517E-1,-4.639527744152E-1,0.E0)); +#9266=VECTOR('',#9265,9.171878855615E-2); +#9267=CARTESIAN_POINT('',(-1.603958330750E1,-5.994680851698E0,-1.98E1)); +#9268=LINE('',#9267,#9266); +#9269=DIRECTION('',(-6.905295469395E-1,-7.233041855288E-1,0.E0)); +#9270=VECTOR('',#9269,1.176633213743E-1); +#9271=CARTESIAN_POINT('',(-1.595833330750E1,-5.909574478865E0,-1.98E1)); +#9272=LINE('',#9271,#9270); +#9273=DIRECTION('',(-3.905987446084E-1,-9.205610358419E-1,0.E0)); +#9274=VECTOR('',#9273,1.386758553554E-1); +#9275=CARTESIAN_POINT('',(-1.590416669250E1,-5.781914889812E0,-1.98E1)); +#9276=LINE('',#9275,#9274); +#9277=DIRECTION('',(-2.075341595675E-1,-9.782277713358E-1,0.E0)); +#9278=VECTOR('',#9277,1.305008536770E-1); +#9279=CARTESIAN_POINT('',(-1.587708330750E1,-5.654255330563E0,-1.98E1)); +#9280=LINE('',#9279,#9278); +#9281=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9282=VECTOR('',#9281,1.276595592499E-1); +#9283=CARTESIAN_POINT('',(-1.587708330750E1,-5.526595771313E0,-1.98E1)); +#9284=LINE('',#9283,#9282); +#9285=DIRECTION('',(-8.078858098213E-1,-5.893390520654E-1,0.E0)); +#9286=VECTOR('',#9285,7.220493240265E-2); +#9287=CARTESIAN_POINT('',(1.620833334029E1,4.271276593208E0,-1.98E1)); +#9288=LINE('',#9287,#9286); +#9289=DIRECTION('',(8.078858098213E-1,-5.893390520654E-1,0.E0)); +#9290=VECTOR('',#9289,7.220493240265E-2); +#9291=CARTESIAN_POINT('',(1.615E1,4.313829779625E0,-1.98E1)); +#9292=LINE('',#9291,#9290); +#9293=DIRECTION('',(5.653614136174E-1,-8.248433014776E-1,0.E0)); +#9294=VECTOR('',#9293,1.547682560084E-1); +#9295=CARTESIAN_POINT('',(1.60625E1,4.441489338875E0,-1.98E1)); +#9296=LINE('',#9295,#9294); +#9297=DIRECTION('',(3.241983868211E-1,-9.459891151502E-1,0.E0)); +#9298=VECTOR('',#9297,1.799309769431E-1); +#9299=CARTESIAN_POINT('',(1.600416666754E1,4.611702084541E0,-1.98E1)); +#9300=LINE('',#9299,#9298); +#9301=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9302=VECTOR('',#9301,1.276595592499E-1); +#9303=CARTESIAN_POINT('',(1.600416666754E1,4.739361643791E0,-1.98E1)); +#9304=LINE('',#9303,#9302); +#9305=DIRECTION('',(-2.644091205794E-1,-9.644106059944E-1,0.E0)); +#9306=VECTOR('',#9305,2.206177015993E-1); +#9307=CARTESIAN_POINT('',(1.60625E1,4.952127695084E0,-1.98E1)); +#9308=LINE('',#9307,#9306); +#9309=DIRECTION('',(-5.653614136174E-1,-8.248433014776E-1,0.E0)); +#9310=VECTOR('',#9309,1.547682560084E-1); +#9311=CARTESIAN_POINT('',(1.615E1,5.079787254333E0,-1.98E1)); +#9312=LINE('',#9311,#9310); +#9313=DIRECTION('',(-7.524350569431E-1,-6.586664444793E-1,0.E0)); +#9314=VECTOR('',#9313,1.938151856981E-1); +#9315=CARTESIAN_POINT('',(1.629583334029E1,5.207446813583E0,-1.98E1)); +#9316=LINE('',#9315,#9314); +#9317=DIRECTION('',(-9.599673262938E-1,-2.801119998294E-1,0.E0)); +#9318=VECTOR('',#9317,1.519148999063E-1); +#9319=CARTESIAN_POINT('',(1.644166668057E1,5.25E0,-1.98E1)); +#9320=LINE('',#9319,#9318); +#9321=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9322=VECTOR('',#9321,1.166666388512E-1); +#9323=CARTESIAN_POINT('',(1.655833331943E1,5.25E0,-1.98E1)); +#9324=LINE('',#9323,#9322); +#9325=DIRECTION('',(-9.599673155189E-1,2.801120367557E-1,0.E0)); +#9326=VECTOR('',#9325,1.519148798798E-1); +#9327=CARTESIAN_POINT('',(1.670416663885E1,5.207446813583E0,-1.98E1)); +#9328=LINE('',#9327,#9326); +#9329=DIRECTION('',(-7.524351036404E-1,6.586663911342E-1,0.E0)); +#9330=VECTOR('',#9329,1.938152013951E-1); +#9331=CARTESIAN_POINT('',(1.685E1,5.079787254333E0,-1.98E1)); +#9332=LINE('',#9331,#9330); +#9333=DIRECTION('',(-5.653614136175E-1,8.248433014776E-1,0.E0)); +#9334=VECTOR('',#9333,1.547682560084E-1); +#9335=CARTESIAN_POINT('',(1.69375E1,4.952127695084E0,-1.98E1)); +#9336=LINE('',#9335,#9334); +#9337=DIRECTION('',(-2.644092415095E-1,9.644105728394E-1,0.E0)); +#9338=VECTOR('',#9337,2.206177091838E-1); +#9339=CARTESIAN_POINT('',(1.699583336115E1,4.739361643791E0,-1.98E1)); +#9340=LINE('',#9339,#9338); +#9341=DIRECTION('',(0.E0,1.E0,0.E0)); +#9342=VECTOR('',#9341,1.276595592499E-1); +#9343=CARTESIAN_POINT('',(1.699583336115E1,4.611702084541E0,-1.98E1)); +#9344=LINE('',#9343,#9342); +#9345=DIRECTION('',(3.241985294860E-1,9.459890662577E-1,0.E0)); +#9346=VECTOR('',#9345,1.799309862426E-1); +#9347=CARTESIAN_POINT('',(1.69375E1,4.441489338875E0,-1.98E1)); +#9348=LINE('',#9347,#9346); +#9349=DIRECTION('',(5.653614136175E-1,8.248433014776E-1,0.E0)); +#9350=VECTOR('',#9349,1.547682560084E-1); +#9351=CARTESIAN_POINT('',(1.685E1,4.313829779625E0,-1.98E1)); +#9352=LINE('',#9351,#9350); +#9353=DIRECTION('',(8.078859101700E-1,5.893389145041E-1,0.E0)); +#9354=VECTOR('',#9353,7.220494925646E-2); +#9355=CARTESIAN_POINT('',(1.679166663885E1,4.271276593208E0,-1.98E1)); +#9356=LINE('',#9355,#9354); +#9357=DIRECTION('',(-8.078859101700E-1,5.893389145041E-1,0.E0)); +#9358=VECTOR('',#9357,7.220494925646E-2); +#9359=CARTESIAN_POINT('',(1.685E1,4.228723406792E0,-1.98E1)); +#9360=LINE('',#9359,#9358); +#9361=DIRECTION('',(-6.746095868609E-1,7.381747119181E-1,0.E0)); +#9362=VECTOR('',#9361,1.729394914087E-1); +#9363=CARTESIAN_POINT('',(1.696666663885E1,4.101063847542E0,-1.98E1)); +#9364=LINE('',#9363,#9362); +#9365=DIRECTION('',(-2.644093104030E-1,9.644105539511E-1,0.E0)); +#9366=VECTOR('',#9365,2.206176517004E-1); +#9367=CARTESIAN_POINT('',(1.7025E1,3.888297855854E0,-1.98E1)); +#9368=LINE('',#9367,#9366); +#9369=DIRECTION('',(0.E0,1.E0,0.E0)); +#9370=VECTOR('',#9369,1.276595592499E-1); +#9371=CARTESIAN_POINT('',(1.7025E1,3.760638296604E0,-1.98E1)); +#9372=LINE('',#9371,#9370); +#9373=DIRECTION('',(2.644093448498E-1,9.644105445069E-1,0.E0)); +#9374=VECTOR('',#9373,2.206176229587E-1); +#9375=CARTESIAN_POINT('',(1.696666663885E1,3.547872334719E0,-1.98E1)); +#9376=LINE('',#9375,#9374); +#9377=DIRECTION('',(5.653613687186E-1,8.248433322521E-1,0.E0)); +#9378=VECTOR('',#9377,1.547682682995E-1); +#9379=CARTESIAN_POINT('',(1.687916663885E1,3.420212760568E0,-1.98E1)); +#9380=LINE('',#9379,#9378); +#9381=DIRECTION('',(7.524348882735E-1,6.586666371609E-1,0.E0)); +#9382=VECTOR('',#9381,1.938151459682E-1); +#9383=CARTESIAN_POINT('',(1.673333336115E1,3.292553190142E0,-1.98E1)); +#9384=LINE('',#9383,#9382); +#9385=DIRECTION('',(9.599673304746E-1,2.801119855012E-1,0.E0)); +#9386=VECTOR('',#9385,1.519149209763E-1); +#9387=CARTESIAN_POINT('',(1.65875E1,3.25E0,-1.98E1)); +#9388=LINE('',#9387,#9386); +#9389=DIRECTION('',(1.E0,0.E0,0.E0)); +#9390=VECTOR('',#9389,1.75E-1); +#9391=CARTESIAN_POINT('',(1.64125E1,3.25E0,-1.98E1)); +#9392=LINE('',#9391,#9390); +#9393=DIRECTION('',(9.599673196998E-1,-2.801120224275E-1,0.E0)); +#9394=VECTOR('',#9393,1.519149009498E-1); +#9395=CARTESIAN_POINT('',(1.626666665971E1,3.292553190142E0,-1.98E1)); +#9396=LINE('',#9395,#9394); +#9397=DIRECTION('',(7.524350050167E-1,-6.586665037980E-1,0.E0)); +#9398=VECTOR('',#9397,1.938151852108E-1); +#9399=CARTESIAN_POINT('',(1.612083332986E1,3.420212760568E0,-1.98E1)); +#9400=LINE('',#9399,#9398); +#9401=DIRECTION('',(5.653613457914E-1,-8.248433479667E-1,0.E0)); +#9402=VECTOR('',#9401,1.547682653509E-1); +#9403=CARTESIAN_POINT('',(1.603333333507E1,3.547872334719E0,-1.98E1)); +#9404=LINE('',#9403,#9402); +#9405=DIRECTION('',(2.644092349133E-1,-9.644105746478E-1,0.E0)); +#9406=VECTOR('',#9405,2.206176160637E-1); +#9407=CARTESIAN_POINT('',(1.5975E1,3.760638296604E0,-1.98E1)); +#9408=LINE('',#9407,#9406); +#9409=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9410=VECTOR('',#9409,1.276595592499E-1); +#9411=CARTESIAN_POINT('',(1.5975E1,3.888297855854E0,-1.98E1)); +#9412=LINE('',#9411,#9410); +#9413=DIRECTION('',(-2.644092004665E-1,-9.644105840920E-1,0.E0)); +#9414=VECTOR('',#9413,2.206176448054E-1); +#9415=CARTESIAN_POINT('',(1.603333333507E1,4.101063847542E0,-1.98E1)); +#9416=LINE('',#9415,#9414); +#9417=DIRECTION('',(-6.746096690251E-1,-7.381746368292E-1,0.E0)); +#9418=VECTOR('',#9417,1.729395090005E-1); +#9419=CARTESIAN_POINT('',(1.615E1,4.228723406792E0,-1.98E1)); +#9420=LINE('',#9419,#9418); +#9421=DIRECTION('',(-9.394595132689E-1,3.426599231432E-1,0.E0)); +#9422=VECTOR('',#9421,1.241848945342E-1); +#9423=CARTESIAN_POINT('',(1.644166668057E1,3.462765961885E0,-1.98E1)); +#9424=LINE('',#9423,#9422); +#9425=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9426=VECTOR('',#9425,1.166666388512E-1); +#9427=CARTESIAN_POINT('',(1.655833331943E1,3.462765961885E0,-1.98E1)); +#9428=LINE('',#9427,#9426); +#9429=DIRECTION('',(-9.394595132689E-1,-3.426599231432E-1,0.E0)); +#9430=VECTOR('',#9429,1.241848945342E-1); +#9431=CARTESIAN_POINT('',(1.6675E1,3.505319148302E0,-1.98E1)); +#9432=LINE('',#9431,#9430); +#9433=DIRECTION('',(-8.078857094726E-1,-5.893391896268E-1,0.E0)); +#9434=VECTOR('',#9433,1.444098310977E-1); +#9435=CARTESIAN_POINT('',(1.679166663885E1,3.590425521135E0,-1.98E1)); +#9436=LINE('',#9435,#9434); +#9437=DIRECTION('',(-4.571909893487E-1,-8.893685396158E-1,0.E0)); +#9438=VECTOR('',#9437,1.913860991107E-1); +#9439=CARTESIAN_POINT('',(1.687916663885E1,3.760638296604E0,-1.98E1)); +#9440=LINE('',#9439,#9438); +#9441=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9442=VECTOR('',#9441,1.276595592499E-1); +#9443=CARTESIAN_POINT('',(1.687916663885E1,3.888297855854E0,-1.98E1)); +#9444=LINE('',#9443,#9442); +#9445=DIRECTION('',(4.571909260319E-1,-8.893685721646E-1,0.E0)); +#9446=VECTOR('',#9445,1.913861256159E-1); +#9447=CARTESIAN_POINT('',(1.679166663885E1,4.058510661125E0,-1.98E1)); +#9448=LINE('',#9447,#9446); +#9449=DIRECTION('',(8.078857094726E-1,-5.893391896268E-1,0.E0)); +#9450=VECTOR('',#9449,1.444098310977E-1); +#9451=CARTESIAN_POINT('',(1.6675E1,4.143617033958E0,-1.98E1)); +#9452=LINE('',#9451,#9450); +#9453=DIRECTION('',(9.394595132689E-1,-3.426599231432E-1,0.E0)); +#9454=VECTOR('',#9453,1.241848945342E-1); +#9455=CARTESIAN_POINT('',(1.655833331943E1,4.186170220375E0,-1.98E1)); +#9456=LINE('',#9455,#9454); +#9457=DIRECTION('',(1.E0,0.E0,0.E0)); +#9458=VECTOR('',#9457,1.166666388512E-1); +#9459=CARTESIAN_POINT('',(1.644166668057E1,4.186170220375E0,-1.98E1)); +#9460=LINE('',#9459,#9458); +#9461=DIRECTION('',(9.394595132689E-1,3.426599231432E-1,0.E0)); +#9462=VECTOR('',#9461,1.241848945342E-1); +#9463=CARTESIAN_POINT('',(1.6325E1,4.143617033958E0,-1.98E1)); +#9464=LINE('',#9463,#9462); +#9465=DIRECTION('',(8.078857596470E-1,5.893391208461E-1,0.E0)); +#9466=VECTOR('',#9465,1.444098479515E-1); +#9467=CARTESIAN_POINT('',(1.620833334029E1,4.058510661125E0,-1.98E1)); +#9468=LINE('',#9467,#9466); +#9469=DIRECTION('',(4.571909691412E-1,8.893685500037E-1,0.E0)); +#9470=VECTOR('',#9469,1.913861303848E-1); +#9471=CARTESIAN_POINT('',(1.612083332986E1,3.888297855854E0,-1.98E1)); +#9472=LINE('',#9471,#9470); +#9473=DIRECTION('',(0.E0,1.E0,0.E0)); +#9474=VECTOR('',#9473,1.276595592499E-1); +#9475=CARTESIAN_POINT('',(1.612083332986E1,3.760638296604E0,-1.98E1)); +#9476=LINE('',#9475,#9474); +#9477=DIRECTION('',(-4.571910324580E-1,8.893685174549E-1,0.E0)); +#9478=VECTOR('',#9477,1.913861038795E-1); +#9479=CARTESIAN_POINT('',(1.620833334029E1,3.590425521135E0,-1.98E1)); +#9480=LINE('',#9479,#9478); +#9481=DIRECTION('',(-8.078857596470E-1,5.893391208461E-1,0.E0)); +#9482=VECTOR('',#9481,1.444098479515E-1); +#9483=CARTESIAN_POINT('',(1.6325E1,3.505319148302E0,-1.98E1)); +#9484=LINE('',#9483,#9482); +#9485=DIRECTION('',(9.394595132689E-1,-3.426599231432E-1,0.E0)); +#9486=VECTOR('',#9485,1.241848945342E-1); +#9487=CARTESIAN_POINT('',(1.655833331943E1,5.037234067917E0,-1.98E1)); +#9488=LINE('',#9487,#9486); +#9489=DIRECTION('',(1.E0,0.E0,0.E0)); +#9490=VECTOR('',#9489,1.166666388512E-1); +#9491=CARTESIAN_POINT('',(1.644166668057E1,5.037234067917E0,-1.98E1)); +#9492=LINE('',#9491,#9490); +#9493=DIRECTION('',(9.394595132689E-1,3.426599231432E-1,0.E0)); +#9494=VECTOR('',#9493,1.241848945342E-1); +#9495=CARTESIAN_POINT('',(1.6325E1,4.994680881500E0,-1.98E1)); +#9496=LINE('',#9495,#9494); +#9497=DIRECTION('',(6.746096525922E-1,7.381746518470E-1,0.E0)); +#9498=VECTOR('',#9497,1.729395054822E-1); +#9499=CARTESIAN_POINT('',(1.620833334029E1,4.867021322250E0,-1.98E1)); +#9500=LINE('',#9499,#9498); +#9501=DIRECTION('',(4.156102452168E-1,9.095428104662E-1,0.E0)); +#9502=VECTOR('',#9501,1.403558765901E-1); +#9503=CARTESIAN_POINT('',(1.615E1,4.739361643791E0,-1.98E1)); +#9504=LINE('',#9503,#9502); +#9505=DIRECTION('',(0.E0,1.E0,0.E0)); +#9506=VECTOR('',#9505,8.510637283325E-2); +#9507=CARTESIAN_POINT('',(1.615E1,4.654255270958E0,-1.98E1)); +#9508=LINE('',#9507,#9506); +#9509=DIRECTION('',(-4.156105662790E-1,9.095426637587E-1,0.E0)); +#9510=VECTOR('',#9509,1.403557681641E-1); +#9511=CARTESIAN_POINT('',(1.620833334029E1,4.526595711708E0,-1.98E1)); +#9512=LINE('',#9511,#9510); +#9513=DIRECTION('',(-6.746096525922E-1,7.381746518470E-1,0.E0)); +#9514=VECTOR('',#9513,1.729395054822E-1); +#9515=CARTESIAN_POINT('',(1.6325E1,4.398936152458E0,-1.98E1)); +#9516=LINE('',#9515,#9514); +#9517=DIRECTION('',(-9.394595132689E-1,3.426599231432E-1,0.E0)); +#9518=VECTOR('',#9517,1.241848945342E-1); +#9519=CARTESIAN_POINT('',(1.644166668057E1,4.356382966042E0,-1.98E1)); +#9520=LINE('',#9519,#9518); +#9521=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9522=VECTOR('',#9521,1.166666388512E-1); +#9523=CARTESIAN_POINT('',(1.655833331943E1,4.356382966042E0,-1.98E1)); +#9524=LINE('',#9523,#9522); +#9525=DIRECTION('',(-9.394595132689E-1,-3.426599231432E-1,0.E0)); +#9526=VECTOR('',#9525,1.241848945342E-1); +#9527=CARTESIAN_POINT('',(1.6675E1,4.398936152458E0,-1.98E1)); +#9528=LINE('',#9527,#9526); +#9529=DIRECTION('',(-6.746095868609E-1,-7.381747119181E-1,0.E0)); +#9530=VECTOR('',#9529,1.729394914087E-1); +#9531=CARTESIAN_POINT('',(1.679166663885E1,4.526595711708E0,-1.98E1)); +#9532=LINE('',#9531,#9530); +#9533=DIRECTION('',(-4.156106892390E-1,-9.095426075728E-1,0.E0)); +#9534=VECTOR('',#9533,1.403557768344E-1); +#9535=CARTESIAN_POINT('',(1.685E1,4.654255270958E0,-1.98E1)); +#9536=LINE('',#9535,#9534); +#9537=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9538=VECTOR('',#9537,8.510637283325E-2); +#9539=CARTESIAN_POINT('',(1.685E1,4.739361643791E0,-1.98E1)); +#9540=LINE('',#9539,#9538); +#9541=DIRECTION('',(4.156103681768E-1,-9.095427542804E-1,0.E0)); +#9542=VECTOR('',#9541,1.403558852604E-1); +#9543=CARTESIAN_POINT('',(1.679166663885E1,4.867021322250E0,-1.98E1)); +#9544=LINE('',#9543,#9542); +#9545=DIRECTION('',(6.746095868609E-1,-7.381747119181E-1,0.E0)); +#9546=VECTOR('',#9545,1.729394914087E-1); +#9547=CARTESIAN_POINT('',(1.6675E1,4.994680881500E0,-1.98E1)); +#9548=LINE('',#9547,#9546); +#9549=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9550=VECTOR('',#9549,2.127659618855E-1); +#9551=CARTESIAN_POINT('',(1.541666669250E1,-6.037234038115E0,-1.98E1)); +#9552=LINE('',#9551,#9550); +#9553=DIRECTION('',(-5.804548103877E-1,-8.142924616486E-1,0.E0)); +#9554=VECTOR('',#9553,1.306446761976E0); +#9555=CARTESIAN_POINT('',(1.6175E1,-4.973404288292E0,-1.98E1)); +#9556=LINE('',#9555,#9554); +#9557=DIRECTION('',(-3.032447921998E-1,-9.529126906510E-1,0.E0)); +#9558=VECTOR('',#9557,1.786236528661E-1); +#9559=CARTESIAN_POINT('',(1.622916669250E1,-4.803191542625E0,-1.98E1)); +#9560=LINE('',#9559,#9558); +#9561=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9562=VECTOR('',#9561,8.510649204254E-2); +#9563=CARTESIAN_POINT('',(1.622916669250E1,-4.718085050583E0,-1.98E1)); +#9564=LINE('',#9563,#9562); +#9565=DIRECTION('',(3.905992953907E-1,-9.205608021420E-1,0.E0)); +#9566=VECTOR('',#9565,1.386758581865E-1); +#9567=CARTESIAN_POINT('',(1.6175E1,-4.590425491333E0,-1.98E1)); +#9568=LINE('',#9567,#9566); +#9569=DIRECTION('',(6.905295469395E-1,-7.233041855288E-1,0.E0)); +#9570=VECTOR('',#9569,1.176633213743E-1); +#9571=CARTESIAN_POINT('',(1.609375E1,-4.505319118500E0,-1.98E1)); +#9572=LINE('',#9571,#9570); +#9573=DIRECTION('',(9.540064782890E-1,-2.997859893034E-1,0.E0)); +#9574=VECTOR('',#9573,1.419452140359E-1); +#9575=CARTESIAN_POINT('',(1.595833334625E1,-4.462765932083E0,-1.98E1)); +#9576=LINE('',#9575,#9574); +#9577=DIRECTION('',(1.E0,0.E0,0.E0)); +#9578=VECTOR('',#9577,1.083333075047E-1); +#9579=CARTESIAN_POINT('',(1.585000003874E1,-4.462765932083E0,-1.98E1)); +#9580=LINE('',#9579,#9578); +#9581=DIRECTION('',(9.540064905539E-1,2.997859502728E-1,0.E0)); +#9582=VECTOR('',#9581,1.419452325164E-1); +#9583=CARTESIAN_POINT('',(1.571458336562E1,-4.505319118500E0,-1.98E1)); +#9584=LINE('',#9583,#9582); +#9585=DIRECTION('',(6.905295469395E-1,7.233041855288E-1,0.E0)); +#9586=VECTOR('',#9585,1.176633213743E-1); +#9587=CARTESIAN_POINT('',(1.563333336562E1,-4.590425491333E0,-1.98E1)); +#9588=LINE('',#9587,#9586); +#9589=DIRECTION('',(3.905991770137E-1,9.205608523700E-1,0.E0)); +#9590=VECTOR('',#9589,1.386758506200E-1); +#9591=CARTESIAN_POINT('',(1.557916669250E1,-4.718085050583E0,-1.98E1)); +#9592=LINE('',#9591,#9590); +#9593=DIRECTION('',(1.E0,0.E0,0.E0)); +#9594=VECTOR('',#9593,1.354166658595E-1); +#9595=CARTESIAN_POINT('',(1.544375002664E1,-4.718085050583E0,-1.98E1)); +#9596=LINE('',#9595,#9594); +#9597=DIRECTION('',(-1.571378745184E-1,-9.875766746900E-1,0.E0)); +#9598=VECTOR('',#9597,1.723539549169E-1); +#9599=CARTESIAN_POINT('',(1.547083336078E1,-4.547872304916E0,-1.98E1)); +#9600=LINE('',#9599,#9598); +#9601=DIRECTION('',(-5.369323928332E-1,-8.436252755379E-1,0.E0)); +#9602=VECTOR('',#9601,2.017634494865E-1); +#9603=CARTESIAN_POINT('',(1.557916669250E1,-4.377659559250E0,-1.98E1)); +#9604=LINE('',#9603,#9602); +#9605=DIRECTION('',(-7.863630143021E-1,-6.177646879983E-1,0.E0)); +#9606=VECTOR('',#9605,1.377650333317E-1); +#9607=CARTESIAN_POINT('',(1.568750001937E1,-4.292553186417E0,-1.98E1)); +#9608=LINE('',#9607,#9606); +#9609=DIRECTION('',(-9.757232207763E-1,-2.190072976821E-1,0.E0)); +#9610=VECTOR('',#9609,1.943003126700E-1); +#9611=CARTESIAN_POINT('',(1.587708334625E1,-4.25E0,-1.98E1)); +#9612=LINE('',#9611,#9610); +#9613=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9614=VECTOR('',#9613,1.083333462477E-1); +#9615=CARTESIAN_POINT('',(1.598541669250E1,-4.25E0,-1.98E1)); +#9616=LINE('',#9615,#9614); +#9617=DIRECTION('',(-9.673814694122E-1,2.533240861778E-1,0.E0)); +#9618=VECTOR('',#9617,1.679792358424E-1); +#9619=CARTESIAN_POINT('',(1.614791669250E1,-4.292553186417E0,-1.98E1)); +#9620=LINE('',#9619,#9618); +#9621=DIRECTION('',(-7.863629606396E-1,6.177647563062E-1,0.E0)); +#9622=VECTOR('',#9621,1.377650180987E-1); +#9623=CARTESIAN_POINT('',(1.625625E1,-4.377659559250E0,-1.98E1)); +#9624=LINE('',#9623,#9622); +#9625=DIRECTION('',(-5.369325807444E-1,8.436251559402E-1,0.E0)); +#9626=VECTOR('',#9625,2.017634780897E-1); +#9627=CARTESIAN_POINT('',(1.636458338499E1,-4.547872304916E0,-1.98E1)); +#9628=LINE('',#9627,#9626); +#9629=DIRECTION('',(-1.571377237929E-1,9.875766986727E-1,0.E0)); +#9630=VECTOR('',#9629,1.723539507314E-1); +#9631=CARTESIAN_POINT('',(1.639166669250E1,-4.718085050583E0,-1.98E1)); +#9632=LINE('',#9631,#9630); +#9633=DIRECTION('',(0.E0,1.E0,0.E0)); +#9634=VECTOR('',#9633,8.510649204254E-2); +#9635=CARTESIAN_POINT('',(1.639166669250E1,-4.803191542625E0,-1.98E1)); +#9636=LINE('',#9635,#9634); +#9637=DIRECTION('',(1.571377237929E-1,9.875766986727E-1,0.E0)); +#9638=VECTOR('',#9637,1.723539507314E-1); +#9639=CARTESIAN_POINT('',(1.636458338499E1,-4.973404288292E0,-1.98E1)); +#9640=LINE('',#9639,#9638); +#9641=DIRECTION('',(3.905992953907E-1,9.205608021420E-1,0.E0)); +#9642=VECTOR('',#9641,1.386758581865E-1); +#9643=CARTESIAN_POINT('',(1.631041669250E1,-5.101063847542E0,-1.98E1)); +#9644=LINE('',#9643,#9642); +#9645=DIRECTION('',(5.860367296327E-1,8.102844880173E-1,0.E0)); +#9646=VECTOR('',#9645,1.155359882137E0); +#9647=CARTESIAN_POINT('',(1.563333336562E1,-6.037234038115E0,-1.98E1)); +#9648=LINE('',#9647,#9646); +#9649=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9650=VECTOR('',#9649,7.583333268762E-1); +#9651=CARTESIAN_POINT('',(1.639166669250E1,-6.037234038115E0,-1.98E1)); +#9652=LINE('',#9651,#9650); +#9653=DIRECTION('',(0.E0,1.E0,0.E0)); +#9654=VECTOR('',#9653,2.127659618855E-1); +#9655=CARTESIAN_POINT('',(1.639166669250E1,-6.25E0,-1.98E1)); +#9656=LINE('',#9655,#9654); +#9657=DIRECTION('',(1.E0,0.E0,0.E0)); +#9658=VECTOR('',#9657,9.75E-1); +#9659=CARTESIAN_POINT('',(1.541666669250E1,-6.25E0,-1.98E1)); +#9660=LINE('',#9659,#9658); +#9661=DIRECTION('',(3.905997688989E-1,9.205606012296E-1,0.E0)); +#9662=VECTOR('',#9661,1.386758884525E-1); +#9663=CARTESIAN_POINT('',(1.750208330750E1,-6.037234038115E0,-1.98E1)); +#9664=LINE('',#9663,#9662); +#9665=DIRECTION('',(6.905294836864E-1,7.233042459158E-1,0.E0)); +#9666=VECTOR('',#9665,1.176633321524E-1); +#9667=CARTESIAN_POINT('',(1.742083330750E1,-6.122340425849E0,-1.98E1)); +#9668=LINE('',#9667,#9666); +#9669=DIRECTION('',(7.863627065812E-1,6.177650797013E-1,0.E0)); +#9670=VECTOR('',#9669,1.377649640706E-1); +#9671=CARTESIAN_POINT('',(1.731250007749E1,-6.207446809858E0,-1.98E1)); +#9672=LINE('',#9671,#9670); +#9673=DIRECTION('',(9.307700714306E-1,3.656050794628E-1,0.E0)); +#9674=VECTOR('',#9673,1.163911349493E-1); +#9675=CARTESIAN_POINT('',(1.720416669250E1,-6.25E0,-1.98E1)); +#9676=LINE('',#9675,#9674); +#9677=DIRECTION('',(1.E0,0.E0,0.E0)); +#9678=VECTOR('',#9677,2.166666150093E-1); +#9679=CARTESIAN_POINT('',(1.698750007749E1,-6.25E0,-1.98E1)); +#9680=LINE('',#9679,#9678); +#9681=DIRECTION('',(9.307700714306E-1,-3.656050794628E-1,0.E0)); +#9682=VECTOR('',#9681,1.163911349493E-1); +#9683=CARTESIAN_POINT('',(1.687916669250E1,-6.207446809858E0,-1.98E1)); +#9684=LINE('',#9683,#9682); +#9685=DIRECTION('',(7.863631358811E-1,-6.177645332384E-1,0.E0)); +#9686=VECTOR('',#9685,1.377650859349E-1); +#9687=CARTESIAN_POINT('',(1.677083330750E1,-6.122340425849E0,-1.98E1)); +#9688=LINE('',#9687,#9686); +#9689=DIRECTION('',(6.905291391583E-1,-7.233045748323E-1,0.E0)); +#9690=VECTOR('',#9689,1.176632786460E-1); +#9691=CARTESIAN_POINT('',(1.668958338499E1,-6.037234038115E0,-1.98E1)); +#9692=LINE('',#9691,#9690); +#9693=DIRECTION('',(3.905992953908E-1,-9.205608021420E-1,0.E0)); +#9694=VECTOR('',#9693,1.386758581865E-1); +#9695=CARTESIAN_POINT('',(1.663541669250E1,-5.909574478865E0,-1.98E1)); +#9696=LINE('',#9695,#9694); +#9697=DIRECTION('',(2.075335450196E-1,-9.782279017139E-1,0.E0)); +#9698=VECTOR('',#9697,1.305008667495E-1); +#9699=CARTESIAN_POINT('',(1.660833338499E1,-5.781914889812E0,-1.98E1)); +#9700=LINE('',#9699,#9698); +#9701=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9702=VECTOR('',#9701,1.354166924954E-1); +#9703=CARTESIAN_POINT('',(1.674375007749E1,-5.781914889812E0,-1.98E1)); +#9704=LINE('',#9703,#9702); +#9705=DIRECTION('',(-3.032436104863E-1,9.529130667061E-1,0.E0)); +#9706=VECTOR('',#9705,8.931179118724E-2); +#9707=CARTESIAN_POINT('',(1.677083330750E1,-5.867021262646E0,-1.98E1)); +#9708=LINE('',#9707,#9706); +#9709=DIRECTION('',(-5.369329935792E-1,8.436248931878E-1,0.E0)); +#9710=VECTOR('',#9709,1.008818057917E-1); +#9711=CARTESIAN_POINT('',(1.682500007749E1,-5.952127665281E0,-1.98E1)); +#9712=LINE('',#9711,#9710); +#9713=DIRECTION('',(-7.863627459896E-1,6.177650295377E-1,0.E0)); +#9714=VECTOR('',#9713,6.888247858327E-2); +#9715=CARTESIAN_POINT('',(1.687916669250E1,-5.994680851698E0,-1.98E1)); +#9716=LINE('',#9715,#9714); +#9717=DIRECTION('',(-9.540064537591E-1,2.997860673647E-1,0.E0)); +#9718=VECTOR('',#9717,1.419451770748E-1); +#9719=CARTESIAN_POINT('',(1.701458330750E1,-6.037234038115E0,-1.98E1)); +#9720=LINE('',#9719,#9718); +#9721=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9722=VECTOR('',#9721,1.625E-1); +#9723=CARTESIAN_POINT('',(1.717708330750E1,-6.037234038115E0,-1.98E1)); +#9724=LINE('',#9723,#9722); +#9725=DIRECTION('',(-9.540065518785E-1,-2.997857551199E-1,0.E0)); +#9726=VECTOR('',#9725,1.419453249191E-1); +#9727=CARTESIAN_POINT('',(1.731250007749E1,-5.994680851698E0,-1.98E1)); +#9728=LINE('',#9727,#9726); +#9729=DIRECTION('',(-7.863627459896E-1,-6.177650295377E-1,0.E0)); +#9730=VECTOR('',#9729,6.888247858327E-2); +#9731=CARTESIAN_POINT('',(1.736666669250E1,-5.952127665281E0,-1.98E1)); +#9732=LINE('',#9731,#9730); +#9733=DIRECTION('',(-5.369319002777E-1,-8.436255890288E-1,0.E0)); +#9734=VECTOR('',#9733,1.008817225821E-1); +#9735=CARTESIAN_POINT('',(1.742083330750E1,-5.867021262646E0,-1.98E1)); +#9736=LINE('',#9735,#9734); +#9737=DIRECTION('',(-3.032451861040E-1,-9.529125652990E-1,0.E0)); +#9738=VECTOR('',#9737,8.931183818166E-2); +#9739=CARTESIAN_POINT('',(1.744791669250E1,-5.781914889812E0,-1.98E1)); +#9740=LINE('',#9739,#9738); +#9741=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9742=VECTOR('',#9741,1.702127456665E-1); +#9743=CARTESIAN_POINT('',(1.744791669250E1,-5.611702144146E0,-1.98E1)); +#9744=LINE('',#9743,#9742); +#9745=DIRECTION('',(3.032443018711E-1,-9.529128466878E-1,0.E0)); +#9746=VECTOR('',#9745,1.786236861669E-1); +#9747=CARTESIAN_POINT('',(1.739375007749E1,-5.441489338875E0,-1.98E1)); +#9748=LINE('',#9747,#9746); +#9749=DIRECTION('',(6.905295469395E-1,-7.233041855288E-1,0.E0)); +#9750=VECTOR('',#9749,1.176633213743E-1); +#9751=CARTESIAN_POINT('',(1.731250007749E1,-5.356382966042E0,-1.98E1)); +#9752=LINE('',#9751,#9750); +#9753=DIRECTION('',(9.673814694122E-1,-2.533240861778E-1,0.E0)); +#9754=VECTOR('',#9753,1.679792358424E-1); +#9755=CARTESIAN_POINT('',(1.715000007749E1,-5.313829779625E0,-1.98E1)); +#9756=LINE('',#9755,#9754); +#9757=DIRECTION('',(1.E0,0.E0,0.E0)); +#9758=VECTOR('',#9757,1.083333849907E-1); +#9759=CARTESIAN_POINT('',(1.704166669250E1,-5.313829779625E0,-1.98E1)); +#9760=LINE('',#9759,#9758); +#9761=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9762=VECTOR('',#9761,1.702127456665E-1); +#9763=CARTESIAN_POINT('',(1.704166669250E1,-5.143617033958E0,-1.98E1)); +#9764=LINE('',#9763,#9762); +#9765=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9766=VECTOR('',#9765,1.083333849907E-1); +#9767=CARTESIAN_POINT('',(1.715000007749E1,-5.143617033958E0,-1.98E1)); +#9768=LINE('',#9767,#9766); +#9769=DIRECTION('',(-8.858599342517E-1,-4.639527744152E-1,0.E0)); +#9770=VECTOR('',#9769,1.834375771123E-1); +#9771=CARTESIAN_POINT('',(1.731250007749E1,-5.058510661125E0,-1.98E1)); +#9772=LINE('',#9771,#9770); +#9773=DIRECTION('',(-5.369320340933E-1,-8.436255038608E-1,0.E0)); +#9774=VECTOR('',#9773,1.008816974401E-1); +#9775=CARTESIAN_POINT('',(1.736666669250E1,-4.973404288292E0,-1.98E1)); +#9776=LINE('',#9775,#9774); +#9777=DIRECTION('',(-3.032443982955E-1,-9.529128160028E-1,0.E0)); +#9778=VECTOR('',#9777,1.786236293688E-1); +#9779=CARTESIAN_POINT('',(1.742083330750E1,-4.803191542625E0,-1.98E1)); +#9780=LINE('',#9779,#9778); +#9781=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9782=VECTOR('',#9781,8.510649204254E-2); +#9783=CARTESIAN_POINT('',(1.742083330750E1,-4.718085050583E0,-1.98E1)); +#9784=LINE('',#9783,#9782); +#9785=DIRECTION('',(3.905988218823E-1,-9.205610030542E-1,0.E0)); +#9786=VECTOR('',#9785,1.386758279205E-1); +#9787=CARTESIAN_POINT('',(1.736666669250E1,-4.590425491333E0,-1.98E1)); +#9788=LINE('',#9787,#9786); +#9789=DIRECTION('',(6.905295469395E-1,-7.233041855288E-1,0.E0)); +#9790=VECTOR('',#9789,1.176633213743E-1); +#9791=CARTESIAN_POINT('',(1.728541669250E1,-4.505319118500E0,-1.98E1)); +#9792=LINE('',#9791,#9790); +#9793=DIRECTION('',(9.540064537591E-1,-2.997860673647E-1,0.E0)); +#9794=VECTOR('',#9793,1.419451770748E-1); +#9795=CARTESIAN_POINT('',(1.715000007749E1,-4.462765932083E0,-1.98E1)); +#9796=LINE('',#9795,#9794); +#9797=DIRECTION('',(1.E0,0.E0,0.E0)); +#9798=VECTOR('',#9797,1.083333849907E-1); +#9799=CARTESIAN_POINT('',(1.704166669250E1,-4.462765932083E0,-1.98E1)); +#9800=LINE('',#9799,#9798); +#9801=DIRECTION('',(9.540064537591E-1,2.997860673647E-1,0.E0)); +#9802=VECTOR('',#9801,1.419451770748E-1); +#9803=CARTESIAN_POINT('',(1.690625007749E1,-4.505319118500E0,-1.98E1)); +#9804=LINE('',#9803,#9802); +#9805=DIRECTION('',(6.905295469395E-1,7.233041855288E-1,0.E0)); +#9806=VECTOR('',#9805,1.176633213743E-1); +#9807=CARTESIAN_POINT('',(1.682500007749E1,-4.590425491333E0,-1.98E1)); +#9808=LINE('',#9807,#9806); +#9809=DIRECTION('',(3.905997688988E-1,9.205606012296E-1,0.E0)); +#9810=VECTOR('',#9809,1.386758884525E-1); +#9811=CARTESIAN_POINT('',(1.677083330750E1,-4.718085050583E0,-1.98E1)); +#9812=LINE('',#9811,#9810); +#9813=DIRECTION('',(1.E0,0.E0,0.E0)); +#9814=VECTOR('',#9813,1.354166150093E-1); +#9815=CARTESIAN_POINT('',(1.663541669250E1,-4.718085050583E0,-1.98E1)); +#9816=LINE('',#9815,#9814); +#9817=DIRECTION('',(-1.571377237929E-1,-9.875766986727E-1,0.E0)); +#9818=VECTOR('',#9817,1.723539507314E-1); +#9819=CARTESIAN_POINT('',(1.66625E1,-4.547872304916E0,-1.98E1)); +#9820=LINE('',#9819,#9818); +#9821=DIRECTION('',(-5.369323074190E-1,-8.436253299005E-1,0.E0)); +#9822=VECTOR('',#9821,2.017634364850E-1); +#9823=CARTESIAN_POINT('',(1.677083330750E1,-4.377659559250E0,-1.98E1)); +#9824=LINE('',#9823,#9822); +#9825=DIRECTION('',(-7.863631752894E-1,-6.177644830748E-1,0.E0)); +#9826=VECTOR('',#9825,1.377650790308E-1); +#9827=CARTESIAN_POINT('',(1.687916669250E1,-4.292553186417E0,-1.98E1)); +#9828=LINE('',#9827,#9826); +#9829=DIRECTION('',(-9.757232351222E-1,-2.190072337680E-1,0.E0)); +#9830=VECTOR('',#9829,1.943003693736E-1); +#9831=CARTESIAN_POINT('',(1.706875007749E1,-4.25E0,-1.98E1)); +#9832=LINE('',#9831,#9830); +#9833=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9834=VECTOR('',#9833,5.416661500931E-2); +#9835=CARTESIAN_POINT('',(1.712291669250E1,-4.25E0,-1.98E1)); +#9836=LINE('',#9835,#9834); +#9837=DIRECTION('',(-9.757232351222E-1,2.190072337680E-1,0.E0)); +#9838=VECTOR('',#9837,1.943003693736E-1); +#9839=CARTESIAN_POINT('',(1.731250007749E1,-4.292553186417E0,-1.98E1)); +#9840=LINE('',#9839,#9838); +#9841=DIRECTION('',(-7.863627459896E-1,6.177650295377E-1,0.E0)); +#9842=VECTOR('',#9841,1.377649571665E-1); +#9843=CARTESIAN_POINT('',(1.742083330750E1,-4.377659559250E0,-1.98E1)); +#9844=LINE('',#9843,#9842); +#9845=DIRECTION('',(-5.369325807444E-1,8.436251559402E-1,0.E0)); +#9846=VECTOR('',#9845,2.017634780897E-1); +#9847=CARTESIAN_POINT('',(1.752916669250E1,-4.547872304916E0,-1.98E1)); +#9848=LINE('',#9847,#9846); +#9849=DIRECTION('',(-1.571381622669E-1,9.875766289050E-1,0.E0)); +#9850=VECTOR('',#9849,1.723539629074E-1); +#9851=CARTESIAN_POINT('',(1.755625007749E1,-4.718085050583E0,-1.98E1)); +#9852=LINE('',#9851,#9850); +#9853=DIRECTION('',(0.E0,1.E0,0.E0)); +#9854=VECTOR('',#9853,8.510649204254E-2); +#9855=CARTESIAN_POINT('',(1.755625007749E1,-4.803191542625E0,-1.98E1)); +#9856=LINE('',#9855,#9854); +#9857=DIRECTION('',(1.571381622669E-1,9.875766289050E-1,0.E0)); +#9858=VECTOR('',#9857,1.723539629074E-1); +#9859=CARTESIAN_POINT('',(1.752916669250E1,-4.973404288292E0,-1.98E1)); +#9860=LINE('',#9859,#9858); +#9861=DIRECTION('',(3.905988218823E-1,9.205610030542E-1,0.E0)); +#9862=VECTOR('',#9861,1.386758279205E-1); +#9863=CARTESIAN_POINT('',(1.747500007749E1,-5.101063847542E0,-1.98E1)); +#9864=LINE('',#9863,#9862); +#9865=DIRECTION('',(6.470336442144E-1,7.624614503400E-1,0.E0)); +#9866=VECTOR('',#9865,1.674308375760E-1); +#9867=CARTESIAN_POINT('',(1.736666669250E1,-5.228723406792E0,-1.98E1)); +#9868=LINE('',#9867,#9866); +#9869=DIRECTION('',(-8.466721611395E-1,5.321148856698E-1,0.E0)); +#9870=VECTOR('',#9869,1.599398459341E-1); +#9871=CARTESIAN_POINT('',(1.750208330750E1,-5.313829779625E0,-1.98E1)); +#9872=LINE('',#9871,#9870); +#9873=DIRECTION('',(-3.905997688989E-1,9.205606012296E-1,0.E0)); +#9874=VECTOR('',#9873,1.386758884525E-1); +#9875=CARTESIAN_POINT('',(1.755625007749E1,-5.441489338875E0,-1.98E1)); +#9876=LINE('',#9875,#9874); +#9877=DIRECTION('',(-1.571372316517E-1,9.875767769793E-1,0.E0)); +#9878=VECTOR('',#9877,1.723539974196E-1); +#9879=CARTESIAN_POINT('',(1.758333330750E1,-5.611702144146E0,-1.98E1)); +#9880=LINE('',#9879,#9878); +#9881=DIRECTION('',(0.E0,1.E0,0.E0)); +#9882=VECTOR('',#9881,1.702127456665E-1); +#9883=CARTESIAN_POINT('',(1.758333330750E1,-5.781914889812E0,-1.98E1)); +#9884=LINE('',#9883,#9882); +#9885=DIRECTION('',(2.075329768340E-1,9.782280222558E-1,0.E0)); +#9886=VECTOR('',#9885,1.305008506686E-1); +#9887=CARTESIAN_POINT('',(1.755625007749E1,-5.909574478865E0,-1.98E1)); +#9888=LINE('',#9887,#9886); +#9889=DIRECTION('',(0.E0,0.E0,1.E0)); +#9890=VECTOR('',#9889,2.E-1); +#9891=CARTESIAN_POINT('',(-1.693333330750E1,-6.25E0,-2.E1)); +#9892=LINE('',#9891,#9890); +#9893=DIRECTION('',(0.E0,0.E0,1.E0)); +#9894=VECTOR('',#9893,2.E-1); +#9895=CARTESIAN_POINT('',(-1.709583330750E1,-6.25E0,-2.E1)); +#9896=LINE('',#9895,#9894); +#9897=DIRECTION('',(0.E0,0.E0,1.E0)); +#9898=VECTOR('',#9897,2.E-1); +#9899=CARTESIAN_POINT('',(-1.709583330750E1,-4.590425491333E0,-2.E1)); +#9900=LINE('',#9899,#9898); +#9901=DIRECTION('',(0.E0,0.E0,1.E0)); +#9902=VECTOR('',#9901,2.E-1); +#9903=CARTESIAN_POINT('',(-1.725833330750E1,-4.888297915459E0,-2.E1)); +#9904=LINE('',#9903,#9902); +#9905=DIRECTION('',(0.E0,0.E0,1.E0)); +#9906=VECTOR('',#9905,2.E-1); +#9907=CARTESIAN_POINT('',(-1.725833330750E1,-4.547872304916E0,-2.E1)); +#9908=LINE('',#9907,#9906); +#9909=DIRECTION('',(0.E0,0.E0,1.E0)); +#9910=VECTOR('',#9909,2.E-1); +#9911=CARTESIAN_POINT('',(-1.709583330750E1,-4.25E0,-2.E1)); +#9912=LINE('',#9911,#9910); +#9913=DIRECTION('',(0.E0,0.E0,1.E0)); +#9914=VECTOR('',#9913,2.E-1); +#9915=CARTESIAN_POINT('',(-1.693333330750E1,-4.25E0,-2.E1)); +#9916=LINE('',#9915,#9914); +#9917=DIRECTION('',(0.E0,0.E0,1.E0)); +#9918=VECTOR('',#9917,2.E-1); +#9919=CARTESIAN_POINT('',(-1.579583330750E1,-5.271276593208E0,-2.E1)); +#9920=LINE('',#9919,#9918); +#9921=DIRECTION('',(0.E0,0.E0,1.E0)); +#9922=VECTOR('',#9921,2.E-1); +#9923=CARTESIAN_POINT('',(-1.574166669250E1,-5.484042525291E0,-2.E1)); +#9924=LINE('',#9923,#9922); +#9925=DIRECTION('',(0.E0,0.E0,1.E0)); +#9926=VECTOR('',#9925,2.E-1); +#9927=CARTESIAN_POINT('',(-1.574166669250E1,-5.696808516979E0,-2.E1)); +#9928=LINE('',#9927,#9926); +#9929=DIRECTION('',(0.E0,0.E0,1.E0)); +#9930=VECTOR('',#9929,2.E-1); +#9931=CARTESIAN_POINT('',(-1.579583330750E1,-5.909574478865E0,-2.E1)); +#9932=LINE('',#9931,#9930); +#9933=DIRECTION('',(0.E0,0.E0,1.E0)); +#9934=VECTOR('',#9933,2.E-1); +#9935=CARTESIAN_POINT('',(-1.587708330750E1,-6.079787239432E0,-2.E1)); +#9936=LINE('',#9935,#9934); +#9937=DIRECTION('',(0.E0,0.E0,1.E0)); +#9938=VECTOR('',#9937,2.E-1); +#9939=CARTESIAN_POINT('',(-1.60125E1,-6.207446809858E0,-2.E1)); +#9940=LINE('',#9939,#9938); +#9941=DIRECTION('',(0.E0,0.E0,1.E0)); +#9942=VECTOR('',#9941,2.E-1); +#9943=CARTESIAN_POINT('',(-1.614791661501E1,-6.25E0,-2.E1)); +#9944=LINE('',#9943,#9942); +#9945=DIRECTION('',(0.E0,0.E0,1.E0)); +#9946=VECTOR('',#9945,2.E-1); +#9947=CARTESIAN_POINT('',(-1.628333330750E1,-6.25E0,-2.E1)); +#9948=LINE('',#9947,#9946); +#9949=DIRECTION('',(0.E0,0.E0,1.E0)); +#9950=VECTOR('',#9949,2.E-1); +#9951=CARTESIAN_POINT('',(-1.641875E1,-6.207446809858E0,-2.E1)); +#9952=LINE('',#9951,#9950); +#9953=DIRECTION('',(0.E0,0.E0,1.E0)); +#9954=VECTOR('',#9953,2.E-1); +#9955=CARTESIAN_POINT('',(-1.655416661501E1,-6.079787239432E0,-2.E1)); +#9956=LINE('',#9955,#9954); +#9957=DIRECTION('',(0.E0,0.E0,1.E0)); +#9958=VECTOR('',#9957,2.E-1); +#9959=CARTESIAN_POINT('',(-1.663541665375E1,-5.909574478865E0,-2.E1)); +#9960=LINE('',#9959,#9958); +#9961=DIRECTION('',(0.E0,0.E0,1.E0)); +#9962=VECTOR('',#9961,2.E-1); +#9963=CARTESIAN_POINT('',(-1.668958330750E1,-5.696808516979E0,-2.E1)); +#9964=LINE('',#9963,#9962); +#9965=DIRECTION('',(0.E0,0.E0,1.E0)); +#9966=VECTOR('',#9965,2.E-1); +#9967=CARTESIAN_POINT('',(-1.671666665375E1,-5.398936152458E0,-2.E1)); +#9968=LINE('',#9967,#9966); +#9969=DIRECTION('',(0.E0,0.E0,1.E0)); +#9970=VECTOR('',#9969,2.E-1); +#9971=CARTESIAN_POINT('',(-1.671666665375E1,-5.101063847542E0,-2.E1)); +#9972=LINE('',#9971,#9970); +#9973=DIRECTION('',(0.E0,0.E0,1.E0)); +#9974=VECTOR('',#9973,2.E-1); +#9975=CARTESIAN_POINT('',(-1.668958330750E1,-4.803191542625E0,-2.E1)); +#9976=LINE('',#9975,#9974); +#9977=DIRECTION('',(0.E0,0.E0,1.E0)); +#9978=VECTOR('',#9977,2.E-1); +#9979=CARTESIAN_POINT('',(-1.663541665375E1,-4.590425491333E0,-2.E1)); +#9980=LINE('',#9979,#9978); +#9981=DIRECTION('',(0.E0,0.E0,1.E0)); +#9982=VECTOR('',#9981,2.E-1); +#9983=CARTESIAN_POINT('',(-1.655416661501E1,-4.420212745667E0,-2.E1)); +#9984=LINE('',#9983,#9982); +#9985=DIRECTION('',(0.E0,0.E0,1.E0)); +#9986=VECTOR('',#9985,2.E-1); +#9987=CARTESIAN_POINT('',(-1.641875E1,-4.292553186417E0,-2.E1)); +#9988=LINE('',#9987,#9986); +#9989=DIRECTION('',(0.E0,0.E0,1.E0)); +#9990=VECTOR('',#9989,2.E-1); +#9991=CARTESIAN_POINT('',(-1.628333330750E1,-4.25E0,-2.E1)); +#9992=LINE('',#9991,#9990); +#9993=DIRECTION('',(0.E0,0.E0,1.E0)); +#9994=VECTOR('',#9993,2.E-1); +#9995=CARTESIAN_POINT('',(-1.6175E1,-4.25E0,-2.E1)); +#9996=LINE('',#9995,#9994); +#9997=DIRECTION('',(0.E0,0.E0,1.E0)); +#9998=VECTOR('',#9997,2.E-1); +#9999=CARTESIAN_POINT('',(-1.603958330750E1,-4.292553186417E0,-2.E1)); +#10000=LINE('',#9999,#9998); +#10001=DIRECTION('',(0.E0,0.E0,1.E0)); +#10002=VECTOR('',#10001,2.E-1); +#10003=CARTESIAN_POINT('',(-1.590416669250E1,-4.420212745667E0,-2.E1)); +#10004=LINE('',#10003,#10002); +#10005=DIRECTION('',(0.E0,0.E0,1.E0)); +#10006=VECTOR('',#10005,2.E-1); +#10007=CARTESIAN_POINT('',(-1.582291669250E1,-4.590425491333E0,-2.E1)); +#10008=LINE('',#10007,#10006); +#10009=DIRECTION('',(0.E0,0.E0,1.E0)); +#10010=VECTOR('',#10009,2.E-1); +#10011=CARTESIAN_POINT('',(-1.579583330750E1,-4.718085050583E0,-2.E1)); +#10012=LINE('',#10011,#10010); +#10013=DIRECTION('',(0.E0,0.E0,1.E0)); +#10014=VECTOR('',#10013,2.E-1); +#10015=CARTESIAN_POINT('',(-1.593124992251E1,-4.718085050583E0,-2.E1)); +#10016=LINE('',#10015,#10014); +#10017=DIRECTION('',(0.E0,0.E0,1.E0)); +#10018=VECTOR('',#10017,2.E-1); +#10019=CARTESIAN_POINT('',(-1.598541661501E1,-4.590425491333E0,-2.E1)); +#10020=LINE('',#10019,#10018); +#10021=DIRECTION('',(0.E0,0.E0,1.E0)); +#10022=VECTOR('',#10021,2.E-1); +#10023=CARTESIAN_POINT('',(-1.606666661501E1,-4.505319118500E0,-2.E1)); +#10024=LINE('',#10023,#10022); +#10025=DIRECTION('',(0.E0,0.E0,1.E0)); +#10026=VECTOR('',#10025,2.E-1); +#10027=CARTESIAN_POINT('',(-1.614791661501E1,-4.462765932083E0,-2.E1)); +#10028=LINE('',#10027,#10026); +#10029=DIRECTION('',(0.E0,0.E0,1.E0)); +#10030=VECTOR('',#10029,2.E-1); +#10031=CARTESIAN_POINT('',(-1.631041661501E1,-4.462765932083E0,-2.E1)); +#10032=LINE('',#10031,#10030); +#10033=DIRECTION('',(0.E0,0.E0,1.E0)); +#10034=VECTOR('',#10033,2.E-1); +#10035=CARTESIAN_POINT('',(-1.639166661501E1,-4.505319118500E0,-2.E1)); +#10036=LINE('',#10035,#10034); +#10037=DIRECTION('',(0.E0,0.E0,1.E0)); +#10038=VECTOR('',#10037,2.E-1); +#10039=CARTESIAN_POINT('',(-1.647291661501E1,-4.590425491333E0,-2.E1)); +#10040=LINE('',#10039,#10038); +#10041=DIRECTION('',(0.E0,0.E0,1.E0)); +#10042=VECTOR('',#10041,2.E-1); +#10043=CARTESIAN_POINT('',(-1.652708330750E1,-4.718085050583E0,-2.E1)); +#10044=LINE('',#10043,#10042); +#10045=DIRECTION('',(0.E0,0.E0,1.E0)); +#10046=VECTOR('',#10045,2.E-1); +#10047=CARTESIAN_POINT('',(-1.655416661501E1,-4.845744729042E0,-2.E1)); +#10048=LINE('',#10047,#10046); +#10049=DIRECTION('',(0.E0,0.E0,1.E0)); +#10050=VECTOR('',#10049,2.E-1); +#10051=CARTESIAN_POINT('',(-1.658125E1,-5.101063847542E0,-2.E1)); +#10052=LINE('',#10051,#10050); +#10053=DIRECTION('',(0.E0,0.E0,1.E0)); +#10054=VECTOR('',#10053,2.E-1); +#10055=CARTESIAN_POINT('',(-1.658125E1,-5.186170220375E0,-2.E1)); +#10056=LINE('',#10055,#10054); +#10057=DIRECTION('',(0.E0,0.E0,1.E0)); +#10058=VECTOR('',#10057,2.E-1); +#10059=CARTESIAN_POINT('',(-1.641875E1,-5.015957474709E0,-2.E1)); +#10060=LINE('',#10059,#10058); +#10061=DIRECTION('',(0.E0,0.E0,1.E0)); +#10062=VECTOR('',#10061,2.E-1); +#10063=CARTESIAN_POINT('',(-1.628333330750E1,-4.973404288292E0,-2.E1)); +#10064=LINE('',#10063,#10062); +#10065=DIRECTION('',(0.E0,0.E0,1.E0)); +#10066=VECTOR('',#10065,2.E-1); +#10067=CARTESIAN_POINT('',(-1.614791661501E1,-4.973404288292E0,-2.E1)); +#10068=LINE('',#10067,#10066); +#10069=DIRECTION('',(0.E0,0.E0,1.E0)); +#10070=VECTOR('',#10069,2.E-1); +#10071=CARTESIAN_POINT('',(-1.60125E1,-5.015957474709E0,-2.E1)); +#10072=LINE('',#10071,#10070); +#10073=DIRECTION('',(0.E0,0.E0,1.E0)); +#10074=VECTOR('',#10073,2.E-1); +#10075=CARTESIAN_POINT('',(-1.587708330750E1,-5.143617033958E0,-2.E1)); +#10076=LINE('',#10075,#10074); +#10077=DIRECTION('',(0.E0,0.E0,1.E0)); +#10078=VECTOR('',#10077,2.E-1); +#10079=CARTESIAN_POINT('',(-1.590416669250E1,-5.398936152458E0,-2.E1)); +#10080=LINE('',#10079,#10078); +#10081=DIRECTION('',(0.E0,0.E0,1.E0)); +#10082=VECTOR('',#10081,2.E-1); +#10083=CARTESIAN_POINT('',(-1.595833330750E1,-5.313829779625E0,-2.E1)); +#10084=LINE('',#10083,#10082); +#10085=DIRECTION('',(0.E0,0.E0,1.E0)); +#10086=VECTOR('',#10085,2.E-1); +#10087=CARTESIAN_POINT('',(-1.603958330750E1,-5.228723406792E0,-2.E1)); +#10088=LINE('',#10087,#10086); +#10089=DIRECTION('',(0.E0,0.E0,1.E0)); +#10090=VECTOR('',#10089,2.E-1); +#10091=CARTESIAN_POINT('',(-1.612083330750E1,-5.186170220375E0,-2.E1)); +#10092=LINE('',#10091,#10090); +#10093=DIRECTION('',(0.E0,0.E0,1.E0)); +#10094=VECTOR('',#10093,2.E-1); +#10095=CARTESIAN_POINT('',(-1.631041661501E1,-5.186170220375E0,-2.E1)); +#10096=LINE('',#10095,#10094); +#10097=DIRECTION('',(-1.776356839400E-14,0.E0,1.E0)); +#10098=VECTOR('',#10097,2.E-1); +#10099=CARTESIAN_POINT('',(-1.639166661501E1,-5.228723406792E0,-2.E1)); +#10100=LINE('',#10099,#10098); +#10101=DIRECTION('',(0.E0,-1.332267629550E-14,1.E0)); +#10102=VECTOR('',#10101,2.E-1); +#10103=CARTESIAN_POINT('',(-1.647291661501E1,-5.313829779625E0,-2.E1)); +#10104=LINE('',#10103,#10102); +#10105=DIRECTION('',(0.E0,0.E0,1.E0)); +#10106=VECTOR('',#10105,2.E-1); +#10107=CARTESIAN_POINT('',(-1.652708330750E1,-5.398936152458E0,-2.E1)); +#10108=LINE('',#10107,#10106); +#10109=DIRECTION('',(0.E0,0.E0,1.E0)); +#10110=VECTOR('',#10109,2.E-1); +#10111=CARTESIAN_POINT('',(-1.655416661501E1,-5.526595771313E0,-2.E1)); +#10112=LINE('',#10111,#10110); +#10113=DIRECTION('',(0.E0,0.E0,1.E0)); +#10114=VECTOR('',#10113,2.E-1); +#10115=CARTESIAN_POINT('',(-1.655416661501E1,-5.654255330563E0,-2.E1)); +#10116=LINE('',#10115,#10114); +#10117=DIRECTION('',(0.E0,0.E0,1.E0)); +#10118=VECTOR('',#10117,2.E-1); +#10119=CARTESIAN_POINT('',(-1.652708330750E1,-5.781914889812E0,-2.E1)); +#10120=LINE('',#10119,#10118); +#10121=DIRECTION('',(0.E0,0.E0,1.E0)); +#10122=VECTOR('',#10121,2.E-1); +#10123=CARTESIAN_POINT('',(-1.647291661501E1,-5.909574478865E0,-2.E1)); +#10124=LINE('',#10123,#10122); +#10125=DIRECTION('',(0.E0,0.E0,1.E0)); +#10126=VECTOR('',#10125,2.E-1); +#10127=CARTESIAN_POINT('',(-1.639166661501E1,-5.994680851698E0,-2.E1)); +#10128=LINE('',#10127,#10126); +#10129=DIRECTION('',(1.776356839400E-14,0.E0,1.E0)); +#10130=VECTOR('',#10129,2.E-1); +#10131=CARTESIAN_POINT('',(-1.631041661501E1,-6.037234038115E0,-2.E1)); +#10132=LINE('',#10131,#10130); +#10133=DIRECTION('',(0.E0,0.E0,1.E0)); +#10134=VECTOR('',#10133,2.E-1); +#10135=CARTESIAN_POINT('',(-1.612083330750E1,-6.037234038115E0,-2.E1)); +#10136=LINE('',#10135,#10134); +#10137=DIRECTION('',(0.E0,0.E0,1.E0)); +#10138=VECTOR('',#10137,2.E-1); +#10139=CARTESIAN_POINT('',(-1.603958330750E1,-5.994680851698E0,-2.E1)); +#10140=LINE('',#10139,#10138); +#10141=DIRECTION('',(0.E0,0.E0,1.E0)); +#10142=VECTOR('',#10141,2.E-1); +#10143=CARTESIAN_POINT('',(-1.595833330750E1,-5.909574478865E0,-2.E1)); +#10144=LINE('',#10143,#10142); +#10145=DIRECTION('',(0.E0,0.E0,1.E0)); +#10146=VECTOR('',#10145,2.E-1); +#10147=CARTESIAN_POINT('',(-1.590416669250E1,-5.781914889812E0,-2.E1)); +#10148=LINE('',#10147,#10146); +#10149=DIRECTION('',(0.E0,0.E0,1.E0)); +#10150=VECTOR('',#10149,2.E-1); +#10151=CARTESIAN_POINT('',(-1.587708330750E1,-5.654255330563E0,-2.E1)); +#10152=LINE('',#10151,#10150); +#10153=DIRECTION('',(0.E0,0.E0,1.E0)); +#10154=VECTOR('',#10153,2.E-1); +#10155=CARTESIAN_POINT('',(-1.587708330750E1,-5.526595771313E0,-2.E1)); +#10156=LINE('',#10155,#10154); +#10157=DIRECTION('',(0.E0,0.E0,1.E0)); +#10158=VECTOR('',#10157,2.E-1); +#10159=CARTESIAN_POINT('',(1.620833334029E1,4.271276593208E0,-2.E1)); +#10160=LINE('',#10159,#10158); +#10161=DIRECTION('',(0.E0,0.E0,1.E0)); +#10162=VECTOR('',#10161,2.E-1); +#10163=CARTESIAN_POINT('',(1.615E1,4.313829779625E0,-2.E1)); +#10164=LINE('',#10163,#10162); +#10165=DIRECTION('',(0.E0,0.E0,1.E0)); +#10166=VECTOR('',#10165,2.E-1); +#10167=CARTESIAN_POINT('',(1.60625E1,4.441489338875E0,-2.E1)); +#10168=LINE('',#10167,#10166); +#10169=DIRECTION('',(0.E0,0.E0,1.E0)); +#10170=VECTOR('',#10169,2.E-1); +#10171=CARTESIAN_POINT('',(1.600416666754E1,4.611702084541E0,-2.E1)); +#10172=LINE('',#10171,#10170); +#10173=DIRECTION('',(0.E0,0.E0,1.E0)); +#10174=VECTOR('',#10173,2.E-1); +#10175=CARTESIAN_POINT('',(1.600416666754E1,4.739361643791E0,-2.E1)); +#10176=LINE('',#10175,#10174); +#10177=DIRECTION('',(0.E0,0.E0,1.E0)); +#10178=VECTOR('',#10177,2.E-1); +#10179=CARTESIAN_POINT('',(1.60625E1,4.952127695084E0,-2.E1)); +#10180=LINE('',#10179,#10178); +#10181=DIRECTION('',(0.E0,0.E0,1.E0)); +#10182=VECTOR('',#10181,2.E-1); +#10183=CARTESIAN_POINT('',(1.615E1,5.079787254333E0,-2.E1)); +#10184=LINE('',#10183,#10182); +#10185=DIRECTION('',(0.E0,0.E0,1.E0)); +#10186=VECTOR('',#10185,2.E-1); +#10187=CARTESIAN_POINT('',(1.629583334029E1,5.207446813583E0,-2.E1)); +#10188=LINE('',#10187,#10186); +#10189=DIRECTION('',(0.E0,0.E0,1.E0)); +#10190=VECTOR('',#10189,2.E-1); +#10191=CARTESIAN_POINT('',(1.644166668057E1,5.25E0,-2.E1)); +#10192=LINE('',#10191,#10190); +#10193=DIRECTION('',(0.E0,0.E0,1.E0)); +#10194=VECTOR('',#10193,2.E-1); +#10195=CARTESIAN_POINT('',(1.655833331943E1,5.25E0,-2.E1)); +#10196=LINE('',#10195,#10194); +#10197=DIRECTION('',(0.E0,0.E0,1.E0)); +#10198=VECTOR('',#10197,2.E-1); +#10199=CARTESIAN_POINT('',(1.670416663885E1,5.207446813583E0,-2.E1)); +#10200=LINE('',#10199,#10198); +#10201=DIRECTION('',(0.E0,0.E0,1.E0)); +#10202=VECTOR('',#10201,2.E-1); +#10203=CARTESIAN_POINT('',(1.685E1,5.079787254333E0,-2.E1)); +#10204=LINE('',#10203,#10202); +#10205=DIRECTION('',(0.E0,0.E0,1.E0)); +#10206=VECTOR('',#10205,2.E-1); +#10207=CARTESIAN_POINT('',(1.69375E1,4.952127695084E0,-2.E1)); +#10208=LINE('',#10207,#10206); +#10209=DIRECTION('',(0.E0,0.E0,1.E0)); +#10210=VECTOR('',#10209,2.E-1); +#10211=CARTESIAN_POINT('',(1.699583336115E1,4.739361643791E0,-2.E1)); +#10212=LINE('',#10211,#10210); +#10213=DIRECTION('',(0.E0,0.E0,1.E0)); +#10214=VECTOR('',#10213,2.E-1); +#10215=CARTESIAN_POINT('',(1.699583336115E1,4.611702084541E0,-2.E1)); +#10216=LINE('',#10215,#10214); +#10217=DIRECTION('',(0.E0,0.E0,1.E0)); +#10218=VECTOR('',#10217,2.E-1); +#10219=CARTESIAN_POINT('',(1.69375E1,4.441489338875E0,-2.E1)); +#10220=LINE('',#10219,#10218); +#10221=DIRECTION('',(0.E0,0.E0,1.E0)); +#10222=VECTOR('',#10221,2.E-1); +#10223=CARTESIAN_POINT('',(1.685E1,4.313829779625E0,-2.E1)); +#10224=LINE('',#10223,#10222); +#10225=DIRECTION('',(0.E0,0.E0,1.E0)); +#10226=VECTOR('',#10225,2.E-1); +#10227=CARTESIAN_POINT('',(1.679166663885E1,4.271276593208E0,-2.E1)); +#10228=LINE('',#10227,#10226); +#10229=DIRECTION('',(-1.776356839400E-14,0.E0,1.E0)); +#10230=VECTOR('',#10229,2.E-1); +#10231=CARTESIAN_POINT('',(1.685E1,4.228723406792E0,-2.E1)); +#10232=LINE('',#10231,#10230); +#10233=DIRECTION('',(0.E0,0.E0,1.E0)); +#10234=VECTOR('',#10233,2.E-1); +#10235=CARTESIAN_POINT('',(1.696666663885E1,4.101063847542E0,-2.E1)); +#10236=LINE('',#10235,#10234); +#10237=DIRECTION('',(0.E0,0.E0,1.E0)); +#10238=VECTOR('',#10237,2.E-1); +#10239=CARTESIAN_POINT('',(1.7025E1,3.888297855854E0,-2.E1)); +#10240=LINE('',#10239,#10238); +#10241=DIRECTION('',(0.E0,0.E0,1.E0)); +#10242=VECTOR('',#10241,2.E-1); +#10243=CARTESIAN_POINT('',(1.7025E1,3.760638296604E0,-2.E1)); +#10244=LINE('',#10243,#10242); +#10245=DIRECTION('',(0.E0,0.E0,1.E0)); +#10246=VECTOR('',#10245,2.E-1); +#10247=CARTESIAN_POINT('',(1.696666663885E1,3.547872334719E0,-2.E1)); +#10248=LINE('',#10247,#10246); +#10249=DIRECTION('',(0.E0,0.E0,1.E0)); +#10250=VECTOR('',#10249,2.E-1); +#10251=CARTESIAN_POINT('',(1.687916663885E1,3.420212760568E0,-2.E1)); +#10252=LINE('',#10251,#10250); +#10253=DIRECTION('',(0.E0,0.E0,1.E0)); +#10254=VECTOR('',#10253,2.E-1); +#10255=CARTESIAN_POINT('',(1.673333336115E1,3.292553190142E0,-2.E1)); +#10256=LINE('',#10255,#10254); +#10257=DIRECTION('',(0.E0,0.E0,1.E0)); +#10258=VECTOR('',#10257,2.E-1); +#10259=CARTESIAN_POINT('',(1.65875E1,3.25E0,-2.E1)); +#10260=LINE('',#10259,#10258); +#10261=DIRECTION('',(0.E0,0.E0,1.E0)); +#10262=VECTOR('',#10261,2.E-1); +#10263=CARTESIAN_POINT('',(1.64125E1,3.25E0,-2.E1)); +#10264=LINE('',#10263,#10262); +#10265=DIRECTION('',(0.E0,0.E0,1.E0)); +#10266=VECTOR('',#10265,2.E-1); +#10267=CARTESIAN_POINT('',(1.626666665971E1,3.292553190142E0,-2.E1)); +#10268=LINE('',#10267,#10266); +#10269=DIRECTION('',(0.E0,0.E0,1.E0)); +#10270=VECTOR('',#10269,2.E-1); +#10271=CARTESIAN_POINT('',(1.612083332986E1,3.420212760568E0,-2.E1)); +#10272=LINE('',#10271,#10270); +#10273=DIRECTION('',(0.E0,0.E0,1.E0)); +#10274=VECTOR('',#10273,2.E-1); +#10275=CARTESIAN_POINT('',(1.603333333507E1,3.547872334719E0,-2.E1)); +#10276=LINE('',#10275,#10274); +#10277=DIRECTION('',(0.E0,0.E0,1.E0)); +#10278=VECTOR('',#10277,2.E-1); +#10279=CARTESIAN_POINT('',(1.5975E1,3.760638296604E0,-2.E1)); +#10280=LINE('',#10279,#10278); +#10281=DIRECTION('',(0.E0,0.E0,1.E0)); +#10282=VECTOR('',#10281,2.E-1); +#10283=CARTESIAN_POINT('',(1.5975E1,3.888297855854E0,-2.E1)); +#10284=LINE('',#10283,#10282); +#10285=DIRECTION('',(0.E0,0.E0,1.E0)); +#10286=VECTOR('',#10285,2.E-1); +#10287=CARTESIAN_POINT('',(1.603333333507E1,4.101063847542E0,-2.E1)); +#10288=LINE('',#10287,#10286); +#10289=DIRECTION('',(0.E0,0.E0,1.E0)); +#10290=VECTOR('',#10289,2.E-1); +#10291=CARTESIAN_POINT('',(1.615E1,4.228723406792E0,-2.E1)); +#10292=LINE('',#10291,#10290); +#10293=DIRECTION('',(0.E0,0.E0,1.E0)); +#10294=VECTOR('',#10293,2.E-1); +#10295=CARTESIAN_POINT('',(1.644166668057E1,3.462765961885E0,-2.E1)); +#10296=LINE('',#10295,#10294); +#10297=DIRECTION('',(0.E0,0.E0,1.E0)); +#10298=VECTOR('',#10297,2.E-1); +#10299=CARTESIAN_POINT('',(1.655833331943E1,3.462765961885E0,-2.E1)); +#10300=LINE('',#10299,#10298); +#10301=DIRECTION('',(0.E0,0.E0,1.E0)); +#10302=VECTOR('',#10301,2.E-1); +#10303=CARTESIAN_POINT('',(1.6675E1,3.505319148302E0,-2.E1)); +#10304=LINE('',#10303,#10302); +#10305=DIRECTION('',(0.E0,0.E0,1.E0)); +#10306=VECTOR('',#10305,2.E-1); +#10307=CARTESIAN_POINT('',(1.679166663885E1,3.590425521135E0,-2.E1)); +#10308=LINE('',#10307,#10306); +#10309=DIRECTION('',(0.E0,0.E0,1.E0)); +#10310=VECTOR('',#10309,2.E-1); +#10311=CARTESIAN_POINT('',(1.687916663885E1,3.760638296604E0,-2.E1)); +#10312=LINE('',#10311,#10310); +#10313=DIRECTION('',(0.E0,0.E0,1.E0)); +#10314=VECTOR('',#10313,2.E-1); +#10315=CARTESIAN_POINT('',(1.687916663885E1,3.888297855854E0,-2.E1)); +#10316=LINE('',#10315,#10314); +#10317=DIRECTION('',(0.E0,0.E0,1.E0)); +#10318=VECTOR('',#10317,2.E-1); +#10319=CARTESIAN_POINT('',(1.679166663885E1,4.058510661125E0,-2.E1)); +#10320=LINE('',#10319,#10318); +#10321=DIRECTION('',(0.E0,0.E0,1.E0)); +#10322=VECTOR('',#10321,2.E-1); +#10323=CARTESIAN_POINT('',(1.6675E1,4.143617033958E0,-2.E1)); +#10324=LINE('',#10323,#10322); +#10325=DIRECTION('',(0.E0,0.E0,1.E0)); +#10326=VECTOR('',#10325,2.E-1); +#10327=CARTESIAN_POINT('',(1.655833331943E1,4.186170220375E0,-2.E1)); +#10328=LINE('',#10327,#10326); +#10329=DIRECTION('',(0.E0,0.E0,1.E0)); +#10330=VECTOR('',#10329,2.E-1); +#10331=CARTESIAN_POINT('',(1.644166668057E1,4.186170220375E0,-2.E1)); +#10332=LINE('',#10331,#10330); +#10333=DIRECTION('',(0.E0,0.E0,1.E0)); +#10334=VECTOR('',#10333,2.E-1); +#10335=CARTESIAN_POINT('',(1.6325E1,4.143617033958E0,-2.E1)); +#10336=LINE('',#10335,#10334); +#10337=DIRECTION('',(0.E0,0.E0,1.E0)); +#10338=VECTOR('',#10337,2.E-1); +#10339=CARTESIAN_POINT('',(1.620833334029E1,4.058510661125E0,-2.E1)); +#10340=LINE('',#10339,#10338); +#10341=DIRECTION('',(0.E0,0.E0,1.E0)); +#10342=VECTOR('',#10341,2.E-1); +#10343=CARTESIAN_POINT('',(1.612083332986E1,3.888297855854E0,-2.E1)); +#10344=LINE('',#10343,#10342); +#10345=DIRECTION('',(0.E0,0.E0,1.E0)); +#10346=VECTOR('',#10345,2.E-1); +#10347=CARTESIAN_POINT('',(1.612083332986E1,3.760638296604E0,-2.E1)); +#10348=LINE('',#10347,#10346); +#10349=DIRECTION('',(0.E0,0.E0,1.E0)); +#10350=VECTOR('',#10349,2.E-1); +#10351=CARTESIAN_POINT('',(1.620833334029E1,3.590425521135E0,-2.E1)); +#10352=LINE('',#10351,#10350); +#10353=DIRECTION('',(0.E0,0.E0,1.E0)); +#10354=VECTOR('',#10353,2.E-1); +#10355=CARTESIAN_POINT('',(1.6325E1,3.505319148302E0,-2.E1)); +#10356=LINE('',#10355,#10354); +#10357=DIRECTION('',(0.E0,0.E0,1.E0)); +#10358=VECTOR('',#10357,2.E-1); +#10359=CARTESIAN_POINT('',(1.655833331943E1,5.037234067917E0,-2.E1)); +#10360=LINE('',#10359,#10358); +#10361=DIRECTION('',(0.E0,0.E0,1.E0)); +#10362=VECTOR('',#10361,2.E-1); +#10363=CARTESIAN_POINT('',(1.644166668057E1,5.037234067917E0,-2.E1)); +#10364=LINE('',#10363,#10362); +#10365=DIRECTION('',(0.E0,0.E0,1.E0)); +#10366=VECTOR('',#10365,2.E-1); +#10367=CARTESIAN_POINT('',(1.6325E1,4.994680881500E0,-2.E1)); +#10368=LINE('',#10367,#10366); +#10369=DIRECTION('',(0.E0,0.E0,1.E0)); +#10370=VECTOR('',#10369,2.E-1); +#10371=CARTESIAN_POINT('',(1.620833334029E1,4.867021322250E0,-2.E1)); +#10372=LINE('',#10371,#10370); +#10373=DIRECTION('',(0.E0,0.E0,1.E0)); +#10374=VECTOR('',#10373,2.E-1); +#10375=CARTESIAN_POINT('',(1.615E1,4.739361643791E0,-2.E1)); +#10376=LINE('',#10375,#10374); +#10377=DIRECTION('',(0.E0,0.E0,1.E0)); +#10378=VECTOR('',#10377,2.E-1); +#10379=CARTESIAN_POINT('',(1.615E1,4.654255270958E0,-2.E1)); +#10380=LINE('',#10379,#10378); +#10381=DIRECTION('',(0.E0,0.E0,1.E0)); +#10382=VECTOR('',#10381,2.E-1); +#10383=CARTESIAN_POINT('',(1.620833334029E1,4.526595711708E0,-2.E1)); +#10384=LINE('',#10383,#10382); +#10385=DIRECTION('',(0.E0,0.E0,1.E0)); +#10386=VECTOR('',#10385,2.E-1); +#10387=CARTESIAN_POINT('',(1.6325E1,4.398936152458E0,-2.E1)); +#10388=LINE('',#10387,#10386); +#10389=DIRECTION('',(0.E0,0.E0,1.E0)); +#10390=VECTOR('',#10389,2.E-1); +#10391=CARTESIAN_POINT('',(1.644166668057E1,4.356382966042E0,-2.E1)); +#10392=LINE('',#10391,#10390); +#10393=DIRECTION('',(0.E0,0.E0,1.E0)); +#10394=VECTOR('',#10393,2.E-1); +#10395=CARTESIAN_POINT('',(1.655833331943E1,4.356382966042E0,-2.E1)); +#10396=LINE('',#10395,#10394); +#10397=DIRECTION('',(0.E0,0.E0,1.E0)); +#10398=VECTOR('',#10397,2.E-1); +#10399=CARTESIAN_POINT('',(1.6675E1,4.398936152458E0,-2.E1)); +#10400=LINE('',#10399,#10398); +#10401=DIRECTION('',(0.E0,0.E0,1.E0)); +#10402=VECTOR('',#10401,2.E-1); +#10403=CARTESIAN_POINT('',(1.679166663885E1,4.526595711708E0,-2.E1)); +#10404=LINE('',#10403,#10402); +#10405=DIRECTION('',(0.E0,0.E0,1.E0)); +#10406=VECTOR('',#10405,2.E-1); +#10407=CARTESIAN_POINT('',(1.685E1,4.654255270958E0,-2.E1)); +#10408=LINE('',#10407,#10406); +#10409=DIRECTION('',(0.E0,0.E0,1.E0)); +#10410=VECTOR('',#10409,2.E-1); +#10411=CARTESIAN_POINT('',(1.685E1,4.739361643791E0,-2.E1)); +#10412=LINE('',#10411,#10410); +#10413=DIRECTION('',(0.E0,1.332267629550E-14,1.E0)); +#10414=VECTOR('',#10413,2.E-1); +#10415=CARTESIAN_POINT('',(1.679166663885E1,4.867021322250E0,-2.E1)); +#10416=LINE('',#10415,#10414); +#10417=DIRECTION('',(0.E0,0.E0,1.E0)); +#10418=VECTOR('',#10417,2.E-1); +#10419=CARTESIAN_POINT('',(1.6675E1,4.994680881500E0,-2.E1)); +#10420=LINE('',#10419,#10418); +#10421=DIRECTION('',(0.E0,0.E0,1.E0)); +#10422=VECTOR('',#10421,2.E-1); +#10423=CARTESIAN_POINT('',(1.541666669250E1,-6.037234038115E0,-2.E1)); +#10424=LINE('',#10423,#10422); +#10425=DIRECTION('',(0.E0,0.E0,1.E0)); +#10426=VECTOR('',#10425,2.E-1); +#10427=CARTESIAN_POINT('',(1.6175E1,-4.973404288292E0,-2.E1)); +#10428=LINE('',#10427,#10426); +#10429=DIRECTION('',(0.E0,0.E0,1.E0)); +#10430=VECTOR('',#10429,2.E-1); +#10431=CARTESIAN_POINT('',(1.622916669250E1,-4.803191542625E0,-2.E1)); +#10432=LINE('',#10431,#10430); +#10433=DIRECTION('',(0.E0,0.E0,1.E0)); +#10434=VECTOR('',#10433,2.E-1); +#10435=CARTESIAN_POINT('',(1.622916669250E1,-4.718085050583E0,-2.E1)); +#10436=LINE('',#10435,#10434); +#10437=DIRECTION('',(0.E0,0.E0,1.E0)); +#10438=VECTOR('',#10437,2.E-1); +#10439=CARTESIAN_POINT('',(1.6175E1,-4.590425491333E0,-2.E1)); +#10440=LINE('',#10439,#10438); +#10441=DIRECTION('',(0.E0,0.E0,1.E0)); +#10442=VECTOR('',#10441,2.E-1); +#10443=CARTESIAN_POINT('',(1.609375E1,-4.505319118500E0,-2.E1)); +#10444=LINE('',#10443,#10442); +#10445=DIRECTION('',(0.E0,0.E0,1.E0)); +#10446=VECTOR('',#10445,2.E-1); +#10447=CARTESIAN_POINT('',(1.595833334625E1,-4.462765932083E0,-2.E1)); +#10448=LINE('',#10447,#10446); +#10449=DIRECTION('',(0.E0,0.E0,1.E0)); +#10450=VECTOR('',#10449,2.E-1); +#10451=CARTESIAN_POINT('',(1.585000003874E1,-4.462765932083E0,-2.E1)); +#10452=LINE('',#10451,#10450); +#10453=DIRECTION('',(0.E0,0.E0,1.E0)); +#10454=VECTOR('',#10453,2.E-1); +#10455=CARTESIAN_POINT('',(1.571458336562E1,-4.505319118500E0,-2.E1)); +#10456=LINE('',#10455,#10454); +#10457=DIRECTION('',(0.E0,0.E0,1.E0)); +#10458=VECTOR('',#10457,2.E-1); +#10459=CARTESIAN_POINT('',(1.563333336562E1,-4.590425491333E0,-2.E1)); +#10460=LINE('',#10459,#10458); +#10461=DIRECTION('',(0.E0,0.E0,1.E0)); +#10462=VECTOR('',#10461,2.E-1); +#10463=CARTESIAN_POINT('',(1.557916669250E1,-4.718085050583E0,-2.E1)); +#10464=LINE('',#10463,#10462); +#10465=DIRECTION('',(0.E0,0.E0,1.E0)); +#10466=VECTOR('',#10465,2.E-1); +#10467=CARTESIAN_POINT('',(1.544375002664E1,-4.718085050583E0,-2.E1)); +#10468=LINE('',#10467,#10466); +#10469=DIRECTION('',(0.E0,0.E0,1.E0)); +#10470=VECTOR('',#10469,2.E-1); +#10471=CARTESIAN_POINT('',(1.547083336078E1,-4.547872304916E0,-2.E1)); +#10472=LINE('',#10471,#10470); +#10473=DIRECTION('',(0.E0,0.E0,1.E0)); +#10474=VECTOR('',#10473,2.E-1); +#10475=CARTESIAN_POINT('',(1.557916669250E1,-4.377659559250E0,-2.E1)); +#10476=LINE('',#10475,#10474); +#10477=DIRECTION('',(0.E0,0.E0,1.E0)); +#10478=VECTOR('',#10477,2.E-1); +#10479=CARTESIAN_POINT('',(1.568750001937E1,-4.292553186417E0,-2.E1)); +#10480=LINE('',#10479,#10478); +#10481=DIRECTION('',(0.E0,0.E0,1.E0)); +#10482=VECTOR('',#10481,2.E-1); +#10483=CARTESIAN_POINT('',(1.587708334625E1,-4.25E0,-2.E1)); +#10484=LINE('',#10483,#10482); +#10485=DIRECTION('',(0.E0,0.E0,1.E0)); +#10486=VECTOR('',#10485,2.E-1); +#10487=CARTESIAN_POINT('',(1.598541669250E1,-4.25E0,-2.E1)); +#10488=LINE('',#10487,#10486); +#10489=DIRECTION('',(0.E0,0.E0,1.E0)); +#10490=VECTOR('',#10489,2.E-1); +#10491=CARTESIAN_POINT('',(1.614791669250E1,-4.292553186417E0,-2.E1)); +#10492=LINE('',#10491,#10490); +#10493=DIRECTION('',(0.E0,0.E0,1.E0)); +#10494=VECTOR('',#10493,2.E-1); +#10495=CARTESIAN_POINT('',(1.625625E1,-4.377659559250E0,-2.E1)); +#10496=LINE('',#10495,#10494); +#10497=DIRECTION('',(0.E0,0.E0,1.E0)); +#10498=VECTOR('',#10497,2.E-1); +#10499=CARTESIAN_POINT('',(1.636458338499E1,-4.547872304916E0,-2.E1)); +#10500=LINE('',#10499,#10498); +#10501=DIRECTION('',(0.E0,0.E0,1.E0)); +#10502=VECTOR('',#10501,2.E-1); +#10503=CARTESIAN_POINT('',(1.639166669250E1,-4.718085050583E0,-2.E1)); +#10504=LINE('',#10503,#10502); +#10505=DIRECTION('',(0.E0,0.E0,1.E0)); +#10506=VECTOR('',#10505,2.E-1); +#10507=CARTESIAN_POINT('',(1.639166669250E1,-4.803191542625E0,-2.E1)); +#10508=LINE('',#10507,#10506); +#10509=DIRECTION('',(0.E0,0.E0,1.E0)); +#10510=VECTOR('',#10509,2.E-1); +#10511=CARTESIAN_POINT('',(1.636458338499E1,-4.973404288292E0,-2.E1)); +#10512=LINE('',#10511,#10510); +#10513=DIRECTION('',(0.E0,0.E0,1.E0)); +#10514=VECTOR('',#10513,2.E-1); +#10515=CARTESIAN_POINT('',(1.631041669250E1,-5.101063847542E0,-2.E1)); +#10516=LINE('',#10515,#10514); +#10517=DIRECTION('',(0.E0,0.E0,1.E0)); +#10518=VECTOR('',#10517,2.E-1); +#10519=CARTESIAN_POINT('',(1.563333336562E1,-6.037234038115E0,-2.E1)); +#10520=LINE('',#10519,#10518); +#10521=DIRECTION('',(0.E0,0.E0,1.E0)); +#10522=VECTOR('',#10521,2.E-1); +#10523=CARTESIAN_POINT('',(1.639166669250E1,-6.037234038115E0,-2.E1)); +#10524=LINE('',#10523,#10522); +#10525=DIRECTION('',(0.E0,0.E0,1.E0)); +#10526=VECTOR('',#10525,2.E-1); +#10527=CARTESIAN_POINT('',(1.639166669250E1,-6.25E0,-2.E1)); +#10528=LINE('',#10527,#10526); +#10529=DIRECTION('',(0.E0,0.E0,1.E0)); +#10530=VECTOR('',#10529,2.E-1); +#10531=CARTESIAN_POINT('',(1.541666669250E1,-6.25E0,-2.E1)); +#10532=LINE('',#10531,#10530); +#10533=DIRECTION('',(0.E0,0.E0,1.E0)); +#10534=VECTOR('',#10533,2.E-1); +#10535=CARTESIAN_POINT('',(1.750208330750E1,-6.037234038115E0,-2.E1)); +#10536=LINE('',#10535,#10534); +#10537=DIRECTION('',(0.E0,0.E0,1.E0)); +#10538=VECTOR('',#10537,2.E-1); +#10539=CARTESIAN_POINT('',(1.742083330750E1,-6.122340425849E0,-2.E1)); +#10540=LINE('',#10539,#10538); +#10541=DIRECTION('',(0.E0,0.E0,1.E0)); +#10542=VECTOR('',#10541,2.E-1); +#10543=CARTESIAN_POINT('',(1.731250007749E1,-6.207446809858E0,-2.E1)); +#10544=LINE('',#10543,#10542); +#10545=DIRECTION('',(0.E0,0.E0,1.E0)); +#10546=VECTOR('',#10545,2.E-1); +#10547=CARTESIAN_POINT('',(1.720416669250E1,-6.25E0,-2.E1)); +#10548=LINE('',#10547,#10546); +#10549=DIRECTION('',(0.E0,0.E0,1.E0)); +#10550=VECTOR('',#10549,2.E-1); +#10551=CARTESIAN_POINT('',(1.698750007749E1,-6.25E0,-2.E1)); +#10552=LINE('',#10551,#10550); +#10553=DIRECTION('',(0.E0,0.E0,1.E0)); +#10554=VECTOR('',#10553,2.E-1); +#10555=CARTESIAN_POINT('',(1.687916669250E1,-6.207446809858E0,-2.E1)); +#10556=LINE('',#10555,#10554); +#10557=DIRECTION('',(0.E0,0.E0,1.E0)); +#10558=VECTOR('',#10557,2.E-1); +#10559=CARTESIAN_POINT('',(1.677083330750E1,-6.122340425849E0,-2.E1)); +#10560=LINE('',#10559,#10558); +#10561=DIRECTION('',(0.E0,0.E0,1.E0)); +#10562=VECTOR('',#10561,2.E-1); +#10563=CARTESIAN_POINT('',(1.668958338499E1,-6.037234038115E0,-2.E1)); +#10564=LINE('',#10563,#10562); +#10565=DIRECTION('',(0.E0,0.E0,1.E0)); +#10566=VECTOR('',#10565,2.E-1); +#10567=CARTESIAN_POINT('',(1.663541669250E1,-5.909574478865E0,-2.E1)); +#10568=LINE('',#10567,#10566); +#10569=DIRECTION('',(0.E0,0.E0,1.E0)); +#10570=VECTOR('',#10569,2.E-1); +#10571=CARTESIAN_POINT('',(1.660833338499E1,-5.781914889812E0,-2.E1)); +#10572=LINE('',#10571,#10570); +#10573=DIRECTION('',(0.E0,0.E0,1.E0)); +#10574=VECTOR('',#10573,2.E-1); +#10575=CARTESIAN_POINT('',(1.674375007749E1,-5.781914889812E0,-2.E1)); +#10576=LINE('',#10575,#10574); +#10577=DIRECTION('',(0.E0,0.E0,1.E0)); +#10578=VECTOR('',#10577,2.E-1); +#10579=CARTESIAN_POINT('',(1.677083330750E1,-5.867021262646E0,-2.E1)); +#10580=LINE('',#10579,#10578); +#10581=DIRECTION('',(0.E0,0.E0,1.E0)); +#10582=VECTOR('',#10581,2.E-1); +#10583=CARTESIAN_POINT('',(1.682500007749E1,-5.952127665281E0,-2.E1)); +#10584=LINE('',#10583,#10582); +#10585=DIRECTION('',(0.E0,0.E0,1.E0)); +#10586=VECTOR('',#10585,2.E-1); +#10587=CARTESIAN_POINT('',(1.687916669250E1,-5.994680851698E0,-2.E1)); +#10588=LINE('',#10587,#10586); +#10589=DIRECTION('',(0.E0,0.E0,1.E0)); +#10590=VECTOR('',#10589,2.E-1); +#10591=CARTESIAN_POINT('',(1.701458330750E1,-6.037234038115E0,-2.E1)); +#10592=LINE('',#10591,#10590); +#10593=DIRECTION('',(0.E0,0.E0,1.E0)); +#10594=VECTOR('',#10593,2.E-1); +#10595=CARTESIAN_POINT('',(1.717708330750E1,-6.037234038115E0,-2.E1)); +#10596=LINE('',#10595,#10594); +#10597=DIRECTION('',(0.E0,0.E0,1.E0)); +#10598=VECTOR('',#10597,2.E-1); +#10599=CARTESIAN_POINT('',(1.731250007749E1,-5.994680851698E0,-2.E1)); +#10600=LINE('',#10599,#10598); +#10601=DIRECTION('',(0.E0,0.E0,1.E0)); +#10602=VECTOR('',#10601,2.E-1); +#10603=CARTESIAN_POINT('',(1.736666669250E1,-5.952127665281E0,-2.E1)); +#10604=LINE('',#10603,#10602); +#10605=DIRECTION('',(0.E0,0.E0,1.E0)); +#10606=VECTOR('',#10605,2.E-1); +#10607=CARTESIAN_POINT('',(1.742083330750E1,-5.867021262646E0,-2.E1)); +#10608=LINE('',#10607,#10606); +#10609=DIRECTION('',(0.E0,0.E0,1.E0)); +#10610=VECTOR('',#10609,2.E-1); +#10611=CARTESIAN_POINT('',(1.744791669250E1,-5.781914889812E0,-2.E1)); +#10612=LINE('',#10611,#10610); +#10613=DIRECTION('',(0.E0,0.E0,1.E0)); +#10614=VECTOR('',#10613,2.E-1); +#10615=CARTESIAN_POINT('',(1.744791669250E1,-5.611702144146E0,-2.E1)); +#10616=LINE('',#10615,#10614); +#10617=DIRECTION('',(0.E0,0.E0,1.E0)); +#10618=VECTOR('',#10617,2.E-1); +#10619=CARTESIAN_POINT('',(1.739375007749E1,-5.441489338875E0,-2.E1)); +#10620=LINE('',#10619,#10618); +#10621=DIRECTION('',(0.E0,0.E0,1.E0)); +#10622=VECTOR('',#10621,2.E-1); +#10623=CARTESIAN_POINT('',(1.731250007749E1,-5.356382966042E0,-2.E1)); +#10624=LINE('',#10623,#10622); +#10625=DIRECTION('',(0.E0,0.E0,1.E0)); +#10626=VECTOR('',#10625,2.E-1); +#10627=CARTESIAN_POINT('',(1.715000007749E1,-5.313829779625E0,-2.E1)); +#10628=LINE('',#10627,#10626); +#10629=DIRECTION('',(0.E0,0.E0,1.E0)); +#10630=VECTOR('',#10629,2.E-1); +#10631=CARTESIAN_POINT('',(1.704166669250E1,-5.313829779625E0,-2.E1)); +#10632=LINE('',#10631,#10630); +#10633=DIRECTION('',(0.E0,0.E0,1.E0)); +#10634=VECTOR('',#10633,2.E-1); +#10635=CARTESIAN_POINT('',(1.704166669250E1,-5.143617033958E0,-2.E1)); +#10636=LINE('',#10635,#10634); +#10637=DIRECTION('',(0.E0,0.E0,1.E0)); +#10638=VECTOR('',#10637,2.E-1); +#10639=CARTESIAN_POINT('',(1.715000007749E1,-5.143617033958E0,-2.E1)); +#10640=LINE('',#10639,#10638); +#10641=DIRECTION('',(0.E0,0.E0,1.E0)); +#10642=VECTOR('',#10641,2.E-1); +#10643=CARTESIAN_POINT('',(1.731250007749E1,-5.058510661125E0,-2.E1)); +#10644=LINE('',#10643,#10642); +#10645=DIRECTION('',(0.E0,0.E0,1.E0)); +#10646=VECTOR('',#10645,2.E-1); +#10647=CARTESIAN_POINT('',(1.736666669250E1,-4.973404288292E0,-2.E1)); +#10648=LINE('',#10647,#10646); +#10649=DIRECTION('',(0.E0,0.E0,1.E0)); +#10650=VECTOR('',#10649,2.E-1); +#10651=CARTESIAN_POINT('',(1.742083330750E1,-4.803191542625E0,-2.E1)); +#10652=LINE('',#10651,#10650); +#10653=DIRECTION('',(0.E0,0.E0,1.E0)); +#10654=VECTOR('',#10653,2.E-1); +#10655=CARTESIAN_POINT('',(1.742083330750E1,-4.718085050583E0,-2.E1)); +#10656=LINE('',#10655,#10654); +#10657=DIRECTION('',(0.E0,0.E0,1.E0)); +#10658=VECTOR('',#10657,2.E-1); +#10659=CARTESIAN_POINT('',(1.736666669250E1,-4.590425491333E0,-2.E1)); +#10660=LINE('',#10659,#10658); +#10661=DIRECTION('',(0.E0,0.E0,1.E0)); +#10662=VECTOR('',#10661,2.E-1); +#10663=CARTESIAN_POINT('',(1.728541669250E1,-4.505319118500E0,-2.E1)); +#10664=LINE('',#10663,#10662); +#10665=DIRECTION('',(0.E0,0.E0,1.E0)); +#10666=VECTOR('',#10665,2.E-1); +#10667=CARTESIAN_POINT('',(1.715000007749E1,-4.462765932083E0,-2.E1)); +#10668=LINE('',#10667,#10666); +#10669=DIRECTION('',(0.E0,0.E0,1.E0)); +#10670=VECTOR('',#10669,2.E-1); +#10671=CARTESIAN_POINT('',(1.704166669250E1,-4.462765932083E0,-2.E1)); +#10672=LINE('',#10671,#10670); +#10673=DIRECTION('',(0.E0,0.E0,1.E0)); +#10674=VECTOR('',#10673,2.E-1); +#10675=CARTESIAN_POINT('',(1.690625007749E1,-4.505319118500E0,-2.E1)); +#10676=LINE('',#10675,#10674); +#10677=DIRECTION('',(0.E0,0.E0,1.E0)); +#10678=VECTOR('',#10677,2.E-1); +#10679=CARTESIAN_POINT('',(1.682500007749E1,-4.590425491333E0,-2.E1)); +#10680=LINE('',#10679,#10678); +#10681=DIRECTION('',(0.E0,0.E0,1.E0)); +#10682=VECTOR('',#10681,2.E-1); +#10683=CARTESIAN_POINT('',(1.677083330750E1,-4.718085050583E0,-2.E1)); +#10684=LINE('',#10683,#10682); +#10685=DIRECTION('',(0.E0,0.E0,1.E0)); +#10686=VECTOR('',#10685,2.E-1); +#10687=CARTESIAN_POINT('',(1.663541669250E1,-4.718085050583E0,-2.E1)); +#10688=LINE('',#10687,#10686); +#10689=DIRECTION('',(0.E0,0.E0,1.E0)); +#10690=VECTOR('',#10689,2.E-1); +#10691=CARTESIAN_POINT('',(1.66625E1,-4.547872304916E0,-2.E1)); +#10692=LINE('',#10691,#10690); +#10693=DIRECTION('',(0.E0,0.E0,1.E0)); +#10694=VECTOR('',#10693,2.E-1); +#10695=CARTESIAN_POINT('',(1.677083330750E1,-4.377659559250E0,-2.E1)); +#10696=LINE('',#10695,#10694); +#10697=DIRECTION('',(0.E0,0.E0,1.E0)); +#10698=VECTOR('',#10697,2.E-1); +#10699=CARTESIAN_POINT('',(1.687916669250E1,-4.292553186417E0,-2.E1)); +#10700=LINE('',#10699,#10698); +#10701=DIRECTION('',(0.E0,0.E0,1.E0)); +#10702=VECTOR('',#10701,2.E-1); +#10703=CARTESIAN_POINT('',(1.706875007749E1,-4.25E0,-2.E1)); +#10704=LINE('',#10703,#10702); +#10705=DIRECTION('',(0.E0,0.E0,1.E0)); +#10706=VECTOR('',#10705,2.E-1); +#10707=CARTESIAN_POINT('',(1.712291669250E1,-4.25E0,-2.E1)); +#10708=LINE('',#10707,#10706); +#10709=DIRECTION('',(0.E0,0.E0,1.E0)); +#10710=VECTOR('',#10709,2.E-1); +#10711=CARTESIAN_POINT('',(1.731250007749E1,-4.292553186417E0,-2.E1)); +#10712=LINE('',#10711,#10710); +#10713=DIRECTION('',(0.E0,0.E0,1.E0)); +#10714=VECTOR('',#10713,2.E-1); +#10715=CARTESIAN_POINT('',(1.742083330750E1,-4.377659559250E0,-2.E1)); +#10716=LINE('',#10715,#10714); +#10717=DIRECTION('',(0.E0,0.E0,1.E0)); +#10718=VECTOR('',#10717,2.E-1); +#10719=CARTESIAN_POINT('',(1.752916669250E1,-4.547872304916E0,-2.E1)); +#10720=LINE('',#10719,#10718); +#10721=DIRECTION('',(0.E0,0.E0,1.E0)); +#10722=VECTOR('',#10721,2.E-1); +#10723=CARTESIAN_POINT('',(1.755625007749E1,-4.718085050583E0,-2.E1)); +#10724=LINE('',#10723,#10722); +#10725=DIRECTION('',(0.E0,0.E0,1.E0)); +#10726=VECTOR('',#10725,2.E-1); +#10727=CARTESIAN_POINT('',(1.755625007749E1,-4.803191542625E0,-2.E1)); +#10728=LINE('',#10727,#10726); +#10729=DIRECTION('',(0.E0,0.E0,1.E0)); +#10730=VECTOR('',#10729,2.E-1); +#10731=CARTESIAN_POINT('',(1.752916669250E1,-4.973404288292E0,-2.E1)); +#10732=LINE('',#10731,#10730); +#10733=DIRECTION('',(1.776356839400E-14,0.E0,1.E0)); +#10734=VECTOR('',#10733,2.E-1); +#10735=CARTESIAN_POINT('',(1.747500007749E1,-5.101063847542E0,-2.E1)); +#10736=LINE('',#10735,#10734); +#10737=DIRECTION('',(0.E0,0.E0,1.E0)); +#10738=VECTOR('',#10737,2.E-1); +#10739=CARTESIAN_POINT('',(1.736666669250E1,-5.228723406792E0,-2.E1)); +#10740=LINE('',#10739,#10738); +#10741=DIRECTION('',(0.E0,0.E0,1.E0)); +#10742=VECTOR('',#10741,2.E-1); +#10743=CARTESIAN_POINT('',(1.750208330750E1,-5.313829779625E0,-2.E1)); +#10744=LINE('',#10743,#10742); +#10745=DIRECTION('',(0.E0,0.E0,1.E0)); +#10746=VECTOR('',#10745,2.E-1); +#10747=CARTESIAN_POINT('',(1.755625007749E1,-5.441489338875E0,-2.E1)); +#10748=LINE('',#10747,#10746); +#10749=DIRECTION('',(0.E0,0.E0,1.E0)); +#10750=VECTOR('',#10749,2.E-1); +#10751=CARTESIAN_POINT('',(1.758333330750E1,-5.611702144146E0,-2.E1)); +#10752=LINE('',#10751,#10750); +#10753=DIRECTION('',(0.E0,0.E0,1.E0)); +#10754=VECTOR('',#10753,2.E-1); +#10755=CARTESIAN_POINT('',(1.758333330750E1,-5.781914889812E0,-2.E1)); +#10756=LINE('',#10755,#10754); +#10757=DIRECTION('',(0.E0,0.E0,1.E0)); +#10758=VECTOR('',#10757,2.E-1); +#10759=CARTESIAN_POINT('',(1.755625007749E1,-5.909574478865E0,-2.E1)); +#10760=LINE('',#10759,#10758); +#10761=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10762=VECTOR('',#10761,7.525E0); +#10763=CARTESIAN_POINT('',(-1.2E1,6.5E-1,-1.2475E1)); +#10764=LINE('',#10763,#10762); +#10765=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10766=VECTOR('',#10765,7.525E0); +#10767=CARTESIAN_POINT('',(-1.2E1,-6.5E-1,-1.2475E1)); +#10768=LINE('',#10767,#10766); +#10769=CARTESIAN_POINT('',(-1.2E1,0.E0,-1.2475E1)); +#10770=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10771=DIRECTION('',(0.E0,1.E0,0.E0)); +#10772=AXIS2_PLACEMENT_3D('',#10769,#10770,#10771); +#10774=CARTESIAN_POINT('',(-1.2E1,0.E0,-1.2475E1)); +#10775=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10776=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10777=AXIS2_PLACEMENT_3D('',#10774,#10775,#10776); +#10779=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10780=VECTOR('',#10779,7.525E0); +#10781=CARTESIAN_POINT('',(-8.E0,6.5E-1,-1.2475E1)); +#10782=LINE('',#10781,#10780); +#10783=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10784=VECTOR('',#10783,7.525E0); +#10785=CARTESIAN_POINT('',(-8.E0,-6.5E-1,-1.2475E1)); +#10786=LINE('',#10785,#10784); +#10787=CARTESIAN_POINT('',(-8.E0,0.E0,-1.2475E1)); +#10788=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10789=DIRECTION('',(0.E0,1.E0,0.E0)); +#10790=AXIS2_PLACEMENT_3D('',#10787,#10788,#10789); +#10792=CARTESIAN_POINT('',(-8.E0,0.E0,-1.2475E1)); +#10793=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10794=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10795=AXIS2_PLACEMENT_3D('',#10792,#10793,#10794); +#10797=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10798=VECTOR('',#10797,7.525E0); +#10799=CARTESIAN_POINT('',(-4.E0,6.5E-1,-1.2475E1)); +#10800=LINE('',#10799,#10798); +#10801=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10802=VECTOR('',#10801,7.525E0); +#10803=CARTESIAN_POINT('',(-4.E0,-6.5E-1,-1.2475E1)); +#10804=LINE('',#10803,#10802); +#10805=CARTESIAN_POINT('',(-4.E0,0.E0,-1.2475E1)); +#10806=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10807=DIRECTION('',(0.E0,1.E0,0.E0)); +#10808=AXIS2_PLACEMENT_3D('',#10805,#10806,#10807); +#10810=CARTESIAN_POINT('',(-4.E0,0.E0,-1.2475E1)); +#10811=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10812=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10813=AXIS2_PLACEMENT_3D('',#10810,#10811,#10812); +#10815=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10816=VECTOR('',#10815,7.525E0); +#10817=CARTESIAN_POINT('',(5.676381681886E-14,6.5E-1,-1.2475E1)); +#10818=LINE('',#10817,#10816); +#10819=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10820=VECTOR('',#10819,7.525E0); +#10821=CARTESIAN_POINT('',(5.692302090275E-14,-6.5E-1,-1.2475E1)); +#10822=LINE('',#10821,#10820); +#10823=CARTESIAN_POINT('',(5.684341886081E-14,0.E0,-1.2475E1)); +#10824=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10825=DIRECTION('',(0.E0,1.E0,0.E0)); +#10826=AXIS2_PLACEMENT_3D('',#10823,#10824,#10825); +#10828=CARTESIAN_POINT('',(5.684341886081E-14,0.E0,-1.2475E1)); +#10829=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10830=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10831=AXIS2_PLACEMENT_3D('',#10828,#10829,#10830); +#10833=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10834=VECTOR('',#10833,7.525E0); +#10835=CARTESIAN_POINT('',(4.E0,6.5E-1,-1.2475E1)); +#10836=LINE('',#10835,#10834); +#10837=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10838=VECTOR('',#10837,7.525E0); +#10839=CARTESIAN_POINT('',(4.E0,-6.5E-1,-1.2475E1)); +#10840=LINE('',#10839,#10838); +#10841=CARTESIAN_POINT('',(4.E0,0.E0,-1.2475E1)); +#10842=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10843=DIRECTION('',(0.E0,1.E0,0.E0)); +#10844=AXIS2_PLACEMENT_3D('',#10841,#10842,#10843); +#10846=CARTESIAN_POINT('',(4.E0,0.E0,-1.2475E1)); +#10847=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10848=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10849=AXIS2_PLACEMENT_3D('',#10846,#10847,#10848); +#10851=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10852=VECTOR('',#10851,7.525E0); +#10853=CARTESIAN_POINT('',(8.E0,6.5E-1,-1.2475E1)); +#10854=LINE('',#10853,#10852); +#10855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10856=VECTOR('',#10855,7.525E0); +#10857=CARTESIAN_POINT('',(8.E0,-6.5E-1,-1.2475E1)); +#10858=LINE('',#10857,#10856); +#10859=CARTESIAN_POINT('',(8.E0,0.E0,-1.2475E1)); +#10860=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10861=DIRECTION('',(0.E0,1.E0,0.E0)); +#10862=AXIS2_PLACEMENT_3D('',#10859,#10860,#10861); +#10864=CARTESIAN_POINT('',(8.E0,0.E0,-1.2475E1)); +#10865=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10866=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10867=AXIS2_PLACEMENT_3D('',#10864,#10865,#10866); +#10869=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10870=VECTOR('',#10869,7.525E0); +#10871=CARTESIAN_POINT('',(1.2E1,6.5E-1,-1.2475E1)); +#10872=LINE('',#10871,#10870); +#10873=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10874=VECTOR('',#10873,7.525E0); +#10875=CARTESIAN_POINT('',(1.2E1,-6.5E-1,-1.2475E1)); +#10876=LINE('',#10875,#10874); +#10877=CARTESIAN_POINT('',(1.2E1,0.E0,-1.2475E1)); +#10878=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10879=DIRECTION('',(0.E0,1.E0,0.E0)); +#10880=AXIS2_PLACEMENT_3D('',#10877,#10878,#10879); +#10882=CARTESIAN_POINT('',(1.2E1,0.E0,-1.2475E1)); +#10883=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10884=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10885=AXIS2_PLACEMENT_3D('',#10882,#10883,#10884); +#10887=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10888=VECTOR('',#10887,7.525E0); +#10889=CARTESIAN_POINT('',(-1.4E1,-3.35E0,-1.2475E1)); +#10890=LINE('',#10889,#10888); +#10891=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10892=VECTOR('',#10891,7.525E0); +#10893=CARTESIAN_POINT('',(-1.4E1,-4.65E0,-1.2475E1)); +#10894=LINE('',#10893,#10892); +#10895=CARTESIAN_POINT('',(-1.4E1,-4.E0,-1.2475E1)); +#10896=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10897=DIRECTION('',(0.E0,1.E0,0.E0)); +#10898=AXIS2_PLACEMENT_3D('',#10895,#10896,#10897); +#10900=CARTESIAN_POINT('',(-1.4E1,-4.E0,-1.2475E1)); +#10901=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10902=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10903=AXIS2_PLACEMENT_3D('',#10900,#10901,#10902); +#10905=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10906=VECTOR('',#10905,7.525E0); +#10907=CARTESIAN_POINT('',(-1.E1,-3.35E0,-1.2475E1)); +#10908=LINE('',#10907,#10906); +#10909=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10910=VECTOR('',#10909,7.525E0); +#10911=CARTESIAN_POINT('',(-1.E1,-4.65E0,-1.2475E1)); +#10912=LINE('',#10911,#10910); +#10913=CARTESIAN_POINT('',(-1.E1,-4.E0,-1.2475E1)); +#10914=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10915=DIRECTION('',(0.E0,1.E0,0.E0)); +#10916=AXIS2_PLACEMENT_3D('',#10913,#10914,#10915); +#10918=CARTESIAN_POINT('',(-1.E1,-4.E0,-1.2475E1)); +#10919=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10920=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10921=AXIS2_PLACEMENT_3D('',#10918,#10919,#10920); +#10923=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10924=VECTOR('',#10923,7.525E0); +#10925=CARTESIAN_POINT('',(-6.E0,-3.35E0,-1.2475E1)); +#10926=LINE('',#10925,#10924); +#10927=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10928=VECTOR('',#10927,7.525E0); +#10929=CARTESIAN_POINT('',(-6.E0,-4.65E0,-1.2475E1)); +#10930=LINE('',#10929,#10928); +#10931=CARTESIAN_POINT('',(-6.E0,-4.E0,-1.2475E1)); +#10932=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10933=DIRECTION('',(0.E0,1.E0,0.E0)); +#10934=AXIS2_PLACEMENT_3D('',#10931,#10932,#10933); +#10936=CARTESIAN_POINT('',(-6.E0,-4.E0,-1.2475E1)); +#10937=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10938=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10939=AXIS2_PLACEMENT_3D('',#10936,#10937,#10938); +#10941=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10942=VECTOR('',#10941,7.525E0); +#10943=CARTESIAN_POINT('',(-2.E0,-3.35E0,-1.2475E1)); +#10944=LINE('',#10943,#10942); +#10945=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10946=VECTOR('',#10945,7.525E0); +#10947=CARTESIAN_POINT('',(-2.E0,-4.65E0,-1.2475E1)); +#10948=LINE('',#10947,#10946); +#10949=CARTESIAN_POINT('',(-2.E0,-4.E0,-1.2475E1)); +#10950=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10951=DIRECTION('',(0.E0,1.E0,0.E0)); +#10952=AXIS2_PLACEMENT_3D('',#10949,#10950,#10951); +#10954=CARTESIAN_POINT('',(-2.E0,-4.E0,-1.2475E1)); +#10955=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10956=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10957=AXIS2_PLACEMENT_3D('',#10954,#10955,#10956); +#10959=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10960=VECTOR('',#10959,7.525E0); +#10961=CARTESIAN_POINT('',(2.E0,-3.35E0,-1.2475E1)); +#10962=LINE('',#10961,#10960); +#10963=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10964=VECTOR('',#10963,7.525E0); +#10965=CARTESIAN_POINT('',(2.E0,-4.65E0,-1.2475E1)); +#10966=LINE('',#10965,#10964); +#10967=CARTESIAN_POINT('',(2.E0,-4.E0,-1.2475E1)); +#10968=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10969=DIRECTION('',(0.E0,1.E0,0.E0)); +#10970=AXIS2_PLACEMENT_3D('',#10967,#10968,#10969); +#10972=CARTESIAN_POINT('',(2.E0,-4.E0,-1.2475E1)); +#10973=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10974=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10975=AXIS2_PLACEMENT_3D('',#10972,#10973,#10974); +#10977=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10978=VECTOR('',#10977,7.525E0); +#10979=CARTESIAN_POINT('',(6.E0,-3.35E0,-1.2475E1)); +#10980=LINE('',#10979,#10978); +#10981=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10982=VECTOR('',#10981,7.525E0); +#10983=CARTESIAN_POINT('',(6.E0,-4.65E0,-1.2475E1)); +#10984=LINE('',#10983,#10982); +#10985=CARTESIAN_POINT('',(6.E0,-4.E0,-1.2475E1)); +#10986=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10987=DIRECTION('',(0.E0,1.E0,0.E0)); +#10988=AXIS2_PLACEMENT_3D('',#10985,#10986,#10987); +#10990=CARTESIAN_POINT('',(6.E0,-4.E0,-1.2475E1)); +#10991=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10992=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10993=AXIS2_PLACEMENT_3D('',#10990,#10991,#10992); +#10995=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10996=VECTOR('',#10995,7.525E0); +#10997=CARTESIAN_POINT('',(1.E1,-3.35E0,-1.2475E1)); +#10998=LINE('',#10997,#10996); +#10999=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11000=VECTOR('',#10999,7.525E0); +#11001=CARTESIAN_POINT('',(1.E1,-4.65E0,-1.2475E1)); +#11002=LINE('',#11001,#11000); +#11003=CARTESIAN_POINT('',(1.E1,-4.E0,-1.2475E1)); +#11004=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11005=DIRECTION('',(0.E0,1.E0,0.E0)); +#11006=AXIS2_PLACEMENT_3D('',#11003,#11004,#11005); +#11008=CARTESIAN_POINT('',(1.E1,-4.E0,-1.2475E1)); +#11009=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11010=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11011=AXIS2_PLACEMENT_3D('',#11008,#11009,#11010); +#11013=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11014=VECTOR('',#11013,7.525E0); +#11015=CARTESIAN_POINT('',(1.4E1,-3.35E0,-1.2475E1)); +#11016=LINE('',#11015,#11014); +#11017=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11018=VECTOR('',#11017,7.525E0); +#11019=CARTESIAN_POINT('',(1.4E1,-4.65E0,-1.2475E1)); +#11020=LINE('',#11019,#11018); +#11021=CARTESIAN_POINT('',(1.4E1,-4.E0,-1.2475E1)); +#11022=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11023=DIRECTION('',(0.E0,1.E0,0.E0)); +#11024=AXIS2_PLACEMENT_3D('',#11021,#11022,#11023); +#11026=CARTESIAN_POINT('',(1.4E1,-4.E0,-1.2475E1)); +#11027=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11028=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11029=AXIS2_PLACEMENT_3D('',#11026,#11027,#11028); +#11031=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11032=VECTOR('',#11031,1.950000002024E0); +#11033=CARTESIAN_POINT('',(-2.425E0,9.800000002027E0,-1.045E1)); +#11034=LINE('',#11033,#11032); +#11035=DIRECTION('',(0.E0,2.122138183621E-10,1.E0)); +#11036=VECTOR('',#11035,9.55E0); +#11037=CARTESIAN_POINT('',(-2.425E0,9.8E0,-2.E1)); +#11038=LINE('',#11037,#11036); +#11039=CARTESIAN_POINT('',(-1.975E0,9.8E0,-1.045E1)); +#11040=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11041=DIRECTION('',(0.E0,0.E0,1.E0)); +#11042=AXIS2_PLACEMENT_3D('',#11039,#11040,#11041); +#11044=CARTESIAN_POINT('',(-1.975E0,7.85E0,-1.045E1)); +#11045=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11046=DIRECTION('',(0.E0,0.E0,1.E0)); +#11047=AXIS2_PLACEMENT_3D('',#11044,#11045,#11046); +#11049=CARTESIAN_POINT('',(-1.975E0,7.85E0,-1.045E1)); +#11050=DIRECTION('',(0.E0,0.E0,1.E0)); +#11051=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11052=AXIS2_PLACEMENT_3D('',#11049,#11050,#11051); +#11054=DIRECTION('',(0.E0,2.246021867619E-13,1.E0)); +#11055=VECTOR('',#11054,9.55E0); +#11056=CARTESIAN_POINT('',(-2.425E0,7.85E0,-2.E1)); +#11057=LINE('',#11056,#11055); +#11058=DIRECTION('',(1.E0,0.E0,0.E0)); +#11059=VECTOR('',#11058,4.499999999885E-1); +#11060=CARTESIAN_POINT('',(-1.974999999991E0,7.4E0,-1.045E1)); +#11061=LINE('',#11060,#11059); +#11062=DIRECTION('',(9.805768762417E-13,0.E0,1.E0)); +#11063=VECTOR('',#11062,9.55E0); +#11064=CARTESIAN_POINT('',(-1.975E0,7.4E0,-2.E1)); +#11065=LINE('',#11064,#11063); +#11066=CARTESIAN_POINT('',(-1.975E0,7.85E0,-1.045E1)); +#11067=DIRECTION('',(1.E0,0.E0,0.E0)); +#11068=DIRECTION('',(0.E0,0.E0,1.E0)); +#11069=AXIS2_PLACEMENT_3D('',#11066,#11067,#11068); +#11071=CARTESIAN_POINT('',(-1.525E0,7.85E0,-1.045E1)); +#11072=DIRECTION('',(1.E0,0.E0,0.E0)); +#11073=DIRECTION('',(0.E0,0.E0,1.E0)); +#11074=AXIS2_PLACEMENT_3D('',#11071,#11072,#11073); +#11076=CARTESIAN_POINT('',(-1.525E0,7.85E0,-1.045E1)); +#11077=DIRECTION('',(0.E0,0.E0,1.E0)); +#11078=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11079=AXIS2_PLACEMENT_3D('',#11076,#11077,#11078); +#11081=DIRECTION('',(-2.243929300661E-13,0.E0,1.E0)); +#11082=VECTOR('',#11081,9.55E0); +#11083=CARTESIAN_POINT('',(-1.525E0,7.4E0,-2.E1)); +#11084=LINE('',#11083,#11082); +#11085=DIRECTION('',(0.E0,1.E0,0.E0)); +#11086=VECTOR('',#11085,1.950000000014E0); +#11087=CARTESIAN_POINT('',(-1.075E0,7.850000000009E0,-1.045E1)); +#11088=LINE('',#11087,#11086); +#11089=DIRECTION('',(0.E0,9.804373717779E-13,1.E0)); +#11090=VECTOR('',#11089,9.55E0); +#11091=CARTESIAN_POINT('',(-1.075E0,7.85E0,-2.E1)); +#11092=LINE('',#11091,#11090); +#11093=CARTESIAN_POINT('',(-2.925E0,1.03E1,-1.045E1)); +#11094=CARTESIAN_POINT('',(-2.887331340899E0,1.03E1,-1.041426437225E1)); +#11095=CARTESIAN_POINT('',(-2.814896574981E0,1.03E1,-1.034568856956E1)); +#11096=CARTESIAN_POINT('',(-2.713716286398E0,1.03E1,-1.025668122629E1)); +#11097=CARTESIAN_POINT('',(-2.612251873844E0,1.03E1,-1.017799687203E1)); +#11098=CARTESIAN_POINT('',(-2.503152657295E0,1.03E1,-1.010788787664E1)); +#11099=CARTESIAN_POINT('',(-2.382951604843E0,1.03E1,-1.004959066211E1)); +#11100=CARTESIAN_POINT('',(-2.252187150409E0,1.03E1,-1.000980251565E1)); +#11101=CARTESIAN_POINT('',(-2.162848387131E0,1.03E1,-1.E1)); +#11102=CARTESIAN_POINT('',(-2.117225278930E0,1.03E1,-1.E1)); +#11104=CARTESIAN_POINT('',(-2.925E0,9.8E0,-1.045E1)); +#11105=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11106=DIRECTION('',(0.E0,1.E0,0.E0)); +#11107=AXIS2_PLACEMENT_3D('',#11104,#11105,#11106); +#11109=CARTESIAN_POINT('',(7.5E-1,9.8E0,-1.045E1)); +#11110=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11111=DIRECTION('',(0.E0,1.E0,0.E0)); +#11112=AXIS2_PLACEMENT_3D('',#11109,#11110,#11111); +#11114=CARTESIAN_POINT('',(7.5E-1,1.03E1,-1.045E1)); +#11115=CARTESIAN_POINT('',(7.876860403504E-1,1.03E1,-1.041424788295E1)); +#11116=CARTESIAN_POINT('',(8.601482227755E-1,1.03E1,-1.034564652042E1)); +#11117=CARTESIAN_POINT('',(9.613451583979E-1,1.03E1,-1.025662981744E1)); +#11118=CARTESIAN_POINT('',(1.062813774769E0,1.03E1,-1.017794984399E1)); +#11119=CARTESIAN_POINT('',(1.171922226532E0,1.03E1,-1.010784546324E1)); +#11120=CARTESIAN_POINT('',(1.292122756562E0,1.03E1,-1.004956123184E1)); +#11121=CARTESIAN_POINT('',(1.422881224319E0,1.03E1,-1.000979017308E1)); +#11122=CARTESIAN_POINT('',(1.512178790192E0,1.03E1,-1.E1)); +#11123=CARTESIAN_POINT('',(1.557774721070E0,1.03E1,-1.E1)); +#11125=CARTESIAN_POINT('',(1.7E0,9.8E0,-1.045E1)); +#11126=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11127=DIRECTION('',(0.E0,0.E0,1.E0)); +#11128=AXIS2_PLACEMENT_3D('',#11125,#11126,#11127); +#11130=CARTESIAN_POINT('',(1.7E0,9.05E0,-1.045E1)); +#11131=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11132=DIRECTION('',(0.E0,0.E0,1.E0)); +#11133=AXIS2_PLACEMENT_3D('',#11130,#11131,#11132); +#11135=CARTESIAN_POINT('',(1.7E0,9.05E0,-1.045E1)); +#11136=DIRECTION('',(0.E0,0.E0,1.E0)); +#11137=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11138=AXIS2_PLACEMENT_3D('',#11135,#11136,#11137); +#11140=DIRECTION('',(0.E0,2.103727314515E-13,1.E0)); +#11141=VECTOR('',#11140,9.55E0); +#11142=CARTESIAN_POINT('',(1.25E0,9.05E0,-2.E1)); +#11143=LINE('',#11142,#11141); +#11144=DIRECTION('',(1.E0,-1.776356839594E-14,0.E0)); +#11145=VECTOR('',#11144,9.999999998911E-2); +#11146=CARTESIAN_POINT('',(1.700000000009E0,8.6E0,-1.045E1)); +#11147=LINE('',#11146,#11145); +#11148=DIRECTION('',(9.299600066164E-13,0.E0,1.E0)); +#11149=VECTOR('',#11148,9.55E0); +#11150=CARTESIAN_POINT('',(1.7E0,8.6E0,-2.E1)); +#11151=LINE('',#11150,#11149); +#11152=CARTESIAN_POINT('',(1.7E0,9.05E0,-1.045E1)); +#11153=DIRECTION('',(1.E0,0.E0,0.E0)); +#11154=DIRECTION('',(0.E0,0.E0,1.E0)); +#11155=AXIS2_PLACEMENT_3D('',#11152,#11153,#11154); +#11157=CARTESIAN_POINT('',(1.8E0,9.05E0,-1.045E1)); +#11158=DIRECTION('',(1.E0,0.E0,0.E0)); +#11159=DIRECTION('',(0.E0,0.E0,1.E0)); +#11160=AXIS2_PLACEMENT_3D('',#11157,#11158,#11159); +#11162=CARTESIAN_POINT('',(1.8E0,9.05E0,-1.045E1)); +#11163=DIRECTION('',(0.E0,0.E0,1.E0)); +#11164=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11165=AXIS2_PLACEMENT_3D('',#11162,#11163,#11164); +#11167=DIRECTION('',(-2.101402240118E-13,0.E0,1.E0)); +#11168=VECTOR('',#11167,9.55E0); +#11169=CARTESIAN_POINT('',(1.8E0,8.6E0,-2.E1)); +#11170=LINE('',#11169,#11168); +#11171=DIRECTION('',(0.E0,1.E0,0.E0)); +#11172=VECTOR('',#11171,7.500000000122E-1); +#11173=CARTESIAN_POINT('',(2.25E0,9.050000000009E0,-1.045E1)); +#11174=LINE('',#11173,#11172); +#11175=DIRECTION('',(0.E0,9.304017707518E-13,1.E0)); +#11176=VECTOR('',#11175,9.55E0); +#11177=CARTESIAN_POINT('',(2.25E0,9.05E0,-2.E1)); +#11178=LINE('',#11177,#11176); +#11179=CARTESIAN_POINT('',(1.8E0,9.05E0,-1.045E1)); +#11180=DIRECTION('',(0.E0,1.E0,0.E0)); +#11181=DIRECTION('',(0.E0,0.E0,1.E0)); +#11182=AXIS2_PLACEMENT_3D('',#11179,#11180,#11181); +#11184=CARTESIAN_POINT('',(1.8E0,9.8E0,-1.045E1)); +#11185=DIRECTION('',(0.E0,1.E0,0.E0)); +#11186=DIRECTION('',(0.E0,0.E0,1.E0)); +#11187=AXIS2_PLACEMENT_3D('',#11184,#11185,#11186); +#11189=CARTESIAN_POINT('',(1.942225278930E0,1.03E1,-1.E1)); +#11190=CARTESIAN_POINT('',(1.994587566839E0,1.03E1,-1.E1)); +#11191=CARTESIAN_POINT('',(2.100731142206E0,1.03E1,-1.001284056213E1)); +#11192=CARTESIAN_POINT('',(2.268218595939E0,1.03E1,-1.007311416779E1)); +#11193=CARTESIAN_POINT('',(2.431937166507E0,1.03E1,-1.017095470454E1)); +#11194=CARTESIAN_POINT('',(2.590455634727E0,1.03E1,-1.029889892728E1)); +#11195=CARTESIAN_POINT('',(2.695630598349E0,1.03E1,-1.039842065673E1)); +#11196=CARTESIAN_POINT('',(2.75E0,1.03E1,-1.045E1)); +#11198=CARTESIAN_POINT('',(2.75E0,9.8E0,-1.045E1)); +#11199=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11200=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11201=AXIS2_PLACEMENT_3D('',#11198,#11199,#11200); +#11203=DIRECTION('',(0.E0,2.210494730831E-12,1.E0)); +#11204=VECTOR('',#11203,9.55E0); +#11205=CARTESIAN_POINT('',(2.25E0,9.8E0,-2.E1)); +#11206=LINE('',#11205,#11204); +#11207=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11208=VECTOR('',#11207,7.500000020290E-1); +#11209=CARTESIAN_POINT('',(1.25E0,9.800000002031E0,-1.045E1)); +#11210=LINE('',#11209,#11208); +#11211=DIRECTION('',(0.E0,2.126747411106E-10,1.E0)); +#11212=VECTOR('',#11211,9.55E0); +#11213=CARTESIAN_POINT('',(1.25E0,9.8E0,-2.E1)); +#11214=LINE('',#11213,#11212); +#11215=CARTESIAN_POINT('',(4.25E0,9.8E0,-1.045E1)); +#11216=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11217=DIRECTION('',(0.E0,1.E0,0.E0)); +#11218=AXIS2_PLACEMENT_3D('',#11215,#11216,#11217); +#11220=CARTESIAN_POINT('',(4.25E0,1.03E1,-1.045E1)); +#11221=CARTESIAN_POINT('',(4.287686040350E0,1.03E1,-1.041424788295E1)); +#11222=CARTESIAN_POINT('',(4.360148222775E0,1.03E1,-1.034564652042E1)); +#11223=CARTESIAN_POINT('',(4.461345158398E0,1.03E1,-1.025662981744E1)); +#11224=CARTESIAN_POINT('',(4.562813774769E0,1.03E1,-1.017794984399E1)); +#11225=CARTESIAN_POINT('',(4.671922226532E0,1.03E1,-1.010784546324E1)); +#11226=CARTESIAN_POINT('',(4.792122756562E0,1.03E1,-1.004956123184E1)); +#11227=CARTESIAN_POINT('',(4.922881224319E0,1.03E1,-1.000979017308E1)); +#11228=CARTESIAN_POINT('',(5.012178790192E0,1.03E1,-1.E1)); +#11229=CARTESIAN_POINT('',(5.057774721070E0,1.03E1,-1.E1)); +#11231=CARTESIAN_POINT('',(5.2E0,9.8E0,-1.045E1)); +#11232=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11233=DIRECTION('',(0.E0,0.E0,1.E0)); +#11234=AXIS2_PLACEMENT_3D('',#11231,#11232,#11233); +#11236=CARTESIAN_POINT('',(5.2E0,9.05E0,-1.045E1)); +#11237=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11238=DIRECTION('',(0.E0,0.E0,1.E0)); +#11239=AXIS2_PLACEMENT_3D('',#11236,#11237,#11238); +#11241=CARTESIAN_POINT('',(5.2E0,9.05E0,-1.045E1)); +#11242=DIRECTION('',(0.E0,0.E0,1.E0)); +#11243=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11244=AXIS2_PLACEMENT_3D('',#11241,#11242,#11243); +#11246=DIRECTION('',(0.E0,2.092566957409E-13,1.E0)); +#11247=VECTOR('',#11246,9.55E0); +#11248=CARTESIAN_POINT('',(4.75E0,9.05E0,-2.E1)); +#11249=LINE('',#11248,#11247); +#11250=DIRECTION('',(1.E0,-1.776356839594E-14,0.E0)); +#11251=VECTOR('',#11250,9.999999998910E-2); +#11252=CARTESIAN_POINT('',(5.200000000009E0,8.6E0,-1.045E1)); +#11253=LINE('',#11252,#11251); +#11254=DIRECTION('',(9.299367558724E-13,0.E0,1.E0)); +#11255=VECTOR('',#11254,9.55E0); +#11256=CARTESIAN_POINT('',(5.2E0,8.6E0,-2.E1)); +#11257=LINE('',#11256,#11255); +#11258=CARTESIAN_POINT('',(5.2E0,9.05E0,-1.045E1)); +#11259=DIRECTION('',(1.E0,0.E0,0.E0)); +#11260=DIRECTION('',(0.E0,0.E0,1.E0)); +#11261=AXIS2_PLACEMENT_3D('',#11258,#11259,#11260); +#11263=CARTESIAN_POINT('',(5.3E0,9.05E0,-1.045E1)); +#11264=DIRECTION('',(1.E0,0.E0,0.E0)); +#11265=DIRECTION('',(0.E0,0.E0,1.E0)); +#11266=AXIS2_PLACEMENT_3D('',#11263,#11264,#11265); +#11268=CARTESIAN_POINT('',(5.3E0,9.05E0,-1.045E1)); +#11269=DIRECTION('',(0.E0,0.E0,1.E0)); +#11270=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11271=AXIS2_PLACEMENT_3D('',#11268,#11269,#11270); +#11273=DIRECTION('',(-2.102797284756E-13,0.E0,1.E0)); +#11274=VECTOR('',#11273,9.55E0); +#11275=CARTESIAN_POINT('',(5.3E0,8.6E0,-2.E1)); +#11276=LINE('',#11275,#11274); +#11277=DIRECTION('',(0.E0,1.E0,0.E0)); +#11278=VECTOR('',#11277,7.500000000122E-1); +#11279=CARTESIAN_POINT('',(5.75E0,9.050000000009E0,-1.045E1)); +#11280=LINE('',#11279,#11278); +#11281=DIRECTION('',(0.E0,9.302157648001E-13,1.E0)); +#11282=VECTOR('',#11281,9.55E0); +#11283=CARTESIAN_POINT('',(5.75E0,9.05E0,-2.E1)); +#11284=LINE('',#11283,#11282); +#11285=CARTESIAN_POINT('',(5.3E0,9.05E0,-1.045E1)); +#11286=DIRECTION('',(0.E0,1.E0,0.E0)); +#11287=DIRECTION('',(0.E0,0.E0,1.E0)); +#11288=AXIS2_PLACEMENT_3D('',#11285,#11286,#11287); +#11290=CARTESIAN_POINT('',(5.3E0,9.8E0,-1.045E1)); +#11291=DIRECTION('',(0.E0,1.E0,0.E0)); +#11292=DIRECTION('',(0.E0,0.E0,1.E0)); +#11293=AXIS2_PLACEMENT_3D('',#11290,#11291,#11292); +#11295=CARTESIAN_POINT('',(5.442225278930E0,1.03E1,-1.E1)); +#11296=CARTESIAN_POINT('',(5.494587566839E0,1.03E1,-1.E1)); +#11297=CARTESIAN_POINT('',(5.600731142206E0,1.03E1,-1.001284056213E1)); +#11298=CARTESIAN_POINT('',(5.768218595939E0,1.03E1,-1.007311416779E1)); +#11299=CARTESIAN_POINT('',(5.931937166507E0,1.03E1,-1.017095470454E1)); +#11300=CARTESIAN_POINT('',(6.090455634727E0,1.03E1,-1.029889892728E1)); +#11301=CARTESIAN_POINT('',(6.195630598349E0,1.03E1,-1.039842065673E1)); +#11302=CARTESIAN_POINT('',(6.25E0,1.03E1,-1.045E1)); +#11304=CARTESIAN_POINT('',(6.25E0,9.8E0,-1.045E1)); +#11305=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11306=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11307=AXIS2_PLACEMENT_3D('',#11304,#11305,#11306); +#11309=DIRECTION('',(0.E0,2.210494730831E-12,1.E0)); +#11310=VECTOR('',#11309,9.55E0); +#11311=CARTESIAN_POINT('',(5.75E0,9.8E0,-2.E1)); +#11312=LINE('',#11311,#11310); +#11313=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11314=VECTOR('',#11313,7.500000020290E-1); +#11315=CARTESIAN_POINT('',(4.75E0,9.800000002031E0,-1.045E1)); +#11316=LINE('',#11315,#11314); +#11317=DIRECTION('',(0.E0,2.126747411106E-10,1.E0)); +#11318=VECTOR('',#11317,9.55E0); +#11319=CARTESIAN_POINT('',(4.75E0,9.8E0,-2.E1)); +#11320=LINE('',#11319,#11318); +#11321=CARTESIAN_POINT('',(7.75E0,9.8E0,-1.045E1)); +#11322=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11323=DIRECTION('',(0.E0,1.E0,0.E0)); +#11324=AXIS2_PLACEMENT_3D('',#11321,#11322,#11323); +#11326=CARTESIAN_POINT('',(7.75E0,1.03E1,-1.045E1)); +#11327=CARTESIAN_POINT('',(7.787686040350E0,1.03E1,-1.041424788295E1)); +#11328=CARTESIAN_POINT('',(7.860148222775E0,1.03E1,-1.034564652042E1)); +#11329=CARTESIAN_POINT('',(7.961345158398E0,1.03E1,-1.025662981744E1)); +#11330=CARTESIAN_POINT('',(8.062813774769E0,1.03E1,-1.017794984399E1)); +#11331=CARTESIAN_POINT('',(8.171922226532E0,1.03E1,-1.010784546324E1)); +#11332=CARTESIAN_POINT('',(8.292122756562E0,1.03E1,-1.004956123184E1)); +#11333=CARTESIAN_POINT('',(8.422881224319E0,1.03E1,-1.000979017308E1)); +#11334=CARTESIAN_POINT('',(8.512178790192E0,1.03E1,-1.E1)); +#11335=CARTESIAN_POINT('',(8.557774721070E0,1.03E1,-1.E1)); +#11337=CARTESIAN_POINT('',(8.7E0,9.8E0,-1.045E1)); +#11338=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11339=DIRECTION('',(0.E0,0.E0,1.E0)); +#11340=AXIS2_PLACEMENT_3D('',#11337,#11338,#11339); +#11342=CARTESIAN_POINT('',(8.7E0,9.05E0,-1.045E1)); +#11343=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11344=DIRECTION('',(0.E0,0.E0,1.E0)); +#11345=AXIS2_PLACEMENT_3D('',#11342,#11343,#11344); +#11347=CARTESIAN_POINT('',(8.7E0,9.05E0,-1.045E1)); +#11348=DIRECTION('',(0.E0,0.E0,1.E0)); +#11349=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11350=AXIS2_PLACEMENT_3D('',#11347,#11348,#11349); +#11352=DIRECTION('',(0.E0,2.105587374033E-13,1.E0)); +#11353=VECTOR('',#11352,9.55E0); +#11354=CARTESIAN_POINT('',(8.25E0,9.05E0,-2.E1)); +#11355=LINE('',#11354,#11353); +#11356=DIRECTION('',(1.E0,-1.776356839594E-14,0.E0)); +#11357=VECTOR('',#11356,9.999999998910E-2); +#11358=CARTESIAN_POINT('',(8.700000000009E0,8.6E0,-1.045E1)); +#11359=LINE('',#11358,#11357); +#11360=DIRECTION('',(9.304017707518E-13,0.E0,1.E0)); +#11361=VECTOR('',#11360,9.55E0); +#11362=CARTESIAN_POINT('',(8.7E0,8.6E0,-2.E1)); +#11363=LINE('',#11362,#11361); +#11364=CARTESIAN_POINT('',(8.7E0,9.05E0,-1.045E1)); +#11365=DIRECTION('',(1.E0,0.E0,0.E0)); +#11366=DIRECTION('',(0.E0,0.E0,1.E0)); +#11367=AXIS2_PLACEMENT_3D('',#11364,#11365,#11366); +#11369=CARTESIAN_POINT('',(8.8E0,9.05E0,-1.045E1)); +#11370=DIRECTION('',(1.E0,0.E0,0.E0)); +#11371=DIRECTION('',(0.E0,0.E0,1.E0)); +#11372=AXIS2_PLACEMENT_3D('',#11369,#11370,#11371); +#11374=CARTESIAN_POINT('',(8.8E0,9.05E0,-1.045E1)); +#11375=DIRECTION('',(0.E0,0.E0,1.E0)); +#11376=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11377=AXIS2_PLACEMENT_3D('',#11374,#11375,#11376); +#11379=DIRECTION('',(-2.103727314515E-13,0.E0,1.E0)); +#11380=VECTOR('',#11379,9.55E0); +#11381=CARTESIAN_POINT('',(8.8E0,8.6E0,-2.E1)); +#11382=LINE('',#11381,#11380); +#11383=DIRECTION('',(0.E0,1.E0,0.E0)); +#11384=VECTOR('',#11383,7.500000000122E-1); +#11385=CARTESIAN_POINT('',(9.25E0,9.050000000009E0,-1.045E1)); +#11386=LINE('',#11385,#11384); +#11387=DIRECTION('',(0.E0,9.298437528965E-13,1.E0)); +#11388=VECTOR('',#11387,9.55E0); +#11389=CARTESIAN_POINT('',(9.25E0,9.05E0,-2.E1)); +#11390=LINE('',#11389,#11388); +#11391=CARTESIAN_POINT('',(8.8E0,9.05E0,-1.045E1)); +#11392=DIRECTION('',(0.E0,1.E0,0.E0)); +#11393=DIRECTION('',(0.E0,0.E0,1.E0)); +#11394=AXIS2_PLACEMENT_3D('',#11391,#11392,#11393); +#11396=CARTESIAN_POINT('',(8.8E0,9.8E0,-1.045E1)); +#11397=DIRECTION('',(0.E0,1.E0,0.E0)); +#11398=DIRECTION('',(0.E0,0.E0,1.E0)); +#11399=AXIS2_PLACEMENT_3D('',#11396,#11397,#11398); +#11401=CARTESIAN_POINT('',(8.942225278930E0,1.03E1,-1.E1)); +#11402=CARTESIAN_POINT('',(8.994587566839E0,1.03E1,-1.E1)); +#11403=CARTESIAN_POINT('',(9.100731142206E0,1.03E1,-1.001284056213E1)); +#11404=CARTESIAN_POINT('',(9.268218595939E0,1.03E1,-1.007311416779E1)); +#11405=CARTESIAN_POINT('',(9.431937166507E0,1.03E1,-1.017095470454E1)); +#11406=CARTESIAN_POINT('',(9.590455634727E0,1.03E1,-1.029889892728E1)); +#11407=CARTESIAN_POINT('',(9.695630598349E0,1.03E1,-1.039842065673E1)); +#11408=CARTESIAN_POINT('',(9.75E0,1.03E1,-1.045E1)); +#11410=CARTESIAN_POINT('',(9.75E0,9.8E0,-1.045E1)); +#11411=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11412=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11413=AXIS2_PLACEMENT_3D('',#11410,#11411,#11412); +#11415=DIRECTION('',(0.E0,2.210308724879E-12,1.E0)); +#11416=VECTOR('',#11415,9.55E0); +#11417=CARTESIAN_POINT('',(9.25E0,9.8E0,-2.E1)); +#11418=LINE('',#11417,#11416); +#11419=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11420=VECTOR('',#11419,7.500000020290E-1); +#11421=CARTESIAN_POINT('',(8.25E0,9.800000002031E0,-1.045E1)); +#11422=LINE('',#11421,#11420); +#11423=DIRECTION('',(0.E0,2.126747411106E-10,1.E0)); +#11424=VECTOR('',#11423,9.55E0); +#11425=CARTESIAN_POINT('',(8.25E0,9.8E0,-2.E1)); +#11426=LINE('',#11425,#11424); +#11427=CARTESIAN_POINT('',(1.125E1,9.8E0,-1.045E1)); +#11428=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11429=DIRECTION('',(0.E0,1.E0,0.E0)); +#11430=AXIS2_PLACEMENT_3D('',#11427,#11428,#11429); +#11432=CARTESIAN_POINT('',(1.125E1,1.03E1,-1.045E1)); +#11433=CARTESIAN_POINT('',(1.128768604035E1,1.03E1,-1.041424788295E1)); +#11434=CARTESIAN_POINT('',(1.136014822278E1,1.03E1,-1.034564652042E1)); +#11435=CARTESIAN_POINT('',(1.146134515840E1,1.03E1,-1.025662981744E1)); +#11436=CARTESIAN_POINT('',(1.156281377477E1,1.03E1,-1.017794984399E1)); +#11437=CARTESIAN_POINT('',(1.167192222653E1,1.03E1,-1.010784546324E1)); +#11438=CARTESIAN_POINT('',(1.179212275656E1,1.03E1,-1.004956123184E1)); +#11439=CARTESIAN_POINT('',(1.192288122432E1,1.03E1,-1.000979017308E1)); +#11440=CARTESIAN_POINT('',(1.201217879019E1,1.03E1,-1.E1)); +#11441=CARTESIAN_POINT('',(1.205777472107E1,1.03E1,-1.E1)); +#11443=CARTESIAN_POINT('',(1.22E1,9.8E0,-1.045E1)); +#11444=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11445=DIRECTION('',(0.E0,0.E0,1.E0)); +#11446=AXIS2_PLACEMENT_3D('',#11443,#11444,#11445); +#11448=CARTESIAN_POINT('',(1.22E1,9.05E0,-1.045E1)); +#11449=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11450=DIRECTION('',(0.E0,0.E0,1.E0)); +#11451=AXIS2_PLACEMENT_3D('',#11448,#11449,#11450); +#11453=CARTESIAN_POINT('',(1.22E1,9.05E0,-1.045E1)); +#11454=DIRECTION('',(0.E0,0.E0,1.E0)); +#11455=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11456=AXIS2_PLACEMENT_3D('',#11453,#11454,#11455); +#11458=DIRECTION('',(0.E0,2.107447433550E-13,1.E0)); +#11459=VECTOR('',#11458,9.55E0); +#11460=CARTESIAN_POINT('',(1.175E1,9.05E0,-2.E1)); +#11461=LINE('',#11460,#11459); +#11462=DIRECTION('',(1.E0,-1.776356839594E-14,0.E0)); +#11463=VECTOR('',#11462,9.999999998910E-2); +#11464=CARTESIAN_POINT('',(1.220000000001E1,8.6E0,-1.045E1)); +#11465=LINE('',#11464,#11463); +#11466=DIRECTION('',(9.305877767036E-13,0.E0,1.E0)); +#11467=VECTOR('',#11466,9.55E0); +#11468=CARTESIAN_POINT('',(1.22E1,8.6E0,-2.E1)); +#11469=LINE('',#11468,#11467); +#11470=CARTESIAN_POINT('',(1.22E1,9.05E0,-1.045E1)); +#11471=DIRECTION('',(1.E0,0.E0,0.E0)); +#11472=DIRECTION('',(0.E0,0.E0,1.E0)); +#11473=AXIS2_PLACEMENT_3D('',#11470,#11471,#11472); +#11475=CARTESIAN_POINT('',(1.23E1,9.05E0,-1.045E1)); +#11476=DIRECTION('',(1.E0,0.E0,0.E0)); +#11477=DIRECTION('',(0.E0,0.E0,1.E0)); +#11478=AXIS2_PLACEMENT_3D('',#11475,#11476,#11477); +#11480=CARTESIAN_POINT('',(1.23E1,9.05E0,-1.045E1)); +#11481=DIRECTION('',(0.E0,0.E0,1.E0)); +#11482=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11483=AXIS2_PLACEMENT_3D('',#11480,#11481,#11482); +#11485=DIRECTION('',(-2.105587374033E-13,0.E0,1.E0)); +#11486=VECTOR('',#11485,9.55E0); +#11487=CARTESIAN_POINT('',(1.23E1,8.6E0,-2.E1)); +#11488=LINE('',#11487,#11486); +#11489=DIRECTION('',(0.E0,1.E0,0.E0)); +#11490=VECTOR('',#11489,7.500000000122E-1); +#11491=CARTESIAN_POINT('',(1.275E1,9.050000000009E0,-1.045E1)); +#11492=LINE('',#11491,#11490); +#11493=DIRECTION('',(0.E0,9.298437528965E-13,1.E0)); +#11494=VECTOR('',#11493,9.55E0); +#11495=CARTESIAN_POINT('',(1.275E1,9.05E0,-2.E1)); +#11496=LINE('',#11495,#11494); +#11497=CARTESIAN_POINT('',(1.23E1,9.05E0,-1.045E1)); +#11498=DIRECTION('',(0.E0,1.E0,0.E0)); +#11499=DIRECTION('',(0.E0,0.E0,1.E0)); +#11500=AXIS2_PLACEMENT_3D('',#11497,#11498,#11499); +#11502=CARTESIAN_POINT('',(1.23E1,9.8E0,-1.045E1)); +#11503=DIRECTION('',(0.E0,1.E0,0.E0)); +#11504=DIRECTION('',(0.E0,0.E0,1.E0)); +#11505=AXIS2_PLACEMENT_3D('',#11502,#11503,#11504); +#11507=CARTESIAN_POINT('',(1.244222527893E1,1.03E1,-1.E1)); +#11508=CARTESIAN_POINT('',(1.249458756684E1,1.03E1,-1.E1)); +#11509=CARTESIAN_POINT('',(1.260073114221E1,1.03E1,-1.001284056213E1)); +#11510=CARTESIAN_POINT('',(1.276821859594E1,1.03E1,-1.007311416779E1)); +#11511=CARTESIAN_POINT('',(1.293193716651E1,1.03E1,-1.017095470454E1)); +#11512=CARTESIAN_POINT('',(1.309045563473E1,1.03E1,-1.029889892728E1)); +#11513=CARTESIAN_POINT('',(1.319563059835E1,1.03E1,-1.039842065673E1)); +#11514=CARTESIAN_POINT('',(1.325E1,1.03E1,-1.045E1)); +#11516=CARTESIAN_POINT('',(1.325E1,9.8E0,-1.045E1)); +#11517=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11518=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11519=AXIS2_PLACEMENT_3D('',#11516,#11517,#11518); +#11521=DIRECTION('',(0.E0,2.207890647506E-12,1.E0)); +#11522=VECTOR('',#11521,9.55E0); +#11523=CARTESIAN_POINT('',(1.275E1,9.8E0,-2.E1)); +#11524=LINE('',#11523,#11522); +#11525=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11526=VECTOR('',#11525,7.500000020497E-1); +#11527=CARTESIAN_POINT('',(1.175E1,9.800000002052E0,-1.045E1)); +#11528=LINE('',#11527,#11526); +#11529=DIRECTION('',(0.E0,2.148359442642E-10,1.E0)); +#11530=VECTOR('',#11529,9.55E0); +#11531=CARTESIAN_POINT('',(1.175E1,9.8E0,-2.E1)); +#11532=LINE('',#11531,#11530); +#11533=CARTESIAN_POINT('',(-1.325E1,9.8E0,-1.045E1)); +#11534=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11535=DIRECTION('',(0.E0,1.E0,0.E0)); +#11536=AXIS2_PLACEMENT_3D('',#11533,#11534,#11535); +#11538=CARTESIAN_POINT('',(-1.325E1,1.03E1,-1.045E1)); +#11539=CARTESIAN_POINT('',(-1.321231395965E1,1.03E1,-1.041424788295E1)); +#11540=CARTESIAN_POINT('',(-1.313985177722E1,1.03E1,-1.034564652042E1)); +#11541=CARTESIAN_POINT('',(-1.303865484160E1,1.03E1,-1.025662981744E1)); +#11542=CARTESIAN_POINT('',(-1.293718622523E1,1.03E1,-1.017794984399E1)); +#11543=CARTESIAN_POINT('',(-1.282807777347E1,1.03E1,-1.010784546324E1)); +#11544=CARTESIAN_POINT('',(-1.270787724344E1,1.03E1,-1.004956123184E1)); +#11545=CARTESIAN_POINT('',(-1.257711877568E1,1.03E1,-1.000979017308E1)); +#11546=CARTESIAN_POINT('',(-1.248782120981E1,1.03E1,-1.E1)); +#11547=CARTESIAN_POINT('',(-1.244222527893E1,1.03E1,-1.E1)); +#11549=CARTESIAN_POINT('',(-1.23E1,9.8E0,-1.045E1)); +#11550=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11551=DIRECTION('',(0.E0,0.E0,1.E0)); +#11552=AXIS2_PLACEMENT_3D('',#11549,#11550,#11551); +#11554=CARTESIAN_POINT('',(-1.23E1,9.05E0,-1.045E1)); +#11555=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11556=DIRECTION('',(0.E0,0.E0,1.E0)); +#11557=AXIS2_PLACEMENT_3D('',#11554,#11555,#11556); +#11559=CARTESIAN_POINT('',(-1.23E1,9.05E0,-1.045E1)); +#11560=DIRECTION('',(0.E0,0.E0,1.E0)); +#11561=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11562=AXIS2_PLACEMENT_3D('',#11559,#11560,#11561); +#11564=DIRECTION('',(0.E0,2.105587374033E-13,1.E0)); +#11565=VECTOR('',#11564,9.55E0); +#11566=CARTESIAN_POINT('',(-1.275E1,9.05E0,-2.E1)); +#11567=LINE('',#11566,#11565); +#11568=DIRECTION('',(1.E0,-1.776356839594E-14,-1.776356839594E-14)); +#11569=VECTOR('',#11568,9.999999998910E-2); +#11570=CARTESIAN_POINT('',(-1.229999999999E1,8.6E0,-1.045E1)); +#11571=LINE('',#11570,#11569); +#11572=DIRECTION('',(9.296577469448E-13,0.E0,1.E0)); +#11573=VECTOR('',#11572,9.55E0); +#11574=CARTESIAN_POINT('',(-1.23E1,8.6E0,-2.E1)); +#11575=LINE('',#11574,#11573); +#11576=CARTESIAN_POINT('',(-1.23E1,9.05E0,-1.045E1)); +#11577=DIRECTION('',(1.E0,0.E0,0.E0)); +#11578=DIRECTION('',(0.E0,0.E0,1.E0)); +#11579=AXIS2_PLACEMENT_3D('',#11576,#11577,#11578); +#11581=CARTESIAN_POINT('',(-1.22E1,9.05E0,-1.045E1)); +#11582=DIRECTION('',(1.E0,0.E0,0.E0)); +#11583=DIRECTION('',(0.E0,0.E0,1.E0)); +#11584=AXIS2_PLACEMENT_3D('',#11581,#11582,#11583); +#11586=CARTESIAN_POINT('',(-1.22E1,9.05E0,-1.045E1)); +#11587=DIRECTION('',(0.E0,0.E0,1.E0)); +#11588=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11589=AXIS2_PLACEMENT_3D('',#11586,#11587,#11588); +#11591=DIRECTION('',(-2.109307493068E-13,0.E0,1.E0)); +#11592=VECTOR('',#11591,9.55E0); +#11593=CARTESIAN_POINT('',(-1.22E1,8.6E0,-2.E1)); +#11594=LINE('',#11593,#11592); +#11595=DIRECTION('',(0.E0,1.E0,0.E0)); +#11596=VECTOR('',#11595,7.500000000122E-1); +#11597=CARTESIAN_POINT('',(-1.175E1,9.050000000009E0,-1.045E1)); +#11598=LINE('',#11597,#11596); +#11599=DIRECTION('',(0.E0,9.302157648001E-13,1.E0)); +#11600=VECTOR('',#11599,9.55E0); +#11601=CARTESIAN_POINT('',(-1.175E1,9.05E0,-2.E1)); +#11602=LINE('',#11601,#11600); +#11603=CARTESIAN_POINT('',(-1.22E1,9.05E0,-1.045E1)); +#11604=DIRECTION('',(0.E0,1.E0,0.E0)); +#11605=DIRECTION('',(0.E0,0.E0,1.E0)); +#11606=AXIS2_PLACEMENT_3D('',#11603,#11604,#11605); +#11608=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11609=VECTOR('',#11608,7.500000020497E-1); +#11610=CARTESIAN_POINT('',(-1.275E1,9.800000002052E0,-1.045E1)); +#11611=LINE('',#11610,#11609); +#11612=DIRECTION('',(0.E0,2.148359442642E-10,1.E0)); +#11613=VECTOR('',#11612,9.55E0); +#11614=CARTESIAN_POINT('',(-1.275E1,9.8E0,-2.E1)); +#11615=LINE('',#11614,#11613); +#11616=CARTESIAN_POINT('',(-9.75E0,9.8E0,-1.045E1)); +#11617=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11618=DIRECTION('',(0.E0,1.E0,0.E0)); +#11619=AXIS2_PLACEMENT_3D('',#11616,#11617,#11618); +#11621=CARTESIAN_POINT('',(-9.75E0,1.03E1,-1.045E1)); +#11622=CARTESIAN_POINT('',(-9.712313959650E0,1.03E1,-1.041424788295E1)); +#11623=CARTESIAN_POINT('',(-9.639851777225E0,1.03E1,-1.034564652042E1)); +#11624=CARTESIAN_POINT('',(-9.538654841602E0,1.03E1,-1.025662981744E1)); +#11625=CARTESIAN_POINT('',(-9.437186225231E0,1.03E1,-1.017794984399E1)); +#11626=CARTESIAN_POINT('',(-9.328077773468E0,1.03E1,-1.010784546324E1)); +#11627=CARTESIAN_POINT('',(-9.207877243438E0,1.03E1,-1.004956123184E1)); +#11628=CARTESIAN_POINT('',(-9.077118775681E0,1.03E1,-1.000979017308E1)); +#11629=CARTESIAN_POINT('',(-8.987821209808E0,1.03E1,-1.E1)); +#11630=CARTESIAN_POINT('',(-8.942225278930E0,1.03E1,-1.E1)); +#11632=CARTESIAN_POINT('',(-8.8E0,9.8E0,-1.045E1)); +#11633=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11634=DIRECTION('',(0.E0,0.E0,1.E0)); +#11635=AXIS2_PLACEMENT_3D('',#11632,#11633,#11634); +#11637=CARTESIAN_POINT('',(-8.8E0,9.05E0,-1.045E1)); +#11638=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11639=DIRECTION('',(0.E0,0.E0,1.E0)); +#11640=AXIS2_PLACEMENT_3D('',#11637,#11638,#11639); +#11642=CARTESIAN_POINT('',(-8.8E0,9.05E0,-1.045E1)); +#11643=DIRECTION('',(0.E0,0.E0,1.E0)); +#11644=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11645=AXIS2_PLACEMENT_3D('',#11642,#11643,#11644); +#11647=DIRECTION('',(0.E0,2.101867254997E-13,1.E0)); +#11648=VECTOR('',#11647,9.55E0); +#11649=CARTESIAN_POINT('',(-9.25E0,9.05E0,-2.E1)); +#11650=LINE('',#11649,#11648); +#11651=DIRECTION('',(1.E0,-1.776356839594E-14,0.E0)); +#11652=VECTOR('',#11651,9.999999998910E-2); +#11653=CARTESIAN_POINT('',(-8.799999999991E0,8.6E0,-1.045E1)); +#11654=LINE('',#11653,#11652); +#11655=DIRECTION('',(9.302157648001E-13,0.E0,1.E0)); +#11656=VECTOR('',#11655,9.55E0); +#11657=CARTESIAN_POINT('',(-8.8E0,8.6E0,-2.E1)); +#11658=LINE('',#11657,#11656); +#11659=CARTESIAN_POINT('',(-8.8E0,9.05E0,-1.045E1)); +#11660=DIRECTION('',(1.E0,0.E0,0.E0)); +#11661=DIRECTION('',(0.E0,0.E0,1.E0)); +#11662=AXIS2_PLACEMENT_3D('',#11659,#11660,#11661); +#11664=CARTESIAN_POINT('',(-8.7E0,9.05E0,-1.045E1)); +#11665=DIRECTION('',(1.E0,0.E0,0.E0)); +#11666=DIRECTION('',(0.E0,0.E0,1.E0)); +#11667=AXIS2_PLACEMENT_3D('',#11664,#11665,#11666); +#11669=CARTESIAN_POINT('',(-8.7E0,9.05E0,-1.045E1)); +#11670=DIRECTION('',(0.E0,0.E0,1.E0)); +#11671=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11672=AXIS2_PLACEMENT_3D('',#11669,#11670,#11671); +#11674=DIRECTION('',(-2.105587374033E-13,0.E0,1.E0)); +#11675=VECTOR('',#11674,9.55E0); +#11676=CARTESIAN_POINT('',(-8.7E0,8.6E0,-2.E1)); +#11677=LINE('',#11676,#11675); +#11678=DIRECTION('',(0.E0,1.E0,0.E0)); +#11679=VECTOR('',#11678,7.500000000122E-1); +#11680=CARTESIAN_POINT('',(-8.25E0,9.050000000009E0,-1.045E1)); +#11681=LINE('',#11680,#11679); +#11682=DIRECTION('',(0.E0,9.302157648001E-13,1.E0)); +#11683=VECTOR('',#11682,9.55E0); +#11684=CARTESIAN_POINT('',(-8.25E0,9.05E0,-2.E1)); +#11685=LINE('',#11684,#11683); +#11686=CARTESIAN_POINT('',(-8.7E0,9.05E0,-1.045E1)); +#11687=DIRECTION('',(0.E0,1.E0,0.E0)); +#11688=DIRECTION('',(0.E0,0.E0,1.E0)); +#11689=AXIS2_PLACEMENT_3D('',#11686,#11687,#11688); +#11691=CARTESIAN_POINT('',(-8.7E0,9.8E0,-1.045E1)); +#11692=DIRECTION('',(0.E0,1.E0,0.E0)); +#11693=DIRECTION('',(0.E0,0.E0,1.E0)); +#11694=AXIS2_PLACEMENT_3D('',#11691,#11692,#11693); +#11696=CARTESIAN_POINT('',(-8.557774721070E0,1.03E1,-1.E1)); +#11697=CARTESIAN_POINT('',(-8.505412433161E0,1.03E1,-1.E1)); +#11698=CARTESIAN_POINT('',(-8.399268857794E0,1.03E1,-1.001284056213E1)); +#11699=CARTESIAN_POINT('',(-8.231781404061E0,1.03E1,-1.007311416779E1)); +#11700=CARTESIAN_POINT('',(-8.068062833493E0,1.03E1,-1.017095470454E1)); +#11701=CARTESIAN_POINT('',(-7.909544365273E0,1.03E1,-1.029889892728E1)); +#11702=CARTESIAN_POINT('',(-7.804369401651E0,1.03E1,-1.039842065673E1)); +#11703=CARTESIAN_POINT('',(-7.75E0,1.03E1,-1.045E1)); +#11705=CARTESIAN_POINT('',(-7.75E0,9.8E0,-1.045E1)); +#11706=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11707=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11708=AXIS2_PLACEMENT_3D('',#11705,#11706,#11707); +#11710=DIRECTION('',(0.E0,2.210494730831E-12,1.E0)); +#11711=VECTOR('',#11710,9.55E0); +#11712=CARTESIAN_POINT('',(-8.25E0,9.8E0,-2.E1)); +#11713=LINE('',#11712,#11711); +#11714=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11715=VECTOR('',#11714,7.500000020290E-1); +#11716=CARTESIAN_POINT('',(-9.25E0,9.800000002031E0,-1.045E1)); +#11717=LINE('',#11716,#11715); +#11718=DIRECTION('',(0.E0,2.126747411106E-10,1.E0)); +#11719=VECTOR('',#11718,9.55E0); +#11720=CARTESIAN_POINT('',(-9.25E0,9.8E0,-2.E1)); +#11721=LINE('',#11720,#11719); +#11722=CARTESIAN_POINT('',(-6.25E0,9.8E0,-1.045E1)); +#11723=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11724=DIRECTION('',(0.E0,1.E0,0.E0)); +#11725=AXIS2_PLACEMENT_3D('',#11722,#11723,#11724); +#11727=CARTESIAN_POINT('',(-6.25E0,1.03E1,-1.045E1)); +#11728=CARTESIAN_POINT('',(-6.212313959650E0,1.03E1,-1.041424788295E1)); +#11729=CARTESIAN_POINT('',(-6.139851777225E0,1.03E1,-1.034564652042E1)); +#11730=CARTESIAN_POINT('',(-6.038654841602E0,1.03E1,-1.025662981744E1)); +#11731=CARTESIAN_POINT('',(-5.937186225231E0,1.03E1,-1.017794984399E1)); +#11732=CARTESIAN_POINT('',(-5.828077773468E0,1.03E1,-1.010784546324E1)); +#11733=CARTESIAN_POINT('',(-5.707877243438E0,1.03E1,-1.004956123184E1)); +#11734=CARTESIAN_POINT('',(-5.577118775681E0,1.03E1,-1.000979017308E1)); +#11735=CARTESIAN_POINT('',(-5.487821209808E0,1.03E1,-1.E1)); +#11736=CARTESIAN_POINT('',(-5.442225278930E0,1.03E1,-1.E1)); +#11738=CARTESIAN_POINT('',(-5.3E0,9.8E0,-1.045E1)); +#11739=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11740=DIRECTION('',(0.E0,0.E0,1.E0)); +#11741=AXIS2_PLACEMENT_3D('',#11738,#11739,#11740); +#11743=CARTESIAN_POINT('',(-5.3E0,9.05E0,-1.045E1)); +#11744=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11745=DIRECTION('',(0.E0,0.E0,1.E0)); +#11746=AXIS2_PLACEMENT_3D('',#11743,#11744,#11745); +#11748=CARTESIAN_POINT('',(-5.3E0,9.05E0,-1.045E1)); +#11749=DIRECTION('',(0.E0,0.E0,1.E0)); +#11750=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11751=AXIS2_PLACEMENT_3D('',#11748,#11749,#11750); +#11753=DIRECTION('',(0.E0,2.103727314515E-13,1.E0)); +#11754=VECTOR('',#11753,9.55E0); +#11755=CARTESIAN_POINT('',(-5.75E0,9.05E0,-2.E1)); +#11756=LINE('',#11755,#11754); +#11757=DIRECTION('',(1.E0,-1.776356839594E-14,0.E0)); +#11758=VECTOR('',#11757,9.999999998910E-2); +#11759=CARTESIAN_POINT('',(-5.299999999991E0,8.6E0,-1.045E1)); +#11760=LINE('',#11759,#11758); +#11761=DIRECTION('',(9.299367558724E-13,0.E0,1.E0)); +#11762=VECTOR('',#11761,9.55E0); +#11763=CARTESIAN_POINT('',(-5.3E0,8.6E0,-2.E1)); +#11764=LINE('',#11763,#11762); +#11765=CARTESIAN_POINT('',(-5.3E0,9.05E0,-1.045E1)); +#11766=DIRECTION('',(1.E0,0.E0,0.E0)); +#11767=DIRECTION('',(0.E0,0.E0,1.E0)); +#11768=AXIS2_PLACEMENT_3D('',#11765,#11766,#11767); +#11770=CARTESIAN_POINT('',(-5.2E0,9.05E0,-1.045E1)); +#11771=DIRECTION('',(1.E0,0.E0,0.E0)); +#11772=DIRECTION('',(0.E0,0.E0,1.E0)); +#11773=AXIS2_PLACEMENT_3D('',#11770,#11771,#11772); +#11775=CARTESIAN_POINT('',(-5.2E0,9.05E0,-1.045E1)); +#11776=DIRECTION('',(0.E0,0.E0,1.E0)); +#11777=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11778=AXIS2_PLACEMENT_3D('',#11775,#11776,#11777); +#11780=DIRECTION('',(-2.101867254997E-13,0.E0,1.E0)); +#11781=VECTOR('',#11780,9.55E0); +#11782=CARTESIAN_POINT('',(-5.2E0,8.6E0,-2.E1)); +#11783=LINE('',#11782,#11781); +#11784=DIRECTION('',(0.E0,1.E0,0.E0)); +#11785=VECTOR('',#11784,7.500000000122E-1); +#11786=CARTESIAN_POINT('',(-4.75E0,9.050000000009E0,-1.045E1)); +#11787=LINE('',#11786,#11785); +#11788=DIRECTION('',(0.E0,9.304017707518E-13,1.E0)); +#11789=VECTOR('',#11788,9.55E0); +#11790=CARTESIAN_POINT('',(-4.75E0,9.05E0,-2.E1)); +#11791=LINE('',#11790,#11789); +#11792=CARTESIAN_POINT('',(-5.2E0,9.05E0,-1.045E1)); +#11793=DIRECTION('',(0.E0,1.E0,0.E0)); +#11794=DIRECTION('',(0.E0,0.E0,1.E0)); +#11795=AXIS2_PLACEMENT_3D('',#11792,#11793,#11794); +#11797=CARTESIAN_POINT('',(-5.2E0,9.8E0,-1.045E1)); +#11798=DIRECTION('',(0.E0,1.E0,0.E0)); +#11799=DIRECTION('',(0.E0,0.E0,1.E0)); +#11800=AXIS2_PLACEMENT_3D('',#11797,#11798,#11799); +#11802=CARTESIAN_POINT('',(-5.057774721070E0,1.03E1,-1.E1)); +#11803=CARTESIAN_POINT('',(-5.005412433161E0,1.03E1,-1.E1)); +#11804=CARTESIAN_POINT('',(-4.899268857794E0,1.03E1,-1.001284056213E1)); +#11805=CARTESIAN_POINT('',(-4.731781404061E0,1.03E1,-1.007311416779E1)); +#11806=CARTESIAN_POINT('',(-4.568062833493E0,1.03E1,-1.017095470454E1)); +#11807=CARTESIAN_POINT('',(-4.409544365273E0,1.03E1,-1.029889892728E1)); +#11808=CARTESIAN_POINT('',(-4.304369401651E0,1.03E1,-1.039842065673E1)); +#11809=CARTESIAN_POINT('',(-4.25E0,1.03E1,-1.045E1)); +#11811=CARTESIAN_POINT('',(-4.25E0,9.8E0,-1.045E1)); +#11812=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11813=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11814=AXIS2_PLACEMENT_3D('',#11811,#11812,#11813); +#11816=DIRECTION('',(0.E0,2.210494730831E-12,1.E0)); +#11817=VECTOR('',#11816,9.55E0); +#11818=CARTESIAN_POINT('',(-4.75E0,9.8E0,-2.E1)); +#11819=LINE('',#11818,#11817); +#11820=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11821=VECTOR('',#11820,7.500000020290E-1); +#11822=CARTESIAN_POINT('',(-5.75E0,9.800000002031E0,-1.045E1)); +#11823=LINE('',#11822,#11821); +#11824=DIRECTION('',(0.E0,2.126747411106E-10,1.E0)); +#11825=VECTOR('',#11824,9.55E0); +#11826=CARTESIAN_POINT('',(-5.75E0,9.8E0,-2.E1)); +#11827=LINE('',#11826,#11825); +#11828=CARTESIAN_POINT('',(-1.55E1,1.083589838486E1,0.E0)); +#11829=CARTESIAN_POINT('',(-1.55E1,1.03E1,-2.E0)); +#11830=VERTEX_POINT('',#11828); +#11831=VERTEX_POINT('',#11829); +#11832=CARTESIAN_POINT('',(1.55E1,1.083589838486E1,0.E0)); +#11833=CARTESIAN_POINT('',(1.55E1,1.03E1,-2.E0)); +#11834=VERTEX_POINT('',#11832); +#11835=VERTEX_POINT('',#11833); +#11836=CARTESIAN_POINT('',(2.073589838486E1,5.6E0,0.E0)); +#11837=CARTESIAN_POINT('',(2.02E1,5.6E0,-2.E0)); +#11838=VERTEX_POINT('',#11836); +#11839=VERTEX_POINT('',#11837); +#11840=CARTESIAN_POINT('',(2.073589838486E1,-5.6E0,0.E0)); +#11841=CARTESIAN_POINT('',(2.02E1,-5.6E0,-2.E0)); +#11842=VERTEX_POINT('',#11840); +#11843=VERTEX_POINT('',#11841); +#11844=CARTESIAN_POINT('',(1.55E1,-1.083589838486E1,0.E0)); +#11845=CARTESIAN_POINT('',(1.55E1,-1.03E1,-2.E0)); +#11846=VERTEX_POINT('',#11844); +#11847=VERTEX_POINT('',#11845); +#11848=CARTESIAN_POINT('',(-1.55E1,-1.083589838486E1,0.E0)); +#11849=CARTESIAN_POINT('',(-1.55E1,-1.03E1,-2.E0)); +#11850=VERTEX_POINT('',#11848); +#11851=VERTEX_POINT('',#11849); +#11852=CARTESIAN_POINT('',(-2.073589838486E1,-5.6E0,0.E0)); +#11853=CARTESIAN_POINT('',(-2.02E1,-5.6E0,-2.E0)); +#11854=VERTEX_POINT('',#11852); +#11855=VERTEX_POINT('',#11853); +#11856=CARTESIAN_POINT('',(-2.073589838486E1,5.6E0,0.E0)); +#11857=CARTESIAN_POINT('',(-2.02E1,5.6E0,-2.E0)); +#11858=VERTEX_POINT('',#11856); +#11859=VERTEX_POINT('',#11857); +#11860=CARTESIAN_POINT('',(1.55E1,-1.18E1,-2.E1)); +#11861=CARTESIAN_POINT('',(-1.55E1,-1.18E1,-2.E1)); +#11862=VERTEX_POINT('',#11860); +#11863=VERTEX_POINT('',#11861); +#11864=CARTESIAN_POINT('',(-1.55E1,1.03E1,-2.E1)); +#11865=VERTEX_POINT('',#11864); +#11866=CARTESIAN_POINT('',(1.55E1,1.03E1,-2.E1)); +#11867=VERTEX_POINT('',#11866); +#11868=CARTESIAN_POINT('',(1.55E1,-1.03E1,-2.E1)); +#11869=VERTEX_POINT('',#11868); +#11870=CARTESIAN_POINT('',(-1.55E1,-1.03E1,-2.E1)); +#11871=VERTEX_POINT('',#11870); +#11872=CARTESIAN_POINT('',(1.55E1,1.18E1,-1.9275E1)); +#11873=CARTESIAN_POINT('',(2.164579409664E1,6.418055573740E0,-1.9275E1)); +#11874=VERTEX_POINT('',#11872); +#11875=VERTEX_POINT('',#11873); +#11876=CARTESIAN_POINT('',(2.17E1,-3.05E0,-1.9275E1)); +#11877=CARTESIAN_POINT('',(2.17E1,-5.6E0,-1.9275E1)); +#11878=VERTEX_POINT('',#11876); +#11879=VERTEX_POINT('',#11877); +#11880=CARTESIAN_POINT('',(1.899121888026E1,-1.072361110254E1,-1.9275E1)); +#11881=VERTEX_POINT('',#11880); +#11882=CARTESIAN_POINT('',(-1.899121888026E1,-1.072361110254E1,-1.9275E1)); +#11883=CARTESIAN_POINT('',(-2.17E1,-5.6E0,-1.9275E1)); +#11884=VERTEX_POINT('',#11882); +#11885=VERTEX_POINT('',#11883); +#11886=CARTESIAN_POINT('',(-2.17E1,-3.05E0,-1.9275E1)); +#11887=VERTEX_POINT('',#11886); +#11888=CARTESIAN_POINT('',(-2.164579409664E1,6.418055573740E0,-1.9275E1)); +#11889=CARTESIAN_POINT('',(-1.55E1,1.18E1,-1.9275E1)); +#11890=VERTEX_POINT('',#11888); +#11891=VERTEX_POINT('',#11889); +#11892=CARTESIAN_POINT('',(2.17E1,5.6E0,-2.E1)); +#11893=CARTESIAN_POINT('',(2.17E1,-3.05E0,-2.E1)); +#11894=VERTEX_POINT('',#11892); +#11895=VERTEX_POINT('',#11893); +#11896=CARTESIAN_POINT('',(1.899121886769E1,-1.072361111111E1,-2.E1)); +#11897=VERTEX_POINT('',#11896); +#11898=CARTESIAN_POINT('',(-1.899121886769E1,-1.072361111111E1,-2.E1)); +#11899=VERTEX_POINT('',#11898); +#11900=CARTESIAN_POINT('',(-2.17E1,-3.05E0,-2.E1)); +#11901=CARTESIAN_POINT('',(-2.17E1,5.6E0,-2.E1)); +#11902=VERTEX_POINT('',#11900); +#11903=VERTEX_POINT('',#11901); +#11904=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-5.4E0)); +#11905=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-5.4E0)); +#11906=VERTEX_POINT('',#11904); +#11907=VERTEX_POINT('',#11905); +#11908=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-5.4E0)); +#11909=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-5.4E0)); +#11910=VERTEX_POINT('',#11908); +#11911=VERTEX_POINT('',#11909); +#11912=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#11913=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.4E0)); +#11914=VERTEX_POINT('',#11912); +#11915=VERTEX_POINT('',#11913); +#11916=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.4E0)); +#11917=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.4E0)); +#11918=VERTEX_POINT('',#11916); +#11919=VERTEX_POINT('',#11917); +#11920=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-3.9E0)); +#11921=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-3.9E0)); +#11922=VERTEX_POINT('',#11920); +#11923=VERTEX_POINT('',#11921); +#11924=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-3.9E0)); +#11925=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-3.9E0)); +#11926=VERTEX_POINT('',#11924); +#11927=VERTEX_POINT('',#11925); +#11928=CARTESIAN_POINT('',(2.275E1,-1.095E1,-2.2E1)); +#11929=CARTESIAN_POINT('',(1.777E1,-1.095E1,-2.2E1)); +#11930=VERTEX_POINT('',#11928); +#11931=VERTEX_POINT('',#11929); +#11932=CARTESIAN_POINT('',(1.777E1,-1.16E1,-2.2E1)); +#11933=VERTEX_POINT('',#11932); +#11934=CARTESIAN_POINT('',(1.647E1,-1.16E1,-2.2E1)); +#11935=VERTEX_POINT('',#11934); +#11936=CARTESIAN_POINT('',(1.647E1,-1.095E1,-2.2E1)); +#11937=VERTEX_POINT('',#11936); +#11938=CARTESIAN_POINT('',(1.677E1,-1.065E1,-2.2E1)); +#11939=VERTEX_POINT('',#11938); +#11940=CARTESIAN_POINT('',(1.677E1,-9.55E0,-2.2E1)); +#11941=VERTEX_POINT('',#11940); +#11942=CARTESIAN_POINT('',(1.647E1,-9.25E0,-2.2E1)); +#11943=VERTEX_POINT('',#11942); +#11944=CARTESIAN_POINT('',(1.647E1,-8.1E0,-2.2E1)); +#11945=VERTEX_POINT('',#11944); +#11946=CARTESIAN_POINT('',(1.805E1,-5.568671030682E0,-2.2E1)); +#11947=VERTEX_POINT('',#11946); +#11948=CARTESIAN_POINT('',(2.275E1,-1.095E1,-2.85E1)); +#11949=VERTEX_POINT('',#11948); +#11950=CARTESIAN_POINT('',(2.275E1,-5.568671030682E0,-3.31E1)); +#11951=CARTESIAN_POINT('',(2.275E1,-7.218671030682E0,-3.31E1)); +#11952=VERTEX_POINT('',#11950); +#11953=VERTEX_POINT('',#11951); +#11954=CARTESIAN_POINT('',(2.275E1,-7.218671030682E0,-2.85E1)); +#11955=CARTESIAN_POINT('',(1.895E1,-7.218671030682E0,-2.85E1)); +#11956=VERTEX_POINT('',#11954); +#11957=VERTEX_POINT('',#11955); +#11958=CARTESIAN_POINT('',(1.895E1,-1.095E1,-2.85E1)); +#11959=VERTEX_POINT('',#11958); +#11960=CARTESIAN_POINT('',(-2.275E1,-7.218671030682E0,-3.31E1)); +#11961=CARTESIAN_POINT('',(-2.275E1,-5.568671030682E0,-3.31E1)); +#11962=VERTEX_POINT('',#11960); +#11963=VERTEX_POINT('',#11961); +#11964=CARTESIAN_POINT('',(-1.895E1,-1.095E1,-2.85E1)); +#11965=CARTESIAN_POINT('',(-1.895E1,-7.218671030682E0,-2.85E1)); +#11966=VERTEX_POINT('',#11964); +#11967=VERTEX_POINT('',#11965); +#11968=CARTESIAN_POINT('',(-2.275E1,-7.218671030682E0,-2.85E1)); +#11969=VERTEX_POINT('',#11968); +#11970=CARTESIAN_POINT('',(1.99E1,-7.218671030682E0,-3.13E1)); +#11971=CARTESIAN_POINT('',(2.19E1,-7.218671030682E0,-3.13E1)); +#11972=VERTEX_POINT('',#11970); +#11973=VERTEX_POINT('',#11971); +#11974=CARTESIAN_POINT('',(-2.19E1,-7.218671030682E0,-3.13E1)); +#11975=CARTESIAN_POINT('',(-1.99E1,-7.218671030682E0,-3.13E1)); +#11976=VERTEX_POINT('',#11974); +#11977=VERTEX_POINT('',#11975); +#11978=CARTESIAN_POINT('',(2.156E1,-1.356867103068E1,-3.205126559884E1)); +#11979=CARTESIAN_POINT('',(2.19E1,-1.356867103068E1,-3.13E1)); +#11980=VERTEX_POINT('',#11978); +#11981=VERTEX_POINT('',#11979); +#11982=CARTESIAN_POINT('',(2.156E1,-1.356867103068E1,-3.054873440116E1)); +#11983=VERTEX_POINT('',#11982); +#11984=CARTESIAN_POINT('',(-2.19E1,-1.356867103068E1,-3.13E1)); +#11985=CARTESIAN_POINT('',(-2.156E1,-1.356867103068E1,-3.205126559884E1)); +#11986=VERTEX_POINT('',#11984); +#11987=VERTEX_POINT('',#11985); +#11988=CARTESIAN_POINT('',(-2.156E1,-1.356867103068E1,-3.054873440116E1)); +#11989=VERTEX_POINT('',#11988); +#11990=CARTESIAN_POINT('',(2.206E1,-1.356867103068E1,-3.205126559884E1)); +#11991=CARTESIAN_POINT('',(2.206E1,-1.356867103068E1,-3.054873440116E1)); +#11992=VERTEX_POINT('',#11990); +#11993=VERTEX_POINT('',#11991); +#11994=CARTESIAN_POINT('',(-2.206E1,-1.356867103068E1,-3.054873440116E1)); +#11995=CARTESIAN_POINT('',(-2.206E1,-1.356867103068E1,-3.205126559884E1)); +#11996=VERTEX_POINT('',#11994); +#11997=VERTEX_POINT('',#11995); +#11998=CARTESIAN_POINT('',(-1.647E1,-8.1E0,-3.78E1)); +#11999=CARTESIAN_POINT('',(-1.647E1,-9.25E0,-3.78E1)); +#12000=VERTEX_POINT('',#11998); +#12001=VERTEX_POINT('',#11999); +#12002=CARTESIAN_POINT('',(-1.677E1,-9.55E0,-3.78E1)); +#12003=VERTEX_POINT('',#12002); +#12004=CARTESIAN_POINT('',(-1.677E1,-1.065E1,-3.78E1)); +#12005=VERTEX_POINT('',#12004); +#12006=CARTESIAN_POINT('',(-1.647E1,-1.095E1,-3.78E1)); +#12007=VERTEX_POINT('',#12006); +#12008=CARTESIAN_POINT('',(-1.647E1,-1.16E1,-3.78E1)); +#12009=VERTEX_POINT('',#12008); +#12010=CARTESIAN_POINT('',(-1.777E1,-1.16E1,-3.78E1)); +#12011=VERTEX_POINT('',#12010); +#12012=CARTESIAN_POINT('',(-1.777E1,-1.095E1,-3.78E1)); +#12013=VERTEX_POINT('',#12012); +#12014=CARTESIAN_POINT('',(-1.895E1,-1.095E1,-3.78E1)); +#12015=VERTEX_POINT('',#12014); +#12016=CARTESIAN_POINT('',(-1.895E1,-5.568671030682E0,-3.78E1)); +#12017=VERTEX_POINT('',#12016); +#12018=CARTESIAN_POINT('',(-1.805E1,-5.568671030682E0,-3.78E1)); +#12019=VERTEX_POINT('',#12018); +#12020=CARTESIAN_POINT('',(1.647E1,-8.1E0,-3.78E1)); +#12021=CARTESIAN_POINT('',(1.805E1,-5.568671030682E0,-3.78E1)); +#12022=VERTEX_POINT('',#12020); +#12023=VERTEX_POINT('',#12021); +#12024=CARTESIAN_POINT('',(1.895E1,-5.568671030682E0,-3.78E1)); +#12025=VERTEX_POINT('',#12024); +#12026=CARTESIAN_POINT('',(1.895E1,-1.095E1,-3.78E1)); +#12027=VERTEX_POINT('',#12026); +#12028=CARTESIAN_POINT('',(1.777E1,-1.095E1,-3.78E1)); +#12029=VERTEX_POINT('',#12028); +#12030=CARTESIAN_POINT('',(1.777E1,-1.16E1,-3.78E1)); +#12031=VERTEX_POINT('',#12030); +#12032=CARTESIAN_POINT('',(1.647E1,-1.16E1,-3.78E1)); +#12033=VERTEX_POINT('',#12032); +#12034=CARTESIAN_POINT('',(1.647E1,-1.095E1,-3.78E1)); +#12035=VERTEX_POINT('',#12034); +#12036=CARTESIAN_POINT('',(1.677E1,-1.065E1,-3.78E1)); +#12037=VERTEX_POINT('',#12036); +#12038=CARTESIAN_POINT('',(1.677E1,-9.55E0,-3.78E1)); +#12039=VERTEX_POINT('',#12038); +#12040=CARTESIAN_POINT('',(1.647E1,-9.25E0,-3.78E1)); +#12041=VERTEX_POINT('',#12040); +#12042=CARTESIAN_POINT('',(-1.895E1,-5.568671030682E0,-3.31E1)); +#12043=CARTESIAN_POINT('',(-1.895E1,-7.218671030682E0,-3.31E1)); +#12044=VERTEX_POINT('',#12042); +#12045=VERTEX_POINT('',#12043); +#12046=CARTESIAN_POINT('',(1.895E1,-5.568671030682E0,-3.31E1)); +#12047=CARTESIAN_POINT('',(1.895E1,-7.218671030682E0,-3.31E1)); +#12048=VERTEX_POINT('',#12046); +#12049=VERTEX_POINT('',#12047); +#12050=CARTESIAN_POINT('',(1.647E1,-1.095E1,-2.4E1)); +#12051=CARTESIAN_POINT('',(1.647E1,-1.095E1,-3.28E1)); +#12052=VERTEX_POINT('',#12050); +#12053=VERTEX_POINT('',#12051); +#12054=CARTESIAN_POINT('',(1.777E1,-1.095E1,-2.4E1)); +#12055=VERTEX_POINT('',#12054); +#12056=CARTESIAN_POINT('',(1.777E1,-1.16E1,-2.4E1)); +#12057=VERTEX_POINT('',#12056); +#12058=CARTESIAN_POINT('',(1.647E1,-1.16E1,-2.4E1)); +#12059=VERTEX_POINT('',#12058); +#12060=CARTESIAN_POINT('',(1.777E1,-1.095E1,-3.28E1)); +#12061=CARTESIAN_POINT('',(1.777E1,-1.16E1,-3.28E1)); +#12062=VERTEX_POINT('',#12060); +#12063=VERTEX_POINT('',#12061); +#12064=CARTESIAN_POINT('',(1.647E1,-1.16E1,-3.28E1)); +#12065=VERTEX_POINT('',#12064); +#12066=CARTESIAN_POINT('',(-1.777E1,-1.095E1,-3.28E1)); +#12067=CARTESIAN_POINT('',(-1.647E1,-1.095E1,-3.28E1)); +#12068=VERTEX_POINT('',#12066); +#12069=VERTEX_POINT('',#12067); +#12070=CARTESIAN_POINT('',(-1.647E1,-1.095E1,-2.4E1)); +#12071=CARTESIAN_POINT('',(-1.777E1,-1.095E1,-2.4E1)); +#12072=VERTEX_POINT('',#12070); +#12073=VERTEX_POINT('',#12071); +#12074=CARTESIAN_POINT('',(-1.67E1,7.500000000001E-1,-3.65E1)); +#12075=CARTESIAN_POINT('',(-1.67E1,3.876763209470E0,-3.425515488527E1)); +#12076=VERTEX_POINT('',#12074); +#12077=VERTEX_POINT('',#12075); +#12078=CARTESIAN_POINT('',(1.67E1,7.500000000001E-1,-3.65E1)); +#12079=CARTESIAN_POINT('',(1.67E1,3.876763209470E0,-3.425515488527E1)); +#12080=VERTEX_POINT('',#12078); +#12081=VERTEX_POINT('',#12079); +#12082=CARTESIAN_POINT('',(-1.67E1,-5.087498866778E0,-2.2E1)); +#12083=CARTESIAN_POINT('',(-1.67E1,-5.087498866778E0,-3.65E1)); +#12084=VERTEX_POINT('',#12082); +#12085=VERTEX_POINT('',#12083); +#12086=CARTESIAN_POINT('',(1.67E1,-5.087498866778E0,-2.2E1)); +#12087=CARTESIAN_POINT('',(1.67E1,-5.087498866778E0,-3.65E1)); +#12088=VERTEX_POINT('',#12086); +#12089=VERTEX_POINT('',#12087); +#12090=CARTESIAN_POINT('',(1.550625875692E1,-7.E0,-2.2E1)); +#12091=CARTESIAN_POINT('',(1.550625875692E1,-7.E0,-3.65E1)); +#12092=VERTEX_POINT('',#12090); +#12093=VERTEX_POINT('',#12091); +#12094=CARTESIAN_POINT('',(-1.550625875692E1,-7.E0,-2.2E1)); +#12095=CARTESIAN_POINT('',(-1.550625875692E1,-7.E0,-3.65E1)); +#12096=VERTEX_POINT('',#12094); +#12097=VERTEX_POINT('',#12095); +#12098=CARTESIAN_POINT('',(-1.4E1,4.65E0,-1.2475E1)); +#12099=CARTESIAN_POINT('',(-1.4E1,3.35E0,-1.2475E1)); +#12100=VERTEX_POINT('',#12098); +#12101=VERTEX_POINT('',#12099); +#12102=CARTESIAN_POINT('',(-1.4E1,-1.51E1,-3.645E1)); +#12103=CARTESIAN_POINT('',(-1.4E1,-1.51E1,-3.515E1)); +#12104=VERTEX_POINT('',#12102); +#12105=VERTEX_POINT('',#12103); +#12106=CARTESIAN_POINT('',(-1.4E1,4.65E0,-2.E1)); +#12107=CARTESIAN_POINT('',(-1.4E1,3.35E0,-2.E1)); +#12108=VERTEX_POINT('',#12106); +#12109=VERTEX_POINT('',#12107); +#12110=VERTEX_POINT('',#5958); +#12111=VERTEX_POINT('',#5978); +#12112=VERTEX_POINT('',#5980); +#12113=VERTEX_POINT('',#6000); +#12114=VERTEX_POINT('',#5496); +#12115=VERTEX_POINT('',#5494); +#12116=CARTESIAN_POINT('',(-1.4E1,4.65E0,-3.315E1)); +#12117=VERTEX_POINT('',#12116); +#12118=CARTESIAN_POINT('',(-1.4E1,1.35E0,-3.645E1)); +#12119=VERTEX_POINT('',#12118); +#12120=CARTESIAN_POINT('',(-1.4E1,-7.E0,-3.645E1)); +#12121=VERTEX_POINT('',#12120); +#12122=CARTESIAN_POINT('',(-1.4E1,-7.E0,-3.515E1)); +#12123=VERTEX_POINT('',#12122); +#12124=CARTESIAN_POINT('',(-1.2E1,6.5E-1,-1.2475E1)); +#12125=CARTESIAN_POINT('',(-1.2E1,-6.5E-1,-1.2475E1)); +#12126=VERTEX_POINT('',#12124); +#12127=VERTEX_POINT('',#12125); +#12128=CARTESIAN_POINT('',(-1.2E1,-1.51E1,-3.245E1)); +#12129=CARTESIAN_POINT('',(-1.2E1,-1.51E1,-3.115E1)); +#12130=VERTEX_POINT('',#12128); +#12131=VERTEX_POINT('',#12129); +#12132=CARTESIAN_POINT('',(-1.2E1,6.5E-1,-2.E1)); +#12133=CARTESIAN_POINT('',(-1.2E1,-6.5E-1,-2.E1)); +#12134=VERTEX_POINT('',#12132); +#12135=VERTEX_POINT('',#12133); +#12136=CARTESIAN_POINT('',(-1.2E1,-7.E0,-3.245E1)); +#12137=VERTEX_POINT('',#12136); +#12138=CARTESIAN_POINT('',(-1.2E1,-7.E0,-3.115E1)); +#12139=VERTEX_POINT('',#12138); +#12140=CARTESIAN_POINT('',(-1.4E1,-3.35E0,-1.2475E1)); +#12141=CARTESIAN_POINT('',(-1.4E1,-4.65E0,-1.2475E1)); +#12142=VERTEX_POINT('',#12140); +#12143=VERTEX_POINT('',#12141); +#12144=CARTESIAN_POINT('',(-1.4E1,-1.51E1,-2.845E1)); +#12145=CARTESIAN_POINT('',(-1.4E1,-1.51E1,-2.715E1)); +#12146=VERTEX_POINT('',#12144); +#12147=VERTEX_POINT('',#12145); +#12148=CARTESIAN_POINT('',(-1.4E1,-3.35E0,-2.E1)); +#12149=CARTESIAN_POINT('',(-1.4E1,-4.65E0,-2.E1)); +#12150=VERTEX_POINT('',#12148); +#12151=VERTEX_POINT('',#12149); +#12152=CARTESIAN_POINT('',(-1.4E1,-7.E0,-2.845E1)); +#12153=CARTESIAN_POINT('',(-1.4E1,-7.E0,-2.715E1)); +#12154=VERTEX_POINT('',#12152); +#12155=VERTEX_POINT('',#12153); +#12156=CARTESIAN_POINT('',(-1.E1,4.65E0,-1.2475E1)); +#12157=CARTESIAN_POINT('',(-1.E1,3.35E0,-1.2475E1)); +#12158=VERTEX_POINT('',#12156); +#12159=VERTEX_POINT('',#12157); +#12160=CARTESIAN_POINT('',(-1.E1,-1.51E1,-3.645E1)); +#12161=CARTESIAN_POINT('',(-1.E1,-1.51E1,-3.515E1)); +#12162=VERTEX_POINT('',#12160); +#12163=VERTEX_POINT('',#12161); +#12164=CARTESIAN_POINT('',(-1.E1,4.65E0,-2.E1)); +#12165=CARTESIAN_POINT('',(-1.E1,3.35E0,-2.E1)); +#12166=VERTEX_POINT('',#12164); +#12167=VERTEX_POINT('',#12165); +#12168=VERTEX_POINT('',#5914); +#12169=VERTEX_POINT('',#5934); +#12170=VERTEX_POINT('',#5936); +#12171=VERTEX_POINT('',#5956); +#12172=VERTEX_POINT('',#5514); +#12173=VERTEX_POINT('',#5512); +#12174=CARTESIAN_POINT('',(-1.E1,4.65E0,-3.315E1)); +#12175=VERTEX_POINT('',#12174); +#12176=CARTESIAN_POINT('',(-1.E1,1.35E0,-3.645E1)); +#12177=VERTEX_POINT('',#12176); +#12178=CARTESIAN_POINT('',(-1.E1,-7.E0,-3.645E1)); +#12179=VERTEX_POINT('',#12178); +#12180=CARTESIAN_POINT('',(-1.E1,-7.E0,-3.515E1)); +#12181=VERTEX_POINT('',#12180); +#12182=CARTESIAN_POINT('',(-6.E0,4.65E0,-1.2475E1)); +#12183=CARTESIAN_POINT('',(-6.E0,3.35E0,-1.2475E1)); +#12184=VERTEX_POINT('',#12182); +#12185=VERTEX_POINT('',#12183); +#12186=CARTESIAN_POINT('',(-6.E0,-1.51E1,-3.645E1)); +#12187=CARTESIAN_POINT('',(-6.E0,-1.51E1,-3.515E1)); +#12188=VERTEX_POINT('',#12186); +#12189=VERTEX_POINT('',#12187); +#12190=CARTESIAN_POINT('',(-6.E0,4.65E0,-2.E1)); +#12191=CARTESIAN_POINT('',(-6.E0,3.35E0,-2.E1)); +#12192=VERTEX_POINT('',#12190); +#12193=VERTEX_POINT('',#12191); +#12194=VERTEX_POINT('',#5870); +#12195=VERTEX_POINT('',#5890); +#12196=VERTEX_POINT('',#5892); +#12197=VERTEX_POINT('',#5912); +#12198=VERTEX_POINT('',#5532); +#12199=VERTEX_POINT('',#5530); +#12200=CARTESIAN_POINT('',(-6.E0,4.65E0,-3.315E1)); +#12201=VERTEX_POINT('',#12200); +#12202=CARTESIAN_POINT('',(-6.E0,1.35E0,-3.645E1)); +#12203=VERTEX_POINT('',#12202); +#12204=CARTESIAN_POINT('',(-6.E0,-7.E0,-3.645E1)); +#12205=VERTEX_POINT('',#12204); +#12206=CARTESIAN_POINT('',(-6.E0,-7.E0,-3.515E1)); +#12207=VERTEX_POINT('',#12206); +#12208=CARTESIAN_POINT('',(-2.E0,4.65E0,-1.2475E1)); +#12209=CARTESIAN_POINT('',(-2.E0,3.35E0,-1.2475E1)); +#12210=VERTEX_POINT('',#12208); +#12211=VERTEX_POINT('',#12209); +#12212=CARTESIAN_POINT('',(-2.E0,-1.51E1,-3.645E1)); +#12213=CARTESIAN_POINT('',(-2.E0,-1.51E1,-3.515E1)); +#12214=VERTEX_POINT('',#12212); +#12215=VERTEX_POINT('',#12213); +#12216=CARTESIAN_POINT('',(-2.E0,4.65E0,-2.E1)); +#12217=CARTESIAN_POINT('',(-2.E0,3.35E0,-2.E1)); +#12218=VERTEX_POINT('',#12216); +#12219=VERTEX_POINT('',#12217); +#12220=VERTEX_POINT('',#5826); +#12221=VERTEX_POINT('',#5846); +#12222=VERTEX_POINT('',#5848); +#12223=VERTEX_POINT('',#5868); +#12224=VERTEX_POINT('',#5550); +#12225=VERTEX_POINT('',#5548); +#12226=CARTESIAN_POINT('',(-2.E0,4.65E0,-3.315E1)); +#12227=VERTEX_POINT('',#12226); +#12228=CARTESIAN_POINT('',(-2.E0,1.35E0,-3.645E1)); +#12229=VERTEX_POINT('',#12228); +#12230=CARTESIAN_POINT('',(-2.E0,-7.E0,-3.645E1)); +#12231=VERTEX_POINT('',#12230); +#12232=CARTESIAN_POINT('',(-2.E0,-7.E0,-3.515E1)); +#12233=VERTEX_POINT('',#12232); +#12234=CARTESIAN_POINT('',(2.E0,4.65E0,-1.2475E1)); +#12235=CARTESIAN_POINT('',(2.E0,3.35E0,-1.2475E1)); +#12236=VERTEX_POINT('',#12234); +#12237=VERTEX_POINT('',#12235); +#12238=CARTESIAN_POINT('',(2.E0,-1.51E1,-3.645E1)); +#12239=CARTESIAN_POINT('',(2.E0,-1.51E1,-3.515E1)); +#12240=VERTEX_POINT('',#12238); +#12241=VERTEX_POINT('',#12239); +#12242=CARTESIAN_POINT('',(2.E0,4.65E0,-2.E1)); +#12243=CARTESIAN_POINT('',(2.E0,3.35E0,-2.E1)); +#12244=VERTEX_POINT('',#12242); +#12245=VERTEX_POINT('',#12243); +#12246=VERTEX_POINT('',#5782); +#12247=VERTEX_POINT('',#5802); +#12248=VERTEX_POINT('',#5804); +#12249=VERTEX_POINT('',#5824); +#12250=VERTEX_POINT('',#5568); +#12251=VERTEX_POINT('',#5566); +#12252=CARTESIAN_POINT('',(2.E0,4.65E0,-3.315E1)); +#12253=VERTEX_POINT('',#12252); +#12254=CARTESIAN_POINT('',(2.E0,1.35E0,-3.645E1)); +#12255=VERTEX_POINT('',#12254); +#12256=CARTESIAN_POINT('',(2.E0,-7.E0,-3.645E1)); +#12257=VERTEX_POINT('',#12256); +#12258=CARTESIAN_POINT('',(2.E0,-7.E0,-3.515E1)); +#12259=VERTEX_POINT('',#12258); +#12260=CARTESIAN_POINT('',(6.E0,4.65E0,-1.2475E1)); +#12261=CARTESIAN_POINT('',(6.E0,3.35E0,-1.2475E1)); +#12262=VERTEX_POINT('',#12260); +#12263=VERTEX_POINT('',#12261); +#12264=CARTESIAN_POINT('',(6.E0,-1.51E1,-3.645E1)); +#12265=CARTESIAN_POINT('',(6.E0,-1.51E1,-3.515E1)); +#12266=VERTEX_POINT('',#12264); +#12267=VERTEX_POINT('',#12265); +#12268=CARTESIAN_POINT('',(6.E0,4.65E0,-2.E1)); +#12269=CARTESIAN_POINT('',(6.E0,3.35E0,-2.E1)); +#12270=VERTEX_POINT('',#12268); +#12271=VERTEX_POINT('',#12269); +#12272=VERTEX_POINT('',#5738); +#12273=VERTEX_POINT('',#5758); +#12274=VERTEX_POINT('',#5760); +#12275=VERTEX_POINT('',#5780); +#12276=VERTEX_POINT('',#5586); +#12277=VERTEX_POINT('',#5584); +#12278=CARTESIAN_POINT('',(6.E0,4.65E0,-3.315E1)); +#12279=VERTEX_POINT('',#12278); +#12280=CARTESIAN_POINT('',(6.E0,1.35E0,-3.645E1)); +#12281=VERTEX_POINT('',#12280); +#12282=CARTESIAN_POINT('',(6.E0,-7.E0,-3.645E1)); +#12283=VERTEX_POINT('',#12282); +#12284=CARTESIAN_POINT('',(6.E0,-7.E0,-3.515E1)); +#12285=VERTEX_POINT('',#12284); +#12286=CARTESIAN_POINT('',(1.E1,4.65E0,-1.2475E1)); +#12287=CARTESIAN_POINT('',(1.E1,3.35E0,-1.2475E1)); +#12288=VERTEX_POINT('',#12286); +#12289=VERTEX_POINT('',#12287); +#12290=CARTESIAN_POINT('',(1.E1,-1.51E1,-3.645E1)); +#12291=CARTESIAN_POINT('',(1.E1,-1.51E1,-3.515E1)); +#12292=VERTEX_POINT('',#12290); +#12293=VERTEX_POINT('',#12291); +#12294=CARTESIAN_POINT('',(1.E1,4.65E0,-2.E1)); +#12295=CARTESIAN_POINT('',(1.E1,3.35E0,-2.E1)); +#12296=VERTEX_POINT('',#12294); +#12297=VERTEX_POINT('',#12295); +#12298=VERTEX_POINT('',#5694); +#12299=VERTEX_POINT('',#5714); +#12300=VERTEX_POINT('',#5716); +#12301=VERTEX_POINT('',#5736); +#12302=VERTEX_POINT('',#5604); +#12303=VERTEX_POINT('',#5602); +#12304=CARTESIAN_POINT('',(1.E1,4.65E0,-3.315E1)); +#12305=VERTEX_POINT('',#12304); +#12306=CARTESIAN_POINT('',(1.E1,1.35E0,-3.645E1)); +#12307=VERTEX_POINT('',#12306); +#12308=CARTESIAN_POINT('',(1.E1,-7.E0,-3.645E1)); +#12309=VERTEX_POINT('',#12308); +#12310=CARTESIAN_POINT('',(1.E1,-7.E0,-3.515E1)); +#12311=VERTEX_POINT('',#12310); +#12312=CARTESIAN_POINT('',(1.4E1,4.65E0,-1.2475E1)); +#12313=CARTESIAN_POINT('',(1.4E1,3.35E0,-1.2475E1)); +#12314=VERTEX_POINT('',#12312); +#12315=VERTEX_POINT('',#12313); +#12316=CARTESIAN_POINT('',(1.4E1,-1.51E1,-3.645E1)); +#12317=CARTESIAN_POINT('',(1.4E1,-1.51E1,-3.515E1)); +#12318=VERTEX_POINT('',#12316); +#12319=VERTEX_POINT('',#12317); +#12320=CARTESIAN_POINT('',(1.4E1,4.65E0,-2.E1)); +#12321=CARTESIAN_POINT('',(1.4E1,3.35E0,-2.E1)); +#12322=VERTEX_POINT('',#12320); +#12323=VERTEX_POINT('',#12321); +#12324=VERTEX_POINT('',#5650); +#12325=VERTEX_POINT('',#5670); +#12326=VERTEX_POINT('',#5672); +#12327=VERTEX_POINT('',#5692); +#12328=VERTEX_POINT('',#5450); +#12329=VERTEX_POINT('',#5620); +#12330=CARTESIAN_POINT('',(1.4E1,4.65E0,-3.315E1)); +#12331=VERTEX_POINT('',#12330); +#12332=CARTESIAN_POINT('',(1.4E1,1.35E0,-3.645E1)); +#12333=VERTEX_POINT('',#12332); +#12334=CARTESIAN_POINT('',(1.4E1,-7.E0,-3.645E1)); +#12335=VERTEX_POINT('',#12334); +#12336=CARTESIAN_POINT('',(1.4E1,-7.E0,-3.515E1)); +#12337=VERTEX_POINT('',#12336); +#12338=CARTESIAN_POINT('',(-8.E0,6.5E-1,-1.2475E1)); +#12339=CARTESIAN_POINT('',(-8.E0,-6.5E-1,-1.2475E1)); +#12340=VERTEX_POINT('',#12338); +#12341=VERTEX_POINT('',#12339); +#12342=CARTESIAN_POINT('',(-8.E0,-1.51E1,-3.245E1)); +#12343=CARTESIAN_POINT('',(-8.E0,-1.51E1,-3.115E1)); +#12344=VERTEX_POINT('',#12342); +#12345=VERTEX_POINT('',#12343); +#12346=CARTESIAN_POINT('',(-8.E0,6.5E-1,-2.E1)); +#12347=CARTESIAN_POINT('',(-8.E0,-6.5E-1,-2.E1)); +#12348=VERTEX_POINT('',#12346); +#12349=VERTEX_POINT('',#12347); +#12350=CARTESIAN_POINT('',(-8.E0,-7.E0,-3.245E1)); +#12351=VERTEX_POINT('',#12350); +#12352=CARTESIAN_POINT('',(-8.E0,-7.E0,-3.115E1)); +#12353=VERTEX_POINT('',#12352); +#12354=CARTESIAN_POINT('',(-4.E0,6.5E-1,-1.2475E1)); +#12355=CARTESIAN_POINT('',(-4.E0,-6.5E-1,-1.2475E1)); +#12356=VERTEX_POINT('',#12354); +#12357=VERTEX_POINT('',#12355); +#12358=CARTESIAN_POINT('',(-4.E0,-1.51E1,-3.245E1)); +#12359=CARTESIAN_POINT('',(-4.E0,-1.51E1,-3.115E1)); +#12360=VERTEX_POINT('',#12358); +#12361=VERTEX_POINT('',#12359); +#12362=CARTESIAN_POINT('',(-4.E0,6.5E-1,-2.E1)); +#12363=CARTESIAN_POINT('',(-4.E0,-6.5E-1,-2.E1)); +#12364=VERTEX_POINT('',#12362); +#12365=VERTEX_POINT('',#12363); +#12366=CARTESIAN_POINT('',(-4.E0,-7.E0,-3.245E1)); +#12367=VERTEX_POINT('',#12366); +#12368=CARTESIAN_POINT('',(-4.E0,-7.E0,-3.115E1)); +#12369=VERTEX_POINT('',#12368); +#12370=CARTESIAN_POINT('',(5.676381681886E-14,6.5E-1,-1.2475E1)); +#12371=CARTESIAN_POINT('',(5.700262294470E-14,-6.5E-1,-1.2475E1)); +#12372=VERTEX_POINT('',#12370); +#12373=VERTEX_POINT('',#12371); +#12374=CARTESIAN_POINT('',(5.676381681886E-14,-1.51E1,-3.245E1)); +#12375=CARTESIAN_POINT('',(5.700262294470E-14,-1.51E1,-3.115E1)); +#12376=VERTEX_POINT('',#12374); +#12377=VERTEX_POINT('',#12375); +#12378=CARTESIAN_POINT('',(5.676381681886E-14,6.5E-1,-2.E1)); +#12379=CARTESIAN_POINT('',(5.700262294470E-14,-6.5E-1,-2.E1)); +#12380=VERTEX_POINT('',#12378); +#12381=VERTEX_POINT('',#12379); +#12382=CARTESIAN_POINT('',(5.676381681886E-14,-7.E0,-3.245E1)); +#12383=VERTEX_POINT('',#12382); +#12384=CARTESIAN_POINT('',(5.689648688877E-14,-7.E0,-3.115E1)); +#12385=VERTEX_POINT('',#12384); +#12386=CARTESIAN_POINT('',(4.E0,6.5E-1,-1.2475E1)); +#12387=CARTESIAN_POINT('',(4.E0,-6.5E-1,-1.2475E1)); +#12388=VERTEX_POINT('',#12386); +#12389=VERTEX_POINT('',#12387); +#12390=CARTESIAN_POINT('',(4.E0,-1.51E1,-3.245E1)); +#12391=CARTESIAN_POINT('',(4.E0,-1.51E1,-3.115E1)); +#12392=VERTEX_POINT('',#12390); +#12393=VERTEX_POINT('',#12391); +#12394=CARTESIAN_POINT('',(4.E0,6.5E-1,-2.E1)); +#12395=CARTESIAN_POINT('',(4.E0,-6.5E-1,-2.E1)); +#12396=VERTEX_POINT('',#12394); +#12397=VERTEX_POINT('',#12395); +#12398=CARTESIAN_POINT('',(4.E0,-7.E0,-3.245E1)); +#12399=VERTEX_POINT('',#12398); +#12400=CARTESIAN_POINT('',(4.E0,-7.E0,-3.115E1)); +#12401=VERTEX_POINT('',#12400); +#12402=CARTESIAN_POINT('',(8.E0,6.5E-1,-1.2475E1)); +#12403=CARTESIAN_POINT('',(8.E0,-6.5E-1,-1.2475E1)); +#12404=VERTEX_POINT('',#12402); +#12405=VERTEX_POINT('',#12403); +#12406=CARTESIAN_POINT('',(8.E0,-1.51E1,-3.245E1)); +#12407=CARTESIAN_POINT('',(8.E0,-1.51E1,-3.115E1)); +#12408=VERTEX_POINT('',#12406); +#12409=VERTEX_POINT('',#12407); +#12410=CARTESIAN_POINT('',(8.E0,6.5E-1,-2.E1)); +#12411=CARTESIAN_POINT('',(8.E0,-6.5E-1,-2.E1)); +#12412=VERTEX_POINT('',#12410); +#12413=VERTEX_POINT('',#12411); +#12414=CARTESIAN_POINT('',(8.E0,-7.E0,-3.245E1)); +#12415=VERTEX_POINT('',#12414); +#12416=CARTESIAN_POINT('',(8.E0,-7.E0,-3.115E1)); +#12417=VERTEX_POINT('',#12416); +#12418=CARTESIAN_POINT('',(1.2E1,6.5E-1,-1.2475E1)); +#12419=CARTESIAN_POINT('',(1.2E1,-6.5E-1,-1.2475E1)); +#12420=VERTEX_POINT('',#12418); +#12421=VERTEX_POINT('',#12419); +#12422=CARTESIAN_POINT('',(1.2E1,-1.51E1,-3.245E1)); +#12423=CARTESIAN_POINT('',(1.2E1,-1.51E1,-3.115E1)); +#12424=VERTEX_POINT('',#12422); +#12425=VERTEX_POINT('',#12423); +#12426=CARTESIAN_POINT('',(1.2E1,6.5E-1,-2.E1)); +#12427=CARTESIAN_POINT('',(1.2E1,-6.5E-1,-2.E1)); +#12428=VERTEX_POINT('',#12426); +#12429=VERTEX_POINT('',#12427); +#12430=CARTESIAN_POINT('',(1.2E1,-7.E0,-3.245E1)); +#12431=VERTEX_POINT('',#12430); +#12432=CARTESIAN_POINT('',(1.2E1,-7.E0,-3.115E1)); +#12433=VERTEX_POINT('',#12432); +#12434=CARTESIAN_POINT('',(-1.E1,-3.35E0,-1.2475E1)); +#12435=CARTESIAN_POINT('',(-1.E1,-4.65E0,-1.2475E1)); +#12436=VERTEX_POINT('',#12434); +#12437=VERTEX_POINT('',#12435); +#12438=CARTESIAN_POINT('',(-1.E1,-1.51E1,-2.845E1)); +#12439=CARTESIAN_POINT('',(-1.E1,-1.51E1,-2.715E1)); +#12440=VERTEX_POINT('',#12438); +#12441=VERTEX_POINT('',#12439); +#12442=CARTESIAN_POINT('',(-1.E1,-3.35E0,-2.E1)); +#12443=CARTESIAN_POINT('',(-1.E1,-4.65E0,-2.E1)); +#12444=VERTEX_POINT('',#12442); +#12445=VERTEX_POINT('',#12443); +#12446=CARTESIAN_POINT('',(-1.E1,-7.E0,-2.845E1)); +#12447=CARTESIAN_POINT('',(-1.E1,-7.E0,-2.715E1)); +#12448=VERTEX_POINT('',#12446); +#12449=VERTEX_POINT('',#12447); +#12450=CARTESIAN_POINT('',(-6.E0,-3.35E0,-1.2475E1)); +#12451=CARTESIAN_POINT('',(-6.E0,-4.65E0,-1.2475E1)); +#12452=VERTEX_POINT('',#12450); +#12453=VERTEX_POINT('',#12451); +#12454=CARTESIAN_POINT('',(-6.E0,-1.51E1,-2.845E1)); +#12455=CARTESIAN_POINT('',(-6.E0,-1.51E1,-2.715E1)); +#12456=VERTEX_POINT('',#12454); +#12457=VERTEX_POINT('',#12455); +#12458=CARTESIAN_POINT('',(-6.E0,-3.35E0,-2.E1)); +#12459=CARTESIAN_POINT('',(-6.E0,-4.65E0,-2.E1)); +#12460=VERTEX_POINT('',#12458); +#12461=VERTEX_POINT('',#12459); +#12462=CARTESIAN_POINT('',(-6.E0,-7.E0,-2.845E1)); +#12463=CARTESIAN_POINT('',(-6.E0,-7.E0,-2.715E1)); +#12464=VERTEX_POINT('',#12462); +#12465=VERTEX_POINT('',#12463); +#12466=CARTESIAN_POINT('',(-2.E0,-3.35E0,-1.2475E1)); +#12467=CARTESIAN_POINT('',(-2.E0,-4.65E0,-1.2475E1)); +#12468=VERTEX_POINT('',#12466); +#12469=VERTEX_POINT('',#12467); +#12470=CARTESIAN_POINT('',(-2.E0,-1.51E1,-2.845E1)); +#12471=CARTESIAN_POINT('',(-2.E0,-1.51E1,-2.715E1)); +#12472=VERTEX_POINT('',#12470); +#12473=VERTEX_POINT('',#12471); +#12474=CARTESIAN_POINT('',(-2.E0,-3.35E0,-2.E1)); +#12475=CARTESIAN_POINT('',(-2.E0,-4.65E0,-2.E1)); +#12476=VERTEX_POINT('',#12474); +#12477=VERTEX_POINT('',#12475); +#12478=CARTESIAN_POINT('',(-2.E0,-7.E0,-2.845E1)); +#12479=CARTESIAN_POINT('',(-2.E0,-7.E0,-2.715E1)); +#12480=VERTEX_POINT('',#12478); +#12481=VERTEX_POINT('',#12479); +#12482=CARTESIAN_POINT('',(2.E0,-3.35E0,-1.2475E1)); +#12483=CARTESIAN_POINT('',(2.E0,-4.65E0,-1.2475E1)); +#12484=VERTEX_POINT('',#12482); +#12485=VERTEX_POINT('',#12483); +#12486=CARTESIAN_POINT('',(2.E0,-1.51E1,-2.845E1)); +#12487=CARTESIAN_POINT('',(2.E0,-1.51E1,-2.715E1)); +#12488=VERTEX_POINT('',#12486); +#12489=VERTEX_POINT('',#12487); +#12490=CARTESIAN_POINT('',(2.E0,-3.35E0,-2.E1)); +#12491=CARTESIAN_POINT('',(2.E0,-4.65E0,-2.E1)); +#12492=VERTEX_POINT('',#12490); +#12493=VERTEX_POINT('',#12491); +#12494=CARTESIAN_POINT('',(2.E0,-7.E0,-2.845E1)); +#12495=CARTESIAN_POINT('',(2.E0,-7.E0,-2.715E1)); +#12496=VERTEX_POINT('',#12494); +#12497=VERTEX_POINT('',#12495); +#12498=CARTESIAN_POINT('',(6.E0,-3.35E0,-1.2475E1)); +#12499=CARTESIAN_POINT('',(6.E0,-4.65E0,-1.2475E1)); +#12500=VERTEX_POINT('',#12498); +#12501=VERTEX_POINT('',#12499); +#12502=CARTESIAN_POINT('',(6.E0,-1.51E1,-2.845E1)); +#12503=CARTESIAN_POINT('',(6.E0,-1.51E1,-2.715E1)); +#12504=VERTEX_POINT('',#12502); +#12505=VERTEX_POINT('',#12503); +#12506=CARTESIAN_POINT('',(6.E0,-3.35E0,-2.E1)); +#12507=CARTESIAN_POINT('',(6.E0,-4.65E0,-2.E1)); +#12508=VERTEX_POINT('',#12506); +#12509=VERTEX_POINT('',#12507); +#12510=CARTESIAN_POINT('',(6.E0,-7.E0,-2.845E1)); +#12511=CARTESIAN_POINT('',(6.E0,-7.E0,-2.715E1)); +#12512=VERTEX_POINT('',#12510); +#12513=VERTEX_POINT('',#12511); +#12514=CARTESIAN_POINT('',(1.E1,-3.35E0,-1.2475E1)); +#12515=CARTESIAN_POINT('',(1.E1,-4.65E0,-1.2475E1)); +#12516=VERTEX_POINT('',#12514); +#12517=VERTEX_POINT('',#12515); +#12518=CARTESIAN_POINT('',(1.E1,-1.51E1,-2.845E1)); +#12519=CARTESIAN_POINT('',(1.E1,-1.51E1,-2.715E1)); +#12520=VERTEX_POINT('',#12518); +#12521=VERTEX_POINT('',#12519); +#12522=CARTESIAN_POINT('',(1.E1,-3.35E0,-2.E1)); +#12523=CARTESIAN_POINT('',(1.E1,-4.65E0,-2.E1)); +#12524=VERTEX_POINT('',#12522); +#12525=VERTEX_POINT('',#12523); +#12526=CARTESIAN_POINT('',(1.E1,-7.E0,-2.845E1)); +#12527=CARTESIAN_POINT('',(1.E1,-7.E0,-2.715E1)); +#12528=VERTEX_POINT('',#12526); +#12529=VERTEX_POINT('',#12527); +#12530=CARTESIAN_POINT('',(1.4E1,-3.35E0,-1.2475E1)); +#12531=CARTESIAN_POINT('',(1.4E1,-4.65E0,-1.2475E1)); +#12532=VERTEX_POINT('',#12530); +#12533=VERTEX_POINT('',#12531); +#12534=CARTESIAN_POINT('',(1.4E1,-1.51E1,-2.845E1)); +#12535=CARTESIAN_POINT('',(1.4E1,-1.51E1,-2.715E1)); +#12536=VERTEX_POINT('',#12534); +#12537=VERTEX_POINT('',#12535); +#12538=CARTESIAN_POINT('',(1.4E1,-3.35E0,-2.E1)); +#12539=CARTESIAN_POINT('',(1.4E1,-4.65E0,-2.E1)); +#12540=VERTEX_POINT('',#12538); +#12541=VERTEX_POINT('',#12539); +#12542=CARTESIAN_POINT('',(1.4E1,-7.E0,-2.845E1)); +#12543=CARTESIAN_POINT('',(1.4E1,-7.E0,-2.715E1)); +#12544=VERTEX_POINT('',#12542); +#12545=VERTEX_POINT('',#12543); +#12546=CARTESIAN_POINT('',(1.475E1,-9.944486372867E0,-2.2E1)); +#12547=CARTESIAN_POINT('',(1.475E1,-7.E0,-2.2E1)); +#12548=VERTEX_POINT('',#12546); +#12549=VERTEX_POINT('',#12547); +#12550=CARTESIAN_POINT('',(-1.475E1,-9.944486372867E0,-2.2E1)); +#12551=CARTESIAN_POINT('',(-1.475E1,-7.E0,-2.2E1)); +#12552=VERTEX_POINT('',#12550); +#12553=VERTEX_POINT('',#12551); +#12554=CARTESIAN_POINT('',(1.475E1,-7.E0,-2.71E1)); +#12555=VERTEX_POINT('',#12554); +#12556=CARTESIAN_POINT('',(-1.475E1,-7.E0,-2.71E1)); +#12557=VERTEX_POINT('',#12556); +#12558=CARTESIAN_POINT('',(-1.85E1,4.65E0,-2.E1)); +#12559=CARTESIAN_POINT('',(-1.85E1,4.75E0,-2.E1)); +#12560=VERTEX_POINT('',#12558); +#12561=VERTEX_POINT('',#12559); +#12562=CARTESIAN_POINT('',(-1.895E1,5.2E0,-2.E1)); +#12563=CARTESIAN_POINT('',(-1.97E1,5.2E0,-2.E1)); +#12564=VERTEX_POINT('',#12562); +#12565=VERTEX_POINT('',#12563); +#12566=CARTESIAN_POINT('',(-1.325E1,1.03E1,-2.E1)); +#12567=VERTEX_POINT('',#12566); +#12568=CARTESIAN_POINT('',(-1.125E1,1.03E1,-2.E1)); +#12569=CARTESIAN_POINT('',(-9.75E0,1.03E1,-2.E1)); +#12570=VERTEX_POINT('',#12568); +#12571=VERTEX_POINT('',#12569); +#12572=CARTESIAN_POINT('',(2.02E1,3.7E0,-2.E1)); +#12573=CARTESIAN_POINT('',(2.02E1,-3.7E0,-2.E1)); +#12574=VERTEX_POINT('',#12572); +#12575=VERTEX_POINT('',#12573); +#12576=CARTESIAN_POINT('',(1.325E1,-1.03E1,-2.E1)); +#12577=VERTEX_POINT('',#12576); +#12578=CARTESIAN_POINT('',(1.125E1,-1.03E1,-2.E1)); +#12579=CARTESIAN_POINT('',(9.75E0,-1.03E1,-2.E1)); +#12580=VERTEX_POINT('',#12578); +#12581=VERTEX_POINT('',#12579); +#12582=CARTESIAN_POINT('',(-2.02E1,-3.7E0,-2.E1)); +#12583=CARTESIAN_POINT('',(-2.02E1,3.7E0,-2.E1)); +#12584=VERTEX_POINT('',#12582); +#12585=VERTEX_POINT('',#12583); +#12586=CARTESIAN_POINT('',(-1.65E1,3.25E0,-1.98E1)); +#12587=CARTESIAN_POINT('',(-1.625E1,3.25E0,-1.98E1)); +#12588=VERTEX_POINT('',#12586); +#12589=VERTEX_POINT('',#12587); +#12590=CARTESIAN_POINT('',(-1.65E1,4.909574508667E0,-1.98E1)); +#12591=VERTEX_POINT('',#12590); +#12592=CARTESIAN_POINT('',(-1.675E1,4.611702084541E0,-1.98E1)); +#12593=VERTEX_POINT('',#12592); +#12594=CARTESIAN_POINT('',(-1.675E1,4.952127695084E0,-1.98E1)); +#12595=VERTEX_POINT('',#12594); +#12596=CARTESIAN_POINT('',(-1.65E1,5.25E0,-1.98E1)); +#12597=VERTEX_POINT('',#12596); +#12598=CARTESIAN_POINT('',(-1.625E1,5.25E0,-1.98E1)); +#12599=VERTEX_POINT('',#12598); +#12600=CARTESIAN_POINT('',(-1.709583330750E1,-6.25E0,-1.98E1)); +#12601=CARTESIAN_POINT('',(-1.693333330750E1,-6.25E0,-1.98E1)); +#12602=VERTEX_POINT('',#12600); +#12603=VERTEX_POINT('',#12601); +#12604=CARTESIAN_POINT('',(-1.709583330750E1,-4.590425491333E0,-1.98E1)); +#12605=VERTEX_POINT('',#12604); +#12606=CARTESIAN_POINT('',(-1.725833330750E1,-4.888297915459E0,-1.98E1)); +#12607=VERTEX_POINT('',#12606); +#12608=CARTESIAN_POINT('',(-1.725833330750E1,-4.547872304916E0,-1.98E1)); +#12609=VERTEX_POINT('',#12608); +#12610=CARTESIAN_POINT('',(-1.709583330750E1,-4.25E0,-1.98E1)); +#12611=VERTEX_POINT('',#12610); +#12612=CARTESIAN_POINT('',(-1.693333330750E1,-4.25E0,-1.98E1)); +#12613=VERTEX_POINT('',#12612); +#12614=CARTESIAN_POINT('',(-1.574166669250E1,-5.484042525291E0,-1.98E1)); +#12615=CARTESIAN_POINT('',(-1.579583330750E1,-5.271276593208E0,-1.98E1)); +#12616=VERTEX_POINT('',#12614); +#12617=VERTEX_POINT('',#12615); +#12618=CARTESIAN_POINT('',(-1.574166669250E1,-5.696808516979E0,-1.98E1)); +#12619=VERTEX_POINT('',#12618); +#12620=CARTESIAN_POINT('',(-1.579583330750E1,-5.909574478865E0,-1.98E1)); +#12621=VERTEX_POINT('',#12620); +#12622=CARTESIAN_POINT('',(-1.587708330750E1,-6.079787239432E0,-1.98E1)); +#12623=VERTEX_POINT('',#12622); +#12624=CARTESIAN_POINT('',(-1.60125E1,-6.207446809858E0,-1.98E1)); +#12625=VERTEX_POINT('',#12624); +#12626=CARTESIAN_POINT('',(-1.614791661501E1,-6.25E0,-1.98E1)); +#12627=VERTEX_POINT('',#12626); +#12628=CARTESIAN_POINT('',(-1.628333330750E1,-6.25E0,-1.98E1)); +#12629=VERTEX_POINT('',#12628); +#12630=CARTESIAN_POINT('',(-1.641875E1,-6.207446809858E0,-1.98E1)); +#12631=VERTEX_POINT('',#12630); +#12632=CARTESIAN_POINT('',(-1.655416661501E1,-6.079787239432E0,-1.98E1)); +#12633=VERTEX_POINT('',#12632); +#12634=CARTESIAN_POINT('',(-1.663541665375E1,-5.909574478865E0,-1.98E1)); +#12635=VERTEX_POINT('',#12634); +#12636=CARTESIAN_POINT('',(-1.668958330750E1,-5.696808516979E0,-1.98E1)); +#12637=VERTEX_POINT('',#12636); +#12638=CARTESIAN_POINT('',(-1.671666665375E1,-5.398936152458E0,-1.98E1)); +#12639=VERTEX_POINT('',#12638); +#12640=CARTESIAN_POINT('',(-1.671666665375E1,-5.101063847542E0,-1.98E1)); +#12641=VERTEX_POINT('',#12640); +#12642=CARTESIAN_POINT('',(-1.668958330750E1,-4.803191542625E0,-1.98E1)); +#12643=VERTEX_POINT('',#12642); +#12644=CARTESIAN_POINT('',(-1.663541665375E1,-4.590425491333E0,-1.98E1)); +#12645=VERTEX_POINT('',#12644); +#12646=CARTESIAN_POINT('',(-1.655416661501E1,-4.420212745667E0,-1.98E1)); +#12647=VERTEX_POINT('',#12646); +#12648=CARTESIAN_POINT('',(-1.641875E1,-4.292553186417E0,-1.98E1)); +#12649=VERTEX_POINT('',#12648); +#12650=CARTESIAN_POINT('',(-1.628333330750E1,-4.25E0,-1.98E1)); +#12651=VERTEX_POINT('',#12650); +#12652=CARTESIAN_POINT('',(-1.6175E1,-4.25E0,-1.98E1)); +#12653=VERTEX_POINT('',#12652); +#12654=CARTESIAN_POINT('',(-1.603958330750E1,-4.292553186417E0,-1.98E1)); +#12655=VERTEX_POINT('',#12654); +#12656=CARTESIAN_POINT('',(-1.590416669250E1,-4.420212745667E0,-1.98E1)); +#12657=VERTEX_POINT('',#12656); +#12658=CARTESIAN_POINT('',(-1.582291669250E1,-4.590425491333E0,-1.98E1)); +#12659=VERTEX_POINT('',#12658); +#12660=CARTESIAN_POINT('',(-1.579583330750E1,-4.718085050583E0,-1.98E1)); +#12661=VERTEX_POINT('',#12660); +#12662=CARTESIAN_POINT('',(-1.593124992251E1,-4.718085050583E0,-1.98E1)); +#12663=VERTEX_POINT('',#12662); +#12664=CARTESIAN_POINT('',(-1.598541661501E1,-4.590425491333E0,-1.98E1)); +#12665=VERTEX_POINT('',#12664); +#12666=CARTESIAN_POINT('',(-1.606666661501E1,-4.505319118500E0,-1.98E1)); +#12667=VERTEX_POINT('',#12666); +#12668=CARTESIAN_POINT('',(-1.614791661501E1,-4.462765932083E0,-1.98E1)); +#12669=VERTEX_POINT('',#12668); +#12670=CARTESIAN_POINT('',(-1.631041661501E1,-4.462765932083E0,-1.98E1)); +#12671=VERTEX_POINT('',#12670); +#12672=CARTESIAN_POINT('',(-1.639166661501E1,-4.505319118500E0,-1.98E1)); +#12673=VERTEX_POINT('',#12672); +#12674=CARTESIAN_POINT('',(-1.647291661501E1,-4.590425491333E0,-1.98E1)); +#12675=VERTEX_POINT('',#12674); +#12676=CARTESIAN_POINT('',(-1.652708330750E1,-4.718085050583E0,-1.98E1)); +#12677=VERTEX_POINT('',#12676); +#12678=CARTESIAN_POINT('',(-1.655416661501E1,-4.845744729042E0,-1.98E1)); +#12679=VERTEX_POINT('',#12678); +#12680=CARTESIAN_POINT('',(-1.658125E1,-5.101063847542E0,-1.98E1)); +#12681=VERTEX_POINT('',#12680); +#12682=CARTESIAN_POINT('',(-1.658125E1,-5.186170220375E0,-1.98E1)); +#12683=VERTEX_POINT('',#12682); +#12684=CARTESIAN_POINT('',(-1.641875E1,-5.015957474709E0,-1.98E1)); +#12685=VERTEX_POINT('',#12684); +#12686=CARTESIAN_POINT('',(-1.628333330750E1,-4.973404288292E0,-1.98E1)); +#12687=VERTEX_POINT('',#12686); +#12688=CARTESIAN_POINT('',(-1.614791661501E1,-4.973404288292E0,-1.98E1)); +#12689=VERTEX_POINT('',#12688); +#12690=CARTESIAN_POINT('',(-1.60125E1,-5.015957474709E0,-1.98E1)); +#12691=VERTEX_POINT('',#12690); +#12692=CARTESIAN_POINT('',(-1.587708330750E1,-5.143617033958E0,-1.98E1)); +#12693=VERTEX_POINT('',#12692); +#12694=CARTESIAN_POINT('',(-1.595833330750E1,-5.313829779625E0,-1.98E1)); +#12695=CARTESIAN_POINT('',(-1.590416669250E1,-5.398936152458E0,-1.98E1)); +#12696=VERTEX_POINT('',#12694); +#12697=VERTEX_POINT('',#12695); +#12698=CARTESIAN_POINT('',(-1.603958330750E1,-5.228723406792E0,-1.98E1)); +#12699=VERTEX_POINT('',#12698); +#12700=CARTESIAN_POINT('',(-1.612083330750E1,-5.186170220375E0,-1.98E1)); +#12701=VERTEX_POINT('',#12700); +#12702=CARTESIAN_POINT('',(-1.631041661501E1,-5.186170220375E0,-1.98E1)); +#12703=VERTEX_POINT('',#12702); +#12704=CARTESIAN_POINT('',(-1.639166661501E1,-5.228723406792E0,-1.98E1)); +#12705=VERTEX_POINT('',#12704); +#12706=CARTESIAN_POINT('',(-1.647291661501E1,-5.313829779625E0,-1.98E1)); +#12707=VERTEX_POINT('',#12706); +#12708=CARTESIAN_POINT('',(-1.652708330750E1,-5.398936152458E0,-1.98E1)); +#12709=VERTEX_POINT('',#12708); +#12710=CARTESIAN_POINT('',(-1.655416661501E1,-5.526595771313E0,-1.98E1)); +#12711=VERTEX_POINT('',#12710); +#12712=CARTESIAN_POINT('',(-1.655416661501E1,-5.654255330563E0,-1.98E1)); +#12713=VERTEX_POINT('',#12712); +#12714=CARTESIAN_POINT('',(-1.652708330750E1,-5.781914889812E0,-1.98E1)); +#12715=VERTEX_POINT('',#12714); +#12716=CARTESIAN_POINT('',(-1.647291661501E1,-5.909574478865E0,-1.98E1)); +#12717=VERTEX_POINT('',#12716); +#12718=CARTESIAN_POINT('',(-1.639166661501E1,-5.994680851698E0,-1.98E1)); +#12719=VERTEX_POINT('',#12718); +#12720=CARTESIAN_POINT('',(-1.631041661501E1,-6.037234038115E0,-1.98E1)); +#12721=VERTEX_POINT('',#12720); +#12722=CARTESIAN_POINT('',(-1.612083330750E1,-6.037234038115E0,-1.98E1)); +#12723=VERTEX_POINT('',#12722); +#12724=CARTESIAN_POINT('',(-1.603958330750E1,-5.994680851698E0,-1.98E1)); +#12725=VERTEX_POINT('',#12724); +#12726=CARTESIAN_POINT('',(-1.595833330750E1,-5.909574478865E0,-1.98E1)); +#12727=VERTEX_POINT('',#12726); +#12728=CARTESIAN_POINT('',(-1.590416669250E1,-5.781914889812E0,-1.98E1)); +#12729=VERTEX_POINT('',#12728); +#12730=CARTESIAN_POINT('',(-1.587708330750E1,-5.654255330563E0,-1.98E1)); +#12731=VERTEX_POINT('',#12730); +#12732=CARTESIAN_POINT('',(-1.587708330750E1,-5.526595771313E0,-1.98E1)); +#12733=VERTEX_POINT('',#12732); +#12734=CARTESIAN_POINT('',(-1.65E1,3.25E0,-2.E1)); +#12735=CARTESIAN_POINT('',(-1.625E1,3.25E0,-2.E1)); +#12736=VERTEX_POINT('',#12734); +#12737=VERTEX_POINT('',#12735); +#12738=CARTESIAN_POINT('',(-1.65E1,4.909574508667E0,-2.E1)); +#12739=VERTEX_POINT('',#12738); +#12740=CARTESIAN_POINT('',(-1.675E1,4.611702084541E0,-2.E1)); +#12741=VERTEX_POINT('',#12740); +#12742=CARTESIAN_POINT('',(-1.675E1,4.952127695084E0,-2.E1)); +#12743=VERTEX_POINT('',#12742); +#12744=CARTESIAN_POINT('',(-1.65E1,5.25E0,-2.E1)); +#12745=VERTEX_POINT('',#12744); +#12746=CARTESIAN_POINT('',(-1.625E1,5.25E0,-2.E1)); +#12747=VERTEX_POINT('',#12746); +#12748=CARTESIAN_POINT('',(-1.709583330750E1,-6.25E0,-2.E1)); +#12749=CARTESIAN_POINT('',(-1.693333330750E1,-6.25E0,-2.E1)); +#12750=VERTEX_POINT('',#12748); +#12751=VERTEX_POINT('',#12749); +#12752=CARTESIAN_POINT('',(-1.709583330750E1,-4.590425491333E0,-2.E1)); +#12753=VERTEX_POINT('',#12752); +#12754=CARTESIAN_POINT('',(-1.725833330750E1,-4.888297915459E0,-2.E1)); +#12755=VERTEX_POINT('',#12754); +#12756=CARTESIAN_POINT('',(-1.725833330750E1,-4.547872304916E0,-2.E1)); +#12757=VERTEX_POINT('',#12756); +#12758=CARTESIAN_POINT('',(-1.709583330750E1,-4.25E0,-2.E1)); +#12759=VERTEX_POINT('',#12758); +#12760=CARTESIAN_POINT('',(-1.693333330750E1,-4.25E0,-2.E1)); +#12761=VERTEX_POINT('',#12760); +#12762=CARTESIAN_POINT('',(-1.574166669250E1,-5.484042525291E0,-2.E1)); +#12763=CARTESIAN_POINT('',(-1.579583330750E1,-5.271276593208E0,-2.E1)); +#12764=VERTEX_POINT('',#12762); +#12765=VERTEX_POINT('',#12763); +#12766=CARTESIAN_POINT('',(-1.574166669250E1,-5.696808516979E0,-2.E1)); +#12767=VERTEX_POINT('',#12766); +#12768=CARTESIAN_POINT('',(-1.579583330750E1,-5.909574478865E0,-2.E1)); +#12769=VERTEX_POINT('',#12768); +#12770=CARTESIAN_POINT('',(-1.587708330750E1,-6.079787239432E0,-2.E1)); +#12771=VERTEX_POINT('',#12770); +#12772=CARTESIAN_POINT('',(-1.60125E1,-6.207446809858E0,-2.E1)); +#12773=VERTEX_POINT('',#12772); +#12774=CARTESIAN_POINT('',(-1.614791661501E1,-6.25E0,-2.E1)); +#12775=VERTEX_POINT('',#12774); +#12776=CARTESIAN_POINT('',(-1.628333330750E1,-6.25E0,-2.E1)); +#12777=VERTEX_POINT('',#12776); +#12778=CARTESIAN_POINT('',(-1.641875E1,-6.207446809858E0,-2.E1)); +#12779=VERTEX_POINT('',#12778); +#12780=CARTESIAN_POINT('',(-1.655416661501E1,-6.079787239432E0,-2.E1)); +#12781=VERTEX_POINT('',#12780); +#12782=CARTESIAN_POINT('',(-1.663541665375E1,-5.909574478865E0,-2.E1)); +#12783=VERTEX_POINT('',#12782); +#12784=CARTESIAN_POINT('',(-1.668958330750E1,-5.696808516979E0,-2.E1)); +#12785=VERTEX_POINT('',#12784); +#12786=CARTESIAN_POINT('',(-1.671666665375E1,-5.398936152458E0,-2.E1)); +#12787=VERTEX_POINT('',#12786); +#12788=CARTESIAN_POINT('',(-1.671666665375E1,-5.101063847542E0,-2.E1)); +#12789=VERTEX_POINT('',#12788); +#12790=CARTESIAN_POINT('',(-1.668958330750E1,-4.803191542625E0,-2.E1)); +#12791=VERTEX_POINT('',#12790); +#12792=CARTESIAN_POINT('',(-1.663541665375E1,-4.590425491333E0,-2.E1)); +#12793=VERTEX_POINT('',#12792); +#12794=CARTESIAN_POINT('',(-1.655416661501E1,-4.420212745667E0,-2.E1)); +#12795=VERTEX_POINT('',#12794); +#12796=CARTESIAN_POINT('',(-1.641875E1,-4.292553186417E0,-2.E1)); +#12797=VERTEX_POINT('',#12796); +#12798=CARTESIAN_POINT('',(-1.628333330750E1,-4.25E0,-2.E1)); +#12799=VERTEX_POINT('',#12798); +#12800=CARTESIAN_POINT('',(-1.6175E1,-4.25E0,-2.E1)); +#12801=VERTEX_POINT('',#12800); +#12802=CARTESIAN_POINT('',(-1.603958330750E1,-4.292553186417E0,-2.E1)); +#12803=VERTEX_POINT('',#12802); +#12804=CARTESIAN_POINT('',(-1.590416669250E1,-4.420212745667E0,-2.E1)); +#12805=VERTEX_POINT('',#12804); +#12806=CARTESIAN_POINT('',(-1.582291669250E1,-4.590425491333E0,-2.E1)); +#12807=VERTEX_POINT('',#12806); +#12808=CARTESIAN_POINT('',(-1.579583330750E1,-4.718085050583E0,-2.E1)); +#12809=VERTEX_POINT('',#12808); +#12810=CARTESIAN_POINT('',(-1.593124992251E1,-4.718085050583E0,-2.E1)); +#12811=VERTEX_POINT('',#12810); +#12812=CARTESIAN_POINT('',(-1.598541661501E1,-4.590425491333E0,-2.E1)); +#12813=VERTEX_POINT('',#12812); +#12814=CARTESIAN_POINT('',(-1.606666661501E1,-4.505319118500E0,-2.E1)); +#12815=VERTEX_POINT('',#12814); +#12816=CARTESIAN_POINT('',(-1.614791661501E1,-4.462765932083E0,-2.E1)); +#12817=VERTEX_POINT('',#12816); +#12818=CARTESIAN_POINT('',(-1.631041661501E1,-4.462765932083E0,-2.E1)); +#12819=VERTEX_POINT('',#12818); +#12820=CARTESIAN_POINT('',(-1.639166661501E1,-4.505319118500E0,-2.E1)); +#12821=VERTEX_POINT('',#12820); +#12822=CARTESIAN_POINT('',(-1.647291661501E1,-4.590425491333E0,-2.E1)); +#12823=VERTEX_POINT('',#12822); +#12824=CARTESIAN_POINT('',(-1.652708330750E1,-4.718085050583E0,-2.E1)); +#12825=VERTEX_POINT('',#12824); +#12826=CARTESIAN_POINT('',(-1.655416661501E1,-4.845744729042E0,-2.E1)); +#12827=VERTEX_POINT('',#12826); +#12828=CARTESIAN_POINT('',(-1.658125E1,-5.101063847542E0,-2.E1)); +#12829=VERTEX_POINT('',#12828); +#12830=CARTESIAN_POINT('',(-1.658125E1,-5.186170220375E0,-2.E1)); +#12831=VERTEX_POINT('',#12830); +#12832=CARTESIAN_POINT('',(-1.641875E1,-5.015957474709E0,-2.E1)); +#12833=VERTEX_POINT('',#12832); +#12834=CARTESIAN_POINT('',(-1.628333330750E1,-4.973404288292E0,-2.E1)); +#12835=VERTEX_POINT('',#12834); +#12836=CARTESIAN_POINT('',(-1.614791661501E1,-4.973404288292E0,-2.E1)); +#12837=VERTEX_POINT('',#12836); +#12838=CARTESIAN_POINT('',(-1.60125E1,-5.015957474709E0,-2.E1)); +#12839=VERTEX_POINT('',#12838); +#12840=CARTESIAN_POINT('',(-1.587708330750E1,-5.143617033958E0,-2.E1)); +#12841=VERTEX_POINT('',#12840); +#12842=CARTESIAN_POINT('',(-1.595833330750E1,-5.313829779625E0,-2.E1)); +#12843=CARTESIAN_POINT('',(-1.590416669250E1,-5.398936152458E0,-2.E1)); +#12844=VERTEX_POINT('',#12842); +#12845=VERTEX_POINT('',#12843); +#12846=CARTESIAN_POINT('',(-1.603958330750E1,-5.228723406792E0,-2.E1)); +#12847=VERTEX_POINT('',#12846); +#12848=CARTESIAN_POINT('',(-1.612083330750E1,-5.186170220375E0,-2.E1)); +#12849=VERTEX_POINT('',#12848); +#12850=CARTESIAN_POINT('',(-1.631041661501E1,-5.186170220375E0,-2.E1)); +#12851=VERTEX_POINT('',#12850); +#12852=CARTESIAN_POINT('',(-1.639166661501E1,-5.228723406792E0,-2.E1)); +#12853=VERTEX_POINT('',#12852); +#12854=CARTESIAN_POINT('',(-1.647291661501E1,-5.313829779625E0,-2.E1)); +#12855=VERTEX_POINT('',#12854); +#12856=CARTESIAN_POINT('',(-1.652708330750E1,-5.398936152458E0,-2.E1)); +#12857=VERTEX_POINT('',#12856); +#12858=CARTESIAN_POINT('',(-1.655416661501E1,-5.526595771313E0,-2.E1)); +#12859=VERTEX_POINT('',#12858); +#12860=CARTESIAN_POINT('',(-1.655416661501E1,-5.654255330563E0,-2.E1)); +#12861=VERTEX_POINT('',#12860); +#12862=CARTESIAN_POINT('',(-1.652708330750E1,-5.781914889812E0,-2.E1)); +#12863=VERTEX_POINT('',#12862); +#12864=CARTESIAN_POINT('',(-1.647291661501E1,-5.909574478865E0,-2.E1)); +#12865=VERTEX_POINT('',#12864); +#12866=CARTESIAN_POINT('',(-1.639166661501E1,-5.994680851698E0,-2.E1)); +#12867=VERTEX_POINT('',#12866); +#12868=CARTESIAN_POINT('',(-1.631041661501E1,-6.037234038115E0,-2.E1)); +#12869=VERTEX_POINT('',#12868); +#12870=CARTESIAN_POINT('',(-1.612083330750E1,-6.037234038115E0,-2.E1)); +#12871=VERTEX_POINT('',#12870); +#12872=CARTESIAN_POINT('',(-1.603958330750E1,-5.994680851698E0,-2.E1)); +#12873=VERTEX_POINT('',#12872); +#12874=CARTESIAN_POINT('',(-1.595833330750E1,-5.909574478865E0,-2.E1)); +#12875=VERTEX_POINT('',#12874); +#12876=CARTESIAN_POINT('',(-1.590416669250E1,-5.781914889812E0,-2.E1)); +#12877=VERTEX_POINT('',#12876); +#12878=CARTESIAN_POINT('',(-1.587708330750E1,-5.654255330563E0,-2.E1)); +#12879=VERTEX_POINT('',#12878); +#12880=CARTESIAN_POINT('',(-1.587708330750E1,-5.526595771313E0,-2.E1)); +#12881=VERTEX_POINT('',#12880); +#12882=CARTESIAN_POINT('',(2.164579409906E1,6.418055555556E0,-2.E1)); +#12883=VERTEX_POINT('',#12882); +#12884=CARTESIAN_POINT('',(-2.164579409906E1,6.418055555556E0,-2.E1)); +#12885=VERTEX_POINT('',#12884); +#12886=CARTESIAN_POINT('',(-2.2615E1,1.08E1,-2.0275E1)); +#12887=CARTESIAN_POINT('',(-2.4785E1,1.08E1,-2.0275E1)); +#12888=VERTEX_POINT('',#12886); +#12889=VERTEX_POINT('',#12887); +#12890=CARTESIAN_POINT('',(-2.4115E1,-7.3E0,-2.0275E1)); +#12891=CARTESIAN_POINT('',(-2.6285E1,-7.3E0,-2.0275E1)); +#12892=VERTEX_POINT('',#12890); +#12893=VERTEX_POINT('',#12891); +#12894=CARTESIAN_POINT('',(2.4785E1,1.08E1,-2.0275E1)); +#12895=CARTESIAN_POINT('',(2.2615E1,1.08E1,-2.0275E1)); +#12896=VERTEX_POINT('',#12894); +#12897=VERTEX_POINT('',#12895); +#12898=CARTESIAN_POINT('',(2.6285E1,-7.3E0,-2.0275E1)); +#12899=CARTESIAN_POINT('',(2.4115E1,-7.3E0,-2.0275E1)); +#12900=VERTEX_POINT('',#12898); +#12901=VERTEX_POINT('',#12899); +#12902=CARTESIAN_POINT('',(-2.2615E1,1.08E1,-1.9275E1)); +#12903=CARTESIAN_POINT('',(-2.4785E1,1.08E1,-1.9275E1)); +#12904=VERTEX_POINT('',#12902); +#12905=VERTEX_POINT('',#12903); +#12906=CARTESIAN_POINT('',(-2.4115E1,-7.3E0,-1.9275E1)); +#12907=CARTESIAN_POINT('',(-2.6285E1,-7.3E0,-1.9275E1)); +#12908=VERTEX_POINT('',#12906); +#12909=VERTEX_POINT('',#12907); +#12910=CARTESIAN_POINT('',(2.4785E1,1.08E1,-1.9275E1)); +#12911=CARTESIAN_POINT('',(2.2615E1,1.08E1,-1.9275E1)); +#12912=VERTEX_POINT('',#12910); +#12913=VERTEX_POINT('',#12911); +#12914=CARTESIAN_POINT('',(2.6285E1,-7.3E0,-1.9275E1)); +#12915=CARTESIAN_POINT('',(2.4115E1,-7.3E0,-1.9275E1)); +#12916=VERTEX_POINT('',#12914); +#12917=VERTEX_POINT('',#12915); +#12918=CARTESIAN_POINT('',(-1.805E1,-5.568671030682E0,-2.2E1)); +#12919=CARTESIAN_POINT('',(-1.647E1,-8.1E0,-2.2E1)); +#12920=VERTEX_POINT('',#12918); +#12921=VERTEX_POINT('',#12919); +#12922=CARTESIAN_POINT('',(-1.647E1,-9.25E0,-2.2E1)); +#12923=VERTEX_POINT('',#12922); +#12924=CARTESIAN_POINT('',(-1.677E1,-9.55E0,-2.2E1)); +#12925=VERTEX_POINT('',#12924); +#12926=CARTESIAN_POINT('',(-1.677E1,-1.065E1,-2.2E1)); +#12927=VERTEX_POINT('',#12926); +#12928=CARTESIAN_POINT('',(-1.647E1,-1.095E1,-2.2E1)); +#12929=VERTEX_POINT('',#12928); +#12930=CARTESIAN_POINT('',(-1.647E1,-1.16E1,-2.2E1)); +#12931=VERTEX_POINT('',#12930); +#12932=CARTESIAN_POINT('',(-1.777E1,-1.16E1,-2.2E1)); +#12933=VERTEX_POINT('',#12932); +#12934=CARTESIAN_POINT('',(-1.777E1,-1.095E1,-2.2E1)); +#12935=VERTEX_POINT('',#12934); +#12936=CARTESIAN_POINT('',(-2.275E1,-1.095E1,-2.2E1)); +#12937=VERTEX_POINT('',#12936); +#12938=CARTESIAN_POINT('',(-2.275E1,-1.095E1,-2.85E1)); +#12939=VERTEX_POINT('',#12938); +#12940=CARTESIAN_POINT('',(-1.647E1,-1.16E1,-3.28E1)); +#12941=VERTEX_POINT('',#12940); +#12942=CARTESIAN_POINT('',(-1.647E1,-1.16E1,-2.4E1)); +#12943=VERTEX_POINT('',#12942); +#12944=CARTESIAN_POINT('',(-1.777E1,-1.16E1,-3.28E1)); +#12945=VERTEX_POINT('',#12944); +#12946=CARTESIAN_POINT('',(-1.777E1,-1.16E1,-2.4E1)); +#12947=VERTEX_POINT('',#12946); +#12948=CARTESIAN_POINT('',(-2.425E0,9.8E0,-2.E1)); +#12949=CARTESIAN_POINT('',(-2.425E0,7.85E0,-2.E1)); +#12950=VERTEX_POINT('',#12948); +#12951=VERTEX_POINT('',#12949); +#12952=CARTESIAN_POINT('',(-1.975E0,7.4E0,-2.E1)); +#12953=CARTESIAN_POINT('',(-1.525E0,7.4E0,-2.E1)); +#12954=VERTEX_POINT('',#12952); +#12955=VERTEX_POINT('',#12953); +#12956=CARTESIAN_POINT('',(-1.075E0,7.85E0,-2.E1)); +#12957=CARTESIAN_POINT('',(-1.075E0,9.8E0,-2.E1)); +#12958=VERTEX_POINT('',#12956); +#12959=VERTEX_POINT('',#12957); +#12960=CARTESIAN_POINT('',(4.575E0,-7.85E0,-2.E1)); +#12961=CARTESIAN_POINT('',(4.575E0,-9.8E0,-2.E1)); +#12962=VERTEX_POINT('',#12960); +#12963=VERTEX_POINT('',#12961); +#12964=CARTESIAN_POINT('',(5.925E0,-9.8E0,-2.E1)); +#12965=CARTESIAN_POINT('',(5.925E0,-7.85E0,-2.E1)); +#12966=VERTEX_POINT('',#12964); +#12967=VERTEX_POINT('',#12965); +#12968=CARTESIAN_POINT('',(5.475E0,-7.4E0,-2.E1)); +#12969=CARTESIAN_POINT('',(5.025E0,-7.4E0,-2.E1)); +#12970=VERTEX_POINT('',#12968); +#12971=VERTEX_POINT('',#12969); +#12972=CARTESIAN_POINT('',(2.263705121181E1,7.55E0,-1.9275E1)); +#12973=CARTESIAN_POINT('',(2.37E1,7.55E0,-1.9275E1)); +#12974=VERTEX_POINT('',#12972); +#12975=VERTEX_POINT('',#12973); +#12976=CARTESIAN_POINT('',(2.604520787991E1,1.305E1,-1.9275E1)); +#12977=VERTEX_POINT('',#12976); +#12978=CARTESIAN_POINT('',(2.135479212009E1,1.305E1,-1.9275E1)); +#12979=VERTEX_POINT('',#12978); +#12980=CARTESIAN_POINT('',(2.083235294118E1,1.232941176471E1,-1.9275E1)); +#12981=VERTEX_POINT('',#12980); +#12982=CARTESIAN_POINT('',(1.995E1,1.18E1,-1.9275E1)); +#12983=VERTEX_POINT('',#12982); +#12984=CARTESIAN_POINT('',(2.74E1,-4.907825257219E0,-1.9275E1)); +#12985=CARTESIAN_POINT('',(2.52E1,-4.05E0,-1.9275E1)); +#12986=VERTEX_POINT('',#12984); +#12987=VERTEX_POINT('',#12985); +#12988=CARTESIAN_POINT('',(2.27E1,-4.05E0,-1.9275E1)); +#12989=VERTEX_POINT('',#12988); +#12990=CARTESIAN_POINT('',(1.955431868506E1,-1.055E1,-1.9275E1)); +#12991=CARTESIAN_POINT('',(2.52E1,-1.055E1,-1.9275E1)); +#12992=VERTEX_POINT('',#12990); +#12993=VERTEX_POINT('',#12991); +#12994=CARTESIAN_POINT('',(2.74E1,-9.692174742781E0,-1.9275E1)); +#12995=VERTEX_POINT('',#12994); +#12996=CARTESIAN_POINT('',(-2.604520787991E1,1.305E1,-1.9275E1)); +#12997=CARTESIAN_POINT('',(-2.37E1,7.55E0,-1.9275E1)); +#12998=VERTEX_POINT('',#12996); +#12999=VERTEX_POINT('',#12997); +#13000=CARTESIAN_POINT('',(-2.263705121181E1,7.55E0,-1.9275E1)); +#13001=VERTEX_POINT('',#13000); +#13002=CARTESIAN_POINT('',(-1.995E1,1.18E1,-1.9275E1)); +#13003=CARTESIAN_POINT('',(-2.083235294118E1,1.232941176471E1,-1.9275E1)); +#13004=VERTEX_POINT('',#13002); +#13005=VERTEX_POINT('',#13003); +#13006=CARTESIAN_POINT('',(-2.135479212009E1,1.305E1,-1.9275E1)); +#13007=VERTEX_POINT('',#13006); +#13008=CARTESIAN_POINT('',(-2.74E1,-4.907825257219E0,-1.9275E1)); +#13009=CARTESIAN_POINT('',(-2.74E1,-9.692174742781E0,-1.9275E1)); +#13010=VERTEX_POINT('',#13008); +#13011=VERTEX_POINT('',#13009); +#13012=CARTESIAN_POINT('',(-2.52E1,-1.055E1,-1.9275E1)); +#13013=VERTEX_POINT('',#13012); +#13014=CARTESIAN_POINT('',(-1.955431868506E1,-1.055E1,-1.9275E1)); +#13015=VERTEX_POINT('',#13014); +#13016=CARTESIAN_POINT('',(-2.27E1,-4.05E0,-1.9275E1)); +#13017=CARTESIAN_POINT('',(-2.52E1,-4.05E0,-1.9275E1)); +#13018=VERTEX_POINT('',#13016); +#13019=VERTEX_POINT('',#13017); +#13020=CARTESIAN_POINT('',(2.263705121181E1,7.55E0,-2.E1)); +#13021=VERTEX_POINT('',#13020); +#13022=CARTESIAN_POINT('',(2.37E1,7.55E0,-2.E1)); +#13023=VERTEX_POINT('',#13022); +#13024=CARTESIAN_POINT('',(2.604520787991E1,1.305E1,-2.E1)); +#13025=VERTEX_POINT('',#13024); +#13026=CARTESIAN_POINT('',(2.135479212009E1,1.305E1,-2.E1)); +#13027=VERTEX_POINT('',#13026); +#13028=CARTESIAN_POINT('',(2.083235294118E1,1.232941176471E1,-2.E1)); +#13029=VERTEX_POINT('',#13028); +#13030=CARTESIAN_POINT('',(1.995E1,1.18E1,-2.E1)); +#13031=VERTEX_POINT('',#13030); +#13032=CARTESIAN_POINT('',(2.74E1,-4.907825257219E0,-2.E1)); +#13033=CARTESIAN_POINT('',(2.52E1,-4.05E0,-2.E1)); +#13034=VERTEX_POINT('',#13032); +#13035=VERTEX_POINT('',#13033); +#13036=CARTESIAN_POINT('',(2.27E1,-4.05E0,-2.E1)); +#13037=VERTEX_POINT('',#13036); +#13038=CARTESIAN_POINT('',(1.955431868506E1,-1.055E1,-2.E1)); +#13039=VERTEX_POINT('',#13038); +#13040=CARTESIAN_POINT('',(2.52E1,-1.055E1,-2.E1)); +#13041=VERTEX_POINT('',#13040); +#13042=CARTESIAN_POINT('',(2.74E1,-9.692174742781E0,-2.E1)); +#13043=VERTEX_POINT('',#13042); +#13044=CARTESIAN_POINT('',(-2.604520787991E1,1.305E1,-2.E1)); +#13045=CARTESIAN_POINT('',(-2.37E1,7.55E0,-2.E1)); +#13046=VERTEX_POINT('',#13044); +#13047=VERTEX_POINT('',#13045); +#13048=CARTESIAN_POINT('',(-2.263705121181E1,7.55E0,-2.E1)); +#13049=VERTEX_POINT('',#13048); +#13050=CARTESIAN_POINT('',(-2.083235294118E1,1.232941176471E1,-2.E1)); +#13051=CARTESIAN_POINT('',(-1.995E1,1.18E1,-2.E1)); +#13052=VERTEX_POINT('',#13050); +#13053=VERTEX_POINT('',#13051); +#13054=CARTESIAN_POINT('',(-2.135479212009E1,1.305E1,-2.E1)); +#13055=VERTEX_POINT('',#13054); +#13056=CARTESIAN_POINT('',(-2.74E1,-4.907825257219E0,-2.E1)); +#13057=CARTESIAN_POINT('',(-2.74E1,-9.692174742781E0,-2.E1)); +#13058=VERTEX_POINT('',#13056); +#13059=VERTEX_POINT('',#13057); +#13060=CARTESIAN_POINT('',(-2.52E1,-1.055E1,-2.E1)); +#13061=VERTEX_POINT('',#13060); +#13062=CARTESIAN_POINT('',(-1.955431868506E1,-1.055E1,-2.E1)); +#13063=VERTEX_POINT('',#13062); +#13064=CARTESIAN_POINT('',(-2.27E1,-4.05E0,-2.E1)); +#13065=VERTEX_POINT('',#13064); +#13066=CARTESIAN_POINT('',(-2.52E1,-4.05E0,-2.E1)); +#13067=VERTEX_POINT('',#13066); +#13068=CARTESIAN_POINT('',(-1.275E1,9.8E0,-2.E1)); +#13069=CARTESIAN_POINT('',(-1.275E1,9.05E0,-2.E1)); +#13070=VERTEX_POINT('',#13068); +#13071=VERTEX_POINT('',#13069); +#13072=CARTESIAN_POINT('',(-1.23E1,8.6E0,-2.E1)); +#13073=CARTESIAN_POINT('',(-1.22E1,8.6E0,-2.E1)); +#13074=VERTEX_POINT('',#13072); +#13075=VERTEX_POINT('',#13073); +#13076=CARTESIAN_POINT('',(-7.75E0,1.03E1,-2.E1)); +#13077=CARTESIAN_POINT('',(-6.25E0,1.03E1,-2.E1)); +#13078=VERTEX_POINT('',#13076); +#13079=VERTEX_POINT('',#13077); +#13080=CARTESIAN_POINT('',(2.75E0,1.03E1,-2.E1)); +#13081=CARTESIAN_POINT('',(4.25E0,1.03E1,-2.E1)); +#13082=VERTEX_POINT('',#13080); +#13083=VERTEX_POINT('',#13081); +#13084=CARTESIAN_POINT('',(6.25E0,1.03E1,-2.E1)); +#13085=CARTESIAN_POINT('',(7.75E0,1.03E1,-2.E1)); +#13086=VERTEX_POINT('',#13084); +#13087=VERTEX_POINT('',#13085); +#13088=CARTESIAN_POINT('',(9.75E0,1.03E1,-2.E1)); +#13089=CARTESIAN_POINT('',(1.125E1,1.03E1,-2.E1)); +#13090=VERTEX_POINT('',#13088); +#13091=VERTEX_POINT('',#13089); +#13092=CARTESIAN_POINT('',(1.325E1,1.03E1,-2.E1)); +#13093=VERTEX_POINT('',#13092); +#13094=CARTESIAN_POINT('',(7.5E-1,-1.03E1,-2.E1)); +#13095=CARTESIAN_POINT('',(-7.5E-1,-1.03E1,-2.E1)); +#13096=VERTEX_POINT('',#13094); +#13097=VERTEX_POINT('',#13095); +#13098=CARTESIAN_POINT('',(-2.75E0,-1.03E1,-2.E1)); +#13099=CARTESIAN_POINT('',(-4.25E0,-1.03E1,-2.E1)); +#13100=VERTEX_POINT('',#13098); +#13101=VERTEX_POINT('',#13099); +#13102=CARTESIAN_POINT('',(-6.25E0,-1.03E1,-2.E1)); +#13103=CARTESIAN_POINT('',(-7.75E0,-1.03E1,-2.E1)); +#13104=VERTEX_POINT('',#13102); +#13105=VERTEX_POINT('',#13103); +#13106=CARTESIAN_POINT('',(-9.75E0,-1.03E1,-2.E1)); +#13107=CARTESIAN_POINT('',(-1.125E1,-1.03E1,-2.E1)); +#13108=VERTEX_POINT('',#13106); +#13109=VERTEX_POINT('',#13107); +#13110=CARTESIAN_POINT('',(-1.325E1,-1.03E1,-2.E1)); +#13111=VERTEX_POINT('',#13110); +#13112=CARTESIAN_POINT('',(-4.25E0,1.03E1,-2.E1)); +#13113=CARTESIAN_POINT('',(-2.925E0,1.03E1,-2.E1)); +#13114=VERTEX_POINT('',#13112); +#13115=VERTEX_POINT('',#13113); +#13116=CARTESIAN_POINT('',(-5.75E-1,1.03E1,-2.E1)); +#13117=CARTESIAN_POINT('',(7.5E-1,1.03E1,-2.E1)); +#13118=VERTEX_POINT('',#13116); +#13119=VERTEX_POINT('',#13117); +#13120=CARTESIAN_POINT('',(7.75E0,-1.03E1,-2.E1)); +#13121=CARTESIAN_POINT('',(6.425E0,-1.03E1,-2.E1)); +#13122=VERTEX_POINT('',#13120); +#13123=VERTEX_POINT('',#13121); +#13124=CARTESIAN_POINT('',(4.075E0,-1.03E1,-2.E1)); +#13125=CARTESIAN_POINT('',(2.75E0,-1.03E1,-2.E1)); +#13126=VERTEX_POINT('',#13124); +#13127=VERTEX_POINT('',#13125); +#13128=CARTESIAN_POINT('',(1.620833334029E1,4.271276593208E0,-1.98E1)); +#13129=CARTESIAN_POINT('',(1.615E1,4.228723406792E0,-1.98E1)); +#13130=VERTEX_POINT('',#13128); +#13131=VERTEX_POINT('',#13129); +#13132=CARTESIAN_POINT('',(1.603333333507E1,4.101063847542E0,-1.98E1)); +#13133=VERTEX_POINT('',#13132); +#13134=CARTESIAN_POINT('',(1.5975E1,3.888297855854E0,-1.98E1)); +#13135=VERTEX_POINT('',#13134); +#13136=CARTESIAN_POINT('',(1.5975E1,3.760638296604E0,-1.98E1)); +#13137=VERTEX_POINT('',#13136); +#13138=CARTESIAN_POINT('',(1.603333333507E1,3.547872334719E0,-1.98E1)); +#13139=VERTEX_POINT('',#13138); +#13140=CARTESIAN_POINT('',(1.612083332986E1,3.420212760568E0,-1.98E1)); +#13141=VERTEX_POINT('',#13140); +#13142=CARTESIAN_POINT('',(1.626666665971E1,3.292553190142E0,-1.98E1)); +#13143=VERTEX_POINT('',#13142); +#13144=CARTESIAN_POINT('',(1.64125E1,3.25E0,-1.98E1)); +#13145=VERTEX_POINT('',#13144); +#13146=CARTESIAN_POINT('',(1.65875E1,3.25E0,-1.98E1)); +#13147=VERTEX_POINT('',#13146); +#13148=CARTESIAN_POINT('',(1.673333336115E1,3.292553190142E0,-1.98E1)); +#13149=VERTEX_POINT('',#13148); +#13150=CARTESIAN_POINT('',(1.687916663885E1,3.420212760568E0,-1.98E1)); +#13151=VERTEX_POINT('',#13150); +#13152=CARTESIAN_POINT('',(1.696666663885E1,3.547872334719E0,-1.98E1)); +#13153=VERTEX_POINT('',#13152); +#13154=CARTESIAN_POINT('',(1.7025E1,3.760638296604E0,-1.98E1)); +#13155=VERTEX_POINT('',#13154); +#13156=CARTESIAN_POINT('',(1.7025E1,3.888297855854E0,-1.98E1)); +#13157=VERTEX_POINT('',#13156); +#13158=CARTESIAN_POINT('',(1.696666663885E1,4.101063847542E0,-1.98E1)); +#13159=VERTEX_POINT('',#13158); +#13160=CARTESIAN_POINT('',(1.685E1,4.228723406792E0,-1.98E1)); +#13161=VERTEX_POINT('',#13160); +#13162=CARTESIAN_POINT('',(1.679166663885E1,4.271276593208E0,-1.98E1)); +#13163=VERTEX_POINT('',#13162); +#13164=CARTESIAN_POINT('',(1.685E1,4.313829779625E0,-1.98E1)); +#13165=VERTEX_POINT('',#13164); +#13166=CARTESIAN_POINT('',(1.69375E1,4.441489338875E0,-1.98E1)); +#13167=VERTEX_POINT('',#13166); +#13168=CARTESIAN_POINT('',(1.699583336115E1,4.611702084541E0,-1.98E1)); +#13169=VERTEX_POINT('',#13168); +#13170=CARTESIAN_POINT('',(1.699583336115E1,4.739361643791E0,-1.98E1)); +#13171=VERTEX_POINT('',#13170); +#13172=CARTESIAN_POINT('',(1.69375E1,4.952127695084E0,-1.98E1)); +#13173=VERTEX_POINT('',#13172); +#13174=CARTESIAN_POINT('',(1.685E1,5.079787254333E0,-1.98E1)); +#13175=VERTEX_POINT('',#13174); +#13176=CARTESIAN_POINT('',(1.670416663885E1,5.207446813583E0,-1.98E1)); +#13177=VERTEX_POINT('',#13176); +#13178=CARTESIAN_POINT('',(1.655833331943E1,5.25E0,-1.98E1)); +#13179=VERTEX_POINT('',#13178); +#13180=CARTESIAN_POINT('',(1.644166668057E1,5.25E0,-1.98E1)); +#13181=VERTEX_POINT('',#13180); +#13182=CARTESIAN_POINT('',(1.629583334029E1,5.207446813583E0,-1.98E1)); +#13183=VERTEX_POINT('',#13182); +#13184=CARTESIAN_POINT('',(1.615E1,5.079787254333E0,-1.98E1)); +#13185=VERTEX_POINT('',#13184); +#13186=CARTESIAN_POINT('',(1.60625E1,4.952127695084E0,-1.98E1)); +#13187=VERTEX_POINT('',#13186); +#13188=CARTESIAN_POINT('',(1.600416666754E1,4.739361643791E0,-1.98E1)); +#13189=VERTEX_POINT('',#13188); +#13190=CARTESIAN_POINT('',(1.600416666754E1,4.611702084541E0,-1.98E1)); +#13191=VERTEX_POINT('',#13190); +#13192=CARTESIAN_POINT('',(1.60625E1,4.441489338875E0,-1.98E1)); +#13193=VERTEX_POINT('',#13192); +#13194=CARTESIAN_POINT('',(1.615E1,4.313829779625E0,-1.98E1)); +#13195=VERTEX_POINT('',#13194); +#13196=CARTESIAN_POINT('',(1.644166668057E1,3.462765961885E0,-1.98E1)); +#13197=CARTESIAN_POINT('',(1.6325E1,3.505319148302E0,-1.98E1)); +#13198=VERTEX_POINT('',#13196); +#13199=VERTEX_POINT('',#13197); +#13200=CARTESIAN_POINT('',(1.620833334029E1,3.590425521135E0,-1.98E1)); +#13201=VERTEX_POINT('',#13200); +#13202=CARTESIAN_POINT('',(1.612083332986E1,3.760638296604E0,-1.98E1)); +#13203=VERTEX_POINT('',#13202); +#13204=CARTESIAN_POINT('',(1.612083332986E1,3.888297855854E0,-1.98E1)); +#13205=VERTEX_POINT('',#13204); +#13206=CARTESIAN_POINT('',(1.620833334029E1,4.058510661125E0,-1.98E1)); +#13207=VERTEX_POINT('',#13206); +#13208=CARTESIAN_POINT('',(1.6325E1,4.143617033958E0,-1.98E1)); +#13209=VERTEX_POINT('',#13208); +#13210=CARTESIAN_POINT('',(1.644166668057E1,4.186170220375E0,-1.98E1)); +#13211=VERTEX_POINT('',#13210); +#13212=CARTESIAN_POINT('',(1.655833331943E1,4.186170220375E0,-1.98E1)); +#13213=VERTEX_POINT('',#13212); +#13214=CARTESIAN_POINT('',(1.6675E1,4.143617033958E0,-1.98E1)); +#13215=VERTEX_POINT('',#13214); +#13216=CARTESIAN_POINT('',(1.679166663885E1,4.058510661125E0,-1.98E1)); +#13217=VERTEX_POINT('',#13216); +#13218=CARTESIAN_POINT('',(1.687916663885E1,3.888297855854E0,-1.98E1)); +#13219=VERTEX_POINT('',#13218); +#13220=CARTESIAN_POINT('',(1.687916663885E1,3.760638296604E0,-1.98E1)); +#13221=VERTEX_POINT('',#13220); +#13222=CARTESIAN_POINT('',(1.679166663885E1,3.590425521135E0,-1.98E1)); +#13223=VERTEX_POINT('',#13222); +#13224=CARTESIAN_POINT('',(1.6675E1,3.505319148302E0,-1.98E1)); +#13225=VERTEX_POINT('',#13224); +#13226=CARTESIAN_POINT('',(1.655833331943E1,3.462765961885E0,-1.98E1)); +#13227=VERTEX_POINT('',#13226); +#13228=CARTESIAN_POINT('',(1.655833331943E1,5.037234067917E0,-1.98E1)); +#13229=CARTESIAN_POINT('',(1.6675E1,4.994680881500E0,-1.98E1)); +#13230=VERTEX_POINT('',#13228); +#13231=VERTEX_POINT('',#13229); +#13232=CARTESIAN_POINT('',(1.679166663885E1,4.867021322250E0,-1.98E1)); +#13233=VERTEX_POINT('',#13232); +#13234=CARTESIAN_POINT('',(1.685E1,4.739361643791E0,-1.98E1)); +#13235=VERTEX_POINT('',#13234); +#13236=CARTESIAN_POINT('',(1.685E1,4.654255270958E0,-1.98E1)); +#13237=VERTEX_POINT('',#13236); +#13238=CARTESIAN_POINT('',(1.679166663885E1,4.526595711708E0,-1.98E1)); +#13239=VERTEX_POINT('',#13238); +#13240=CARTESIAN_POINT('',(1.6675E1,4.398936152458E0,-1.98E1)); +#13241=VERTEX_POINT('',#13240); +#13242=CARTESIAN_POINT('',(1.655833331943E1,4.356382966042E0,-1.98E1)); +#13243=VERTEX_POINT('',#13242); +#13244=CARTESIAN_POINT('',(1.644166668057E1,4.356382966042E0,-1.98E1)); +#13245=VERTEX_POINT('',#13244); +#13246=CARTESIAN_POINT('',(1.6325E1,4.398936152458E0,-1.98E1)); +#13247=VERTEX_POINT('',#13246); +#13248=CARTESIAN_POINT('',(1.620833334029E1,4.526595711708E0,-1.98E1)); +#13249=VERTEX_POINT('',#13248); +#13250=CARTESIAN_POINT('',(1.615E1,4.654255270958E0,-1.98E1)); +#13251=VERTEX_POINT('',#13250); +#13252=CARTESIAN_POINT('',(1.615E1,4.739361643791E0,-1.98E1)); +#13253=VERTEX_POINT('',#13252); +#13254=CARTESIAN_POINT('',(1.620833334029E1,4.867021322250E0,-1.98E1)); +#13255=VERTEX_POINT('',#13254); +#13256=CARTESIAN_POINT('',(1.6325E1,4.994680881500E0,-1.98E1)); +#13257=VERTEX_POINT('',#13256); +#13258=CARTESIAN_POINT('',(1.644166668057E1,5.037234067917E0,-1.98E1)); +#13259=VERTEX_POINT('',#13258); +#13260=CARTESIAN_POINT('',(1.541666669250E1,-6.037234038115E0,-1.98E1)); +#13261=CARTESIAN_POINT('',(1.541666669250E1,-6.25E0,-1.98E1)); +#13262=VERTEX_POINT('',#13260); +#13263=VERTEX_POINT('',#13261); +#13264=CARTESIAN_POINT('',(1.639166669250E1,-6.25E0,-1.98E1)); +#13265=VERTEX_POINT('',#13264); +#13266=CARTESIAN_POINT('',(1.639166669250E1,-6.037234038115E0,-1.98E1)); +#13267=VERTEX_POINT('',#13266); +#13268=CARTESIAN_POINT('',(1.563333336562E1,-6.037234038115E0,-1.98E1)); +#13269=VERTEX_POINT('',#13268); +#13270=CARTESIAN_POINT('',(1.631041669250E1,-5.101063847542E0,-1.98E1)); +#13271=VERTEX_POINT('',#13270); +#13272=CARTESIAN_POINT('',(1.636458338499E1,-4.973404288292E0,-1.98E1)); +#13273=VERTEX_POINT('',#13272); +#13274=CARTESIAN_POINT('',(1.639166669250E1,-4.803191542625E0,-1.98E1)); +#13275=VERTEX_POINT('',#13274); +#13276=CARTESIAN_POINT('',(1.639166669250E1,-4.718085050583E0,-1.98E1)); +#13277=VERTEX_POINT('',#13276); +#13278=CARTESIAN_POINT('',(1.636458338499E1,-4.547872304916E0,-1.98E1)); +#13279=VERTEX_POINT('',#13278); +#13280=CARTESIAN_POINT('',(1.625625E1,-4.377659559250E0,-1.98E1)); +#13281=VERTEX_POINT('',#13280); +#13282=CARTESIAN_POINT('',(1.614791669250E1,-4.292553186417E0,-1.98E1)); +#13283=VERTEX_POINT('',#13282); +#13284=CARTESIAN_POINT('',(1.598541669250E1,-4.25E0,-1.98E1)); +#13285=VERTEX_POINT('',#13284); +#13286=CARTESIAN_POINT('',(1.587708334625E1,-4.25E0,-1.98E1)); +#13287=VERTEX_POINT('',#13286); +#13288=CARTESIAN_POINT('',(1.568750001937E1,-4.292553186417E0,-1.98E1)); +#13289=VERTEX_POINT('',#13288); +#13290=CARTESIAN_POINT('',(1.557916669250E1,-4.377659559250E0,-1.98E1)); +#13291=VERTEX_POINT('',#13290); +#13292=CARTESIAN_POINT('',(1.547083336078E1,-4.547872304916E0,-1.98E1)); +#13293=VERTEX_POINT('',#13292); +#13294=CARTESIAN_POINT('',(1.544375002664E1,-4.718085050583E0,-1.98E1)); +#13295=VERTEX_POINT('',#13294); +#13296=CARTESIAN_POINT('',(1.557916669250E1,-4.718085050583E0,-1.98E1)); +#13297=VERTEX_POINT('',#13296); +#13298=CARTESIAN_POINT('',(1.563333336562E1,-4.590425491333E0,-1.98E1)); +#13299=VERTEX_POINT('',#13298); +#13300=CARTESIAN_POINT('',(1.571458336562E1,-4.505319118500E0,-1.98E1)); +#13301=VERTEX_POINT('',#13300); +#13302=CARTESIAN_POINT('',(1.585000003874E1,-4.462765932083E0,-1.98E1)); +#13303=VERTEX_POINT('',#13302); +#13304=CARTESIAN_POINT('',(1.595833334625E1,-4.462765932083E0,-1.98E1)); +#13305=VERTEX_POINT('',#13304); +#13306=CARTESIAN_POINT('',(1.609375E1,-4.505319118500E0,-1.98E1)); +#13307=VERTEX_POINT('',#13306); +#13308=CARTESIAN_POINT('',(1.6175E1,-4.590425491333E0,-1.98E1)); +#13309=VERTEX_POINT('',#13308); +#13310=CARTESIAN_POINT('',(1.622916669250E1,-4.718085050583E0,-1.98E1)); +#13311=VERTEX_POINT('',#13310); +#13312=CARTESIAN_POINT('',(1.622916669250E1,-4.803191542625E0,-1.98E1)); +#13313=VERTEX_POINT('',#13312); +#13314=CARTESIAN_POINT('',(1.6175E1,-4.973404288292E0,-1.98E1)); +#13315=VERTEX_POINT('',#13314); +#13316=CARTESIAN_POINT('',(1.750208330750E1,-6.037234038115E0,-1.98E1)); +#13317=CARTESIAN_POINT('',(1.755625007749E1,-5.909574478865E0,-1.98E1)); +#13318=VERTEX_POINT('',#13316); +#13319=VERTEX_POINT('',#13317); +#13320=CARTESIAN_POINT('',(1.758333330750E1,-5.781914889812E0,-1.98E1)); +#13321=VERTEX_POINT('',#13320); +#13322=CARTESIAN_POINT('',(1.758333330750E1,-5.611702144146E0,-1.98E1)); +#13323=VERTEX_POINT('',#13322); +#13324=CARTESIAN_POINT('',(1.755625007749E1,-5.441489338875E0,-1.98E1)); +#13325=VERTEX_POINT('',#13324); +#13326=CARTESIAN_POINT('',(1.750208330750E1,-5.313829779625E0,-1.98E1)); +#13327=VERTEX_POINT('',#13326); +#13328=CARTESIAN_POINT('',(1.736666669250E1,-5.228723406792E0,-1.98E1)); +#13329=VERTEX_POINT('',#13328); +#13330=CARTESIAN_POINT('',(1.747500007749E1,-5.101063847542E0,-1.98E1)); +#13331=VERTEX_POINT('',#13330); +#13332=CARTESIAN_POINT('',(1.752916669250E1,-4.973404288292E0,-1.98E1)); +#13333=VERTEX_POINT('',#13332); +#13334=CARTESIAN_POINT('',(1.755625007749E1,-4.803191542625E0,-1.98E1)); +#13335=VERTEX_POINT('',#13334); +#13336=CARTESIAN_POINT('',(1.755625007749E1,-4.718085050583E0,-1.98E1)); +#13337=VERTEX_POINT('',#13336); +#13338=CARTESIAN_POINT('',(1.752916669250E1,-4.547872304916E0,-1.98E1)); +#13339=VERTEX_POINT('',#13338); +#13340=CARTESIAN_POINT('',(1.742083330750E1,-4.377659559250E0,-1.98E1)); +#13341=VERTEX_POINT('',#13340); +#13342=CARTESIAN_POINT('',(1.731250007749E1,-4.292553186417E0,-1.98E1)); +#13343=VERTEX_POINT('',#13342); +#13344=CARTESIAN_POINT('',(1.712291669250E1,-4.25E0,-1.98E1)); +#13345=VERTEX_POINT('',#13344); +#13346=CARTESIAN_POINT('',(1.706875007749E1,-4.25E0,-1.98E1)); +#13347=VERTEX_POINT('',#13346); +#13348=CARTESIAN_POINT('',(1.687916669250E1,-4.292553186417E0,-1.98E1)); +#13349=VERTEX_POINT('',#13348); +#13350=CARTESIAN_POINT('',(1.677083330750E1,-4.377659559250E0,-1.98E1)); +#13351=VERTEX_POINT('',#13350); +#13352=CARTESIAN_POINT('',(1.66625E1,-4.547872304916E0,-1.98E1)); +#13353=VERTEX_POINT('',#13352); +#13354=CARTESIAN_POINT('',(1.663541669250E1,-4.718085050583E0,-1.98E1)); +#13355=VERTEX_POINT('',#13354); +#13356=CARTESIAN_POINT('',(1.677083330750E1,-4.718085050583E0,-1.98E1)); +#13357=VERTEX_POINT('',#13356); +#13358=CARTESIAN_POINT('',(1.682500007749E1,-4.590425491333E0,-1.98E1)); +#13359=VERTEX_POINT('',#13358); +#13360=CARTESIAN_POINT('',(1.690625007749E1,-4.505319118500E0,-1.98E1)); +#13361=VERTEX_POINT('',#13360); +#13362=CARTESIAN_POINT('',(1.704166669250E1,-4.462765932083E0,-1.98E1)); +#13363=VERTEX_POINT('',#13362); +#13364=CARTESIAN_POINT('',(1.715000007749E1,-4.462765932083E0,-1.98E1)); +#13365=VERTEX_POINT('',#13364); +#13366=CARTESIAN_POINT('',(1.728541669250E1,-4.505319118500E0,-1.98E1)); +#13367=VERTEX_POINT('',#13366); +#13368=CARTESIAN_POINT('',(1.736666669250E1,-4.590425491333E0,-1.98E1)); +#13369=VERTEX_POINT('',#13368); +#13370=CARTESIAN_POINT('',(1.742083330750E1,-4.718085050583E0,-1.98E1)); +#13371=VERTEX_POINT('',#13370); +#13372=CARTESIAN_POINT('',(1.742083330750E1,-4.803191542625E0,-1.98E1)); +#13373=VERTEX_POINT('',#13372); +#13374=CARTESIAN_POINT('',(1.736666669250E1,-4.973404288292E0,-1.98E1)); +#13375=VERTEX_POINT('',#13374); +#13376=CARTESIAN_POINT('',(1.731250007749E1,-5.058510661125E0,-1.98E1)); +#13377=VERTEX_POINT('',#13376); +#13378=CARTESIAN_POINT('',(1.715000007749E1,-5.143617033958E0,-1.98E1)); +#13379=VERTEX_POINT('',#13378); +#13380=CARTESIAN_POINT('',(1.704166669250E1,-5.143617033958E0,-1.98E1)); +#13381=VERTEX_POINT('',#13380); +#13382=CARTESIAN_POINT('',(1.704166669250E1,-5.313829779625E0,-1.98E1)); +#13383=VERTEX_POINT('',#13382); +#13384=CARTESIAN_POINT('',(1.715000007749E1,-5.313829779625E0,-1.98E1)); +#13385=VERTEX_POINT('',#13384); +#13386=CARTESIAN_POINT('',(1.731250007749E1,-5.356382966042E0,-1.98E1)); +#13387=VERTEX_POINT('',#13386); +#13388=CARTESIAN_POINT('',(1.739375007749E1,-5.441489338875E0,-1.98E1)); +#13389=VERTEX_POINT('',#13388); +#13390=CARTESIAN_POINT('',(1.744791669250E1,-5.611702144146E0,-1.98E1)); +#13391=VERTEX_POINT('',#13390); +#13392=CARTESIAN_POINT('',(1.744791669250E1,-5.781914889812E0,-1.98E1)); +#13393=VERTEX_POINT('',#13392); +#13394=CARTESIAN_POINT('',(1.742083330750E1,-5.867021262646E0,-1.98E1)); +#13395=VERTEX_POINT('',#13394); +#13396=CARTESIAN_POINT('',(1.736666669250E1,-5.952127665281E0,-1.98E1)); +#13397=VERTEX_POINT('',#13396); +#13398=CARTESIAN_POINT('',(1.731250007749E1,-5.994680851698E0,-1.98E1)); +#13399=VERTEX_POINT('',#13398); +#13400=CARTESIAN_POINT('',(1.717708330750E1,-6.037234038115E0,-1.98E1)); +#13401=VERTEX_POINT('',#13400); +#13402=CARTESIAN_POINT('',(1.701458330750E1,-6.037234038115E0,-1.98E1)); +#13403=VERTEX_POINT('',#13402); +#13404=CARTESIAN_POINT('',(1.687916669250E1,-5.994680851698E0,-1.98E1)); +#13405=VERTEX_POINT('',#13404); +#13406=CARTESIAN_POINT('',(1.682500007749E1,-5.952127665281E0,-1.98E1)); +#13407=VERTEX_POINT('',#13406); +#13408=CARTESIAN_POINT('',(1.677083330750E1,-5.867021262646E0,-1.98E1)); +#13409=VERTEX_POINT('',#13408); +#13410=CARTESIAN_POINT('',(1.674375007749E1,-5.781914889812E0,-1.98E1)); +#13411=VERTEX_POINT('',#13410); +#13412=CARTESIAN_POINT('',(1.660833338499E1,-5.781914889812E0,-1.98E1)); +#13413=VERTEX_POINT('',#13412); +#13414=CARTESIAN_POINT('',(1.663541669250E1,-5.909574478865E0,-1.98E1)); +#13415=VERTEX_POINT('',#13414); +#13416=CARTESIAN_POINT('',(1.668958338499E1,-6.037234038115E0,-1.98E1)); +#13417=VERTEX_POINT('',#13416); +#13418=CARTESIAN_POINT('',(1.677083330750E1,-6.122340425849E0,-1.98E1)); +#13419=VERTEX_POINT('',#13418); +#13420=CARTESIAN_POINT('',(1.687916669250E1,-6.207446809858E0,-1.98E1)); +#13421=VERTEX_POINT('',#13420); +#13422=CARTESIAN_POINT('',(1.698750007749E1,-6.25E0,-1.98E1)); +#13423=VERTEX_POINT('',#13422); +#13424=CARTESIAN_POINT('',(1.720416669250E1,-6.25E0,-1.98E1)); +#13425=VERTEX_POINT('',#13424); +#13426=CARTESIAN_POINT('',(1.731250007749E1,-6.207446809858E0,-1.98E1)); +#13427=VERTEX_POINT('',#13426); +#13428=CARTESIAN_POINT('',(1.742083330750E1,-6.122340425849E0,-1.98E1)); +#13429=VERTEX_POINT('',#13428); +#13430=CARTESIAN_POINT('',(1.620833334029E1,4.271276593208E0,-2.E1)); +#13431=CARTESIAN_POINT('',(1.615E1,4.228723406792E0,-2.E1)); +#13432=VERTEX_POINT('',#13430); +#13433=VERTEX_POINT('',#13431); +#13434=CARTESIAN_POINT('',(1.603333333507E1,4.101063847542E0,-2.E1)); +#13435=VERTEX_POINT('',#13434); +#13436=CARTESIAN_POINT('',(1.5975E1,3.888297855854E0,-2.E1)); +#13437=VERTEX_POINT('',#13436); +#13438=CARTESIAN_POINT('',(1.5975E1,3.760638296604E0,-2.E1)); +#13439=VERTEX_POINT('',#13438); +#13440=CARTESIAN_POINT('',(1.603333333507E1,3.547872334719E0,-2.E1)); +#13441=VERTEX_POINT('',#13440); +#13442=CARTESIAN_POINT('',(1.612083332986E1,3.420212760568E0,-2.E1)); +#13443=VERTEX_POINT('',#13442); +#13444=CARTESIAN_POINT('',(1.626666665971E1,3.292553190142E0,-2.E1)); +#13445=VERTEX_POINT('',#13444); +#13446=CARTESIAN_POINT('',(1.64125E1,3.25E0,-2.E1)); +#13447=VERTEX_POINT('',#13446); +#13448=CARTESIAN_POINT('',(1.65875E1,3.25E0,-2.E1)); +#13449=VERTEX_POINT('',#13448); +#13450=CARTESIAN_POINT('',(1.673333336115E1,3.292553190142E0,-2.E1)); +#13451=VERTEX_POINT('',#13450); +#13452=CARTESIAN_POINT('',(1.687916663885E1,3.420212760568E0,-2.E1)); +#13453=VERTEX_POINT('',#13452); +#13454=CARTESIAN_POINT('',(1.696666663885E1,3.547872334719E0,-2.E1)); +#13455=VERTEX_POINT('',#13454); +#13456=CARTESIAN_POINT('',(1.7025E1,3.760638296604E0,-2.E1)); +#13457=VERTEX_POINT('',#13456); +#13458=CARTESIAN_POINT('',(1.7025E1,3.888297855854E0,-2.E1)); +#13459=VERTEX_POINT('',#13458); +#13460=CARTESIAN_POINT('',(1.696666663885E1,4.101063847542E0,-2.E1)); +#13461=VERTEX_POINT('',#13460); +#13462=CARTESIAN_POINT('',(1.685E1,4.228723406792E0,-2.E1)); +#13463=VERTEX_POINT('',#13462); +#13464=CARTESIAN_POINT('',(1.679166663885E1,4.271276593208E0,-2.E1)); +#13465=VERTEX_POINT('',#13464); +#13466=CARTESIAN_POINT('',(1.685E1,4.313829779625E0,-2.E1)); +#13467=VERTEX_POINT('',#13466); +#13468=CARTESIAN_POINT('',(1.69375E1,4.441489338875E0,-2.E1)); +#13469=VERTEX_POINT('',#13468); +#13470=CARTESIAN_POINT('',(1.699583336115E1,4.611702084541E0,-2.E1)); +#13471=VERTEX_POINT('',#13470); +#13472=CARTESIAN_POINT('',(1.699583336115E1,4.739361643791E0,-2.E1)); +#13473=VERTEX_POINT('',#13472); +#13474=CARTESIAN_POINT('',(1.69375E1,4.952127695084E0,-2.E1)); +#13475=VERTEX_POINT('',#13474); +#13476=CARTESIAN_POINT('',(1.685E1,5.079787254333E0,-2.E1)); +#13477=VERTEX_POINT('',#13476); +#13478=CARTESIAN_POINT('',(1.670416663885E1,5.207446813583E0,-2.E1)); +#13479=VERTEX_POINT('',#13478); +#13480=CARTESIAN_POINT('',(1.655833331943E1,5.25E0,-2.E1)); +#13481=VERTEX_POINT('',#13480); +#13482=CARTESIAN_POINT('',(1.644166668057E1,5.25E0,-2.E1)); +#13483=VERTEX_POINT('',#13482); +#13484=CARTESIAN_POINT('',(1.629583334029E1,5.207446813583E0,-2.E1)); +#13485=VERTEX_POINT('',#13484); +#13486=CARTESIAN_POINT('',(1.615E1,5.079787254333E0,-2.E1)); +#13487=VERTEX_POINT('',#13486); +#13488=CARTESIAN_POINT('',(1.60625E1,4.952127695084E0,-2.E1)); +#13489=VERTEX_POINT('',#13488); +#13490=CARTESIAN_POINT('',(1.600416666754E1,4.739361643791E0,-2.E1)); +#13491=VERTEX_POINT('',#13490); +#13492=CARTESIAN_POINT('',(1.600416666754E1,4.611702084541E0,-2.E1)); +#13493=VERTEX_POINT('',#13492); +#13494=CARTESIAN_POINT('',(1.60625E1,4.441489338875E0,-2.E1)); +#13495=VERTEX_POINT('',#13494); +#13496=CARTESIAN_POINT('',(1.615E1,4.313829779625E0,-2.E1)); +#13497=VERTEX_POINT('',#13496); +#13498=CARTESIAN_POINT('',(1.644166668057E1,3.462765961885E0,-2.E1)); +#13499=CARTESIAN_POINT('',(1.6325E1,3.505319148302E0,-2.E1)); +#13500=VERTEX_POINT('',#13498); +#13501=VERTEX_POINT('',#13499); +#13502=CARTESIAN_POINT('',(1.620833334029E1,3.590425521135E0,-2.E1)); +#13503=VERTEX_POINT('',#13502); +#13504=CARTESIAN_POINT('',(1.612083332986E1,3.760638296604E0,-2.E1)); +#13505=VERTEX_POINT('',#13504); +#13506=CARTESIAN_POINT('',(1.612083332986E1,3.888297855854E0,-2.E1)); +#13507=VERTEX_POINT('',#13506); +#13508=CARTESIAN_POINT('',(1.620833334029E1,4.058510661125E0,-2.E1)); +#13509=VERTEX_POINT('',#13508); +#13510=CARTESIAN_POINT('',(1.6325E1,4.143617033958E0,-2.E1)); +#13511=VERTEX_POINT('',#13510); +#13512=CARTESIAN_POINT('',(1.644166668057E1,4.186170220375E0,-2.E1)); +#13513=VERTEX_POINT('',#13512); +#13514=CARTESIAN_POINT('',(1.655833331943E1,4.186170220375E0,-2.E1)); +#13515=VERTEX_POINT('',#13514); +#13516=CARTESIAN_POINT('',(1.6675E1,4.143617033958E0,-2.E1)); +#13517=VERTEX_POINT('',#13516); +#13518=CARTESIAN_POINT('',(1.679166663885E1,4.058510661125E0,-2.E1)); +#13519=VERTEX_POINT('',#13518); +#13520=CARTESIAN_POINT('',(1.687916663885E1,3.888297855854E0,-2.E1)); +#13521=VERTEX_POINT('',#13520); +#13522=CARTESIAN_POINT('',(1.687916663885E1,3.760638296604E0,-2.E1)); +#13523=VERTEX_POINT('',#13522); +#13524=CARTESIAN_POINT('',(1.679166663885E1,3.590425521135E0,-2.E1)); +#13525=VERTEX_POINT('',#13524); +#13526=CARTESIAN_POINT('',(1.6675E1,3.505319148302E0,-2.E1)); +#13527=VERTEX_POINT('',#13526); +#13528=CARTESIAN_POINT('',(1.655833331943E1,3.462765961885E0,-2.E1)); +#13529=VERTEX_POINT('',#13528); +#13530=CARTESIAN_POINT('',(1.655833331943E1,5.037234067917E0,-2.E1)); +#13531=CARTESIAN_POINT('',(1.6675E1,4.994680881500E0,-2.E1)); +#13532=VERTEX_POINT('',#13530); +#13533=VERTEX_POINT('',#13531); +#13534=CARTESIAN_POINT('',(1.679166663885E1,4.867021322250E0,-2.E1)); +#13535=VERTEX_POINT('',#13534); +#13536=CARTESIAN_POINT('',(1.685E1,4.739361643791E0,-2.E1)); +#13537=VERTEX_POINT('',#13536); +#13538=CARTESIAN_POINT('',(1.685E1,4.654255270958E0,-2.E1)); +#13539=VERTEX_POINT('',#13538); +#13540=CARTESIAN_POINT('',(1.679166663885E1,4.526595711708E0,-2.E1)); +#13541=VERTEX_POINT('',#13540); +#13542=CARTESIAN_POINT('',(1.6675E1,4.398936152458E0,-2.E1)); +#13543=VERTEX_POINT('',#13542); +#13544=CARTESIAN_POINT('',(1.655833331943E1,4.356382966042E0,-2.E1)); +#13545=VERTEX_POINT('',#13544); +#13546=CARTESIAN_POINT('',(1.644166668057E1,4.356382966042E0,-2.E1)); +#13547=VERTEX_POINT('',#13546); +#13548=CARTESIAN_POINT('',(1.6325E1,4.398936152458E0,-2.E1)); +#13549=VERTEX_POINT('',#13548); +#13550=CARTESIAN_POINT('',(1.620833334029E1,4.526595711708E0,-2.E1)); +#13551=VERTEX_POINT('',#13550); +#13552=CARTESIAN_POINT('',(1.615E1,4.654255270958E0,-2.E1)); +#13553=VERTEX_POINT('',#13552); +#13554=CARTESIAN_POINT('',(1.615E1,4.739361643791E0,-2.E1)); +#13555=VERTEX_POINT('',#13554); +#13556=CARTESIAN_POINT('',(1.620833334029E1,4.867021322250E0,-2.E1)); +#13557=VERTEX_POINT('',#13556); +#13558=CARTESIAN_POINT('',(1.6325E1,4.994680881500E0,-2.E1)); +#13559=VERTEX_POINT('',#13558); +#13560=CARTESIAN_POINT('',(1.644166668057E1,5.037234067917E0,-2.E1)); +#13561=VERTEX_POINT('',#13560); +#13562=CARTESIAN_POINT('',(1.541666669250E1,-6.037234038115E0,-2.E1)); +#13563=CARTESIAN_POINT('',(1.541666669250E1,-6.25E0,-2.E1)); +#13564=VERTEX_POINT('',#13562); +#13565=VERTEX_POINT('',#13563); +#13566=CARTESIAN_POINT('',(1.639166669250E1,-6.25E0,-2.E1)); +#13567=VERTEX_POINT('',#13566); +#13568=CARTESIAN_POINT('',(1.639166669250E1,-6.037234038115E0,-2.E1)); +#13569=VERTEX_POINT('',#13568); +#13570=CARTESIAN_POINT('',(1.563333336562E1,-6.037234038115E0,-2.E1)); +#13571=VERTEX_POINT('',#13570); +#13572=CARTESIAN_POINT('',(1.631041669250E1,-5.101063847542E0,-2.E1)); +#13573=VERTEX_POINT('',#13572); +#13574=CARTESIAN_POINT('',(1.636458338499E1,-4.973404288292E0,-2.E1)); +#13575=VERTEX_POINT('',#13574); +#13576=CARTESIAN_POINT('',(1.639166669250E1,-4.803191542625E0,-2.E1)); +#13577=VERTEX_POINT('',#13576); +#13578=CARTESIAN_POINT('',(1.639166669250E1,-4.718085050583E0,-2.E1)); +#13579=VERTEX_POINT('',#13578); +#13580=CARTESIAN_POINT('',(1.636458338499E1,-4.547872304916E0,-2.E1)); +#13581=VERTEX_POINT('',#13580); +#13582=CARTESIAN_POINT('',(1.625625E1,-4.377659559250E0,-2.E1)); +#13583=VERTEX_POINT('',#13582); +#13584=CARTESIAN_POINT('',(1.614791669250E1,-4.292553186417E0,-2.E1)); +#13585=VERTEX_POINT('',#13584); +#13586=CARTESIAN_POINT('',(1.598541669250E1,-4.25E0,-2.E1)); +#13587=VERTEX_POINT('',#13586); +#13588=CARTESIAN_POINT('',(1.587708334625E1,-4.25E0,-2.E1)); +#13589=VERTEX_POINT('',#13588); +#13590=CARTESIAN_POINT('',(1.568750001937E1,-4.292553186417E0,-2.E1)); +#13591=VERTEX_POINT('',#13590); +#13592=CARTESIAN_POINT('',(1.557916669250E1,-4.377659559250E0,-2.E1)); +#13593=VERTEX_POINT('',#13592); +#13594=CARTESIAN_POINT('',(1.547083336078E1,-4.547872304916E0,-2.E1)); +#13595=VERTEX_POINT('',#13594); +#13596=CARTESIAN_POINT('',(1.544375002664E1,-4.718085050583E0,-2.E1)); +#13597=VERTEX_POINT('',#13596); +#13598=CARTESIAN_POINT('',(1.557916669250E1,-4.718085050583E0,-2.E1)); +#13599=VERTEX_POINT('',#13598); +#13600=CARTESIAN_POINT('',(1.563333336562E1,-4.590425491333E0,-2.E1)); +#13601=VERTEX_POINT('',#13600); +#13602=CARTESIAN_POINT('',(1.571458336562E1,-4.505319118500E0,-2.E1)); +#13603=VERTEX_POINT('',#13602); +#13604=CARTESIAN_POINT('',(1.585000003874E1,-4.462765932083E0,-2.E1)); +#13605=VERTEX_POINT('',#13604); +#13606=CARTESIAN_POINT('',(1.595833334625E1,-4.462765932083E0,-2.E1)); +#13607=VERTEX_POINT('',#13606); +#13608=CARTESIAN_POINT('',(1.609375E1,-4.505319118500E0,-2.E1)); +#13609=VERTEX_POINT('',#13608); +#13610=CARTESIAN_POINT('',(1.6175E1,-4.590425491333E0,-2.E1)); +#13611=VERTEX_POINT('',#13610); +#13612=CARTESIAN_POINT('',(1.622916669250E1,-4.718085050583E0,-2.E1)); +#13613=VERTEX_POINT('',#13612); +#13614=CARTESIAN_POINT('',(1.622916669250E1,-4.803191542625E0,-2.E1)); +#13615=VERTEX_POINT('',#13614); +#13616=CARTESIAN_POINT('',(1.6175E1,-4.973404288292E0,-2.E1)); +#13617=VERTEX_POINT('',#13616); +#13618=CARTESIAN_POINT('',(1.750208330750E1,-6.037234038115E0,-2.E1)); +#13619=CARTESIAN_POINT('',(1.755625007749E1,-5.909574478865E0,-2.E1)); +#13620=VERTEX_POINT('',#13618); +#13621=VERTEX_POINT('',#13619); +#13622=CARTESIAN_POINT('',(1.758333330750E1,-5.781914889812E0,-2.E1)); +#13623=VERTEX_POINT('',#13622); +#13624=CARTESIAN_POINT('',(1.758333330750E1,-5.611702144146E0,-2.E1)); +#13625=VERTEX_POINT('',#13624); +#13626=CARTESIAN_POINT('',(1.755625007749E1,-5.441489338875E0,-2.E1)); +#13627=VERTEX_POINT('',#13626); +#13628=CARTESIAN_POINT('',(1.750208330750E1,-5.313829779625E0,-2.E1)); +#13629=VERTEX_POINT('',#13628); +#13630=CARTESIAN_POINT('',(1.736666669250E1,-5.228723406792E0,-2.E1)); +#13631=VERTEX_POINT('',#13630); +#13632=CARTESIAN_POINT('',(1.747500007749E1,-5.101063847542E0,-2.E1)); +#13633=VERTEX_POINT('',#13632); +#13634=CARTESIAN_POINT('',(1.752916669250E1,-4.973404288292E0,-2.E1)); +#13635=VERTEX_POINT('',#13634); +#13636=CARTESIAN_POINT('',(1.755625007749E1,-4.803191542625E0,-2.E1)); +#13637=VERTEX_POINT('',#13636); +#13638=CARTESIAN_POINT('',(1.755625007749E1,-4.718085050583E0,-2.E1)); +#13639=VERTEX_POINT('',#13638); +#13640=CARTESIAN_POINT('',(1.752916669250E1,-4.547872304916E0,-2.E1)); +#13641=VERTEX_POINT('',#13640); +#13642=CARTESIAN_POINT('',(1.742083330750E1,-4.377659559250E0,-2.E1)); +#13643=VERTEX_POINT('',#13642); +#13644=CARTESIAN_POINT('',(1.731250007749E1,-4.292553186417E0,-2.E1)); +#13645=VERTEX_POINT('',#13644); +#13646=CARTESIAN_POINT('',(1.712291669250E1,-4.25E0,-2.E1)); +#13647=VERTEX_POINT('',#13646); +#13648=CARTESIAN_POINT('',(1.706875007749E1,-4.25E0,-2.E1)); +#13649=VERTEX_POINT('',#13648); +#13650=CARTESIAN_POINT('',(1.687916669250E1,-4.292553186417E0,-2.E1)); +#13651=VERTEX_POINT('',#13650); +#13652=CARTESIAN_POINT('',(1.677083330750E1,-4.377659559250E0,-2.E1)); +#13653=VERTEX_POINT('',#13652); +#13654=CARTESIAN_POINT('',(1.66625E1,-4.547872304916E0,-2.E1)); +#13655=VERTEX_POINT('',#13654); +#13656=CARTESIAN_POINT('',(1.663541669250E1,-4.718085050583E0,-2.E1)); +#13657=VERTEX_POINT('',#13656); +#13658=CARTESIAN_POINT('',(1.677083330750E1,-4.718085050583E0,-2.E1)); +#13659=VERTEX_POINT('',#13658); +#13660=CARTESIAN_POINT('',(1.682500007749E1,-4.590425491333E0,-2.E1)); +#13661=VERTEX_POINT('',#13660); +#13662=CARTESIAN_POINT('',(1.690625007749E1,-4.505319118500E0,-2.E1)); +#13663=VERTEX_POINT('',#13662); +#13664=CARTESIAN_POINT('',(1.704166669250E1,-4.462765932083E0,-2.E1)); +#13665=VERTEX_POINT('',#13664); +#13666=CARTESIAN_POINT('',(1.715000007749E1,-4.462765932083E0,-2.E1)); +#13667=VERTEX_POINT('',#13666); +#13668=CARTESIAN_POINT('',(1.728541669250E1,-4.505319118500E0,-2.E1)); +#13669=VERTEX_POINT('',#13668); +#13670=CARTESIAN_POINT('',(1.736666669250E1,-4.590425491333E0,-2.E1)); +#13671=VERTEX_POINT('',#13670); +#13672=CARTESIAN_POINT('',(1.742083330750E1,-4.718085050583E0,-2.E1)); +#13673=VERTEX_POINT('',#13672); +#13674=CARTESIAN_POINT('',(1.742083330750E1,-4.803191542625E0,-2.E1)); +#13675=VERTEX_POINT('',#13674); +#13676=CARTESIAN_POINT('',(1.736666669250E1,-4.973404288292E0,-2.E1)); +#13677=VERTEX_POINT('',#13676); +#13678=CARTESIAN_POINT('',(1.731250007749E1,-5.058510661125E0,-2.E1)); +#13679=VERTEX_POINT('',#13678); +#13680=CARTESIAN_POINT('',(1.715000007749E1,-5.143617033958E0,-2.E1)); +#13681=VERTEX_POINT('',#13680); +#13682=CARTESIAN_POINT('',(1.704166669250E1,-5.143617033958E0,-2.E1)); +#13683=VERTEX_POINT('',#13682); +#13684=CARTESIAN_POINT('',(1.704166669250E1,-5.313829779625E0,-2.E1)); +#13685=VERTEX_POINT('',#13684); +#13686=CARTESIAN_POINT('',(1.715000007749E1,-5.313829779625E0,-2.E1)); +#13687=VERTEX_POINT('',#13686); +#13688=CARTESIAN_POINT('',(1.731250007749E1,-5.356382966042E0,-2.E1)); +#13689=VERTEX_POINT('',#13688); +#13690=CARTESIAN_POINT('',(1.739375007749E1,-5.441489338875E0,-2.E1)); +#13691=VERTEX_POINT('',#13690); +#13692=CARTESIAN_POINT('',(1.744791669250E1,-5.611702144146E0,-2.E1)); +#13693=VERTEX_POINT('',#13692); +#13694=CARTESIAN_POINT('',(1.744791669250E1,-5.781914889812E0,-2.E1)); +#13695=VERTEX_POINT('',#13694); +#13696=CARTESIAN_POINT('',(1.742083330750E1,-5.867021262646E0,-2.E1)); +#13697=VERTEX_POINT('',#13696); +#13698=CARTESIAN_POINT('',(1.736666669250E1,-5.952127665281E0,-2.E1)); +#13699=VERTEX_POINT('',#13698); +#13700=CARTESIAN_POINT('',(1.731250007749E1,-5.994680851698E0,-2.E1)); +#13701=VERTEX_POINT('',#13700); +#13702=CARTESIAN_POINT('',(1.717708330750E1,-6.037234038115E0,-2.E1)); +#13703=VERTEX_POINT('',#13702); +#13704=CARTESIAN_POINT('',(1.701458330750E1,-6.037234038115E0,-2.E1)); +#13705=VERTEX_POINT('',#13704); +#13706=CARTESIAN_POINT('',(1.687916669250E1,-5.994680851698E0,-2.E1)); +#13707=VERTEX_POINT('',#13706); +#13708=CARTESIAN_POINT('',(1.682500007749E1,-5.952127665281E0,-2.E1)); +#13709=VERTEX_POINT('',#13708); +#13710=CARTESIAN_POINT('',(1.677083330750E1,-5.867021262646E0,-2.E1)); +#13711=VERTEX_POINT('',#13710); +#13712=CARTESIAN_POINT('',(1.674375007749E1,-5.781914889812E0,-2.E1)); +#13713=VERTEX_POINT('',#13712); +#13714=CARTESIAN_POINT('',(1.660833338499E1,-5.781914889812E0,-2.E1)); +#13715=VERTEX_POINT('',#13714); +#13716=CARTESIAN_POINT('',(1.663541669250E1,-5.909574478865E0,-2.E1)); +#13717=VERTEX_POINT('',#13716); +#13718=CARTESIAN_POINT('',(1.668958338499E1,-6.037234038115E0,-2.E1)); +#13719=VERTEX_POINT('',#13718); +#13720=CARTESIAN_POINT('',(1.677083330750E1,-6.122340425849E0,-2.E1)); +#13721=VERTEX_POINT('',#13720); +#13722=CARTESIAN_POINT('',(1.687916669250E1,-6.207446809858E0,-2.E1)); +#13723=VERTEX_POINT('',#13722); +#13724=CARTESIAN_POINT('',(1.698750007749E1,-6.25E0,-2.E1)); +#13725=VERTEX_POINT('',#13724); +#13726=CARTESIAN_POINT('',(1.720416669250E1,-6.25E0,-2.E1)); +#13727=VERTEX_POINT('',#13726); +#13728=CARTESIAN_POINT('',(1.731250007749E1,-6.207446809858E0,-2.E1)); +#13729=VERTEX_POINT('',#13728); +#13730=CARTESIAN_POINT('',(1.742083330750E1,-6.122340425849E0,-2.E1)); +#13731=VERTEX_POINT('',#13730); +#13732=CARTESIAN_POINT('',(4.526728026742E0,1.149449725639E1, +1.016225745589E-14)); +#13733=CARTESIAN_POINT('',(2.673271973258E0,1.149449725639E1, +1.016225745589E-14)); +#13734=VERTEX_POINT('',#13732); +#13735=VERTEX_POINT('',#13733); +#13736=CARTESIAN_POINT('',(4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#13737=CARTESIAN_POINT('',(2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#13738=VERTEX_POINT('',#13736); +#13739=VERTEX_POINT('',#13737); +#13740=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#13741=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.E-1)); +#13742=VERTEX_POINT('',#13740); +#13743=VERTEX_POINT('',#13741); +#13744=CARTESIAN_POINT('',(2.656255668237E0,1.13E1,0.E0)); +#13745=CARTESIAN_POINT('',(-2.656255668237E0,1.13E1,0.E0)); +#13746=VERTEX_POINT('',#13744); +#13747=VERTEX_POINT('',#13745); +#13748=CARTESIAN_POINT('',(-2.673271973258E0,1.149449725639E1, +1.016225745589E-14)); +#13749=VERTEX_POINT('',#13748); +#13750=CARTESIAN_POINT('',(-4.526728026742E0,1.149449725639E1, +1.016225745589E-14)); +#13751=VERTEX_POINT('',#13750); +#13752=CARTESIAN_POINT('',(-2.712196443560E0,1.193940598780E1, +-2.718416762019E-1)); +#13753=CARTESIAN_POINT('',(-4.487803556440E0,1.193940598780E1, +-2.718416762019E-1)); +#13754=VERTEX_POINT('',#13752); +#13755=VERTEX_POINT('',#13753); +#13756=CARTESIAN_POINT('',(1.549999999998E1,1.18E1,-5.E-1)); +#13757=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.E-1)); +#13758=VERTEX_POINT('',#13756); +#13759=VERTEX_POINT('',#13757); +#13760=CARTESIAN_POINT('',(1.55E1,1.13E1,0.E0)); +#13761=CARTESIAN_POINT('',(4.543744331763E0,1.13E1,0.E0)); +#13762=VERTEX_POINT('',#13760); +#13763=VERTEX_POINT('',#13761); +#13764=CARTESIAN_POINT('',(2.12E1,5.6E0,0.E0)); +#13765=VERTEX_POINT('',#13764); +#13766=CARTESIAN_POINT('',(2.17E1,5.6E0,-5.E-1)); +#13767=VERTEX_POINT('',#13766); +#13768=CARTESIAN_POINT('',(2.12E1,-5.6E0,0.E0)); +#13769=VERTEX_POINT('',#13768); +#13770=CARTESIAN_POINT('',(2.17E1,-5.599999999981E0,-5.E-1)); +#13771=VERTEX_POINT('',#13770); +#13772=CARTESIAN_POINT('',(1.55E1,-1.13E1,0.E0)); +#13773=VERTEX_POINT('',#13772); +#13774=CARTESIAN_POINT('',(1.55E1,-1.18E1,-5.E-1)); +#13775=VERTEX_POINT('',#13774); +#13776=CARTESIAN_POINT('',(-1.55E1,-1.13E1,0.E0)); +#13777=VERTEX_POINT('',#13776); +#13778=CARTESIAN_POINT('',(-1.549999999998E1,-1.18E1,-5.E-1)); +#13779=VERTEX_POINT('',#13778); +#13780=CARTESIAN_POINT('',(-2.12E1,-5.6E0,0.E0)); +#13781=VERTEX_POINT('',#13780); +#13782=CARTESIAN_POINT('',(-2.17E1,-5.6E0,-5.E-1)); +#13783=VERTEX_POINT('',#13782); +#13784=CARTESIAN_POINT('',(-2.12E1,5.6E0,0.E0)); +#13785=VERTEX_POINT('',#13784); +#13786=CARTESIAN_POINT('',(-2.17E1,5.599999999981E0,-5.E-1)); +#13787=VERTEX_POINT('',#13786); +#13788=CARTESIAN_POINT('',(-1.55E1,1.13E1,0.E0)); +#13789=VERTEX_POINT('',#13788); +#13790=CARTESIAN_POINT('',(-1.55E1,1.18E1,-5.E-1)); +#13791=VERTEX_POINT('',#13790); +#13792=CARTESIAN_POINT('',(-4.543744331763E0,1.13E1,-1.421085471520E-14)); +#13793=VERTEX_POINT('',#13792); +#13794=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#13795=VERTEX_POINT('',#13794); +#13796=CARTESIAN_POINT('',(-2.54E1,-1.555E1,-2.2E1)); +#13797=CARTESIAN_POINT('',(-2.99E1,-1.105E1,-2.2E1)); +#13798=VERTEX_POINT('',#13796); +#13799=VERTEX_POINT('',#13797); +#13800=CARTESIAN_POINT('',(-2.54E1,-1.605E1,-2.15E1)); +#13801=CARTESIAN_POINT('',(-3.04E1,-1.105E1,-2.15E1)); +#13802=VERTEX_POINT('',#13800); +#13803=VERTEX_POINT('',#13801); +#13804=CARTESIAN_POINT('',(2.54E1,-1.555E1,-2.2E1)); +#13805=VERTEX_POINT('',#13804); +#13806=CARTESIAN_POINT('',(2.539999999991E1,-1.605E1,-2.15E1)); +#13807=VERTEX_POINT('',#13806); +#13808=CARTESIAN_POINT('',(2.99E1,-1.105E1,-2.2E1)); +#13809=VERTEX_POINT('',#13808); +#13810=CARTESIAN_POINT('',(3.04E1,-1.105E1,-2.15E1)); +#13811=VERTEX_POINT('',#13810); +#13812=CARTESIAN_POINT('',(-2.54E1,1.555E1,-2.2E1)); +#13813=CARTESIAN_POINT('',(2.54E1,1.555E1,-2.2E1)); +#13814=VERTEX_POINT('',#13812); +#13815=VERTEX_POINT('',#13813); +#13816=CARTESIAN_POINT('',(-2.539999999938E1,1.605E1,-2.15E1)); +#13817=CARTESIAN_POINT('',(2.539999999984E1,1.605E1,-2.15E1)); +#13818=VERTEX_POINT('',#13816); +#13819=VERTEX_POINT('',#13817); +#13820=CARTESIAN_POINT('',(-2.99E1,-1.105E1,-2.E1)); +#13821=CARTESIAN_POINT('',(-2.54E1,-1.555E1,-2.E1)); +#13822=VERTEX_POINT('',#13820); +#13823=VERTEX_POINT('',#13821); +#13824=CARTESIAN_POINT('',(-3.04E1,-1.105E1,-2.05E1)); +#13825=CARTESIAN_POINT('',(-2.54E1,-1.605E1,-2.05E1)); +#13826=VERTEX_POINT('',#13824); +#13827=VERTEX_POINT('',#13825); +#13828=CARTESIAN_POINT('',(2.54E1,-1.555E1,-2.E1)); +#13829=VERTEX_POINT('',#13828); +#13830=CARTESIAN_POINT('',(2.539999999991E1,-1.605E1,-2.05E1)); +#13831=VERTEX_POINT('',#13830); +#13832=CARTESIAN_POINT('',(2.99E1,-1.105E1,-2.E1)); +#13833=VERTEX_POINT('',#13832); +#13834=CARTESIAN_POINT('',(3.04E1,-1.105E1,-2.05E1)); +#13835=VERTEX_POINT('',#13834); +#13836=CARTESIAN_POINT('',(2.54E1,1.555E1,-2.E1)); +#13837=CARTESIAN_POINT('',(-2.54E1,1.555E1,-2.E1)); +#13838=VERTEX_POINT('',#13836); +#13839=VERTEX_POINT('',#13837); +#13840=CARTESIAN_POINT('',(2.539999999994E1,1.605E1,-2.05E1)); +#13841=CARTESIAN_POINT('',(-2.539999999991E1,1.605E1,-2.05E1)); +#13842=VERTEX_POINT('',#13840); +#13843=VERTEX_POINT('',#13841); +#13844=CARTESIAN_POINT('',(-3.040000094960E1,1.176143074665E1, +-2.149999999991E1)); +#13845=CARTESIAN_POINT('',(-3.040000072940E1,1.176143041167E1, +-2.049999998889E1)); +#13846=VERTEX_POINT('',#13844); +#13847=VERTEX_POINT('',#13845); +#13848=VERTEX_POINT('',#7700); +#13849=CARTESIAN_POINT('',(3.040000041313E1,1.176143376356E1, +-2.149999999983E1)); +#13850=CARTESIAN_POINT('',(3.039999917544E1,1.176143551385E1, +-2.049999998783E1)); +#13851=VERTEX_POINT('',#13849); +#13852=VERTEX_POINT('',#13850); +#13853=VERTEX_POINT('',#5099); +#13854=VERTEX_POINT('',#7190); +#13855=VERTEX_POINT('',#7197); +#13856=CARTESIAN_POINT('',(-2.834726443717E1,-5.76875E0,-2.2E1)); +#13857=VERTEX_POINT('',#13856); +#13858=CARTESIAN_POINT('',(-2.789765523186E1,-5.9875E0,-2.25E1)); +#13859=VERTEX_POINT('',#13858); +#13860=CARTESIAN_POINT('',(-2.879687364248E1,-6.05E0,-2.2E1)); +#13861=VERTEX_POINT('',#13860); +#13862=CARTESIAN_POINT('',(-2.879687364248E1,-6.55E0,-2.25E1)); +#13863=VERTEX_POINT('',#13862); +#13864=CARTESIAN_POINT('',(-2.990000000163E1,-6.05E0,-2.2E1)); +#13865=VERTEX_POINT('',#13864); +#13866=CARTESIAN_POINT('',(-2.990000000360E1,-6.55E0,-2.249999999962E1)); +#13867=VERTEX_POINT('',#13866); +#13868=CARTESIAN_POINT('',(-3.04E1,-6.55E0,-2.236602540378E1)); +#13869=VERTEX_POINT('',#13868); +#13870=CARTESIAN_POINT('',(-3.04E1,-6.05E0,-2.15E1)); +#13871=VERTEX_POINT('',#13870); +#13872=CARTESIAN_POINT('',(-2.99E1,-8.55E0,-2.2E1)); +#13873=CARTESIAN_POINT('',(-3.04E1,-8.55E0,-2.15E1)); +#13874=VERTEX_POINT('',#13872); +#13875=VERTEX_POINT('',#13873); +#13876=CARTESIAN_POINT('',(-2.99E1,-8.05E0,-2.25E1)); +#13877=CARTESIAN_POINT('',(-3.04E1,-8.05E0,-2.236602540378E1)); +#13878=VERTEX_POINT('',#13876); +#13879=VERTEX_POINT('',#13877); +#13880=CARTESIAN_POINT('',(-2.879687364248E1,-8.55E0,-2.2E1)); +#13881=VERTEX_POINT('',#13880); +#13882=CARTESIAN_POINT('',(-2.879687364374E1,-8.05E0,-2.25E1)); +#13883=VERTEX_POINT('',#13882); +#13884=CARTESIAN_POINT('',(-2.834726443717E1,-8.83125E0,-2.2E1)); +#13885=VERTEX_POINT('',#13884); +#13886=CARTESIAN_POINT('',(-2.789765523186E1,-8.6125E0,-2.25E1)); +#13887=VERTEX_POINT('',#13886); +#13888=CARTESIAN_POINT('',(-2.52E1,-1.08E1,-2.2E1)); +#13889=VERTEX_POINT('',#13888); +#13890=CARTESIAN_POINT('',(-2.52E1,-1.03E1,-2.25E1)); +#13891=VERTEX_POINT('',#13890); +#13892=CARTESIAN_POINT('',(-2.275E1,-1.08E1,-2.2E1)); +#13893=CARTESIAN_POINT('',(-2.275E1,-1.03E1,-2.25E1)); +#13894=VERTEX_POINT('',#13892); +#13895=VERTEX_POINT('',#13893); +#13896=CARTESIAN_POINT('',(2.275E1,-1.03E1,-2.25E1)); +#13897=CARTESIAN_POINT('',(2.275E1,-1.08E1,-2.2E1)); +#13898=VERTEX_POINT('',#13896); +#13899=VERTEX_POINT('',#13897); +#13900=CARTESIAN_POINT('',(2.519999999993E1,-1.079999999991E1,-2.2E1)); +#13901=VERTEX_POINT('',#13900); +#13902=CARTESIAN_POINT('',(2.519999999868E1,-1.03E1,-2.25E1)); +#13903=VERTEX_POINT('',#13902); +#13904=CARTESIAN_POINT('',(2.834726443717E1,-8.83125E0,-2.2E1)); +#13905=VERTEX_POINT('',#13904); +#13906=CARTESIAN_POINT('',(2.789765523186E1,-8.6125E0,-2.25E1)); +#13907=VERTEX_POINT('',#13906); +#13908=CARTESIAN_POINT('',(2.879687364248E1,-8.55E0,-2.2E1)); +#13909=VERTEX_POINT('',#13908); +#13910=CARTESIAN_POINT('',(2.879687364248E1,-8.05E0,-2.25E1)); +#13911=VERTEX_POINT('',#13910); +#13912=CARTESIAN_POINT('',(2.990000000163E1,-8.55E0,-2.2E1)); +#13913=VERTEX_POINT('',#13912); +#13914=CARTESIAN_POINT('',(2.990000000360E1,-8.05E0,-2.249999999962E1)); +#13915=VERTEX_POINT('',#13914); +#13916=CARTESIAN_POINT('',(3.04E1,-8.05E0,-2.236602540378E1)); +#13917=VERTEX_POINT('',#13916); +#13918=CARTESIAN_POINT('',(3.04E1,-8.55E0,-2.15E1)); +#13919=VERTEX_POINT('',#13918); +#13920=CARTESIAN_POINT('',(2.99E1,-6.05E0,-2.2E1)); +#13921=CARTESIAN_POINT('',(3.04E1,-6.05E0,-2.15E1)); +#13922=VERTEX_POINT('',#13920); +#13923=VERTEX_POINT('',#13921); +#13924=CARTESIAN_POINT('',(2.99E1,-6.55E0,-2.25E1)); +#13925=CARTESIAN_POINT('',(3.04E1,-6.55E0,-2.236602540378E1)); +#13926=VERTEX_POINT('',#13924); +#13927=VERTEX_POINT('',#13925); +#13928=CARTESIAN_POINT('',(2.879687364248E1,-6.05E0,-2.2E1)); +#13929=VERTEX_POINT('',#13928); +#13930=CARTESIAN_POINT('',(2.879687364374E1,-6.55E0,-2.25E1)); +#13931=VERTEX_POINT('',#13930); +#13932=CARTESIAN_POINT('',(2.834726443717E1,-5.76875E0,-2.2E1)); +#13933=VERTEX_POINT('',#13932); +#13934=CARTESIAN_POINT('',(2.789765523186E1,-5.9875E0,-2.25E1)); +#13935=VERTEX_POINT('',#13934); +#13936=VERTEX_POINT('',#8020); +#13937=VERTEX_POINT('',#8027); +#13938=VERTEX_POINT('',#7932); +#13939=VERTEX_POINT('',#7940); +#13940=VERTEX_POINT('',#7898); +#13941=VERTEX_POINT('',#7903); +#13942=CARTESIAN_POINT('',(2.729687364248E1,1.205E1,-2.2E1)); +#13943=VERTEX_POINT('',#13942); +#13944=CARTESIAN_POINT('',(2.729687364374E1,1.155E1,-2.25E1)); +#13945=VERTEX_POINT('',#13944); +#13946=CARTESIAN_POINT('',(2.684726443717E1,1.233125E1,-2.2E1)); +#13947=VERTEX_POINT('',#13946); +#13948=CARTESIAN_POINT('',(2.639765523186E1,1.21125E1,-2.25E1)); +#13949=VERTEX_POINT('',#13948); +#13950=CARTESIAN_POINT('',(2.684726443717E1,9.26875E0,-2.2E1)); +#13951=VERTEX_POINT('',#13950); +#13952=CARTESIAN_POINT('',(2.639765523186E1,9.4875E0,-2.25E1)); +#13953=VERTEX_POINT('',#13952); +#13954=CARTESIAN_POINT('',(2.729687364248E1,9.55E0,-2.2E1)); +#13955=VERTEX_POINT('',#13954); +#13956=CARTESIAN_POINT('',(2.729687364248E1,1.005E1,-2.25E1)); +#13957=VERTEX_POINT('',#13956); +#13958=CARTESIAN_POINT('',(2.990000000163E1,9.55E0,-2.2E1)); +#13959=VERTEX_POINT('',#13958); +#13960=CARTESIAN_POINT('',(2.990000000360E1,1.005E1,-2.249999999962E1)); +#13961=VERTEX_POINT('',#13960); +#13962=CARTESIAN_POINT('',(3.04E1,1.005E1,-2.236602540378E1)); +#13963=VERTEX_POINT('',#13962); +#13964=CARTESIAN_POINT('',(3.04E1,9.55E0,-2.15E1)); +#13965=VERTEX_POINT('',#13964); +#13966=CARTESIAN_POINT('',(3.031665884668E1,1.195909054233E1, +-2.049999999813E1)); +#13967=VERTEX_POINT('',#13966); +#13968=VERTEX_POINT('',#5087); +#13969=CARTESIAN_POINT('',(-2.99E1,9.55E0,-2.2E1)); +#13970=CARTESIAN_POINT('',(-3.04E1,9.55E0,-2.15E1)); +#13971=VERTEX_POINT('',#13969); +#13972=VERTEX_POINT('',#13970); +#13973=CARTESIAN_POINT('',(-2.99E1,1.005E1,-2.25E1)); +#13974=CARTESIAN_POINT('',(-3.04E1,1.005E1,-2.236602540378E1)); +#13975=VERTEX_POINT('',#13973); +#13976=VERTEX_POINT('',#13974); +#13977=CARTESIAN_POINT('',(-2.729687364248E1,9.55E0,-2.2E1)); +#13978=VERTEX_POINT('',#13977); +#13979=CARTESIAN_POINT('',(-2.729687364374E1,1.005E1,-2.25E1)); +#13980=VERTEX_POINT('',#13979); +#13981=CARTESIAN_POINT('',(-2.684726443717E1,9.26875E0,-2.2E1)); +#13982=VERTEX_POINT('',#13981); +#13983=CARTESIAN_POINT('',(-2.639765523186E1,9.4875E0,-2.25E1)); +#13984=VERTEX_POINT('',#13983); +#13985=CARTESIAN_POINT('',(-2.684726443717E1,1.233125E1,-2.2E1)); +#13986=VERTEX_POINT('',#13985); +#13987=CARTESIAN_POINT('',(-2.639765523186E1,1.21125E1,-2.25E1)); +#13988=VERTEX_POINT('',#13987); +#13989=CARTESIAN_POINT('',(-2.729687364248E1,1.205E1,-2.2E1)); +#13990=VERTEX_POINT('',#13989); +#13991=CARTESIAN_POINT('',(-2.729687364248E1,1.155E1,-2.25E1)); +#13992=VERTEX_POINT('',#13991); +#13993=CARTESIAN_POINT('',(-2.978748236782E1,1.205000381901E1, +-2.200000000006E1)); +#13994=VERTEX_POINT('',#13993); +#13995=CARTESIAN_POINT('',(-2.978748219623E1,1.155E1,-2.249999994672E1)); +#13996=VERTEX_POINT('',#13995); +#13997=VERTEX_POINT('',#7561); +#13998=VERTEX_POINT('',#7651); +#13999=VERTEX_POINT('',#7702); +#14000=VERTEX_POINT('',#7710); +#14001=CARTESIAN_POINT('',(-2.789765523186E1,-5.9875E0,-2.8E1)); +#14002=CARTESIAN_POINT('',(-2.275E1,-5.568671030682E0,-2.8E1)); +#14003=VERTEX_POINT('',#14001); +#14004=VERTEX_POINT('',#14002); +#14005=CARTESIAN_POINT('',(-2.744804602655E1,-6.20625E0,-2.85E1)); +#14006=CARTESIAN_POINT('',(-2.275E1,-6.802506281447E0,-2.85E1)); +#14007=VERTEX_POINT('',#14005); +#14008=VERTEX_POINT('',#14006); +#14009=CARTESIAN_POINT('',(-2.879687364248E1,-7.05E0,-2.85E1)); +#14010=VERTEX_POINT('',#14009); +#14011=CARTESIAN_POINT('',(-2.879687364248E1,-6.55E0,-2.8E1)); +#14012=VERTEX_POINT('',#14011); +#14013=CARTESIAN_POINT('',(-3.04E1,-6.55E0,-2.8E1)); +#14014=CARTESIAN_POINT('',(-3.04E1,-7.05E0,-2.85E1)); +#14015=VERTEX_POINT('',#14013); +#14016=VERTEX_POINT('',#14014); +#14017=CARTESIAN_POINT('',(-3.04E1,-7.55E0,-2.85E1)); +#14018=CARTESIAN_POINT('',(-3.04E1,-8.05E0,-2.8E1)); +#14019=VERTEX_POINT('',#14017); +#14020=VERTEX_POINT('',#14018); +#14021=CARTESIAN_POINT('',(-2.879687364382E1,-8.05E0,-2.8E1)); +#14022=VERTEX_POINT('',#14021); +#14023=CARTESIAN_POINT('',(-2.879687364358E1,-7.550000001150E0,-2.85E1)); +#14024=VERTEX_POINT('',#14023); +#14025=CARTESIAN_POINT('',(-2.744804602655E1,-8.39375E0,-2.85E1)); +#14026=VERTEX_POINT('',#14025); +#14027=CARTESIAN_POINT('',(-2.789765523186E1,-8.6125E0,-2.8E1)); +#14028=VERTEX_POINT('',#14027); +#14029=CARTESIAN_POINT('',(-2.52E1,-9.8E0,-2.85E1)); +#14030=VERTEX_POINT('',#14029); +#14031=CARTESIAN_POINT('',(-2.52E1,-1.03E1,-2.8E1)); +#14032=VERTEX_POINT('',#14031); +#14033=CARTESIAN_POINT('',(-2.275E1,-9.8E0,-2.85E1)); +#14034=VERTEX_POINT('',#14033); +#14035=CARTESIAN_POINT('',(-2.275E1,-1.03E1,-2.8E1)); +#14036=VERTEX_POINT('',#14035); +#14037=CARTESIAN_POINT('',(3.04E1,-7.05E0,-2.85E1)); +#14038=CARTESIAN_POINT('',(3.04E1,-6.55E0,-2.8E1)); +#14039=VERTEX_POINT('',#14037); +#14040=VERTEX_POINT('',#14038); +#14041=CARTESIAN_POINT('',(2.879687364382E1,-6.55E0,-2.8E1)); +#14042=VERTEX_POINT('',#14041); +#14043=CARTESIAN_POINT('',(2.879687364358E1,-7.049999998850E0,-2.85E1)); +#14044=VERTEX_POINT('',#14043); +#14045=CARTESIAN_POINT('',(2.744804602655E1,-6.20625E0,-2.85E1)); +#14046=VERTEX_POINT('',#14045); +#14047=CARTESIAN_POINT('',(2.789765523186E1,-5.9875E0,-2.8E1)); +#14048=VERTEX_POINT('',#14047); +#14049=CARTESIAN_POINT('',(2.275E1,-6.802506281447E0,-2.85E1)); +#14050=VERTEX_POINT('',#14049); +#14051=CARTESIAN_POINT('',(2.275E1,-5.568671030682E0,-2.8E1)); +#14052=VERTEX_POINT('',#14051); +#14053=CARTESIAN_POINT('',(2.519999999938E1,-1.03E1,-2.8E1)); +#14054=CARTESIAN_POINT('',(2.275E1,-1.03E1,-2.8E1)); +#14055=VERTEX_POINT('',#14053); +#14056=VERTEX_POINT('',#14054); +#14057=CARTESIAN_POINT('',(2.52E1,-9.8E0,-2.85E1)); +#14058=CARTESIAN_POINT('',(2.275E1,-9.8E0,-2.85E1)); +#14059=VERTEX_POINT('',#14057); +#14060=VERTEX_POINT('',#14058); +#14061=CARTESIAN_POINT('',(2.744804602655E1,-8.39375E0,-2.85E1)); +#14062=VERTEX_POINT('',#14061); +#14063=CARTESIAN_POINT('',(2.789765523186E1,-8.6125E0,-2.8E1)); +#14064=VERTEX_POINT('',#14063); +#14065=CARTESIAN_POINT('',(2.879687364248E1,-7.55E0,-2.85E1)); +#14066=VERTEX_POINT('',#14065); +#14067=CARTESIAN_POINT('',(2.879687364248E1,-8.05E0,-2.8E1)); +#14068=VERTEX_POINT('',#14067); +#14069=CARTESIAN_POINT('',(3.04E1,-8.05E0,-2.8E1)); +#14070=CARTESIAN_POINT('',(3.04E1,-7.55E0,-2.85E1)); +#14071=VERTEX_POINT('',#14069); +#14072=VERTEX_POINT('',#14070); +#14073=CARTESIAN_POINT('',(3.04E1,1.105E1,-2.85E1)); +#14074=CARTESIAN_POINT('',(3.04E1,1.155E1,-2.8E1)); +#14075=VERTEX_POINT('',#14073); +#14076=VERTEX_POINT('',#14074); +#14077=CARTESIAN_POINT('',(2.729687364382E1,1.155E1,-2.8E1)); +#14078=VERTEX_POINT('',#14077); +#14079=CARTESIAN_POINT('',(2.729687364358E1,1.105000000115E1,-2.85E1)); +#14080=VERTEX_POINT('',#14079); +#14081=CARTESIAN_POINT('',(2.594804602655E1,1.189375E1,-2.85E1)); +#14082=VERTEX_POINT('',#14081); +#14083=CARTESIAN_POINT('',(2.639765523186E1,1.21125E1,-2.8E1)); +#14084=VERTEX_POINT('',#14083); +#14085=CARTESIAN_POINT('',(2.594804602655E1,9.70625E0,-2.85E1)); +#14086=VERTEX_POINT('',#14085); +#14087=CARTESIAN_POINT('',(2.639765523186E1,9.4875E0,-2.8E1)); +#14088=VERTEX_POINT('',#14087); +#14089=CARTESIAN_POINT('',(2.729687364248E1,1.055E1,-2.85E1)); +#14090=VERTEX_POINT('',#14089); +#14091=CARTESIAN_POINT('',(2.729687364248E1,1.005E1,-2.8E1)); +#14092=VERTEX_POINT('',#14091); +#14093=CARTESIAN_POINT('',(3.04E1,1.005E1,-2.8E1)); +#14094=CARTESIAN_POINT('',(3.04E1,1.055E1,-2.85E1)); +#14095=VERTEX_POINT('',#14093); +#14096=VERTEX_POINT('',#14094); +#14097=CARTESIAN_POINT('',(-3.04E1,1.055E1,-2.85E1)); +#14098=CARTESIAN_POINT('',(-3.04E1,1.005E1,-2.8E1)); +#14099=VERTEX_POINT('',#14097); +#14100=VERTEX_POINT('',#14098); +#14101=CARTESIAN_POINT('',(-2.729687364382E1,1.005E1,-2.8E1)); +#14102=VERTEX_POINT('',#14101); +#14103=CARTESIAN_POINT('',(-2.729687364358E1,1.054999999885E1,-2.85E1)); +#14104=VERTEX_POINT('',#14103); +#14105=CARTESIAN_POINT('',(-2.594804602655E1,9.70625E0,-2.85E1)); +#14106=VERTEX_POINT('',#14105); +#14107=CARTESIAN_POINT('',(-2.639765523186E1,9.4875E0,-2.8E1)); +#14108=VERTEX_POINT('',#14107); +#14109=CARTESIAN_POINT('',(-2.594804602655E1,1.189375E1,-2.85E1)); +#14110=VERTEX_POINT('',#14109); +#14111=CARTESIAN_POINT('',(-2.639765523186E1,1.21125E1,-2.8E1)); +#14112=VERTEX_POINT('',#14111); +#14113=CARTESIAN_POINT('',(-2.729687364248E1,1.105E1,-2.85E1)); +#14114=VERTEX_POINT('',#14113); +#14115=CARTESIAN_POINT('',(-2.729687364248E1,1.155E1,-2.8E1)); +#14116=VERTEX_POINT('',#14115); +#14117=CARTESIAN_POINT('',(-3.04E1,1.155E1,-2.8E1)); +#14118=CARTESIAN_POINT('',(-3.04E1,1.105E1,-2.85E1)); +#14119=VERTEX_POINT('',#14117); +#14120=VERTEX_POINT('',#14118); +#14121=VERTEX_POINT('',#5473); +#14122=VERTEX_POINT('',#5483); +#14123=CARTESIAN_POINT('',(-1.52E1,7.E0,-2.2E1)); +#14124=VERTEX_POINT('',#14123); +#14125=CARTESIAN_POINT('',(-1.67E1,5.5E0,-2.2E1)); +#14126=VERTEX_POINT('',#14125); +#14127=CARTESIAN_POINT('',(1.52E1,7.E0,-2.5E1)); +#14128=CARTESIAN_POINT('',(1.52E1,7.E0,-2.2E1)); +#14129=VERTEX_POINT('',#14127); +#14130=VERTEX_POINT('',#14128); +#14131=VERTEX_POINT('',#5471); +#14132=CARTESIAN_POINT('',(1.67E1,5.5E0,-2.2E1)); +#14133=VERTEX_POINT('',#14132); +#14134=CARTESIAN_POINT('',(-2.019939498886E1,5.675410467720E0,-2.E1)); +#14135=VERTEX_POINT('',#14134); +#14136=CARTESIAN_POINT('',(-1.97E1,4.2E0,-2.E1)); +#14137=VERTEX_POINT('',#14136); +#14138=CARTESIAN_POINT('',(-1.895E1,4.2E0,-2.E1)); +#14139=VERTEX_POINT('',#14138); +#14140=CARTESIAN_POINT('',(-1.175E1,9.05E0,-2.E1)); +#14141=VERTEX_POINT('',#14140); +#14142=CARTESIAN_POINT('',(-1.175E1,9.8E0,-2.E1)); +#14143=VERTEX_POINT('',#14142); +#14144=CARTESIAN_POINT('',(-1.97E1,-5.2E0,-2.E1)); +#14145=CARTESIAN_POINT('',(-1.895E1,-5.2E0,-2.E1)); +#14146=VERTEX_POINT('',#14144); +#14147=VERTEX_POINT('',#14145); +#14148=CARTESIAN_POINT('',(-1.85E1,-4.75E0,-2.E1)); +#14149=VERTEX_POINT('',#14148); +#14150=CARTESIAN_POINT('',(-1.85E1,-4.65E0,-2.E1)); +#14151=VERTEX_POINT('',#14150); +#14152=CARTESIAN_POINT('',(-1.895E1,-4.2E0,-2.E1)); +#14153=VERTEX_POINT('',#14152); +#14154=CARTESIAN_POINT('',(-1.97E1,-4.2E0,-2.E1)); +#14155=VERTEX_POINT('',#14154); +#14156=CARTESIAN_POINT('',(-2.019939498886E1,-5.675410467720E0,-2.E1)); +#14157=VERTEX_POINT('',#14156); +#14158=CARTESIAN_POINT('',(1.97E1,5.2E0,-2.E1)); +#14159=CARTESIAN_POINT('',(1.895E1,5.2E0,-2.E1)); +#14160=VERTEX_POINT('',#14158); +#14161=VERTEX_POINT('',#14159); +#14162=CARTESIAN_POINT('',(1.85E1,4.75E0,-2.E1)); +#14163=VERTEX_POINT('',#14162); +#14164=CARTESIAN_POINT('',(1.85E1,4.65E0,-2.E1)); +#14165=VERTEX_POINT('',#14164); +#14166=CARTESIAN_POINT('',(1.895E1,4.2E0,-2.E1)); +#14167=VERTEX_POINT('',#14166); +#14168=CARTESIAN_POINT('',(1.97E1,4.2E0,-2.E1)); +#14169=VERTEX_POINT('',#14168); +#14170=CARTESIAN_POINT('',(2.019939498886E1,5.675410467720E0,-2.E1)); +#14171=VERTEX_POINT('',#14170); +#14172=CARTESIAN_POINT('',(2.019939498886E1,-5.675410467720E0,-2.E1)); +#14173=CARTESIAN_POINT('',(1.97E1,-5.2E0,-2.E1)); +#14174=VERTEX_POINT('',#14172); +#14175=VERTEX_POINT('',#14173); +#14176=CARTESIAN_POINT('',(1.97E1,-4.2E0,-2.E1)); +#14177=VERTEX_POINT('',#14176); +#14178=CARTESIAN_POINT('',(1.895E1,-4.2E0,-2.E1)); +#14179=VERTEX_POINT('',#14178); +#14180=CARTESIAN_POINT('',(1.85E1,-4.65E0,-2.E1)); +#14181=VERTEX_POINT('',#14180); +#14182=CARTESIAN_POINT('',(1.85E1,-4.75E0,-2.E1)); +#14183=VERTEX_POINT('',#14182); +#14184=CARTESIAN_POINT('',(1.895E1,-5.2E0,-2.E1)); +#14185=VERTEX_POINT('',#14184); +#14186=CARTESIAN_POINT('',(-9.25E0,9.8E0,-2.E1)); +#14187=VERTEX_POINT('',#14186); +#14188=CARTESIAN_POINT('',(-9.25E0,9.05E0,-2.E1)); +#14189=VERTEX_POINT('',#14188); +#14190=CARTESIAN_POINT('',(-8.8E0,8.6E0,-2.E1)); +#14191=VERTEX_POINT('',#14190); +#14192=CARTESIAN_POINT('',(-8.7E0,8.6E0,-2.E1)); +#14193=VERTEX_POINT('',#14192); +#14194=CARTESIAN_POINT('',(-8.25E0,9.05E0,-2.E1)); +#14195=VERTEX_POINT('',#14194); +#14196=CARTESIAN_POINT('',(-8.25E0,9.8E0,-2.E1)); +#14197=VERTEX_POINT('',#14196); +#14198=CARTESIAN_POINT('',(-5.75E0,9.8E0,-2.E1)); +#14199=VERTEX_POINT('',#14198); +#14200=CARTESIAN_POINT('',(-5.75E0,9.05E0,-2.E1)); +#14201=VERTEX_POINT('',#14200); +#14202=CARTESIAN_POINT('',(-5.3E0,8.6E0,-2.E1)); +#14203=VERTEX_POINT('',#14202); +#14204=CARTESIAN_POINT('',(-5.2E0,8.6E0,-2.E1)); +#14205=VERTEX_POINT('',#14204); +#14206=CARTESIAN_POINT('',(-4.75E0,9.05E0,-2.E1)); +#14207=VERTEX_POINT('',#14206); +#14208=CARTESIAN_POINT('',(-4.75E0,9.8E0,-2.E1)); +#14209=VERTEX_POINT('',#14208); +#14210=CARTESIAN_POINT('',(1.175E1,9.8E0,-2.E1)); +#14211=VERTEX_POINT('',#14210); +#14212=CARTESIAN_POINT('',(1.175E1,9.05E0,-2.E1)); +#14213=VERTEX_POINT('',#14212); +#14214=CARTESIAN_POINT('',(1.22E1,8.6E0,-2.E1)); +#14215=VERTEX_POINT('',#14214); +#14216=CARTESIAN_POINT('',(1.23E1,8.6E0,-2.E1)); +#14217=VERTEX_POINT('',#14216); +#14218=CARTESIAN_POINT('',(1.275E1,9.05E0,-2.E1)); +#14219=VERTEX_POINT('',#14218); +#14220=CARTESIAN_POINT('',(1.275E1,9.8E0,-2.E1)); +#14221=VERTEX_POINT('',#14220); +#14222=CARTESIAN_POINT('',(8.25E0,9.8E0,-2.E1)); +#14223=VERTEX_POINT('',#14222); +#14224=CARTESIAN_POINT('',(8.25E0,9.05E0,-2.E1)); +#14225=VERTEX_POINT('',#14224); +#14226=CARTESIAN_POINT('',(8.7E0,8.6E0,-2.E1)); +#14227=VERTEX_POINT('',#14226); +#14228=CARTESIAN_POINT('',(8.8E0,8.6E0,-2.E1)); +#14229=VERTEX_POINT('',#14228); +#14230=CARTESIAN_POINT('',(9.25E0,9.05E0,-2.E1)); +#14231=VERTEX_POINT('',#14230); +#14232=CARTESIAN_POINT('',(9.25E0,9.8E0,-2.E1)); +#14233=VERTEX_POINT('',#14232); +#14234=CARTESIAN_POINT('',(4.75E0,9.8E0,-2.E1)); +#14235=VERTEX_POINT('',#14234); +#14236=CARTESIAN_POINT('',(4.75E0,9.05E0,-2.E1)); +#14237=VERTEX_POINT('',#14236); +#14238=CARTESIAN_POINT('',(5.2E0,8.6E0,-2.E1)); +#14239=VERTEX_POINT('',#14238); +#14240=CARTESIAN_POINT('',(5.3E0,8.6E0,-2.E1)); +#14241=VERTEX_POINT('',#14240); +#14242=CARTESIAN_POINT('',(5.75E0,9.05E0,-2.E1)); +#14243=VERTEX_POINT('',#14242); +#14244=CARTESIAN_POINT('',(5.75E0,9.8E0,-2.E1)); +#14245=VERTEX_POINT('',#14244); +#14246=CARTESIAN_POINT('',(1.25E0,9.8E0,-2.E1)); +#14247=VERTEX_POINT('',#14246); +#14248=CARTESIAN_POINT('',(1.25E0,9.05E0,-2.E1)); +#14249=VERTEX_POINT('',#14248); +#14250=CARTESIAN_POINT('',(1.7E0,8.6E0,-2.E1)); +#14251=VERTEX_POINT('',#14250); +#14252=CARTESIAN_POINT('',(1.8E0,8.6E0,-2.E1)); +#14253=VERTEX_POINT('',#14252); +#14254=CARTESIAN_POINT('',(2.25E0,9.05E0,-2.E1)); +#14255=VERTEX_POINT('',#14254); +#14256=CARTESIAN_POINT('',(2.25E0,9.8E0,-2.E1)); +#14257=VERTEX_POINT('',#14256); +#14258=CARTESIAN_POINT('',(-1.175E1,-9.8E0,-2.E1)); +#14259=VERTEX_POINT('',#14258); +#14260=CARTESIAN_POINT('',(-1.175E1,-9.05E0,-2.E1)); +#14261=VERTEX_POINT('',#14260); +#14262=CARTESIAN_POINT('',(-1.22E1,-8.6E0,-2.E1)); +#14263=VERTEX_POINT('',#14262); +#14264=CARTESIAN_POINT('',(-1.23E1,-8.6E0,-2.E1)); +#14265=VERTEX_POINT('',#14264); +#14266=CARTESIAN_POINT('',(-1.275E1,-9.05E0,-2.E1)); +#14267=VERTEX_POINT('',#14266); +#14268=CARTESIAN_POINT('',(-1.275E1,-9.8E0,-2.E1)); +#14269=VERTEX_POINT('',#14268); +#14270=CARTESIAN_POINT('',(-8.25E0,-9.8E0,-2.E1)); +#14271=VERTEX_POINT('',#14270); +#14272=CARTESIAN_POINT('',(-8.25E0,-9.05E0,-2.E1)); +#14273=VERTEX_POINT('',#14272); +#14274=CARTESIAN_POINT('',(-8.7E0,-8.6E0,-2.E1)); +#14275=VERTEX_POINT('',#14274); +#14276=CARTESIAN_POINT('',(-8.8E0,-8.6E0,-2.E1)); +#14277=VERTEX_POINT('',#14276); +#14278=CARTESIAN_POINT('',(-9.25E0,-9.05E0,-2.E1)); +#14279=VERTEX_POINT('',#14278); +#14280=CARTESIAN_POINT('',(-9.25E0,-9.8E0,-2.E1)); +#14281=VERTEX_POINT('',#14280); +#14282=CARTESIAN_POINT('',(-4.75E0,-9.8E0,-2.E1)); +#14283=VERTEX_POINT('',#14282); +#14284=CARTESIAN_POINT('',(-4.75E0,-9.05E0,-2.E1)); +#14285=VERTEX_POINT('',#14284); +#14286=CARTESIAN_POINT('',(-5.2E0,-8.6E0,-2.E1)); +#14287=VERTEX_POINT('',#14286); +#14288=CARTESIAN_POINT('',(-5.3E0,-8.6E0,-2.E1)); +#14289=VERTEX_POINT('',#14288); +#14290=CARTESIAN_POINT('',(-5.75E0,-9.05E0,-2.E1)); +#14291=VERTEX_POINT('',#14290); +#14292=CARTESIAN_POINT('',(-5.75E0,-9.8E0,-2.E1)); +#14293=VERTEX_POINT('',#14292); +#14294=CARTESIAN_POINT('',(-1.25E0,-9.8E0,-2.E1)); +#14295=VERTEX_POINT('',#14294); +#14296=CARTESIAN_POINT('',(-1.25E0,-9.05E0,-2.E1)); +#14297=VERTEX_POINT('',#14296); +#14298=CARTESIAN_POINT('',(-1.7E0,-8.6E0,-2.E1)); +#14299=VERTEX_POINT('',#14298); +#14300=CARTESIAN_POINT('',(-1.8E0,-8.6E0,-2.E1)); +#14301=VERTEX_POINT('',#14300); +#14302=CARTESIAN_POINT('',(-2.25E0,-9.05E0,-2.E1)); +#14303=VERTEX_POINT('',#14302); +#14304=CARTESIAN_POINT('',(-2.25E0,-9.8E0,-2.E1)); +#14305=VERTEX_POINT('',#14304); +#14306=CARTESIAN_POINT('',(1.275E1,-9.8E0,-2.E1)); +#14307=VERTEX_POINT('',#14306); +#14308=CARTESIAN_POINT('',(1.275E1,-9.05E0,-2.E1)); +#14309=VERTEX_POINT('',#14308); +#14310=CARTESIAN_POINT('',(1.23E1,-8.6E0,-2.E1)); +#14311=VERTEX_POINT('',#14310); +#14312=CARTESIAN_POINT('',(1.22E1,-8.6E0,-2.E1)); +#14313=VERTEX_POINT('',#14312); +#14314=CARTESIAN_POINT('',(1.175E1,-9.05E0,-2.E1)); +#14315=VERTEX_POINT('',#14314); +#14316=CARTESIAN_POINT('',(1.175E1,-9.8E0,-2.E1)); +#14317=VERTEX_POINT('',#14316); +#14318=CARTESIAN_POINT('',(9.25E0,-9.8E0,-2.E1)); +#14319=VERTEX_POINT('',#14318); +#14320=CARTESIAN_POINT('',(9.25E0,-9.05E0,-2.E1)); +#14321=VERTEX_POINT('',#14320); +#14322=CARTESIAN_POINT('',(8.8E0,-8.6E0,-2.E1)); +#14323=VERTEX_POINT('',#14322); +#14324=CARTESIAN_POINT('',(8.7E0,-8.6E0,-2.E1)); +#14325=VERTEX_POINT('',#14324); +#14326=CARTESIAN_POINT('',(8.25E0,-9.05E0,-2.E1)); +#14327=VERTEX_POINT('',#14326); +#14328=CARTESIAN_POINT('',(8.25E0,-9.8E0,-2.E1)); +#14329=VERTEX_POINT('',#14328); +#14330=CARTESIAN_POINT('',(2.25E0,-9.8E0,-2.E1)); +#14331=VERTEX_POINT('',#14330); +#14332=CARTESIAN_POINT('',(2.25E0,-9.05E0,-2.E1)); +#14333=VERTEX_POINT('',#14332); +#14334=CARTESIAN_POINT('',(1.8E0,-8.6E0,-2.E1)); +#14335=VERTEX_POINT('',#14334); +#14336=CARTESIAN_POINT('',(1.7E0,-8.6E0,-2.E1)); +#14337=VERTEX_POINT('',#14336); +#14338=CARTESIAN_POINT('',(1.25E0,-9.05E0,-2.E1)); +#14339=VERTEX_POINT('',#14338); +#14340=CARTESIAN_POINT('',(1.25E0,-9.8E0,-2.E1)); +#14341=VERTEX_POINT('',#14340); +#14342=CARTESIAN_POINT('',(-1.175E1,9.8E0,-1.045E1)); +#14343=CARTESIAN_POINT('',(-1.125E1,1.03E1,-1.045E1)); +#14344=VERTEX_POINT('',#14342); +#14345=VERTEX_POINT('',#14343); +#14346=CARTESIAN_POINT('',(-1.22E1,9.8E0,-1.E1)); +#14347=CARTESIAN_POINT('',(-1.205777472107E1,1.03E1,-1.E1)); +#14348=VERTEX_POINT('',#14346); +#14349=VERTEX_POINT('',#14347); +#14350=CARTESIAN_POINT('',(-1.22E1,9.05E0,-1.E1)); +#14351=VERTEX_POINT('',#14350); +#14352=CARTESIAN_POINT('',(-1.175E1,9.050000000009E0,-1.045E1)); +#14353=VERTEX_POINT('',#14352); +#14354=CARTESIAN_POINT('',(-1.22E1,8.6E0,-1.045E1)); +#14355=VERTEX_POINT('',#14354); +#14356=CARTESIAN_POINT('',(-1.23E1,9.05E0,-1.E1)); +#14357=VERTEX_POINT('',#14356); +#14358=CARTESIAN_POINT('',(-1.229999999999E1,8.6E0,-1.045E1)); +#14359=VERTEX_POINT('',#14358); +#14360=CARTESIAN_POINT('',(-1.275E1,9.05E0,-1.045E1)); +#14361=VERTEX_POINT('',#14360); +#14362=CARTESIAN_POINT('',(-1.230000000062E1,9.800000003817E0,-1.E1)); +#14363=VERTEX_POINT('',#14362); +#14364=CARTESIAN_POINT('',(-1.275E1,9.800000002052E0,-1.045E1)); +#14365=VERTEX_POINT('',#14364); +#14366=CARTESIAN_POINT('',(-1.244222527893E1,1.03E1,-1.E1)); +#14367=VERTEX_POINT('',#14366); +#14368=CARTESIAN_POINT('',(-1.325E1,1.03E1,-1.045E1)); +#14369=VERTEX_POINT('',#14368); +#14370=CARTESIAN_POINT('',(-8.25E0,9.8E0,-1.045E1)); +#14371=CARTESIAN_POINT('',(-7.75E0,1.03E1,-1.045E1)); +#14372=VERTEX_POINT('',#14370); +#14373=VERTEX_POINT('',#14371); +#14374=CARTESIAN_POINT('',(-8.7E0,9.8E0,-1.E1)); +#14375=CARTESIAN_POINT('',(-8.557774721070E0,1.03E1,-1.E1)); +#14376=VERTEX_POINT('',#14374); +#14377=VERTEX_POINT('',#14375); +#14378=CARTESIAN_POINT('',(-8.7E0,9.05E0,-1.E1)); +#14379=VERTEX_POINT('',#14378); +#14380=CARTESIAN_POINT('',(-8.25E0,9.050000000009E0,-1.045E1)); +#14381=VERTEX_POINT('',#14380); +#14382=CARTESIAN_POINT('',(-8.7E0,8.6E0,-1.045E1)); +#14383=VERTEX_POINT('',#14382); +#14384=CARTESIAN_POINT('',(-8.8E0,9.05E0,-1.E1)); +#14385=VERTEX_POINT('',#14384); +#14386=CARTESIAN_POINT('',(-8.799999999991E0,8.6E0,-1.045E1)); +#14387=VERTEX_POINT('',#14386); +#14388=CARTESIAN_POINT('',(-9.25E0,9.05E0,-1.045E1)); +#14389=VERTEX_POINT('',#14388); +#14390=CARTESIAN_POINT('',(-8.800000000609E0,9.800000003779E0,-1.E1)); +#14391=VERTEX_POINT('',#14390); +#14392=CARTESIAN_POINT('',(-9.25E0,9.800000002031E0,-1.045E1)); +#14393=VERTEX_POINT('',#14392); +#14394=CARTESIAN_POINT('',(-8.942225278930E0,1.03E1,-1.E1)); +#14395=VERTEX_POINT('',#14394); +#14396=CARTESIAN_POINT('',(-9.75E0,1.03E1,-1.045E1)); +#14397=VERTEX_POINT('',#14396); +#14398=CARTESIAN_POINT('',(-4.75E0,9.8E0,-1.045E1)); +#14399=CARTESIAN_POINT('',(-4.25E0,1.03E1,-1.045E1)); +#14400=VERTEX_POINT('',#14398); +#14401=VERTEX_POINT('',#14399); +#14402=CARTESIAN_POINT('',(-5.2E0,9.8E0,-1.E1)); +#14403=CARTESIAN_POINT('',(-5.057774721070E0,1.03E1,-1.E1)); +#14404=VERTEX_POINT('',#14402); +#14405=VERTEX_POINT('',#14403); +#14406=CARTESIAN_POINT('',(-5.2E0,9.05E0,-1.E1)); +#14407=VERTEX_POINT('',#14406); +#14408=CARTESIAN_POINT('',(-4.75E0,9.050000000009E0,-1.045E1)); +#14409=VERTEX_POINT('',#14408); +#14410=CARTESIAN_POINT('',(-5.2E0,8.6E0,-1.045E1)); +#14411=VERTEX_POINT('',#14410); +#14412=CARTESIAN_POINT('',(-5.3E0,9.05E0,-1.E1)); +#14413=VERTEX_POINT('',#14412); +#14414=CARTESIAN_POINT('',(-5.299999999991E0,8.6E0,-1.045E1)); +#14415=VERTEX_POINT('',#14414); +#14416=CARTESIAN_POINT('',(-5.75E0,9.05E0,-1.045E1)); +#14417=VERTEX_POINT('',#14416); +#14418=CARTESIAN_POINT('',(-5.300000000609E0,9.800000003779E0,-1.E1)); +#14419=VERTEX_POINT('',#14418); +#14420=CARTESIAN_POINT('',(-5.75E0,9.800000002031E0,-1.045E1)); +#14421=VERTEX_POINT('',#14420); +#14422=CARTESIAN_POINT('',(-5.442225278930E0,1.03E1,-1.E1)); +#14423=VERTEX_POINT('',#14422); +#14424=CARTESIAN_POINT('',(-6.25E0,1.03E1,-1.045E1)); +#14425=VERTEX_POINT('',#14424); +#14426=CARTESIAN_POINT('',(2.25E0,9.8E0,-1.045E1)); +#14427=CARTESIAN_POINT('',(2.75E0,1.03E1,-1.045E1)); +#14428=VERTEX_POINT('',#14426); +#14429=VERTEX_POINT('',#14427); +#14430=CARTESIAN_POINT('',(1.8E0,9.8E0,-1.E1)); +#14431=CARTESIAN_POINT('',(1.942225278930E0,1.03E1,-1.E1)); +#14432=VERTEX_POINT('',#14430); +#14433=VERTEX_POINT('',#14431); +#14434=CARTESIAN_POINT('',(1.8E0,9.05E0,-1.E1)); +#14435=VERTEX_POINT('',#14434); +#14436=CARTESIAN_POINT('',(2.25E0,9.050000000009E0,-1.045E1)); +#14437=VERTEX_POINT('',#14436); +#14438=CARTESIAN_POINT('',(1.8E0,8.6E0,-1.045E1)); +#14439=VERTEX_POINT('',#14438); +#14440=CARTESIAN_POINT('',(1.7E0,9.05E0,-1.E1)); +#14441=VERTEX_POINT('',#14440); +#14442=CARTESIAN_POINT('',(1.700000000009E0,8.6E0,-1.045E1)); +#14443=VERTEX_POINT('',#14442); +#14444=CARTESIAN_POINT('',(1.25E0,9.05E0,-1.045E1)); +#14445=VERTEX_POINT('',#14444); +#14446=CARTESIAN_POINT('',(1.699999999392E0,9.800000003779E0,-1.E1)); +#14447=VERTEX_POINT('',#14446); +#14448=CARTESIAN_POINT('',(1.25E0,9.800000002031E0,-1.045E1)); +#14449=VERTEX_POINT('',#14448); +#14450=CARTESIAN_POINT('',(1.557774721070E0,1.03E1,-1.E1)); +#14451=VERTEX_POINT('',#14450); +#14452=CARTESIAN_POINT('',(7.5E-1,1.03E1,-1.045E1)); +#14453=VERTEX_POINT('',#14452); +#14454=CARTESIAN_POINT('',(5.75E0,9.8E0,-1.045E1)); +#14455=CARTESIAN_POINT('',(6.25E0,1.03E1,-1.045E1)); +#14456=VERTEX_POINT('',#14454); +#14457=VERTEX_POINT('',#14455); +#14458=CARTESIAN_POINT('',(5.3E0,9.8E0,-1.E1)); +#14459=CARTESIAN_POINT('',(5.442225278930E0,1.03E1,-1.E1)); +#14460=VERTEX_POINT('',#14458); +#14461=VERTEX_POINT('',#14459); +#14462=CARTESIAN_POINT('',(5.3E0,9.05E0,-1.E1)); +#14463=VERTEX_POINT('',#14462); +#14464=CARTESIAN_POINT('',(5.75E0,9.050000000009E0,-1.045E1)); +#14465=VERTEX_POINT('',#14464); +#14466=CARTESIAN_POINT('',(5.3E0,8.6E0,-1.045E1)); +#14467=VERTEX_POINT('',#14466); +#14468=CARTESIAN_POINT('',(5.2E0,9.05E0,-1.E1)); +#14469=VERTEX_POINT('',#14468); +#14470=CARTESIAN_POINT('',(5.200000000009E0,8.6E0,-1.045E1)); +#14471=VERTEX_POINT('',#14470); +#14472=CARTESIAN_POINT('',(4.75E0,9.05E0,-1.045E1)); +#14473=VERTEX_POINT('',#14472); +#14474=CARTESIAN_POINT('',(5.199999999391E0,9.800000003779E0,-1.E1)); +#14475=VERTEX_POINT('',#14474); +#14476=CARTESIAN_POINT('',(4.75E0,9.800000002031E0,-1.045E1)); +#14477=VERTEX_POINT('',#14476); +#14478=CARTESIAN_POINT('',(5.057774721070E0,1.03E1,-1.E1)); +#14479=VERTEX_POINT('',#14478); +#14480=CARTESIAN_POINT('',(4.25E0,1.03E1,-1.045E1)); +#14481=VERTEX_POINT('',#14480); +#14482=CARTESIAN_POINT('',(9.25E0,9.8E0,-1.045E1)); +#14483=CARTESIAN_POINT('',(9.75E0,1.03E1,-1.045E1)); +#14484=VERTEX_POINT('',#14482); +#14485=VERTEX_POINT('',#14483); +#14486=CARTESIAN_POINT('',(8.8E0,9.8E0,-1.E1)); +#14487=CARTESIAN_POINT('',(8.942225278930E0,1.03E1,-1.E1)); +#14488=VERTEX_POINT('',#14486); +#14489=VERTEX_POINT('',#14487); +#14490=CARTESIAN_POINT('',(8.8E0,9.05E0,-1.E1)); +#14491=VERTEX_POINT('',#14490); +#14492=CARTESIAN_POINT('',(9.25E0,9.050000000009E0,-1.045E1)); +#14493=VERTEX_POINT('',#14492); +#14494=CARTESIAN_POINT('',(8.8E0,8.6E0,-1.045E1)); +#14495=VERTEX_POINT('',#14494); +#14496=CARTESIAN_POINT('',(8.7E0,9.05E0,-1.E1)); +#14497=VERTEX_POINT('',#14496); +#14498=CARTESIAN_POINT('',(8.700000000009E0,8.6E0,-1.045E1)); +#14499=VERTEX_POINT('',#14498); +#14500=CARTESIAN_POINT('',(8.25E0,9.05E0,-1.045E1)); +#14501=VERTEX_POINT('',#14500); +#14502=CARTESIAN_POINT('',(8.699999999391E0,9.800000003779E0,-1.E1)); +#14503=VERTEX_POINT('',#14502); +#14504=CARTESIAN_POINT('',(8.25E0,9.800000002031E0,-1.045E1)); +#14505=VERTEX_POINT('',#14504); +#14506=CARTESIAN_POINT('',(8.557774721070E0,1.03E1,-1.E1)); +#14507=VERTEX_POINT('',#14506); +#14508=CARTESIAN_POINT('',(7.75E0,1.03E1,-1.045E1)); +#14509=VERTEX_POINT('',#14508); +#14510=CARTESIAN_POINT('',(1.275E1,9.8E0,-1.045E1)); +#14511=CARTESIAN_POINT('',(1.325E1,1.03E1,-1.045E1)); +#14512=VERTEX_POINT('',#14510); +#14513=VERTEX_POINT('',#14511); +#14514=CARTESIAN_POINT('',(1.23E1,9.8E0,-1.E1)); +#14515=CARTESIAN_POINT('',(1.244222527893E1,1.03E1,-1.E1)); +#14516=VERTEX_POINT('',#14514); +#14517=VERTEX_POINT('',#14515); +#14518=CARTESIAN_POINT('',(1.23E1,9.05E0,-1.E1)); +#14519=VERTEX_POINT('',#14518); +#14520=CARTESIAN_POINT('',(1.275E1,9.050000000009E0,-1.045E1)); +#14521=VERTEX_POINT('',#14520); +#14522=CARTESIAN_POINT('',(1.23E1,8.6E0,-1.045E1)); +#14523=VERTEX_POINT('',#14522); +#14524=CARTESIAN_POINT('',(1.22E1,9.05E0,-1.E1)); +#14525=VERTEX_POINT('',#14524); +#14526=CARTESIAN_POINT('',(1.220000000001E1,8.6E0,-1.045E1)); +#14527=VERTEX_POINT('',#14526); +#14528=CARTESIAN_POINT('',(1.175E1,9.05E0,-1.045E1)); +#14529=VERTEX_POINT('',#14528); +#14530=CARTESIAN_POINT('',(1.219999999938E1,9.800000003817E0,-1.E1)); +#14531=VERTEX_POINT('',#14530); +#14532=CARTESIAN_POINT('',(1.175E1,9.800000002052E0,-1.045E1)); +#14533=VERTEX_POINT('',#14532); +#14534=CARTESIAN_POINT('',(1.205777472107E1,1.03E1,-1.E1)); +#14535=VERTEX_POINT('',#14534); +#14536=CARTESIAN_POINT('',(1.125E1,1.03E1,-1.045E1)); +#14537=VERTEX_POINT('',#14536); +#14538=CARTESIAN_POINT('',(-1.275E1,-9.8E0,-1.045E1)); +#14539=CARTESIAN_POINT('',(-1.325E1,-1.03E1,-1.045E1)); +#14540=VERTEX_POINT('',#14538); +#14541=VERTEX_POINT('',#14539); +#14542=CARTESIAN_POINT('',(-1.23E1,-9.8E0,-1.E1)); +#14543=CARTESIAN_POINT('',(-1.244222527893E1,-1.03E1,-1.E1)); +#14544=VERTEX_POINT('',#14542); +#14545=VERTEX_POINT('',#14543); +#14546=CARTESIAN_POINT('',(-1.23E1,-9.05E0,-1.E1)); +#14547=VERTEX_POINT('',#14546); +#14548=CARTESIAN_POINT('',(-1.275E1,-9.050000000009E0,-1.045E1)); +#14549=VERTEX_POINT('',#14548); +#14550=CARTESIAN_POINT('',(-1.23E1,-8.6E0,-1.045E1)); +#14551=VERTEX_POINT('',#14550); +#14552=CARTESIAN_POINT('',(-1.22E1,-9.05E0,-1.E1)); +#14553=VERTEX_POINT('',#14552); +#14554=CARTESIAN_POINT('',(-1.220000000001E1,-8.6E0,-1.045E1)); +#14555=VERTEX_POINT('',#14554); +#14556=CARTESIAN_POINT('',(-1.175E1,-9.05E0,-1.045E1)); +#14557=VERTEX_POINT('',#14556); +#14558=CARTESIAN_POINT('',(-1.219999999939E1,-9.800000003796E0,-1.E1)); +#14559=VERTEX_POINT('',#14558); +#14560=CARTESIAN_POINT('',(-1.175E1,-9.800000002041E0,-1.045E1)); +#14561=VERTEX_POINT('',#14560); +#14562=CARTESIAN_POINT('',(-1.205777472107E1,-1.03E1,-1.E1)); +#14563=VERTEX_POINT('',#14562); +#14564=CARTESIAN_POINT('',(-1.125E1,-1.03E1,-1.045E1)); +#14565=VERTEX_POINT('',#14564); +#14566=CARTESIAN_POINT('',(-9.25E0,-9.8E0,-1.045E1)); +#14567=CARTESIAN_POINT('',(-9.75E0,-1.03E1,-1.045E1)); +#14568=VERTEX_POINT('',#14566); +#14569=VERTEX_POINT('',#14567); +#14570=CARTESIAN_POINT('',(-8.8E0,-9.8E0,-1.E1)); +#14571=CARTESIAN_POINT('',(-8.942225278930E0,-1.03E1,-1.E1)); +#14572=VERTEX_POINT('',#14570); +#14573=VERTEX_POINT('',#14571); +#14574=CARTESIAN_POINT('',(-8.8E0,-9.05E0,-1.E1)); +#14575=VERTEX_POINT('',#14574); +#14576=CARTESIAN_POINT('',(-9.25E0,-9.050000000009E0,-1.045E1)); +#14577=VERTEX_POINT('',#14576); +#14578=CARTESIAN_POINT('',(-8.8E0,-8.6E0,-1.045E1)); +#14579=VERTEX_POINT('',#14578); +#14580=CARTESIAN_POINT('',(-8.7E0,-9.05E0,-1.E1)); +#14581=VERTEX_POINT('',#14580); +#14582=CARTESIAN_POINT('',(-8.700000000009E0,-8.6E0,-1.045E1)); +#14583=VERTEX_POINT('',#14582); +#14584=CARTESIAN_POINT('',(-8.25E0,-9.05E0,-1.045E1)); +#14585=VERTEX_POINT('',#14584); +#14586=CARTESIAN_POINT('',(-8.699999999397E0,-9.800000003758E0,-1.E1)); +#14587=VERTEX_POINT('',#14586); +#14588=CARTESIAN_POINT('',(-8.25E0,-9.800000002021E0,-1.045E1)); +#14589=VERTEX_POINT('',#14588); +#14590=CARTESIAN_POINT('',(-8.557774721070E0,-1.03E1,-1.E1)); +#14591=VERTEX_POINT('',#14590); +#14592=CARTESIAN_POINT('',(-7.75E0,-1.03E1,-1.045E1)); +#14593=VERTEX_POINT('',#14592); +#14594=CARTESIAN_POINT('',(-5.75E0,-9.8E0,-1.045E1)); +#14595=CARTESIAN_POINT('',(-6.25E0,-1.03E1,-1.045E1)); +#14596=VERTEX_POINT('',#14594); +#14597=VERTEX_POINT('',#14595); +#14598=CARTESIAN_POINT('',(-5.3E0,-9.8E0,-1.E1)); +#14599=CARTESIAN_POINT('',(-5.442225278930E0,-1.03E1,-1.E1)); +#14600=VERTEX_POINT('',#14598); +#14601=VERTEX_POINT('',#14599); +#14602=CARTESIAN_POINT('',(-5.3E0,-9.05E0,-1.E1)); +#14603=VERTEX_POINT('',#14602); +#14604=CARTESIAN_POINT('',(-5.75E0,-9.050000000009E0,-1.045E1)); +#14605=VERTEX_POINT('',#14604); +#14606=CARTESIAN_POINT('',(-5.3E0,-8.6E0,-1.045E1)); +#14607=VERTEX_POINT('',#14606); +#14608=CARTESIAN_POINT('',(-5.2E0,-9.05E0,-1.E1)); +#14609=VERTEX_POINT('',#14608); +#14610=CARTESIAN_POINT('',(-5.200000000009E0,-8.6E0,-1.045E1)); +#14611=VERTEX_POINT('',#14610); +#14612=CARTESIAN_POINT('',(-4.75E0,-9.05E0,-1.045E1)); +#14613=VERTEX_POINT('',#14612); +#14614=CARTESIAN_POINT('',(-5.199999999397E0,-9.800000003758E0,-1.E1)); +#14615=VERTEX_POINT('',#14614); +#14616=CARTESIAN_POINT('',(-4.75E0,-9.800000002021E0,-1.045E1)); +#14617=VERTEX_POINT('',#14616); +#14618=CARTESIAN_POINT('',(-5.057774721070E0,-1.03E1,-1.E1)); +#14619=VERTEX_POINT('',#14618); +#14620=CARTESIAN_POINT('',(-4.25E0,-1.03E1,-1.045E1)); +#14621=VERTEX_POINT('',#14620); +#14622=CARTESIAN_POINT('',(-2.25E0,-9.8E0,-1.045E1)); +#14623=CARTESIAN_POINT('',(-2.75E0,-1.03E1,-1.045E1)); +#14624=VERTEX_POINT('',#14622); +#14625=VERTEX_POINT('',#14623); +#14626=CARTESIAN_POINT('',(-1.8E0,-9.8E0,-1.E1)); +#14627=CARTESIAN_POINT('',(-1.942225278930E0,-1.03E1,-1.E1)); +#14628=VERTEX_POINT('',#14626); +#14629=VERTEX_POINT('',#14627); +#14630=CARTESIAN_POINT('',(-1.8E0,-9.05E0,-1.E1)); +#14631=VERTEX_POINT('',#14630); +#14632=CARTESIAN_POINT('',(-2.25E0,-9.050000000009E0,-1.045E1)); +#14633=VERTEX_POINT('',#14632); +#14634=CARTESIAN_POINT('',(-1.8E0,-8.6E0,-1.045E1)); +#14635=VERTEX_POINT('',#14634); +#14636=CARTESIAN_POINT('',(-1.7E0,-9.05E0,-1.E1)); +#14637=VERTEX_POINT('',#14636); +#14638=CARTESIAN_POINT('',(-1.700000000009E0,-8.6E0,-1.045E1)); +#14639=VERTEX_POINT('',#14638); +#14640=CARTESIAN_POINT('',(-1.25E0,-9.05E0,-1.045E1)); +#14641=VERTEX_POINT('',#14640); +#14642=CARTESIAN_POINT('',(-1.699999999397E0,-9.800000003758E0,-1.E1)); +#14643=VERTEX_POINT('',#14642); +#14644=CARTESIAN_POINT('',(-1.25E0,-9.800000002021E0,-1.045E1)); +#14645=VERTEX_POINT('',#14644); +#14646=CARTESIAN_POINT('',(-1.557774721070E0,-1.03E1,-1.E1)); +#14647=VERTEX_POINT('',#14646); +#14648=CARTESIAN_POINT('',(-7.5E-1,-1.03E1,-1.045E1)); +#14649=VERTEX_POINT('',#14648); +#14650=CARTESIAN_POINT('',(1.25E0,-9.8E0,-1.045E1)); +#14651=CARTESIAN_POINT('',(7.5E-1,-1.03E1,-1.045E1)); +#14652=VERTEX_POINT('',#14650); +#14653=VERTEX_POINT('',#14651); +#14654=CARTESIAN_POINT('',(1.7E0,-9.8E0,-1.E1)); +#14655=CARTESIAN_POINT('',(1.557774721070E0,-1.03E1,-1.E1)); +#14656=VERTEX_POINT('',#14654); +#14657=VERTEX_POINT('',#14655); +#14658=CARTESIAN_POINT('',(1.7E0,-9.05E0,-1.E1)); +#14659=VERTEX_POINT('',#14658); +#14660=CARTESIAN_POINT('',(1.25E0,-9.050000000009E0,-1.045E1)); +#14661=VERTEX_POINT('',#14660); +#14662=CARTESIAN_POINT('',(1.7E0,-8.6E0,-1.045E1)); +#14663=VERTEX_POINT('',#14662); +#14664=CARTESIAN_POINT('',(1.8E0,-9.05E0,-1.E1)); +#14665=VERTEX_POINT('',#14664); +#14666=CARTESIAN_POINT('',(1.799999999991E0,-8.6E0,-1.045E1)); +#14667=VERTEX_POINT('',#14666); +#14668=CARTESIAN_POINT('',(2.25E0,-9.05E0,-1.045E1)); +#14669=VERTEX_POINT('',#14668); +#14670=CARTESIAN_POINT('',(1.800000000603E0,-9.800000003758E0,-1.E1)); +#14671=VERTEX_POINT('',#14670); +#14672=CARTESIAN_POINT('',(2.25E0,-9.800000002021E0,-1.045E1)); +#14673=VERTEX_POINT('',#14672); +#14674=CARTESIAN_POINT('',(1.942225278930E0,-1.03E1,-1.E1)); +#14675=VERTEX_POINT('',#14674); +#14676=CARTESIAN_POINT('',(2.75E0,-1.03E1,-1.045E1)); +#14677=VERTEX_POINT('',#14676); +#14678=CARTESIAN_POINT('',(8.25E0,-9.8E0,-1.045E1)); +#14679=CARTESIAN_POINT('',(7.75E0,-1.03E1,-1.045E1)); +#14680=VERTEX_POINT('',#14678); +#14681=VERTEX_POINT('',#14679); +#14682=CARTESIAN_POINT('',(8.7E0,-9.8E0,-1.E1)); +#14683=CARTESIAN_POINT('',(8.557774721070E0,-1.03E1,-1.E1)); +#14684=VERTEX_POINT('',#14682); +#14685=VERTEX_POINT('',#14683); +#14686=CARTESIAN_POINT('',(8.7E0,-9.05E0,-1.E1)); +#14687=VERTEX_POINT('',#14686); +#14688=CARTESIAN_POINT('',(8.25E0,-9.050000000009E0,-1.045E1)); +#14689=VERTEX_POINT('',#14688); +#14690=CARTESIAN_POINT('',(8.7E0,-8.6E0,-1.045E1)); +#14691=VERTEX_POINT('',#14690); +#14692=CARTESIAN_POINT('',(8.8E0,-9.05E0,-1.E1)); +#14693=VERTEX_POINT('',#14692); +#14694=CARTESIAN_POINT('',(8.799999999991E0,-8.6E0,-1.045E1)); +#14695=VERTEX_POINT('',#14694); +#14696=CARTESIAN_POINT('',(9.25E0,-9.05E0,-1.045E1)); +#14697=VERTEX_POINT('',#14696); +#14698=CARTESIAN_POINT('',(8.800000000603E0,-9.800000003758E0,-1.E1)); +#14699=VERTEX_POINT('',#14698); +#14700=CARTESIAN_POINT('',(9.25E0,-9.800000002021E0,-1.045E1)); +#14701=VERTEX_POINT('',#14700); +#14702=CARTESIAN_POINT('',(8.942225278930E0,-1.03E1,-1.E1)); +#14703=VERTEX_POINT('',#14702); +#14704=CARTESIAN_POINT('',(9.75E0,-1.03E1,-1.045E1)); +#14705=VERTEX_POINT('',#14704); +#14706=CARTESIAN_POINT('',(1.175E1,-9.8E0,-1.045E1)); +#14707=CARTESIAN_POINT('',(1.125E1,-1.03E1,-1.045E1)); +#14708=VERTEX_POINT('',#14706); +#14709=VERTEX_POINT('',#14707); +#14710=CARTESIAN_POINT('',(1.22E1,-9.8E0,-1.E1)); +#14711=CARTESIAN_POINT('',(1.205777472107E1,-1.03E1,-1.E1)); +#14712=VERTEX_POINT('',#14710); +#14713=VERTEX_POINT('',#14711); +#14714=CARTESIAN_POINT('',(1.22E1,-9.05E0,-1.E1)); +#14715=VERTEX_POINT('',#14714); +#14716=CARTESIAN_POINT('',(1.175E1,-9.050000000009E0,-1.045E1)); +#14717=VERTEX_POINT('',#14716); +#14718=CARTESIAN_POINT('',(1.22E1,-8.6E0,-1.045E1)); +#14719=VERTEX_POINT('',#14718); +#14720=CARTESIAN_POINT('',(1.23E1,-9.05E0,-1.E1)); +#14721=VERTEX_POINT('',#14720); +#14722=CARTESIAN_POINT('',(1.229999999999E1,-8.6E0,-1.045E1)); +#14723=VERTEX_POINT('',#14722); +#14724=CARTESIAN_POINT('',(1.275E1,-9.05E0,-1.045E1)); +#14725=VERTEX_POINT('',#14724); +#14726=CARTESIAN_POINT('',(1.230000000061E1,-9.800000003796E0,-1.E1)); +#14727=VERTEX_POINT('',#14726); +#14728=CARTESIAN_POINT('',(1.275E1,-9.800000002041E0,-1.045E1)); +#14729=VERTEX_POINT('',#14728); +#14730=CARTESIAN_POINT('',(1.244222527893E1,-1.03E1,-1.E1)); +#14731=VERTEX_POINT('',#14730); +#14732=CARTESIAN_POINT('',(1.325E1,-1.03E1,-1.045E1)); +#14733=VERTEX_POINT('',#14732); +#14734=CARTESIAN_POINT('',(-1.97E1,5.2E0,-1.045E1)); +#14735=CARTESIAN_POINT('',(-2.019939498886E1,5.675410467720E0,-1.045E1)); +#14736=VERTEX_POINT('',#14734); +#14737=VERTEX_POINT('',#14735); +#14738=CARTESIAN_POINT('',(-1.97E1,4.75E0,-1.E1)); +#14739=CARTESIAN_POINT('',(-2.02E1,4.892225278930E0,-1.E1)); +#14740=VERTEX_POINT('',#14738); +#14741=VERTEX_POINT('',#14739); +#14742=CARTESIAN_POINT('',(-1.895E1,4.75E0,-1.E1)); +#14743=VERTEX_POINT('',#14742); +#14744=CARTESIAN_POINT('',(-1.895000000001E1,5.2E0,-1.045E1)); +#14745=VERTEX_POINT('',#14744); +#14746=CARTESIAN_POINT('',(-1.85E1,4.75E0,-1.045E1)); +#14747=VERTEX_POINT('',#14746); +#14748=CARTESIAN_POINT('',(-1.895E1,4.65E0,-1.E1)); +#14749=VERTEX_POINT('',#14748); +#14750=CARTESIAN_POINT('',(-1.85E1,4.650000000009E0,-1.045E1)); +#14751=VERTEX_POINT('',#14750); +#14752=CARTESIAN_POINT('',(-1.895E1,4.2E0,-1.045E1)); +#14753=VERTEX_POINT('',#14752); +#14754=CARTESIAN_POINT('',(-1.970000000184E1,4.649999999838E0,-1.E1)); +#14755=VERTEX_POINT('',#14754); +#14756=CARTESIAN_POINT('',(-1.970000000093E1,4.2E0,-1.045E1)); +#14757=VERTEX_POINT('',#14756); +#14758=CARTESIAN_POINT('',(-2.02E1,4.507774721070E0,-1.E1)); +#14759=VERTEX_POINT('',#14758); +#14760=CARTESIAN_POINT('',(-2.02E1,3.7E0,-1.045E1)); +#14761=VERTEX_POINT('',#14760); +#14762=CARTESIAN_POINT('',(-1.97E1,-4.2E0,-1.045E1)); +#14763=CARTESIAN_POINT('',(-2.02E1,-3.7E0,-1.045E1)); +#14764=VERTEX_POINT('',#14762); +#14765=VERTEX_POINT('',#14763); +#14766=CARTESIAN_POINT('',(-1.97E1,-4.65E0,-1.E1)); +#14767=CARTESIAN_POINT('',(-2.02E1,-4.507774721070E0,-1.E1)); +#14768=VERTEX_POINT('',#14766); +#14769=VERTEX_POINT('',#14767); +#14770=CARTESIAN_POINT('',(-1.895E1,-4.65E0,-1.E1)); +#14771=VERTEX_POINT('',#14770); +#14772=CARTESIAN_POINT('',(-1.895000000001E1,-4.2E0,-1.045E1)); +#14773=VERTEX_POINT('',#14772); +#14774=CARTESIAN_POINT('',(-1.85E1,-4.65E0,-1.045E1)); +#14775=VERTEX_POINT('',#14774); +#14776=CARTESIAN_POINT('',(-1.895E1,-4.75E0,-1.E1)); +#14777=VERTEX_POINT('',#14776); +#14778=CARTESIAN_POINT('',(-1.85E1,-4.749999999991E0,-1.045E1)); +#14779=VERTEX_POINT('',#14778); +#14780=CARTESIAN_POINT('',(-1.895E1,-5.2E0,-1.045E1)); +#14781=VERTEX_POINT('',#14780); +#14782=CARTESIAN_POINT('',(-1.970000000178E1,-4.750000000154E0,-1.E1)); +#14783=VERTEX_POINT('',#14782); +#14784=CARTESIAN_POINT('',(-1.970000000090E1,-5.2E0,-1.045E1)); +#14785=VERTEX_POINT('',#14784); +#14786=CARTESIAN_POINT('',(-2.02E1,-4.892225278930E0,-1.E1)); +#14787=VERTEX_POINT('',#14786); +#14788=CARTESIAN_POINT('',(-2.019939498886E1,-5.675410467720E0,-1.045E1)); +#14789=VERTEX_POINT('',#14788); +#14790=CARTESIAN_POINT('',(1.97E1,4.2E0,-1.045E1)); +#14791=CARTESIAN_POINT('',(2.02E1,3.7E0,-1.045E1)); +#14792=VERTEX_POINT('',#14790); +#14793=VERTEX_POINT('',#14791); +#14794=CARTESIAN_POINT('',(1.97E1,4.65E0,-1.E1)); +#14795=CARTESIAN_POINT('',(2.02E1,4.507774721070E0,-1.E1)); +#14796=VERTEX_POINT('',#14794); +#14797=VERTEX_POINT('',#14795); +#14798=CARTESIAN_POINT('',(1.895E1,4.65E0,-1.E1)); +#14799=VERTEX_POINT('',#14798); +#14800=CARTESIAN_POINT('',(1.895000000001E1,4.2E0,-1.045E1)); +#14801=VERTEX_POINT('',#14800); +#14802=CARTESIAN_POINT('',(1.85E1,4.65E0,-1.045E1)); +#14803=VERTEX_POINT('',#14802); +#14804=CARTESIAN_POINT('',(1.895E1,4.75E0,-1.E1)); +#14805=VERTEX_POINT('',#14804); +#14806=CARTESIAN_POINT('',(1.85E1,4.749999999991E0,-1.045E1)); +#14807=VERTEX_POINT('',#14806); +#14808=CARTESIAN_POINT('',(1.895E1,5.2E0,-1.045E1)); +#14809=VERTEX_POINT('',#14808); +#14810=CARTESIAN_POINT('',(1.970000000376E1,4.750000000601E0,-1.E1)); +#14811=VERTEX_POINT('',#14810); +#14812=CARTESIAN_POINT('',(1.970000000202E1,5.2E0,-1.045E1)); +#14813=VERTEX_POINT('',#14812); +#14814=CARTESIAN_POINT('',(2.02E1,4.892225278930E0,-1.E1)); +#14815=VERTEX_POINT('',#14814); +#14816=CARTESIAN_POINT('',(2.019939498886E1,5.675410467720E0,-1.045E1)); +#14817=VERTEX_POINT('',#14816); +#14818=CARTESIAN_POINT('',(1.97E1,-5.2E0,-1.045E1)); +#14819=CARTESIAN_POINT('',(2.019939498886E1,-5.675410467720E0,-1.045E1)); +#14820=VERTEX_POINT('',#14818); +#14821=VERTEX_POINT('',#14819); +#14822=CARTESIAN_POINT('',(1.97E1,-4.75E0,-1.E1)); +#14823=CARTESIAN_POINT('',(2.02E1,-4.892225278930E0,-1.E1)); +#14824=VERTEX_POINT('',#14822); +#14825=VERTEX_POINT('',#14823); +#14826=CARTESIAN_POINT('',(1.895E1,-4.75E0,-1.E1)); +#14827=VERTEX_POINT('',#14826); +#14828=CARTESIAN_POINT('',(1.895000000001E1,-5.2E0,-1.045E1)); +#14829=VERTEX_POINT('',#14828); +#14830=CARTESIAN_POINT('',(1.85E1,-4.75E0,-1.045E1)); +#14831=VERTEX_POINT('',#14830); +#14832=CARTESIAN_POINT('',(1.895E1,-4.65E0,-1.E1)); +#14833=VERTEX_POINT('',#14832); +#14834=CARTESIAN_POINT('',(1.85E1,-4.650000000009E0,-1.045E1)); +#14835=VERTEX_POINT('',#14834); +#14836=CARTESIAN_POINT('',(1.895E1,-4.2E0,-1.045E1)); +#14837=VERTEX_POINT('',#14836); +#14838=CARTESIAN_POINT('',(1.970000000377E1,-4.649999999394E0,-1.E1)); +#14839=VERTEX_POINT('',#14838); +#14840=CARTESIAN_POINT('',(1.970000000203E1,-4.2E0,-1.045E1)); +#14841=VERTEX_POINT('',#14840); +#14842=CARTESIAN_POINT('',(2.02E1,-4.507774721070E0,-1.E1)); +#14843=VERTEX_POINT('',#14842); +#14844=CARTESIAN_POINT('',(2.02E1,-3.7E0,-1.045E1)); +#14845=VERTEX_POINT('',#14844); +#14846=VERTEX_POINT('',#3727); +#14847=VERTEX_POINT('',#3923); +#14848=VERTEX_POINT('',#3037); +#14849=VERTEX_POINT('',#3089); +#14850=CARTESIAN_POINT('',(-1.075E0,9.8E0,-1.045E1)); +#14851=CARTESIAN_POINT('',(-5.75E-1,1.03E1,-1.045E1)); +#14852=VERTEX_POINT('',#14850); +#14853=VERTEX_POINT('',#14851); +#14854=CARTESIAN_POINT('',(-1.525E0,9.8E0,-1.E1)); +#14855=CARTESIAN_POINT('',(-1.382774721070E0,1.03E1,-1.E1)); +#14856=VERTEX_POINT('',#14854); +#14857=VERTEX_POINT('',#14855); +#14858=CARTESIAN_POINT('',(-1.525E0,7.85E0,-1.E1)); +#14859=VERTEX_POINT('',#14858); +#14860=CARTESIAN_POINT('',(-1.075E0,7.850000000009E0,-1.045E1)); +#14861=VERTEX_POINT('',#14860); +#14862=CARTESIAN_POINT('',(-1.525E0,7.4E0,-1.045E1)); +#14863=VERTEX_POINT('',#14862); +#14864=CARTESIAN_POINT('',(-1.975E0,7.85E0,-1.E1)); +#14865=VERTEX_POINT('',#14864); +#14866=CARTESIAN_POINT('',(-1.974999999991E0,7.4E0,-1.045E1)); +#14867=VERTEX_POINT('',#14866); +#14868=CARTESIAN_POINT('',(-2.425E0,7.85E0,-1.045E1)); +#14869=VERTEX_POINT('',#14868); +#14870=CARTESIAN_POINT('',(-1.975000000587E0,9.800000003775E0,-1.E1)); +#14871=VERTEX_POINT('',#14870); +#14872=CARTESIAN_POINT('',(-2.425E0,9.800000002027E0,-1.045E1)); +#14873=VERTEX_POINT('',#14872); +#14874=CARTESIAN_POINT('',(-2.117225278930E0,1.03E1,-1.E1)); +#14875=VERTEX_POINT('',#14874); +#14876=CARTESIAN_POINT('',(-2.925E0,1.03E1,-1.045E1)); +#14877=VERTEX_POINT('',#14876); +#14878=CARTESIAN_POINT('',(4.575E0,-9.8E0,-1.045E1)); +#14879=CARTESIAN_POINT('',(4.075E0,-1.03E1,-1.045E1)); +#14880=VERTEX_POINT('',#14878); +#14881=VERTEX_POINT('',#14879); +#14882=CARTESIAN_POINT('',(5.025E0,-9.8E0,-1.E1)); +#14883=CARTESIAN_POINT('',(4.882774721070E0,-1.03E1,-1.E1)); +#14884=VERTEX_POINT('',#14882); +#14885=VERTEX_POINT('',#14883); +#14886=CARTESIAN_POINT('',(5.025E0,-7.85E0,-1.E1)); +#14887=VERTEX_POINT('',#14886); +#14888=CARTESIAN_POINT('',(4.575E0,-7.850000000009E0,-1.045E1)); +#14889=VERTEX_POINT('',#14888); +#14890=CARTESIAN_POINT('',(5.025E0,-7.4E0,-1.045E1)); +#14891=VERTEX_POINT('',#14890); +#14892=CARTESIAN_POINT('',(5.475E0,-7.85E0,-1.E1)); +#14893=VERTEX_POINT('',#14892); +#14894=CARTESIAN_POINT('',(5.474999999991E0,-7.4E0,-1.045E1)); +#14895=VERTEX_POINT('',#14894); +#14896=CARTESIAN_POINT('',(5.925E0,-7.85E0,-1.045E1)); +#14897=VERTEX_POINT('',#14896); +#14898=CARTESIAN_POINT('',(5.475000000579E0,-9.800000003742E0,-1.E1)); +#14899=VERTEX_POINT('',#14898); +#14900=CARTESIAN_POINT('',(5.925E0,-9.800000002010E0,-1.045E1)); +#14901=VERTEX_POINT('',#14900); +#14902=CARTESIAN_POINT('',(5.617225278930E0,-1.03E1,-1.E1)); +#14903=VERTEX_POINT('',#14902); +#14904=CARTESIAN_POINT('',(6.425E0,-1.03E1,-1.045E1)); +#14905=VERTEX_POINT('',#14904); +#14906=CARTESIAN_POINT('',(-2.09E1,-1.516867103068E1,-3.1725E1)); +#14907=CARTESIAN_POINT('',(-2.09E1,-1.516867103068E1,-3.0875E1)); +#14908=VERTEX_POINT('',#14906); +#14909=VERTEX_POINT('',#14907); +#14910=CARTESIAN_POINT('',(-2.156E1,-1.459367103068E1,-3.205126559884E1)); +#14911=CARTESIAN_POINT('',(-2.09E1,-1.459367103068E1,-3.23E1)); +#14912=VERTEX_POINT('',#14910); +#14913=VERTEX_POINT('',#14911); +#14914=CARTESIAN_POINT('',(-1.99E1,-1.459367103068E1,-3.13E1)); +#14915=VERTEX_POINT('',#14914); +#14916=CARTESIAN_POINT('',(-2.09E1,-1.459367103068E1,-3.03E1)); +#14917=CARTESIAN_POINT('',(-2.156E1,-1.459367103068E1,-3.054873440116E1)); +#14918=VERTEX_POINT('',#14916); +#14919=VERTEX_POINT('',#14917); +#14920=VERTEX_POINT('',#8594); +#14921=VERTEX_POINT('',#8620); +#14922=CARTESIAN_POINT('',(2.09E1,-1.459367103068E1,-3.23E1)); +#14923=CARTESIAN_POINT('',(2.09E1,-1.516867103068E1,-3.1725E1)); +#14924=VERTEX_POINT('',#14922); +#14925=VERTEX_POINT('',#14923); +#14926=CARTESIAN_POINT('',(2.09E1,-1.459367103068E1,-3.03E1)); +#14927=CARTESIAN_POINT('',(2.09E1,-1.516867103068E1,-3.0875E1)); +#14928=VERTEX_POINT('',#14926); +#14929=VERTEX_POINT('',#14927); +#14930=CARTESIAN_POINT('',(2.156E1,-1.459367103068E1,-3.205126559884E1)); +#14931=VERTEX_POINT('',#14930); +#14932=CARTESIAN_POINT('',(1.99E1,-1.459367103068E1,-3.13E1)); +#14933=VERTEX_POINT('',#14932); +#14934=CARTESIAN_POINT('',(2.156E1,-1.459367103068E1,-3.054873440116E1)); +#14935=VERTEX_POINT('',#14934); +#14936=VERTEX_POINT('',#8493); +#14937=VERTEX_POINT('',#8503); +#14938=CARTESIAN_POINT('',(-1.55E1,1.03E1,-2.E0)); +#14939=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14940=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14941=AXIS2_PLACEMENT_3D('',#14938,#14939,#14940); +#14942=PLANE('',#14941); +#14944=ORIENTED_EDGE('',*,*,#14943,.T.); +#14946=ORIENTED_EDGE('',*,*,#14945,.F.); +#14948=ORIENTED_EDGE('',*,*,#14947,.F.); +#14950=ORIENTED_EDGE('',*,*,#14949,.F.); +#14952=ORIENTED_EDGE('',*,*,#14951,.F.); +#14954=ORIENTED_EDGE('',*,*,#14953,.F.); +#14956=ORIENTED_EDGE('',*,*,#14955,.T.); +#14958=ORIENTED_EDGE('',*,*,#14957,.F.); +#14960=ORIENTED_EDGE('',*,*,#14959,.F.); +#14962=ORIENTED_EDGE('',*,*,#14961,.F.); +#14964=ORIENTED_EDGE('',*,*,#14963,.F.); +#14966=ORIENTED_EDGE('',*,*,#14965,.F.); +#14968=ORIENTED_EDGE('',*,*,#14967,.T.); +#14970=ORIENTED_EDGE('',*,*,#14969,.F.); +#14972=ORIENTED_EDGE('',*,*,#14971,.F.); +#14974=ORIENTED_EDGE('',*,*,#14973,.F.); +#14976=ORIENTED_EDGE('',*,*,#14975,.F.); +#14978=ORIENTED_EDGE('',*,*,#14977,.F.); +#14980=ORIENTED_EDGE('',*,*,#14979,.T.); +#14982=ORIENTED_EDGE('',*,*,#14981,.F.); +#14984=ORIENTED_EDGE('',*,*,#14983,.F.); +#14986=ORIENTED_EDGE('',*,*,#14985,.F.); +#14988=ORIENTED_EDGE('',*,*,#14987,.F.); +#14990=ORIENTED_EDGE('',*,*,#14989,.F.); +#14992=ORIENTED_EDGE('',*,*,#14991,.F.); +#14994=ORIENTED_EDGE('',*,*,#14993,.T.); +#14996=ORIENTED_EDGE('',*,*,#14995,.T.); +#14998=ORIENTED_EDGE('',*,*,#14997,.F.); +#15000=ORIENTED_EDGE('',*,*,#14999,.T.); +#15002=ORIENTED_EDGE('',*,*,#15001,.F.); +#15004=ORIENTED_EDGE('',*,*,#15003,.F.); +#15006=ORIENTED_EDGE('',*,*,#15005,.F.); +#15008=ORIENTED_EDGE('',*,*,#15007,.F.); +#15010=ORIENTED_EDGE('',*,*,#15009,.F.); +#15012=ORIENTED_EDGE('',*,*,#15011,.T.); +#15014=ORIENTED_EDGE('',*,*,#15013,.F.); +#15016=ORIENTED_EDGE('',*,*,#15015,.F.); +#15018=ORIENTED_EDGE('',*,*,#15017,.F.); +#15020=ORIENTED_EDGE('',*,*,#15019,.F.); +#15022=ORIENTED_EDGE('',*,*,#15021,.F.); +#15024=ORIENTED_EDGE('',*,*,#15023,.T.); +#15026=ORIENTED_EDGE('',*,*,#15025,.F.); +#15028=ORIENTED_EDGE('',*,*,#15027,.F.); +#15030=ORIENTED_EDGE('',*,*,#15029,.F.); +#15032=ORIENTED_EDGE('',*,*,#15031,.F.); +#15034=ORIENTED_EDGE('',*,*,#15033,.F.); +#15036=ORIENTED_EDGE('',*,*,#15035,.T.); +#15038=ORIENTED_EDGE('',*,*,#15037,.F.); +#15040=ORIENTED_EDGE('',*,*,#15039,.F.); +#15042=ORIENTED_EDGE('',*,*,#15041,.F.); +#15044=ORIENTED_EDGE('',*,*,#15043,.F.); +#15046=ORIENTED_EDGE('',*,*,#15045,.F.); +#15047=EDGE_LOOP('',(#14944,#14946,#14948,#14950,#14952,#14954,#14956,#14958, +#14960,#14962,#14964,#14966,#14968,#14970,#14972,#14974,#14976,#14978,#14980, +#14982,#14984,#14986,#14988,#14990,#14992,#14994,#14996,#14998,#15000,#15002, +#15004,#15006,#15008,#15010,#15012,#15014,#15016,#15018,#15020,#15022,#15024, +#15026,#15028,#15030,#15032,#15034,#15036,#15038,#15040,#15042,#15044,#15046)); +#15048=FACE_OUTER_BOUND('',#15047,.F.); +#15050=CARTESIAN_POINT('',(-5.75E-1,9.8E0,-2.E1)); +#15051=DIRECTION('',(0.E0,0.E0,1.E0)); +#15052=DIRECTION('',(1.E0,0.E0,0.E0)); +#15053=AXIS2_PLACEMENT_3D('',#15050,#15051,#15052); +#15054=CYLINDRICAL_SURFACE('',#15053,5.E-1); +#15056=ORIENTED_EDGE('',*,*,#15055,.T.); +#15057=ORIENTED_EDGE('',*,*,#14943,.F.); +#15059=ORIENTED_EDGE('',*,*,#15058,.T.); +#15061=ORIENTED_EDGE('',*,*,#15060,.T.); +#15062=EDGE_LOOP('',(#15056,#15057,#15059,#15061)); +#15063=FACE_OUTER_BOUND('',#15062,.F.); +#15065=CARTESIAN_POINT('',(-5.75E-1,9.8E0,-1.045E1)); +#15066=DIRECTION('',(0.E0,0.E0,1.E0)); +#15067=DIRECTION('',(-9.406858755190E-1,-3.392787697442E-1,0.E0)); +#15068=AXIS2_PLACEMENT_3D('',#15065,#15066,#15067); +#15069=TOROIDAL_SURFACE('',#15068,9.5E-1,4.5E-1); +#15070=ORIENTED_EDGE('',*,*,#15055,.F.); +#15072=ORIENTED_EDGE('',*,*,#15071,.F.); +#15074=ORIENTED_EDGE('',*,*,#15073,.T.); +#15075=ORIENTED_EDGE('',*,*,#14945,.T.); +#15076=EDGE_LOOP('',(#15070,#15072,#15074,#15075)); +#15077=FACE_OUTER_BOUND('',#15076,.F.); +#15079=CARTESIAN_POINT('',(-1.525E0,7.728163841557E0,-1.045E1)); +#15080=DIRECTION('',(0.E0,1.E0,0.E0)); +#15081=DIRECTION('',(0.E0,0.E0,1.E0)); +#15082=AXIS2_PLACEMENT_3D('',#15079,#15080,#15081); +#15083=CYLINDRICAL_SURFACE('',#15082,4.5E-1); +#15085=ORIENTED_EDGE('',*,*,#15084,.T.); +#15086=ORIENTED_EDGE('',*,*,#15071,.T.); +#15088=ORIENTED_EDGE('',*,*,#15087,.F.); +#15090=ORIENTED_EDGE('',*,*,#15089,.F.); +#15091=EDGE_LOOP('',(#15085,#15086,#15088,#15090)); +#15092=FACE_OUTER_BOUND('',#15091,.F.); +#15094=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#15095=DIRECTION('',(0.E0,0.E0,1.E0)); +#15096=DIRECTION('',(1.E0,0.E0,0.E0)); +#15097=AXIS2_PLACEMENT_3D('',#15094,#15095,#15096); +#15098=PLANE('',#15097); +#15100=ORIENTED_EDGE('',*,*,#15099,.F.); +#15102=ORIENTED_EDGE('',*,*,#15101,.F.); +#15104=ORIENTED_EDGE('',*,*,#15103,.F.); +#15106=ORIENTED_EDGE('',*,*,#15105,.F.); +#15108=ORIENTED_EDGE('',*,*,#15107,.F.); +#15109=ORIENTED_EDGE('',*,*,#14983,.T.); +#15110=EDGE_LOOP('',(#15100,#15102,#15104,#15106,#15108,#15109)); +#15111=FACE_OUTER_BOUND('',#15110,.F.); +#15113=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#15114=DIRECTION('',(0.E0,0.E0,1.E0)); +#15115=DIRECTION('',(1.E0,0.E0,0.E0)); +#15116=AXIS2_PLACEMENT_3D('',#15113,#15114,#15115); +#15117=PLANE('',#15116); +#15119=ORIENTED_EDGE('',*,*,#15118,.F.); +#15121=ORIENTED_EDGE('',*,*,#15120,.F.); +#15123=ORIENTED_EDGE('',*,*,#15122,.F.); +#15125=ORIENTED_EDGE('',*,*,#15124,.F.); +#15127=ORIENTED_EDGE('',*,*,#15126,.F.); +#15128=ORIENTED_EDGE('',*,*,#14971,.T.); +#15129=EDGE_LOOP('',(#15119,#15121,#15123,#15125,#15127,#15128)); +#15130=FACE_OUTER_BOUND('',#15129,.F.); +#15132=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#15133=DIRECTION('',(0.E0,0.E0,1.E0)); +#15134=DIRECTION('',(1.E0,0.E0,0.E0)); +#15135=AXIS2_PLACEMENT_3D('',#15132,#15133,#15134); +#15136=PLANE('',#15135); +#15138=ORIENTED_EDGE('',*,*,#15137,.F.); +#15140=ORIENTED_EDGE('',*,*,#15139,.F.); +#15142=ORIENTED_EDGE('',*,*,#15141,.F.); +#15144=ORIENTED_EDGE('',*,*,#15143,.F.); +#15146=ORIENTED_EDGE('',*,*,#15145,.F.); +#15147=ORIENTED_EDGE('',*,*,#14959,.T.); +#15148=EDGE_LOOP('',(#15138,#15140,#15142,#15144,#15146,#15147)); +#15149=FACE_OUTER_BOUND('',#15148,.F.); +#15151=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#15152=DIRECTION('',(0.E0,0.E0,1.E0)); +#15153=DIRECTION('',(1.E0,0.E0,0.E0)); +#15154=AXIS2_PLACEMENT_3D('',#15151,#15152,#15153); +#15155=PLANE('',#15154); +#15157=ORIENTED_EDGE('',*,*,#15156,.F.); +#15159=ORIENTED_EDGE('',*,*,#15158,.F.); +#15161=ORIENTED_EDGE('',*,*,#15160,.F.); +#15163=ORIENTED_EDGE('',*,*,#15162,.F.); +#15165=ORIENTED_EDGE('',*,*,#15164,.F.); +#15166=ORIENTED_EDGE('',*,*,#15039,.T.); +#15167=EDGE_LOOP('',(#15157,#15159,#15161,#15163,#15165,#15166)); +#15168=FACE_OUTER_BOUND('',#15167,.F.); +#15170=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#15171=DIRECTION('',(0.E0,0.E0,1.E0)); +#15172=DIRECTION('',(1.E0,0.E0,0.E0)); +#15173=AXIS2_PLACEMENT_3D('',#15170,#15171,#15172); +#15174=PLANE('',#15173); +#15176=ORIENTED_EDGE('',*,*,#15175,.F.); +#15178=ORIENTED_EDGE('',*,*,#15177,.F.); +#15180=ORIENTED_EDGE('',*,*,#15179,.F.); +#15182=ORIENTED_EDGE('',*,*,#15181,.F.); +#15184=ORIENTED_EDGE('',*,*,#15183,.F.); +#15185=ORIENTED_EDGE('',*,*,#15027,.T.); +#15186=EDGE_LOOP('',(#15176,#15178,#15180,#15182,#15184,#15185)); +#15187=FACE_OUTER_BOUND('',#15186,.F.); +#15189=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#15190=DIRECTION('',(0.E0,0.E0,1.E0)); +#15191=DIRECTION('',(1.E0,0.E0,0.E0)); +#15192=AXIS2_PLACEMENT_3D('',#15189,#15190,#15191); +#15193=PLANE('',#15192); +#15195=ORIENTED_EDGE('',*,*,#15194,.F.); +#15197=ORIENTED_EDGE('',*,*,#15196,.F.); +#15199=ORIENTED_EDGE('',*,*,#15198,.F.); +#15201=ORIENTED_EDGE('',*,*,#15200,.F.); +#15203=ORIENTED_EDGE('',*,*,#15202,.F.); +#15204=ORIENTED_EDGE('',*,*,#15015,.T.); +#15205=EDGE_LOOP('',(#15195,#15197,#15199,#15201,#15203,#15204)); +#15206=FACE_OUTER_BOUND('',#15205,.F.); +#15208=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#15209=DIRECTION('',(0.E0,0.E0,1.E0)); +#15210=DIRECTION('',(1.E0,0.E0,0.E0)); +#15211=AXIS2_PLACEMENT_3D('',#15208,#15209,#15210); +#15212=PLANE('',#15211); +#15214=ORIENTED_EDGE('',*,*,#15213,.F.); +#15216=ORIENTED_EDGE('',*,*,#15215,.F.); +#15218=ORIENTED_EDGE('',*,*,#15217,.F.); +#15220=ORIENTED_EDGE('',*,*,#15219,.F.); +#15222=ORIENTED_EDGE('',*,*,#15221,.F.); +#15223=ORIENTED_EDGE('',*,*,#15003,.T.); +#15224=EDGE_LOOP('',(#15214,#15216,#15218,#15220,#15222,#15223)); +#15225=FACE_OUTER_BOUND('',#15224,.F.); +#15227=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#15228=DIRECTION('',(0.E0,0.E0,1.E0)); +#15229=DIRECTION('',(1.E0,0.E0,0.E0)); +#15230=AXIS2_PLACEMENT_3D('',#15227,#15228,#15229); +#15231=PLANE('',#15230); +#15233=ORIENTED_EDGE('',*,*,#15232,.F.); +#15235=ORIENTED_EDGE('',*,*,#15234,.F.); +#15237=ORIENTED_EDGE('',*,*,#15236,.F.); +#15239=ORIENTED_EDGE('',*,*,#15238,.F.); +#15241=ORIENTED_EDGE('',*,*,#15240,.F.); +#15243=ORIENTED_EDGE('',*,*,#15242,.T.); +#15244=EDGE_LOOP('',(#15233,#15235,#15237,#15239,#15241,#15243)); +#15245=FACE_OUTER_BOUND('',#15244,.F.); +#15247=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#15248=DIRECTION('',(0.E0,0.E0,1.E0)); +#15249=DIRECTION('',(1.E0,0.E0,0.E0)); +#15250=AXIS2_PLACEMENT_3D('',#15247,#15248,#15249); +#15251=PLANE('',#15250); +#15253=ORIENTED_EDGE('',*,*,#15252,.F.); +#15255=ORIENTED_EDGE('',*,*,#15254,.F.); +#15257=ORIENTED_EDGE('',*,*,#15256,.F.); +#15259=ORIENTED_EDGE('',*,*,#15258,.F.); +#15261=ORIENTED_EDGE('',*,*,#15260,.F.); +#15263=ORIENTED_EDGE('',*,*,#15262,.T.); +#15264=EDGE_LOOP('',(#15253,#15255,#15257,#15259,#15261,#15263)); +#15265=FACE_OUTER_BOUND('',#15264,.F.); +#15267=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#15268=DIRECTION('',(0.E0,0.E0,1.E0)); +#15269=DIRECTION('',(1.E0,0.E0,0.E0)); +#15270=AXIS2_PLACEMENT_3D('',#15267,#15268,#15269); +#15271=PLANE('',#15270); +#15273=ORIENTED_EDGE('',*,*,#15272,.F.); +#15275=ORIENTED_EDGE('',*,*,#15274,.F.); +#15277=ORIENTED_EDGE('',*,*,#15276,.F.); +#15279=ORIENTED_EDGE('',*,*,#15278,.F.); +#15281=ORIENTED_EDGE('',*,*,#15280,.F.); +#15283=ORIENTED_EDGE('',*,*,#15282,.T.); +#15284=EDGE_LOOP('',(#15273,#15275,#15277,#15279,#15281,#15283)); +#15285=FACE_OUTER_BOUND('',#15284,.F.); +#15287=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#15288=DIRECTION('',(0.E0,0.E0,1.E0)); +#15289=DIRECTION('',(1.E0,0.E0,0.E0)); +#15290=AXIS2_PLACEMENT_3D('',#15287,#15288,#15289); +#15291=PLANE('',#15290); +#15293=ORIENTED_EDGE('',*,*,#15292,.F.); +#15295=ORIENTED_EDGE('',*,*,#15294,.F.); +#15297=ORIENTED_EDGE('',*,*,#15296,.F.); +#15299=ORIENTED_EDGE('',*,*,#15298,.F.); +#15301=ORIENTED_EDGE('',*,*,#15300,.F.); +#15303=ORIENTED_EDGE('',*,*,#15302,.T.); +#15304=EDGE_LOOP('',(#15293,#15295,#15297,#15299,#15301,#15303)); +#15305=FACE_OUTER_BOUND('',#15304,.F.); +#15307=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#15308=DIRECTION('',(0.E0,0.E0,1.E0)); +#15309=DIRECTION('',(1.E0,0.E0,0.E0)); +#15310=AXIS2_PLACEMENT_3D('',#15307,#15308,#15309); +#15311=PLANE('',#15310); +#15313=ORIENTED_EDGE('',*,*,#15312,.F.); +#15315=ORIENTED_EDGE('',*,*,#15314,.F.); +#15317=ORIENTED_EDGE('',*,*,#15316,.F.); +#15319=ORIENTED_EDGE('',*,*,#15318,.F.); +#15321=ORIENTED_EDGE('',*,*,#15320,.F.); +#15323=ORIENTED_EDGE('',*,*,#15322,.T.); +#15324=EDGE_LOOP('',(#15313,#15315,#15317,#15319,#15321,#15323)); +#15325=FACE_OUTER_BOUND('',#15324,.F.); +#15327=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#15328=DIRECTION('',(0.E0,0.E0,1.E0)); +#15329=DIRECTION('',(1.E0,0.E0,0.E0)); +#15330=AXIS2_PLACEMENT_3D('',#15327,#15328,#15329); +#15331=PLANE('',#15330); +#15333=ORIENTED_EDGE('',*,*,#15332,.F.); +#15335=ORIENTED_EDGE('',*,*,#15334,.F.); +#15337=ORIENTED_EDGE('',*,*,#15336,.F.); +#15339=ORIENTED_EDGE('',*,*,#15338,.F.); +#15341=ORIENTED_EDGE('',*,*,#15340,.F.); +#15343=ORIENTED_EDGE('',*,*,#15342,.T.); +#15344=EDGE_LOOP('',(#15333,#15335,#15337,#15339,#15341,#15343)); +#15345=FACE_OUTER_BOUND('',#15344,.F.); +#15347=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#15348=DIRECTION('',(0.E0,0.E0,1.E0)); +#15349=DIRECTION('',(1.E0,0.E0,0.E0)); +#15350=AXIS2_PLACEMENT_3D('',#15347,#15348,#15349); +#15351=PLANE('',#15350); +#15353=ORIENTED_EDGE('',*,*,#15352,.F.); +#15355=ORIENTED_EDGE('',*,*,#15354,.F.); +#15357=ORIENTED_EDGE('',*,*,#15356,.F.); +#15359=ORIENTED_EDGE('',*,*,#15358,.F.); +#15361=ORIENTED_EDGE('',*,*,#15360,.F.); +#15363=ORIENTED_EDGE('',*,*,#15362,.T.); +#15364=EDGE_LOOP('',(#15353,#15355,#15357,#15359,#15361,#15363)); +#15365=FACE_OUTER_BOUND('',#15364,.F.); +#15367=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#15368=DIRECTION('',(0.E0,0.E0,1.E0)); +#15369=DIRECTION('',(1.E0,0.E0,0.E0)); +#15370=AXIS2_PLACEMENT_3D('',#15367,#15368,#15369); +#15371=PLANE('',#15370); +#15373=ORIENTED_EDGE('',*,*,#15372,.F.); +#15375=ORIENTED_EDGE('',*,*,#15374,.F.); +#15377=ORIENTED_EDGE('',*,*,#15376,.F.); +#15379=ORIENTED_EDGE('',*,*,#15378,.F.); +#15381=ORIENTED_EDGE('',*,*,#15380,.F.); +#15383=ORIENTED_EDGE('',*,*,#15382,.T.); +#15384=EDGE_LOOP('',(#15373,#15375,#15377,#15379,#15381,#15383)); +#15385=FACE_OUTER_BOUND('',#15384,.F.); +#15387=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#15388=DIRECTION('',(0.E0,0.E0,1.E0)); +#15389=DIRECTION('',(1.E0,0.E0,0.E0)); +#15390=AXIS2_PLACEMENT_3D('',#15387,#15388,#15389); +#15391=PLANE('',#15390); +#15393=ORIENTED_EDGE('',*,*,#15392,.F.); +#15395=ORIENTED_EDGE('',*,*,#15394,.F.); +#15397=ORIENTED_EDGE('',*,*,#15396,.F.); +#15399=ORIENTED_EDGE('',*,*,#15398,.F.); +#15401=ORIENTED_EDGE('',*,*,#15400,.F.); +#15403=ORIENTED_EDGE('',*,*,#15402,.T.); +#15404=EDGE_LOOP('',(#15393,#15395,#15397,#15399,#15401,#15403)); +#15405=FACE_OUTER_BOUND('',#15404,.F.); +#15407=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#15408=DIRECTION('',(0.E0,0.E0,1.E0)); +#15409=DIRECTION('',(1.E0,0.E0,0.E0)); +#15410=AXIS2_PLACEMENT_3D('',#15407,#15408,#15409); +#15411=PLANE('',#15410); +#15413=ORIENTED_EDGE('',*,*,#15412,.F.); +#15415=ORIENTED_EDGE('',*,*,#15414,.F.); +#15417=ORIENTED_EDGE('',*,*,#15416,.F.); +#15419=ORIENTED_EDGE('',*,*,#15418,.F.); +#15421=ORIENTED_EDGE('',*,*,#15420,.F.); +#15423=ORIENTED_EDGE('',*,*,#15422,.T.); +#15424=EDGE_LOOP('',(#15413,#15415,#15417,#15419,#15421,#15423)); +#15425=FACE_OUTER_BOUND('',#15424,.F.); +#15427=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#15428=DIRECTION('',(0.E0,0.E0,1.E0)); +#15429=DIRECTION('',(1.E0,0.E0,0.E0)); +#15430=AXIS2_PLACEMENT_3D('',#15427,#15428,#15429); +#15431=PLANE('',#15430); +#15433=ORIENTED_EDGE('',*,*,#15432,.F.); +#15435=ORIENTED_EDGE('',*,*,#15434,.F.); +#15437=ORIENTED_EDGE('',*,*,#15436,.F.); +#15439=ORIENTED_EDGE('',*,*,#15438,.F.); +#15441=ORIENTED_EDGE('',*,*,#15440,.F.); +#15443=ORIENTED_EDGE('',*,*,#15442,.T.); +#15444=EDGE_LOOP('',(#15433,#15435,#15437,#15439,#15441,#15443)); +#15445=FACE_OUTER_BOUND('',#15444,.F.); +#15447=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#15448=DIRECTION('',(0.E0,0.E0,1.E0)); +#15449=DIRECTION('',(1.E0,0.E0,0.E0)); +#15450=AXIS2_PLACEMENT_3D('',#15447,#15448,#15449); +#15451=PLANE('',#15450); +#15452=ORIENTED_EDGE('',*,*,#15073,.F.); +#15453=ORIENTED_EDGE('',*,*,#15084,.F.); +#15455=ORIENTED_EDGE('',*,*,#15454,.F.); +#15457=ORIENTED_EDGE('',*,*,#15456,.F.); +#15459=ORIENTED_EDGE('',*,*,#15458,.F.); +#15460=ORIENTED_EDGE('',*,*,#14947,.T.); +#15461=EDGE_LOOP('',(#15452,#15453,#15455,#15457,#15459,#15460)); +#15462=FACE_OUTER_BOUND('',#15461,.F.); +#15464=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#15465=DIRECTION('',(0.E0,0.E0,1.E0)); +#15466=DIRECTION('',(1.E0,0.E0,0.E0)); +#15467=AXIS2_PLACEMENT_3D('',#15464,#15465,#15466); +#15468=PLANE('',#15467); +#15470=ORIENTED_EDGE('',*,*,#15469,.F.); +#15472=ORIENTED_EDGE('',*,*,#15471,.F.); +#15474=ORIENTED_EDGE('',*,*,#15473,.F.); +#15476=ORIENTED_EDGE('',*,*,#15475,.F.); +#15478=ORIENTED_EDGE('',*,*,#15477,.F.); +#15480=ORIENTED_EDGE('',*,*,#15479,.T.); +#15481=EDGE_LOOP('',(#15470,#15472,#15474,#15476,#15478,#15480)); +#15482=FACE_OUTER_BOUND('',#15481,.F.); +#15484=CARTESIAN_POINT('',(-1.125E1,9.8E0,-1.045E1)); +#15485=DIRECTION('',(0.E0,0.E0,1.E0)); +#15486=DIRECTION('',(-9.418327390805E-1,-3.360819715429E-1,0.E0)); +#15487=AXIS2_PLACEMENT_3D('',#15484,#15485,#15486); +#15488=TOROIDAL_SURFACE('',#15487,9.5E-1,4.5E-1); +#15490=ORIENTED_EDGE('',*,*,#15489,.F.); +#15492=ORIENTED_EDGE('',*,*,#15491,.F.); +#15493=ORIENTED_EDGE('',*,*,#15099,.T.); +#15494=ORIENTED_EDGE('',*,*,#14981,.T.); +#15495=EDGE_LOOP('',(#15490,#15492,#15493,#15494)); +#15496=FACE_OUTER_BOUND('',#15495,.F.); +#15498=CARTESIAN_POINT('',(-1.125E1,9.8E0,-2.E1)); +#15499=DIRECTION('',(0.E0,0.E0,1.E0)); +#15500=DIRECTION('',(1.E0,0.E0,0.E0)); +#15501=AXIS2_PLACEMENT_3D('',#15498,#15499,#15500); +#15502=CYLINDRICAL_SURFACE('',#15501,5.E-1); +#15503=ORIENTED_EDGE('',*,*,#15489,.T.); +#15504=ORIENTED_EDGE('',*,*,#14979,.F.); +#15506=ORIENTED_EDGE('',*,*,#15505,.T.); +#15508=ORIENTED_EDGE('',*,*,#15507,.T.); +#15509=EDGE_LOOP('',(#15503,#15504,#15506,#15508)); +#15510=FACE_OUTER_BOUND('',#15509,.F.); +#15512=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#15513=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15514=DIRECTION('',(1.E0,0.E0,0.E0)); +#15515=AXIS2_PLACEMENT_3D('',#15512,#15513,#15514); +#15516=PLANE('',#15515); +#15518=ORIENTED_EDGE('',*,*,#15517,.F.); +#15520=ORIENTED_EDGE('',*,*,#15519,.F.); +#15522=ORIENTED_EDGE('',*,*,#15521,.F.); +#15524=ORIENTED_EDGE('',*,*,#15523,.F.); +#15526=ORIENTED_EDGE('',*,*,#15525,.F.); +#15528=ORIENTED_EDGE('',*,*,#15527,.F.); +#15530=ORIENTED_EDGE('',*,*,#15529,.F.); +#15532=ORIENTED_EDGE('',*,*,#15531,.T.); +#15534=ORIENTED_EDGE('',*,*,#15533,.F.); +#15536=ORIENTED_EDGE('',*,*,#15535,.F.); +#15537=EDGE_LOOP('',(#15518,#15520,#15522,#15524,#15526,#15528,#15530,#15532, +#15534,#15536)); +#15538=FACE_OUTER_BOUND('',#15537,.F.); +#15540=ORIENTED_EDGE('',*,*,#15539,.F.); +#15542=ORIENTED_EDGE('',*,*,#15541,.F.); +#15544=ORIENTED_EDGE('',*,*,#15543,.T.); +#15546=ORIENTED_EDGE('',*,*,#15545,.T.); +#15548=ORIENTED_EDGE('',*,*,#15547,.T.); +#15550=ORIENTED_EDGE('',*,*,#15549,.T.); +#15552=ORIENTED_EDGE('',*,*,#15551,.F.); +#15554=ORIENTED_EDGE('',*,*,#15553,.F.); +#15556=ORIENTED_EDGE('',*,*,#15555,.F.); +#15558=ORIENTED_EDGE('',*,*,#15557,.F.); +#15560=ORIENTED_EDGE('',*,*,#15559,.T.); +#15562=ORIENTED_EDGE('',*,*,#15561,.T.); +#15564=ORIENTED_EDGE('',*,*,#15563,.T.); +#15566=ORIENTED_EDGE('',*,*,#15565,.T.); +#15568=ORIENTED_EDGE('',*,*,#15567,.T.); +#15570=ORIENTED_EDGE('',*,*,#15569,.F.); +#15572=ORIENTED_EDGE('',*,*,#15571,.F.); +#15574=ORIENTED_EDGE('',*,*,#15573,.F.); +#15576=ORIENTED_EDGE('',*,*,#15575,.F.); +#15578=ORIENTED_EDGE('',*,*,#15577,.F.); +#15580=ORIENTED_EDGE('',*,*,#15579,.T.); +#15582=ORIENTED_EDGE('',*,*,#15581,.T.); +#15584=ORIENTED_EDGE('',*,*,#15583,.T.); +#15586=ORIENTED_EDGE('',*,*,#15585,.T.); +#15588=ORIENTED_EDGE('',*,*,#15587,.T.); +#15590=ORIENTED_EDGE('',*,*,#15589,.F.); +#15592=ORIENTED_EDGE('',*,*,#15591,.F.); +#15594=ORIENTED_EDGE('',*,*,#15593,.F.); +#15596=ORIENTED_EDGE('',*,*,#15595,.F.); +#15598=ORIENTED_EDGE('',*,*,#15597,.T.); +#15600=ORIENTED_EDGE('',*,*,#15599,.T.); +#15602=ORIENTED_EDGE('',*,*,#15601,.T.); +#15604=ORIENTED_EDGE('',*,*,#15603,.T.); +#15606=ORIENTED_EDGE('',*,*,#15605,.F.); +#15607=EDGE_LOOP('',(#15540,#15542,#15544,#15546,#15548,#15550,#15552,#15554, +#15556,#15558,#15560,#15562,#15564,#15566,#15568,#15570,#15572,#15574,#15576, +#15578,#15580,#15582,#15584,#15586,#15588,#15590,#15592,#15594,#15596,#15598, +#15600,#15602,#15604,#15606)); +#15608=FACE_BOUND('',#15607,.F.); +#15610=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#15611=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15612=DIRECTION('',(1.E0,0.E0,0.E0)); +#15613=AXIS2_PLACEMENT_3D('',#15610,#15611,#15612); +#15614=PLANE('',#15613); +#15616=ORIENTED_EDGE('',*,*,#15615,.T.); +#15618=ORIENTED_EDGE('',*,*,#15617,.T.); +#15620=ORIENTED_EDGE('',*,*,#15619,.T.); +#15622=ORIENTED_EDGE('',*,*,#15621,.T.); +#15624=ORIENTED_EDGE('',*,*,#15623,.T.); +#15626=ORIENTED_EDGE('',*,*,#15625,.T.); +#15628=ORIENTED_EDGE('',*,*,#15627,.T.); +#15630=ORIENTED_EDGE('',*,*,#15629,.T.); +#15632=ORIENTED_EDGE('',*,*,#15631,.T.); +#15634=ORIENTED_EDGE('',*,*,#15633,.T.); +#15636=ORIENTED_EDGE('',*,*,#15635,.T.); +#15638=ORIENTED_EDGE('',*,*,#15637,.T.); +#15640=ORIENTED_EDGE('',*,*,#15639,.T.); +#15642=ORIENTED_EDGE('',*,*,#15641,.T.); +#15644=ORIENTED_EDGE('',*,*,#15643,.T.); +#15646=ORIENTED_EDGE('',*,*,#15645,.T.); +#15648=ORIENTED_EDGE('',*,*,#15647,.T.); +#15650=ORIENTED_EDGE('',*,*,#15649,.T.); +#15652=ORIENTED_EDGE('',*,*,#15651,.T.); +#15654=ORIENTED_EDGE('',*,*,#15653,.T.); +#15655=EDGE_LOOP('',(#15616,#15618,#15620,#15622,#15624,#15626,#15628,#15630, +#15632,#15634,#15636,#15638,#15640,#15642,#15644,#15646,#15648,#15650,#15652, +#15654)); +#15656=FACE_OUTER_BOUND('',#15655,.F.); +#15658=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#15659=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15660=DIRECTION('',(1.E0,0.E0,0.E0)); +#15661=AXIS2_PLACEMENT_3D('',#15658,#15659,#15660); +#15662=PLANE('',#15661); +#15664=ORIENTED_EDGE('',*,*,#15663,.T.); +#15666=ORIENTED_EDGE('',*,*,#15665,.T.); +#15668=ORIENTED_EDGE('',*,*,#15667,.T.); +#15670=ORIENTED_EDGE('',*,*,#15669,.T.); +#15672=ORIENTED_EDGE('',*,*,#15671,.T.); +#15674=ORIENTED_EDGE('',*,*,#15673,.T.); +#15676=ORIENTED_EDGE('',*,*,#15675,.T.); +#15678=ORIENTED_EDGE('',*,*,#15677,.T.); +#15680=ORIENTED_EDGE('',*,*,#15679,.T.); +#15682=ORIENTED_EDGE('',*,*,#15681,.T.); +#15684=ORIENTED_EDGE('',*,*,#15683,.T.); +#15686=ORIENTED_EDGE('',*,*,#15685,.T.); +#15688=ORIENTED_EDGE('',*,*,#15687,.T.); +#15690=ORIENTED_EDGE('',*,*,#15689,.T.); +#15692=ORIENTED_EDGE('',*,*,#15691,.T.); +#15694=ORIENTED_EDGE('',*,*,#15693,.T.); +#15695=EDGE_LOOP('',(#15664,#15666,#15668,#15670,#15672,#15674,#15676,#15678, +#15680,#15682,#15684,#15686,#15688,#15690,#15692,#15694)); +#15696=FACE_OUTER_BOUND('',#15695,.F.); +#15698=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#15699=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15700=DIRECTION('',(1.E0,0.E0,0.E0)); +#15701=AXIS2_PLACEMENT_3D('',#15698,#15699,#15700); +#15702=PLANE('',#15701); +#15704=ORIENTED_EDGE('',*,*,#15703,.T.); +#15706=ORIENTED_EDGE('',*,*,#15705,.T.); +#15708=ORIENTED_EDGE('',*,*,#15707,.T.); +#15710=ORIENTED_EDGE('',*,*,#15709,.T.); +#15712=ORIENTED_EDGE('',*,*,#15711,.T.); +#15714=ORIENTED_EDGE('',*,*,#15713,.T.); +#15716=ORIENTED_EDGE('',*,*,#15715,.T.); +#15718=ORIENTED_EDGE('',*,*,#15717,.T.); +#15720=ORIENTED_EDGE('',*,*,#15719,.T.); +#15722=ORIENTED_EDGE('',*,*,#15721,.T.); +#15724=ORIENTED_EDGE('',*,*,#15723,.T.); +#15726=ORIENTED_EDGE('',*,*,#15725,.T.); +#15728=ORIENTED_EDGE('',*,*,#15727,.T.); +#15730=ORIENTED_EDGE('',*,*,#15729,.T.); +#15732=ORIENTED_EDGE('',*,*,#15731,.T.); +#15734=ORIENTED_EDGE('',*,*,#15733,.T.); +#15735=EDGE_LOOP('',(#15704,#15706,#15708,#15710,#15712,#15714,#15716,#15718, +#15720,#15722,#15724,#15726,#15728,#15730,#15732,#15734)); +#15736=FACE_OUTER_BOUND('',#15735,.F.); +#15738=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#15739=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15740=DIRECTION('',(1.E0,0.E0,0.E0)); +#15741=AXIS2_PLACEMENT_3D('',#15738,#15739,#15740); +#15742=PLANE('',#15741); +#15744=ORIENTED_EDGE('',*,*,#15743,.T.); +#15746=ORIENTED_EDGE('',*,*,#15745,.T.); +#15748=ORIENTED_EDGE('',*,*,#15747,.T.); +#15750=ORIENTED_EDGE('',*,*,#15749,.T.); +#15752=ORIENTED_EDGE('',*,*,#15751,.T.); +#15753=ORIENTED_EDGE('',*,*,#15058,.F.); +#15754=ORIENTED_EDGE('',*,*,#15045,.T.); +#15756=ORIENTED_EDGE('',*,*,#15755,.F.); +#15758=ORIENTED_EDGE('',*,*,#15757,.T.); +#15760=ORIENTED_EDGE('',*,*,#15759,.T.); +#15762=ORIENTED_EDGE('',*,*,#15761,.T.); +#15764=ORIENTED_EDGE('',*,*,#15763,.T.); +#15766=ORIENTED_EDGE('',*,*,#15765,.T.); +#15768=ORIENTED_EDGE('',*,*,#15767,.F.); +#15769=ORIENTED_EDGE('',*,*,#15033,.T.); +#15771=ORIENTED_EDGE('',*,*,#15770,.F.); +#15773=ORIENTED_EDGE('',*,*,#15772,.T.); +#15775=ORIENTED_EDGE('',*,*,#15774,.T.); +#15777=ORIENTED_EDGE('',*,*,#15776,.T.); +#15779=ORIENTED_EDGE('',*,*,#15778,.T.); +#15781=ORIENTED_EDGE('',*,*,#15780,.T.); +#15783=ORIENTED_EDGE('',*,*,#15782,.F.); +#15784=ORIENTED_EDGE('',*,*,#15021,.T.); +#15786=ORIENTED_EDGE('',*,*,#15785,.F.); +#15788=ORIENTED_EDGE('',*,*,#15787,.T.); +#15790=ORIENTED_EDGE('',*,*,#15789,.T.); +#15792=ORIENTED_EDGE('',*,*,#15791,.T.); +#15794=ORIENTED_EDGE('',*,*,#15793,.T.); +#15796=ORIENTED_EDGE('',*,*,#15795,.T.); +#15798=ORIENTED_EDGE('',*,*,#15797,.F.); +#15799=ORIENTED_EDGE('',*,*,#15009,.T.); +#15801=ORIENTED_EDGE('',*,*,#15800,.F.); +#15803=ORIENTED_EDGE('',*,*,#15802,.T.); +#15805=ORIENTED_EDGE('',*,*,#15804,.T.); +#15807=ORIENTED_EDGE('',*,*,#15806,.T.); +#15809=ORIENTED_EDGE('',*,*,#15808,.T.); +#15811=ORIENTED_EDGE('',*,*,#15810,.T.); +#15813=ORIENTED_EDGE('',*,*,#15812,.F.); +#15814=ORIENTED_EDGE('',*,*,#14997,.T.); +#15816=ORIENTED_EDGE('',*,*,#15815,.T.); +#15818=ORIENTED_EDGE('',*,*,#15817,.F.); +#15820=ORIENTED_EDGE('',*,*,#15819,.T.); +#15822=ORIENTED_EDGE('',*,*,#15821,.T.); +#15824=ORIENTED_EDGE('',*,*,#15823,.T.); +#15826=ORIENTED_EDGE('',*,*,#15825,.T.); +#15828=ORIENTED_EDGE('',*,*,#15827,.T.); +#15830=ORIENTED_EDGE('',*,*,#15829,.F.); +#15832=ORIENTED_EDGE('',*,*,#15831,.T.); +#15834=ORIENTED_EDGE('',*,*,#15833,.F.); +#15836=ORIENTED_EDGE('',*,*,#15835,.T.); +#15838=ORIENTED_EDGE('',*,*,#15837,.T.); +#15840=ORIENTED_EDGE('',*,*,#15839,.T.); +#15842=ORIENTED_EDGE('',*,*,#15841,.T.); +#15844=ORIENTED_EDGE('',*,*,#15843,.T.); +#15846=ORIENTED_EDGE('',*,*,#15845,.F.); +#15848=ORIENTED_EDGE('',*,*,#15847,.T.); +#15850=ORIENTED_EDGE('',*,*,#15849,.T.); +#15852=ORIENTED_EDGE('',*,*,#15851,.F.); +#15854=ORIENTED_EDGE('',*,*,#15853,.T.); +#15856=ORIENTED_EDGE('',*,*,#15855,.T.); +#15858=ORIENTED_EDGE('',*,*,#15857,.T.); +#15860=ORIENTED_EDGE('',*,*,#15859,.T.); +#15862=ORIENTED_EDGE('',*,*,#15861,.T.); +#15864=ORIENTED_EDGE('',*,*,#15863,.F.); +#15866=ORIENTED_EDGE('',*,*,#15865,.T.); +#15868=ORIENTED_EDGE('',*,*,#15867,.F.); +#15870=ORIENTED_EDGE('',*,*,#15869,.T.); +#15872=ORIENTED_EDGE('',*,*,#15871,.T.); +#15874=ORIENTED_EDGE('',*,*,#15873,.T.); +#15876=ORIENTED_EDGE('',*,*,#15875,.T.); +#15878=ORIENTED_EDGE('',*,*,#15877,.T.); +#15880=ORIENTED_EDGE('',*,*,#15879,.F.); +#15882=ORIENTED_EDGE('',*,*,#15881,.T.); +#15884=ORIENTED_EDGE('',*,*,#15883,.F.); +#15886=ORIENTED_EDGE('',*,*,#15885,.T.); +#15888=ORIENTED_EDGE('',*,*,#15887,.T.); +#15890=ORIENTED_EDGE('',*,*,#15889,.T.); +#15892=ORIENTED_EDGE('',*,*,#15891,.T.); +#15894=ORIENTED_EDGE('',*,*,#15893,.T.); +#15896=ORIENTED_EDGE('',*,*,#15895,.F.); +#15898=ORIENTED_EDGE('',*,*,#15897,.T.); +#15900=ORIENTED_EDGE('',*,*,#15899,.F.); +#15902=ORIENTED_EDGE('',*,*,#15901,.T.); +#15904=ORIENTED_EDGE('',*,*,#15903,.T.); +#15906=ORIENTED_EDGE('',*,*,#15905,.T.); +#15908=ORIENTED_EDGE('',*,*,#15907,.T.); +#15910=ORIENTED_EDGE('',*,*,#15909,.T.); +#15912=ORIENTED_EDGE('',*,*,#15911,.F.); +#15914=ORIENTED_EDGE('',*,*,#15913,.T.); +#15916=ORIENTED_EDGE('',*,*,#15915,.F.); +#15918=ORIENTED_EDGE('',*,*,#15917,.T.); +#15920=ORIENTED_EDGE('',*,*,#15919,.T.); +#15922=ORIENTED_EDGE('',*,*,#15921,.T.); +#15924=ORIENTED_EDGE('',*,*,#15923,.T.); +#15926=ORIENTED_EDGE('',*,*,#15925,.T.); +#15928=ORIENTED_EDGE('',*,*,#15927,.F.); +#15930=ORIENTED_EDGE('',*,*,#15929,.T.); +#15932=ORIENTED_EDGE('',*,*,#15931,.F.); +#15934=ORIENTED_EDGE('',*,*,#15933,.T.); +#15936=ORIENTED_EDGE('',*,*,#15935,.T.); +#15938=ORIENTED_EDGE('',*,*,#15937,.T.); +#15940=ORIENTED_EDGE('',*,*,#15939,.T.); +#15942=ORIENTED_EDGE('',*,*,#15941,.T.); +#15944=ORIENTED_EDGE('',*,*,#15943,.F.); +#15946=ORIENTED_EDGE('',*,*,#15945,.T.); +#15948=ORIENTED_EDGE('',*,*,#15947,.F.); +#15950=ORIENTED_EDGE('',*,*,#15949,.T.); +#15952=ORIENTED_EDGE('',*,*,#15951,.T.); +#15954=ORIENTED_EDGE('',*,*,#15953,.T.); +#15956=ORIENTED_EDGE('',*,*,#15955,.T.); +#15958=ORIENTED_EDGE('',*,*,#15957,.T.); +#15960=ORIENTED_EDGE('',*,*,#15959,.F.); +#15962=ORIENTED_EDGE('',*,*,#15961,.T.); +#15964=ORIENTED_EDGE('',*,*,#15963,.F.); +#15966=ORIENTED_EDGE('',*,*,#15965,.T.); +#15968=ORIENTED_EDGE('',*,*,#15967,.T.); +#15970=ORIENTED_EDGE('',*,*,#15969,.T.); +#15972=ORIENTED_EDGE('',*,*,#15971,.T.); +#15974=ORIENTED_EDGE('',*,*,#15973,.T.); +#15976=ORIENTED_EDGE('',*,*,#15975,.F.); +#15978=ORIENTED_EDGE('',*,*,#15977,.T.); +#15980=ORIENTED_EDGE('',*,*,#15979,.T.); +#15982=ORIENTED_EDGE('',*,*,#15981,.F.); +#15984=ORIENTED_EDGE('',*,*,#15983,.T.); +#15986=ORIENTED_EDGE('',*,*,#15985,.T.); +#15988=ORIENTED_EDGE('',*,*,#15987,.T.); +#15990=ORIENTED_EDGE('',*,*,#15989,.T.); +#15992=ORIENTED_EDGE('',*,*,#15991,.T.); +#15994=ORIENTED_EDGE('',*,*,#15993,.F.); +#15996=ORIENTED_EDGE('',*,*,#15995,.T.); +#15998=ORIENTED_EDGE('',*,*,#15997,.F.); +#16000=ORIENTED_EDGE('',*,*,#15999,.T.); +#16002=ORIENTED_EDGE('',*,*,#16001,.T.); +#16004=ORIENTED_EDGE('',*,*,#16003,.T.); +#16006=ORIENTED_EDGE('',*,*,#16005,.T.); +#16008=ORIENTED_EDGE('',*,*,#16007,.T.); +#16010=ORIENTED_EDGE('',*,*,#16009,.F.); +#16012=ORIENTED_EDGE('',*,*,#16011,.T.); +#16013=ORIENTED_EDGE('',*,*,#14989,.T.); +#16015=ORIENTED_EDGE('',*,*,#16014,.F.); +#16017=ORIENTED_EDGE('',*,*,#16016,.T.); +#16019=ORIENTED_EDGE('',*,*,#16018,.T.); +#16021=ORIENTED_EDGE('',*,*,#16020,.T.); +#16023=ORIENTED_EDGE('',*,*,#16022,.T.); +#16025=ORIENTED_EDGE('',*,*,#16024,.T.); +#16026=ORIENTED_EDGE('',*,*,#15505,.F.); +#16027=ORIENTED_EDGE('',*,*,#14977,.T.); +#16029=ORIENTED_EDGE('',*,*,#16028,.F.); +#16031=ORIENTED_EDGE('',*,*,#16030,.T.); +#16033=ORIENTED_EDGE('',*,*,#16032,.T.); +#16035=ORIENTED_EDGE('',*,*,#16034,.T.); +#16037=ORIENTED_EDGE('',*,*,#16036,.T.); +#16039=ORIENTED_EDGE('',*,*,#16038,.T.); +#16041=ORIENTED_EDGE('',*,*,#16040,.F.); +#16042=ORIENTED_EDGE('',*,*,#14965,.T.); +#16044=ORIENTED_EDGE('',*,*,#16043,.F.); +#16046=ORIENTED_EDGE('',*,*,#16045,.T.); +#16048=ORIENTED_EDGE('',*,*,#16047,.T.); +#16050=ORIENTED_EDGE('',*,*,#16049,.T.); +#16052=ORIENTED_EDGE('',*,*,#16051,.T.); +#16054=ORIENTED_EDGE('',*,*,#16053,.T.); +#16056=ORIENTED_EDGE('',*,*,#16055,.F.); +#16057=ORIENTED_EDGE('',*,*,#14953,.T.); +#16059=ORIENTED_EDGE('',*,*,#16058,.F.); +#16060=EDGE_LOOP('',(#15744,#15746,#15748,#15750,#15752,#15753,#15754,#15756, +#15758,#15760,#15762,#15764,#15766,#15768,#15769,#15771,#15773,#15775,#15777, +#15779,#15781,#15783,#15784,#15786,#15788,#15790,#15792,#15794,#15796,#15798, +#15799,#15801,#15803,#15805,#15807,#15809,#15811,#15813,#15814,#15816,#15818, +#15820,#15822,#15824,#15826,#15828,#15830,#15832,#15834,#15836,#15838,#15840, +#15842,#15844,#15846,#15848,#15850,#15852,#15854,#15856,#15858,#15860,#15862, +#15864,#15866,#15868,#15870,#15872,#15874,#15876,#15878,#15880,#15882,#15884, +#15886,#15888,#15890,#15892,#15894,#15896,#15898,#15900,#15902,#15904,#15906, +#15908,#15910,#15912,#15914,#15916,#15918,#15920,#15922,#15924,#15926,#15928, +#15930,#15932,#15934,#15936,#15938,#15940,#15942,#15944,#15946,#15948,#15950, +#15952,#15954,#15956,#15958,#15960,#15962,#15964,#15966,#15968,#15970,#15972, +#15974,#15976,#15978,#15980,#15982,#15984,#15986,#15988,#15990,#15992,#15994, +#15996,#15998,#16000,#16002,#16004,#16006,#16008,#16010,#16012,#16013,#16015, +#16017,#16019,#16021,#16023,#16025,#16026,#16027,#16029,#16031,#16033,#16035, +#16037,#16039,#16041,#16042,#16044,#16046,#16048,#16050,#16052,#16054,#16056, +#16057,#16059)); +#16061=FACE_OUTER_BOUND('',#16060,.F.); +#16063=ORIENTED_EDGE('',*,*,#16062,.T.); +#16065=ORIENTED_EDGE('',*,*,#16064,.T.); +#16067=ORIENTED_EDGE('',*,*,#16066,.T.); +#16069=ORIENTED_EDGE('',*,*,#16068,.T.); +#16071=ORIENTED_EDGE('',*,*,#16070,.T.); +#16073=ORIENTED_EDGE('',*,*,#16072,.T.); +#16075=ORIENTED_EDGE('',*,*,#16074,.T.); +#16076=EDGE_LOOP('',(#16063,#16065,#16067,#16069,#16071,#16073,#16075)); +#16077=FACE_BOUND('',#16076,.F.); +#16079=ORIENTED_EDGE('',*,*,#16078,.T.); +#16081=ORIENTED_EDGE('',*,*,#16080,.T.); +#16083=ORIENTED_EDGE('',*,*,#16082,.T.); +#16085=ORIENTED_EDGE('',*,*,#16084,.T.); +#16087=ORIENTED_EDGE('',*,*,#16086,.T.); +#16089=ORIENTED_EDGE('',*,*,#16088,.T.); +#16091=ORIENTED_EDGE('',*,*,#16090,.T.); +#16092=EDGE_LOOP('',(#16079,#16081,#16083,#16085,#16087,#16089,#16091)); +#16093=FACE_BOUND('',#16092,.F.); +#16095=ORIENTED_EDGE('',*,*,#16094,.T.); +#16097=ORIENTED_EDGE('',*,*,#16096,.T.); +#16099=ORIENTED_EDGE('',*,*,#16098,.T.); +#16101=ORIENTED_EDGE('',*,*,#16100,.T.); +#16103=ORIENTED_EDGE('',*,*,#16102,.T.); +#16105=ORIENTED_EDGE('',*,*,#16104,.T.); +#16107=ORIENTED_EDGE('',*,*,#16106,.T.); +#16109=ORIENTED_EDGE('',*,*,#16108,.T.); +#16111=ORIENTED_EDGE('',*,*,#16110,.T.); +#16113=ORIENTED_EDGE('',*,*,#16112,.T.); +#16115=ORIENTED_EDGE('',*,*,#16114,.T.); +#16117=ORIENTED_EDGE('',*,*,#16116,.T.); +#16119=ORIENTED_EDGE('',*,*,#16118,.T.); +#16121=ORIENTED_EDGE('',*,*,#16120,.T.); +#16123=ORIENTED_EDGE('',*,*,#16122,.T.); +#16125=ORIENTED_EDGE('',*,*,#16124,.T.); +#16127=ORIENTED_EDGE('',*,*,#16126,.T.); +#16129=ORIENTED_EDGE('',*,*,#16128,.T.); +#16131=ORIENTED_EDGE('',*,*,#16130,.T.); +#16133=ORIENTED_EDGE('',*,*,#16132,.T.); +#16135=ORIENTED_EDGE('',*,*,#16134,.T.); +#16137=ORIENTED_EDGE('',*,*,#16136,.T.); +#16139=ORIENTED_EDGE('',*,*,#16138,.T.); +#16141=ORIENTED_EDGE('',*,*,#16140,.T.); +#16143=ORIENTED_EDGE('',*,*,#16142,.T.); +#16145=ORIENTED_EDGE('',*,*,#16144,.T.); +#16147=ORIENTED_EDGE('',*,*,#16146,.T.); +#16149=ORIENTED_EDGE('',*,*,#16148,.T.); +#16151=ORIENTED_EDGE('',*,*,#16150,.T.); +#16153=ORIENTED_EDGE('',*,*,#16152,.T.); +#16155=ORIENTED_EDGE('',*,*,#16154,.T.); +#16157=ORIENTED_EDGE('',*,*,#16156,.T.); +#16159=ORIENTED_EDGE('',*,*,#16158,.T.); +#16161=ORIENTED_EDGE('',*,*,#16160,.T.); +#16163=ORIENTED_EDGE('',*,*,#16162,.T.); +#16165=ORIENTED_EDGE('',*,*,#16164,.T.); +#16167=ORIENTED_EDGE('',*,*,#16166,.T.); +#16169=ORIENTED_EDGE('',*,*,#16168,.T.); +#16171=ORIENTED_EDGE('',*,*,#16170,.T.); +#16173=ORIENTED_EDGE('',*,*,#16172,.T.); +#16174=EDGE_LOOP('',(#16095,#16097,#16099,#16101,#16103,#16105,#16107,#16109, +#16111,#16113,#16115,#16117,#16119,#16121,#16123,#16125,#16127,#16129,#16131, +#16133,#16135,#16137,#16139,#16141,#16143,#16145,#16147,#16149,#16151,#16153, +#16155,#16157,#16159,#16161,#16163,#16165,#16167,#16169,#16171,#16173)); +#16175=FACE_BOUND('',#16174,.F.); +#16177=ORIENTED_EDGE('',*,*,#16176,.T.); +#16179=ORIENTED_EDGE('',*,*,#16178,.T.); +#16181=ORIENTED_EDGE('',*,*,#16180,.T.); +#16183=ORIENTED_EDGE('',*,*,#16182,.T.); +#16185=ORIENTED_EDGE('',*,*,#16184,.T.); +#16187=ORIENTED_EDGE('',*,*,#16186,.T.); +#16189=ORIENTED_EDGE('',*,*,#16188,.T.); +#16191=ORIENTED_EDGE('',*,*,#16190,.T.); +#16193=ORIENTED_EDGE('',*,*,#16192,.T.); +#16195=ORIENTED_EDGE('',*,*,#16194,.T.); +#16197=ORIENTED_EDGE('',*,*,#16196,.T.); +#16199=ORIENTED_EDGE('',*,*,#16198,.T.); +#16201=ORIENTED_EDGE('',*,*,#16200,.T.); +#16203=ORIENTED_EDGE('',*,*,#16202,.T.); +#16205=ORIENTED_EDGE('',*,*,#16204,.T.); +#16207=ORIENTED_EDGE('',*,*,#16206,.T.); +#16209=ORIENTED_EDGE('',*,*,#16208,.T.); +#16211=ORIENTED_EDGE('',*,*,#16210,.T.); +#16213=ORIENTED_EDGE('',*,*,#16212,.T.); +#16215=ORIENTED_EDGE('',*,*,#16214,.T.); +#16217=ORIENTED_EDGE('',*,*,#16216,.T.); +#16219=ORIENTED_EDGE('',*,*,#16218,.T.); +#16221=ORIENTED_EDGE('',*,*,#16220,.T.); +#16223=ORIENTED_EDGE('',*,*,#16222,.T.); +#16225=ORIENTED_EDGE('',*,*,#16224,.T.); +#16227=ORIENTED_EDGE('',*,*,#16226,.T.); +#16229=ORIENTED_EDGE('',*,*,#16228,.T.); +#16231=ORIENTED_EDGE('',*,*,#16230,.T.); +#16233=ORIENTED_EDGE('',*,*,#16232,.T.); +#16235=ORIENTED_EDGE('',*,*,#16234,.T.); +#16237=ORIENTED_EDGE('',*,*,#16236,.T.); +#16239=ORIENTED_EDGE('',*,*,#16238,.T.); +#16241=ORIENTED_EDGE('',*,*,#16240,.T.); +#16243=ORIENTED_EDGE('',*,*,#16242,.T.); +#16244=EDGE_LOOP('',(#16177,#16179,#16181,#16183,#16185,#16187,#16189,#16191, +#16193,#16195,#16197,#16199,#16201,#16203,#16205,#16207,#16209,#16211,#16213, +#16215,#16217,#16219,#16221,#16223,#16225,#16227,#16229,#16231,#16233,#16235, +#16237,#16239,#16241,#16243)); +#16245=FACE_BOUND('',#16244,.F.); +#16247=ORIENTED_EDGE('',*,*,#16246,.T.); +#16249=ORIENTED_EDGE('',*,*,#16248,.T.); +#16251=ORIENTED_EDGE('',*,*,#16250,.T.); +#16253=ORIENTED_EDGE('',*,*,#16252,.T.); +#16255=ORIENTED_EDGE('',*,*,#16254,.T.); +#16257=ORIENTED_EDGE('',*,*,#16256,.T.); +#16259=ORIENTED_EDGE('',*,*,#16258,.T.); +#16261=ORIENTED_EDGE('',*,*,#16260,.T.); +#16263=ORIENTED_EDGE('',*,*,#16262,.T.); +#16265=ORIENTED_EDGE('',*,*,#16264,.T.); +#16267=ORIENTED_EDGE('',*,*,#16266,.T.); +#16269=ORIENTED_EDGE('',*,*,#16268,.T.); +#16271=ORIENTED_EDGE('',*,*,#16270,.T.); +#16273=ORIENTED_EDGE('',*,*,#16272,.T.); +#16275=ORIENTED_EDGE('',*,*,#16274,.T.); +#16277=ORIENTED_EDGE('',*,*,#16276,.T.); +#16279=ORIENTED_EDGE('',*,*,#16278,.T.); +#16281=ORIENTED_EDGE('',*,*,#16280,.T.); +#16283=ORIENTED_EDGE('',*,*,#16282,.T.); +#16285=ORIENTED_EDGE('',*,*,#16284,.T.); +#16287=ORIENTED_EDGE('',*,*,#16286,.T.); +#16289=ORIENTED_EDGE('',*,*,#16288,.T.); +#16291=ORIENTED_EDGE('',*,*,#16290,.T.); +#16293=ORIENTED_EDGE('',*,*,#16292,.T.); +#16295=ORIENTED_EDGE('',*,*,#16294,.T.); +#16297=ORIENTED_EDGE('',*,*,#16296,.T.); +#16299=ORIENTED_EDGE('',*,*,#16298,.T.); +#16301=ORIENTED_EDGE('',*,*,#16300,.T.); +#16302=EDGE_LOOP('',(#16247,#16249,#16251,#16253,#16255,#16257,#16259,#16261, +#16263,#16265,#16267,#16269,#16271,#16273,#16275,#16277,#16279,#16281,#16283, +#16285,#16287,#16289,#16291,#16293,#16295,#16297,#16299,#16301)); +#16303=FACE_BOUND('',#16302,.F.); +#16305=ORIENTED_EDGE('',*,*,#16304,.T.); +#16307=ORIENTED_EDGE('',*,*,#16306,.T.); +#16309=ORIENTED_EDGE('',*,*,#16308,.T.); +#16311=ORIENTED_EDGE('',*,*,#16310,.T.); +#16313=ORIENTED_EDGE('',*,*,#16312,.T.); +#16315=ORIENTED_EDGE('',*,*,#16314,.T.); +#16317=ORIENTED_EDGE('',*,*,#16316,.T.); +#16319=ORIENTED_EDGE('',*,*,#16318,.T.); +#16321=ORIENTED_EDGE('',*,*,#16320,.T.); +#16323=ORIENTED_EDGE('',*,*,#16322,.T.); +#16325=ORIENTED_EDGE('',*,*,#16324,.T.); +#16327=ORIENTED_EDGE('',*,*,#16326,.T.); +#16329=ORIENTED_EDGE('',*,*,#16328,.T.); +#16331=ORIENTED_EDGE('',*,*,#16330,.T.); +#16333=ORIENTED_EDGE('',*,*,#16332,.T.); +#16335=ORIENTED_EDGE('',*,*,#16334,.T.); +#16337=ORIENTED_EDGE('',*,*,#16336,.T.); +#16339=ORIENTED_EDGE('',*,*,#16338,.T.); +#16341=ORIENTED_EDGE('',*,*,#16340,.T.); +#16343=ORIENTED_EDGE('',*,*,#16342,.T.); +#16345=ORIENTED_EDGE('',*,*,#16344,.T.); +#16347=ORIENTED_EDGE('',*,*,#16346,.T.); +#16349=ORIENTED_EDGE('',*,*,#16348,.T.); +#16351=ORIENTED_EDGE('',*,*,#16350,.T.); +#16353=ORIENTED_EDGE('',*,*,#16352,.T.); +#16355=ORIENTED_EDGE('',*,*,#16354,.T.); +#16357=ORIENTED_EDGE('',*,*,#16356,.T.); +#16359=ORIENTED_EDGE('',*,*,#16358,.T.); +#16361=ORIENTED_EDGE('',*,*,#16360,.T.); +#16363=ORIENTED_EDGE('',*,*,#16362,.T.); +#16365=ORIENTED_EDGE('',*,*,#16364,.T.); +#16367=ORIENTED_EDGE('',*,*,#16366,.T.); +#16369=ORIENTED_EDGE('',*,*,#16368,.T.); +#16371=ORIENTED_EDGE('',*,*,#16370,.T.); +#16373=ORIENTED_EDGE('',*,*,#16372,.T.); +#16375=ORIENTED_EDGE('',*,*,#16374,.T.); +#16377=ORIENTED_EDGE('',*,*,#16376,.T.); +#16379=ORIENTED_EDGE('',*,*,#16378,.T.); +#16381=ORIENTED_EDGE('',*,*,#16380,.T.); +#16383=ORIENTED_EDGE('',*,*,#16382,.T.); +#16385=ORIENTED_EDGE('',*,*,#16384,.T.); +#16387=ORIENTED_EDGE('',*,*,#16386,.T.); +#16389=ORIENTED_EDGE('',*,*,#16388,.T.); +#16391=ORIENTED_EDGE('',*,*,#16390,.T.); +#16393=ORIENTED_EDGE('',*,*,#16392,.T.); +#16395=ORIENTED_EDGE('',*,*,#16394,.T.); +#16397=ORIENTED_EDGE('',*,*,#16396,.T.); +#16399=ORIENTED_EDGE('',*,*,#16398,.T.); +#16401=ORIENTED_EDGE('',*,*,#16400,.T.); +#16403=ORIENTED_EDGE('',*,*,#16402,.T.); +#16405=ORIENTED_EDGE('',*,*,#16404,.T.); +#16407=ORIENTED_EDGE('',*,*,#16406,.T.); +#16409=ORIENTED_EDGE('',*,*,#16408,.T.); +#16411=ORIENTED_EDGE('',*,*,#16410,.T.); +#16413=ORIENTED_EDGE('',*,*,#16412,.T.); +#16415=ORIENTED_EDGE('',*,*,#16414,.T.); +#16417=ORIENTED_EDGE('',*,*,#16416,.T.); +#16418=EDGE_LOOP('',(#16305,#16307,#16309,#16311,#16313,#16315,#16317,#16319, +#16321,#16323,#16325,#16327,#16329,#16331,#16333,#16335,#16337,#16339,#16341, +#16343,#16345,#16347,#16349,#16351,#16353,#16355,#16357,#16359,#16361,#16363, +#16365,#16367,#16369,#16371,#16373,#16375,#16377,#16379,#16381,#16383,#16385, +#16387,#16389,#16391,#16393,#16395,#16397,#16399,#16401,#16403,#16405,#16407, +#16409,#16411,#16413,#16415,#16417)); +#16419=FACE_BOUND('',#16418,.F.); +#16421=ORIENTED_EDGE('',*,*,#16420,.F.); +#16423=ORIENTED_EDGE('',*,*,#16422,.F.); +#16424=EDGE_LOOP('',(#16421,#16423)); +#16425=FACE_BOUND('',#16424,.F.); +#16427=ORIENTED_EDGE('',*,*,#16426,.F.); +#16429=ORIENTED_EDGE('',*,*,#16428,.F.); +#16430=EDGE_LOOP('',(#16427,#16429)); +#16431=FACE_BOUND('',#16430,.F.); +#16433=ORIENTED_EDGE('',*,*,#16432,.F.); +#16435=ORIENTED_EDGE('',*,*,#16434,.F.); +#16436=EDGE_LOOP('',(#16433,#16435)); +#16437=FACE_BOUND('',#16436,.F.); +#16439=ORIENTED_EDGE('',*,*,#16438,.F.); +#16441=ORIENTED_EDGE('',*,*,#16440,.F.); +#16442=EDGE_LOOP('',(#16439,#16441)); +#16443=FACE_BOUND('',#16442,.F.); +#16445=ORIENTED_EDGE('',*,*,#16444,.F.); +#16447=ORIENTED_EDGE('',*,*,#16446,.F.); +#16448=EDGE_LOOP('',(#16445,#16447)); +#16449=FACE_BOUND('',#16448,.F.); +#16451=ORIENTED_EDGE('',*,*,#16450,.F.); +#16453=ORIENTED_EDGE('',*,*,#16452,.F.); +#16454=EDGE_LOOP('',(#16451,#16453)); +#16455=FACE_BOUND('',#16454,.F.); +#16457=ORIENTED_EDGE('',*,*,#16456,.F.); +#16459=ORIENTED_EDGE('',*,*,#16458,.F.); +#16460=EDGE_LOOP('',(#16457,#16459)); +#16461=FACE_BOUND('',#16460,.F.); +#16463=ORIENTED_EDGE('',*,*,#16462,.F.); +#16465=ORIENTED_EDGE('',*,*,#16464,.F.); +#16466=EDGE_LOOP('',(#16463,#16465)); +#16467=FACE_BOUND('',#16466,.F.); +#16469=ORIENTED_EDGE('',*,*,#16468,.F.); +#16471=ORIENTED_EDGE('',*,*,#16470,.F.); +#16472=EDGE_LOOP('',(#16469,#16471)); +#16473=FACE_BOUND('',#16472,.F.); +#16475=ORIENTED_EDGE('',*,*,#16474,.F.); +#16477=ORIENTED_EDGE('',*,*,#16476,.F.); +#16478=EDGE_LOOP('',(#16475,#16477)); +#16479=FACE_BOUND('',#16478,.F.); +#16481=ORIENTED_EDGE('',*,*,#16480,.F.); +#16483=ORIENTED_EDGE('',*,*,#16482,.F.); +#16484=EDGE_LOOP('',(#16481,#16483)); +#16485=FACE_BOUND('',#16484,.F.); +#16487=ORIENTED_EDGE('',*,*,#16486,.F.); +#16489=ORIENTED_EDGE('',*,*,#16488,.F.); +#16490=EDGE_LOOP('',(#16487,#16489)); +#16491=FACE_BOUND('',#16490,.F.); +#16493=ORIENTED_EDGE('',*,*,#16492,.F.); +#16495=ORIENTED_EDGE('',*,*,#16494,.F.); +#16496=EDGE_LOOP('',(#16493,#16495)); +#16497=FACE_BOUND('',#16496,.F.); +#16499=ORIENTED_EDGE('',*,*,#16498,.F.); +#16501=ORIENTED_EDGE('',*,*,#16500,.F.); +#16502=EDGE_LOOP('',(#16499,#16501)); +#16503=FACE_BOUND('',#16502,.F.); +#16505=ORIENTED_EDGE('',*,*,#16504,.F.); +#16507=ORIENTED_EDGE('',*,*,#16506,.F.); +#16508=EDGE_LOOP('',(#16505,#16507)); +#16509=FACE_BOUND('',#16508,.F.); +#16511=ORIENTED_EDGE('',*,*,#16510,.F.); +#16513=ORIENTED_EDGE('',*,*,#16512,.F.); +#16514=EDGE_LOOP('',(#16511,#16513)); +#16515=FACE_BOUND('',#16514,.F.); +#16517=ORIENTED_EDGE('',*,*,#16516,.F.); +#16519=ORIENTED_EDGE('',*,*,#16518,.F.); +#16520=EDGE_LOOP('',(#16517,#16519)); +#16521=FACE_BOUND('',#16520,.F.); +#16523=ORIENTED_EDGE('',*,*,#16522,.F.); +#16525=ORIENTED_EDGE('',*,*,#16524,.F.); +#16526=EDGE_LOOP('',(#16523,#16525)); +#16527=FACE_BOUND('',#16526,.F.); +#16529=ORIENTED_EDGE('',*,*,#16528,.F.); +#16531=ORIENTED_EDGE('',*,*,#16530,.F.); +#16532=EDGE_LOOP('',(#16529,#16531)); +#16533=FACE_BOUND('',#16532,.F.); +#16535=ORIENTED_EDGE('',*,*,#16534,.F.); +#16537=ORIENTED_EDGE('',*,*,#16536,.F.); +#16538=EDGE_LOOP('',(#16535,#16537)); +#16539=FACE_BOUND('',#16538,.F.); +#16541=ORIENTED_EDGE('',*,*,#16540,.F.); +#16543=ORIENTED_EDGE('',*,*,#16542,.F.); +#16544=EDGE_LOOP('',(#16541,#16543)); +#16545=FACE_BOUND('',#16544,.F.); +#16547=ORIENTED_EDGE('',*,*,#16546,.F.); +#16549=ORIENTED_EDGE('',*,*,#16548,.F.); +#16550=EDGE_LOOP('',(#16547,#16549)); +#16551=FACE_BOUND('',#16550,.F.); +#16553=ORIENTED_EDGE('',*,*,#16552,.F.); +#16555=ORIENTED_EDGE('',*,*,#16554,.F.); +#16556=EDGE_LOOP('',(#16553,#16555)); +#16557=FACE_BOUND('',#16556,.F.); +#16559=CARTESIAN_POINT('',(-1.55E1,1.18E1,-2.E1)); +#16560=DIRECTION('',(0.E0,1.E0,0.E0)); +#16561=DIRECTION('',(0.E0,0.E0,1.E0)); +#16562=AXIS2_PLACEMENT_3D('',#16559,#16560,#16561); +#16563=PLANE('',#16562); +#16565=ORIENTED_EDGE('',*,*,#16564,.T.); +#16567=ORIENTED_EDGE('',*,*,#16566,.T.); +#16569=ORIENTED_EDGE('',*,*,#16568,.F.); +#16571=ORIENTED_EDGE('',*,*,#16570,.F.); +#16573=ORIENTED_EDGE('',*,*,#16572,.T.); +#16575=ORIENTED_EDGE('',*,*,#16574,.F.); +#16577=ORIENTED_EDGE('',*,*,#16576,.T.); +#16579=ORIENTED_EDGE('',*,*,#16578,.F.); +#16580=ORIENTED_EDGE('',*,*,#15539,.T.); +#16582=ORIENTED_EDGE('',*,*,#16581,.T.); +#16584=ORIENTED_EDGE('',*,*,#16583,.T.); +#16586=ORIENTED_EDGE('',*,*,#16585,.T.); +#16588=ORIENTED_EDGE('',*,*,#16587,.T.); +#16590=ORIENTED_EDGE('',*,*,#16589,.T.); +#16592=ORIENTED_EDGE('',*,*,#16591,.F.); +#16594=ORIENTED_EDGE('',*,*,#16593,.F.); +#16595=EDGE_LOOP('',(#16565,#16567,#16569,#16571,#16573,#16575,#16577,#16579, +#16580,#16582,#16584,#16586,#16588,#16590,#16592,#16594)); +#16596=FACE_OUTER_BOUND('',#16595,.F.); +#16598=CARTESIAN_POINT('',(1.0965888E2,1.13E1,-5.E-1)); +#16599=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16600=DIRECTION('',(0.E0,0.E0,1.E0)); +#16601=AXIS2_PLACEMENT_3D('',#16598,#16599,#16600); +#16602=CYLINDRICAL_SURFACE('',#16601,5.E-1); +#16603=ORIENTED_EDGE('',*,*,#16564,.F.); +#16605=ORIENTED_EDGE('',*,*,#16604,.T.); +#16607=ORIENTED_EDGE('',*,*,#16606,.T.); +#16609=ORIENTED_EDGE('',*,*,#16608,.T.); +#16610=EDGE_LOOP('',(#16603,#16605,#16607,#16609)); +#16611=FACE_OUTER_BOUND('',#16610,.F.); +#16613=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,0.E0)); +#16614=DIRECTION('',(-9.961946980917E-1,8.715574274766E-2,0.E0)); +#16615=DIRECTION('',(-8.715574274766E-2,-9.961946980917E-1,0.E0)); +#16616=AXIS2_PLACEMENT_3D('',#16613,#16614,#16615); +#16617=PLANE('',#16616); +#16619=ORIENTED_EDGE('',*,*,#16618,.T.); +#16621=ORIENTED_EDGE('',*,*,#16620,.F.); +#16622=ORIENTED_EDGE('',*,*,#16604,.F.); +#16623=ORIENTED_EDGE('',*,*,#16593,.T.); +#16625=ORIENTED_EDGE('',*,*,#16624,.F.); +#16627=ORIENTED_EDGE('',*,*,#16626,.F.); +#16629=ORIENTED_EDGE('',*,*,#16628,.F.); +#16630=EDGE_LOOP('',(#16619,#16621,#16622,#16623,#16625,#16627,#16629)); +#16631=FACE_OUTER_BOUND('',#16630,.F.); +#16633=CARTESIAN_POINT('',(1.0965888E2,1.149449725639E1,-5.E-1)); +#16634=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16635=DIRECTION('',(0.E0,0.E0,1.E0)); +#16636=AXIS2_PLACEMENT_3D('',#16633,#16634,#16635); +#16637=CYLINDRICAL_SURFACE('',#16636,5.E-1); +#16639=ORIENTED_EDGE('',*,*,#16638,.T.); +#16640=ORIENTED_EDGE('',*,*,#16618,.F.); +#16642=ORIENTED_EDGE('',*,*,#16641,.F.); +#16644=ORIENTED_EDGE('',*,*,#16643,.F.); +#16645=EDGE_LOOP('',(#16639,#16640,#16642,#16644)); +#16646=FACE_OUTER_BOUND('',#16645,.F.); +#16648=CARTESIAN_POINT('',(-1.55E1,1.18E1,0.E0)); +#16649=DIRECTION('',(0.E0,0.E0,1.E0)); +#16650=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16651=AXIS2_PLACEMENT_3D('',#16648,#16649,#16650); +#16652=PLANE('',#16651); +#16653=ORIENTED_EDGE('',*,*,#16638,.F.); +#16655=ORIENTED_EDGE('',*,*,#16654,.F.); +#16657=ORIENTED_EDGE('',*,*,#16656,.F.); +#16659=ORIENTED_EDGE('',*,*,#16658,.F.); +#16661=ORIENTED_EDGE('',*,*,#16660,.F.); +#16663=ORIENTED_EDGE('',*,*,#16662,.F.); +#16665=ORIENTED_EDGE('',*,*,#16664,.F.); +#16667=ORIENTED_EDGE('',*,*,#16666,.F.); +#16669=ORIENTED_EDGE('',*,*,#16668,.F.); +#16671=ORIENTED_EDGE('',*,*,#16670,.F.); +#16673=ORIENTED_EDGE('',*,*,#16672,.F.); +#16675=ORIENTED_EDGE('',*,*,#16674,.T.); +#16677=ORIENTED_EDGE('',*,*,#16676,.F.); +#16679=ORIENTED_EDGE('',*,*,#16678,.F.); +#16680=ORIENTED_EDGE('',*,*,#16606,.F.); +#16681=ORIENTED_EDGE('',*,*,#16620,.T.); +#16682=EDGE_LOOP('',(#16653,#16655,#16657,#16659,#16661,#16663,#16665,#16667, +#16669,#16671,#16673,#16675,#16677,#16679,#16680,#16681)); +#16683=FACE_OUTER_BOUND('',#16682,.F.); +#16685=ORIENTED_EDGE('',*,*,#16684,.F.); +#16687=ORIENTED_EDGE('',*,*,#16686,.F.); +#16689=ORIENTED_EDGE('',*,*,#16688,.F.); +#16691=ORIENTED_EDGE('',*,*,#16690,.F.); +#16693=ORIENTED_EDGE('',*,*,#16692,.F.); +#16695=ORIENTED_EDGE('',*,*,#16694,.F.); +#16697=ORIENTED_EDGE('',*,*,#16696,.F.); +#16699=ORIENTED_EDGE('',*,*,#16698,.F.); +#16700=EDGE_LOOP('',(#16685,#16687,#16689,#16691,#16693,#16695,#16697,#16699)); +#16701=FACE_BOUND('',#16700,.F.); +#16703=CARTESIAN_POINT('',(-1.55E1,5.6E0,-1.E0)); +#16704=DIRECTION('',(0.E0,0.E0,1.E0)); +#16705=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16706=AXIS2_PLACEMENT_3D('',#16703,#16704,#16705); +#16707=CONICAL_SURFACE('',#16706,4.967949192431E0,1.5E1); +#16709=ORIENTED_EDGE('',*,*,#16708,.F.); +#16710=ORIENTED_EDGE('',*,*,#16684,.T.); +#16712=ORIENTED_EDGE('',*,*,#16711,.T.); +#16714=ORIENTED_EDGE('',*,*,#16713,.F.); +#16715=EDGE_LOOP('',(#16709,#16710,#16712,#16714)); +#16716=FACE_OUTER_BOUND('',#16715,.F.); +#16718=CARTESIAN_POINT('',(-2.073589838486E1,-5.6E0,0.E0)); +#16719=DIRECTION('',(9.659258262891E-1,0.E0,2.588190451025E-1)); +#16720=DIRECTION('',(2.588190451025E-1,0.E0,-9.659258262891E-1)); +#16721=AXIS2_PLACEMENT_3D('',#16718,#16719,#16720); +#16722=PLANE('',#16721); +#16724=ORIENTED_EDGE('',*,*,#16723,.F.); +#16725=ORIENTED_EDGE('',*,*,#16686,.T.); +#16726=ORIENTED_EDGE('',*,*,#16708,.T.); +#16728=ORIENTED_EDGE('',*,*,#16727,.F.); +#16729=EDGE_LOOP('',(#16724,#16725,#16726,#16728)); +#16730=FACE_OUTER_BOUND('',#16729,.F.); +#16732=CARTESIAN_POINT('',(-1.55E1,-5.6E0,-1.E0)); +#16733=DIRECTION('',(0.E0,0.E0,1.E0)); +#16734=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16735=AXIS2_PLACEMENT_3D('',#16732,#16733,#16734); +#16736=CONICAL_SURFACE('',#16735,4.967949192431E0,1.5E1); +#16738=ORIENTED_EDGE('',*,*,#16737,.F.); +#16739=ORIENTED_EDGE('',*,*,#16688,.T.); +#16740=ORIENTED_EDGE('',*,*,#16723,.T.); +#16742=ORIENTED_EDGE('',*,*,#16741,.F.); +#16743=EDGE_LOOP('',(#16738,#16739,#16740,#16742)); +#16744=FACE_OUTER_BOUND('',#16743,.F.); +#16746=CARTESIAN_POINT('',(1.55E1,-1.083589838486E1,0.E0)); +#16747=DIRECTION('',(0.E0,9.659258262891E-1,2.588190451025E-1)); +#16748=DIRECTION('',(0.E0,2.588190451025E-1,-9.659258262891E-1)); +#16749=AXIS2_PLACEMENT_3D('',#16746,#16747,#16748); +#16750=PLANE('',#16749); +#16752=ORIENTED_EDGE('',*,*,#16751,.F.); +#16753=ORIENTED_EDGE('',*,*,#16690,.T.); +#16754=ORIENTED_EDGE('',*,*,#16737,.T.); +#16756=ORIENTED_EDGE('',*,*,#16755,.F.); +#16757=EDGE_LOOP('',(#16752,#16753,#16754,#16756)); +#16758=FACE_OUTER_BOUND('',#16757,.F.); +#16760=CARTESIAN_POINT('',(1.55E1,-5.6E0,-1.E0)); +#16761=DIRECTION('',(0.E0,0.E0,1.E0)); +#16762=DIRECTION('',(1.E0,0.E0,0.E0)); +#16763=AXIS2_PLACEMENT_3D('',#16760,#16761,#16762); +#16764=CONICAL_SURFACE('',#16763,4.967949192431E0,1.5E1); +#16766=ORIENTED_EDGE('',*,*,#16765,.F.); +#16767=ORIENTED_EDGE('',*,*,#16692,.T.); +#16768=ORIENTED_EDGE('',*,*,#16751,.T.); +#16770=ORIENTED_EDGE('',*,*,#16769,.F.); +#16771=EDGE_LOOP('',(#16766,#16767,#16768,#16770)); +#16772=FACE_OUTER_BOUND('',#16771,.F.); +#16774=CARTESIAN_POINT('',(2.073589838486E1,5.6E0,0.E0)); +#16775=DIRECTION('',(-9.659258262891E-1,0.E0,2.588190451025E-1)); +#16776=DIRECTION('',(-2.588190451025E-1,0.E0,-9.659258262891E-1)); +#16777=AXIS2_PLACEMENT_3D('',#16774,#16775,#16776); +#16778=PLANE('',#16777); +#16780=ORIENTED_EDGE('',*,*,#16779,.F.); +#16781=ORIENTED_EDGE('',*,*,#16694,.T.); +#16782=ORIENTED_EDGE('',*,*,#16765,.T.); +#16784=ORIENTED_EDGE('',*,*,#16783,.F.); +#16785=EDGE_LOOP('',(#16780,#16781,#16782,#16784)); +#16786=FACE_OUTER_BOUND('',#16785,.F.); +#16788=CARTESIAN_POINT('',(1.55E1,5.6E0,-1.E0)); +#16789=DIRECTION('',(0.E0,0.E0,1.E0)); +#16790=DIRECTION('',(0.E0,1.E0,0.E0)); +#16791=AXIS2_PLACEMENT_3D('',#16788,#16789,#16790); +#16792=CONICAL_SURFACE('',#16791,4.967949192431E0,1.5E1); +#16794=ORIENTED_EDGE('',*,*,#16793,.F.); +#16795=ORIENTED_EDGE('',*,*,#16696,.T.); +#16796=ORIENTED_EDGE('',*,*,#16779,.T.); +#16798=ORIENTED_EDGE('',*,*,#16797,.F.); +#16799=EDGE_LOOP('',(#16794,#16795,#16796,#16798)); +#16800=FACE_OUTER_BOUND('',#16799,.F.); +#16802=CARTESIAN_POINT('',(-1.55E1,1.083589838486E1,0.E0)); +#16803=DIRECTION('',(0.E0,-9.659258262891E-1,2.588190451025E-1)); +#16804=DIRECTION('',(0.E0,-2.588190451025E-1,-9.659258262891E-1)); +#16805=AXIS2_PLACEMENT_3D('',#16802,#16803,#16804); +#16806=PLANE('',#16805); +#16807=ORIENTED_EDGE('',*,*,#16711,.F.); +#16808=ORIENTED_EDGE('',*,*,#16698,.T.); +#16809=ORIENTED_EDGE('',*,*,#16793,.T.); +#16810=ORIENTED_EDGE('',*,*,#14993,.F.); +#16811=EDGE_LOOP('',(#16807,#16808,#16809,#16810)); +#16812=FACE_OUTER_BOUND('',#16811,.F.); +#16814=CARTESIAN_POINT('',(1.55E1,5.6E0,1.E0)); +#16815=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16816=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16817=AXIS2_PLACEMENT_3D('',#16814,#16815,#16816); +#16818=CYLINDRICAL_SURFACE('',#16817,4.7E0); +#16820=ORIENTED_EDGE('',*,*,#16819,.F.); +#16822=ORIENTED_EDGE('',*,*,#16821,.F.); +#16824=ORIENTED_EDGE('',*,*,#16823,.T.); +#16825=ORIENTED_EDGE('',*,*,#15815,.F.); +#16826=ORIENTED_EDGE('',*,*,#14995,.F.); +#16827=ORIENTED_EDGE('',*,*,#16797,.T.); +#16828=EDGE_LOOP('',(#16820,#16822,#16824,#16825,#16826,#16827)); +#16829=FACE_OUTER_BOUND('',#16828,.F.); +#16831=CARTESIAN_POINT('',(2.02E1,5.6E0,-2.E0)); +#16832=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16833=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16834=AXIS2_PLACEMENT_3D('',#16831,#16832,#16833); +#16835=PLANE('',#16834); +#16837=ORIENTED_EDGE('',*,*,#16836,.T.); +#16839=ORIENTED_EDGE('',*,*,#16838,.F.); +#16840=ORIENTED_EDGE('',*,*,#15422,.F.); +#16842=ORIENTED_EDGE('',*,*,#16841,.F.); +#16843=ORIENTED_EDGE('',*,*,#16819,.T.); +#16844=ORIENTED_EDGE('',*,*,#16783,.T.); +#16846=ORIENTED_EDGE('',*,*,#16845,.F.); +#16848=ORIENTED_EDGE('',*,*,#16847,.F.); +#16849=ORIENTED_EDGE('',*,*,#15442,.F.); +#16851=ORIENTED_EDGE('',*,*,#16850,.F.); +#16853=ORIENTED_EDGE('',*,*,#16852,.F.); +#16854=ORIENTED_EDGE('',*,*,#15831,.F.); +#16855=EDGE_LOOP('',(#16837,#16839,#16840,#16842,#16843,#16844,#16846,#16848, +#16849,#16851,#16853,#16854)); +#16856=FACE_OUTER_BOUND('',#16855,.F.); +#16858=CARTESIAN_POINT('',(1.97E1,3.7E0,-2.E1)); +#16859=DIRECTION('',(0.E0,0.E0,1.E0)); +#16860=DIRECTION('',(1.E0,0.E0,0.E0)); +#16861=AXIS2_PLACEMENT_3D('',#16858,#16859,#16860); +#16862=CYLINDRICAL_SURFACE('',#16861,5.E-1); +#16864=ORIENTED_EDGE('',*,*,#16863,.T.); +#16865=ORIENTED_EDGE('',*,*,#16836,.F.); +#16866=ORIENTED_EDGE('',*,*,#15829,.T.); +#16868=ORIENTED_EDGE('',*,*,#16867,.T.); +#16869=EDGE_LOOP('',(#16864,#16865,#16866,#16868)); +#16870=FACE_OUTER_BOUND('',#16869,.F.); +#16872=CARTESIAN_POINT('',(1.97E1,3.7E0,-1.045E1)); +#16873=DIRECTION('',(0.E0,0.E0,1.E0)); +#16874=DIRECTION('',(-3.360819715429E-1,9.418327390805E-1,0.E0)); +#16875=AXIS2_PLACEMENT_3D('',#16872,#16873,#16874); +#16876=TOROIDAL_SURFACE('',#16875,9.5E-1,4.5E-1); +#16877=ORIENTED_EDGE('',*,*,#16863,.F.); +#16879=ORIENTED_EDGE('',*,*,#16878,.F.); +#16880=ORIENTED_EDGE('',*,*,#15412,.T.); +#16881=ORIENTED_EDGE('',*,*,#16838,.T.); +#16882=EDGE_LOOP('',(#16877,#16879,#16880,#16881)); +#16883=FACE_OUTER_BOUND('',#16882,.F.); +#16885=CARTESIAN_POINT('',(1.882935925263E1,4.65E0,-1.045E1)); +#16886=DIRECTION('',(1.E0,0.E0,0.E0)); +#16887=DIRECTION('',(0.E0,0.E0,1.E0)); +#16888=AXIS2_PLACEMENT_3D('',#16885,#16886,#16887); +#16889=CYLINDRICAL_SURFACE('',#16888,4.5E-1); +#16890=ORIENTED_EDGE('',*,*,#15414,.T.); +#16891=ORIENTED_EDGE('',*,*,#16878,.T.); +#16893=ORIENTED_EDGE('',*,*,#16892,.F.); +#16895=ORIENTED_EDGE('',*,*,#16894,.F.); +#16896=EDGE_LOOP('',(#16890,#16891,#16893,#16895)); +#16897=FACE_OUTER_BOUND('',#16896,.F.); +#16899=CARTESIAN_POINT('',(1.895E1,4.2E0,-2.E1)); +#16900=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16901=DIRECTION('',(1.E0,0.E0,0.E0)); +#16902=AXIS2_PLACEMENT_3D('',#16899,#16900,#16901); +#16903=PLANE('',#16902); +#16904=ORIENTED_EDGE('',*,*,#16892,.T.); +#16905=ORIENTED_EDGE('',*,*,#16867,.F.); +#16906=ORIENTED_EDGE('',*,*,#15827,.F.); +#16908=ORIENTED_EDGE('',*,*,#16907,.T.); +#16909=EDGE_LOOP('',(#16904,#16905,#16906,#16908)); +#16910=FACE_OUTER_BOUND('',#16909,.F.); +#16912=CARTESIAN_POINT('',(1.895E1,4.65E0,-2.E1)); +#16913=DIRECTION('',(0.E0,0.E0,1.E0)); +#16914=DIRECTION('',(1.E0,0.E0,0.E0)); +#16915=AXIS2_PLACEMENT_3D('',#16912,#16913,#16914); +#16916=CYLINDRICAL_SURFACE('',#16915,4.5E-1); +#16918=ORIENTED_EDGE('',*,*,#16917,.T.); +#16919=ORIENTED_EDGE('',*,*,#16907,.F.); +#16920=ORIENTED_EDGE('',*,*,#15825,.F.); +#16922=ORIENTED_EDGE('',*,*,#16921,.T.); +#16923=EDGE_LOOP('',(#16918,#16919,#16920,#16922)); +#16924=FACE_OUTER_BOUND('',#16923,.F.); +#16926=CARTESIAN_POINT('',(1.895E1,4.65E0,-1.045E1)); +#16927=DIRECTION('',(-7.071067812081E-1,7.071067811649E-1,0.E0)); +#16928=DIRECTION('',(-7.071067811649E-1,-7.071067812081E-1,0.E0)); +#16929=AXIS2_PLACEMENT_3D('',#16926,#16927,#16928); +#16930=SPHERICAL_SURFACE('',#16929,4.5E-1); +#16931=ORIENTED_EDGE('',*,*,#16917,.F.); +#16933=ORIENTED_EDGE('',*,*,#16932,.F.); +#16934=ORIENTED_EDGE('',*,*,#16894,.T.); +#16935=EDGE_LOOP('',(#16931,#16933,#16934)); +#16936=FACE_OUTER_BOUND('',#16935,.F.); +#16938=CARTESIAN_POINT('',(1.895E1,4.870640747365E0,-1.045E1)); +#16939=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16940=DIRECTION('',(0.E0,0.E0,1.E0)); +#16941=AXIS2_PLACEMENT_3D('',#16938,#16939,#16940); +#16942=CYLINDRICAL_SURFACE('',#16941,4.5E-1); +#16943=ORIENTED_EDGE('',*,*,#15416,.T.); +#16944=ORIENTED_EDGE('',*,*,#16932,.T.); +#16946=ORIENTED_EDGE('',*,*,#16945,.F.); +#16948=ORIENTED_EDGE('',*,*,#16947,.F.); +#16949=EDGE_LOOP('',(#16943,#16944,#16946,#16948)); +#16950=FACE_OUTER_BOUND('',#16949,.F.); +#16952=CARTESIAN_POINT('',(1.85E1,4.75E0,-2.E1)); +#16953=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16954=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16955=AXIS2_PLACEMENT_3D('',#16952,#16953,#16954); +#16956=PLANE('',#16955); +#16957=ORIENTED_EDGE('',*,*,#16945,.T.); +#16958=ORIENTED_EDGE('',*,*,#16921,.F.); +#16959=ORIENTED_EDGE('',*,*,#15823,.F.); +#16961=ORIENTED_EDGE('',*,*,#16960,.T.); +#16962=EDGE_LOOP('',(#16957,#16958,#16959,#16961)); +#16963=FACE_OUTER_BOUND('',#16962,.F.); +#16965=CARTESIAN_POINT('',(1.895E1,4.75E0,-2.E1)); +#16966=DIRECTION('',(0.E0,0.E0,1.E0)); +#16967=DIRECTION('',(1.E0,0.E0,0.E0)); +#16968=AXIS2_PLACEMENT_3D('',#16965,#16966,#16967); +#16969=CYLINDRICAL_SURFACE('',#16968,4.5E-1); +#16971=ORIENTED_EDGE('',*,*,#16970,.T.); +#16972=ORIENTED_EDGE('',*,*,#16960,.F.); +#16973=ORIENTED_EDGE('',*,*,#15821,.F.); +#16975=ORIENTED_EDGE('',*,*,#16974,.T.); +#16976=EDGE_LOOP('',(#16971,#16972,#16973,#16975)); +#16977=FACE_OUTER_BOUND('',#16976,.F.); +#16979=CARTESIAN_POINT('',(1.895E1,4.75E0,-1.045E1)); +#16980=DIRECTION('',(7.071067811649E-1,7.071067812082E-1,0.E0)); +#16981=DIRECTION('',(-7.071067812082E-1,7.071067811649E-1,0.E0)); +#16982=AXIS2_PLACEMENT_3D('',#16979,#16980,#16981); +#16983=SPHERICAL_SURFACE('',#16982,4.5E-1); +#16984=ORIENTED_EDGE('',*,*,#16970,.F.); +#16986=ORIENTED_EDGE('',*,*,#16985,.F.); +#16987=ORIENTED_EDGE('',*,*,#16947,.T.); +#16988=EDGE_LOOP('',(#16984,#16986,#16987)); +#16989=FACE_OUTER_BOUND('',#16988,.F.); +#16991=CARTESIAN_POINT('',(1.982064074737E1,4.75E0,-1.045E1)); +#16992=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16993=DIRECTION('',(0.E0,0.E0,1.E0)); +#16994=AXIS2_PLACEMENT_3D('',#16991,#16992,#16993); +#16995=CYLINDRICAL_SURFACE('',#16994,4.5E-1); +#16996=ORIENTED_EDGE('',*,*,#15418,.T.); +#16997=ORIENTED_EDGE('',*,*,#16985,.T.); +#16999=ORIENTED_EDGE('',*,*,#16998,.F.); +#17001=ORIENTED_EDGE('',*,*,#17000,.F.); +#17002=EDGE_LOOP('',(#16996,#16997,#16999,#17001)); +#17003=FACE_OUTER_BOUND('',#17002,.F.); +#17005=CARTESIAN_POINT('',(1.97E1,5.2E0,-2.E1)); +#17006=DIRECTION('',(0.E0,1.E0,0.E0)); +#17007=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17008=AXIS2_PLACEMENT_3D('',#17005,#17006,#17007); +#17009=PLANE('',#17008); +#17010=ORIENTED_EDGE('',*,*,#16998,.T.); +#17011=ORIENTED_EDGE('',*,*,#16974,.F.); +#17012=ORIENTED_EDGE('',*,*,#15819,.F.); +#17014=ORIENTED_EDGE('',*,*,#17013,.T.); +#17015=EDGE_LOOP('',(#17010,#17011,#17012,#17014)); +#17016=FACE_OUTER_BOUND('',#17015,.F.); +#17018=CARTESIAN_POINT('',(1.97E1,5.7E0,-2.E1)); +#17019=DIRECTION('',(0.E0,0.E0,1.E0)); +#17020=DIRECTION('',(1.E0,0.E0,0.E0)); +#17021=AXIS2_PLACEMENT_3D('',#17018,#17019,#17020); +#17022=CYLINDRICAL_SURFACE('',#17021,5.E-1); +#17024=ORIENTED_EDGE('',*,*,#17023,.T.); +#17025=ORIENTED_EDGE('',*,*,#17013,.F.); +#17026=ORIENTED_EDGE('',*,*,#15817,.T.); +#17027=ORIENTED_EDGE('',*,*,#16823,.F.); +#17028=EDGE_LOOP('',(#17024,#17025,#17026,#17027)); +#17029=FACE_OUTER_BOUND('',#17028,.F.); +#17031=CARTESIAN_POINT('',(1.97E1,5.7E0,-1.045E1)); +#17032=DIRECTION('',(0.E0,0.E0,1.E0)); +#17033=DIRECTION('',(-6.888238578352E-1,7.249287502072E-1,0.E0)); +#17034=AXIS2_PLACEMENT_3D('',#17031,#17032,#17033); +#17035=TOROIDAL_SURFACE('',#17034,9.5E-1,4.5E-1); +#17036=ORIENTED_EDGE('',*,*,#15420,.T.); +#17037=ORIENTED_EDGE('',*,*,#17000,.T.); +#17038=ORIENTED_EDGE('',*,*,#17023,.F.); +#17039=ORIENTED_EDGE('',*,*,#16821,.T.); +#17040=ORIENTED_EDGE('',*,*,#16841,.T.); +#17041=EDGE_LOOP('',(#17036,#17037,#17038,#17039,#17040)); +#17042=FACE_OUTER_BOUND('',#17041,.F.); +#17044=CARTESIAN_POINT('',(1.55E1,-5.6E0,1.E0)); +#17045=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17046=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17047=AXIS2_PLACEMENT_3D('',#17044,#17045,#17046); +#17048=CYLINDRICAL_SURFACE('',#17047,4.7E0); +#17050=ORIENTED_EDGE('',*,*,#17049,.F.); +#17052=ORIENTED_EDGE('',*,*,#17051,.F.); +#17053=ORIENTED_EDGE('',*,*,#16845,.T.); +#17054=ORIENTED_EDGE('',*,*,#16769,.T.); +#17056=ORIENTED_EDGE('',*,*,#17055,.T.); +#17057=ORIENTED_EDGE('',*,*,#15847,.F.); +#17058=EDGE_LOOP('',(#17050,#17052,#17053,#17054,#17056,#17057)); +#17059=FACE_OUTER_BOUND('',#17058,.F.); +#17061=CARTESIAN_POINT('',(1.97E1,-5.7E0,-2.E1)); +#17062=DIRECTION('',(0.E0,0.E0,1.E0)); +#17063=DIRECTION('',(1.E0,0.E0,0.E0)); +#17064=AXIS2_PLACEMENT_3D('',#17061,#17062,#17063); +#17065=CYLINDRICAL_SURFACE('',#17064,5.E-1); +#17067=ORIENTED_EDGE('',*,*,#17066,.T.); +#17068=ORIENTED_EDGE('',*,*,#17049,.T.); +#17069=ORIENTED_EDGE('',*,*,#15845,.T.); +#17071=ORIENTED_EDGE('',*,*,#17070,.T.); +#17072=EDGE_LOOP('',(#17067,#17068,#17069,#17071)); +#17073=FACE_OUTER_BOUND('',#17072,.F.); +#17075=CARTESIAN_POINT('',(1.97E1,-5.7E0,-1.045E1)); +#17076=DIRECTION('',(0.E0,0.E0,1.E0)); +#17077=DIRECTION('',(-3.360819715429E-1,9.418327390805E-1,0.E0)); +#17078=AXIS2_PLACEMENT_3D('',#17075,#17076,#17077); +#17079=TOROIDAL_SURFACE('',#17078,9.5E-1,4.5E-1); +#17080=ORIENTED_EDGE('',*,*,#17066,.F.); +#17082=ORIENTED_EDGE('',*,*,#17081,.F.); +#17083=ORIENTED_EDGE('',*,*,#15432,.T.); +#17084=ORIENTED_EDGE('',*,*,#16847,.T.); +#17085=ORIENTED_EDGE('',*,*,#17051,.T.); +#17086=EDGE_LOOP('',(#17080,#17082,#17083,#17084,#17085)); +#17087=FACE_OUTER_BOUND('',#17086,.F.); +#17089=CARTESIAN_POINT('',(1.882935925263E1,-4.75E0,-1.045E1)); +#17090=DIRECTION('',(1.E0,0.E0,0.E0)); +#17091=DIRECTION('',(0.E0,0.E0,1.E0)); +#17092=AXIS2_PLACEMENT_3D('',#17089,#17090,#17091); +#17093=CYLINDRICAL_SURFACE('',#17092,4.5E-1); +#17094=ORIENTED_EDGE('',*,*,#15434,.T.); +#17095=ORIENTED_EDGE('',*,*,#17081,.T.); +#17097=ORIENTED_EDGE('',*,*,#17096,.F.); +#17099=ORIENTED_EDGE('',*,*,#17098,.F.); +#17100=EDGE_LOOP('',(#17094,#17095,#17097,#17099)); +#17101=FACE_OUTER_BOUND('',#17100,.F.); +#17103=CARTESIAN_POINT('',(1.895E1,-5.2E0,-2.E1)); +#17104=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17105=DIRECTION('',(1.E0,0.E0,0.E0)); +#17106=AXIS2_PLACEMENT_3D('',#17103,#17104,#17105); +#17107=PLANE('',#17106); +#17108=ORIENTED_EDGE('',*,*,#17096,.T.); +#17109=ORIENTED_EDGE('',*,*,#17070,.F.); +#17110=ORIENTED_EDGE('',*,*,#15843,.F.); +#17112=ORIENTED_EDGE('',*,*,#17111,.T.); +#17113=EDGE_LOOP('',(#17108,#17109,#17110,#17112)); +#17114=FACE_OUTER_BOUND('',#17113,.F.); +#17116=CARTESIAN_POINT('',(1.895E1,-4.75E0,-2.E1)); +#17117=DIRECTION('',(0.E0,0.E0,1.E0)); +#17118=DIRECTION('',(1.E0,0.E0,0.E0)); +#17119=AXIS2_PLACEMENT_3D('',#17116,#17117,#17118); +#17120=CYLINDRICAL_SURFACE('',#17119,4.5E-1); +#17122=ORIENTED_EDGE('',*,*,#17121,.T.); +#17123=ORIENTED_EDGE('',*,*,#17111,.F.); +#17124=ORIENTED_EDGE('',*,*,#15841,.F.); +#17126=ORIENTED_EDGE('',*,*,#17125,.T.); +#17127=EDGE_LOOP('',(#17122,#17123,#17124,#17126)); +#17128=FACE_OUTER_BOUND('',#17127,.F.); +#17130=CARTESIAN_POINT('',(1.895E1,-4.75E0,-1.045E1)); +#17131=DIRECTION('',(-7.071067812082E-1,7.071067811649E-1,0.E0)); +#17132=DIRECTION('',(-7.071067811649E-1,-7.071067812082E-1,0.E0)); +#17133=AXIS2_PLACEMENT_3D('',#17130,#17131,#17132); +#17134=SPHERICAL_SURFACE('',#17133,4.5E-1); +#17135=ORIENTED_EDGE('',*,*,#17121,.F.); +#17137=ORIENTED_EDGE('',*,*,#17136,.F.); +#17138=ORIENTED_EDGE('',*,*,#17098,.T.); +#17139=EDGE_LOOP('',(#17135,#17137,#17138)); +#17140=FACE_OUTER_BOUND('',#17139,.F.); +#17142=CARTESIAN_POINT('',(1.895E1,-4.529359252635E0,-1.045E1)); +#17143=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17144=DIRECTION('',(0.E0,0.E0,1.E0)); +#17145=AXIS2_PLACEMENT_3D('',#17142,#17143,#17144); +#17146=CYLINDRICAL_SURFACE('',#17145,4.5E-1); +#17147=ORIENTED_EDGE('',*,*,#15436,.T.); +#17148=ORIENTED_EDGE('',*,*,#17136,.T.); +#17150=ORIENTED_EDGE('',*,*,#17149,.F.); +#17152=ORIENTED_EDGE('',*,*,#17151,.F.); +#17153=EDGE_LOOP('',(#17147,#17148,#17150,#17152)); +#17154=FACE_OUTER_BOUND('',#17153,.F.); +#17156=CARTESIAN_POINT('',(1.85E1,-4.65E0,-2.E1)); +#17157=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17158=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17159=AXIS2_PLACEMENT_3D('',#17156,#17157,#17158); +#17160=PLANE('',#17159); +#17161=ORIENTED_EDGE('',*,*,#17149,.T.); +#17162=ORIENTED_EDGE('',*,*,#17125,.F.); +#17163=ORIENTED_EDGE('',*,*,#15839,.F.); +#17165=ORIENTED_EDGE('',*,*,#17164,.T.); +#17166=EDGE_LOOP('',(#17161,#17162,#17163,#17165)); +#17167=FACE_OUTER_BOUND('',#17166,.F.); +#17169=CARTESIAN_POINT('',(1.895E1,-4.65E0,-2.E1)); +#17170=DIRECTION('',(0.E0,0.E0,1.E0)); +#17171=DIRECTION('',(1.E0,0.E0,0.E0)); +#17172=AXIS2_PLACEMENT_3D('',#17169,#17170,#17171); +#17173=CYLINDRICAL_SURFACE('',#17172,4.5E-1); +#17175=ORIENTED_EDGE('',*,*,#17174,.T.); +#17176=ORIENTED_EDGE('',*,*,#17164,.F.); +#17177=ORIENTED_EDGE('',*,*,#15837,.F.); +#17179=ORIENTED_EDGE('',*,*,#17178,.T.); +#17180=EDGE_LOOP('',(#17175,#17176,#17177,#17179)); +#17181=FACE_OUTER_BOUND('',#17180,.F.); +#17183=CARTESIAN_POINT('',(1.895E1,-4.65E0,-1.045E1)); +#17184=DIRECTION('',(7.071067811649E-1,7.071067812082E-1,0.E0)); +#17185=DIRECTION('',(-7.071067812082E-1,7.071067811649E-1,0.E0)); +#17186=AXIS2_PLACEMENT_3D('',#17183,#17184,#17185); +#17187=SPHERICAL_SURFACE('',#17186,4.5E-1); +#17188=ORIENTED_EDGE('',*,*,#17174,.F.); +#17190=ORIENTED_EDGE('',*,*,#17189,.F.); +#17191=ORIENTED_EDGE('',*,*,#17151,.T.); +#17192=EDGE_LOOP('',(#17188,#17190,#17191)); +#17193=FACE_OUTER_BOUND('',#17192,.F.); +#17195=CARTESIAN_POINT('',(1.982064074737E1,-4.65E0,-1.045E1)); +#17196=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17197=DIRECTION('',(0.E0,0.E0,1.E0)); +#17198=AXIS2_PLACEMENT_3D('',#17195,#17196,#17197); +#17199=CYLINDRICAL_SURFACE('',#17198,4.5E-1); +#17200=ORIENTED_EDGE('',*,*,#15438,.T.); +#17201=ORIENTED_EDGE('',*,*,#17189,.T.); +#17203=ORIENTED_EDGE('',*,*,#17202,.F.); +#17205=ORIENTED_EDGE('',*,*,#17204,.F.); +#17206=EDGE_LOOP('',(#17200,#17201,#17203,#17205)); +#17207=FACE_OUTER_BOUND('',#17206,.F.); +#17209=CARTESIAN_POINT('',(1.97E1,-4.2E0,-2.E1)); +#17210=DIRECTION('',(0.E0,1.E0,0.E0)); +#17211=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17212=AXIS2_PLACEMENT_3D('',#17209,#17210,#17211); +#17213=PLANE('',#17212); +#17214=ORIENTED_EDGE('',*,*,#17202,.T.); +#17215=ORIENTED_EDGE('',*,*,#17178,.F.); +#17216=ORIENTED_EDGE('',*,*,#15835,.F.); +#17218=ORIENTED_EDGE('',*,*,#17217,.T.); +#17219=EDGE_LOOP('',(#17214,#17215,#17216,#17218)); +#17220=FACE_OUTER_BOUND('',#17219,.F.); +#17222=CARTESIAN_POINT('',(1.97E1,-3.7E0,-2.E1)); +#17223=DIRECTION('',(0.E0,0.E0,1.E0)); +#17224=DIRECTION('',(1.E0,0.E0,0.E0)); +#17225=AXIS2_PLACEMENT_3D('',#17222,#17223,#17224); +#17226=CYLINDRICAL_SURFACE('',#17225,5.E-1); +#17228=ORIENTED_EDGE('',*,*,#17227,.T.); +#17229=ORIENTED_EDGE('',*,*,#17217,.F.); +#17230=ORIENTED_EDGE('',*,*,#15833,.T.); +#17231=ORIENTED_EDGE('',*,*,#16852,.T.); +#17232=EDGE_LOOP('',(#17228,#17229,#17230,#17231)); +#17233=FACE_OUTER_BOUND('',#17232,.F.); +#17235=CARTESIAN_POINT('',(1.97E1,-3.7E0,-1.045E1)); +#17236=DIRECTION('',(0.E0,0.E0,1.E0)); +#17237=DIRECTION('',(-7.064465069690E-1,7.077664394356E-1,0.E0)); +#17238=AXIS2_PLACEMENT_3D('',#17235,#17236,#17237); +#17239=TOROIDAL_SURFACE('',#17238,9.5E-1,4.5E-1); +#17240=ORIENTED_EDGE('',*,*,#15440,.T.); +#17241=ORIENTED_EDGE('',*,*,#17204,.T.); +#17242=ORIENTED_EDGE('',*,*,#17227,.F.); +#17243=ORIENTED_EDGE('',*,*,#16850,.T.); +#17244=EDGE_LOOP('',(#17240,#17241,#17242,#17243)); +#17245=FACE_OUTER_BOUND('',#17244,.F.); +#17247=CARTESIAN_POINT('',(1.55E1,-1.03E1,-2.E0)); +#17248=DIRECTION('',(0.E0,1.E0,0.E0)); +#17249=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17250=AXIS2_PLACEMENT_3D('',#17247,#17248,#17249); +#17251=PLANE('',#17250); +#17253=ORIENTED_EDGE('',*,*,#17252,.T.); +#17255=ORIENTED_EDGE('',*,*,#17254,.F.); +#17256=ORIENTED_EDGE('',*,*,#15479,.F.); +#17258=ORIENTED_EDGE('',*,*,#17257,.F.); +#17260=ORIENTED_EDGE('',*,*,#17259,.F.); +#17261=ORIENTED_EDGE('',*,*,#15881,.F.); +#17263=ORIENTED_EDGE('',*,*,#17262,.T.); +#17265=ORIENTED_EDGE('',*,*,#17264,.F.); +#17266=ORIENTED_EDGE('',*,*,#15342,.F.); +#17268=ORIENTED_EDGE('',*,*,#17267,.F.); +#17270=ORIENTED_EDGE('',*,*,#17269,.F.); +#17271=ORIENTED_EDGE('',*,*,#15865,.F.); +#17273=ORIENTED_EDGE('',*,*,#17272,.T.); +#17275=ORIENTED_EDGE('',*,*,#17274,.F.); +#17276=ORIENTED_EDGE('',*,*,#15362,.F.); +#17278=ORIENTED_EDGE('',*,*,#17277,.F.); +#17280=ORIENTED_EDGE('',*,*,#17279,.F.); +#17281=ORIENTED_EDGE('',*,*,#15849,.F.); +#17282=ORIENTED_EDGE('',*,*,#17055,.F.); +#17283=ORIENTED_EDGE('',*,*,#16755,.T.); +#17285=ORIENTED_EDGE('',*,*,#17284,.T.); +#17286=ORIENTED_EDGE('',*,*,#15977,.F.); +#17288=ORIENTED_EDGE('',*,*,#17287,.T.); +#17290=ORIENTED_EDGE('',*,*,#17289,.F.); +#17291=ORIENTED_EDGE('',*,*,#15242,.F.); +#17293=ORIENTED_EDGE('',*,*,#17292,.F.); +#17295=ORIENTED_EDGE('',*,*,#17294,.F.); +#17296=ORIENTED_EDGE('',*,*,#15961,.F.); +#17298=ORIENTED_EDGE('',*,*,#17297,.T.); +#17300=ORIENTED_EDGE('',*,*,#17299,.F.); +#17301=ORIENTED_EDGE('',*,*,#15262,.F.); +#17303=ORIENTED_EDGE('',*,*,#17302,.F.); +#17305=ORIENTED_EDGE('',*,*,#17304,.F.); +#17306=ORIENTED_EDGE('',*,*,#15945,.F.); +#17308=ORIENTED_EDGE('',*,*,#17307,.T.); +#17310=ORIENTED_EDGE('',*,*,#17309,.F.); +#17311=ORIENTED_EDGE('',*,*,#15282,.F.); +#17313=ORIENTED_EDGE('',*,*,#17312,.F.); +#17315=ORIENTED_EDGE('',*,*,#17314,.F.); +#17316=ORIENTED_EDGE('',*,*,#15929,.F.); +#17318=ORIENTED_EDGE('',*,*,#17317,.T.); +#17320=ORIENTED_EDGE('',*,*,#17319,.F.); +#17321=ORIENTED_EDGE('',*,*,#15302,.F.); +#17323=ORIENTED_EDGE('',*,*,#17322,.F.); +#17325=ORIENTED_EDGE('',*,*,#17324,.F.); +#17326=ORIENTED_EDGE('',*,*,#15913,.F.); +#17328=ORIENTED_EDGE('',*,*,#17327,.T.); +#17330=ORIENTED_EDGE('',*,*,#17329,.F.); +#17331=ORIENTED_EDGE('',*,*,#15322,.F.); +#17333=ORIENTED_EDGE('',*,*,#17332,.F.); +#17335=ORIENTED_EDGE('',*,*,#17334,.F.); +#17336=ORIENTED_EDGE('',*,*,#15897,.F.); +#17337=EDGE_LOOP('',(#17253,#17255,#17256,#17258,#17260,#17261,#17263,#17265, +#17266,#17268,#17270,#17271,#17273,#17275,#17276,#17278,#17280,#17281,#17282, +#17283,#17285,#17286,#17288,#17290,#17291,#17293,#17295,#17296,#17298,#17300, +#17301,#17303,#17305,#17306,#17308,#17310,#17311,#17313,#17315,#17316,#17318, +#17320,#17321,#17323,#17325,#17326,#17328,#17330,#17331,#17333,#17335,#17336)); +#17338=FACE_OUTER_BOUND('',#17337,.F.); +#17340=CARTESIAN_POINT('',(4.075E0,-9.8E0,-2.E1)); +#17341=DIRECTION('',(0.E0,0.E0,1.E0)); +#17342=DIRECTION('',(1.E0,0.E0,0.E0)); +#17343=AXIS2_PLACEMENT_3D('',#17340,#17341,#17342); +#17344=CYLINDRICAL_SURFACE('',#17343,5.E-1); +#17346=ORIENTED_EDGE('',*,*,#17345,.T.); +#17347=ORIENTED_EDGE('',*,*,#17252,.F.); +#17348=ORIENTED_EDGE('',*,*,#15895,.T.); +#17350=ORIENTED_EDGE('',*,*,#17349,.T.); +#17351=EDGE_LOOP('',(#17346,#17347,#17348,#17350)); +#17352=FACE_OUTER_BOUND('',#17351,.F.); +#17354=CARTESIAN_POINT('',(4.075E0,-9.8E0,-1.045E1)); +#17355=DIRECTION('',(0.E0,0.E0,1.E0)); +#17356=DIRECTION('',(9.406858755190E-1,3.392787697442E-1,0.E0)); +#17357=AXIS2_PLACEMENT_3D('',#17354,#17355,#17356); +#17358=TOROIDAL_SURFACE('',#17357,9.5E-1,4.5E-1); +#17359=ORIENTED_EDGE('',*,*,#17345,.F.); +#17361=ORIENTED_EDGE('',*,*,#17360,.F.); +#17362=ORIENTED_EDGE('',*,*,#15469,.T.); +#17363=ORIENTED_EDGE('',*,*,#17254,.T.); +#17364=EDGE_LOOP('',(#17359,#17361,#17362,#17363)); +#17365=FACE_OUTER_BOUND('',#17364,.F.); +#17367=CARTESIAN_POINT('',(5.025E0,-7.728163841557E0,-1.045E1)); +#17368=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17369=DIRECTION('',(0.E0,0.E0,1.E0)); +#17370=AXIS2_PLACEMENT_3D('',#17367,#17368,#17369); +#17371=CYLINDRICAL_SURFACE('',#17370,4.5E-1); +#17372=ORIENTED_EDGE('',*,*,#15471,.T.); +#17373=ORIENTED_EDGE('',*,*,#17360,.T.); +#17375=ORIENTED_EDGE('',*,*,#17374,.F.); +#17377=ORIENTED_EDGE('',*,*,#17376,.F.); +#17378=EDGE_LOOP('',(#17372,#17373,#17375,#17377)); +#17379=FACE_OUTER_BOUND('',#17378,.F.); +#17381=CARTESIAN_POINT('',(4.575E0,-7.85E0,-2.E1)); +#17382=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17383=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17384=AXIS2_PLACEMENT_3D('',#17381,#17382,#17383); +#17385=PLANE('',#17384); +#17386=ORIENTED_EDGE('',*,*,#17374,.T.); +#17387=ORIENTED_EDGE('',*,*,#17349,.F.); +#17388=ORIENTED_EDGE('',*,*,#15893,.F.); +#17390=ORIENTED_EDGE('',*,*,#17389,.T.); +#17391=EDGE_LOOP('',(#17386,#17387,#17388,#17390)); +#17392=FACE_OUTER_BOUND('',#17391,.F.); +#17394=CARTESIAN_POINT('',(5.025E0,-7.85E0,-2.E1)); +#17395=DIRECTION('',(0.E0,0.E0,1.E0)); +#17396=DIRECTION('',(1.E0,0.E0,0.E0)); +#17397=AXIS2_PLACEMENT_3D('',#17394,#17395,#17396); +#17398=CYLINDRICAL_SURFACE('',#17397,4.5E-1); +#17400=ORIENTED_EDGE('',*,*,#17399,.T.); +#17401=ORIENTED_EDGE('',*,*,#17389,.F.); +#17402=ORIENTED_EDGE('',*,*,#15891,.F.); +#17404=ORIENTED_EDGE('',*,*,#17403,.T.); +#17405=EDGE_LOOP('',(#17400,#17401,#17402,#17404)); +#17406=FACE_OUTER_BOUND('',#17405,.F.); +#17408=CARTESIAN_POINT('',(5.025E0,-7.85E0,-1.045E1)); +#17409=DIRECTION('',(7.071067811639E-1,7.071067812092E-1,0.E0)); +#17410=DIRECTION('',(-7.071067812092E-1,7.071067811639E-1,0.E0)); +#17411=AXIS2_PLACEMENT_3D('',#17408,#17409,#17410); +#17412=SPHERICAL_SURFACE('',#17411,4.5E-1); +#17413=ORIENTED_EDGE('',*,*,#17399,.F.); +#17415=ORIENTED_EDGE('',*,*,#17414,.F.); +#17416=ORIENTED_EDGE('',*,*,#17376,.T.); +#17417=EDGE_LOOP('',(#17413,#17415,#17416)); +#17418=FACE_OUTER_BOUND('',#17417,.F.); +#17420=CARTESIAN_POINT('',(5.596836158443E0,-7.85E0,-1.045E1)); +#17421=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17422=DIRECTION('',(0.E0,0.E0,1.E0)); +#17423=AXIS2_PLACEMENT_3D('',#17420,#17421,#17422); +#17424=CYLINDRICAL_SURFACE('',#17423,4.5E-1); +#17425=ORIENTED_EDGE('',*,*,#15473,.T.); +#17426=ORIENTED_EDGE('',*,*,#17414,.T.); +#17428=ORIENTED_EDGE('',*,*,#17427,.F.); +#17430=ORIENTED_EDGE('',*,*,#17429,.F.); +#17431=EDGE_LOOP('',(#17425,#17426,#17428,#17430)); +#17432=FACE_OUTER_BOUND('',#17431,.F.); +#17434=CARTESIAN_POINT('',(5.475E0,-7.4E0,-2.E1)); +#17435=DIRECTION('',(0.E0,1.E0,0.E0)); +#17436=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17437=AXIS2_PLACEMENT_3D('',#17434,#17435,#17436); +#17438=PLANE('',#17437); +#17439=ORIENTED_EDGE('',*,*,#17427,.T.); +#17440=ORIENTED_EDGE('',*,*,#17403,.F.); +#17441=ORIENTED_EDGE('',*,*,#15889,.F.); +#17443=ORIENTED_EDGE('',*,*,#17442,.T.); +#17444=EDGE_LOOP('',(#17439,#17440,#17441,#17443)); +#17445=FACE_OUTER_BOUND('',#17444,.F.); +#17447=CARTESIAN_POINT('',(5.475E0,-7.85E0,-2.E1)); +#17448=DIRECTION('',(0.E0,0.E0,1.E0)); +#17449=DIRECTION('',(1.E0,0.E0,0.E0)); +#17450=AXIS2_PLACEMENT_3D('',#17447,#17448,#17449); +#17451=CYLINDRICAL_SURFACE('',#17450,4.5E-1); +#17453=ORIENTED_EDGE('',*,*,#17452,.T.); +#17454=ORIENTED_EDGE('',*,*,#17442,.F.); +#17455=ORIENTED_EDGE('',*,*,#15887,.F.); +#17457=ORIENTED_EDGE('',*,*,#17456,.T.); +#17458=EDGE_LOOP('',(#17453,#17454,#17455,#17457)); +#17459=FACE_OUTER_BOUND('',#17458,.F.); +#17461=CARTESIAN_POINT('',(5.475E0,-7.85E0,-1.045E1)); +#17462=DIRECTION('',(7.071067812092E-1,-7.071067811639E-1,0.E0)); +#17463=DIRECTION('',(7.071067811639E-1,7.071067812092E-1,0.E0)); +#17464=AXIS2_PLACEMENT_3D('',#17461,#17462,#17463); +#17465=SPHERICAL_SURFACE('',#17464,4.5E-1); +#17466=ORIENTED_EDGE('',*,*,#17452,.F.); +#17468=ORIENTED_EDGE('',*,*,#17467,.F.); +#17469=ORIENTED_EDGE('',*,*,#17429,.T.); +#17470=EDGE_LOOP('',(#17466,#17468,#17469)); +#17471=FACE_OUTER_BOUND('',#17470,.F.); +#17473=CARTESIAN_POINT('',(5.475E0,-9.921836158443E0,-1.045E1)); +#17474=DIRECTION('',(0.E0,1.E0,0.E0)); +#17475=DIRECTION('',(0.E0,0.E0,1.E0)); +#17476=AXIS2_PLACEMENT_3D('',#17473,#17474,#17475); +#17477=CYLINDRICAL_SURFACE('',#17476,4.5E-1); +#17478=ORIENTED_EDGE('',*,*,#15475,.T.); +#17479=ORIENTED_EDGE('',*,*,#17467,.T.); +#17481=ORIENTED_EDGE('',*,*,#17480,.F.); +#17483=ORIENTED_EDGE('',*,*,#17482,.F.); +#17484=EDGE_LOOP('',(#17478,#17479,#17481,#17483)); +#17485=FACE_OUTER_BOUND('',#17484,.F.); +#17487=CARTESIAN_POINT('',(5.925E0,-9.8E0,-2.E1)); +#17488=DIRECTION('',(1.E0,0.E0,0.E0)); +#17489=DIRECTION('',(0.E0,1.E0,0.E0)); +#17490=AXIS2_PLACEMENT_3D('',#17487,#17488,#17489); +#17491=PLANE('',#17490); +#17492=ORIENTED_EDGE('',*,*,#17480,.T.); +#17493=ORIENTED_EDGE('',*,*,#17456,.F.); +#17494=ORIENTED_EDGE('',*,*,#15885,.F.); +#17496=ORIENTED_EDGE('',*,*,#17495,.T.); +#17497=EDGE_LOOP('',(#17492,#17493,#17494,#17496)); +#17498=FACE_OUTER_BOUND('',#17497,.F.); +#17500=CARTESIAN_POINT('',(6.425E0,-9.8E0,-2.E1)); +#17501=DIRECTION('',(0.E0,0.E0,1.E0)); +#17502=DIRECTION('',(1.E0,0.E0,0.E0)); +#17503=AXIS2_PLACEMENT_3D('',#17500,#17501,#17502); +#17504=CYLINDRICAL_SURFACE('',#17503,5.E-1); +#17506=ORIENTED_EDGE('',*,*,#17505,.T.); +#17507=ORIENTED_EDGE('',*,*,#17495,.F.); +#17508=ORIENTED_EDGE('',*,*,#15883,.T.); +#17509=ORIENTED_EDGE('',*,*,#17259,.T.); +#17510=EDGE_LOOP('',(#17506,#17507,#17508,#17509)); +#17511=FACE_OUTER_BOUND('',#17510,.F.); +#17513=CARTESIAN_POINT('',(6.425E0,-9.8E0,-1.045E1)); +#17514=DIRECTION('',(0.E0,0.E0,1.E0)); +#17515=DIRECTION('',(7.077664394356E-1,7.064465069690E-1,0.E0)); +#17516=AXIS2_PLACEMENT_3D('',#17513,#17514,#17515); +#17517=TOROIDAL_SURFACE('',#17516,9.5E-1,4.5E-1); +#17518=ORIENTED_EDGE('',*,*,#15477,.T.); +#17519=ORIENTED_EDGE('',*,*,#17482,.T.); +#17520=ORIENTED_EDGE('',*,*,#17505,.F.); +#17521=ORIENTED_EDGE('',*,*,#17257,.T.); +#17522=EDGE_LOOP('',(#17518,#17519,#17520,#17521)); +#17523=FACE_OUTER_BOUND('',#17522,.F.); +#17525=CARTESIAN_POINT('',(7.75E0,-9.8E0,-2.E1)); +#17526=DIRECTION('',(0.E0,0.E0,1.E0)); +#17527=DIRECTION('',(1.E0,0.E0,0.E0)); +#17528=AXIS2_PLACEMENT_3D('',#17525,#17526,#17527); +#17529=CYLINDRICAL_SURFACE('',#17528,5.E-1); +#17531=ORIENTED_EDGE('',*,*,#17530,.T.); +#17532=ORIENTED_EDGE('',*,*,#17262,.F.); +#17533=ORIENTED_EDGE('',*,*,#15879,.T.); +#17535=ORIENTED_EDGE('',*,*,#17534,.T.); +#17536=EDGE_LOOP('',(#17531,#17532,#17533,#17535)); +#17537=FACE_OUTER_BOUND('',#17536,.F.); +#17539=CARTESIAN_POINT('',(7.75E0,-9.8E0,-1.045E1)); +#17540=DIRECTION('',(0.E0,0.E0,1.E0)); +#17541=DIRECTION('',(9.418327390805E-1,3.360819715429E-1,0.E0)); +#17542=AXIS2_PLACEMENT_3D('',#17539,#17540,#17541); +#17543=TOROIDAL_SURFACE('',#17542,9.5E-1,4.5E-1); +#17544=ORIENTED_EDGE('',*,*,#17530,.F.); +#17546=ORIENTED_EDGE('',*,*,#17545,.F.); +#17547=ORIENTED_EDGE('',*,*,#15332,.T.); +#17548=ORIENTED_EDGE('',*,*,#17264,.T.); +#17549=EDGE_LOOP('',(#17544,#17546,#17547,#17548)); +#17550=FACE_OUTER_BOUND('',#17549,.F.); +#17552=CARTESIAN_POINT('',(8.7E0,-8.929359252635E0,-1.045E1)); +#17553=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17554=DIRECTION('',(0.E0,0.E0,1.E0)); +#17555=AXIS2_PLACEMENT_3D('',#17552,#17553,#17554); +#17556=CYLINDRICAL_SURFACE('',#17555,4.5E-1); +#17557=ORIENTED_EDGE('',*,*,#15334,.T.); +#17558=ORIENTED_EDGE('',*,*,#17545,.T.); +#17560=ORIENTED_EDGE('',*,*,#17559,.F.); +#17562=ORIENTED_EDGE('',*,*,#17561,.F.); +#17563=EDGE_LOOP('',(#17557,#17558,#17560,#17562)); +#17564=FACE_OUTER_BOUND('',#17563,.F.); +#17566=CARTESIAN_POINT('',(8.25E0,-9.05E0,-2.E1)); +#17567=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17568=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17569=AXIS2_PLACEMENT_3D('',#17566,#17567,#17568); +#17570=PLANE('',#17569); +#17571=ORIENTED_EDGE('',*,*,#17559,.T.); +#17572=ORIENTED_EDGE('',*,*,#17534,.F.); +#17573=ORIENTED_EDGE('',*,*,#15877,.F.); +#17575=ORIENTED_EDGE('',*,*,#17574,.T.); +#17576=EDGE_LOOP('',(#17571,#17572,#17573,#17575)); +#17577=FACE_OUTER_BOUND('',#17576,.F.); +#17579=CARTESIAN_POINT('',(8.7E0,-9.05E0,-2.E1)); +#17580=DIRECTION('',(0.E0,0.E0,1.E0)); +#17581=DIRECTION('',(1.E0,0.E0,0.E0)); +#17582=AXIS2_PLACEMENT_3D('',#17579,#17580,#17581); +#17583=CYLINDRICAL_SURFACE('',#17582,4.5E-1); +#17585=ORIENTED_EDGE('',*,*,#17584,.T.); +#17586=ORIENTED_EDGE('',*,*,#17574,.F.); +#17587=ORIENTED_EDGE('',*,*,#15875,.F.); +#17589=ORIENTED_EDGE('',*,*,#17588,.T.); +#17590=EDGE_LOOP('',(#17585,#17586,#17587,#17589)); +#17591=FACE_OUTER_BOUND('',#17590,.F.); +#17593=CARTESIAN_POINT('',(8.7E0,-9.05E0,-1.045E1)); +#17594=DIRECTION('',(7.071067811649E-1,7.071067812082E-1,0.E0)); +#17595=DIRECTION('',(-7.071067812082E-1,7.071067811649E-1,0.E0)); +#17596=AXIS2_PLACEMENT_3D('',#17593,#17594,#17595); +#17597=SPHERICAL_SURFACE('',#17596,4.5E-1); +#17598=ORIENTED_EDGE('',*,*,#17584,.F.); +#17600=ORIENTED_EDGE('',*,*,#17599,.F.); +#17601=ORIENTED_EDGE('',*,*,#17561,.T.); +#17602=EDGE_LOOP('',(#17598,#17600,#17601)); +#17603=FACE_OUTER_BOUND('',#17602,.F.); +#17605=CARTESIAN_POINT('',(8.920640747365E0,-9.05E0,-1.045E1)); +#17606=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17607=DIRECTION('',(0.E0,0.E0,1.E0)); +#17608=AXIS2_PLACEMENT_3D('',#17605,#17606,#17607); +#17609=CYLINDRICAL_SURFACE('',#17608,4.5E-1); +#17610=ORIENTED_EDGE('',*,*,#15336,.T.); +#17611=ORIENTED_EDGE('',*,*,#17599,.T.); +#17613=ORIENTED_EDGE('',*,*,#17612,.F.); +#17615=ORIENTED_EDGE('',*,*,#17614,.F.); +#17616=EDGE_LOOP('',(#17610,#17611,#17613,#17615)); +#17617=FACE_OUTER_BOUND('',#17616,.F.); +#17619=CARTESIAN_POINT('',(8.8E0,-8.6E0,-2.E1)); +#17620=DIRECTION('',(0.E0,1.E0,0.E0)); +#17621=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17622=AXIS2_PLACEMENT_3D('',#17619,#17620,#17621); +#17623=PLANE('',#17622); +#17624=ORIENTED_EDGE('',*,*,#17612,.T.); +#17625=ORIENTED_EDGE('',*,*,#17588,.F.); +#17626=ORIENTED_EDGE('',*,*,#15873,.F.); +#17628=ORIENTED_EDGE('',*,*,#17627,.T.); +#17629=EDGE_LOOP('',(#17624,#17625,#17626,#17628)); +#17630=FACE_OUTER_BOUND('',#17629,.F.); +#17632=CARTESIAN_POINT('',(8.8E0,-9.05E0,-2.E1)); +#17633=DIRECTION('',(0.E0,0.E0,1.E0)); +#17634=DIRECTION('',(1.E0,0.E0,0.E0)); +#17635=AXIS2_PLACEMENT_3D('',#17632,#17633,#17634); +#17636=CYLINDRICAL_SURFACE('',#17635,4.5E-1); +#17638=ORIENTED_EDGE('',*,*,#17637,.T.); +#17639=ORIENTED_EDGE('',*,*,#17627,.F.); +#17640=ORIENTED_EDGE('',*,*,#15871,.F.); +#17642=ORIENTED_EDGE('',*,*,#17641,.T.); +#17643=EDGE_LOOP('',(#17638,#17639,#17640,#17642)); +#17644=FACE_OUTER_BOUND('',#17643,.F.); +#17646=CARTESIAN_POINT('',(8.8E0,-9.05E0,-1.045E1)); +#17647=DIRECTION('',(7.071067812081E-1,-7.071067811649E-1,0.E0)); +#17648=DIRECTION('',(7.071067811649E-1,7.071067812081E-1,0.E0)); +#17649=AXIS2_PLACEMENT_3D('',#17646,#17647,#17648); +#17650=SPHERICAL_SURFACE('',#17649,4.5E-1); +#17651=ORIENTED_EDGE('',*,*,#17637,.F.); +#17653=ORIENTED_EDGE('',*,*,#17652,.F.); +#17654=ORIENTED_EDGE('',*,*,#17614,.T.); +#17655=EDGE_LOOP('',(#17651,#17653,#17654)); +#17656=FACE_OUTER_BOUND('',#17655,.F.); +#17658=CARTESIAN_POINT('',(8.8E0,-9.920640747365E0,-1.045E1)); +#17659=DIRECTION('',(0.E0,1.E0,0.E0)); +#17660=DIRECTION('',(0.E0,0.E0,1.E0)); +#17661=AXIS2_PLACEMENT_3D('',#17658,#17659,#17660); +#17662=CYLINDRICAL_SURFACE('',#17661,4.5E-1); +#17663=ORIENTED_EDGE('',*,*,#15338,.T.); +#17664=ORIENTED_EDGE('',*,*,#17652,.T.); +#17666=ORIENTED_EDGE('',*,*,#17665,.F.); +#17668=ORIENTED_EDGE('',*,*,#17667,.F.); +#17669=EDGE_LOOP('',(#17663,#17664,#17666,#17668)); +#17670=FACE_OUTER_BOUND('',#17669,.F.); +#17672=CARTESIAN_POINT('',(9.25E0,-9.8E0,-2.E1)); +#17673=DIRECTION('',(1.E0,0.E0,0.E0)); +#17674=DIRECTION('',(0.E0,1.E0,0.E0)); +#17675=AXIS2_PLACEMENT_3D('',#17672,#17673,#17674); +#17676=PLANE('',#17675); +#17677=ORIENTED_EDGE('',*,*,#17665,.T.); +#17678=ORIENTED_EDGE('',*,*,#17641,.F.); +#17679=ORIENTED_EDGE('',*,*,#15869,.F.); +#17681=ORIENTED_EDGE('',*,*,#17680,.T.); +#17682=EDGE_LOOP('',(#17677,#17678,#17679,#17681)); +#17683=FACE_OUTER_BOUND('',#17682,.F.); +#17685=CARTESIAN_POINT('',(9.75E0,-9.8E0,-2.E1)); +#17686=DIRECTION('',(0.E0,0.E0,1.E0)); +#17687=DIRECTION('',(1.E0,0.E0,0.E0)); +#17688=AXIS2_PLACEMENT_3D('',#17685,#17686,#17687); +#17689=CYLINDRICAL_SURFACE('',#17688,5.E-1); +#17691=ORIENTED_EDGE('',*,*,#17690,.T.); +#17692=ORIENTED_EDGE('',*,*,#17680,.F.); +#17693=ORIENTED_EDGE('',*,*,#15867,.T.); +#17694=ORIENTED_EDGE('',*,*,#17269,.T.); +#17695=EDGE_LOOP('',(#17691,#17692,#17693,#17694)); +#17696=FACE_OUTER_BOUND('',#17695,.F.); +#17698=CARTESIAN_POINT('',(9.75E0,-9.8E0,-1.045E1)); +#17699=DIRECTION('',(0.E0,0.E0,1.E0)); +#17700=DIRECTION('',(7.077664394356E-1,7.064465069690E-1,0.E0)); +#17701=AXIS2_PLACEMENT_3D('',#17698,#17699,#17700); +#17702=TOROIDAL_SURFACE('',#17701,9.5E-1,4.5E-1); +#17703=ORIENTED_EDGE('',*,*,#15340,.T.); +#17704=ORIENTED_EDGE('',*,*,#17667,.T.); +#17705=ORIENTED_EDGE('',*,*,#17690,.F.); +#17706=ORIENTED_EDGE('',*,*,#17267,.T.); +#17707=EDGE_LOOP('',(#17703,#17704,#17705,#17706)); +#17708=FACE_OUTER_BOUND('',#17707,.F.); +#17710=CARTESIAN_POINT('',(1.125E1,-9.8E0,-2.E1)); +#17711=DIRECTION('',(0.E0,0.E0,1.E0)); +#17712=DIRECTION('',(1.E0,0.E0,0.E0)); +#17713=AXIS2_PLACEMENT_3D('',#17710,#17711,#17712); +#17714=CYLINDRICAL_SURFACE('',#17713,5.E-1); +#17716=ORIENTED_EDGE('',*,*,#17715,.T.); +#17717=ORIENTED_EDGE('',*,*,#17272,.F.); +#17718=ORIENTED_EDGE('',*,*,#15863,.T.); +#17720=ORIENTED_EDGE('',*,*,#17719,.T.); +#17721=EDGE_LOOP('',(#17716,#17717,#17718,#17720)); +#17722=FACE_OUTER_BOUND('',#17721,.F.); +#17724=CARTESIAN_POINT('',(1.125E1,-9.8E0,-1.045E1)); +#17725=DIRECTION('',(0.E0,0.E0,1.E0)); +#17726=DIRECTION('',(9.418327390805E-1,3.360819715429E-1,0.E0)); +#17727=AXIS2_PLACEMENT_3D('',#17724,#17725,#17726); +#17728=TOROIDAL_SURFACE('',#17727,9.5E-1,4.5E-1); +#17729=ORIENTED_EDGE('',*,*,#17715,.F.); +#17731=ORIENTED_EDGE('',*,*,#17730,.F.); +#17732=ORIENTED_EDGE('',*,*,#15352,.T.); +#17733=ORIENTED_EDGE('',*,*,#17274,.T.); +#17734=EDGE_LOOP('',(#17729,#17731,#17732,#17733)); +#17735=FACE_OUTER_BOUND('',#17734,.F.); +#17737=CARTESIAN_POINT('',(1.22E1,-8.929359252635E0,-1.045E1)); +#17738=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17739=DIRECTION('',(0.E0,0.E0,1.E0)); +#17740=AXIS2_PLACEMENT_3D('',#17737,#17738,#17739); +#17741=CYLINDRICAL_SURFACE('',#17740,4.5E-1); +#17742=ORIENTED_EDGE('',*,*,#15354,.T.); +#17743=ORIENTED_EDGE('',*,*,#17730,.T.); +#17745=ORIENTED_EDGE('',*,*,#17744,.F.); +#17747=ORIENTED_EDGE('',*,*,#17746,.F.); +#17748=EDGE_LOOP('',(#17742,#17743,#17745,#17747)); +#17749=FACE_OUTER_BOUND('',#17748,.F.); +#17751=CARTESIAN_POINT('',(1.175E1,-9.05E0,-2.E1)); +#17752=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17753=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17754=AXIS2_PLACEMENT_3D('',#17751,#17752,#17753); +#17755=PLANE('',#17754); +#17756=ORIENTED_EDGE('',*,*,#17744,.T.); +#17757=ORIENTED_EDGE('',*,*,#17719,.F.); +#17758=ORIENTED_EDGE('',*,*,#15861,.F.); +#17760=ORIENTED_EDGE('',*,*,#17759,.T.); +#17761=EDGE_LOOP('',(#17756,#17757,#17758,#17760)); +#17762=FACE_OUTER_BOUND('',#17761,.F.); +#17764=CARTESIAN_POINT('',(1.22E1,-9.05E0,-2.E1)); +#17765=DIRECTION('',(0.E0,0.E0,1.E0)); +#17766=DIRECTION('',(1.E0,0.E0,0.E0)); +#17767=AXIS2_PLACEMENT_3D('',#17764,#17765,#17766); +#17768=CYLINDRICAL_SURFACE('',#17767,4.5E-1); +#17770=ORIENTED_EDGE('',*,*,#17769,.T.); +#17771=ORIENTED_EDGE('',*,*,#17759,.F.); +#17772=ORIENTED_EDGE('',*,*,#15859,.F.); +#17774=ORIENTED_EDGE('',*,*,#17773,.T.); +#17775=EDGE_LOOP('',(#17770,#17771,#17772,#17774)); +#17776=FACE_OUTER_BOUND('',#17775,.F.); +#17778=CARTESIAN_POINT('',(1.22E1,-9.05E0,-1.045E1)); +#17779=DIRECTION('',(7.071067811649E-1,7.071067812082E-1,0.E0)); +#17780=DIRECTION('',(-7.071067812082E-1,7.071067811649E-1,0.E0)); +#17781=AXIS2_PLACEMENT_3D('',#17778,#17779,#17780); +#17782=SPHERICAL_SURFACE('',#17781,4.5E-1); +#17783=ORIENTED_EDGE('',*,*,#17769,.F.); +#17785=ORIENTED_EDGE('',*,*,#17784,.F.); +#17786=ORIENTED_EDGE('',*,*,#17746,.T.); +#17787=EDGE_LOOP('',(#17783,#17785,#17786)); +#17788=FACE_OUTER_BOUND('',#17787,.F.); +#17790=CARTESIAN_POINT('',(1.242064074737E1,-9.05E0,-1.045E1)); +#17791=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17792=DIRECTION('',(0.E0,0.E0,1.E0)); +#17793=AXIS2_PLACEMENT_3D('',#17790,#17791,#17792); +#17794=CYLINDRICAL_SURFACE('',#17793,4.5E-1); +#17795=ORIENTED_EDGE('',*,*,#15356,.T.); +#17796=ORIENTED_EDGE('',*,*,#17784,.T.); +#17798=ORIENTED_EDGE('',*,*,#17797,.F.); +#17800=ORIENTED_EDGE('',*,*,#17799,.F.); +#17801=EDGE_LOOP('',(#17795,#17796,#17798,#17800)); +#17802=FACE_OUTER_BOUND('',#17801,.F.); +#17804=CARTESIAN_POINT('',(1.23E1,-8.6E0,-2.E1)); +#17805=DIRECTION('',(0.E0,1.E0,0.E0)); +#17806=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17807=AXIS2_PLACEMENT_3D('',#17804,#17805,#17806); +#17808=PLANE('',#17807); +#17809=ORIENTED_EDGE('',*,*,#17797,.T.); +#17810=ORIENTED_EDGE('',*,*,#17773,.F.); +#17811=ORIENTED_EDGE('',*,*,#15857,.F.); +#17813=ORIENTED_EDGE('',*,*,#17812,.T.); +#17814=EDGE_LOOP('',(#17809,#17810,#17811,#17813)); +#17815=FACE_OUTER_BOUND('',#17814,.F.); +#17817=CARTESIAN_POINT('',(1.23E1,-9.05E0,-2.E1)); +#17818=DIRECTION('',(0.E0,0.E0,1.E0)); +#17819=DIRECTION('',(1.E0,0.E0,0.E0)); +#17820=AXIS2_PLACEMENT_3D('',#17817,#17818,#17819); +#17821=CYLINDRICAL_SURFACE('',#17820,4.5E-1); +#17823=ORIENTED_EDGE('',*,*,#17822,.T.); +#17824=ORIENTED_EDGE('',*,*,#17812,.F.); +#17825=ORIENTED_EDGE('',*,*,#15855,.F.); +#17827=ORIENTED_EDGE('',*,*,#17826,.T.); +#17828=EDGE_LOOP('',(#17823,#17824,#17825,#17827)); +#17829=FACE_OUTER_BOUND('',#17828,.F.); +#17831=CARTESIAN_POINT('',(1.23E1,-9.05E0,-1.045E1)); +#17832=DIRECTION('',(7.071067812081E-1,-7.071067811649E-1,0.E0)); +#17833=DIRECTION('',(7.071067811649E-1,7.071067812081E-1,0.E0)); +#17834=AXIS2_PLACEMENT_3D('',#17831,#17832,#17833); +#17835=SPHERICAL_SURFACE('',#17834,4.5E-1); +#17836=ORIENTED_EDGE('',*,*,#17822,.F.); +#17838=ORIENTED_EDGE('',*,*,#17837,.F.); +#17839=ORIENTED_EDGE('',*,*,#17799,.T.); +#17840=EDGE_LOOP('',(#17836,#17838,#17839)); +#17841=FACE_OUTER_BOUND('',#17840,.F.); +#17843=CARTESIAN_POINT('',(1.23E1,-9.920640747365E0,-1.045E1)); +#17844=DIRECTION('',(0.E0,1.E0,0.E0)); +#17845=DIRECTION('',(0.E0,0.E0,1.E0)); +#17846=AXIS2_PLACEMENT_3D('',#17843,#17844,#17845); +#17847=CYLINDRICAL_SURFACE('',#17846,4.5E-1); +#17848=ORIENTED_EDGE('',*,*,#15358,.T.); +#17849=ORIENTED_EDGE('',*,*,#17837,.T.); +#17851=ORIENTED_EDGE('',*,*,#17850,.F.); +#17853=ORIENTED_EDGE('',*,*,#17852,.F.); +#17854=EDGE_LOOP('',(#17848,#17849,#17851,#17853)); +#17855=FACE_OUTER_BOUND('',#17854,.F.); +#17857=CARTESIAN_POINT('',(1.275E1,-9.8E0,-2.E1)); +#17858=DIRECTION('',(1.E0,0.E0,0.E0)); +#17859=DIRECTION('',(0.E0,1.E0,0.E0)); +#17860=AXIS2_PLACEMENT_3D('',#17857,#17858,#17859); +#17861=PLANE('',#17860); +#17862=ORIENTED_EDGE('',*,*,#17850,.T.); +#17863=ORIENTED_EDGE('',*,*,#17826,.F.); +#17864=ORIENTED_EDGE('',*,*,#15853,.F.); +#17866=ORIENTED_EDGE('',*,*,#17865,.T.); +#17867=EDGE_LOOP('',(#17862,#17863,#17864,#17866)); +#17868=FACE_OUTER_BOUND('',#17867,.F.); +#17870=CARTESIAN_POINT('',(1.325E1,-9.8E0,-2.E1)); +#17871=DIRECTION('',(0.E0,0.E0,1.E0)); +#17872=DIRECTION('',(1.E0,0.E0,0.E0)); +#17873=AXIS2_PLACEMENT_3D('',#17870,#17871,#17872); +#17874=CYLINDRICAL_SURFACE('',#17873,5.E-1); +#17876=ORIENTED_EDGE('',*,*,#17875,.T.); +#17877=ORIENTED_EDGE('',*,*,#17865,.F.); +#17878=ORIENTED_EDGE('',*,*,#15851,.T.); +#17879=ORIENTED_EDGE('',*,*,#17279,.T.); +#17880=EDGE_LOOP('',(#17876,#17877,#17878,#17879)); +#17881=FACE_OUTER_BOUND('',#17880,.F.); +#17883=CARTESIAN_POINT('',(1.325E1,-9.8E0,-1.045E1)); +#17884=DIRECTION('',(0.E0,0.E0,1.E0)); +#17885=DIRECTION('',(7.077664394356E-1,7.064465069690E-1,0.E0)); +#17886=AXIS2_PLACEMENT_3D('',#17883,#17884,#17885); +#17887=TOROIDAL_SURFACE('',#17886,9.5E-1,4.5E-1); +#17888=ORIENTED_EDGE('',*,*,#15360,.T.); +#17889=ORIENTED_EDGE('',*,*,#17852,.T.); +#17890=ORIENTED_EDGE('',*,*,#17875,.F.); +#17891=ORIENTED_EDGE('',*,*,#17277,.T.); +#17892=EDGE_LOOP('',(#17888,#17889,#17890,#17891)); +#17893=FACE_OUTER_BOUND('',#17892,.F.); +#17895=CARTESIAN_POINT('',(-1.55E1,-5.6E0,1.E0)); +#17896=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17897=DIRECTION('',(0.E0,1.E0,0.E0)); +#17898=AXIS2_PLACEMENT_3D('',#17895,#17896,#17897); +#17899=CYLINDRICAL_SURFACE('',#17898,4.7E0); +#17901=ORIENTED_EDGE('',*,*,#17900,.T.); +#17903=ORIENTED_EDGE('',*,*,#17902,.F.); +#17905=ORIENTED_EDGE('',*,*,#17904,.T.); +#17906=ORIENTED_EDGE('',*,*,#15979,.F.); +#17907=ORIENTED_EDGE('',*,*,#17284,.F.); +#17908=ORIENTED_EDGE('',*,*,#16741,.T.); +#17909=EDGE_LOOP('',(#17901,#17903,#17905,#17906,#17907,#17908)); +#17910=FACE_OUTER_BOUND('',#17909,.F.); +#17912=CARTESIAN_POINT('',(-2.02E1,-5.6E0,-2.E0)); +#17913=DIRECTION('',(1.E0,0.E0,0.E0)); +#17914=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17915=AXIS2_PLACEMENT_3D('',#17912,#17913,#17914); +#17916=PLANE('',#17915); +#17918=ORIENTED_EDGE('',*,*,#17917,.F.); +#17920=ORIENTED_EDGE('',*,*,#17919,.F.); +#17921=ORIENTED_EDGE('',*,*,#15382,.F.); +#17923=ORIENTED_EDGE('',*,*,#17922,.F.); +#17925=ORIENTED_EDGE('',*,*,#17924,.F.); +#17926=ORIENTED_EDGE('',*,*,#15995,.F.); +#17928=ORIENTED_EDGE('',*,*,#17927,.T.); +#17930=ORIENTED_EDGE('',*,*,#17929,.F.); +#17931=ORIENTED_EDGE('',*,*,#15402,.F.); +#17933=ORIENTED_EDGE('',*,*,#17932,.F.); +#17934=ORIENTED_EDGE('',*,*,#17900,.F.); +#17935=ORIENTED_EDGE('',*,*,#16727,.T.); +#17936=EDGE_LOOP('',(#17918,#17920,#17921,#17923,#17925,#17926,#17928,#17930, +#17931,#17933,#17934,#17935)); +#17937=FACE_OUTER_BOUND('',#17936,.F.); +#17939=CARTESIAN_POINT('',(-1.55E1,5.6E0,1.E0)); +#17940=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17941=DIRECTION('',(1.E0,0.E0,0.E0)); +#17942=AXIS2_PLACEMENT_3D('',#17939,#17940,#17941); +#17943=CYLINDRICAL_SURFACE('',#17942,4.7E0); +#17945=ORIENTED_EDGE('',*,*,#17944,.F.); +#17947=ORIENTED_EDGE('',*,*,#17946,.F.); +#17948=ORIENTED_EDGE('',*,*,#17917,.T.); +#17949=ORIENTED_EDGE('',*,*,#16713,.T.); +#17950=ORIENTED_EDGE('',*,*,#14991,.T.); +#17951=ORIENTED_EDGE('',*,*,#16011,.F.); +#17952=EDGE_LOOP('',(#17945,#17947,#17948,#17949,#17950,#17951)); +#17953=FACE_OUTER_BOUND('',#17952,.F.); +#17955=CARTESIAN_POINT('',(-1.97E1,5.7E0,-2.E1)); +#17956=DIRECTION('',(0.E0,0.E0,1.E0)); +#17957=DIRECTION('',(1.E0,0.E0,0.E0)); +#17958=AXIS2_PLACEMENT_3D('',#17955,#17956,#17957); +#17959=CYLINDRICAL_SURFACE('',#17958,5.E-1); +#17961=ORIENTED_EDGE('',*,*,#17960,.T.); +#17962=ORIENTED_EDGE('',*,*,#17944,.T.); +#17963=ORIENTED_EDGE('',*,*,#16009,.T.); +#17965=ORIENTED_EDGE('',*,*,#17964,.T.); +#17966=EDGE_LOOP('',(#17961,#17962,#17963,#17965)); +#17967=FACE_OUTER_BOUND('',#17966,.F.); +#17969=CARTESIAN_POINT('',(-1.97E1,5.7E0,-1.045E1)); +#17970=DIRECTION('',(0.E0,0.E0,1.E0)); +#17971=DIRECTION('',(3.360819715429E-1,-9.418327390805E-1,0.E0)); +#17972=AXIS2_PLACEMENT_3D('',#17969,#17970,#17971); +#17973=TOROIDAL_SURFACE('',#17972,9.5E-1,4.5E-1); +#17974=ORIENTED_EDGE('',*,*,#17960,.F.); +#17976=ORIENTED_EDGE('',*,*,#17975,.F.); +#17977=ORIENTED_EDGE('',*,*,#15372,.T.); +#17978=ORIENTED_EDGE('',*,*,#17919,.T.); +#17979=ORIENTED_EDGE('',*,*,#17946,.T.); +#17980=EDGE_LOOP('',(#17974,#17976,#17977,#17978,#17979)); +#17981=FACE_OUTER_BOUND('',#17980,.F.); +#17983=CARTESIAN_POINT('',(-1.882935925263E1,4.75E0,-1.045E1)); +#17984=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17985=DIRECTION('',(0.E0,0.E0,1.E0)); +#17986=AXIS2_PLACEMENT_3D('',#17983,#17984,#17985); +#17987=CYLINDRICAL_SURFACE('',#17986,4.5E-1); +#17988=ORIENTED_EDGE('',*,*,#15374,.T.); +#17989=ORIENTED_EDGE('',*,*,#17975,.T.); +#17991=ORIENTED_EDGE('',*,*,#17990,.F.); +#17993=ORIENTED_EDGE('',*,*,#17992,.F.); +#17994=EDGE_LOOP('',(#17988,#17989,#17991,#17993)); +#17995=FACE_OUTER_BOUND('',#17994,.F.); +#17997=CARTESIAN_POINT('',(-1.895E1,5.2E0,-2.E1)); +#17998=DIRECTION('',(0.E0,1.E0,0.E0)); +#17999=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18000=AXIS2_PLACEMENT_3D('',#17997,#17998,#17999); +#18001=PLANE('',#18000); +#18002=ORIENTED_EDGE('',*,*,#17990,.T.); +#18003=ORIENTED_EDGE('',*,*,#17964,.F.); +#18004=ORIENTED_EDGE('',*,*,#16007,.F.); +#18006=ORIENTED_EDGE('',*,*,#18005,.T.); +#18007=EDGE_LOOP('',(#18002,#18003,#18004,#18006)); +#18008=FACE_OUTER_BOUND('',#18007,.F.); +#18010=CARTESIAN_POINT('',(-1.895E1,4.75E0,-2.E1)); +#18011=DIRECTION('',(0.E0,0.E0,1.E0)); +#18012=DIRECTION('',(1.E0,0.E0,0.E0)); +#18013=AXIS2_PLACEMENT_3D('',#18010,#18011,#18012); +#18014=CYLINDRICAL_SURFACE('',#18013,4.5E-1); +#18016=ORIENTED_EDGE('',*,*,#18015,.T.); +#18017=ORIENTED_EDGE('',*,*,#18005,.F.); +#18018=ORIENTED_EDGE('',*,*,#16005,.F.); +#18020=ORIENTED_EDGE('',*,*,#18019,.T.); +#18021=EDGE_LOOP('',(#18016,#18017,#18018,#18020)); +#18022=FACE_OUTER_BOUND('',#18021,.F.); +#18024=CARTESIAN_POINT('',(-1.895E1,4.75E0,-1.045E1)); +#18025=DIRECTION('',(7.071067812082E-1,-7.071067811649E-1,0.E0)); +#18026=DIRECTION('',(7.071067811649E-1,7.071067812082E-1,0.E0)); +#18027=AXIS2_PLACEMENT_3D('',#18024,#18025,#18026); +#18028=SPHERICAL_SURFACE('',#18027,4.5E-1); +#18029=ORIENTED_EDGE('',*,*,#18015,.F.); +#18031=ORIENTED_EDGE('',*,*,#18030,.F.); +#18032=ORIENTED_EDGE('',*,*,#17992,.T.); +#18033=EDGE_LOOP('',(#18029,#18031,#18032)); +#18034=FACE_OUTER_BOUND('',#18033,.F.); +#18036=CARTESIAN_POINT('',(-1.895E1,4.529359252635E0,-1.045E1)); +#18037=DIRECTION('',(0.E0,1.E0,0.E0)); +#18038=DIRECTION('',(0.E0,0.E0,1.E0)); +#18039=AXIS2_PLACEMENT_3D('',#18036,#18037,#18038); +#18040=CYLINDRICAL_SURFACE('',#18039,4.5E-1); +#18041=ORIENTED_EDGE('',*,*,#15376,.T.); +#18042=ORIENTED_EDGE('',*,*,#18030,.T.); +#18044=ORIENTED_EDGE('',*,*,#18043,.F.); +#18046=ORIENTED_EDGE('',*,*,#18045,.F.); +#18047=EDGE_LOOP('',(#18041,#18042,#18044,#18046)); +#18048=FACE_OUTER_BOUND('',#18047,.F.); +#18050=CARTESIAN_POINT('',(-1.85E1,4.65E0,-2.E1)); +#18051=DIRECTION('',(1.E0,0.E0,0.E0)); +#18052=DIRECTION('',(0.E0,1.E0,0.E0)); +#18053=AXIS2_PLACEMENT_3D('',#18050,#18051,#18052); +#18054=PLANE('',#18053); +#18055=ORIENTED_EDGE('',*,*,#18043,.T.); +#18056=ORIENTED_EDGE('',*,*,#18019,.F.); +#18057=ORIENTED_EDGE('',*,*,#16003,.F.); +#18059=ORIENTED_EDGE('',*,*,#18058,.T.); +#18060=EDGE_LOOP('',(#18055,#18056,#18057,#18059)); +#18061=FACE_OUTER_BOUND('',#18060,.F.); +#18063=CARTESIAN_POINT('',(-1.895E1,4.65E0,-2.E1)); +#18064=DIRECTION('',(0.E0,0.E0,1.E0)); +#18065=DIRECTION('',(1.E0,0.E0,0.E0)); +#18066=AXIS2_PLACEMENT_3D('',#18063,#18064,#18065); +#18067=CYLINDRICAL_SURFACE('',#18066,4.5E-1); +#18069=ORIENTED_EDGE('',*,*,#18068,.T.); +#18070=ORIENTED_EDGE('',*,*,#18058,.F.); +#18071=ORIENTED_EDGE('',*,*,#16001,.F.); +#18073=ORIENTED_EDGE('',*,*,#18072,.T.); +#18074=EDGE_LOOP('',(#18069,#18070,#18071,#18073)); +#18075=FACE_OUTER_BOUND('',#18074,.F.); +#18077=CARTESIAN_POINT('',(-1.895E1,4.65E0,-1.045E1)); +#18078=DIRECTION('',(-7.071067811649E-1,-7.071067812082E-1,0.E0)); +#18079=DIRECTION('',(7.071067812082E-1,-7.071067811649E-1,0.E0)); +#18080=AXIS2_PLACEMENT_3D('',#18077,#18078,#18079); +#18081=SPHERICAL_SURFACE('',#18080,4.5E-1); +#18082=ORIENTED_EDGE('',*,*,#18068,.F.); +#18084=ORIENTED_EDGE('',*,*,#18083,.F.); +#18085=ORIENTED_EDGE('',*,*,#18045,.T.); +#18086=EDGE_LOOP('',(#18082,#18084,#18085)); +#18087=FACE_OUTER_BOUND('',#18086,.F.); +#18089=CARTESIAN_POINT('',(-1.982064074737E1,4.65E0,-1.045E1)); +#18090=DIRECTION('',(1.E0,0.E0,0.E0)); +#18091=DIRECTION('',(0.E0,0.E0,1.E0)); +#18092=AXIS2_PLACEMENT_3D('',#18089,#18090,#18091); +#18093=CYLINDRICAL_SURFACE('',#18092,4.5E-1); +#18094=ORIENTED_EDGE('',*,*,#15378,.T.); +#18095=ORIENTED_EDGE('',*,*,#18083,.T.); +#18097=ORIENTED_EDGE('',*,*,#18096,.F.); +#18099=ORIENTED_EDGE('',*,*,#18098,.F.); +#18100=EDGE_LOOP('',(#18094,#18095,#18097,#18099)); +#18101=FACE_OUTER_BOUND('',#18100,.F.); +#18103=CARTESIAN_POINT('',(-1.97E1,4.2E0,-2.E1)); +#18104=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18105=DIRECTION('',(1.E0,0.E0,0.E0)); +#18106=AXIS2_PLACEMENT_3D('',#18103,#18104,#18105); +#18107=PLANE('',#18106); +#18108=ORIENTED_EDGE('',*,*,#18096,.T.); +#18109=ORIENTED_EDGE('',*,*,#18072,.F.); +#18110=ORIENTED_EDGE('',*,*,#15999,.F.); +#18112=ORIENTED_EDGE('',*,*,#18111,.T.); +#18113=EDGE_LOOP('',(#18108,#18109,#18110,#18112)); +#18114=FACE_OUTER_BOUND('',#18113,.F.); +#18116=CARTESIAN_POINT('',(-1.97E1,3.7E0,-2.E1)); +#18117=DIRECTION('',(0.E0,0.E0,1.E0)); +#18118=DIRECTION('',(1.E0,0.E0,0.E0)); +#18119=AXIS2_PLACEMENT_3D('',#18116,#18117,#18118); +#18120=CYLINDRICAL_SURFACE('',#18119,5.E-1); +#18122=ORIENTED_EDGE('',*,*,#18121,.T.); +#18123=ORIENTED_EDGE('',*,*,#18111,.F.); +#18124=ORIENTED_EDGE('',*,*,#15997,.T.); +#18125=ORIENTED_EDGE('',*,*,#17924,.T.); +#18126=EDGE_LOOP('',(#18122,#18123,#18124,#18125)); +#18127=FACE_OUTER_BOUND('',#18126,.F.); +#18129=CARTESIAN_POINT('',(-1.97E1,3.7E0,-1.045E1)); +#18130=DIRECTION('',(0.E0,0.E0,1.E0)); +#18131=DIRECTION('',(7.064465069690E-1,-7.077664394356E-1,0.E0)); +#18132=AXIS2_PLACEMENT_3D('',#18129,#18130,#18131); +#18133=TOROIDAL_SURFACE('',#18132,9.5E-1,4.5E-1); +#18134=ORIENTED_EDGE('',*,*,#15380,.T.); +#18135=ORIENTED_EDGE('',*,*,#18098,.T.); +#18136=ORIENTED_EDGE('',*,*,#18121,.F.); +#18137=ORIENTED_EDGE('',*,*,#17922,.T.); +#18138=EDGE_LOOP('',(#18134,#18135,#18136,#18137)); +#18139=FACE_OUTER_BOUND('',#18138,.F.); +#18141=CARTESIAN_POINT('',(-1.97E1,-3.7E0,-2.E1)); +#18142=DIRECTION('',(0.E0,0.E0,1.E0)); +#18143=DIRECTION('',(1.E0,0.E0,0.E0)); +#18144=AXIS2_PLACEMENT_3D('',#18141,#18142,#18143); +#18145=CYLINDRICAL_SURFACE('',#18144,5.E-1); +#18147=ORIENTED_EDGE('',*,*,#18146,.T.); +#18148=ORIENTED_EDGE('',*,*,#17927,.F.); +#18149=ORIENTED_EDGE('',*,*,#15993,.T.); +#18151=ORIENTED_EDGE('',*,*,#18150,.T.); +#18152=EDGE_LOOP('',(#18147,#18148,#18149,#18151)); +#18153=FACE_OUTER_BOUND('',#18152,.F.); +#18155=CARTESIAN_POINT('',(-1.97E1,-3.7E0,-1.045E1)); +#18156=DIRECTION('',(0.E0,0.E0,1.E0)); +#18157=DIRECTION('',(3.360819715429E-1,-9.418327390805E-1,0.E0)); +#18158=AXIS2_PLACEMENT_3D('',#18155,#18156,#18157); +#18159=TOROIDAL_SURFACE('',#18158,9.5E-1,4.5E-1); +#18160=ORIENTED_EDGE('',*,*,#18146,.F.); +#18162=ORIENTED_EDGE('',*,*,#18161,.F.); +#18163=ORIENTED_EDGE('',*,*,#15392,.T.); +#18164=ORIENTED_EDGE('',*,*,#17929,.T.); +#18165=EDGE_LOOP('',(#18160,#18162,#18163,#18164)); +#18166=FACE_OUTER_BOUND('',#18165,.F.); +#18168=CARTESIAN_POINT('',(-1.882935925263E1,-4.65E0,-1.045E1)); +#18169=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18170=DIRECTION('',(0.E0,0.E0,1.E0)); +#18171=AXIS2_PLACEMENT_3D('',#18168,#18169,#18170); +#18172=CYLINDRICAL_SURFACE('',#18171,4.5E-1); +#18173=ORIENTED_EDGE('',*,*,#15394,.T.); +#18174=ORIENTED_EDGE('',*,*,#18161,.T.); +#18176=ORIENTED_EDGE('',*,*,#18175,.F.); +#18178=ORIENTED_EDGE('',*,*,#18177,.F.); +#18179=EDGE_LOOP('',(#18173,#18174,#18176,#18178)); +#18180=FACE_OUTER_BOUND('',#18179,.F.); +#18182=CARTESIAN_POINT('',(-1.895E1,-4.2E0,-2.E1)); +#18183=DIRECTION('',(0.E0,1.E0,0.E0)); +#18184=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18185=AXIS2_PLACEMENT_3D('',#18182,#18183,#18184); +#18186=PLANE('',#18185); +#18187=ORIENTED_EDGE('',*,*,#18175,.T.); +#18188=ORIENTED_EDGE('',*,*,#18150,.F.); +#18189=ORIENTED_EDGE('',*,*,#15991,.F.); +#18191=ORIENTED_EDGE('',*,*,#18190,.T.); +#18192=EDGE_LOOP('',(#18187,#18188,#18189,#18191)); +#18193=FACE_OUTER_BOUND('',#18192,.F.); +#18195=CARTESIAN_POINT('',(-1.895E1,-4.65E0,-2.E1)); +#18196=DIRECTION('',(0.E0,0.E0,1.E0)); +#18197=DIRECTION('',(1.E0,0.E0,0.E0)); +#18198=AXIS2_PLACEMENT_3D('',#18195,#18196,#18197); +#18199=CYLINDRICAL_SURFACE('',#18198,4.5E-1); +#18201=ORIENTED_EDGE('',*,*,#18200,.T.); +#18202=ORIENTED_EDGE('',*,*,#18190,.F.); +#18203=ORIENTED_EDGE('',*,*,#15989,.F.); +#18205=ORIENTED_EDGE('',*,*,#18204,.T.); +#18206=EDGE_LOOP('',(#18201,#18202,#18203,#18205)); +#18207=FACE_OUTER_BOUND('',#18206,.F.); +#18209=CARTESIAN_POINT('',(-1.895E1,-4.65E0,-1.045E1)); +#18210=DIRECTION('',(7.071067812081E-1,-7.071067811649E-1,0.E0)); +#18211=DIRECTION('',(7.071067811649E-1,7.071067812081E-1,0.E0)); +#18212=AXIS2_PLACEMENT_3D('',#18209,#18210,#18211); +#18213=SPHERICAL_SURFACE('',#18212,4.5E-1); +#18214=ORIENTED_EDGE('',*,*,#18200,.F.); +#18216=ORIENTED_EDGE('',*,*,#18215,.F.); +#18217=ORIENTED_EDGE('',*,*,#18177,.T.); +#18218=EDGE_LOOP('',(#18214,#18216,#18217)); +#18219=FACE_OUTER_BOUND('',#18218,.F.); +#18221=CARTESIAN_POINT('',(-1.895E1,-4.870640747365E0,-1.045E1)); +#18222=DIRECTION('',(0.E0,1.E0,0.E0)); +#18223=DIRECTION('',(0.E0,0.E0,1.E0)); +#18224=AXIS2_PLACEMENT_3D('',#18221,#18222,#18223); +#18225=CYLINDRICAL_SURFACE('',#18224,4.5E-1); +#18226=ORIENTED_EDGE('',*,*,#15396,.T.); +#18227=ORIENTED_EDGE('',*,*,#18215,.T.); +#18229=ORIENTED_EDGE('',*,*,#18228,.F.); +#18231=ORIENTED_EDGE('',*,*,#18230,.F.); +#18232=EDGE_LOOP('',(#18226,#18227,#18229,#18231)); +#18233=FACE_OUTER_BOUND('',#18232,.F.); +#18235=CARTESIAN_POINT('',(-1.85E1,-4.75E0,-2.E1)); +#18236=DIRECTION('',(1.E0,0.E0,0.E0)); +#18237=DIRECTION('',(0.E0,1.E0,0.E0)); +#18238=AXIS2_PLACEMENT_3D('',#18235,#18236,#18237); +#18239=PLANE('',#18238); +#18240=ORIENTED_EDGE('',*,*,#18228,.T.); +#18241=ORIENTED_EDGE('',*,*,#18204,.F.); +#18242=ORIENTED_EDGE('',*,*,#15987,.F.); +#18244=ORIENTED_EDGE('',*,*,#18243,.T.); +#18245=EDGE_LOOP('',(#18240,#18241,#18242,#18244)); +#18246=FACE_OUTER_BOUND('',#18245,.F.); +#18248=CARTESIAN_POINT('',(-1.895E1,-4.75E0,-2.E1)); +#18249=DIRECTION('',(0.E0,0.E0,1.E0)); +#18250=DIRECTION('',(1.E0,0.E0,0.E0)); +#18251=AXIS2_PLACEMENT_3D('',#18248,#18249,#18250); +#18252=CYLINDRICAL_SURFACE('',#18251,4.5E-1); +#18254=ORIENTED_EDGE('',*,*,#18253,.T.); +#18255=ORIENTED_EDGE('',*,*,#18243,.F.); +#18256=ORIENTED_EDGE('',*,*,#15985,.F.); +#18258=ORIENTED_EDGE('',*,*,#18257,.T.); +#18259=EDGE_LOOP('',(#18254,#18255,#18256,#18258)); +#18260=FACE_OUTER_BOUND('',#18259,.F.); +#18262=CARTESIAN_POINT('',(-1.895E1,-4.75E0,-1.045E1)); +#18263=DIRECTION('',(-7.071067811649E-1,-7.071067812082E-1,0.E0)); +#18264=DIRECTION('',(7.071067812082E-1,-7.071067811649E-1,0.E0)); +#18265=AXIS2_PLACEMENT_3D('',#18262,#18263,#18264); +#18266=SPHERICAL_SURFACE('',#18265,4.5E-1); +#18267=ORIENTED_EDGE('',*,*,#18253,.F.); +#18269=ORIENTED_EDGE('',*,*,#18268,.F.); +#18270=ORIENTED_EDGE('',*,*,#18230,.T.); +#18271=EDGE_LOOP('',(#18267,#18269,#18270)); +#18272=FACE_OUTER_BOUND('',#18271,.F.); +#18274=CARTESIAN_POINT('',(-1.982064074737E1,-4.75E0,-1.045E1)); +#18275=DIRECTION('',(1.E0,0.E0,0.E0)); +#18276=DIRECTION('',(0.E0,0.E0,1.E0)); +#18277=AXIS2_PLACEMENT_3D('',#18274,#18275,#18276); +#18278=CYLINDRICAL_SURFACE('',#18277,4.5E-1); +#18279=ORIENTED_EDGE('',*,*,#15398,.T.); +#18280=ORIENTED_EDGE('',*,*,#18268,.T.); +#18282=ORIENTED_EDGE('',*,*,#18281,.F.); +#18284=ORIENTED_EDGE('',*,*,#18283,.F.); +#18285=EDGE_LOOP('',(#18279,#18280,#18282,#18284)); +#18286=FACE_OUTER_BOUND('',#18285,.F.); +#18288=CARTESIAN_POINT('',(-1.97E1,-5.2E0,-2.E1)); +#18289=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18290=DIRECTION('',(1.E0,0.E0,0.E0)); +#18291=AXIS2_PLACEMENT_3D('',#18288,#18289,#18290); +#18292=PLANE('',#18291); +#18293=ORIENTED_EDGE('',*,*,#18281,.T.); +#18294=ORIENTED_EDGE('',*,*,#18257,.F.); +#18295=ORIENTED_EDGE('',*,*,#15983,.F.); +#18297=ORIENTED_EDGE('',*,*,#18296,.T.); +#18298=EDGE_LOOP('',(#18293,#18294,#18295,#18297)); +#18299=FACE_OUTER_BOUND('',#18298,.F.); +#18301=CARTESIAN_POINT('',(-1.97E1,-5.7E0,-2.E1)); +#18302=DIRECTION('',(0.E0,0.E0,1.E0)); +#18303=DIRECTION('',(1.E0,0.E0,0.E0)); +#18304=AXIS2_PLACEMENT_3D('',#18301,#18302,#18303); +#18305=CYLINDRICAL_SURFACE('',#18304,5.E-1); +#18307=ORIENTED_EDGE('',*,*,#18306,.T.); +#18308=ORIENTED_EDGE('',*,*,#18296,.F.); +#18309=ORIENTED_EDGE('',*,*,#15981,.T.); +#18310=ORIENTED_EDGE('',*,*,#17904,.F.); +#18311=EDGE_LOOP('',(#18307,#18308,#18309,#18310)); +#18312=FACE_OUTER_BOUND('',#18311,.F.); +#18314=CARTESIAN_POINT('',(-1.97E1,-5.7E0,-1.045E1)); +#18315=DIRECTION('',(0.E0,0.E0,1.E0)); +#18316=DIRECTION('',(6.888238578352E-1,-7.249287502072E-1,0.E0)); +#18317=AXIS2_PLACEMENT_3D('',#18314,#18315,#18316); +#18318=TOROIDAL_SURFACE('',#18317,9.5E-1,4.5E-1); +#18319=ORIENTED_EDGE('',*,*,#15400,.T.); +#18320=ORIENTED_EDGE('',*,*,#18283,.T.); +#18321=ORIENTED_EDGE('',*,*,#18306,.F.); +#18322=ORIENTED_EDGE('',*,*,#17902,.T.); +#18323=ORIENTED_EDGE('',*,*,#17932,.T.); +#18324=EDGE_LOOP('',(#18319,#18320,#18321,#18322,#18323)); +#18325=FACE_OUTER_BOUND('',#18324,.F.); +#18327=CARTESIAN_POINT('',(-1.325E1,-9.8E0,-2.E1)); +#18328=DIRECTION('',(0.E0,0.E0,1.E0)); +#18329=DIRECTION('',(1.E0,0.E0,0.E0)); +#18330=AXIS2_PLACEMENT_3D('',#18327,#18328,#18329); +#18331=CYLINDRICAL_SURFACE('',#18330,5.E-1); +#18333=ORIENTED_EDGE('',*,*,#18332,.T.); +#18334=ORIENTED_EDGE('',*,*,#17287,.F.); +#18335=ORIENTED_EDGE('',*,*,#15975,.T.); +#18337=ORIENTED_EDGE('',*,*,#18336,.T.); +#18338=EDGE_LOOP('',(#18333,#18334,#18335,#18337)); +#18339=FACE_OUTER_BOUND('',#18338,.F.); +#18341=CARTESIAN_POINT('',(-1.325E1,-9.8E0,-1.045E1)); +#18342=DIRECTION('',(0.E0,0.E0,1.E0)); +#18343=DIRECTION('',(9.418327390805E-1,3.360819715429E-1,0.E0)); +#18344=AXIS2_PLACEMENT_3D('',#18341,#18342,#18343); +#18345=TOROIDAL_SURFACE('',#18344,9.5E-1,4.5E-1); +#18346=ORIENTED_EDGE('',*,*,#18332,.F.); +#18348=ORIENTED_EDGE('',*,*,#18347,.F.); +#18349=ORIENTED_EDGE('',*,*,#15232,.T.); +#18350=ORIENTED_EDGE('',*,*,#17289,.T.); +#18351=EDGE_LOOP('',(#18346,#18348,#18349,#18350)); +#18352=FACE_OUTER_BOUND('',#18351,.F.); +#18354=CARTESIAN_POINT('',(-1.23E1,-8.929359252635E0,-1.045E1)); +#18355=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18356=DIRECTION('',(0.E0,0.E0,1.E0)); +#18357=AXIS2_PLACEMENT_3D('',#18354,#18355,#18356); +#18358=CYLINDRICAL_SURFACE('',#18357,4.5E-1); +#18359=ORIENTED_EDGE('',*,*,#15234,.T.); +#18360=ORIENTED_EDGE('',*,*,#18347,.T.); +#18362=ORIENTED_EDGE('',*,*,#18361,.F.); +#18364=ORIENTED_EDGE('',*,*,#18363,.F.); +#18365=EDGE_LOOP('',(#18359,#18360,#18362,#18364)); +#18366=FACE_OUTER_BOUND('',#18365,.F.); +#18368=CARTESIAN_POINT('',(-1.275E1,-9.05E0,-2.E1)); +#18369=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18370=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18371=AXIS2_PLACEMENT_3D('',#18368,#18369,#18370); +#18372=PLANE('',#18371); +#18373=ORIENTED_EDGE('',*,*,#18361,.T.); +#18374=ORIENTED_EDGE('',*,*,#18336,.F.); +#18375=ORIENTED_EDGE('',*,*,#15973,.F.); +#18377=ORIENTED_EDGE('',*,*,#18376,.T.); +#18378=EDGE_LOOP('',(#18373,#18374,#18375,#18377)); +#18379=FACE_OUTER_BOUND('',#18378,.F.); +#18381=CARTESIAN_POINT('',(-1.23E1,-9.05E0,-2.E1)); +#18382=DIRECTION('',(0.E0,0.E0,1.E0)); +#18383=DIRECTION('',(1.E0,0.E0,0.E0)); +#18384=AXIS2_PLACEMENT_3D('',#18381,#18382,#18383); +#18385=CYLINDRICAL_SURFACE('',#18384,4.5E-1); +#18387=ORIENTED_EDGE('',*,*,#18386,.T.); +#18388=ORIENTED_EDGE('',*,*,#18376,.F.); +#18389=ORIENTED_EDGE('',*,*,#15971,.F.); +#18391=ORIENTED_EDGE('',*,*,#18390,.T.); +#18392=EDGE_LOOP('',(#18387,#18388,#18389,#18391)); +#18393=FACE_OUTER_BOUND('',#18392,.F.); +#18395=CARTESIAN_POINT('',(-1.23E1,-9.05E0,-1.045E1)); +#18396=DIRECTION('',(7.071067811649E-1,7.071067812082E-1,0.E0)); +#18397=DIRECTION('',(-7.071067812082E-1,7.071067811649E-1,0.E0)); +#18398=AXIS2_PLACEMENT_3D('',#18395,#18396,#18397); +#18399=SPHERICAL_SURFACE('',#18398,4.5E-1); +#18400=ORIENTED_EDGE('',*,*,#18386,.F.); +#18402=ORIENTED_EDGE('',*,*,#18401,.F.); +#18403=ORIENTED_EDGE('',*,*,#18363,.T.); +#18404=EDGE_LOOP('',(#18400,#18402,#18403)); +#18405=FACE_OUTER_BOUND('',#18404,.F.); +#18407=CARTESIAN_POINT('',(-1.207935925263E1,-9.05E0,-1.045E1)); +#18408=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18409=DIRECTION('',(0.E0,0.E0,1.E0)); +#18410=AXIS2_PLACEMENT_3D('',#18407,#18408,#18409); +#18411=CYLINDRICAL_SURFACE('',#18410,4.5E-1); +#18412=ORIENTED_EDGE('',*,*,#15236,.T.); +#18413=ORIENTED_EDGE('',*,*,#18401,.T.); +#18415=ORIENTED_EDGE('',*,*,#18414,.F.); +#18417=ORIENTED_EDGE('',*,*,#18416,.F.); +#18418=EDGE_LOOP('',(#18412,#18413,#18415,#18417)); +#18419=FACE_OUTER_BOUND('',#18418,.F.); +#18421=CARTESIAN_POINT('',(-1.22E1,-8.6E0,-2.E1)); +#18422=DIRECTION('',(0.E0,1.E0,0.E0)); +#18423=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18424=AXIS2_PLACEMENT_3D('',#18421,#18422,#18423); +#18425=PLANE('',#18424); +#18426=ORIENTED_EDGE('',*,*,#18414,.T.); +#18427=ORIENTED_EDGE('',*,*,#18390,.F.); +#18428=ORIENTED_EDGE('',*,*,#15969,.F.); +#18430=ORIENTED_EDGE('',*,*,#18429,.T.); +#18431=EDGE_LOOP('',(#18426,#18427,#18428,#18430)); +#18432=FACE_OUTER_BOUND('',#18431,.F.); +#18434=CARTESIAN_POINT('',(-1.22E1,-9.05E0,-2.E1)); +#18435=DIRECTION('',(0.E0,0.E0,1.E0)); +#18436=DIRECTION('',(1.E0,0.E0,0.E0)); +#18437=AXIS2_PLACEMENT_3D('',#18434,#18435,#18436); +#18438=CYLINDRICAL_SURFACE('',#18437,4.5E-1); +#18440=ORIENTED_EDGE('',*,*,#18439,.T.); +#18441=ORIENTED_EDGE('',*,*,#18429,.F.); +#18442=ORIENTED_EDGE('',*,*,#15967,.F.); +#18444=ORIENTED_EDGE('',*,*,#18443,.T.); +#18445=EDGE_LOOP('',(#18440,#18441,#18442,#18444)); +#18446=FACE_OUTER_BOUND('',#18445,.F.); +#18448=CARTESIAN_POINT('',(-1.22E1,-9.05E0,-1.045E1)); +#18449=DIRECTION('',(7.071067812082E-1,-7.071067811649E-1,0.E0)); +#18450=DIRECTION('',(7.071067811649E-1,7.071067812082E-1,0.E0)); +#18451=AXIS2_PLACEMENT_3D('',#18448,#18449,#18450); +#18452=SPHERICAL_SURFACE('',#18451,4.5E-1); +#18453=ORIENTED_EDGE('',*,*,#18439,.F.); +#18455=ORIENTED_EDGE('',*,*,#18454,.F.); +#18456=ORIENTED_EDGE('',*,*,#18416,.T.); +#18457=EDGE_LOOP('',(#18453,#18455,#18456)); +#18458=FACE_OUTER_BOUND('',#18457,.F.); +#18460=CARTESIAN_POINT('',(-1.22E1,-9.920640747365E0,-1.045E1)); +#18461=DIRECTION('',(0.E0,1.E0,0.E0)); +#18462=DIRECTION('',(0.E0,0.E0,1.E0)); +#18463=AXIS2_PLACEMENT_3D('',#18460,#18461,#18462); +#18464=CYLINDRICAL_SURFACE('',#18463,4.5E-1); +#18465=ORIENTED_EDGE('',*,*,#15238,.T.); +#18466=ORIENTED_EDGE('',*,*,#18454,.T.); +#18468=ORIENTED_EDGE('',*,*,#18467,.F.); +#18470=ORIENTED_EDGE('',*,*,#18469,.F.); +#18471=EDGE_LOOP('',(#18465,#18466,#18468,#18470)); +#18472=FACE_OUTER_BOUND('',#18471,.F.); +#18474=CARTESIAN_POINT('',(-1.175E1,-9.8E0,-2.E1)); +#18475=DIRECTION('',(1.E0,0.E0,0.E0)); +#18476=DIRECTION('',(0.E0,1.E0,0.E0)); +#18477=AXIS2_PLACEMENT_3D('',#18474,#18475,#18476); +#18478=PLANE('',#18477); +#18479=ORIENTED_EDGE('',*,*,#18467,.T.); +#18480=ORIENTED_EDGE('',*,*,#18443,.F.); +#18481=ORIENTED_EDGE('',*,*,#15965,.F.); +#18483=ORIENTED_EDGE('',*,*,#18482,.T.); +#18484=EDGE_LOOP('',(#18479,#18480,#18481,#18483)); +#18485=FACE_OUTER_BOUND('',#18484,.F.); +#18487=CARTESIAN_POINT('',(-1.125E1,-9.8E0,-2.E1)); +#18488=DIRECTION('',(0.E0,0.E0,1.E0)); +#18489=DIRECTION('',(1.E0,0.E0,0.E0)); +#18490=AXIS2_PLACEMENT_3D('',#18487,#18488,#18489); +#18491=CYLINDRICAL_SURFACE('',#18490,5.E-1); +#18493=ORIENTED_EDGE('',*,*,#18492,.T.); +#18494=ORIENTED_EDGE('',*,*,#18482,.F.); +#18495=ORIENTED_EDGE('',*,*,#15963,.T.); +#18496=ORIENTED_EDGE('',*,*,#17294,.T.); +#18497=EDGE_LOOP('',(#18493,#18494,#18495,#18496)); +#18498=FACE_OUTER_BOUND('',#18497,.F.); +#18500=CARTESIAN_POINT('',(-1.125E1,-9.8E0,-1.045E1)); +#18501=DIRECTION('',(0.E0,0.E0,1.E0)); +#18502=DIRECTION('',(7.077664394356E-1,7.064465069690E-1,0.E0)); +#18503=AXIS2_PLACEMENT_3D('',#18500,#18501,#18502); +#18504=TOROIDAL_SURFACE('',#18503,9.5E-1,4.5E-1); +#18505=ORIENTED_EDGE('',*,*,#15240,.T.); +#18506=ORIENTED_EDGE('',*,*,#18469,.T.); +#18507=ORIENTED_EDGE('',*,*,#18492,.F.); +#18508=ORIENTED_EDGE('',*,*,#17292,.T.); +#18509=EDGE_LOOP('',(#18505,#18506,#18507,#18508)); +#18510=FACE_OUTER_BOUND('',#18509,.F.); +#18512=CARTESIAN_POINT('',(-9.75E0,-9.8E0,-2.E1)); +#18513=DIRECTION('',(0.E0,0.E0,1.E0)); +#18514=DIRECTION('',(1.E0,0.E0,0.E0)); +#18515=AXIS2_PLACEMENT_3D('',#18512,#18513,#18514); +#18516=CYLINDRICAL_SURFACE('',#18515,5.E-1); +#18518=ORIENTED_EDGE('',*,*,#18517,.T.); +#18519=ORIENTED_EDGE('',*,*,#17297,.F.); +#18520=ORIENTED_EDGE('',*,*,#15959,.T.); +#18522=ORIENTED_EDGE('',*,*,#18521,.T.); +#18523=EDGE_LOOP('',(#18518,#18519,#18520,#18522)); +#18524=FACE_OUTER_BOUND('',#18523,.F.); +#18526=CARTESIAN_POINT('',(-9.75E0,-9.8E0,-1.045E1)); +#18527=DIRECTION('',(0.E0,0.E0,1.E0)); +#18528=DIRECTION('',(9.418327390805E-1,3.360819715429E-1,0.E0)); +#18529=AXIS2_PLACEMENT_3D('',#18526,#18527,#18528); +#18530=TOROIDAL_SURFACE('',#18529,9.5E-1,4.5E-1); +#18531=ORIENTED_EDGE('',*,*,#18517,.F.); +#18533=ORIENTED_EDGE('',*,*,#18532,.F.); +#18534=ORIENTED_EDGE('',*,*,#15252,.T.); +#18535=ORIENTED_EDGE('',*,*,#17299,.T.); +#18536=EDGE_LOOP('',(#18531,#18533,#18534,#18535)); +#18537=FACE_OUTER_BOUND('',#18536,.F.); +#18539=CARTESIAN_POINT('',(-8.8E0,-8.929359252635E0,-1.045E1)); +#18540=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18541=DIRECTION('',(0.E0,0.E0,1.E0)); +#18542=AXIS2_PLACEMENT_3D('',#18539,#18540,#18541); +#18543=CYLINDRICAL_SURFACE('',#18542,4.5E-1); +#18544=ORIENTED_EDGE('',*,*,#15254,.T.); +#18545=ORIENTED_EDGE('',*,*,#18532,.T.); +#18547=ORIENTED_EDGE('',*,*,#18546,.F.); +#18549=ORIENTED_EDGE('',*,*,#18548,.F.); +#18550=EDGE_LOOP('',(#18544,#18545,#18547,#18549)); +#18551=FACE_OUTER_BOUND('',#18550,.F.); +#18553=CARTESIAN_POINT('',(-9.25E0,-9.05E0,-2.E1)); +#18554=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18555=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18556=AXIS2_PLACEMENT_3D('',#18553,#18554,#18555); +#18557=PLANE('',#18556); +#18558=ORIENTED_EDGE('',*,*,#18546,.T.); +#18559=ORIENTED_EDGE('',*,*,#18521,.F.); +#18560=ORIENTED_EDGE('',*,*,#15957,.F.); +#18562=ORIENTED_EDGE('',*,*,#18561,.T.); +#18563=EDGE_LOOP('',(#18558,#18559,#18560,#18562)); +#18564=FACE_OUTER_BOUND('',#18563,.F.); +#18566=CARTESIAN_POINT('',(-8.8E0,-9.05E0,-2.E1)); +#18567=DIRECTION('',(0.E0,0.E0,1.E0)); +#18568=DIRECTION('',(1.E0,0.E0,0.E0)); +#18569=AXIS2_PLACEMENT_3D('',#18566,#18567,#18568); +#18570=CYLINDRICAL_SURFACE('',#18569,4.5E-1); +#18572=ORIENTED_EDGE('',*,*,#18571,.T.); +#18573=ORIENTED_EDGE('',*,*,#18561,.F.); +#18574=ORIENTED_EDGE('',*,*,#15955,.F.); +#18576=ORIENTED_EDGE('',*,*,#18575,.T.); +#18577=EDGE_LOOP('',(#18572,#18573,#18574,#18576)); +#18578=FACE_OUTER_BOUND('',#18577,.F.); +#18580=CARTESIAN_POINT('',(-8.8E0,-9.05E0,-1.045E1)); +#18581=DIRECTION('',(7.071067811649E-1,7.071067812082E-1,0.E0)); +#18582=DIRECTION('',(-7.071067812082E-1,7.071067811649E-1,0.E0)); +#18583=AXIS2_PLACEMENT_3D('',#18580,#18581,#18582); +#18584=SPHERICAL_SURFACE('',#18583,4.5E-1); +#18585=ORIENTED_EDGE('',*,*,#18571,.F.); +#18587=ORIENTED_EDGE('',*,*,#18586,.F.); +#18588=ORIENTED_EDGE('',*,*,#18548,.T.); +#18589=EDGE_LOOP('',(#18585,#18587,#18588)); +#18590=FACE_OUTER_BOUND('',#18589,.F.); +#18592=CARTESIAN_POINT('',(-8.579359252635E0,-9.05E0,-1.045E1)); +#18593=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18594=DIRECTION('',(0.E0,0.E0,1.E0)); +#18595=AXIS2_PLACEMENT_3D('',#18592,#18593,#18594); +#18596=CYLINDRICAL_SURFACE('',#18595,4.5E-1); +#18597=ORIENTED_EDGE('',*,*,#15256,.T.); +#18598=ORIENTED_EDGE('',*,*,#18586,.T.); +#18600=ORIENTED_EDGE('',*,*,#18599,.F.); +#18602=ORIENTED_EDGE('',*,*,#18601,.F.); +#18603=EDGE_LOOP('',(#18597,#18598,#18600,#18602)); +#18604=FACE_OUTER_BOUND('',#18603,.F.); +#18606=CARTESIAN_POINT('',(-8.7E0,-8.6E0,-2.E1)); +#18607=DIRECTION('',(0.E0,1.E0,0.E0)); +#18608=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18609=AXIS2_PLACEMENT_3D('',#18606,#18607,#18608); +#18610=PLANE('',#18609); +#18611=ORIENTED_EDGE('',*,*,#18599,.T.); +#18612=ORIENTED_EDGE('',*,*,#18575,.F.); +#18613=ORIENTED_EDGE('',*,*,#15953,.F.); +#18615=ORIENTED_EDGE('',*,*,#18614,.T.); +#18616=EDGE_LOOP('',(#18611,#18612,#18613,#18615)); +#18617=FACE_OUTER_BOUND('',#18616,.F.); +#18619=CARTESIAN_POINT('',(-8.7E0,-9.05E0,-2.E1)); +#18620=DIRECTION('',(0.E0,0.E0,1.E0)); +#18621=DIRECTION('',(1.E0,0.E0,0.E0)); +#18622=AXIS2_PLACEMENT_3D('',#18619,#18620,#18621); +#18623=CYLINDRICAL_SURFACE('',#18622,4.5E-1); +#18625=ORIENTED_EDGE('',*,*,#18624,.T.); +#18626=ORIENTED_EDGE('',*,*,#18614,.F.); +#18627=ORIENTED_EDGE('',*,*,#15951,.F.); +#18629=ORIENTED_EDGE('',*,*,#18628,.T.); +#18630=EDGE_LOOP('',(#18625,#18626,#18627,#18629)); +#18631=FACE_OUTER_BOUND('',#18630,.F.); +#18633=CARTESIAN_POINT('',(-8.7E0,-9.05E0,-1.045E1)); +#18634=DIRECTION('',(7.071067812082E-1,-7.071067811649E-1,0.E0)); +#18635=DIRECTION('',(7.071067811649E-1,7.071067812082E-1,0.E0)); +#18636=AXIS2_PLACEMENT_3D('',#18633,#18634,#18635); +#18637=SPHERICAL_SURFACE('',#18636,4.5E-1); +#18638=ORIENTED_EDGE('',*,*,#18624,.F.); +#18640=ORIENTED_EDGE('',*,*,#18639,.F.); +#18641=ORIENTED_EDGE('',*,*,#18601,.T.); +#18642=EDGE_LOOP('',(#18638,#18640,#18641)); +#18643=FACE_OUTER_BOUND('',#18642,.F.); +#18645=CARTESIAN_POINT('',(-8.7E0,-9.920640747365E0,-1.045E1)); +#18646=DIRECTION('',(0.E0,1.E0,0.E0)); +#18647=DIRECTION('',(0.E0,0.E0,1.E0)); +#18648=AXIS2_PLACEMENT_3D('',#18645,#18646,#18647); +#18649=CYLINDRICAL_SURFACE('',#18648,4.5E-1); +#18650=ORIENTED_EDGE('',*,*,#15258,.T.); +#18651=ORIENTED_EDGE('',*,*,#18639,.T.); +#18653=ORIENTED_EDGE('',*,*,#18652,.F.); +#18655=ORIENTED_EDGE('',*,*,#18654,.F.); +#18656=EDGE_LOOP('',(#18650,#18651,#18653,#18655)); +#18657=FACE_OUTER_BOUND('',#18656,.F.); +#18659=CARTESIAN_POINT('',(-8.25E0,-9.8E0,-2.E1)); +#18660=DIRECTION('',(1.E0,0.E0,0.E0)); +#18661=DIRECTION('',(0.E0,1.E0,0.E0)); +#18662=AXIS2_PLACEMENT_3D('',#18659,#18660,#18661); +#18663=PLANE('',#18662); +#18664=ORIENTED_EDGE('',*,*,#18652,.T.); +#18665=ORIENTED_EDGE('',*,*,#18628,.F.); +#18666=ORIENTED_EDGE('',*,*,#15949,.F.); +#18668=ORIENTED_EDGE('',*,*,#18667,.T.); +#18669=EDGE_LOOP('',(#18664,#18665,#18666,#18668)); +#18670=FACE_OUTER_BOUND('',#18669,.F.); +#18672=CARTESIAN_POINT('',(-7.75E0,-9.8E0,-2.E1)); +#18673=DIRECTION('',(0.E0,0.E0,1.E0)); +#18674=DIRECTION('',(1.E0,0.E0,0.E0)); +#18675=AXIS2_PLACEMENT_3D('',#18672,#18673,#18674); +#18676=CYLINDRICAL_SURFACE('',#18675,5.E-1); +#18678=ORIENTED_EDGE('',*,*,#18677,.T.); +#18679=ORIENTED_EDGE('',*,*,#18667,.F.); +#18680=ORIENTED_EDGE('',*,*,#15947,.T.); +#18681=ORIENTED_EDGE('',*,*,#17304,.T.); +#18682=EDGE_LOOP('',(#18678,#18679,#18680,#18681)); +#18683=FACE_OUTER_BOUND('',#18682,.F.); +#18685=CARTESIAN_POINT('',(-7.75E0,-9.8E0,-1.045E1)); +#18686=DIRECTION('',(0.E0,0.E0,1.E0)); +#18687=DIRECTION('',(7.077664394356E-1,7.064465069690E-1,0.E0)); +#18688=AXIS2_PLACEMENT_3D('',#18685,#18686,#18687); +#18689=TOROIDAL_SURFACE('',#18688,9.5E-1,4.5E-1); +#18690=ORIENTED_EDGE('',*,*,#15260,.T.); +#18691=ORIENTED_EDGE('',*,*,#18654,.T.); +#18692=ORIENTED_EDGE('',*,*,#18677,.F.); +#18693=ORIENTED_EDGE('',*,*,#17302,.T.); +#18694=EDGE_LOOP('',(#18690,#18691,#18692,#18693)); +#18695=FACE_OUTER_BOUND('',#18694,.F.); +#18697=CARTESIAN_POINT('',(-6.25E0,-9.8E0,-2.E1)); +#18698=DIRECTION('',(0.E0,0.E0,1.E0)); +#18699=DIRECTION('',(1.E0,0.E0,0.E0)); +#18700=AXIS2_PLACEMENT_3D('',#18697,#18698,#18699); +#18701=CYLINDRICAL_SURFACE('',#18700,5.E-1); +#18703=ORIENTED_EDGE('',*,*,#18702,.T.); +#18704=ORIENTED_EDGE('',*,*,#17307,.F.); +#18705=ORIENTED_EDGE('',*,*,#15943,.T.); +#18707=ORIENTED_EDGE('',*,*,#18706,.T.); +#18708=EDGE_LOOP('',(#18703,#18704,#18705,#18707)); +#18709=FACE_OUTER_BOUND('',#18708,.F.); +#18711=CARTESIAN_POINT('',(-6.25E0,-9.8E0,-1.045E1)); +#18712=DIRECTION('',(0.E0,0.E0,1.E0)); +#18713=DIRECTION('',(9.418327390805E-1,3.360819715429E-1,0.E0)); +#18714=AXIS2_PLACEMENT_3D('',#18711,#18712,#18713); +#18715=TOROIDAL_SURFACE('',#18714,9.5E-1,4.5E-1); +#18716=ORIENTED_EDGE('',*,*,#18702,.F.); +#18718=ORIENTED_EDGE('',*,*,#18717,.F.); +#18719=ORIENTED_EDGE('',*,*,#15272,.T.); +#18720=ORIENTED_EDGE('',*,*,#17309,.T.); +#18721=EDGE_LOOP('',(#18716,#18718,#18719,#18720)); +#18722=FACE_OUTER_BOUND('',#18721,.F.); +#18724=CARTESIAN_POINT('',(-5.3E0,-8.929359252635E0,-1.045E1)); +#18725=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18726=DIRECTION('',(0.E0,0.E0,1.E0)); +#18727=AXIS2_PLACEMENT_3D('',#18724,#18725,#18726); +#18728=CYLINDRICAL_SURFACE('',#18727,4.5E-1); +#18729=ORIENTED_EDGE('',*,*,#15274,.T.); +#18730=ORIENTED_EDGE('',*,*,#18717,.T.); +#18732=ORIENTED_EDGE('',*,*,#18731,.F.); +#18734=ORIENTED_EDGE('',*,*,#18733,.F.); +#18735=EDGE_LOOP('',(#18729,#18730,#18732,#18734)); +#18736=FACE_OUTER_BOUND('',#18735,.F.); +#18738=CARTESIAN_POINT('',(-5.75E0,-9.05E0,-2.E1)); +#18739=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18740=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18741=AXIS2_PLACEMENT_3D('',#18738,#18739,#18740); +#18742=PLANE('',#18741); +#18743=ORIENTED_EDGE('',*,*,#18731,.T.); +#18744=ORIENTED_EDGE('',*,*,#18706,.F.); +#18745=ORIENTED_EDGE('',*,*,#15941,.F.); +#18747=ORIENTED_EDGE('',*,*,#18746,.T.); +#18748=EDGE_LOOP('',(#18743,#18744,#18745,#18747)); +#18749=FACE_OUTER_BOUND('',#18748,.F.); +#18751=CARTESIAN_POINT('',(-5.3E0,-9.05E0,-2.E1)); +#18752=DIRECTION('',(0.E0,0.E0,1.E0)); +#18753=DIRECTION('',(1.E0,0.E0,0.E0)); +#18754=AXIS2_PLACEMENT_3D('',#18751,#18752,#18753); +#18755=CYLINDRICAL_SURFACE('',#18754,4.5E-1); +#18757=ORIENTED_EDGE('',*,*,#18756,.T.); +#18758=ORIENTED_EDGE('',*,*,#18746,.F.); +#18759=ORIENTED_EDGE('',*,*,#15939,.F.); +#18761=ORIENTED_EDGE('',*,*,#18760,.T.); +#18762=EDGE_LOOP('',(#18757,#18758,#18759,#18761)); +#18763=FACE_OUTER_BOUND('',#18762,.F.); +#18765=CARTESIAN_POINT('',(-5.3E0,-9.05E0,-1.045E1)); +#18766=DIRECTION('',(7.071067811649E-1,7.071067812082E-1,0.E0)); +#18767=DIRECTION('',(-7.071067812081E-1,7.071067811649E-1,0.E0)); +#18768=AXIS2_PLACEMENT_3D('',#18765,#18766,#18767); +#18769=SPHERICAL_SURFACE('',#18768,4.5E-1); +#18770=ORIENTED_EDGE('',*,*,#18756,.F.); +#18772=ORIENTED_EDGE('',*,*,#18771,.F.); +#18773=ORIENTED_EDGE('',*,*,#18733,.T.); +#18774=EDGE_LOOP('',(#18770,#18772,#18773)); +#18775=FACE_OUTER_BOUND('',#18774,.F.); +#18777=CARTESIAN_POINT('',(-5.079359252635E0,-9.05E0,-1.045E1)); +#18778=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18779=DIRECTION('',(0.E0,0.E0,1.E0)); +#18780=AXIS2_PLACEMENT_3D('',#18777,#18778,#18779); +#18781=CYLINDRICAL_SURFACE('',#18780,4.5E-1); +#18782=ORIENTED_EDGE('',*,*,#15276,.T.); +#18783=ORIENTED_EDGE('',*,*,#18771,.T.); +#18785=ORIENTED_EDGE('',*,*,#18784,.F.); +#18787=ORIENTED_EDGE('',*,*,#18786,.F.); +#18788=EDGE_LOOP('',(#18782,#18783,#18785,#18787)); +#18789=FACE_OUTER_BOUND('',#18788,.F.); +#18791=CARTESIAN_POINT('',(-5.2E0,-8.6E0,-2.E1)); +#18792=DIRECTION('',(0.E0,1.E0,0.E0)); +#18793=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18794=AXIS2_PLACEMENT_3D('',#18791,#18792,#18793); +#18795=PLANE('',#18794); +#18796=ORIENTED_EDGE('',*,*,#18784,.T.); +#18797=ORIENTED_EDGE('',*,*,#18760,.F.); +#18798=ORIENTED_EDGE('',*,*,#15937,.F.); +#18800=ORIENTED_EDGE('',*,*,#18799,.T.); +#18801=EDGE_LOOP('',(#18796,#18797,#18798,#18800)); +#18802=FACE_OUTER_BOUND('',#18801,.F.); +#18804=CARTESIAN_POINT('',(-5.2E0,-9.05E0,-2.E1)); +#18805=DIRECTION('',(0.E0,0.E0,1.E0)); +#18806=DIRECTION('',(1.E0,0.E0,0.E0)); +#18807=AXIS2_PLACEMENT_3D('',#18804,#18805,#18806); +#18808=CYLINDRICAL_SURFACE('',#18807,4.5E-1); +#18810=ORIENTED_EDGE('',*,*,#18809,.T.); +#18811=ORIENTED_EDGE('',*,*,#18799,.F.); +#18812=ORIENTED_EDGE('',*,*,#15935,.F.); +#18814=ORIENTED_EDGE('',*,*,#18813,.T.); +#18815=EDGE_LOOP('',(#18810,#18811,#18812,#18814)); +#18816=FACE_OUTER_BOUND('',#18815,.F.); +#18818=CARTESIAN_POINT('',(-5.2E0,-9.05E0,-1.045E1)); +#18819=DIRECTION('',(7.071067812081E-1,-7.071067811649E-1,0.E0)); +#18820=DIRECTION('',(7.071067811649E-1,7.071067812081E-1,0.E0)); +#18821=AXIS2_PLACEMENT_3D('',#18818,#18819,#18820); +#18822=SPHERICAL_SURFACE('',#18821,4.5E-1); +#18823=ORIENTED_EDGE('',*,*,#18809,.F.); +#18825=ORIENTED_EDGE('',*,*,#18824,.F.); +#18826=ORIENTED_EDGE('',*,*,#18786,.T.); +#18827=EDGE_LOOP('',(#18823,#18825,#18826)); +#18828=FACE_OUTER_BOUND('',#18827,.F.); +#18830=CARTESIAN_POINT('',(-5.2E0,-9.920640747365E0,-1.045E1)); +#18831=DIRECTION('',(0.E0,1.E0,0.E0)); +#18832=DIRECTION('',(0.E0,0.E0,1.E0)); +#18833=AXIS2_PLACEMENT_3D('',#18830,#18831,#18832); +#18834=CYLINDRICAL_SURFACE('',#18833,4.5E-1); +#18835=ORIENTED_EDGE('',*,*,#15278,.T.); +#18836=ORIENTED_EDGE('',*,*,#18824,.T.); +#18838=ORIENTED_EDGE('',*,*,#18837,.F.); +#18840=ORIENTED_EDGE('',*,*,#18839,.F.); +#18841=EDGE_LOOP('',(#18835,#18836,#18838,#18840)); +#18842=FACE_OUTER_BOUND('',#18841,.F.); +#18844=CARTESIAN_POINT('',(-4.75E0,-9.8E0,-2.E1)); +#18845=DIRECTION('',(1.E0,0.E0,0.E0)); +#18846=DIRECTION('',(0.E0,1.E0,0.E0)); +#18847=AXIS2_PLACEMENT_3D('',#18844,#18845,#18846); +#18848=PLANE('',#18847); +#18849=ORIENTED_EDGE('',*,*,#18837,.T.); +#18850=ORIENTED_EDGE('',*,*,#18813,.F.); +#18851=ORIENTED_EDGE('',*,*,#15933,.F.); +#18853=ORIENTED_EDGE('',*,*,#18852,.T.); +#18854=EDGE_LOOP('',(#18849,#18850,#18851,#18853)); +#18855=FACE_OUTER_BOUND('',#18854,.F.); +#18857=CARTESIAN_POINT('',(-4.25E0,-9.8E0,-2.E1)); +#18858=DIRECTION('',(0.E0,0.E0,1.E0)); +#18859=DIRECTION('',(1.E0,0.E0,0.E0)); +#18860=AXIS2_PLACEMENT_3D('',#18857,#18858,#18859); +#18861=CYLINDRICAL_SURFACE('',#18860,5.E-1); +#18863=ORIENTED_EDGE('',*,*,#18862,.T.); +#18864=ORIENTED_EDGE('',*,*,#18852,.F.); +#18865=ORIENTED_EDGE('',*,*,#15931,.T.); +#18866=ORIENTED_EDGE('',*,*,#17314,.T.); +#18867=EDGE_LOOP('',(#18863,#18864,#18865,#18866)); +#18868=FACE_OUTER_BOUND('',#18867,.F.); +#18870=CARTESIAN_POINT('',(-4.25E0,-9.8E0,-1.045E1)); +#18871=DIRECTION('',(0.E0,0.E0,1.E0)); +#18872=DIRECTION('',(7.077664394356E-1,7.064465069690E-1,0.E0)); +#18873=AXIS2_PLACEMENT_3D('',#18870,#18871,#18872); +#18874=TOROIDAL_SURFACE('',#18873,9.5E-1,4.5E-1); +#18875=ORIENTED_EDGE('',*,*,#15280,.T.); +#18876=ORIENTED_EDGE('',*,*,#18839,.T.); +#18877=ORIENTED_EDGE('',*,*,#18862,.F.); +#18878=ORIENTED_EDGE('',*,*,#17312,.T.); +#18879=EDGE_LOOP('',(#18875,#18876,#18877,#18878)); +#18880=FACE_OUTER_BOUND('',#18879,.F.); +#18882=CARTESIAN_POINT('',(-2.75E0,-9.8E0,-2.E1)); +#18883=DIRECTION('',(0.E0,0.E0,1.E0)); +#18884=DIRECTION('',(1.E0,0.E0,0.E0)); +#18885=AXIS2_PLACEMENT_3D('',#18882,#18883,#18884); +#18886=CYLINDRICAL_SURFACE('',#18885,5.E-1); +#18888=ORIENTED_EDGE('',*,*,#18887,.T.); +#18889=ORIENTED_EDGE('',*,*,#17317,.F.); +#18890=ORIENTED_EDGE('',*,*,#15927,.T.); +#18892=ORIENTED_EDGE('',*,*,#18891,.T.); +#18893=EDGE_LOOP('',(#18888,#18889,#18890,#18892)); +#18894=FACE_OUTER_BOUND('',#18893,.F.); +#18896=CARTESIAN_POINT('',(-2.75E0,-9.8E0,-1.045E1)); +#18897=DIRECTION('',(0.E0,0.E0,1.E0)); +#18898=DIRECTION('',(9.418327390805E-1,3.360819715429E-1,0.E0)); +#18899=AXIS2_PLACEMENT_3D('',#18896,#18897,#18898); +#18900=TOROIDAL_SURFACE('',#18899,9.5E-1,4.5E-1); +#18901=ORIENTED_EDGE('',*,*,#18887,.F.); +#18903=ORIENTED_EDGE('',*,*,#18902,.F.); +#18904=ORIENTED_EDGE('',*,*,#15292,.T.); +#18905=ORIENTED_EDGE('',*,*,#17319,.T.); +#18906=EDGE_LOOP('',(#18901,#18903,#18904,#18905)); +#18907=FACE_OUTER_BOUND('',#18906,.F.); +#18909=CARTESIAN_POINT('',(-1.8E0,-8.929359252635E0,-1.045E1)); +#18910=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18911=DIRECTION('',(0.E0,0.E0,1.E0)); +#18912=AXIS2_PLACEMENT_3D('',#18909,#18910,#18911); +#18913=CYLINDRICAL_SURFACE('',#18912,4.5E-1); +#18914=ORIENTED_EDGE('',*,*,#15294,.T.); +#18915=ORIENTED_EDGE('',*,*,#18902,.T.); +#18917=ORIENTED_EDGE('',*,*,#18916,.F.); +#18919=ORIENTED_EDGE('',*,*,#18918,.F.); +#18920=EDGE_LOOP('',(#18914,#18915,#18917,#18919)); +#18921=FACE_OUTER_BOUND('',#18920,.F.); +#18923=CARTESIAN_POINT('',(-2.25E0,-9.05E0,-2.E1)); +#18924=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18925=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18926=AXIS2_PLACEMENT_3D('',#18923,#18924,#18925); +#18927=PLANE('',#18926); +#18928=ORIENTED_EDGE('',*,*,#18916,.T.); +#18929=ORIENTED_EDGE('',*,*,#18891,.F.); +#18930=ORIENTED_EDGE('',*,*,#15925,.F.); +#18932=ORIENTED_EDGE('',*,*,#18931,.T.); +#18933=EDGE_LOOP('',(#18928,#18929,#18930,#18932)); +#18934=FACE_OUTER_BOUND('',#18933,.F.); +#18936=CARTESIAN_POINT('',(-1.8E0,-9.05E0,-2.E1)); +#18937=DIRECTION('',(0.E0,0.E0,1.E0)); +#18938=DIRECTION('',(1.E0,0.E0,0.E0)); +#18939=AXIS2_PLACEMENT_3D('',#18936,#18937,#18938); +#18940=CYLINDRICAL_SURFACE('',#18939,4.5E-1); +#18942=ORIENTED_EDGE('',*,*,#18941,.T.); +#18943=ORIENTED_EDGE('',*,*,#18931,.F.); +#18944=ORIENTED_EDGE('',*,*,#15923,.F.); +#18946=ORIENTED_EDGE('',*,*,#18945,.T.); +#18947=EDGE_LOOP('',(#18942,#18943,#18944,#18946)); +#18948=FACE_OUTER_BOUND('',#18947,.F.); +#18950=CARTESIAN_POINT('',(-1.8E0,-9.05E0,-1.045E1)); +#18951=DIRECTION('',(7.071067811649E-1,7.071067812082E-1,0.E0)); +#18952=DIRECTION('',(-7.071067812082E-1,7.071067811649E-1,0.E0)); +#18953=AXIS2_PLACEMENT_3D('',#18950,#18951,#18952); +#18954=SPHERICAL_SURFACE('',#18953,4.5E-1); +#18955=ORIENTED_EDGE('',*,*,#18941,.F.); +#18957=ORIENTED_EDGE('',*,*,#18956,.F.); +#18958=ORIENTED_EDGE('',*,*,#18918,.T.); +#18959=EDGE_LOOP('',(#18955,#18957,#18958)); +#18960=FACE_OUTER_BOUND('',#18959,.F.); +#18962=CARTESIAN_POINT('',(-1.579359252635E0,-9.05E0,-1.045E1)); +#18963=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18964=DIRECTION('',(0.E0,0.E0,1.E0)); +#18965=AXIS2_PLACEMENT_3D('',#18962,#18963,#18964); +#18966=CYLINDRICAL_SURFACE('',#18965,4.5E-1); +#18967=ORIENTED_EDGE('',*,*,#15296,.T.); +#18968=ORIENTED_EDGE('',*,*,#18956,.T.); +#18970=ORIENTED_EDGE('',*,*,#18969,.F.); +#18972=ORIENTED_EDGE('',*,*,#18971,.F.); +#18973=EDGE_LOOP('',(#18967,#18968,#18970,#18972)); +#18974=FACE_OUTER_BOUND('',#18973,.F.); +#18976=CARTESIAN_POINT('',(-1.7E0,-8.6E0,-2.E1)); +#18977=DIRECTION('',(0.E0,1.E0,0.E0)); +#18978=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18979=AXIS2_PLACEMENT_3D('',#18976,#18977,#18978); +#18980=PLANE('',#18979); +#18981=ORIENTED_EDGE('',*,*,#18969,.T.); +#18982=ORIENTED_EDGE('',*,*,#18945,.F.); +#18983=ORIENTED_EDGE('',*,*,#15921,.F.); +#18985=ORIENTED_EDGE('',*,*,#18984,.T.); +#18986=EDGE_LOOP('',(#18981,#18982,#18983,#18985)); +#18987=FACE_OUTER_BOUND('',#18986,.F.); +#18989=CARTESIAN_POINT('',(-1.7E0,-9.05E0,-2.E1)); +#18990=DIRECTION('',(0.E0,0.E0,1.E0)); +#18991=DIRECTION('',(1.E0,0.E0,0.E0)); +#18992=AXIS2_PLACEMENT_3D('',#18989,#18990,#18991); +#18993=CYLINDRICAL_SURFACE('',#18992,4.5E-1); +#18995=ORIENTED_EDGE('',*,*,#18994,.T.); +#18996=ORIENTED_EDGE('',*,*,#18984,.F.); +#18997=ORIENTED_EDGE('',*,*,#15919,.F.); +#18999=ORIENTED_EDGE('',*,*,#18998,.T.); +#19000=EDGE_LOOP('',(#18995,#18996,#18997,#18999)); +#19001=FACE_OUTER_BOUND('',#19000,.F.); +#19003=CARTESIAN_POINT('',(-1.7E0,-9.05E0,-1.045E1)); +#19004=DIRECTION('',(7.071067812082E-1,-7.071067811649E-1,0.E0)); +#19005=DIRECTION('',(7.071067811649E-1,7.071067812082E-1,0.E0)); +#19006=AXIS2_PLACEMENT_3D('',#19003,#19004,#19005); +#19007=SPHERICAL_SURFACE('',#19006,4.5E-1); +#19008=ORIENTED_EDGE('',*,*,#18994,.F.); +#19010=ORIENTED_EDGE('',*,*,#19009,.F.); +#19011=ORIENTED_EDGE('',*,*,#18971,.T.); +#19012=EDGE_LOOP('',(#19008,#19010,#19011)); +#19013=FACE_OUTER_BOUND('',#19012,.F.); +#19015=CARTESIAN_POINT('',(-1.7E0,-9.920640747365E0,-1.045E1)); +#19016=DIRECTION('',(0.E0,1.E0,0.E0)); +#19017=DIRECTION('',(0.E0,0.E0,1.E0)); +#19018=AXIS2_PLACEMENT_3D('',#19015,#19016,#19017); +#19019=CYLINDRICAL_SURFACE('',#19018,4.5E-1); +#19020=ORIENTED_EDGE('',*,*,#15298,.T.); +#19021=ORIENTED_EDGE('',*,*,#19009,.T.); +#19023=ORIENTED_EDGE('',*,*,#19022,.F.); +#19025=ORIENTED_EDGE('',*,*,#19024,.F.); +#19026=EDGE_LOOP('',(#19020,#19021,#19023,#19025)); +#19027=FACE_OUTER_BOUND('',#19026,.F.); +#19029=CARTESIAN_POINT('',(-1.25E0,-9.8E0,-2.E1)); +#19030=DIRECTION('',(1.E0,0.E0,0.E0)); +#19031=DIRECTION('',(0.E0,1.E0,0.E0)); +#19032=AXIS2_PLACEMENT_3D('',#19029,#19030,#19031); +#19033=PLANE('',#19032); +#19034=ORIENTED_EDGE('',*,*,#19022,.T.); +#19035=ORIENTED_EDGE('',*,*,#18998,.F.); +#19036=ORIENTED_EDGE('',*,*,#15917,.F.); +#19038=ORIENTED_EDGE('',*,*,#19037,.T.); +#19039=EDGE_LOOP('',(#19034,#19035,#19036,#19038)); +#19040=FACE_OUTER_BOUND('',#19039,.F.); +#19042=CARTESIAN_POINT('',(-7.5E-1,-9.8E0,-2.E1)); +#19043=DIRECTION('',(0.E0,0.E0,1.E0)); +#19044=DIRECTION('',(1.E0,0.E0,0.E0)); +#19045=AXIS2_PLACEMENT_3D('',#19042,#19043,#19044); +#19046=CYLINDRICAL_SURFACE('',#19045,5.E-1); +#19048=ORIENTED_EDGE('',*,*,#19047,.T.); +#19049=ORIENTED_EDGE('',*,*,#19037,.F.); +#19050=ORIENTED_EDGE('',*,*,#15915,.T.); +#19051=ORIENTED_EDGE('',*,*,#17324,.T.); +#19052=EDGE_LOOP('',(#19048,#19049,#19050,#19051)); +#19053=FACE_OUTER_BOUND('',#19052,.F.); +#19055=CARTESIAN_POINT('',(-7.5E-1,-9.8E0,-1.045E1)); +#19056=DIRECTION('',(0.E0,0.E0,1.E0)); +#19057=DIRECTION('',(7.077664394356E-1,7.064465069690E-1,0.E0)); +#19058=AXIS2_PLACEMENT_3D('',#19055,#19056,#19057); +#19059=TOROIDAL_SURFACE('',#19058,9.5E-1,4.5E-1); +#19060=ORIENTED_EDGE('',*,*,#15300,.T.); +#19061=ORIENTED_EDGE('',*,*,#19024,.T.); +#19062=ORIENTED_EDGE('',*,*,#19047,.F.); +#19063=ORIENTED_EDGE('',*,*,#17322,.T.); +#19064=EDGE_LOOP('',(#19060,#19061,#19062,#19063)); +#19065=FACE_OUTER_BOUND('',#19064,.F.); +#19067=CARTESIAN_POINT('',(7.5E-1,-9.8E0,-2.E1)); +#19068=DIRECTION('',(0.E0,0.E0,1.E0)); +#19069=DIRECTION('',(1.E0,0.E0,0.E0)); +#19070=AXIS2_PLACEMENT_3D('',#19067,#19068,#19069); +#19071=CYLINDRICAL_SURFACE('',#19070,5.E-1); +#19073=ORIENTED_EDGE('',*,*,#19072,.T.); +#19074=ORIENTED_EDGE('',*,*,#17327,.F.); +#19075=ORIENTED_EDGE('',*,*,#15911,.T.); +#19077=ORIENTED_EDGE('',*,*,#19076,.T.); +#19078=EDGE_LOOP('',(#19073,#19074,#19075,#19077)); +#19079=FACE_OUTER_BOUND('',#19078,.F.); +#19081=CARTESIAN_POINT('',(7.5E-1,-9.8E0,-1.045E1)); +#19082=DIRECTION('',(0.E0,0.E0,1.E0)); +#19083=DIRECTION('',(9.418327390805E-1,3.360819715429E-1,0.E0)); +#19084=AXIS2_PLACEMENT_3D('',#19081,#19082,#19083); +#19085=TOROIDAL_SURFACE('',#19084,9.5E-1,4.5E-1); +#19086=ORIENTED_EDGE('',*,*,#19072,.F.); +#19088=ORIENTED_EDGE('',*,*,#19087,.F.); +#19089=ORIENTED_EDGE('',*,*,#15312,.T.); +#19090=ORIENTED_EDGE('',*,*,#17329,.T.); +#19091=EDGE_LOOP('',(#19086,#19088,#19089,#19090)); +#19092=FACE_OUTER_BOUND('',#19091,.F.); +#19094=CARTESIAN_POINT('',(1.7E0,-8.929359252635E0,-1.045E1)); +#19095=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19096=DIRECTION('',(0.E0,0.E0,1.E0)); +#19097=AXIS2_PLACEMENT_3D('',#19094,#19095,#19096); +#19098=CYLINDRICAL_SURFACE('',#19097,4.5E-1); +#19099=ORIENTED_EDGE('',*,*,#15314,.T.); +#19100=ORIENTED_EDGE('',*,*,#19087,.T.); +#19102=ORIENTED_EDGE('',*,*,#19101,.F.); +#19104=ORIENTED_EDGE('',*,*,#19103,.F.); +#19105=EDGE_LOOP('',(#19099,#19100,#19102,#19104)); +#19106=FACE_OUTER_BOUND('',#19105,.F.); +#19108=CARTESIAN_POINT('',(1.25E0,-9.05E0,-2.E1)); +#19109=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19110=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19111=AXIS2_PLACEMENT_3D('',#19108,#19109,#19110); +#19112=PLANE('',#19111); +#19113=ORIENTED_EDGE('',*,*,#19101,.T.); +#19114=ORIENTED_EDGE('',*,*,#19076,.F.); +#19115=ORIENTED_EDGE('',*,*,#15909,.F.); +#19117=ORIENTED_EDGE('',*,*,#19116,.T.); +#19118=EDGE_LOOP('',(#19113,#19114,#19115,#19117)); +#19119=FACE_OUTER_BOUND('',#19118,.F.); +#19121=CARTESIAN_POINT('',(1.7E0,-9.05E0,-2.E1)); +#19122=DIRECTION('',(0.E0,0.E0,1.E0)); +#19123=DIRECTION('',(1.E0,0.E0,0.E0)); +#19124=AXIS2_PLACEMENT_3D('',#19121,#19122,#19123); +#19125=CYLINDRICAL_SURFACE('',#19124,4.5E-1); +#19127=ORIENTED_EDGE('',*,*,#19126,.T.); +#19128=ORIENTED_EDGE('',*,*,#19116,.F.); +#19129=ORIENTED_EDGE('',*,*,#15907,.F.); +#19131=ORIENTED_EDGE('',*,*,#19130,.T.); +#19132=EDGE_LOOP('',(#19127,#19128,#19129,#19131)); +#19133=FACE_OUTER_BOUND('',#19132,.F.); +#19135=CARTESIAN_POINT('',(1.7E0,-9.05E0,-1.045E1)); +#19136=DIRECTION('',(7.071067811649E-1,7.071067812082E-1,0.E0)); +#19137=DIRECTION('',(-7.071067812082E-1,7.071067811649E-1,0.E0)); +#19138=AXIS2_PLACEMENT_3D('',#19135,#19136,#19137); +#19139=SPHERICAL_SURFACE('',#19138,4.5E-1); +#19140=ORIENTED_EDGE('',*,*,#19126,.F.); +#19142=ORIENTED_EDGE('',*,*,#19141,.F.); +#19143=ORIENTED_EDGE('',*,*,#19103,.T.); +#19144=EDGE_LOOP('',(#19140,#19142,#19143)); +#19145=FACE_OUTER_BOUND('',#19144,.F.); +#19147=CARTESIAN_POINT('',(1.920640747365E0,-9.05E0,-1.045E1)); +#19148=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19149=DIRECTION('',(0.E0,0.E0,1.E0)); +#19150=AXIS2_PLACEMENT_3D('',#19147,#19148,#19149); +#19151=CYLINDRICAL_SURFACE('',#19150,4.5E-1); +#19152=ORIENTED_EDGE('',*,*,#15316,.T.); +#19153=ORIENTED_EDGE('',*,*,#19141,.T.); +#19155=ORIENTED_EDGE('',*,*,#19154,.F.); +#19157=ORIENTED_EDGE('',*,*,#19156,.F.); +#19158=EDGE_LOOP('',(#19152,#19153,#19155,#19157)); +#19159=FACE_OUTER_BOUND('',#19158,.F.); +#19161=CARTESIAN_POINT('',(1.8E0,-8.6E0,-2.E1)); +#19162=DIRECTION('',(0.E0,1.E0,0.E0)); +#19163=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19164=AXIS2_PLACEMENT_3D('',#19161,#19162,#19163); +#19165=PLANE('',#19164); +#19166=ORIENTED_EDGE('',*,*,#19154,.T.); +#19167=ORIENTED_EDGE('',*,*,#19130,.F.); +#19168=ORIENTED_EDGE('',*,*,#15905,.F.); +#19170=ORIENTED_EDGE('',*,*,#19169,.T.); +#19171=EDGE_LOOP('',(#19166,#19167,#19168,#19170)); +#19172=FACE_OUTER_BOUND('',#19171,.F.); +#19174=CARTESIAN_POINT('',(1.8E0,-9.05E0,-2.E1)); +#19175=DIRECTION('',(0.E0,0.E0,1.E0)); +#19176=DIRECTION('',(1.E0,0.E0,0.E0)); +#19177=AXIS2_PLACEMENT_3D('',#19174,#19175,#19176); +#19178=CYLINDRICAL_SURFACE('',#19177,4.5E-1); +#19180=ORIENTED_EDGE('',*,*,#19179,.T.); +#19181=ORIENTED_EDGE('',*,*,#19169,.F.); +#19182=ORIENTED_EDGE('',*,*,#15903,.F.); +#19184=ORIENTED_EDGE('',*,*,#19183,.T.); +#19185=EDGE_LOOP('',(#19180,#19181,#19182,#19184)); +#19186=FACE_OUTER_BOUND('',#19185,.F.); +#19188=CARTESIAN_POINT('',(1.8E0,-9.05E0,-1.045E1)); +#19189=DIRECTION('',(7.071067812082E-1,-7.071067811649E-1,0.E0)); +#19190=DIRECTION('',(7.071067811649E-1,7.071067812082E-1,0.E0)); +#19191=AXIS2_PLACEMENT_3D('',#19188,#19189,#19190); +#19192=SPHERICAL_SURFACE('',#19191,4.5E-1); +#19193=ORIENTED_EDGE('',*,*,#19179,.F.); +#19195=ORIENTED_EDGE('',*,*,#19194,.F.); +#19196=ORIENTED_EDGE('',*,*,#19156,.T.); +#19197=EDGE_LOOP('',(#19193,#19195,#19196)); +#19198=FACE_OUTER_BOUND('',#19197,.F.); +#19200=CARTESIAN_POINT('',(1.8E0,-9.920640747365E0,-1.045E1)); +#19201=DIRECTION('',(0.E0,1.E0,0.E0)); +#19202=DIRECTION('',(0.E0,0.E0,1.E0)); +#19203=AXIS2_PLACEMENT_3D('',#19200,#19201,#19202); +#19204=CYLINDRICAL_SURFACE('',#19203,4.5E-1); +#19205=ORIENTED_EDGE('',*,*,#15318,.T.); +#19206=ORIENTED_EDGE('',*,*,#19194,.T.); +#19208=ORIENTED_EDGE('',*,*,#19207,.F.); +#19210=ORIENTED_EDGE('',*,*,#19209,.F.); +#19211=EDGE_LOOP('',(#19205,#19206,#19208,#19210)); +#19212=FACE_OUTER_BOUND('',#19211,.F.); +#19214=CARTESIAN_POINT('',(2.25E0,-9.8E0,-2.E1)); +#19215=DIRECTION('',(1.E0,0.E0,0.E0)); +#19216=DIRECTION('',(0.E0,1.E0,0.E0)); +#19217=AXIS2_PLACEMENT_3D('',#19214,#19215,#19216); +#19218=PLANE('',#19217); +#19219=ORIENTED_EDGE('',*,*,#19207,.T.); +#19220=ORIENTED_EDGE('',*,*,#19183,.F.); +#19221=ORIENTED_EDGE('',*,*,#15901,.F.); +#19223=ORIENTED_EDGE('',*,*,#19222,.T.); +#19224=EDGE_LOOP('',(#19219,#19220,#19221,#19223)); +#19225=FACE_OUTER_BOUND('',#19224,.F.); +#19227=CARTESIAN_POINT('',(2.75E0,-9.8E0,-2.E1)); +#19228=DIRECTION('',(0.E0,0.E0,1.E0)); +#19229=DIRECTION('',(1.E0,0.E0,0.E0)); +#19230=AXIS2_PLACEMENT_3D('',#19227,#19228,#19229); +#19231=CYLINDRICAL_SURFACE('',#19230,5.E-1); +#19233=ORIENTED_EDGE('',*,*,#19232,.T.); +#19234=ORIENTED_EDGE('',*,*,#19222,.F.); +#19235=ORIENTED_EDGE('',*,*,#15899,.T.); +#19236=ORIENTED_EDGE('',*,*,#17334,.T.); +#19237=EDGE_LOOP('',(#19233,#19234,#19235,#19236)); +#19238=FACE_OUTER_BOUND('',#19237,.F.); +#19240=CARTESIAN_POINT('',(2.75E0,-9.8E0,-1.045E1)); +#19241=DIRECTION('',(0.E0,0.E0,1.E0)); +#19242=DIRECTION('',(7.077664394356E-1,7.064465069690E-1,0.E0)); +#19243=AXIS2_PLACEMENT_3D('',#19240,#19241,#19242); +#19244=TOROIDAL_SURFACE('',#19243,9.5E-1,4.5E-1); +#19245=ORIENTED_EDGE('',*,*,#15320,.T.); +#19246=ORIENTED_EDGE('',*,*,#19209,.T.); +#19247=ORIENTED_EDGE('',*,*,#19232,.F.); +#19248=ORIENTED_EDGE('',*,*,#17332,.T.); +#19249=EDGE_LOOP('',(#19245,#19246,#19247,#19248)); +#19250=FACE_OUTER_BOUND('',#19249,.F.); +#19252=CARTESIAN_POINT('',(4.5E0,1.18E1,0.E0)); +#19253=DIRECTION('',(9.961946980917E-1,8.715574274766E-2,0.E0)); +#19254=DIRECTION('',(-8.715574274766E-2,9.961946980917E-1,0.E0)); +#19255=AXIS2_PLACEMENT_3D('',#19252,#19253,#19254); +#19256=PLANE('',#19255); +#19257=ORIENTED_EDGE('',*,*,#16589,.F.); +#19259=ORIENTED_EDGE('',*,*,#19258,.F.); +#19260=ORIENTED_EDGE('',*,*,#16654,.T.); +#19261=ORIENTED_EDGE('',*,*,#16643,.T.); +#19263=ORIENTED_EDGE('',*,*,#19262,.T.); +#19265=ORIENTED_EDGE('',*,*,#19264,.T.); +#19267=ORIENTED_EDGE('',*,*,#19266,.F.); +#19268=EDGE_LOOP('',(#19257,#19259,#19260,#19261,#19263,#19265,#19267)); +#19269=FACE_OUTER_BOUND('',#19268,.F.); +#19271=CARTESIAN_POINT('',(2.013108351294E1,1.13E1,-5.E-1)); +#19272=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19273=DIRECTION('',(0.E0,0.E0,1.E0)); +#19274=AXIS2_PLACEMENT_3D('',#19271,#19272,#19273); +#19275=CYLINDRICAL_SURFACE('',#19274,5.E-1); +#19276=ORIENTED_EDGE('',*,*,#16587,.F.); +#19278=ORIENTED_EDGE('',*,*,#19277,.F.); +#19279=ORIENTED_EDGE('',*,*,#16656,.T.); +#19280=ORIENTED_EDGE('',*,*,#19258,.T.); +#19281=EDGE_LOOP('',(#19276,#19278,#19279,#19280)); +#19282=FACE_OUTER_BOUND('',#19281,.F.); +#19284=CARTESIAN_POINT('',(1.55E1,5.6E0,-5.E-1)); +#19285=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19286=DIRECTION('',(9.996179998705E-1,-2.763791480684E-2,0.E0)); +#19287=AXIS2_PLACEMENT_3D('',#19284,#19285,#19286); +#19288=TOROIDAL_SURFACE('',#19287,5.7E0,5.E-1); +#19289=ORIENTED_EDGE('',*,*,#16658,.T.); +#19290=ORIENTED_EDGE('',*,*,#19277,.T.); +#19292=ORIENTED_EDGE('',*,*,#19291,.F.); +#19294=ORIENTED_EDGE('',*,*,#19293,.F.); +#19295=EDGE_LOOP('',(#19289,#19290,#19292,#19294)); +#19296=FACE_OUTER_BOUND('',#19295,.F.); +#19298=CARTESIAN_POINT('',(1.55E1,5.6E0,1.E0)); +#19299=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19300=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19301=AXIS2_PLACEMENT_3D('',#19298,#19299,#19300); +#19302=CYLINDRICAL_SURFACE('',#19301,6.2E0); +#19303=ORIENTED_EDGE('',*,*,#19291,.T.); +#19304=ORIENTED_EDGE('',*,*,#16585,.F.); +#19306=ORIENTED_EDGE('',*,*,#19305,.T.); +#19308=ORIENTED_EDGE('',*,*,#19307,.T.); +#19309=ORIENTED_EDGE('',*,*,#15593,.T.); +#19311=ORIENTED_EDGE('',*,*,#19310,.T.); +#19312=EDGE_LOOP('',(#19303,#19304,#19306,#19308,#19309,#19311)); +#19313=FACE_OUTER_BOUND('',#19312,.F.); +#19315=CARTESIAN_POINT('',(0.E0,0.E0,-1.9275E1)); +#19316=DIRECTION('',(0.E0,0.E0,1.E0)); +#19317=DIRECTION('',(1.E0,0.E0,0.E0)); +#19318=AXIS2_PLACEMENT_3D('',#19315,#19316,#19317); +#19319=PLANE('',#19318); +#19320=ORIENTED_EDGE('',*,*,#19305,.F.); +#19321=ORIENTED_EDGE('',*,*,#16583,.F.); +#19323=ORIENTED_EDGE('',*,*,#19322,.F.); +#19325=ORIENTED_EDGE('',*,*,#19324,.F.); +#19327=ORIENTED_EDGE('',*,*,#19326,.F.); +#19329=ORIENTED_EDGE('',*,*,#19328,.F.); +#19331=ORIENTED_EDGE('',*,*,#19330,.F.); +#19333=ORIENTED_EDGE('',*,*,#19332,.F.); +#19334=EDGE_LOOP('',(#19320,#19321,#19323,#19325,#19327,#19329,#19331,#19333)); +#19335=FACE_OUTER_BOUND('',#19334,.F.); +#19337=ORIENTED_EDGE('',*,*,#19336,.T.); +#19339=ORIENTED_EDGE('',*,*,#19338,.T.); +#19340=EDGE_LOOP('',(#19337,#19339)); +#19341=FACE_BOUND('',#19340,.F.); +#19343=CARTESIAN_POINT('',(0.E0,0.E0,-1.9275E1)); +#19344=DIRECTION('',(0.E0,0.E0,1.E0)); +#19345=DIRECTION('',(1.E0,0.E0,0.E0)); +#19346=AXIS2_PLACEMENT_3D('',#19343,#19344,#19345); +#19347=PLANE('',#19346); +#19349=ORIENTED_EDGE('',*,*,#19348,.F.); +#19351=ORIENTED_EDGE('',*,*,#19350,.F.); +#19353=ORIENTED_EDGE('',*,*,#19352,.F.); +#19355=ORIENTED_EDGE('',*,*,#19354,.F.); +#19357=ORIENTED_EDGE('',*,*,#19356,.F.); +#19359=ORIENTED_EDGE('',*,*,#19358,.F.); +#19361=ORIENTED_EDGE('',*,*,#19360,.F.); +#19363=ORIENTED_EDGE('',*,*,#19362,.F.); +#19365=ORIENTED_EDGE('',*,*,#19364,.F.); +#19366=EDGE_LOOP('',(#19349,#19351,#19353,#19355,#19357,#19359,#19361,#19363, +#19365)); +#19367=FACE_OUTER_BOUND('',#19366,.F.); +#19369=ORIENTED_EDGE('',*,*,#19368,.T.); +#19371=ORIENTED_EDGE('',*,*,#19370,.T.); +#19372=EDGE_LOOP('',(#19369,#19371)); +#19373=FACE_BOUND('',#19372,.F.); +#19375=CARTESIAN_POINT('',(0.E0,0.E0,-1.9275E1)); +#19376=DIRECTION('',(0.E0,0.E0,1.E0)); +#19377=DIRECTION('',(1.E0,0.E0,0.E0)); +#19378=AXIS2_PLACEMENT_3D('',#19375,#19376,#19377); +#19379=PLANE('',#19378); +#19381=ORIENTED_EDGE('',*,*,#19380,.F.); +#19383=ORIENTED_EDGE('',*,*,#19382,.F.); +#19385=ORIENTED_EDGE('',*,*,#19384,.F.); +#19387=ORIENTED_EDGE('',*,*,#19386,.F.); +#19389=ORIENTED_EDGE('',*,*,#19388,.F.); +#19391=ORIENTED_EDGE('',*,*,#19390,.F.); +#19393=ORIENTED_EDGE('',*,*,#19392,.F.); +#19395=ORIENTED_EDGE('',*,*,#19394,.F.); +#19397=ORIENTED_EDGE('',*,*,#19396,.F.); +#19398=EDGE_LOOP('',(#19381,#19383,#19385,#19387,#19389,#19391,#19393,#19395, +#19397)); +#19399=FACE_OUTER_BOUND('',#19398,.F.); +#19401=ORIENTED_EDGE('',*,*,#19400,.T.); +#19403=ORIENTED_EDGE('',*,*,#19402,.T.); +#19404=EDGE_LOOP('',(#19401,#19403)); +#19405=FACE_BOUND('',#19404,.F.); +#19407=CARTESIAN_POINT('',(0.E0,0.E0,-1.9275E1)); +#19408=DIRECTION('',(0.E0,0.E0,1.E0)); +#19409=DIRECTION('',(1.E0,0.E0,0.E0)); +#19410=AXIS2_PLACEMENT_3D('',#19407,#19408,#19409); +#19411=PLANE('',#19410); +#19413=ORIENTED_EDGE('',*,*,#19412,.F.); +#19415=ORIENTED_EDGE('',*,*,#19414,.F.); +#19417=ORIENTED_EDGE('',*,*,#19416,.F.); +#19419=ORIENTED_EDGE('',*,*,#19418,.F.); +#19421=ORIENTED_EDGE('',*,*,#19420,.F.); +#19423=ORIENTED_EDGE('',*,*,#19422,.F.); +#19425=ORIENTED_EDGE('',*,*,#19424,.F.); +#19426=ORIENTED_EDGE('',*,*,#16576,.F.); +#19427=EDGE_LOOP('',(#19413,#19415,#19417,#19419,#19421,#19423,#19425,#19426)); +#19428=FACE_OUTER_BOUND('',#19427,.F.); +#19430=ORIENTED_EDGE('',*,*,#19429,.T.); +#19432=ORIENTED_EDGE('',*,*,#19431,.T.); +#19433=EDGE_LOOP('',(#19430,#19432)); +#19434=FACE_BOUND('',#19433,.F.); +#19436=CARTESIAN_POINT('',(1.995E1,1.28E1,-2.E1)); +#19437=DIRECTION('',(0.E0,0.E0,1.E0)); +#19438=DIRECTION('',(1.E0,0.E0,0.E0)); +#19439=AXIS2_PLACEMENT_3D('',#19436,#19437,#19438); +#19440=CYLINDRICAL_SURFACE('',#19439,1.E0); +#19441=ORIENTED_EDGE('',*,*,#15605,.T.); +#19443=ORIENTED_EDGE('',*,*,#19442,.T.); +#19444=ORIENTED_EDGE('',*,*,#19322,.T.); +#19445=ORIENTED_EDGE('',*,*,#16581,.F.); +#19446=EDGE_LOOP('',(#19441,#19443,#19444,#19445)); +#19447=FACE_OUTER_BOUND('',#19446,.F.); +#19449=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.E1)); +#19450=DIRECTION('',(0.E0,0.E0,1.E0)); +#19451=DIRECTION('',(1.E0,0.E0,0.E0)); +#19452=AXIS2_PLACEMENT_3D('',#19449,#19450,#19451); +#19453=CYLINDRICAL_SURFACE('',#19452,3.25E0); +#19454=ORIENTED_EDGE('',*,*,#15603,.F.); +#19456=ORIENTED_EDGE('',*,*,#19455,.T.); +#19457=ORIENTED_EDGE('',*,*,#19324,.T.); +#19458=ORIENTED_EDGE('',*,*,#19442,.F.); +#19459=EDGE_LOOP('',(#19454,#19456,#19457,#19458)); +#19460=FACE_OUTER_BOUND('',#19459,.F.); +#19462=CARTESIAN_POINT('',(2.604520787991E1,1.305E1,-2.E1)); +#19463=DIRECTION('',(0.E0,1.E0,0.E0)); +#19464=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19465=AXIS2_PLACEMENT_3D('',#19462,#19463,#19464); +#19466=PLANE('',#19465); +#19467=ORIENTED_EDGE('',*,*,#15601,.F.); +#19469=ORIENTED_EDGE('',*,*,#19468,.T.); +#19470=ORIENTED_EDGE('',*,*,#19326,.T.); +#19471=ORIENTED_EDGE('',*,*,#19455,.F.); +#19472=EDGE_LOOP('',(#19467,#19469,#19470,#19471)); +#19473=FACE_OUTER_BOUND('',#19472,.F.); +#19475=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.E1)); +#19476=DIRECTION('',(0.E0,0.E0,1.E0)); +#19477=DIRECTION('',(1.E0,0.E0,0.E0)); +#19478=AXIS2_PLACEMENT_3D('',#19475,#19476,#19477); +#19479=CYLINDRICAL_SURFACE('',#19478,3.25E0); +#19480=ORIENTED_EDGE('',*,*,#15599,.F.); +#19482=ORIENTED_EDGE('',*,*,#19481,.T.); +#19483=ORIENTED_EDGE('',*,*,#19328,.T.); +#19484=ORIENTED_EDGE('',*,*,#19468,.F.); +#19485=EDGE_LOOP('',(#19480,#19482,#19483,#19484)); +#19486=FACE_OUTER_BOUND('',#19485,.F.); +#19488=CARTESIAN_POINT('',(2.263705121181E1,7.55E0,-2.E1)); +#19489=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19490=DIRECTION('',(1.E0,0.E0,0.E0)); +#19491=AXIS2_PLACEMENT_3D('',#19488,#19489,#19490); +#19492=PLANE('',#19491); +#19493=ORIENTED_EDGE('',*,*,#15597,.F.); +#19495=ORIENTED_EDGE('',*,*,#19494,.T.); +#19496=ORIENTED_EDGE('',*,*,#19330,.T.); +#19497=ORIENTED_EDGE('',*,*,#19481,.F.); +#19498=EDGE_LOOP('',(#19493,#19495,#19496,#19497)); +#19499=FACE_OUTER_BOUND('',#19498,.F.); +#19501=CARTESIAN_POINT('',(2.263705121181E1,6.55E0,-2.E1)); +#19502=DIRECTION('',(0.E0,0.E0,1.E0)); +#19503=DIRECTION('',(1.E0,0.E0,0.E0)); +#19504=AXIS2_PLACEMENT_3D('',#19501,#19502,#19503); +#19505=CYLINDRICAL_SURFACE('',#19504,1.E0); +#19506=ORIENTED_EDGE('',*,*,#19307,.F.); +#19507=ORIENTED_EDGE('',*,*,#19332,.T.); +#19508=ORIENTED_EDGE('',*,*,#19494,.F.); +#19509=ORIENTED_EDGE('',*,*,#15595,.T.); +#19510=EDGE_LOOP('',(#19506,#19507,#19508,#19509)); +#19511=FACE_OUTER_BOUND('',#19510,.F.); +#19513=CARTESIAN_POINT('',(2.17E1,5.6E0,-2.E1)); +#19514=DIRECTION('',(1.E0,0.E0,0.E0)); +#19515=DIRECTION('',(0.E0,0.E0,1.E0)); +#19516=AXIS2_PLACEMENT_3D('',#19513,#19514,#19515); +#19517=PLANE('',#19516); +#19519=ORIENTED_EDGE('',*,*,#19518,.T.); +#19520=ORIENTED_EDGE('',*,*,#19310,.F.); +#19521=ORIENTED_EDGE('',*,*,#15591,.T.); +#19523=ORIENTED_EDGE('',*,*,#19522,.T.); +#19524=ORIENTED_EDGE('',*,*,#19348,.T.); +#19526=ORIENTED_EDGE('',*,*,#19525,.T.); +#19527=EDGE_LOOP('',(#19519,#19520,#19521,#19523,#19524,#19526)); +#19528=FACE_OUTER_BOUND('',#19527,.F.); +#19530=CARTESIAN_POINT('',(2.12E1,-5.781083512941E0,-5.E-1)); +#19531=DIRECTION('',(0.E0,1.E0,0.E0)); +#19532=DIRECTION('',(0.E0,0.E0,1.E0)); +#19533=AXIS2_PLACEMENT_3D('',#19530,#19531,#19532); +#19534=CYLINDRICAL_SURFACE('',#19533,5.E-1); +#19535=ORIENTED_EDGE('',*,*,#16660,.T.); +#19536=ORIENTED_EDGE('',*,*,#19293,.T.); +#19537=ORIENTED_EDGE('',*,*,#19518,.F.); +#19539=ORIENTED_EDGE('',*,*,#19538,.F.); +#19540=EDGE_LOOP('',(#19535,#19536,#19537,#19539)); +#19541=FACE_OUTER_BOUND('',#19540,.F.); +#19543=CARTESIAN_POINT('',(1.55E1,-5.6E0,-5.E-1)); +#19544=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19545=DIRECTION('',(-2.763791480684E-2,-9.996179998705E-1,0.E0)); +#19546=AXIS2_PLACEMENT_3D('',#19543,#19544,#19545); +#19547=TOROIDAL_SURFACE('',#19546,5.7E0,5.E-1); +#19548=ORIENTED_EDGE('',*,*,#16662,.T.); +#19549=ORIENTED_EDGE('',*,*,#19538,.T.); +#19551=ORIENTED_EDGE('',*,*,#19550,.F.); +#19553=ORIENTED_EDGE('',*,*,#19552,.F.); +#19554=EDGE_LOOP('',(#19548,#19549,#19551,#19553)); +#19555=FACE_OUTER_BOUND('',#19554,.F.); +#19557=CARTESIAN_POINT('',(1.55E1,-5.6E0,1.E0)); +#19558=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19559=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19560=AXIS2_PLACEMENT_3D('',#19557,#19558,#19559); +#19561=CYLINDRICAL_SURFACE('',#19560,6.2E0); +#19562=ORIENTED_EDGE('',*,*,#19550,.T.); +#19563=ORIENTED_EDGE('',*,*,#19525,.F.); +#19564=ORIENTED_EDGE('',*,*,#19364,.T.); +#19566=ORIENTED_EDGE('',*,*,#19565,.T.); +#19567=ORIENTED_EDGE('',*,*,#15575,.T.); +#19569=ORIENTED_EDGE('',*,*,#19568,.T.); +#19570=EDGE_LOOP('',(#19562,#19563,#19564,#19566,#19567,#19569)); +#19571=FACE_OUTER_BOUND('',#19570,.F.); +#19573=CARTESIAN_POINT('',(1.955431868506E1,-1.155E1,-2.E1)); +#19574=DIRECTION('',(0.E0,0.E0,1.E0)); +#19575=DIRECTION('',(1.E0,0.E0,0.E0)); +#19576=AXIS2_PLACEMENT_3D('',#19573,#19574,#19575); +#19577=CYLINDRICAL_SURFACE('',#19576,1.E0); +#19578=ORIENTED_EDGE('',*,*,#19565,.F.); +#19579=ORIENTED_EDGE('',*,*,#19362,.T.); +#19581=ORIENTED_EDGE('',*,*,#19580,.F.); +#19582=ORIENTED_EDGE('',*,*,#15577,.T.); +#19583=EDGE_LOOP('',(#19578,#19579,#19581,#19582)); +#19584=FACE_OUTER_BOUND('',#19583,.F.); +#19586=CARTESIAN_POINT('',(1.955431868506E1,-1.055E1,-2.E1)); +#19587=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19588=DIRECTION('',(1.E0,0.E0,0.E0)); +#19589=AXIS2_PLACEMENT_3D('',#19586,#19587,#19588); +#19590=PLANE('',#19589); +#19591=ORIENTED_EDGE('',*,*,#15579,.F.); +#19592=ORIENTED_EDGE('',*,*,#19580,.T.); +#19593=ORIENTED_EDGE('',*,*,#19360,.T.); +#19595=ORIENTED_EDGE('',*,*,#19594,.F.); +#19596=EDGE_LOOP('',(#19591,#19592,#19593,#19595)); +#19597=FACE_OUTER_BOUND('',#19596,.F.); +#19599=CARTESIAN_POINT('',(2.52E1,-7.3E0,-2.E1)); +#19600=DIRECTION('',(0.E0,0.E0,1.E0)); +#19601=DIRECTION('',(1.E0,0.E0,0.E0)); +#19602=AXIS2_PLACEMENT_3D('',#19599,#19600,#19601); +#19603=CYLINDRICAL_SURFACE('',#19602,3.25E0); +#19604=ORIENTED_EDGE('',*,*,#15581,.F.); +#19605=ORIENTED_EDGE('',*,*,#19594,.T.); +#19606=ORIENTED_EDGE('',*,*,#19358,.T.); +#19608=ORIENTED_EDGE('',*,*,#19607,.F.); +#19609=EDGE_LOOP('',(#19604,#19605,#19606,#19608)); +#19610=FACE_OUTER_BOUND('',#19609,.F.); +#19612=CARTESIAN_POINT('',(2.74E1,-9.692174742781E0,-2.E1)); +#19613=DIRECTION('',(1.E0,0.E0,0.E0)); +#19614=DIRECTION('',(0.E0,1.E0,0.E0)); +#19615=AXIS2_PLACEMENT_3D('',#19612,#19613,#19614); +#19616=PLANE('',#19615); +#19617=ORIENTED_EDGE('',*,*,#15583,.F.); +#19618=ORIENTED_EDGE('',*,*,#19607,.T.); +#19619=ORIENTED_EDGE('',*,*,#19356,.T.); +#19621=ORIENTED_EDGE('',*,*,#19620,.F.); +#19622=EDGE_LOOP('',(#19617,#19618,#19619,#19621)); +#19623=FACE_OUTER_BOUND('',#19622,.F.); +#19625=CARTESIAN_POINT('',(2.52E1,-7.3E0,-2.E1)); +#19626=DIRECTION('',(0.E0,0.E0,1.E0)); +#19627=DIRECTION('',(1.E0,0.E0,0.E0)); +#19628=AXIS2_PLACEMENT_3D('',#19625,#19626,#19627); +#19629=CYLINDRICAL_SURFACE('',#19628,3.25E0); +#19630=ORIENTED_EDGE('',*,*,#15585,.F.); +#19631=ORIENTED_EDGE('',*,*,#19620,.T.); +#19632=ORIENTED_EDGE('',*,*,#19354,.T.); +#19634=ORIENTED_EDGE('',*,*,#19633,.F.); +#19635=EDGE_LOOP('',(#19630,#19631,#19632,#19634)); +#19636=FACE_OUTER_BOUND('',#19635,.F.); +#19638=CARTESIAN_POINT('',(2.52E1,-4.05E0,-2.E1)); +#19639=DIRECTION('',(0.E0,1.E0,0.E0)); +#19640=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19641=AXIS2_PLACEMENT_3D('',#19638,#19639,#19640); +#19642=PLANE('',#19641); +#19643=ORIENTED_EDGE('',*,*,#15587,.F.); +#19644=ORIENTED_EDGE('',*,*,#19633,.T.); +#19645=ORIENTED_EDGE('',*,*,#19352,.T.); +#19647=ORIENTED_EDGE('',*,*,#19646,.F.); +#19648=EDGE_LOOP('',(#19643,#19644,#19645,#19647)); +#19649=FACE_OUTER_BOUND('',#19648,.F.); +#19651=CARTESIAN_POINT('',(2.27E1,-3.05E0,-2.E1)); +#19652=DIRECTION('',(0.E0,0.E0,1.E0)); +#19653=DIRECTION('',(1.E0,0.E0,0.E0)); +#19654=AXIS2_PLACEMENT_3D('',#19651,#19652,#19653); +#19655=CYLINDRICAL_SURFACE('',#19654,1.E0); +#19656=ORIENTED_EDGE('',*,*,#19522,.F.); +#19657=ORIENTED_EDGE('',*,*,#15589,.T.); +#19658=ORIENTED_EDGE('',*,*,#19646,.T.); +#19659=ORIENTED_EDGE('',*,*,#19350,.T.); +#19660=EDGE_LOOP('',(#19656,#19657,#19658,#19659)); +#19661=FACE_OUTER_BOUND('',#19660,.F.); +#19663=CARTESIAN_POINT('',(1.55E1,-1.18E1,-2.E1)); +#19664=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19665=DIRECTION('',(0.E0,0.E0,1.E0)); +#19666=AXIS2_PLACEMENT_3D('',#19663,#19664,#19665); +#19667=PLANE('',#19666); +#19669=ORIENTED_EDGE('',*,*,#19668,.T.); +#19670=ORIENTED_EDGE('',*,*,#19568,.F.); +#19671=ORIENTED_EDGE('',*,*,#15573,.T.); +#19673=ORIENTED_EDGE('',*,*,#19672,.T.); +#19674=EDGE_LOOP('',(#19669,#19670,#19671,#19673)); +#19675=FACE_OUTER_BOUND('',#19674,.F.); +#19677=CARTESIAN_POINT('',(-1.568108351294E1,-1.13E1,-5.E-1)); +#19678=DIRECTION('',(1.E0,0.E0,0.E0)); +#19679=DIRECTION('',(0.E0,0.E0,1.E0)); +#19680=AXIS2_PLACEMENT_3D('',#19677,#19678,#19679); +#19681=CYLINDRICAL_SURFACE('',#19680,5.E-1); +#19682=ORIENTED_EDGE('',*,*,#16664,.T.); +#19683=ORIENTED_EDGE('',*,*,#19552,.T.); +#19684=ORIENTED_EDGE('',*,*,#19668,.F.); +#19686=ORIENTED_EDGE('',*,*,#19685,.F.); +#19687=EDGE_LOOP('',(#19682,#19683,#19684,#19686)); +#19688=FACE_OUTER_BOUND('',#19687,.F.); +#19690=CARTESIAN_POINT('',(-1.55E1,-5.6E0,-5.E-1)); +#19691=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19692=DIRECTION('',(-9.996179998705E-1,2.763791480684E-2,0.E0)); +#19693=AXIS2_PLACEMENT_3D('',#19690,#19691,#19692); +#19694=TOROIDAL_SURFACE('',#19693,5.7E0,5.E-1); +#19695=ORIENTED_EDGE('',*,*,#16666,.T.); +#19696=ORIENTED_EDGE('',*,*,#19685,.T.); +#19698=ORIENTED_EDGE('',*,*,#19697,.F.); +#19700=ORIENTED_EDGE('',*,*,#19699,.F.); +#19701=EDGE_LOOP('',(#19695,#19696,#19698,#19700)); +#19702=FACE_OUTER_BOUND('',#19701,.F.); +#19704=CARTESIAN_POINT('',(-1.55E1,-5.6E0,1.E0)); +#19705=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19706=DIRECTION('',(0.E0,1.E0,0.E0)); +#19707=AXIS2_PLACEMENT_3D('',#19704,#19705,#19706); +#19708=CYLINDRICAL_SURFACE('',#19707,6.2E0); +#19709=ORIENTED_EDGE('',*,*,#19697,.T.); +#19710=ORIENTED_EDGE('',*,*,#19672,.F.); +#19711=ORIENTED_EDGE('',*,*,#15571,.T.); +#19713=ORIENTED_EDGE('',*,*,#19712,.F.); +#19714=ORIENTED_EDGE('',*,*,#19380,.T.); +#19716=ORIENTED_EDGE('',*,*,#19715,.T.); +#19717=EDGE_LOOP('',(#19709,#19710,#19711,#19713,#19714,#19716)); +#19718=FACE_OUTER_BOUND('',#19717,.F.); +#19720=CARTESIAN_POINT('',(-1.955431868506E1,-1.155E1,-2.E1)); +#19721=DIRECTION('',(0.E0,0.E0,1.E0)); +#19722=DIRECTION('',(1.E0,0.E0,0.E0)); +#19723=AXIS2_PLACEMENT_3D('',#19720,#19721,#19722); +#19724=CYLINDRICAL_SURFACE('',#19723,1.E0); +#19725=ORIENTED_EDGE('',*,*,#19712,.T.); +#19726=ORIENTED_EDGE('',*,*,#15569,.T.); +#19728=ORIENTED_EDGE('',*,*,#19727,.T.); +#19729=ORIENTED_EDGE('',*,*,#19382,.T.); +#19730=EDGE_LOOP('',(#19725,#19726,#19728,#19729)); +#19731=FACE_OUTER_BOUND('',#19730,.F.); +#19733=CARTESIAN_POINT('',(-2.52E1,-1.055E1,-2.E1)); +#19734=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19735=DIRECTION('',(1.E0,0.E0,0.E0)); +#19736=AXIS2_PLACEMENT_3D('',#19733,#19734,#19735); +#19737=PLANE('',#19736); +#19738=ORIENTED_EDGE('',*,*,#15567,.F.); +#19740=ORIENTED_EDGE('',*,*,#19739,.T.); +#19741=ORIENTED_EDGE('',*,*,#19384,.T.); +#19742=ORIENTED_EDGE('',*,*,#19727,.F.); +#19743=EDGE_LOOP('',(#19738,#19740,#19741,#19742)); +#19744=FACE_OUTER_BOUND('',#19743,.F.); +#19746=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-2.E1)); +#19747=DIRECTION('',(0.E0,0.E0,1.E0)); +#19748=DIRECTION('',(1.E0,0.E0,0.E0)); +#19749=AXIS2_PLACEMENT_3D('',#19746,#19747,#19748); +#19750=CYLINDRICAL_SURFACE('',#19749,3.25E0); +#19751=ORIENTED_EDGE('',*,*,#15565,.F.); +#19753=ORIENTED_EDGE('',*,*,#19752,.T.); +#19754=ORIENTED_EDGE('',*,*,#19386,.T.); +#19755=ORIENTED_EDGE('',*,*,#19739,.F.); +#19756=EDGE_LOOP('',(#19751,#19753,#19754,#19755)); +#19757=FACE_OUTER_BOUND('',#19756,.F.); +#19759=CARTESIAN_POINT('',(-2.74E1,-4.907825257219E0,-2.E1)); +#19760=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19761=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19762=AXIS2_PLACEMENT_3D('',#19759,#19760,#19761); +#19763=PLANE('',#19762); +#19764=ORIENTED_EDGE('',*,*,#15563,.F.); +#19766=ORIENTED_EDGE('',*,*,#19765,.T.); +#19767=ORIENTED_EDGE('',*,*,#19388,.T.); +#19768=ORIENTED_EDGE('',*,*,#19752,.F.); +#19769=EDGE_LOOP('',(#19764,#19766,#19767,#19768)); +#19770=FACE_OUTER_BOUND('',#19769,.F.); +#19772=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-2.E1)); +#19773=DIRECTION('',(0.E0,0.E0,1.E0)); +#19774=DIRECTION('',(1.E0,0.E0,0.E0)); +#19775=AXIS2_PLACEMENT_3D('',#19772,#19773,#19774); +#19776=CYLINDRICAL_SURFACE('',#19775,3.25E0); +#19777=ORIENTED_EDGE('',*,*,#15561,.F.); +#19779=ORIENTED_EDGE('',*,*,#19778,.T.); +#19780=ORIENTED_EDGE('',*,*,#19390,.T.); +#19781=ORIENTED_EDGE('',*,*,#19765,.F.); +#19782=EDGE_LOOP('',(#19777,#19779,#19780,#19781)); +#19783=FACE_OUTER_BOUND('',#19782,.F.); +#19785=CARTESIAN_POINT('',(-2.27E1,-4.05E0,-2.E1)); +#19786=DIRECTION('',(0.E0,1.E0,0.E0)); +#19787=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19788=AXIS2_PLACEMENT_3D('',#19785,#19786,#19787); +#19789=PLANE('',#19788); +#19790=ORIENTED_EDGE('',*,*,#15559,.F.); +#19792=ORIENTED_EDGE('',*,*,#19791,.T.); +#19793=ORIENTED_EDGE('',*,*,#19392,.T.); +#19794=ORIENTED_EDGE('',*,*,#19778,.F.); +#19795=EDGE_LOOP('',(#19790,#19792,#19793,#19794)); +#19796=FACE_OUTER_BOUND('',#19795,.F.); +#19798=CARTESIAN_POINT('',(-2.27E1,-3.05E0,-2.E1)); +#19799=DIRECTION('',(0.E0,0.E0,1.E0)); +#19800=DIRECTION('',(1.E0,0.E0,0.E0)); +#19801=AXIS2_PLACEMENT_3D('',#19798,#19799,#19800); +#19802=CYLINDRICAL_SURFACE('',#19801,1.E0); +#19804=ORIENTED_EDGE('',*,*,#19803,.T.); +#19805=ORIENTED_EDGE('',*,*,#19394,.T.); +#19806=ORIENTED_EDGE('',*,*,#19791,.F.); +#19807=ORIENTED_EDGE('',*,*,#15557,.T.); +#19808=EDGE_LOOP('',(#19804,#19805,#19806,#19807)); +#19809=FACE_OUTER_BOUND('',#19808,.F.); +#19811=CARTESIAN_POINT('',(-2.17E1,-5.6E0,-2.E1)); +#19812=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19813=DIRECTION('',(0.E0,0.E0,1.E0)); +#19814=AXIS2_PLACEMENT_3D('',#19811,#19812,#19813); +#19815=PLANE('',#19814); +#19817=ORIENTED_EDGE('',*,*,#19816,.T.); +#19818=ORIENTED_EDGE('',*,*,#19715,.F.); +#19819=ORIENTED_EDGE('',*,*,#19396,.T.); +#19820=ORIENTED_EDGE('',*,*,#19803,.F.); +#19821=ORIENTED_EDGE('',*,*,#15555,.T.); +#19823=ORIENTED_EDGE('',*,*,#19822,.T.); +#19824=EDGE_LOOP('',(#19817,#19818,#19819,#19820,#19821,#19823)); +#19825=FACE_OUTER_BOUND('',#19824,.F.); +#19827=CARTESIAN_POINT('',(-2.12E1,5.781083512941E0,-5.E-1)); +#19828=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19829=DIRECTION('',(0.E0,0.E0,1.E0)); +#19830=AXIS2_PLACEMENT_3D('',#19827,#19828,#19829); +#19831=CYLINDRICAL_SURFACE('',#19830,5.E-1); +#19832=ORIENTED_EDGE('',*,*,#16668,.T.); +#19833=ORIENTED_EDGE('',*,*,#19699,.T.); +#19834=ORIENTED_EDGE('',*,*,#19816,.F.); +#19836=ORIENTED_EDGE('',*,*,#19835,.F.); +#19837=EDGE_LOOP('',(#19832,#19833,#19834,#19836)); +#19838=FACE_OUTER_BOUND('',#19837,.F.); +#19840=CARTESIAN_POINT('',(-1.55E1,5.6E0,-5.E-1)); +#19841=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19842=DIRECTION('',(2.763791480684E-2,9.996179998705E-1,0.E0)); +#19843=AXIS2_PLACEMENT_3D('',#19840,#19841,#19842); +#19844=TOROIDAL_SURFACE('',#19843,5.7E0,5.E-1); +#19845=ORIENTED_EDGE('',*,*,#16670,.T.); +#19846=ORIENTED_EDGE('',*,*,#19835,.T.); +#19848=ORIENTED_EDGE('',*,*,#19847,.F.); +#19850=ORIENTED_EDGE('',*,*,#19849,.F.); +#19851=EDGE_LOOP('',(#19845,#19846,#19848,#19850)); +#19852=FACE_OUTER_BOUND('',#19851,.F.); +#19854=CARTESIAN_POINT('',(-1.55E1,5.6E0,1.E0)); +#19855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19856=DIRECTION('',(1.E0,0.E0,0.E0)); +#19857=AXIS2_PLACEMENT_3D('',#19854,#19855,#19856); +#19858=CYLINDRICAL_SURFACE('',#19857,6.2E0); +#19859=ORIENTED_EDGE('',*,*,#19847,.T.); +#19860=ORIENTED_EDGE('',*,*,#19822,.F.); +#19861=ORIENTED_EDGE('',*,*,#15553,.T.); +#19863=ORIENTED_EDGE('',*,*,#19862,.F.); +#19864=ORIENTED_EDGE('',*,*,#19412,.T.); +#19865=ORIENTED_EDGE('',*,*,#16574,.T.); +#19866=EDGE_LOOP('',(#19859,#19860,#19861,#19863,#19864,#19865)); +#19867=FACE_OUTER_BOUND('',#19866,.F.); +#19869=CARTESIAN_POINT('',(-2.263705121181E1,6.55E0,-2.E1)); +#19870=DIRECTION('',(0.E0,0.E0,1.E0)); +#19871=DIRECTION('',(1.E0,0.E0,0.E0)); +#19872=AXIS2_PLACEMENT_3D('',#19869,#19870,#19871); +#19873=CYLINDRICAL_SURFACE('',#19872,1.E0); +#19874=ORIENTED_EDGE('',*,*,#19862,.T.); +#19875=ORIENTED_EDGE('',*,*,#15551,.T.); +#19877=ORIENTED_EDGE('',*,*,#19876,.T.); +#19878=ORIENTED_EDGE('',*,*,#19414,.T.); +#19879=EDGE_LOOP('',(#19874,#19875,#19877,#19878)); +#19880=FACE_OUTER_BOUND('',#19879,.F.); +#19882=CARTESIAN_POINT('',(-2.37E1,7.55E0,-2.E1)); +#19883=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19884=DIRECTION('',(1.E0,0.E0,0.E0)); +#19885=AXIS2_PLACEMENT_3D('',#19882,#19883,#19884); +#19886=PLANE('',#19885); +#19887=ORIENTED_EDGE('',*,*,#15549,.F.); +#19889=ORIENTED_EDGE('',*,*,#19888,.T.); +#19890=ORIENTED_EDGE('',*,*,#19416,.T.); +#19891=ORIENTED_EDGE('',*,*,#19876,.F.); +#19892=EDGE_LOOP('',(#19887,#19889,#19890,#19891)); +#19893=FACE_OUTER_BOUND('',#19892,.F.); +#19895=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.E1)); +#19896=DIRECTION('',(0.E0,0.E0,1.E0)); +#19897=DIRECTION('',(1.E0,0.E0,0.E0)); +#19898=AXIS2_PLACEMENT_3D('',#19895,#19896,#19897); +#19899=CYLINDRICAL_SURFACE('',#19898,3.25E0); +#19900=ORIENTED_EDGE('',*,*,#15547,.F.); +#19902=ORIENTED_EDGE('',*,*,#19901,.T.); +#19903=ORIENTED_EDGE('',*,*,#19418,.T.); +#19904=ORIENTED_EDGE('',*,*,#19888,.F.); +#19905=EDGE_LOOP('',(#19900,#19902,#19903,#19904)); +#19906=FACE_OUTER_BOUND('',#19905,.F.); +#19908=CARTESIAN_POINT('',(-2.135479212009E1,1.305E1,-2.E1)); +#19909=DIRECTION('',(0.E0,1.E0,0.E0)); +#19910=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19911=AXIS2_PLACEMENT_3D('',#19908,#19909,#19910); +#19912=PLANE('',#19911); +#19913=ORIENTED_EDGE('',*,*,#15545,.F.); +#19915=ORIENTED_EDGE('',*,*,#19914,.T.); +#19916=ORIENTED_EDGE('',*,*,#19420,.T.); +#19917=ORIENTED_EDGE('',*,*,#19901,.F.); +#19918=EDGE_LOOP('',(#19913,#19915,#19916,#19917)); +#19919=FACE_OUTER_BOUND('',#19918,.F.); +#19921=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.E1)); +#19922=DIRECTION('',(0.E0,0.E0,1.E0)); +#19923=DIRECTION('',(1.E0,0.E0,0.E0)); +#19924=AXIS2_PLACEMENT_3D('',#19921,#19922,#19923); +#19925=CYLINDRICAL_SURFACE('',#19924,3.25E0); +#19926=ORIENTED_EDGE('',*,*,#15543,.F.); +#19928=ORIENTED_EDGE('',*,*,#19927,.T.); +#19929=ORIENTED_EDGE('',*,*,#19422,.T.); +#19930=ORIENTED_EDGE('',*,*,#19914,.F.); +#19931=EDGE_LOOP('',(#19926,#19928,#19929,#19930)); +#19932=FACE_OUTER_BOUND('',#19931,.F.); +#19934=CARTESIAN_POINT('',(-1.995E1,1.28E1,-2.E1)); +#19935=DIRECTION('',(0.E0,0.E0,1.E0)); +#19936=DIRECTION('',(1.E0,0.E0,0.E0)); +#19937=AXIS2_PLACEMENT_3D('',#19934,#19935,#19936); +#19938=CYLINDRICAL_SURFACE('',#19937,1.E0); +#19939=ORIENTED_EDGE('',*,*,#15541,.T.); +#19940=ORIENTED_EDGE('',*,*,#16578,.T.); +#19941=ORIENTED_EDGE('',*,*,#19424,.T.); +#19942=ORIENTED_EDGE('',*,*,#19927,.F.); +#19943=EDGE_LOOP('',(#19939,#19940,#19941,#19942)); +#19944=FACE_OUTER_BOUND('',#19943,.F.); +#19946=CARTESIAN_POINT('',(1.0965888E2,1.13E1,-5.E-1)); +#19947=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19948=DIRECTION('',(0.E0,0.E0,1.E0)); +#19949=AXIS2_PLACEMENT_3D('',#19946,#19947,#19948); +#19950=CYLINDRICAL_SURFACE('',#19949,5.E-1); +#19951=ORIENTED_EDGE('',*,*,#16672,.T.); +#19952=ORIENTED_EDGE('',*,*,#19849,.T.); +#19953=ORIENTED_EDGE('',*,*,#16572,.F.); +#19955=ORIENTED_EDGE('',*,*,#19954,.T.); +#19956=EDGE_LOOP('',(#19951,#19952,#19953,#19955)); +#19957=FACE_OUTER_BOUND('',#19956,.F.); +#19959=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,0.E0)); +#19960=DIRECTION('',(-9.961946980917E-1,8.715574274766E-2,0.E0)); +#19961=DIRECTION('',(-8.715574274766E-2,-9.961946980917E-1,0.E0)); +#19962=AXIS2_PLACEMENT_3D('',#19959,#19960,#19961); +#19963=PLANE('',#19962); +#19965=ORIENTED_EDGE('',*,*,#19964,.T.); +#19966=ORIENTED_EDGE('',*,*,#16674,.F.); +#19967=ORIENTED_EDGE('',*,*,#19954,.F.); +#19968=ORIENTED_EDGE('',*,*,#16570,.T.); +#19970=ORIENTED_EDGE('',*,*,#19969,.F.); +#19972=ORIENTED_EDGE('',*,*,#19971,.F.); +#19974=ORIENTED_EDGE('',*,*,#19973,.F.); +#19975=EDGE_LOOP('',(#19965,#19966,#19967,#19968,#19970,#19972,#19974)); +#19976=FACE_OUTER_BOUND('',#19975,.F.); +#19978=CARTESIAN_POINT('',(1.0965888E2,1.149449725639E1,-5.E-1)); +#19979=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19980=DIRECTION('',(0.E0,0.E0,1.E0)); +#19981=AXIS2_PLACEMENT_3D('',#19978,#19979,#19980); +#19982=CYLINDRICAL_SURFACE('',#19981,5.E-1); +#19983=ORIENTED_EDGE('',*,*,#16676,.T.); +#19984=ORIENTED_EDGE('',*,*,#19964,.F.); +#19986=ORIENTED_EDGE('',*,*,#19985,.F.); +#19988=ORIENTED_EDGE('',*,*,#19987,.F.); +#19989=EDGE_LOOP('',(#19983,#19984,#19986,#19988)); +#19990=FACE_OUTER_BOUND('',#19989,.F.); +#19992=CARTESIAN_POINT('',(-4.383348448632E0,1.18E1,0.E0)); +#19993=DIRECTION('',(0.E0,-8.898174628127E-1,-4.563166475963E-1)); +#19994=DIRECTION('',(0.E0,4.563166475963E-1,-8.898174628127E-1)); +#19995=AXIS2_PLACEMENT_3D('',#19992,#19993,#19994); +#19996=PLANE('',#19995); +#19997=ORIENTED_EDGE('',*,*,#16641,.T.); +#19998=ORIENTED_EDGE('',*,*,#16628,.T.); +#20000=ORIENTED_EDGE('',*,*,#19999,.F.); +#20001=ORIENTED_EDGE('',*,*,#19262,.F.); +#20002=EDGE_LOOP('',(#19997,#19998,#20000,#20001)); +#20003=FACE_OUTER_BOUND('',#20002,.F.); +#20005=CARTESIAN_POINT('',(-4.383348448632E0,1.18E1,0.E0)); +#20006=DIRECTION('',(0.E0,-8.898174628127E-1,-4.563166475963E-1)); +#20007=DIRECTION('',(0.E0,4.563166475963E-1,-8.898174628127E-1)); +#20008=AXIS2_PLACEMENT_3D('',#20005,#20006,#20007); +#20009=PLANE('',#20008); +#20010=ORIENTED_EDGE('',*,*,#19985,.T.); +#20011=ORIENTED_EDGE('',*,*,#19973,.T.); +#20013=ORIENTED_EDGE('',*,*,#20012,.F.); +#20015=ORIENTED_EDGE('',*,*,#20014,.F.); +#20016=EDGE_LOOP('',(#20010,#20011,#20013,#20015)); +#20017=FACE_OUTER_BOUND('',#20016,.F.); +#20019=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,0.E0)); +#20020=DIRECTION('',(0.E0,1.E0,0.E0)); +#20021=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20022=AXIS2_PLACEMENT_3D('',#20019,#20020,#20021); +#20023=PLANE('',#20022); +#20024=ORIENTED_EDGE('',*,*,#19999,.T.); +#20025=ORIENTED_EDGE('',*,*,#16626,.T.); +#20027=ORIENTED_EDGE('',*,*,#20026,.F.); +#20028=ORIENTED_EDGE('',*,*,#19264,.F.); +#20029=EDGE_LOOP('',(#20024,#20025,#20027,#20028)); +#20030=FACE_OUTER_BOUND('',#20029,.F.); +#20032=CARTESIAN_POINT('',(0.E0,0.E0,-5.4E0)); +#20033=DIRECTION('',(0.E0,0.E0,1.E0)); +#20034=DIRECTION('',(1.E0,0.E0,0.E0)); +#20035=AXIS2_PLACEMENT_3D('',#20032,#20033,#20034); +#20036=PLANE('',#20035); +#20037=ORIENTED_EDGE('',*,*,#16568,.T.); +#20039=ORIENTED_EDGE('',*,*,#20038,.T.); +#20041=ORIENTED_EDGE('',*,*,#20040,.T.); +#20042=ORIENTED_EDGE('',*,*,#19969,.T.); +#20043=EDGE_LOOP('',(#20037,#20039,#20041,#20042)); +#20044=FACE_OUTER_BOUND('',#20043,.F.); +#20046=CARTESIAN_POINT('',(0.E0,0.E0,-5.4E0)); +#20047=DIRECTION('',(0.E0,0.E0,1.E0)); +#20048=DIRECTION('',(1.E0,0.E0,0.E0)); +#20049=AXIS2_PLACEMENT_3D('',#20046,#20047,#20048); +#20050=PLANE('',#20049); +#20051=ORIENTED_EDGE('',*,*,#16591,.T.); +#20052=ORIENTED_EDGE('',*,*,#19266,.T.); +#20053=ORIENTED_EDGE('',*,*,#20026,.T.); +#20054=ORIENTED_EDGE('',*,*,#16624,.T.); +#20055=EDGE_LOOP('',(#20051,#20052,#20053,#20054)); +#20056=FACE_OUTER_BOUND('',#20055,.F.); +#20058=CARTESIAN_POINT('',(-2.7E0,1.18E1,0.E0)); +#20059=DIRECTION('',(9.961946980917E-1,8.715574274766E-2,0.E0)); +#20060=DIRECTION('',(-8.715574274766E-2,9.961946980917E-1,0.E0)); +#20061=AXIS2_PLACEMENT_3D('',#20058,#20059,#20060); +#20062=PLANE('',#20061); +#20063=ORIENTED_EDGE('',*,*,#16566,.F.); +#20064=ORIENTED_EDGE('',*,*,#16608,.F.); +#20065=ORIENTED_EDGE('',*,*,#16678,.T.); +#20066=ORIENTED_EDGE('',*,*,#19987,.T.); +#20067=ORIENTED_EDGE('',*,*,#20014,.T.); +#20069=ORIENTED_EDGE('',*,*,#20068,.T.); +#20070=ORIENTED_EDGE('',*,*,#20038,.F.); +#20071=EDGE_LOOP('',(#20063,#20064,#20065,#20066,#20067,#20069,#20070)); +#20072=FACE_OUTER_BOUND('',#20071,.F.); +#20074=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,0.E0)); +#20075=DIRECTION('',(0.E0,1.E0,0.E0)); +#20076=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20077=AXIS2_PLACEMENT_3D('',#20074,#20075,#20076); +#20078=PLANE('',#20077); +#20079=ORIENTED_EDGE('',*,*,#20012,.T.); +#20080=ORIENTED_EDGE('',*,*,#19971,.T.); +#20081=ORIENTED_EDGE('',*,*,#20040,.F.); +#20082=ORIENTED_EDGE('',*,*,#20068,.F.); +#20083=EDGE_LOOP('',(#20079,#20080,#20081,#20082)); +#20084=FACE_OUTER_BOUND('',#20083,.F.); +#20086=CARTESIAN_POINT('',(-2.37E1,1.08E1,-1.9275E1)); +#20087=DIRECTION('',(0.E0,0.E0,1.E0)); +#20088=DIRECTION('',(1.E0,0.E0,0.E0)); +#20089=AXIS2_PLACEMENT_3D('',#20086,#20087,#20088); +#20090=CYLINDRICAL_SURFACE('',#20089,1.085E0); +#20091=ORIENTED_EDGE('',*,*,#19429,.F.); +#20093=ORIENTED_EDGE('',*,*,#20092,.T.); +#20095=ORIENTED_EDGE('',*,*,#20094,.T.); +#20097=ORIENTED_EDGE('',*,*,#20096,.F.); +#20098=EDGE_LOOP('',(#20091,#20093,#20095,#20097)); +#20099=FACE_OUTER_BOUND('',#20098,.F.); +#20101=CARTESIAN_POINT('',(-2.37E1,1.08E1,-1.9275E1)); +#20102=DIRECTION('',(0.E0,0.E0,1.E0)); +#20103=DIRECTION('',(1.E0,0.E0,0.E0)); +#20104=AXIS2_PLACEMENT_3D('',#20101,#20102,#20103); +#20105=CYLINDRICAL_SURFACE('',#20104,1.085E0); +#20106=ORIENTED_EDGE('',*,*,#19431,.F.); +#20107=ORIENTED_EDGE('',*,*,#20096,.T.); +#20109=ORIENTED_EDGE('',*,*,#20108,.T.); +#20110=ORIENTED_EDGE('',*,*,#20092,.F.); +#20111=EDGE_LOOP('',(#20106,#20107,#20109,#20110)); +#20112=FACE_OUTER_BOUND('',#20111,.F.); +#20114=CARTESIAN_POINT('',(0.E0,0.E0,-2.0275E1)); +#20115=DIRECTION('',(0.E0,0.E0,1.E0)); +#20116=DIRECTION('',(1.E0,0.E0,0.E0)); +#20117=AXIS2_PLACEMENT_3D('',#20114,#20115,#20116); +#20118=PLANE('',#20117); +#20119=ORIENTED_EDGE('',*,*,#20094,.F.); +#20120=ORIENTED_EDGE('',*,*,#20108,.F.); +#20121=EDGE_LOOP('',(#20119,#20120)); +#20122=FACE_OUTER_BOUND('',#20121,.F.); +#20124=CARTESIAN_POINT('',(0.E0,0.E0,-2.0275E1)); +#20125=DIRECTION('',(0.E0,0.E0,1.E0)); +#20126=DIRECTION('',(1.E0,0.E0,0.E0)); +#20127=AXIS2_PLACEMENT_3D('',#20124,#20125,#20126); +#20128=PLANE('',#20127); +#20130=ORIENTED_EDGE('',*,*,#20129,.F.); +#20132=ORIENTED_EDGE('',*,*,#20131,.F.); +#20133=EDGE_LOOP('',(#20130,#20132)); +#20134=FACE_OUTER_BOUND('',#20133,.F.); +#20136=CARTESIAN_POINT('',(0.E0,0.E0,-2.0275E1)); +#20137=DIRECTION('',(0.E0,0.E0,1.E0)); +#20138=DIRECTION('',(1.E0,0.E0,0.E0)); +#20139=AXIS2_PLACEMENT_3D('',#20136,#20137,#20138); +#20140=PLANE('',#20139); +#20142=ORIENTED_EDGE('',*,*,#20141,.F.); +#20144=ORIENTED_EDGE('',*,*,#20143,.F.); +#20145=EDGE_LOOP('',(#20142,#20144)); +#20146=FACE_OUTER_BOUND('',#20145,.F.); +#20148=CARTESIAN_POINT('',(0.E0,0.E0,-2.0275E1)); +#20149=DIRECTION('',(0.E0,0.E0,1.E0)); +#20150=DIRECTION('',(1.E0,0.E0,0.E0)); +#20151=AXIS2_PLACEMENT_3D('',#20148,#20149,#20150); +#20152=PLANE('',#20151); +#20154=ORIENTED_EDGE('',*,*,#20153,.F.); +#20156=ORIENTED_EDGE('',*,*,#20155,.F.); +#20157=EDGE_LOOP('',(#20154,#20156)); +#20158=FACE_OUTER_BOUND('',#20157,.F.); +#20160=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-1.9275E1)); +#20161=DIRECTION('',(0.E0,0.E0,1.E0)); +#20162=DIRECTION('',(1.E0,0.E0,0.E0)); +#20163=AXIS2_PLACEMENT_3D('',#20160,#20161,#20162); +#20164=CYLINDRICAL_SURFACE('',#20163,1.085E0); +#20165=ORIENTED_EDGE('',*,*,#19400,.F.); +#20167=ORIENTED_EDGE('',*,*,#20166,.T.); +#20168=ORIENTED_EDGE('',*,*,#20129,.T.); +#20170=ORIENTED_EDGE('',*,*,#20169,.F.); +#20171=EDGE_LOOP('',(#20165,#20167,#20168,#20170)); +#20172=FACE_OUTER_BOUND('',#20171,.F.); +#20174=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-1.9275E1)); +#20175=DIRECTION('',(0.E0,0.E0,1.E0)); +#20176=DIRECTION('',(1.E0,0.E0,0.E0)); +#20177=AXIS2_PLACEMENT_3D('',#20174,#20175,#20176); +#20178=CYLINDRICAL_SURFACE('',#20177,1.085E0); +#20179=ORIENTED_EDGE('',*,*,#19402,.F.); +#20180=ORIENTED_EDGE('',*,*,#20169,.T.); +#20181=ORIENTED_EDGE('',*,*,#20131,.T.); +#20182=ORIENTED_EDGE('',*,*,#20166,.F.); +#20183=EDGE_LOOP('',(#20179,#20180,#20181,#20182)); +#20184=FACE_OUTER_BOUND('',#20183,.F.); +#20186=CARTESIAN_POINT('',(2.37E1,1.08E1,-1.9275E1)); +#20187=DIRECTION('',(0.E0,0.E0,1.E0)); +#20188=DIRECTION('',(1.E0,0.E0,0.E0)); +#20189=AXIS2_PLACEMENT_3D('',#20186,#20187,#20188); +#20190=CYLINDRICAL_SURFACE('',#20189,1.085E0); +#20191=ORIENTED_EDGE('',*,*,#19336,.F.); +#20193=ORIENTED_EDGE('',*,*,#20192,.T.); +#20194=ORIENTED_EDGE('',*,*,#20141,.T.); +#20196=ORIENTED_EDGE('',*,*,#20195,.F.); +#20197=EDGE_LOOP('',(#20191,#20193,#20194,#20196)); +#20198=FACE_OUTER_BOUND('',#20197,.F.); +#20200=CARTESIAN_POINT('',(2.37E1,1.08E1,-1.9275E1)); +#20201=DIRECTION('',(0.E0,0.E0,1.E0)); +#20202=DIRECTION('',(1.E0,0.E0,0.E0)); +#20203=AXIS2_PLACEMENT_3D('',#20200,#20201,#20202); +#20204=CYLINDRICAL_SURFACE('',#20203,1.085E0); +#20205=ORIENTED_EDGE('',*,*,#19338,.F.); +#20206=ORIENTED_EDGE('',*,*,#20195,.T.); +#20207=ORIENTED_EDGE('',*,*,#20143,.T.); +#20208=ORIENTED_EDGE('',*,*,#20192,.F.); +#20209=EDGE_LOOP('',(#20205,#20206,#20207,#20208)); +#20210=FACE_OUTER_BOUND('',#20209,.F.); +#20212=CARTESIAN_POINT('',(2.52E1,-7.3E0,-1.9275E1)); +#20213=DIRECTION('',(0.E0,0.E0,1.E0)); +#20214=DIRECTION('',(1.E0,0.E0,0.E0)); +#20215=AXIS2_PLACEMENT_3D('',#20212,#20213,#20214); +#20216=CYLINDRICAL_SURFACE('',#20215,1.085E0); +#20217=ORIENTED_EDGE('',*,*,#19368,.F.); +#20219=ORIENTED_EDGE('',*,*,#20218,.T.); +#20220=ORIENTED_EDGE('',*,*,#20153,.T.); +#20222=ORIENTED_EDGE('',*,*,#20221,.F.); +#20223=EDGE_LOOP('',(#20217,#20219,#20220,#20222)); +#20224=FACE_OUTER_BOUND('',#20223,.F.); +#20226=CARTESIAN_POINT('',(2.52E1,-7.3E0,-1.9275E1)); +#20227=DIRECTION('',(0.E0,0.E0,1.E0)); +#20228=DIRECTION('',(1.E0,0.E0,0.E0)); +#20229=AXIS2_PLACEMENT_3D('',#20226,#20227,#20228); +#20230=CYLINDRICAL_SURFACE('',#20229,1.085E0); +#20231=ORIENTED_EDGE('',*,*,#19370,.F.); +#20232=ORIENTED_EDGE('',*,*,#20221,.T.); +#20233=ORIENTED_EDGE('',*,*,#20155,.T.); +#20234=ORIENTED_EDGE('',*,*,#20218,.F.); +#20235=EDGE_LOOP('',(#20231,#20232,#20233,#20234)); +#20236=FACE_OUTER_BOUND('',#20235,.F.); +#20238=CARTESIAN_POINT('',(2.54E1,1.105E1,-2.05E1)); +#20239=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20240=DIRECTION('',(9.994126567060E-1,-3.426866813725E-2,0.E0)); +#20241=AXIS2_PLACEMENT_3D('',#20238,#20239,#20240); +#20242=TOROIDAL_SURFACE('',#20241,4.5E0,5.E-1); +#20244=ORIENTED_EDGE('',*,*,#20243,.T.); +#20245=ORIENTED_EDGE('',*,*,#15517,.T.); +#20247=ORIENTED_EDGE('',*,*,#20246,.T.); +#20249=ORIENTED_EDGE('',*,*,#20248,.F.); +#20250=EDGE_LOOP('',(#20244,#20245,#20247,#20249)); +#20251=FACE_OUTER_BOUND('',#20250,.F.); +#20253=CARTESIAN_POINT('',(3.030605436848E1,1.205401363828E1, +-2.054674326623E1)); +#20254=CARTESIAN_POINT('',(3.030822896461E1,1.205368413025E1, +-2.052434022617E1)); +#20255=CARTESIAN_POINT('',(3.031018125733E1,1.205340955094E1, +-2.045387275640E1)); +#20256=CARTESIAN_POINT('',(3.028746851455E1,1.205682567369E1, +-2.033636890592E1)); +#20257=CARTESIAN_POINT('',(3.021879166868E1,1.206746377353E1, +-2.020605629386E1)); +#20258=CARTESIAN_POINT('',(3.011306609409E1,1.208461822951E1, +-2.009832265242E1)); +#20259=CARTESIAN_POINT('',(2.997694934070E1,1.210819498925E1, +-2.002233121777E1)); +#20260=CARTESIAN_POINT('',(2.984702911451E1,1.213256479502E1, +-1.999193152939E1)); +#20261=CARTESIAN_POINT('',(2.976586985861E1,1.214881962153E1, +-1.998996429993E1)); +#20262=CARTESIAN_POINT('',(2.973901451038E1,1.215431332708E1, +-1.999110298864E1)); +#20263=CARTESIAN_POINT('',(3.030493901168E1,1.191470364283E1, +-2.054663487869E1)); +#20264=CARTESIAN_POINT('',(3.030719995579E1,1.191437310966E1, +-2.052429261490E1)); +#20265=CARTESIAN_POINT('',(3.030923271059E1,1.191407382413E1, +-2.045395564685E1)); +#20266=CARTESIAN_POINT('',(3.028558852768E1,1.191751164234E1, +-2.033681541586E1)); +#20267=CARTESIAN_POINT('',(3.021459681562E1,1.192820361093E1, +-2.020867301513E1)); +#20268=CARTESIAN_POINT('',(3.010677498344E1,1.194558712628E1, +-2.010582927846E1)); +#20269=CARTESIAN_POINT('',(2.997067308148E1,1.196975071219E1, +-2.003754562183E1)); +#20270=CARTESIAN_POINT('',(2.984409296850E1,1.199508500180E1, +-2.001497674141E1)); +#20271=CARTESIAN_POINT('',(2.976685961959E1,1.201224014568E1, +-2.001751801619E1)); +#20272=CARTESIAN_POINT('',(2.974151062233E1,1.201806035667E1, +-2.002006334096E1)); +#20273=CARTESIAN_POINT('',(3.035872606869E1,1.178629725521E1, +-2.055185981899E1)); +#20274=CARTESIAN_POINT('',(3.036131449351E1,1.178600207508E1, +-2.052702283218E1)); +#20275=CARTESIAN_POINT('',(3.036364472655E1,1.178571033985E1, +-2.044877372508E1)); +#20276=CARTESIAN_POINT('',(3.033654717838E1,1.178879180720E1, +-2.031859683162E1)); +#20277=CARTESIAN_POINT('',(3.025567249346E1,1.179836149102E1, +-2.017789368690E1)); +#20278=CARTESIAN_POINT('',(3.013427353816E1,1.181406588017E1, +-2.006798915359E1)); +#20279=CARTESIAN_POINT('',(2.998373043093E1,1.183617156490E1, +-1.999929712755E1)); +#20280=CARTESIAN_POINT('',(2.984710675770E1,1.185970750127E1, +-1.998166168550E1)); +#20281=CARTESIAN_POINT('',(2.976568017856E1,1.187589920072E1, +-1.998881851120E1)); +#20282=CARTESIAN_POINT('',(2.973917836217E1,1.188141420470E1, +-1.999300412164E1)); +#20283=CARTESIAN_POINT('',(3.045865447478E1,1.168970985425E1, +-2.056156702662E1)); +#20284=CARTESIAN_POINT('',(3.046175817159E1,1.168948064687E1, +-2.053208616860E1)); +#20285=CARTESIAN_POINT('',(3.046455444451E1,1.168922748863E1, +-2.043917104456E1)); +#20286=CARTESIAN_POINT('',(3.043204410479E1,1.169163260275E1, +-2.028468067372E1)); +#20287=CARTESIAN_POINT('',(3.033532812103E1,1.169908665080E1, +-2.011873177711E1)); +#20288=CARTESIAN_POINT('',(3.019108267665E1,1.171147723138E1, +-1.999096583838E1)); +#20289=CARTESIAN_POINT('',(3.001399455241E1,1.172921548729E1, +-1.991381581242E1)); +#20290=CARTESIAN_POINT('',(2.985557958330E1,1.174848315676E1, +-1.989741285974E1)); +#20291=CARTESIAN_POINT('',(2.976252364791E1,1.176200457992E1, +-1.990854048245E1)); +#20292=CARTESIAN_POINT('',(2.973239761838E1,1.176663237785E1, +-1.991433285145E1)); +#20293=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#20253,#20254,#20255,#20256, +#20257,#20258,#20259,#20260,#20261,#20262),(#20263,#20264,#20265,#20266,#20267, +#20268,#20269,#20270,#20271,#20272),(#20273,#20274,#20275,#20276,#20277,#20278, +#20279,#20280,#20281,#20282),(#20283,#20284,#20285,#20286,#20287,#20288,#20289, +#20290,#20291,#20292)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4, +4),(4,1,1,1,1,1,1,4),(0.E0,1.E0),(7.352266417303E-2,1.392217883442E-1, +2.787661100565E-1,4.193383500211E-1,5.614876974646E-1,7.058845519429E-1, +8.527007945957E-1,9.252120434934E-1),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.042449659060E0,1.042449659060E0,1.042449659060E0, +1.042449659060E0,1.042449659060E0,1.042449659060E0,1.042449659060E0, +1.042449659060E0,1.042449659060E0,1.042449659060E0),(9.858501136466E-1, +9.858501136466E-1,9.858501136466E-1,9.858501136466E-1,9.858501136466E-1, +9.858501136466E-1,9.858501136466E-1,9.858501136466E-1,9.858501136466E-1, +9.858501136466E-1),(9.858501136466E-1,9.858501136466E-1,9.858501136466E-1, +9.858501136466E-1,9.858501136466E-1,9.858501136466E-1,9.858501136466E-1, +9.858501136466E-1,9.858501136466E-1,9.858501136466E-1),(1.042449659060E0, +1.042449659060E0,1.042449659060E0,1.042449659060E0,1.042449659060E0, +1.042449659060E0,1.042449659060E0,1.042449659060E0,1.042449659060E0, +1.042449659060E0)))REPRESENTATION_ITEM('')SURFACE()); +#20294=ORIENTED_EDGE('',*,*,#20243,.F.); +#20296=ORIENTED_EDGE('',*,*,#20295,.T.); +#20298=ORIENTED_EDGE('',*,*,#20297,.T.); +#20299=ORIENTED_EDGE('',*,*,#15519,.T.); +#20300=EDGE_LOOP('',(#20294,#20296,#20298,#20299)); +#20301=FACE_OUTER_BOUND('',#20300,.F.); +#20303=CARTESIAN_POINT('',(3.080832691320E1,1.205E1,-2.212536792144E1)); +#20304=DIRECTION('',(0.E0,0.E0,1.E0)); +#20305=DIRECTION('',(-9.833321660356E-1,-1.818181818182E-1,0.E0)); +#20306=AXIS2_PLACEMENT_3D('',#20303,#20304,#20305); +#20307=CYLINDRICAL_SURFACE('',#20306,5.E-1); +#20309=ORIENTED_EDGE('',*,*,#20308,.F.); +#20311=ORIENTED_EDGE('',*,*,#20310,.F.); +#20313=ORIENTED_EDGE('',*,*,#20312,.T.); +#20314=ORIENTED_EDGE('',*,*,#20295,.F.); +#20315=EDGE_LOOP('',(#20309,#20311,#20313,#20314)); +#20316=FACE_OUTER_BOUND('',#20315,.F.); +#20318=CARTESIAN_POINT('',(2.54E1,1.105E1,-2.E1)); +#20319=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20320=DIRECTION('',(1.E0,0.E0,0.E0)); +#20321=AXIS2_PLACEMENT_3D('',#20318,#20319,#20320); +#20322=CYLINDRICAL_SURFACE('',#20321,5.E0); +#20323=ORIENTED_EDGE('',*,*,#20308,.T.); +#20324=ORIENTED_EDGE('',*,*,#20248,.T.); +#20326=ORIENTED_EDGE('',*,*,#20325,.T.); +#20328=ORIENTED_EDGE('',*,*,#20327,.T.); +#20329=EDGE_LOOP('',(#20323,#20324,#20326,#20328)); +#20330=FACE_OUTER_BOUND('',#20329,.F.); +#20332=CARTESIAN_POINT('',(-2.54E1,1.605E1,-2.E1)); +#20333=DIRECTION('',(0.E0,1.E0,0.E0)); +#20334=DIRECTION('',(1.E0,0.E0,0.E0)); +#20335=AXIS2_PLACEMENT_3D('',#20332,#20333,#20334); +#20336=PLANE('',#20335); +#20338=ORIENTED_EDGE('',*,*,#20337,.T.); +#20339=ORIENTED_EDGE('',*,*,#20325,.F.); +#20341=ORIENTED_EDGE('',*,*,#20340,.T.); +#20343=ORIENTED_EDGE('',*,*,#20342,.T.); +#20344=EDGE_LOOP('',(#20338,#20339,#20341,#20343)); +#20345=FACE_OUTER_BOUND('',#20344,.F.); +#20347=CARTESIAN_POINT('',(-2.552064074737E1,1.555E1,-2.15E1)); +#20348=DIRECTION('',(1.E0,0.E0,0.E0)); +#20349=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20350=AXIS2_PLACEMENT_3D('',#20347,#20348,#20349); +#20351=CYLINDRICAL_SURFACE('',#20350,5.E-1); +#20353=ORIENTED_EDGE('',*,*,#20352,.T.); +#20355=ORIENTED_EDGE('',*,*,#20354,.T.); +#20356=ORIENTED_EDGE('',*,*,#20337,.F.); +#20358=ORIENTED_EDGE('',*,*,#20357,.F.); +#20359=EDGE_LOOP('',(#20353,#20355,#20356,#20358)); +#20360=FACE_OUTER_BOUND('',#20359,.F.); +#20362=CARTESIAN_POINT('',(0.E0,0.E0,-2.2E1)); +#20363=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20364=DIRECTION('',(1.E0,0.E0,0.E0)); +#20365=AXIS2_PLACEMENT_3D('',#20362,#20363,#20364); +#20366=PLANE('',#20365); +#20368=ORIENTED_EDGE('',*,*,#20367,.T.); +#20370=ORIENTED_EDGE('',*,*,#20369,.T.); +#20372=ORIENTED_EDGE('',*,*,#20371,.T.); +#20374=ORIENTED_EDGE('',*,*,#20373,.T.); +#20376=ORIENTED_EDGE('',*,*,#20375,.T.); +#20378=ORIENTED_EDGE('',*,*,#20377,.T.); +#20380=ORIENTED_EDGE('',*,*,#20379,.T.); +#20382=ORIENTED_EDGE('',*,*,#20381,.T.); +#20384=ORIENTED_EDGE('',*,*,#20383,.T.); +#20386=ORIENTED_EDGE('',*,*,#20385,.T.); +#20388=ORIENTED_EDGE('',*,*,#20387,.T.); +#20390=ORIENTED_EDGE('',*,*,#20389,.T.); +#20392=ORIENTED_EDGE('',*,*,#20391,.T.); +#20394=ORIENTED_EDGE('',*,*,#20393,.T.); +#20396=ORIENTED_EDGE('',*,*,#20395,.T.); +#20398=ORIENTED_EDGE('',*,*,#20397,.T.); +#20400=ORIENTED_EDGE('',*,*,#20399,.F.); +#20402=ORIENTED_EDGE('',*,*,#20401,.F.); +#20404=ORIENTED_EDGE('',*,*,#20403,.F.); +#20406=ORIENTED_EDGE('',*,*,#20405,.F.); +#20408=ORIENTED_EDGE('',*,*,#20407,.F.); +#20410=ORIENTED_EDGE('',*,*,#20409,.T.); +#20412=ORIENTED_EDGE('',*,*,#20411,.T.); +#20414=ORIENTED_EDGE('',*,*,#20413,.T.); +#20416=ORIENTED_EDGE('',*,*,#20415,.T.); +#20418=ORIENTED_EDGE('',*,*,#20417,.T.); +#20420=ORIENTED_EDGE('',*,*,#20419,.T.); +#20422=ORIENTED_EDGE('',*,*,#20421,.T.); +#20424=ORIENTED_EDGE('',*,*,#20423,.T.); +#20426=ORIENTED_EDGE('',*,*,#20425,.T.); +#20428=ORIENTED_EDGE('',*,*,#20427,.T.); +#20430=ORIENTED_EDGE('',*,*,#20429,.T.); +#20432=ORIENTED_EDGE('',*,*,#20431,.T.); +#20434=ORIENTED_EDGE('',*,*,#20433,.T.); +#20436=ORIENTED_EDGE('',*,*,#20435,.T.); +#20438=ORIENTED_EDGE('',*,*,#20437,.T.); +#20440=ORIENTED_EDGE('',*,*,#20439,.T.); +#20442=ORIENTED_EDGE('',*,*,#20441,.T.); +#20444=ORIENTED_EDGE('',*,*,#20443,.T.); +#20446=ORIENTED_EDGE('',*,*,#20445,.F.); +#20448=ORIENTED_EDGE('',*,*,#20447,.T.); +#20450=ORIENTED_EDGE('',*,*,#20449,.T.); +#20452=ORIENTED_EDGE('',*,*,#20451,.T.); +#20454=ORIENTED_EDGE('',*,*,#20453,.T.); +#20456=ORIENTED_EDGE('',*,*,#20455,.T.); +#20458=ORIENTED_EDGE('',*,*,#20457,.F.); +#20459=ORIENTED_EDGE('',*,*,#20352,.F.); +#20461=ORIENTED_EDGE('',*,*,#20460,.F.); +#20463=ORIENTED_EDGE('',*,*,#20462,.T.); +#20465=ORIENTED_EDGE('',*,*,#20464,.T.); +#20467=ORIENTED_EDGE('',*,*,#20466,.T.); +#20469=ORIENTED_EDGE('',*,*,#20468,.T.); +#20471=ORIENTED_EDGE('',*,*,#20470,.T.); +#20473=ORIENTED_EDGE('',*,*,#20472,.F.); +#20475=ORIENTED_EDGE('',*,*,#20474,.T.); +#20477=ORIENTED_EDGE('',*,*,#20476,.T.); +#20478=EDGE_LOOP('',(#20368,#20370,#20372,#20374,#20376,#20378,#20380,#20382, +#20384,#20386,#20388,#20390,#20392,#20394,#20396,#20398,#20400,#20402,#20404, +#20406,#20408,#20410,#20412,#20414,#20416,#20418,#20420,#20422,#20424,#20426, +#20428,#20430,#20432,#20434,#20436,#20438,#20440,#20442,#20444,#20446,#20448, +#20450,#20452,#20454,#20456,#20458,#20459,#20461,#20463,#20465,#20467,#20469, +#20471,#20473,#20475,#20477)); +#20479=FACE_OUTER_BOUND('',#20478,.F.); +#20481=ORIENTED_EDGE('',*,*,#20480,.T.); +#20483=ORIENTED_EDGE('',*,*,#20482,.F.); +#20485=ORIENTED_EDGE('',*,*,#20484,.F.); +#20487=ORIENTED_EDGE('',*,*,#20486,.F.); +#20489=ORIENTED_EDGE('',*,*,#20488,.F.); +#20491=ORIENTED_EDGE('',*,*,#20490,.F.); +#20493=ORIENTED_EDGE('',*,*,#20492,.T.); +#20495=ORIENTED_EDGE('',*,*,#20494,.T.); +#20497=ORIENTED_EDGE('',*,*,#20496,.F.); +#20499=ORIENTED_EDGE('',*,*,#20498,.F.); +#20501=ORIENTED_EDGE('',*,*,#20500,.T.); +#20503=ORIENTED_EDGE('',*,*,#20502,.F.); +#20504=EDGE_LOOP('',(#20481,#20483,#20485,#20487,#20489,#20491,#20493,#20495, +#20497,#20499,#20501,#20503)); +#20505=FACE_BOUND('',#20504,.F.); +#20507=CARTESIAN_POINT('',(-1.67E1,7.E0,-2.5E1)); +#20508=DIRECTION('',(0.E0,1.E0,0.E0)); +#20509=DIRECTION('',(0.E0,0.E0,1.E0)); +#20510=AXIS2_PLACEMENT_3D('',#20507,#20508,#20509); +#20511=PLANE('',#20510); +#20513=ORIENTED_EDGE('',*,*,#20512,.T.); +#20515=ORIENTED_EDGE('',*,*,#20514,.T.); +#20517=ORIENTED_EDGE('',*,*,#20516,.T.); +#20518=ORIENTED_EDGE('',*,*,#20480,.F.); +#20519=EDGE_LOOP('',(#20513,#20515,#20517,#20518)); +#20520=FACE_OUTER_BOUND('',#20519,.F.); +#20522=CARTESIAN_POINT('',(-1.52E1,5.5E0,4.927608E1)); +#20523=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20524=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20525=AXIS2_PLACEMENT_3D('',#20522,#20523,#20524); +#20526=CYLINDRICAL_SURFACE('',#20525,1.5E0); +#20528=ORIENTED_EDGE('',*,*,#20527,.T.); +#20529=ORIENTED_EDGE('',*,*,#20512,.F.); +#20530=ORIENTED_EDGE('',*,*,#20502,.T.); +#20532=ORIENTED_EDGE('',*,*,#20531,.T.); +#20533=EDGE_LOOP('',(#20528,#20529,#20530,#20532)); +#20534=FACE_OUTER_BOUND('',#20533,.F.); +#20536=CARTESIAN_POINT('',(-1.67E1,3.876763209470E0,-3.425515488527E1)); +#20537=DIRECTION('',(0.E0,9.475040028698E-1,-3.197439046264E-1)); +#20538=DIRECTION('',(0.E0,3.197439046264E-1,9.475040028698E-1)); +#20539=AXIS2_PLACEMENT_3D('',#20536,#20537,#20538); +#20540=PLANE('',#20539); +#20542=ORIENTED_EDGE('',*,*,#20541,.F.); +#20544=ORIENTED_EDGE('',*,*,#20543,.T.); +#20546=ORIENTED_EDGE('',*,*,#20545,.T.); +#20548=ORIENTED_EDGE('',*,*,#20547,.T.); +#20550=ORIENTED_EDGE('',*,*,#20549,.F.); +#20551=ORIENTED_EDGE('',*,*,#20514,.F.); +#20552=ORIENTED_EDGE('',*,*,#20527,.F.); +#20554=ORIENTED_EDGE('',*,*,#20553,.F.); +#20556=ORIENTED_EDGE('',*,*,#20555,.T.); +#20558=ORIENTED_EDGE('',*,*,#20557,.T.); +#20560=ORIENTED_EDGE('',*,*,#20559,.F.); +#20562=ORIENTED_EDGE('',*,*,#20561,.T.); +#20564=ORIENTED_EDGE('',*,*,#20563,.T.); +#20566=ORIENTED_EDGE('',*,*,#20565,.T.); +#20568=ORIENTED_EDGE('',*,*,#20567,.F.); +#20570=ORIENTED_EDGE('',*,*,#20569,.T.); +#20572=ORIENTED_EDGE('',*,*,#20571,.T.); +#20574=ORIENTED_EDGE('',*,*,#20573,.T.); +#20576=ORIENTED_EDGE('',*,*,#20575,.F.); +#20578=ORIENTED_EDGE('',*,*,#20577,.T.); +#20580=ORIENTED_EDGE('',*,*,#20579,.T.); +#20582=ORIENTED_EDGE('',*,*,#20581,.T.); +#20584=ORIENTED_EDGE('',*,*,#20583,.F.); +#20586=ORIENTED_EDGE('',*,*,#20585,.T.); +#20588=ORIENTED_EDGE('',*,*,#20587,.T.); +#20590=ORIENTED_EDGE('',*,*,#20589,.T.); +#20592=ORIENTED_EDGE('',*,*,#20591,.F.); +#20594=ORIENTED_EDGE('',*,*,#20593,.T.); +#20596=ORIENTED_EDGE('',*,*,#20595,.T.); +#20598=ORIENTED_EDGE('',*,*,#20597,.T.); +#20600=ORIENTED_EDGE('',*,*,#20599,.F.); +#20602=ORIENTED_EDGE('',*,*,#20601,.T.); +#20604=ORIENTED_EDGE('',*,*,#20603,.T.); +#20606=ORIENTED_EDGE('',*,*,#20605,.T.); +#20608=ORIENTED_EDGE('',*,*,#20607,.F.); +#20610=ORIENTED_EDGE('',*,*,#20609,.T.); +#20612=ORIENTED_EDGE('',*,*,#20611,.T.); +#20614=ORIENTED_EDGE('',*,*,#20613,.T.); +#20615=EDGE_LOOP('',(#20542,#20544,#20546,#20548,#20550,#20551,#20552,#20554, +#20556,#20558,#20560,#20562,#20564,#20566,#20568,#20570,#20572,#20574,#20576, +#20578,#20580,#20582,#20584,#20586,#20588,#20590,#20592,#20594,#20596,#20598, +#20600,#20602,#20604,#20606,#20608,#20610,#20612,#20614)); +#20616=FACE_OUTER_BOUND('',#20615,.F.); +#20618=CARTESIAN_POINT('',(1.4E1,4.E0,-1.2475E1)); +#20619=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20620=DIRECTION('',(0.E0,1.E0,0.E0)); +#20621=AXIS2_PLACEMENT_3D('',#20618,#20619,#20620); +#20622=CYLINDRICAL_SURFACE('',#20621,6.5E-1); +#20623=ORIENTED_EDGE('',*,*,#20541,.T.); +#20625=ORIENTED_EDGE('',*,*,#20624,.T.); +#20627=ORIENTED_EDGE('',*,*,#20626,.T.); +#20628=EDGE_LOOP('',(#20623,#20625,#20627)); +#20629=FACE_OUTER_BOUND('',#20628,.F.); +#20631=CARTESIAN_POINT('',(1.4E1,4.E0,-1.2475E1)); +#20632=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20633=DIRECTION('',(0.E0,1.E0,0.E0)); +#20634=AXIS2_PLACEMENT_3D('',#20631,#20632,#20633); +#20635=CYLINDRICAL_SURFACE('',#20634,6.5E-1); +#20636=ORIENTED_EDGE('',*,*,#16464,.T.); +#20638=ORIENTED_EDGE('',*,*,#20637,.F.); +#20640=ORIENTED_EDGE('',*,*,#20639,.F.); +#20642=ORIENTED_EDGE('',*,*,#20641,.T.); +#20643=EDGE_LOOP('',(#20636,#20638,#20640,#20642)); +#20644=FACE_OUTER_BOUND('',#20643,.F.); +#20646=CARTESIAN_POINT('',(1.4E1,1.35E0,-3.315E1)); +#20647=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20648=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20649=AXIS2_PLACEMENT_3D('',#20646,#20647,#20648); +#20650=TOROIDAL_SURFACE('',#20649,2.65E0,6.5E-1); +#20651=ORIENTED_EDGE('',*,*,#20626,.F.); +#20652=ORIENTED_EDGE('',*,*,#20624,.F.); +#20653=ORIENTED_EDGE('',*,*,#20613,.F.); +#20655=ORIENTED_EDGE('',*,*,#20654,.F.); +#20657=ORIENTED_EDGE('',*,*,#20656,.T.); +#20659=ORIENTED_EDGE('',*,*,#20658,.T.); +#20661=ORIENTED_EDGE('',*,*,#20660,.F.); +#20662=ORIENTED_EDGE('',*,*,#20543,.F.); +#20663=EDGE_LOOP('',(#20651,#20652,#20653,#20655,#20657,#20659,#20661,#20662)); +#20664=FACE_OUTER_BOUND('',#20663,.F.); +#20666=CARTESIAN_POINT('',(-1.67E1,7.500000000001E-1,-3.32E1)); +#20667=DIRECTION('',(1.E0,0.E0,0.E0)); +#20668=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20669=AXIS2_PLACEMENT_3D('',#20666,#20667,#20668); +#20670=CYLINDRICAL_SURFACE('',#20669,3.3E0); +#20671=ORIENTED_EDGE('',*,*,#20660,.T.); +#20673=ORIENTED_EDGE('',*,*,#20672,.F.); +#20674=ORIENTED_EDGE('',*,*,#20654,.T.); +#20675=ORIENTED_EDGE('',*,*,#20611,.F.); +#20677=ORIENTED_EDGE('',*,*,#20676,.T.); +#20679=ORIENTED_EDGE('',*,*,#20678,.F.); +#20681=ORIENTED_EDGE('',*,*,#20680,.T.); +#20682=ORIENTED_EDGE('',*,*,#20603,.F.); +#20684=ORIENTED_EDGE('',*,*,#20683,.T.); +#20686=ORIENTED_EDGE('',*,*,#20685,.F.); +#20688=ORIENTED_EDGE('',*,*,#20687,.T.); +#20689=ORIENTED_EDGE('',*,*,#20595,.F.); +#20691=ORIENTED_EDGE('',*,*,#20690,.T.); +#20693=ORIENTED_EDGE('',*,*,#20692,.F.); +#20695=ORIENTED_EDGE('',*,*,#20694,.T.); +#20696=ORIENTED_EDGE('',*,*,#20587,.F.); +#20698=ORIENTED_EDGE('',*,*,#20697,.T.); +#20700=ORIENTED_EDGE('',*,*,#20699,.F.); +#20702=ORIENTED_EDGE('',*,*,#20701,.T.); +#20703=ORIENTED_EDGE('',*,*,#20579,.F.); +#20705=ORIENTED_EDGE('',*,*,#20704,.T.); +#20707=ORIENTED_EDGE('',*,*,#20706,.F.); +#20709=ORIENTED_EDGE('',*,*,#20708,.T.); +#20710=ORIENTED_EDGE('',*,*,#20571,.F.); +#20712=ORIENTED_EDGE('',*,*,#20711,.T.); +#20714=ORIENTED_EDGE('',*,*,#20713,.F.); +#20716=ORIENTED_EDGE('',*,*,#20715,.T.); +#20717=ORIENTED_EDGE('',*,*,#20563,.F.); +#20719=ORIENTED_EDGE('',*,*,#20718,.T.); +#20721=ORIENTED_EDGE('',*,*,#20720,.F.); +#20723=ORIENTED_EDGE('',*,*,#20722,.T.); +#20724=ORIENTED_EDGE('',*,*,#20555,.F.); +#20726=ORIENTED_EDGE('',*,*,#20725,.F.); +#20728=ORIENTED_EDGE('',*,*,#20727,.T.); +#20730=ORIENTED_EDGE('',*,*,#20729,.T.); +#20731=ORIENTED_EDGE('',*,*,#20545,.F.); +#20732=EDGE_LOOP('',(#20671,#20673,#20674,#20675,#20677,#20679,#20681,#20682, +#20684,#20686,#20688,#20689,#20691,#20693,#20695,#20696,#20698,#20700,#20702, +#20703,#20705,#20707,#20709,#20710,#20712,#20714,#20716,#20717,#20719,#20721, +#20723,#20724,#20726,#20728,#20730,#20731)); +#20733=FACE_OUTER_BOUND('',#20732,.F.); +#20735=CARTESIAN_POINT('',(1.4E1,1.35E0,-3.58E1)); +#20736=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20737=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20738=AXIS2_PLACEMENT_3D('',#20735,#20736,#20737); +#20739=CYLINDRICAL_SURFACE('',#20738,6.5E-1); +#20740=ORIENTED_EDGE('',*,*,#20658,.F.); +#20741=ORIENTED_EDGE('',*,*,#20656,.F.); +#20742=ORIENTED_EDGE('',*,*,#20672,.T.); +#20743=EDGE_LOOP('',(#20740,#20741,#20742)); +#20744=FACE_OUTER_BOUND('',#20743,.F.); +#20746=CARTESIAN_POINT('',(1.4E1,1.35E0,-3.58E1)); +#20747=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20748=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20749=AXIS2_PLACEMENT_3D('',#20746,#20747,#20748); +#20750=CYLINDRICAL_SURFACE('',#20749,6.5E-1); +#20752=ORIENTED_EDGE('',*,*,#20751,.F.); +#20754=ORIENTED_EDGE('',*,*,#20753,.F.); +#20756=ORIENTED_EDGE('',*,*,#20755,.T.); +#20758=ORIENTED_EDGE('',*,*,#20757,.T.); +#20759=EDGE_LOOP('',(#20752,#20754,#20756,#20758)); +#20760=FACE_OUTER_BOUND('',#20759,.F.); +#20762=CARTESIAN_POINT('',(1.4E1,1.35E0,-3.58E1)); +#20763=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20764=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20765=AXIS2_PLACEMENT_3D('',#20762,#20763,#20764); +#20766=CYLINDRICAL_SURFACE('',#20765,6.5E-1); +#20767=ORIENTED_EDGE('',*,*,#20751,.T.); +#20769=ORIENTED_EDGE('',*,*,#20768,.T.); +#20770=ORIENTED_EDGE('',*,*,#20755,.F.); +#20772=ORIENTED_EDGE('',*,*,#20771,.F.); +#20773=EDGE_LOOP('',(#20767,#20769,#20770,#20772)); +#20774=FACE_OUTER_BOUND('',#20773,.F.); +#20776=CARTESIAN_POINT('',(1.4E1,-1.51E1,-3.58E1)); +#20777=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20778=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20779=AXIS2_PLACEMENT_3D('',#20776,#20777,#20778); +#20780=PLANE('',#20779); +#20781=ORIENTED_EDGE('',*,*,#20768,.F.); +#20782=ORIENTED_EDGE('',*,*,#20757,.F.); +#20783=EDGE_LOOP('',(#20781,#20782)); +#20784=FACE_OUTER_BOUND('',#20783,.F.); +#20786=CARTESIAN_POINT('',(-1.67E1,-7.E0,-2.2E1)); +#20787=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20788=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20789=AXIS2_PLACEMENT_3D('',#20786,#20787,#20788); +#20790=PLANE('',#20789); +#20792=ORIENTED_EDGE('',*,*,#20791,.F.); +#20793=ORIENTED_EDGE('',*,*,#20488,.T.); +#20795=ORIENTED_EDGE('',*,*,#20794,.T.); +#20797=ORIENTED_EDGE('',*,*,#20796,.F.); +#20799=ORIENTED_EDGE('',*,*,#20798,.F.); +#20800=ORIENTED_EDGE('',*,*,#20496,.T.); +#20802=ORIENTED_EDGE('',*,*,#20801,.T.); +#20804=ORIENTED_EDGE('',*,*,#20803,.F.); +#20805=EDGE_LOOP('',(#20792,#20793,#20795,#20797,#20799,#20800,#20802,#20804)); +#20806=FACE_OUTER_BOUND('',#20805,.F.); +#20808=ORIENTED_EDGE('',*,*,#20807,.T.); +#20810=ORIENTED_EDGE('',*,*,#20809,.T.); +#20811=EDGE_LOOP('',(#20808,#20810)); +#20812=FACE_BOUND('',#20811,.F.); +#20814=ORIENTED_EDGE('',*,*,#20813,.T.); +#20816=ORIENTED_EDGE('',*,*,#20815,.T.); +#20817=EDGE_LOOP('',(#20814,#20816)); +#20818=FACE_BOUND('',#20817,.F.); +#20820=ORIENTED_EDGE('',*,*,#20819,.T.); +#20822=ORIENTED_EDGE('',*,*,#20821,.T.); +#20823=EDGE_LOOP('',(#20820,#20822)); +#20824=FACE_BOUND('',#20823,.F.); +#20826=ORIENTED_EDGE('',*,*,#20825,.T.); +#20828=ORIENTED_EDGE('',*,*,#20827,.T.); +#20829=EDGE_LOOP('',(#20826,#20828)); +#20830=FACE_BOUND('',#20829,.F.); +#20832=ORIENTED_EDGE('',*,*,#20831,.T.); +#20834=ORIENTED_EDGE('',*,*,#20833,.T.); +#20835=EDGE_LOOP('',(#20832,#20834)); +#20836=FACE_BOUND('',#20835,.F.); +#20838=ORIENTED_EDGE('',*,*,#20837,.T.); +#20840=ORIENTED_EDGE('',*,*,#20839,.T.); +#20841=EDGE_LOOP('',(#20838,#20840)); +#20842=FACE_BOUND('',#20841,.F.); +#20844=ORIENTED_EDGE('',*,*,#20843,.T.); +#20846=ORIENTED_EDGE('',*,*,#20845,.T.); +#20847=EDGE_LOOP('',(#20844,#20846)); +#20848=FACE_BOUND('',#20847,.F.); +#20849=ORIENTED_EDGE('',*,*,#20771,.T.); +#20850=ORIENTED_EDGE('',*,*,#20753,.T.); +#20851=EDGE_LOOP('',(#20849,#20850)); +#20852=FACE_BOUND('',#20851,.F.); +#20854=ORIENTED_EDGE('',*,*,#20853,.T.); +#20856=ORIENTED_EDGE('',*,*,#20855,.T.); +#20857=EDGE_LOOP('',(#20854,#20856)); +#20858=FACE_BOUND('',#20857,.F.); +#20860=ORIENTED_EDGE('',*,*,#20859,.T.); +#20862=ORIENTED_EDGE('',*,*,#20861,.T.); +#20863=EDGE_LOOP('',(#20860,#20862)); +#20864=FACE_BOUND('',#20863,.F.); +#20866=ORIENTED_EDGE('',*,*,#20865,.T.); +#20868=ORIENTED_EDGE('',*,*,#20867,.T.); +#20869=EDGE_LOOP('',(#20866,#20868)); +#20870=FACE_BOUND('',#20869,.F.); +#20872=ORIENTED_EDGE('',*,*,#20871,.T.); +#20874=ORIENTED_EDGE('',*,*,#20873,.T.); +#20875=EDGE_LOOP('',(#20872,#20874)); +#20876=FACE_BOUND('',#20875,.F.); +#20878=ORIENTED_EDGE('',*,*,#20877,.T.); +#20880=ORIENTED_EDGE('',*,*,#20879,.T.); +#20881=EDGE_LOOP('',(#20878,#20880)); +#20882=FACE_BOUND('',#20881,.F.); +#20884=ORIENTED_EDGE('',*,*,#20883,.T.); +#20886=ORIENTED_EDGE('',*,*,#20885,.T.); +#20887=EDGE_LOOP('',(#20884,#20886)); +#20888=FACE_BOUND('',#20887,.F.); +#20890=ORIENTED_EDGE('',*,*,#20889,.T.); +#20892=ORIENTED_EDGE('',*,*,#20891,.T.); +#20893=EDGE_LOOP('',(#20890,#20892)); +#20894=FACE_BOUND('',#20893,.F.); +#20896=ORIENTED_EDGE('',*,*,#20895,.T.); +#20898=ORIENTED_EDGE('',*,*,#20897,.T.); +#20899=EDGE_LOOP('',(#20896,#20898)); +#20900=FACE_BOUND('',#20899,.F.); +#20902=ORIENTED_EDGE('',*,*,#20901,.T.); +#20904=ORIENTED_EDGE('',*,*,#20903,.T.); +#20905=EDGE_LOOP('',(#20902,#20904)); +#20906=FACE_BOUND('',#20905,.F.); +#20908=ORIENTED_EDGE('',*,*,#20907,.T.); +#20910=ORIENTED_EDGE('',*,*,#20909,.T.); +#20911=EDGE_LOOP('',(#20908,#20910)); +#20912=FACE_BOUND('',#20911,.F.); +#20914=ORIENTED_EDGE('',*,*,#20913,.T.); +#20916=ORIENTED_EDGE('',*,*,#20915,.T.); +#20917=EDGE_LOOP('',(#20914,#20916)); +#20918=FACE_BOUND('',#20917,.F.); +#20920=ORIENTED_EDGE('',*,*,#20919,.T.); +#20922=ORIENTED_EDGE('',*,*,#20921,.T.); +#20923=EDGE_LOOP('',(#20920,#20922)); +#20924=FACE_BOUND('',#20923,.F.); +#20926=ORIENTED_EDGE('',*,*,#20925,.T.); +#20928=ORIENTED_EDGE('',*,*,#20927,.T.); +#20929=EDGE_LOOP('',(#20926,#20928)); +#20930=FACE_BOUND('',#20929,.F.); +#20932=ORIENTED_EDGE('',*,*,#20931,.T.); +#20934=ORIENTED_EDGE('',*,*,#20933,.T.); +#20935=EDGE_LOOP('',(#20932,#20934)); +#20936=FACE_BOUND('',#20935,.F.); +#20938=ORIENTED_EDGE('',*,*,#20937,.T.); +#20940=ORIENTED_EDGE('',*,*,#20939,.T.); +#20941=EDGE_LOOP('',(#20938,#20940)); +#20942=FACE_BOUND('',#20941,.F.); +#20944=CARTESIAN_POINT('',(1.475E1,-7.E0,-2.2E1)); +#20945=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20946=DIRECTION('',(0.E0,1.E0,0.E0)); +#20947=AXIS2_PLACEMENT_3D('',#20944,#20945,#20946); +#20948=PLANE('',#20947); +#20949=ORIENTED_EDGE('',*,*,#20490,.T.); +#20950=ORIENTED_EDGE('',*,*,#20791,.T.); +#20952=ORIENTED_EDGE('',*,*,#20951,.T.); +#20953=EDGE_LOOP('',(#20949,#20950,#20952)); +#20954=FACE_OUTER_BOUND('',#20953,.F.); +#20956=CARTESIAN_POINT('',(1.475E1,-7.E0,-2.71E1)); +#20957=DIRECTION('',(0.E0,-8.660254037844E-1,-5.E-1)); +#20958=DIRECTION('',(0.E0,-5.E-1,8.660254037844E-1)); +#20959=AXIS2_PLACEMENT_3D('',#20956,#20957,#20958); +#20960=PLANE('',#20959); +#20961=ORIENTED_EDGE('',*,*,#20492,.F.); +#20962=ORIENTED_EDGE('',*,*,#20951,.F.); +#20963=ORIENTED_EDGE('',*,*,#20803,.T.); +#20965=ORIENTED_EDGE('',*,*,#20964,.T.); +#20966=EDGE_LOOP('',(#20961,#20962,#20963,#20965)); +#20967=FACE_OUTER_BOUND('',#20966,.F.); +#20969=CARTESIAN_POINT('',(-1.475E1,-7.E0,-2.2E1)); +#20970=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20971=DIRECTION('',(0.E0,1.E0,0.E0)); +#20972=AXIS2_PLACEMENT_3D('',#20969,#20970,#20971); +#20973=PLANE('',#20972); +#20974=ORIENTED_EDGE('',*,*,#20494,.F.); +#20975=ORIENTED_EDGE('',*,*,#20964,.F.); +#20976=ORIENTED_EDGE('',*,*,#20801,.F.); +#20977=EDGE_LOOP('',(#20974,#20975,#20976)); +#20978=FACE_OUTER_BOUND('',#20977,.F.); +#20980=CARTESIAN_POINT('',(1.550625875692E1,-7.E0,-2.2E1)); +#20981=DIRECTION('',(-8.483115323795E-1,5.294974447832E-1,0.E0)); +#20982=DIRECTION('',(5.294974447832E-1,8.483115323795E-1,0.E0)); +#20983=AXIS2_PLACEMENT_3D('',#20980,#20981,#20982); +#20984=PLANE('',#20983); +#20986=ORIENTED_EDGE('',*,*,#20985,.T.); +#20988=ORIENTED_EDGE('',*,*,#20987,.F.); +#20989=ORIENTED_EDGE('',*,*,#20794,.F.); +#20990=ORIENTED_EDGE('',*,*,#20486,.T.); +#20991=EDGE_LOOP('',(#20986,#20988,#20989,#20990)); +#20992=FACE_OUTER_BOUND('',#20991,.F.); +#20994=CARTESIAN_POINT('',(1.67E1,0.E0,0.E0)); +#20995=DIRECTION('',(1.E0,0.E0,0.E0)); +#20996=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20997=AXIS2_PLACEMENT_3D('',#20994,#20995,#20996); +#20998=PLANE('',#20997); +#21000=ORIENTED_EDGE('',*,*,#20999,.F.); +#21001=ORIENTED_EDGE('',*,*,#20547,.F.); +#21002=ORIENTED_EDGE('',*,*,#20729,.F.); +#21004=ORIENTED_EDGE('',*,*,#21003,.F.); +#21005=ORIENTED_EDGE('',*,*,#20985,.F.); +#21006=ORIENTED_EDGE('',*,*,#20484,.T.); +#21007=EDGE_LOOP('',(#21000,#21001,#21002,#21004,#21005,#21006)); +#21008=FACE_OUTER_BOUND('',#21007,.F.); +#21010=CARTESIAN_POINT('',(1.52E1,5.5E0,-8.707608E1)); +#21011=DIRECTION('',(0.E0,0.E0,1.E0)); +#21012=DIRECTION('',(1.E0,0.E0,0.E0)); +#21013=AXIS2_PLACEMENT_3D('',#21010,#21011,#21012); +#21014=CYLINDRICAL_SURFACE('',#21013,1.5E0); +#21015=ORIENTED_EDGE('',*,*,#20516,.F.); +#21016=ORIENTED_EDGE('',*,*,#20549,.T.); +#21017=ORIENTED_EDGE('',*,*,#20999,.T.); +#21018=ORIENTED_EDGE('',*,*,#20482,.T.); +#21019=EDGE_LOOP('',(#21015,#21016,#21017,#21018)); +#21020=FACE_OUTER_BOUND('',#21019,.F.); +#21022=CARTESIAN_POINT('',(-1.67E1,-7.E0,-3.65E1)); +#21023=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21024=DIRECTION('',(0.E0,1.E0,0.E0)); +#21025=AXIS2_PLACEMENT_3D('',#21022,#21023,#21024); +#21026=PLANE('',#21025); +#21027=ORIENTED_EDGE('',*,*,#20987,.T.); +#21028=ORIENTED_EDGE('',*,*,#21003,.T.); +#21029=ORIENTED_EDGE('',*,*,#20727,.F.); +#21031=ORIENTED_EDGE('',*,*,#21030,.F.); +#21033=ORIENTED_EDGE('',*,*,#21032,.T.); +#21034=ORIENTED_EDGE('',*,*,#20796,.T.); +#21035=EDGE_LOOP('',(#21027,#21028,#21029,#21031,#21033,#21034)); +#21036=FACE_OUTER_BOUND('',#21035,.F.); +#21038=CARTESIAN_POINT('',(-1.67E1,0.E0,0.E0)); +#21039=DIRECTION('',(1.E0,0.E0,0.E0)); +#21040=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21041=AXIS2_PLACEMENT_3D('',#21038,#21039,#21040); +#21042=PLANE('',#21041); +#21043=ORIENTED_EDGE('',*,*,#20531,.F.); +#21044=ORIENTED_EDGE('',*,*,#20500,.F.); +#21046=ORIENTED_EDGE('',*,*,#21045,.T.); +#21047=ORIENTED_EDGE('',*,*,#21030,.T.); +#21048=ORIENTED_EDGE('',*,*,#20725,.T.); +#21049=ORIENTED_EDGE('',*,*,#20553,.T.); +#21050=EDGE_LOOP('',(#21043,#21044,#21046,#21047,#21048,#21049)); +#21051=FACE_OUTER_BOUND('',#21050,.F.); +#21053=CARTESIAN_POINT('',(-1.67E1,-5.087498866778E0,-2.2E1)); +#21054=DIRECTION('',(8.483115323795E-1,5.294974447832E-1,0.E0)); +#21055=DIRECTION('',(5.294974447832E-1,-8.483115323795E-1,0.E0)); +#21056=AXIS2_PLACEMENT_3D('',#21053,#21054,#21055); +#21057=PLANE('',#21056); +#21058=ORIENTED_EDGE('',*,*,#21045,.F.); +#21059=ORIENTED_EDGE('',*,*,#20498,.T.); +#21060=ORIENTED_EDGE('',*,*,#20798,.T.); +#21061=ORIENTED_EDGE('',*,*,#21032,.F.); +#21062=EDGE_LOOP('',(#21058,#21059,#21060,#21061)); +#21063=FACE_OUTER_BOUND('',#21062,.F.); +#21065=CARTESIAN_POINT('',(-1.4E1,1.35E0,-3.58E1)); +#21066=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21067=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21068=AXIS2_PLACEMENT_3D('',#21065,#21066,#21067); +#21069=CYLINDRICAL_SURFACE('',#21068,6.5E-1); +#21071=ORIENTED_EDGE('',*,*,#21070,.T.); +#21073=ORIENTED_EDGE('',*,*,#21072,.T.); +#21075=ORIENTED_EDGE('',*,*,#21074,.F.); +#21076=ORIENTED_EDGE('',*,*,#20807,.F.); +#21077=EDGE_LOOP('',(#21071,#21073,#21075,#21076)); +#21078=FACE_OUTER_BOUND('',#21077,.F.); +#21080=CARTESIAN_POINT('',(-1.4E1,1.35E0,-3.58E1)); +#21081=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21082=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21083=AXIS2_PLACEMENT_3D('',#21080,#21081,#21082); +#21084=CYLINDRICAL_SURFACE('',#21083,6.5E-1); +#21086=ORIENTED_EDGE('',*,*,#21085,.F.); +#21088=ORIENTED_EDGE('',*,*,#21087,.F.); +#21089=ORIENTED_EDGE('',*,*,#20720,.T.); +#21090=EDGE_LOOP('',(#21086,#21088,#21089)); +#21091=FACE_OUTER_BOUND('',#21090,.F.); +#21093=CARTESIAN_POINT('',(-1.4E1,1.35E0,-3.58E1)); +#21094=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21095=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21096=AXIS2_PLACEMENT_3D('',#21093,#21094,#21095); +#21097=CYLINDRICAL_SURFACE('',#21096,6.5E-1); +#21098=ORIENTED_EDGE('',*,*,#21070,.F.); +#21099=ORIENTED_EDGE('',*,*,#20809,.F.); +#21100=ORIENTED_EDGE('',*,*,#21074,.T.); +#21102=ORIENTED_EDGE('',*,*,#21101,.T.); +#21103=EDGE_LOOP('',(#21098,#21099,#21100,#21102)); +#21104=FACE_OUTER_BOUND('',#21103,.F.); +#21106=CARTESIAN_POINT('',(-1.4E1,1.35E0,-3.315E1)); +#21107=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21108=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21109=AXIS2_PLACEMENT_3D('',#21106,#21107,#21108); +#21110=TOROIDAL_SURFACE('',#21109,2.65E0,6.5E-1); +#21112=ORIENTED_EDGE('',*,*,#21111,.F.); +#21114=ORIENTED_EDGE('',*,*,#21113,.F.); +#21115=ORIENTED_EDGE('',*,*,#20557,.F.); +#21116=ORIENTED_EDGE('',*,*,#20722,.F.); +#21117=ORIENTED_EDGE('',*,*,#21087,.T.); +#21118=ORIENTED_EDGE('',*,*,#21085,.T.); +#21119=ORIENTED_EDGE('',*,*,#20718,.F.); +#21120=ORIENTED_EDGE('',*,*,#20561,.F.); +#21121=EDGE_LOOP('',(#21112,#21114,#21115,#21116,#21117,#21118,#21119,#21120)); +#21122=FACE_OUTER_BOUND('',#21121,.F.); +#21124=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.2475E1)); +#21125=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21126=DIRECTION('',(0.E0,1.E0,0.E0)); +#21127=AXIS2_PLACEMENT_3D('',#21124,#21125,#21126); +#21128=CYLINDRICAL_SURFACE('',#21127,6.5E-1); +#21129=ORIENTED_EDGE('',*,*,#20559,.T.); +#21130=ORIENTED_EDGE('',*,*,#21113,.T.); +#21131=ORIENTED_EDGE('',*,*,#21111,.T.); +#21132=EDGE_LOOP('',(#21129,#21130,#21131)); +#21133=FACE_OUTER_BOUND('',#21132,.F.); +#21135=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.2475E1)); +#21136=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21137=DIRECTION('',(0.E0,1.E0,0.E0)); +#21138=AXIS2_PLACEMENT_3D('',#21135,#21136,#21137); +#21139=CYLINDRICAL_SURFACE('',#21138,6.5E-1); +#21140=ORIENTED_EDGE('',*,*,#16422,.T.); +#21142=ORIENTED_EDGE('',*,*,#21141,.F.); +#21144=ORIENTED_EDGE('',*,*,#21143,.F.); +#21146=ORIENTED_EDGE('',*,*,#21145,.T.); +#21147=EDGE_LOOP('',(#21140,#21142,#21144,#21146)); +#21148=FACE_OUTER_BOUND('',#21147,.F.); +#21150=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.2475E1)); +#21151=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21152=DIRECTION('',(0.E0,1.E0,0.E0)); +#21153=AXIS2_PLACEMENT_3D('',#21150,#21151,#21152); +#21154=CYLINDRICAL_SURFACE('',#21153,6.5E-1); +#21155=ORIENTED_EDGE('',*,*,#16420,.T.); +#21156=ORIENTED_EDGE('',*,*,#21145,.F.); +#21158=ORIENTED_EDGE('',*,*,#21157,.F.); +#21159=ORIENTED_EDGE('',*,*,#21141,.T.); +#21160=EDGE_LOOP('',(#21155,#21156,#21158,#21159)); +#21161=FACE_OUTER_BOUND('',#21160,.F.); +#21163=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.2475E1)); +#21164=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21165=DIRECTION('',(0.E0,1.E0,0.E0)); +#21166=AXIS2_PLACEMENT_3D('',#21163,#21164,#21165); +#21167=PLANE('',#21166); +#21168=ORIENTED_EDGE('',*,*,#21157,.T.); +#21169=ORIENTED_EDGE('',*,*,#21143,.T.); +#21170=EDGE_LOOP('',(#21168,#21169)); +#21171=FACE_OUTER_BOUND('',#21170,.F.); +#21173=CARTESIAN_POINT('',(-1.4E1,-1.51E1,-3.58E1)); +#21174=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21175=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21176=AXIS2_PLACEMENT_3D('',#21173,#21174,#21175); +#21177=PLANE('',#21176); +#21178=ORIENTED_EDGE('',*,*,#21072,.F.); +#21179=ORIENTED_EDGE('',*,*,#21101,.F.); +#21180=EDGE_LOOP('',(#21178,#21179)); +#21181=FACE_OUTER_BOUND('',#21180,.F.); +#21183=CARTESIAN_POINT('',(-1.E1,1.35E0,-3.58E1)); +#21184=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21185=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21186=AXIS2_PLACEMENT_3D('',#21183,#21184,#21185); +#21187=CYLINDRICAL_SURFACE('',#21186,6.5E-1); +#21189=ORIENTED_EDGE('',*,*,#21188,.T.); +#21191=ORIENTED_EDGE('',*,*,#21190,.T.); +#21193=ORIENTED_EDGE('',*,*,#21192,.F.); +#21194=ORIENTED_EDGE('',*,*,#20813,.F.); +#21195=EDGE_LOOP('',(#21189,#21191,#21193,#21194)); +#21196=FACE_OUTER_BOUND('',#21195,.F.); +#21198=CARTESIAN_POINT('',(-1.E1,1.35E0,-3.58E1)); +#21199=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21200=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21201=AXIS2_PLACEMENT_3D('',#21198,#21199,#21200); +#21202=CYLINDRICAL_SURFACE('',#21201,6.5E-1); +#21204=ORIENTED_EDGE('',*,*,#21203,.F.); +#21206=ORIENTED_EDGE('',*,*,#21205,.F.); +#21207=ORIENTED_EDGE('',*,*,#20713,.T.); +#21208=EDGE_LOOP('',(#21204,#21206,#21207)); +#21209=FACE_OUTER_BOUND('',#21208,.F.); +#21211=CARTESIAN_POINT('',(-1.E1,1.35E0,-3.58E1)); +#21212=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21213=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21214=AXIS2_PLACEMENT_3D('',#21211,#21212,#21213); +#21215=CYLINDRICAL_SURFACE('',#21214,6.5E-1); +#21216=ORIENTED_EDGE('',*,*,#21188,.F.); +#21217=ORIENTED_EDGE('',*,*,#20815,.F.); +#21218=ORIENTED_EDGE('',*,*,#21192,.T.); +#21220=ORIENTED_EDGE('',*,*,#21219,.T.); +#21221=EDGE_LOOP('',(#21216,#21217,#21218,#21220)); +#21222=FACE_OUTER_BOUND('',#21221,.F.); +#21224=CARTESIAN_POINT('',(-1.E1,1.35E0,-3.315E1)); +#21225=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21226=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21227=AXIS2_PLACEMENT_3D('',#21224,#21225,#21226); +#21228=TOROIDAL_SURFACE('',#21227,2.65E0,6.5E-1); +#21230=ORIENTED_EDGE('',*,*,#21229,.F.); +#21232=ORIENTED_EDGE('',*,*,#21231,.F.); +#21233=ORIENTED_EDGE('',*,*,#20565,.F.); +#21234=ORIENTED_EDGE('',*,*,#20715,.F.); +#21235=ORIENTED_EDGE('',*,*,#21205,.T.); +#21236=ORIENTED_EDGE('',*,*,#21203,.T.); +#21237=ORIENTED_EDGE('',*,*,#20711,.F.); +#21238=ORIENTED_EDGE('',*,*,#20569,.F.); +#21239=EDGE_LOOP('',(#21230,#21232,#21233,#21234,#21235,#21236,#21237,#21238)); +#21240=FACE_OUTER_BOUND('',#21239,.F.); +#21242=CARTESIAN_POINT('',(-1.E1,4.E0,-1.2475E1)); +#21243=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21244=DIRECTION('',(0.E0,1.E0,0.E0)); +#21245=AXIS2_PLACEMENT_3D('',#21242,#21243,#21244); +#21246=CYLINDRICAL_SURFACE('',#21245,6.5E-1); +#21247=ORIENTED_EDGE('',*,*,#20567,.T.); +#21248=ORIENTED_EDGE('',*,*,#21231,.T.); +#21249=ORIENTED_EDGE('',*,*,#21229,.T.); +#21250=EDGE_LOOP('',(#21247,#21248,#21249)); +#21251=FACE_OUTER_BOUND('',#21250,.F.); +#21253=CARTESIAN_POINT('',(-1.E1,4.E0,-1.2475E1)); +#21254=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21255=DIRECTION('',(0.E0,1.E0,0.E0)); +#21256=AXIS2_PLACEMENT_3D('',#21253,#21254,#21255); +#21257=CYLINDRICAL_SURFACE('',#21256,6.5E-1); +#21258=ORIENTED_EDGE('',*,*,#16428,.T.); +#21260=ORIENTED_EDGE('',*,*,#21259,.F.); +#21262=ORIENTED_EDGE('',*,*,#21261,.F.); +#21264=ORIENTED_EDGE('',*,*,#21263,.T.); +#21265=EDGE_LOOP('',(#21258,#21260,#21262,#21264)); +#21266=FACE_OUTER_BOUND('',#21265,.F.); +#21268=CARTESIAN_POINT('',(-1.E1,4.E0,-1.2475E1)); +#21269=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21270=DIRECTION('',(0.E0,1.E0,0.E0)); +#21271=AXIS2_PLACEMENT_3D('',#21268,#21269,#21270); +#21272=CYLINDRICAL_SURFACE('',#21271,6.5E-1); +#21273=ORIENTED_EDGE('',*,*,#16426,.T.); +#21274=ORIENTED_EDGE('',*,*,#21263,.F.); +#21276=ORIENTED_EDGE('',*,*,#21275,.F.); +#21277=ORIENTED_EDGE('',*,*,#21259,.T.); +#21278=EDGE_LOOP('',(#21273,#21274,#21276,#21277)); +#21279=FACE_OUTER_BOUND('',#21278,.F.); +#21281=CARTESIAN_POINT('',(-1.E1,4.E0,-1.2475E1)); +#21282=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21283=DIRECTION('',(0.E0,1.E0,0.E0)); +#21284=AXIS2_PLACEMENT_3D('',#21281,#21282,#21283); +#21285=PLANE('',#21284); +#21286=ORIENTED_EDGE('',*,*,#21275,.T.); +#21287=ORIENTED_EDGE('',*,*,#21261,.T.); +#21288=EDGE_LOOP('',(#21286,#21287)); +#21289=FACE_OUTER_BOUND('',#21288,.F.); +#21291=CARTESIAN_POINT('',(-1.E1,-1.51E1,-3.58E1)); +#21292=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21293=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21294=AXIS2_PLACEMENT_3D('',#21291,#21292,#21293); +#21295=PLANE('',#21294); +#21296=ORIENTED_EDGE('',*,*,#21190,.F.); +#21297=ORIENTED_EDGE('',*,*,#21219,.F.); +#21298=EDGE_LOOP('',(#21296,#21297)); +#21299=FACE_OUTER_BOUND('',#21298,.F.); +#21301=CARTESIAN_POINT('',(-6.E0,1.35E0,-3.58E1)); +#21302=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21303=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21304=AXIS2_PLACEMENT_3D('',#21301,#21302,#21303); +#21305=CYLINDRICAL_SURFACE('',#21304,6.5E-1); +#21307=ORIENTED_EDGE('',*,*,#21306,.T.); +#21309=ORIENTED_EDGE('',*,*,#21308,.T.); +#21311=ORIENTED_EDGE('',*,*,#21310,.F.); +#21312=ORIENTED_EDGE('',*,*,#20819,.F.); +#21313=EDGE_LOOP('',(#21307,#21309,#21311,#21312)); +#21314=FACE_OUTER_BOUND('',#21313,.F.); +#21316=CARTESIAN_POINT('',(-6.E0,1.35E0,-3.58E1)); +#21317=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21318=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21319=AXIS2_PLACEMENT_3D('',#21316,#21317,#21318); +#21320=CYLINDRICAL_SURFACE('',#21319,6.5E-1); +#21322=ORIENTED_EDGE('',*,*,#21321,.F.); +#21324=ORIENTED_EDGE('',*,*,#21323,.F.); +#21325=ORIENTED_EDGE('',*,*,#20706,.T.); +#21326=EDGE_LOOP('',(#21322,#21324,#21325)); +#21327=FACE_OUTER_BOUND('',#21326,.F.); +#21329=CARTESIAN_POINT('',(-6.E0,1.35E0,-3.58E1)); +#21330=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21331=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21332=AXIS2_PLACEMENT_3D('',#21329,#21330,#21331); +#21333=CYLINDRICAL_SURFACE('',#21332,6.5E-1); +#21334=ORIENTED_EDGE('',*,*,#21306,.F.); +#21335=ORIENTED_EDGE('',*,*,#20821,.F.); +#21336=ORIENTED_EDGE('',*,*,#21310,.T.); +#21338=ORIENTED_EDGE('',*,*,#21337,.T.); +#21339=EDGE_LOOP('',(#21334,#21335,#21336,#21338)); +#21340=FACE_OUTER_BOUND('',#21339,.F.); +#21342=CARTESIAN_POINT('',(-6.E0,1.35E0,-3.315E1)); +#21343=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21344=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21345=AXIS2_PLACEMENT_3D('',#21342,#21343,#21344); +#21346=TOROIDAL_SURFACE('',#21345,2.65E0,6.5E-1); +#21348=ORIENTED_EDGE('',*,*,#21347,.F.); +#21350=ORIENTED_EDGE('',*,*,#21349,.F.); +#21351=ORIENTED_EDGE('',*,*,#20573,.F.); +#21352=ORIENTED_EDGE('',*,*,#20708,.F.); +#21353=ORIENTED_EDGE('',*,*,#21323,.T.); +#21354=ORIENTED_EDGE('',*,*,#21321,.T.); +#21355=ORIENTED_EDGE('',*,*,#20704,.F.); +#21356=ORIENTED_EDGE('',*,*,#20577,.F.); +#21357=EDGE_LOOP('',(#21348,#21350,#21351,#21352,#21353,#21354,#21355,#21356)); +#21358=FACE_OUTER_BOUND('',#21357,.F.); +#21360=CARTESIAN_POINT('',(-6.E0,4.E0,-1.2475E1)); +#21361=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21362=DIRECTION('',(0.E0,1.E0,0.E0)); +#21363=AXIS2_PLACEMENT_3D('',#21360,#21361,#21362); +#21364=CYLINDRICAL_SURFACE('',#21363,6.5E-1); +#21365=ORIENTED_EDGE('',*,*,#20575,.T.); +#21366=ORIENTED_EDGE('',*,*,#21349,.T.); +#21367=ORIENTED_EDGE('',*,*,#21347,.T.); +#21368=EDGE_LOOP('',(#21365,#21366,#21367)); +#21369=FACE_OUTER_BOUND('',#21368,.F.); +#21371=CARTESIAN_POINT('',(-6.E0,4.E0,-1.2475E1)); +#21372=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21373=DIRECTION('',(0.E0,1.E0,0.E0)); +#21374=AXIS2_PLACEMENT_3D('',#21371,#21372,#21373); +#21375=CYLINDRICAL_SURFACE('',#21374,6.5E-1); +#21376=ORIENTED_EDGE('',*,*,#16434,.T.); +#21378=ORIENTED_EDGE('',*,*,#21377,.F.); +#21380=ORIENTED_EDGE('',*,*,#21379,.F.); +#21382=ORIENTED_EDGE('',*,*,#21381,.T.); +#21383=EDGE_LOOP('',(#21376,#21378,#21380,#21382)); +#21384=FACE_OUTER_BOUND('',#21383,.F.); +#21386=CARTESIAN_POINT('',(-6.E0,4.E0,-1.2475E1)); +#21387=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21388=DIRECTION('',(0.E0,1.E0,0.E0)); +#21389=AXIS2_PLACEMENT_3D('',#21386,#21387,#21388); +#21390=CYLINDRICAL_SURFACE('',#21389,6.5E-1); +#21391=ORIENTED_EDGE('',*,*,#16432,.T.); +#21392=ORIENTED_EDGE('',*,*,#21381,.F.); +#21394=ORIENTED_EDGE('',*,*,#21393,.F.); +#21395=ORIENTED_EDGE('',*,*,#21377,.T.); +#21396=EDGE_LOOP('',(#21391,#21392,#21394,#21395)); +#21397=FACE_OUTER_BOUND('',#21396,.F.); +#21399=CARTESIAN_POINT('',(-6.E0,4.E0,-1.2475E1)); +#21400=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21401=DIRECTION('',(0.E0,1.E0,0.E0)); +#21402=AXIS2_PLACEMENT_3D('',#21399,#21400,#21401); +#21403=PLANE('',#21402); +#21404=ORIENTED_EDGE('',*,*,#21393,.T.); +#21405=ORIENTED_EDGE('',*,*,#21379,.T.); +#21406=EDGE_LOOP('',(#21404,#21405)); +#21407=FACE_OUTER_BOUND('',#21406,.F.); +#21409=CARTESIAN_POINT('',(-6.E0,-1.51E1,-3.58E1)); +#21410=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21411=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21412=AXIS2_PLACEMENT_3D('',#21409,#21410,#21411); +#21413=PLANE('',#21412); +#21414=ORIENTED_EDGE('',*,*,#21308,.F.); +#21415=ORIENTED_EDGE('',*,*,#21337,.F.); +#21416=EDGE_LOOP('',(#21414,#21415)); +#21417=FACE_OUTER_BOUND('',#21416,.F.); +#21419=CARTESIAN_POINT('',(-2.E0,1.35E0,-3.58E1)); +#21420=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21421=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21422=AXIS2_PLACEMENT_3D('',#21419,#21420,#21421); +#21423=CYLINDRICAL_SURFACE('',#21422,6.5E-1); +#21425=ORIENTED_EDGE('',*,*,#21424,.T.); +#21427=ORIENTED_EDGE('',*,*,#21426,.T.); +#21429=ORIENTED_EDGE('',*,*,#21428,.F.); +#21430=ORIENTED_EDGE('',*,*,#20825,.F.); +#21431=EDGE_LOOP('',(#21425,#21427,#21429,#21430)); +#21432=FACE_OUTER_BOUND('',#21431,.F.); +#21434=CARTESIAN_POINT('',(-2.E0,1.35E0,-3.58E1)); +#21435=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21436=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21437=AXIS2_PLACEMENT_3D('',#21434,#21435,#21436); +#21438=CYLINDRICAL_SURFACE('',#21437,6.5E-1); +#21440=ORIENTED_EDGE('',*,*,#21439,.F.); +#21442=ORIENTED_EDGE('',*,*,#21441,.F.); +#21443=ORIENTED_EDGE('',*,*,#20699,.T.); +#21444=EDGE_LOOP('',(#21440,#21442,#21443)); +#21445=FACE_OUTER_BOUND('',#21444,.F.); +#21447=CARTESIAN_POINT('',(-2.E0,1.35E0,-3.58E1)); +#21448=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21449=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21450=AXIS2_PLACEMENT_3D('',#21447,#21448,#21449); +#21451=CYLINDRICAL_SURFACE('',#21450,6.5E-1); +#21452=ORIENTED_EDGE('',*,*,#21424,.F.); +#21453=ORIENTED_EDGE('',*,*,#20827,.F.); +#21454=ORIENTED_EDGE('',*,*,#21428,.T.); +#21456=ORIENTED_EDGE('',*,*,#21455,.T.); +#21457=EDGE_LOOP('',(#21452,#21453,#21454,#21456)); +#21458=FACE_OUTER_BOUND('',#21457,.F.); +#21460=CARTESIAN_POINT('',(-2.E0,1.35E0,-3.315E1)); +#21461=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21462=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21463=AXIS2_PLACEMENT_3D('',#21460,#21461,#21462); +#21464=TOROIDAL_SURFACE('',#21463,2.65E0,6.5E-1); +#21466=ORIENTED_EDGE('',*,*,#21465,.F.); +#21468=ORIENTED_EDGE('',*,*,#21467,.F.); +#21469=ORIENTED_EDGE('',*,*,#20581,.F.); +#21470=ORIENTED_EDGE('',*,*,#20701,.F.); +#21471=ORIENTED_EDGE('',*,*,#21441,.T.); +#21472=ORIENTED_EDGE('',*,*,#21439,.T.); +#21473=ORIENTED_EDGE('',*,*,#20697,.F.); +#21474=ORIENTED_EDGE('',*,*,#20585,.F.); +#21475=EDGE_LOOP('',(#21466,#21468,#21469,#21470,#21471,#21472,#21473,#21474)); +#21476=FACE_OUTER_BOUND('',#21475,.F.); +#21478=CARTESIAN_POINT('',(-2.E0,4.E0,-1.2475E1)); +#21479=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21480=DIRECTION('',(0.E0,1.E0,0.E0)); +#21481=AXIS2_PLACEMENT_3D('',#21478,#21479,#21480); +#21482=CYLINDRICAL_SURFACE('',#21481,6.5E-1); +#21483=ORIENTED_EDGE('',*,*,#20583,.T.); +#21484=ORIENTED_EDGE('',*,*,#21467,.T.); +#21485=ORIENTED_EDGE('',*,*,#21465,.T.); +#21486=EDGE_LOOP('',(#21483,#21484,#21485)); +#21487=FACE_OUTER_BOUND('',#21486,.F.); +#21489=CARTESIAN_POINT('',(-2.E0,4.E0,-1.2475E1)); +#21490=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21491=DIRECTION('',(0.E0,1.E0,0.E0)); +#21492=AXIS2_PLACEMENT_3D('',#21489,#21490,#21491); +#21493=CYLINDRICAL_SURFACE('',#21492,6.5E-1); +#21494=ORIENTED_EDGE('',*,*,#16440,.T.); +#21496=ORIENTED_EDGE('',*,*,#21495,.F.); +#21498=ORIENTED_EDGE('',*,*,#21497,.F.); +#21500=ORIENTED_EDGE('',*,*,#21499,.T.); +#21501=EDGE_LOOP('',(#21494,#21496,#21498,#21500)); +#21502=FACE_OUTER_BOUND('',#21501,.F.); +#21504=CARTESIAN_POINT('',(-2.E0,4.E0,-1.2475E1)); +#21505=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21506=DIRECTION('',(0.E0,1.E0,0.E0)); +#21507=AXIS2_PLACEMENT_3D('',#21504,#21505,#21506); +#21508=CYLINDRICAL_SURFACE('',#21507,6.5E-1); +#21509=ORIENTED_EDGE('',*,*,#16438,.T.); +#21510=ORIENTED_EDGE('',*,*,#21499,.F.); +#21512=ORIENTED_EDGE('',*,*,#21511,.F.); +#21513=ORIENTED_EDGE('',*,*,#21495,.T.); +#21514=EDGE_LOOP('',(#21509,#21510,#21512,#21513)); +#21515=FACE_OUTER_BOUND('',#21514,.F.); +#21517=CARTESIAN_POINT('',(-2.E0,4.E0,-1.2475E1)); +#21518=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21519=DIRECTION('',(0.E0,1.E0,0.E0)); +#21520=AXIS2_PLACEMENT_3D('',#21517,#21518,#21519); +#21521=PLANE('',#21520); +#21522=ORIENTED_EDGE('',*,*,#21511,.T.); +#21523=ORIENTED_EDGE('',*,*,#21497,.T.); +#21524=EDGE_LOOP('',(#21522,#21523)); +#21525=FACE_OUTER_BOUND('',#21524,.F.); +#21527=CARTESIAN_POINT('',(-2.E0,-1.51E1,-3.58E1)); +#21528=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21529=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21530=AXIS2_PLACEMENT_3D('',#21527,#21528,#21529); +#21531=PLANE('',#21530); +#21532=ORIENTED_EDGE('',*,*,#21426,.F.); +#21533=ORIENTED_EDGE('',*,*,#21455,.F.); +#21534=EDGE_LOOP('',(#21532,#21533)); +#21535=FACE_OUTER_BOUND('',#21534,.F.); +#21537=CARTESIAN_POINT('',(2.E0,1.35E0,-3.58E1)); +#21538=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21539=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21540=AXIS2_PLACEMENT_3D('',#21537,#21538,#21539); +#21541=CYLINDRICAL_SURFACE('',#21540,6.5E-1); +#21543=ORIENTED_EDGE('',*,*,#21542,.T.); +#21545=ORIENTED_EDGE('',*,*,#21544,.T.); +#21547=ORIENTED_EDGE('',*,*,#21546,.F.); +#21548=ORIENTED_EDGE('',*,*,#20831,.F.); +#21549=EDGE_LOOP('',(#21543,#21545,#21547,#21548)); +#21550=FACE_OUTER_BOUND('',#21549,.F.); +#21552=CARTESIAN_POINT('',(2.E0,1.35E0,-3.58E1)); +#21553=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21554=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21555=AXIS2_PLACEMENT_3D('',#21552,#21553,#21554); +#21556=CYLINDRICAL_SURFACE('',#21555,6.5E-1); +#21558=ORIENTED_EDGE('',*,*,#21557,.F.); +#21560=ORIENTED_EDGE('',*,*,#21559,.F.); +#21561=ORIENTED_EDGE('',*,*,#20692,.T.); +#21562=EDGE_LOOP('',(#21558,#21560,#21561)); +#21563=FACE_OUTER_BOUND('',#21562,.F.); +#21565=CARTESIAN_POINT('',(2.E0,1.35E0,-3.58E1)); +#21566=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21567=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21568=AXIS2_PLACEMENT_3D('',#21565,#21566,#21567); +#21569=CYLINDRICAL_SURFACE('',#21568,6.5E-1); +#21570=ORIENTED_EDGE('',*,*,#21542,.F.); +#21571=ORIENTED_EDGE('',*,*,#20833,.F.); +#21572=ORIENTED_EDGE('',*,*,#21546,.T.); +#21574=ORIENTED_EDGE('',*,*,#21573,.T.); +#21575=EDGE_LOOP('',(#21570,#21571,#21572,#21574)); +#21576=FACE_OUTER_BOUND('',#21575,.F.); +#21578=CARTESIAN_POINT('',(2.E0,1.35E0,-3.315E1)); +#21579=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21580=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21581=AXIS2_PLACEMENT_3D('',#21578,#21579,#21580); +#21582=TOROIDAL_SURFACE('',#21581,2.65E0,6.5E-1); +#21584=ORIENTED_EDGE('',*,*,#21583,.F.); +#21586=ORIENTED_EDGE('',*,*,#21585,.F.); +#21587=ORIENTED_EDGE('',*,*,#20589,.F.); +#21588=ORIENTED_EDGE('',*,*,#20694,.F.); +#21589=ORIENTED_EDGE('',*,*,#21559,.T.); +#21590=ORIENTED_EDGE('',*,*,#21557,.T.); +#21591=ORIENTED_EDGE('',*,*,#20690,.F.); +#21592=ORIENTED_EDGE('',*,*,#20593,.F.); +#21593=EDGE_LOOP('',(#21584,#21586,#21587,#21588,#21589,#21590,#21591,#21592)); +#21594=FACE_OUTER_BOUND('',#21593,.F.); +#21596=CARTESIAN_POINT('',(2.E0,4.E0,-1.2475E1)); +#21597=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21598=DIRECTION('',(0.E0,1.E0,0.E0)); +#21599=AXIS2_PLACEMENT_3D('',#21596,#21597,#21598); +#21600=CYLINDRICAL_SURFACE('',#21599,6.5E-1); +#21601=ORIENTED_EDGE('',*,*,#20591,.T.); +#21602=ORIENTED_EDGE('',*,*,#21585,.T.); +#21603=ORIENTED_EDGE('',*,*,#21583,.T.); +#21604=EDGE_LOOP('',(#21601,#21602,#21603)); +#21605=FACE_OUTER_BOUND('',#21604,.F.); +#21607=CARTESIAN_POINT('',(2.E0,4.E0,-1.2475E1)); +#21608=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21609=DIRECTION('',(0.E0,1.E0,0.E0)); +#21610=AXIS2_PLACEMENT_3D('',#21607,#21608,#21609); +#21611=CYLINDRICAL_SURFACE('',#21610,6.5E-1); +#21612=ORIENTED_EDGE('',*,*,#16446,.T.); +#21614=ORIENTED_EDGE('',*,*,#21613,.F.); +#21616=ORIENTED_EDGE('',*,*,#21615,.F.); +#21618=ORIENTED_EDGE('',*,*,#21617,.T.); +#21619=EDGE_LOOP('',(#21612,#21614,#21616,#21618)); +#21620=FACE_OUTER_BOUND('',#21619,.F.); +#21622=CARTESIAN_POINT('',(2.E0,4.E0,-1.2475E1)); +#21623=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21624=DIRECTION('',(0.E0,1.E0,0.E0)); +#21625=AXIS2_PLACEMENT_3D('',#21622,#21623,#21624); +#21626=CYLINDRICAL_SURFACE('',#21625,6.5E-1); +#21627=ORIENTED_EDGE('',*,*,#16444,.T.); +#21628=ORIENTED_EDGE('',*,*,#21617,.F.); +#21630=ORIENTED_EDGE('',*,*,#21629,.F.); +#21631=ORIENTED_EDGE('',*,*,#21613,.T.); +#21632=EDGE_LOOP('',(#21627,#21628,#21630,#21631)); +#21633=FACE_OUTER_BOUND('',#21632,.F.); +#21635=CARTESIAN_POINT('',(2.E0,4.E0,-1.2475E1)); +#21636=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21637=DIRECTION('',(0.E0,1.E0,0.E0)); +#21638=AXIS2_PLACEMENT_3D('',#21635,#21636,#21637); +#21639=PLANE('',#21638); +#21640=ORIENTED_EDGE('',*,*,#21629,.T.); +#21641=ORIENTED_EDGE('',*,*,#21615,.T.); +#21642=EDGE_LOOP('',(#21640,#21641)); +#21643=FACE_OUTER_BOUND('',#21642,.F.); +#21645=CARTESIAN_POINT('',(2.E0,-1.51E1,-3.58E1)); +#21646=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21647=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21648=AXIS2_PLACEMENT_3D('',#21645,#21646,#21647); +#21649=PLANE('',#21648); +#21650=ORIENTED_EDGE('',*,*,#21544,.F.); +#21651=ORIENTED_EDGE('',*,*,#21573,.F.); +#21652=EDGE_LOOP('',(#21650,#21651)); +#21653=FACE_OUTER_BOUND('',#21652,.F.); +#21655=CARTESIAN_POINT('',(6.E0,1.35E0,-3.58E1)); +#21656=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21657=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21658=AXIS2_PLACEMENT_3D('',#21655,#21656,#21657); +#21659=CYLINDRICAL_SURFACE('',#21658,6.5E-1); +#21661=ORIENTED_EDGE('',*,*,#21660,.T.); +#21663=ORIENTED_EDGE('',*,*,#21662,.T.); +#21665=ORIENTED_EDGE('',*,*,#21664,.F.); +#21666=ORIENTED_EDGE('',*,*,#20837,.F.); +#21667=EDGE_LOOP('',(#21661,#21663,#21665,#21666)); +#21668=FACE_OUTER_BOUND('',#21667,.F.); +#21670=CARTESIAN_POINT('',(6.E0,1.35E0,-3.58E1)); +#21671=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21672=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21673=AXIS2_PLACEMENT_3D('',#21670,#21671,#21672); +#21674=CYLINDRICAL_SURFACE('',#21673,6.5E-1); +#21676=ORIENTED_EDGE('',*,*,#21675,.F.); +#21678=ORIENTED_EDGE('',*,*,#21677,.F.); +#21679=ORIENTED_EDGE('',*,*,#20685,.T.); +#21680=EDGE_LOOP('',(#21676,#21678,#21679)); +#21681=FACE_OUTER_BOUND('',#21680,.F.); +#21683=CARTESIAN_POINT('',(6.E0,1.35E0,-3.58E1)); +#21684=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21685=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21686=AXIS2_PLACEMENT_3D('',#21683,#21684,#21685); +#21687=CYLINDRICAL_SURFACE('',#21686,6.5E-1); +#21688=ORIENTED_EDGE('',*,*,#21660,.F.); +#21689=ORIENTED_EDGE('',*,*,#20839,.F.); +#21690=ORIENTED_EDGE('',*,*,#21664,.T.); +#21692=ORIENTED_EDGE('',*,*,#21691,.T.); +#21693=EDGE_LOOP('',(#21688,#21689,#21690,#21692)); +#21694=FACE_OUTER_BOUND('',#21693,.F.); +#21696=CARTESIAN_POINT('',(6.E0,1.35E0,-3.315E1)); +#21697=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21698=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21699=AXIS2_PLACEMENT_3D('',#21696,#21697,#21698); +#21700=TOROIDAL_SURFACE('',#21699,2.65E0,6.5E-1); +#21702=ORIENTED_EDGE('',*,*,#21701,.F.); +#21704=ORIENTED_EDGE('',*,*,#21703,.F.); +#21705=ORIENTED_EDGE('',*,*,#20597,.F.); +#21706=ORIENTED_EDGE('',*,*,#20687,.F.); +#21707=ORIENTED_EDGE('',*,*,#21677,.T.); +#21708=ORIENTED_EDGE('',*,*,#21675,.T.); +#21709=ORIENTED_EDGE('',*,*,#20683,.F.); +#21710=ORIENTED_EDGE('',*,*,#20601,.F.); +#21711=EDGE_LOOP('',(#21702,#21704,#21705,#21706,#21707,#21708,#21709,#21710)); +#21712=FACE_OUTER_BOUND('',#21711,.F.); +#21714=CARTESIAN_POINT('',(6.E0,4.E0,-1.2475E1)); +#21715=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21716=DIRECTION('',(0.E0,1.E0,0.E0)); +#21717=AXIS2_PLACEMENT_3D('',#21714,#21715,#21716); +#21718=CYLINDRICAL_SURFACE('',#21717,6.5E-1); +#21719=ORIENTED_EDGE('',*,*,#20599,.T.); +#21720=ORIENTED_EDGE('',*,*,#21703,.T.); +#21721=ORIENTED_EDGE('',*,*,#21701,.T.); +#21722=EDGE_LOOP('',(#21719,#21720,#21721)); +#21723=FACE_OUTER_BOUND('',#21722,.F.); +#21725=CARTESIAN_POINT('',(6.E0,4.E0,-1.2475E1)); +#21726=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21727=DIRECTION('',(0.E0,1.E0,0.E0)); +#21728=AXIS2_PLACEMENT_3D('',#21725,#21726,#21727); +#21729=CYLINDRICAL_SURFACE('',#21728,6.5E-1); +#21730=ORIENTED_EDGE('',*,*,#16452,.T.); +#21732=ORIENTED_EDGE('',*,*,#21731,.F.); +#21734=ORIENTED_EDGE('',*,*,#21733,.F.); +#21736=ORIENTED_EDGE('',*,*,#21735,.T.); +#21737=EDGE_LOOP('',(#21730,#21732,#21734,#21736)); +#21738=FACE_OUTER_BOUND('',#21737,.F.); +#21740=CARTESIAN_POINT('',(6.E0,4.E0,-1.2475E1)); +#21741=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21742=DIRECTION('',(0.E0,1.E0,0.E0)); +#21743=AXIS2_PLACEMENT_3D('',#21740,#21741,#21742); +#21744=CYLINDRICAL_SURFACE('',#21743,6.5E-1); +#21745=ORIENTED_EDGE('',*,*,#16450,.T.); +#21746=ORIENTED_EDGE('',*,*,#21735,.F.); +#21748=ORIENTED_EDGE('',*,*,#21747,.F.); +#21749=ORIENTED_EDGE('',*,*,#21731,.T.); +#21750=EDGE_LOOP('',(#21745,#21746,#21748,#21749)); +#21751=FACE_OUTER_BOUND('',#21750,.F.); +#21753=CARTESIAN_POINT('',(6.E0,4.E0,-1.2475E1)); +#21754=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21755=DIRECTION('',(0.E0,1.E0,0.E0)); +#21756=AXIS2_PLACEMENT_3D('',#21753,#21754,#21755); +#21757=PLANE('',#21756); +#21758=ORIENTED_EDGE('',*,*,#21747,.T.); +#21759=ORIENTED_EDGE('',*,*,#21733,.T.); +#21760=EDGE_LOOP('',(#21758,#21759)); +#21761=FACE_OUTER_BOUND('',#21760,.F.); +#21763=CARTESIAN_POINT('',(6.E0,-1.51E1,-3.58E1)); +#21764=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21765=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21766=AXIS2_PLACEMENT_3D('',#21763,#21764,#21765); +#21767=PLANE('',#21766); +#21768=ORIENTED_EDGE('',*,*,#21662,.F.); +#21769=ORIENTED_EDGE('',*,*,#21691,.F.); +#21770=EDGE_LOOP('',(#21768,#21769)); +#21771=FACE_OUTER_BOUND('',#21770,.F.); +#21773=CARTESIAN_POINT('',(1.E1,1.35E0,-3.58E1)); +#21774=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21775=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21776=AXIS2_PLACEMENT_3D('',#21773,#21774,#21775); +#21777=CYLINDRICAL_SURFACE('',#21776,6.5E-1); +#21779=ORIENTED_EDGE('',*,*,#21778,.T.); +#21781=ORIENTED_EDGE('',*,*,#21780,.T.); +#21783=ORIENTED_EDGE('',*,*,#21782,.F.); +#21784=ORIENTED_EDGE('',*,*,#20843,.F.); +#21785=EDGE_LOOP('',(#21779,#21781,#21783,#21784)); +#21786=FACE_OUTER_BOUND('',#21785,.F.); +#21788=CARTESIAN_POINT('',(1.E1,1.35E0,-3.58E1)); +#21789=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21790=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21791=AXIS2_PLACEMENT_3D('',#21788,#21789,#21790); +#21792=CYLINDRICAL_SURFACE('',#21791,6.5E-1); +#21794=ORIENTED_EDGE('',*,*,#21793,.F.); +#21796=ORIENTED_EDGE('',*,*,#21795,.F.); +#21797=ORIENTED_EDGE('',*,*,#20678,.T.); +#21798=EDGE_LOOP('',(#21794,#21796,#21797)); +#21799=FACE_OUTER_BOUND('',#21798,.F.); +#21801=CARTESIAN_POINT('',(1.E1,1.35E0,-3.58E1)); +#21802=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21803=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21804=AXIS2_PLACEMENT_3D('',#21801,#21802,#21803); +#21805=CYLINDRICAL_SURFACE('',#21804,6.5E-1); +#21806=ORIENTED_EDGE('',*,*,#21778,.F.); +#21807=ORIENTED_EDGE('',*,*,#20845,.F.); +#21808=ORIENTED_EDGE('',*,*,#21782,.T.); +#21810=ORIENTED_EDGE('',*,*,#21809,.T.); +#21811=EDGE_LOOP('',(#21806,#21807,#21808,#21810)); +#21812=FACE_OUTER_BOUND('',#21811,.F.); +#21814=CARTESIAN_POINT('',(1.E1,1.35E0,-3.315E1)); +#21815=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21816=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21817=AXIS2_PLACEMENT_3D('',#21814,#21815,#21816); +#21818=TOROIDAL_SURFACE('',#21817,2.65E0,6.5E-1); +#21820=ORIENTED_EDGE('',*,*,#21819,.F.); +#21822=ORIENTED_EDGE('',*,*,#21821,.F.); +#21823=ORIENTED_EDGE('',*,*,#20605,.F.); +#21824=ORIENTED_EDGE('',*,*,#20680,.F.); +#21825=ORIENTED_EDGE('',*,*,#21795,.T.); +#21826=ORIENTED_EDGE('',*,*,#21793,.T.); +#21827=ORIENTED_EDGE('',*,*,#20676,.F.); +#21828=ORIENTED_EDGE('',*,*,#20609,.F.); +#21829=EDGE_LOOP('',(#21820,#21822,#21823,#21824,#21825,#21826,#21827,#21828)); +#21830=FACE_OUTER_BOUND('',#21829,.F.); +#21832=CARTESIAN_POINT('',(1.E1,4.E0,-1.2475E1)); +#21833=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21834=DIRECTION('',(0.E0,1.E0,0.E0)); +#21835=AXIS2_PLACEMENT_3D('',#21832,#21833,#21834); +#21836=CYLINDRICAL_SURFACE('',#21835,6.5E-1); +#21837=ORIENTED_EDGE('',*,*,#20607,.T.); +#21838=ORIENTED_EDGE('',*,*,#21821,.T.); +#21839=ORIENTED_EDGE('',*,*,#21819,.T.); +#21840=EDGE_LOOP('',(#21837,#21838,#21839)); +#21841=FACE_OUTER_BOUND('',#21840,.F.); +#21843=CARTESIAN_POINT('',(1.E1,4.E0,-1.2475E1)); +#21844=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21845=DIRECTION('',(0.E0,1.E0,0.E0)); +#21846=AXIS2_PLACEMENT_3D('',#21843,#21844,#21845); +#21847=CYLINDRICAL_SURFACE('',#21846,6.5E-1); +#21848=ORIENTED_EDGE('',*,*,#16458,.T.); +#21850=ORIENTED_EDGE('',*,*,#21849,.F.); +#21852=ORIENTED_EDGE('',*,*,#21851,.F.); +#21854=ORIENTED_EDGE('',*,*,#21853,.T.); +#21855=EDGE_LOOP('',(#21848,#21850,#21852,#21854)); +#21856=FACE_OUTER_BOUND('',#21855,.F.); +#21858=CARTESIAN_POINT('',(1.E1,4.E0,-1.2475E1)); +#21859=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21860=DIRECTION('',(0.E0,1.E0,0.E0)); +#21861=AXIS2_PLACEMENT_3D('',#21858,#21859,#21860); +#21862=CYLINDRICAL_SURFACE('',#21861,6.5E-1); +#21863=ORIENTED_EDGE('',*,*,#16456,.T.); +#21864=ORIENTED_EDGE('',*,*,#21853,.F.); +#21866=ORIENTED_EDGE('',*,*,#21865,.F.); +#21867=ORIENTED_EDGE('',*,*,#21849,.T.); +#21868=EDGE_LOOP('',(#21863,#21864,#21866,#21867)); +#21869=FACE_OUTER_BOUND('',#21868,.F.); +#21871=CARTESIAN_POINT('',(1.E1,4.E0,-1.2475E1)); +#21872=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21873=DIRECTION('',(0.E0,1.E0,0.E0)); +#21874=AXIS2_PLACEMENT_3D('',#21871,#21872,#21873); +#21875=PLANE('',#21874); +#21876=ORIENTED_EDGE('',*,*,#21865,.T.); +#21877=ORIENTED_EDGE('',*,*,#21851,.T.); +#21878=EDGE_LOOP('',(#21876,#21877)); +#21879=FACE_OUTER_BOUND('',#21878,.F.); +#21881=CARTESIAN_POINT('',(1.E1,-1.51E1,-3.58E1)); +#21882=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21883=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21884=AXIS2_PLACEMENT_3D('',#21881,#21882,#21883); +#21885=PLANE('',#21884); +#21886=ORIENTED_EDGE('',*,*,#21780,.F.); +#21887=ORIENTED_EDGE('',*,*,#21809,.F.); +#21888=EDGE_LOOP('',(#21886,#21887)); +#21889=FACE_OUTER_BOUND('',#21888,.F.); +#21891=CARTESIAN_POINT('',(-1.2E1,-2.65E0,-3.18E1)); +#21892=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21893=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21894=AXIS2_PLACEMENT_3D('',#21891,#21892,#21893); +#21895=CYLINDRICAL_SURFACE('',#21894,6.5E-1); +#21897=ORIENTED_EDGE('',*,*,#21896,.T.); +#21899=ORIENTED_EDGE('',*,*,#21898,.T.); +#21901=ORIENTED_EDGE('',*,*,#21900,.F.); +#21902=ORIENTED_EDGE('',*,*,#20853,.F.); +#21903=EDGE_LOOP('',(#21897,#21899,#21901,#21902)); +#21904=FACE_OUTER_BOUND('',#21903,.F.); +#21906=CARTESIAN_POINT('',(-1.2E1,-2.65E0,-3.18E1)); +#21907=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21908=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21909=AXIS2_PLACEMENT_3D('',#21906,#21907,#21908); +#21910=CYLINDRICAL_SURFACE('',#21909,6.5E-1); +#21911=ORIENTED_EDGE('',*,*,#21896,.F.); +#21912=ORIENTED_EDGE('',*,*,#20855,.F.); +#21913=ORIENTED_EDGE('',*,*,#21900,.T.); +#21915=ORIENTED_EDGE('',*,*,#21914,.T.); +#21916=EDGE_LOOP('',(#21911,#21912,#21913,#21915)); +#21917=FACE_OUTER_BOUND('',#21916,.F.); +#21919=CARTESIAN_POINT('',(-1.2E1,-1.51E1,-3.18E1)); +#21920=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21921=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21922=AXIS2_PLACEMENT_3D('',#21919,#21920,#21921); +#21923=PLANE('',#21922); +#21924=ORIENTED_EDGE('',*,*,#21898,.F.); +#21925=ORIENTED_EDGE('',*,*,#21914,.F.); +#21926=EDGE_LOOP('',(#21924,#21925)); +#21927=FACE_OUTER_BOUND('',#21926,.F.); +#21929=CARTESIAN_POINT('',(-8.E0,-2.65E0,-3.18E1)); +#21930=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21931=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21932=AXIS2_PLACEMENT_3D('',#21929,#21930,#21931); +#21933=CYLINDRICAL_SURFACE('',#21932,6.5E-1); +#21935=ORIENTED_EDGE('',*,*,#21934,.T.); +#21937=ORIENTED_EDGE('',*,*,#21936,.T.); +#21939=ORIENTED_EDGE('',*,*,#21938,.F.); +#21940=ORIENTED_EDGE('',*,*,#20859,.F.); +#21941=EDGE_LOOP('',(#21935,#21937,#21939,#21940)); +#21942=FACE_OUTER_BOUND('',#21941,.F.); +#21944=CARTESIAN_POINT('',(-8.E0,-2.65E0,-3.18E1)); +#21945=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21946=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21947=AXIS2_PLACEMENT_3D('',#21944,#21945,#21946); +#21948=CYLINDRICAL_SURFACE('',#21947,6.5E-1); +#21949=ORIENTED_EDGE('',*,*,#21934,.F.); +#21950=ORIENTED_EDGE('',*,*,#20861,.F.); +#21951=ORIENTED_EDGE('',*,*,#21938,.T.); +#21953=ORIENTED_EDGE('',*,*,#21952,.T.); +#21954=EDGE_LOOP('',(#21949,#21950,#21951,#21953)); +#21955=FACE_OUTER_BOUND('',#21954,.F.); +#21957=CARTESIAN_POINT('',(-8.E0,-1.51E1,-3.18E1)); +#21958=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21959=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21960=AXIS2_PLACEMENT_3D('',#21957,#21958,#21959); +#21961=PLANE('',#21960); +#21962=ORIENTED_EDGE('',*,*,#21936,.F.); +#21963=ORIENTED_EDGE('',*,*,#21952,.F.); +#21964=EDGE_LOOP('',(#21962,#21963)); +#21965=FACE_OUTER_BOUND('',#21964,.F.); +#21967=CARTESIAN_POINT('',(-4.E0,-2.65E0,-3.18E1)); +#21968=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21969=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21970=AXIS2_PLACEMENT_3D('',#21967,#21968,#21969); +#21971=CYLINDRICAL_SURFACE('',#21970,6.5E-1); +#21973=ORIENTED_EDGE('',*,*,#21972,.T.); +#21975=ORIENTED_EDGE('',*,*,#21974,.T.); +#21977=ORIENTED_EDGE('',*,*,#21976,.F.); +#21978=ORIENTED_EDGE('',*,*,#20865,.F.); +#21979=EDGE_LOOP('',(#21973,#21975,#21977,#21978)); +#21980=FACE_OUTER_BOUND('',#21979,.F.); +#21982=CARTESIAN_POINT('',(-4.E0,-2.65E0,-3.18E1)); +#21983=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21984=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21985=AXIS2_PLACEMENT_3D('',#21982,#21983,#21984); +#21986=CYLINDRICAL_SURFACE('',#21985,6.5E-1); +#21987=ORIENTED_EDGE('',*,*,#21972,.F.); +#21988=ORIENTED_EDGE('',*,*,#20867,.F.); +#21989=ORIENTED_EDGE('',*,*,#21976,.T.); +#21991=ORIENTED_EDGE('',*,*,#21990,.T.); +#21992=EDGE_LOOP('',(#21987,#21988,#21989,#21991)); +#21993=FACE_OUTER_BOUND('',#21992,.F.); +#21995=CARTESIAN_POINT('',(-4.E0,-1.51E1,-3.18E1)); +#21996=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21997=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21998=AXIS2_PLACEMENT_3D('',#21995,#21996,#21997); +#21999=PLANE('',#21998); +#22000=ORIENTED_EDGE('',*,*,#21974,.F.); +#22001=ORIENTED_EDGE('',*,*,#21990,.F.); +#22002=EDGE_LOOP('',(#22000,#22001)); +#22003=FACE_OUTER_BOUND('',#22002,.F.); +#22005=CARTESIAN_POINT('',(5.684341886081E-14,-2.65E0,-3.18E1)); +#22006=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22007=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22008=AXIS2_PLACEMENT_3D('',#22005,#22006,#22007); +#22009=CYLINDRICAL_SURFACE('',#22008,6.5E-1); +#22011=ORIENTED_EDGE('',*,*,#22010,.T.); +#22013=ORIENTED_EDGE('',*,*,#22012,.T.); +#22015=ORIENTED_EDGE('',*,*,#22014,.F.); +#22016=ORIENTED_EDGE('',*,*,#20871,.F.); +#22017=EDGE_LOOP('',(#22011,#22013,#22015,#22016)); +#22018=FACE_OUTER_BOUND('',#22017,.F.); +#22020=CARTESIAN_POINT('',(5.684341886081E-14,-2.65E0,-3.18E1)); +#22021=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22022=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22023=AXIS2_PLACEMENT_3D('',#22020,#22021,#22022); +#22024=CYLINDRICAL_SURFACE('',#22023,6.5E-1); +#22025=ORIENTED_EDGE('',*,*,#22010,.F.); +#22026=ORIENTED_EDGE('',*,*,#20873,.F.); +#22027=ORIENTED_EDGE('',*,*,#22014,.T.); +#22029=ORIENTED_EDGE('',*,*,#22028,.T.); +#22030=EDGE_LOOP('',(#22025,#22026,#22027,#22029)); +#22031=FACE_OUTER_BOUND('',#22030,.F.); +#22033=CARTESIAN_POINT('',(5.684341886081E-14,-1.51E1,-3.18E1)); +#22034=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22035=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22036=AXIS2_PLACEMENT_3D('',#22033,#22034,#22035); +#22037=PLANE('',#22036); +#22038=ORIENTED_EDGE('',*,*,#22012,.F.); +#22039=ORIENTED_EDGE('',*,*,#22028,.F.); +#22040=EDGE_LOOP('',(#22038,#22039)); +#22041=FACE_OUTER_BOUND('',#22040,.F.); +#22043=CARTESIAN_POINT('',(4.E0,-2.65E0,-3.18E1)); +#22044=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22045=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22046=AXIS2_PLACEMENT_3D('',#22043,#22044,#22045); +#22047=CYLINDRICAL_SURFACE('',#22046,6.5E-1); +#22049=ORIENTED_EDGE('',*,*,#22048,.T.); +#22051=ORIENTED_EDGE('',*,*,#22050,.T.); +#22053=ORIENTED_EDGE('',*,*,#22052,.F.); +#22054=ORIENTED_EDGE('',*,*,#20877,.F.); +#22055=EDGE_LOOP('',(#22049,#22051,#22053,#22054)); +#22056=FACE_OUTER_BOUND('',#22055,.F.); +#22058=CARTESIAN_POINT('',(4.E0,-2.65E0,-3.18E1)); +#22059=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22060=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22061=AXIS2_PLACEMENT_3D('',#22058,#22059,#22060); +#22062=CYLINDRICAL_SURFACE('',#22061,6.5E-1); +#22063=ORIENTED_EDGE('',*,*,#22048,.F.); +#22064=ORIENTED_EDGE('',*,*,#20879,.F.); +#22065=ORIENTED_EDGE('',*,*,#22052,.T.); +#22067=ORIENTED_EDGE('',*,*,#22066,.T.); +#22068=EDGE_LOOP('',(#22063,#22064,#22065,#22067)); +#22069=FACE_OUTER_BOUND('',#22068,.F.); +#22071=CARTESIAN_POINT('',(4.E0,-1.51E1,-3.18E1)); +#22072=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22073=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22074=AXIS2_PLACEMENT_3D('',#22071,#22072,#22073); +#22075=PLANE('',#22074); +#22076=ORIENTED_EDGE('',*,*,#22050,.F.); +#22077=ORIENTED_EDGE('',*,*,#22066,.F.); +#22078=EDGE_LOOP('',(#22076,#22077)); +#22079=FACE_OUTER_BOUND('',#22078,.F.); +#22081=CARTESIAN_POINT('',(8.E0,-2.65E0,-3.18E1)); +#22082=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22083=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22084=AXIS2_PLACEMENT_3D('',#22081,#22082,#22083); +#22085=CYLINDRICAL_SURFACE('',#22084,6.5E-1); +#22087=ORIENTED_EDGE('',*,*,#22086,.T.); +#22089=ORIENTED_EDGE('',*,*,#22088,.T.); +#22091=ORIENTED_EDGE('',*,*,#22090,.F.); +#22092=ORIENTED_EDGE('',*,*,#20883,.F.); +#22093=EDGE_LOOP('',(#22087,#22089,#22091,#22092)); +#22094=FACE_OUTER_BOUND('',#22093,.F.); +#22096=CARTESIAN_POINT('',(8.E0,-2.65E0,-3.18E1)); +#22097=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22098=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22099=AXIS2_PLACEMENT_3D('',#22096,#22097,#22098); +#22100=CYLINDRICAL_SURFACE('',#22099,6.5E-1); +#22101=ORIENTED_EDGE('',*,*,#22086,.F.); +#22102=ORIENTED_EDGE('',*,*,#20885,.F.); +#22103=ORIENTED_EDGE('',*,*,#22090,.T.); +#22105=ORIENTED_EDGE('',*,*,#22104,.T.); +#22106=EDGE_LOOP('',(#22101,#22102,#22103,#22105)); +#22107=FACE_OUTER_BOUND('',#22106,.F.); +#22109=CARTESIAN_POINT('',(8.E0,-1.51E1,-3.18E1)); +#22110=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22111=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22112=AXIS2_PLACEMENT_3D('',#22109,#22110,#22111); +#22113=PLANE('',#22112); +#22114=ORIENTED_EDGE('',*,*,#22088,.F.); +#22115=ORIENTED_EDGE('',*,*,#22104,.F.); +#22116=EDGE_LOOP('',(#22114,#22115)); +#22117=FACE_OUTER_BOUND('',#22116,.F.); +#22119=CARTESIAN_POINT('',(1.2E1,-2.65E0,-3.18E1)); +#22120=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22121=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22122=AXIS2_PLACEMENT_3D('',#22119,#22120,#22121); +#22123=CYLINDRICAL_SURFACE('',#22122,6.5E-1); +#22125=ORIENTED_EDGE('',*,*,#22124,.T.); +#22127=ORIENTED_EDGE('',*,*,#22126,.T.); +#22129=ORIENTED_EDGE('',*,*,#22128,.F.); +#22130=ORIENTED_EDGE('',*,*,#20889,.F.); +#22131=EDGE_LOOP('',(#22125,#22127,#22129,#22130)); +#22132=FACE_OUTER_BOUND('',#22131,.F.); +#22134=CARTESIAN_POINT('',(1.2E1,-2.65E0,-3.18E1)); +#22135=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22136=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22137=AXIS2_PLACEMENT_3D('',#22134,#22135,#22136); +#22138=CYLINDRICAL_SURFACE('',#22137,6.5E-1); +#22139=ORIENTED_EDGE('',*,*,#22124,.F.); +#22140=ORIENTED_EDGE('',*,*,#20891,.F.); +#22141=ORIENTED_EDGE('',*,*,#22128,.T.); +#22143=ORIENTED_EDGE('',*,*,#22142,.T.); +#22144=EDGE_LOOP('',(#22139,#22140,#22141,#22143)); +#22145=FACE_OUTER_BOUND('',#22144,.F.); +#22147=CARTESIAN_POINT('',(1.2E1,-1.51E1,-3.18E1)); +#22148=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22149=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22150=AXIS2_PLACEMENT_3D('',#22147,#22148,#22149); +#22151=PLANE('',#22150); +#22152=ORIENTED_EDGE('',*,*,#22126,.F.); +#22153=ORIENTED_EDGE('',*,*,#22142,.F.); +#22154=EDGE_LOOP('',(#22152,#22153)); +#22155=FACE_OUTER_BOUND('',#22154,.F.); +#22157=CARTESIAN_POINT('',(-1.4E1,-6.65E0,-2.78E1)); +#22158=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22159=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22160=AXIS2_PLACEMENT_3D('',#22157,#22158,#22159); +#22161=CYLINDRICAL_SURFACE('',#22160,6.5E-1); +#22162=ORIENTED_EDGE('',*,*,#20895,.F.); +#22164=ORIENTED_EDGE('',*,*,#22163,.T.); +#22166=ORIENTED_EDGE('',*,*,#22165,.T.); +#22168=ORIENTED_EDGE('',*,*,#22167,.F.); +#22169=EDGE_LOOP('',(#22162,#22164,#22166,#22168)); +#22170=FACE_OUTER_BOUND('',#22169,.F.); +#22172=CARTESIAN_POINT('',(-1.4E1,-6.65E0,-2.78E1)); +#22173=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22174=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22175=AXIS2_PLACEMENT_3D('',#22172,#22173,#22174); +#22176=CYLINDRICAL_SURFACE('',#22175,6.5E-1); +#22177=ORIENTED_EDGE('',*,*,#20897,.F.); +#22178=ORIENTED_EDGE('',*,*,#22167,.T.); +#22180=ORIENTED_EDGE('',*,*,#22179,.T.); +#22181=ORIENTED_EDGE('',*,*,#22163,.F.); +#22182=EDGE_LOOP('',(#22177,#22178,#22180,#22181)); +#22183=FACE_OUTER_BOUND('',#22182,.F.); +#22185=CARTESIAN_POINT('',(-1.4E1,-1.51E1,-2.78E1)); +#22186=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22187=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22188=AXIS2_PLACEMENT_3D('',#22185,#22186,#22187); +#22189=PLANE('',#22188); +#22190=ORIENTED_EDGE('',*,*,#22165,.F.); +#22191=ORIENTED_EDGE('',*,*,#22179,.F.); +#22192=EDGE_LOOP('',(#22190,#22191)); +#22193=FACE_OUTER_BOUND('',#22192,.F.); +#22195=CARTESIAN_POINT('',(-1.E1,-6.65E0,-2.78E1)); +#22196=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22197=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22198=AXIS2_PLACEMENT_3D('',#22195,#22196,#22197); +#22199=CYLINDRICAL_SURFACE('',#22198,6.5E-1); +#22200=ORIENTED_EDGE('',*,*,#20901,.F.); +#22202=ORIENTED_EDGE('',*,*,#22201,.T.); +#22204=ORIENTED_EDGE('',*,*,#22203,.T.); +#22206=ORIENTED_EDGE('',*,*,#22205,.F.); +#22207=EDGE_LOOP('',(#22200,#22202,#22204,#22206)); +#22208=FACE_OUTER_BOUND('',#22207,.F.); +#22210=CARTESIAN_POINT('',(-1.E1,-6.65E0,-2.78E1)); +#22211=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22212=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22213=AXIS2_PLACEMENT_3D('',#22210,#22211,#22212); +#22214=CYLINDRICAL_SURFACE('',#22213,6.5E-1); +#22215=ORIENTED_EDGE('',*,*,#20903,.F.); +#22216=ORIENTED_EDGE('',*,*,#22205,.T.); +#22218=ORIENTED_EDGE('',*,*,#22217,.T.); +#22219=ORIENTED_EDGE('',*,*,#22201,.F.); +#22220=EDGE_LOOP('',(#22215,#22216,#22218,#22219)); +#22221=FACE_OUTER_BOUND('',#22220,.F.); +#22223=CARTESIAN_POINT('',(-1.E1,-1.51E1,-2.78E1)); +#22224=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22225=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22226=AXIS2_PLACEMENT_3D('',#22223,#22224,#22225); +#22227=PLANE('',#22226); +#22228=ORIENTED_EDGE('',*,*,#22203,.F.); +#22229=ORIENTED_EDGE('',*,*,#22217,.F.); +#22230=EDGE_LOOP('',(#22228,#22229)); +#22231=FACE_OUTER_BOUND('',#22230,.F.); +#22233=CARTESIAN_POINT('',(-6.E0,-6.65E0,-2.78E1)); +#22234=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22235=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22236=AXIS2_PLACEMENT_3D('',#22233,#22234,#22235); +#22237=CYLINDRICAL_SURFACE('',#22236,6.5E-1); +#22238=ORIENTED_EDGE('',*,*,#20907,.F.); +#22240=ORIENTED_EDGE('',*,*,#22239,.T.); +#22242=ORIENTED_EDGE('',*,*,#22241,.T.); +#22244=ORIENTED_EDGE('',*,*,#22243,.F.); +#22245=EDGE_LOOP('',(#22238,#22240,#22242,#22244)); +#22246=FACE_OUTER_BOUND('',#22245,.F.); +#22248=CARTESIAN_POINT('',(-6.E0,-6.65E0,-2.78E1)); +#22249=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22250=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22251=AXIS2_PLACEMENT_3D('',#22248,#22249,#22250); +#22252=CYLINDRICAL_SURFACE('',#22251,6.5E-1); +#22253=ORIENTED_EDGE('',*,*,#20909,.F.); +#22254=ORIENTED_EDGE('',*,*,#22243,.T.); +#22256=ORIENTED_EDGE('',*,*,#22255,.T.); +#22257=ORIENTED_EDGE('',*,*,#22239,.F.); +#22258=EDGE_LOOP('',(#22253,#22254,#22256,#22257)); +#22259=FACE_OUTER_BOUND('',#22258,.F.); +#22261=CARTESIAN_POINT('',(-6.E0,-1.51E1,-2.78E1)); +#22262=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22263=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22264=AXIS2_PLACEMENT_3D('',#22261,#22262,#22263); +#22265=PLANE('',#22264); +#22266=ORIENTED_EDGE('',*,*,#22241,.F.); +#22267=ORIENTED_EDGE('',*,*,#22255,.F.); +#22268=EDGE_LOOP('',(#22266,#22267)); +#22269=FACE_OUTER_BOUND('',#22268,.F.); +#22271=CARTESIAN_POINT('',(-2.E0,-6.65E0,-2.78E1)); +#22272=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22273=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22274=AXIS2_PLACEMENT_3D('',#22271,#22272,#22273); +#22275=CYLINDRICAL_SURFACE('',#22274,6.5E-1); +#22276=ORIENTED_EDGE('',*,*,#20913,.F.); +#22278=ORIENTED_EDGE('',*,*,#22277,.T.); +#22280=ORIENTED_EDGE('',*,*,#22279,.T.); +#22282=ORIENTED_EDGE('',*,*,#22281,.F.); +#22283=EDGE_LOOP('',(#22276,#22278,#22280,#22282)); +#22284=FACE_OUTER_BOUND('',#22283,.F.); +#22286=CARTESIAN_POINT('',(-2.E0,-6.65E0,-2.78E1)); +#22287=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22288=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22289=AXIS2_PLACEMENT_3D('',#22286,#22287,#22288); +#22290=CYLINDRICAL_SURFACE('',#22289,6.5E-1); +#22291=ORIENTED_EDGE('',*,*,#20915,.F.); +#22292=ORIENTED_EDGE('',*,*,#22281,.T.); +#22294=ORIENTED_EDGE('',*,*,#22293,.T.); +#22295=ORIENTED_EDGE('',*,*,#22277,.F.); +#22296=EDGE_LOOP('',(#22291,#22292,#22294,#22295)); +#22297=FACE_OUTER_BOUND('',#22296,.F.); +#22299=CARTESIAN_POINT('',(-2.E0,-1.51E1,-2.78E1)); +#22300=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22301=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22302=AXIS2_PLACEMENT_3D('',#22299,#22300,#22301); +#22303=PLANE('',#22302); +#22304=ORIENTED_EDGE('',*,*,#22279,.F.); +#22305=ORIENTED_EDGE('',*,*,#22293,.F.); +#22306=EDGE_LOOP('',(#22304,#22305)); +#22307=FACE_OUTER_BOUND('',#22306,.F.); +#22309=CARTESIAN_POINT('',(2.E0,-6.65E0,-2.78E1)); +#22310=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22311=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22312=AXIS2_PLACEMENT_3D('',#22309,#22310,#22311); +#22313=CYLINDRICAL_SURFACE('',#22312,6.5E-1); +#22314=ORIENTED_EDGE('',*,*,#20919,.F.); +#22316=ORIENTED_EDGE('',*,*,#22315,.T.); +#22318=ORIENTED_EDGE('',*,*,#22317,.T.); +#22320=ORIENTED_EDGE('',*,*,#22319,.F.); +#22321=EDGE_LOOP('',(#22314,#22316,#22318,#22320)); +#22322=FACE_OUTER_BOUND('',#22321,.F.); +#22324=CARTESIAN_POINT('',(2.E0,-6.65E0,-2.78E1)); +#22325=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22326=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22327=AXIS2_PLACEMENT_3D('',#22324,#22325,#22326); +#22328=CYLINDRICAL_SURFACE('',#22327,6.5E-1); +#22329=ORIENTED_EDGE('',*,*,#20921,.F.); +#22330=ORIENTED_EDGE('',*,*,#22319,.T.); +#22332=ORIENTED_EDGE('',*,*,#22331,.T.); +#22333=ORIENTED_EDGE('',*,*,#22315,.F.); +#22334=EDGE_LOOP('',(#22329,#22330,#22332,#22333)); +#22335=FACE_OUTER_BOUND('',#22334,.F.); +#22337=CARTESIAN_POINT('',(2.E0,-1.51E1,-2.78E1)); +#22338=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22339=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22340=AXIS2_PLACEMENT_3D('',#22337,#22338,#22339); +#22341=PLANE('',#22340); +#22342=ORIENTED_EDGE('',*,*,#22317,.F.); +#22343=ORIENTED_EDGE('',*,*,#22331,.F.); +#22344=EDGE_LOOP('',(#22342,#22343)); +#22345=FACE_OUTER_BOUND('',#22344,.F.); +#22347=CARTESIAN_POINT('',(6.E0,-6.65E0,-2.78E1)); +#22348=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22349=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22350=AXIS2_PLACEMENT_3D('',#22347,#22348,#22349); +#22351=CYLINDRICAL_SURFACE('',#22350,6.5E-1); +#22352=ORIENTED_EDGE('',*,*,#20925,.F.); +#22354=ORIENTED_EDGE('',*,*,#22353,.T.); +#22356=ORIENTED_EDGE('',*,*,#22355,.T.); +#22358=ORIENTED_EDGE('',*,*,#22357,.F.); +#22359=EDGE_LOOP('',(#22352,#22354,#22356,#22358)); +#22360=FACE_OUTER_BOUND('',#22359,.F.); +#22362=CARTESIAN_POINT('',(6.E0,-6.65E0,-2.78E1)); +#22363=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22364=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22365=AXIS2_PLACEMENT_3D('',#22362,#22363,#22364); +#22366=CYLINDRICAL_SURFACE('',#22365,6.5E-1); +#22367=ORIENTED_EDGE('',*,*,#20927,.F.); +#22368=ORIENTED_EDGE('',*,*,#22357,.T.); +#22370=ORIENTED_EDGE('',*,*,#22369,.T.); +#22371=ORIENTED_EDGE('',*,*,#22353,.F.); +#22372=EDGE_LOOP('',(#22367,#22368,#22370,#22371)); +#22373=FACE_OUTER_BOUND('',#22372,.F.); +#22375=CARTESIAN_POINT('',(6.E0,-1.51E1,-2.78E1)); +#22376=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22377=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22378=AXIS2_PLACEMENT_3D('',#22375,#22376,#22377); +#22379=PLANE('',#22378); +#22380=ORIENTED_EDGE('',*,*,#22355,.F.); +#22381=ORIENTED_EDGE('',*,*,#22369,.F.); +#22382=EDGE_LOOP('',(#22380,#22381)); +#22383=FACE_OUTER_BOUND('',#22382,.F.); +#22385=CARTESIAN_POINT('',(1.E1,-6.65E0,-2.78E1)); +#22386=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22387=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22388=AXIS2_PLACEMENT_3D('',#22385,#22386,#22387); +#22389=CYLINDRICAL_SURFACE('',#22388,6.5E-1); +#22390=ORIENTED_EDGE('',*,*,#20931,.F.); +#22392=ORIENTED_EDGE('',*,*,#22391,.T.); +#22394=ORIENTED_EDGE('',*,*,#22393,.T.); +#22396=ORIENTED_EDGE('',*,*,#22395,.F.); +#22397=EDGE_LOOP('',(#22390,#22392,#22394,#22396)); +#22398=FACE_OUTER_BOUND('',#22397,.F.); +#22400=CARTESIAN_POINT('',(1.E1,-6.65E0,-2.78E1)); +#22401=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22402=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22403=AXIS2_PLACEMENT_3D('',#22400,#22401,#22402); +#22404=CYLINDRICAL_SURFACE('',#22403,6.5E-1); +#22405=ORIENTED_EDGE('',*,*,#20933,.F.); +#22406=ORIENTED_EDGE('',*,*,#22395,.T.); +#22408=ORIENTED_EDGE('',*,*,#22407,.T.); +#22409=ORIENTED_EDGE('',*,*,#22391,.F.); +#22410=EDGE_LOOP('',(#22405,#22406,#22408,#22409)); +#22411=FACE_OUTER_BOUND('',#22410,.F.); +#22413=CARTESIAN_POINT('',(1.E1,-1.51E1,-2.78E1)); +#22414=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22415=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22416=AXIS2_PLACEMENT_3D('',#22413,#22414,#22415); +#22417=PLANE('',#22416); +#22418=ORIENTED_EDGE('',*,*,#22393,.F.); +#22419=ORIENTED_EDGE('',*,*,#22407,.F.); +#22420=EDGE_LOOP('',(#22418,#22419)); +#22421=FACE_OUTER_BOUND('',#22420,.F.); +#22423=CARTESIAN_POINT('',(1.4E1,-6.65E0,-2.78E1)); +#22424=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22425=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22426=AXIS2_PLACEMENT_3D('',#22423,#22424,#22425); +#22427=CYLINDRICAL_SURFACE('',#22426,6.5E-1); +#22428=ORIENTED_EDGE('',*,*,#20937,.F.); +#22430=ORIENTED_EDGE('',*,*,#22429,.T.); +#22432=ORIENTED_EDGE('',*,*,#22431,.T.); +#22434=ORIENTED_EDGE('',*,*,#22433,.F.); +#22435=EDGE_LOOP('',(#22428,#22430,#22432,#22434)); +#22436=FACE_OUTER_BOUND('',#22435,.F.); +#22438=CARTESIAN_POINT('',(1.4E1,-6.65E0,-2.78E1)); +#22439=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22440=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22441=AXIS2_PLACEMENT_3D('',#22438,#22439,#22440); +#22442=CYLINDRICAL_SURFACE('',#22441,6.5E-1); +#22443=ORIENTED_EDGE('',*,*,#20939,.F.); +#22444=ORIENTED_EDGE('',*,*,#22433,.T.); +#22446=ORIENTED_EDGE('',*,*,#22445,.T.); +#22447=ORIENTED_EDGE('',*,*,#22429,.F.); +#22448=EDGE_LOOP('',(#22443,#22444,#22446,#22447)); +#22449=FACE_OUTER_BOUND('',#22448,.F.); +#22451=CARTESIAN_POINT('',(1.4E1,-1.51E1,-2.78E1)); +#22452=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22453=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22454=AXIS2_PLACEMENT_3D('',#22451,#22452,#22453); +#22455=PLANE('',#22454); +#22456=ORIENTED_EDGE('',*,*,#22431,.F.); +#22457=ORIENTED_EDGE('',*,*,#22445,.F.); +#22458=EDGE_LOOP('',(#22456,#22457)); +#22459=FACE_OUTER_BOUND('',#22458,.F.); +#22461=CARTESIAN_POINT('',(1.4E1,4.E0,-1.2475E1)); +#22462=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22463=DIRECTION('',(0.E0,1.E0,0.E0)); +#22464=AXIS2_PLACEMENT_3D('',#22461,#22462,#22463); +#22465=CYLINDRICAL_SURFACE('',#22464,6.5E-1); +#22466=ORIENTED_EDGE('',*,*,#16462,.T.); +#22467=ORIENTED_EDGE('',*,*,#20641,.F.); +#22469=ORIENTED_EDGE('',*,*,#22468,.F.); +#22470=ORIENTED_EDGE('',*,*,#20637,.T.); +#22471=EDGE_LOOP('',(#22466,#22467,#22469,#22470)); +#22472=FACE_OUTER_BOUND('',#22471,.F.); +#22474=CARTESIAN_POINT('',(1.4E1,4.E0,-1.2475E1)); +#22475=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22476=DIRECTION('',(0.E0,1.E0,0.E0)); +#22477=AXIS2_PLACEMENT_3D('',#22474,#22475,#22476); +#22478=PLANE('',#22477); +#22479=ORIENTED_EDGE('',*,*,#22468,.T.); +#22480=ORIENTED_EDGE('',*,*,#20639,.T.); +#22481=EDGE_LOOP('',(#22479,#22480)); +#22482=FACE_OUTER_BOUND('',#22481,.F.); +#22484=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-2.25E1)); +#22485=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22486=DIRECTION('',(-9.160801319551E-1,4.009952516392E-1,0.E0)); +#22487=AXIS2_PLACEMENT_3D('',#22484,#22485,#22486); +#22488=TOROIDAL_SURFACE('',#22487,3.5E0,5.E-1); +#22490=ORIENTED_EDGE('',*,*,#22489,.T.); +#22491=ORIENTED_EDGE('',*,*,#20367,.F.); +#22493=ORIENTED_EDGE('',*,*,#22492,.T.); +#22495=ORIENTED_EDGE('',*,*,#22494,.T.); +#22496=EDGE_LOOP('',(#22490,#22491,#22493,#22495)); +#22497=FACE_OUTER_BOUND('',#22496,.F.); +#22499=CARTESIAN_POINT('',(-2.275E1,-5.568671030682E0,-2.2E1)); +#22500=DIRECTION('',(0.E0,1.E0,0.E0)); +#22501=DIRECTION('',(1.E0,0.E0,0.E0)); +#22502=AXIS2_PLACEMENT_3D('',#22499,#22500,#22501); +#22503=PLANE('',#22502); +#22505=ORIENTED_EDGE('',*,*,#22504,.F.); +#22507=ORIENTED_EDGE('',*,*,#22506,.T.); +#22509=ORIENTED_EDGE('',*,*,#22508,.T.); +#22511=ORIENTED_EDGE('',*,*,#22510,.T.); +#22513=ORIENTED_EDGE('',*,*,#22512,.F.); +#22514=ORIENTED_EDGE('',*,*,#20369,.F.); +#22515=ORIENTED_EDGE('',*,*,#22489,.F.); +#22517=ORIENTED_EDGE('',*,*,#22516,.T.); +#22518=EDGE_LOOP('',(#22505,#22507,#22509,#22511,#22513,#22514,#22515,#22517)); +#22519=FACE_OUTER_BOUND('',#22518,.F.); +#22521=CARTESIAN_POINT('',(-2.275E1,-7.218671030682E0,-2.85E1)); +#22522=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22523=DIRECTION('',(0.E0,1.E0,0.E0)); +#22524=AXIS2_PLACEMENT_3D('',#22521,#22522,#22523); +#22525=PLANE('',#22524); +#22526=ORIENTED_EDGE('',*,*,#22504,.T.); +#22528=ORIENTED_EDGE('',*,*,#22527,.F.); +#22530=ORIENTED_EDGE('',*,*,#22529,.F.); +#22532=ORIENTED_EDGE('',*,*,#22531,.T.); +#22534=ORIENTED_EDGE('',*,*,#22533,.T.); +#22535=EDGE_LOOP('',(#22526,#22528,#22530,#22532,#22534)); +#22536=FACE_OUTER_BOUND('',#22535,.F.); +#22538=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-2.8E1)); +#22539=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22540=DIRECTION('',(-9.160801319551E-1,4.009952516392E-1,0.E0)); +#22541=AXIS2_PLACEMENT_3D('',#22538,#22539,#22540); +#22542=TOROIDAL_SURFACE('',#22541,2.5E0,5.E-1); +#22544=ORIENTED_EDGE('',*,*,#22543,.F.); +#22546=ORIENTED_EDGE('',*,*,#22545,.F.); +#22548=ORIENTED_EDGE('',*,*,#22547,.T.); +#22549=ORIENTED_EDGE('',*,*,#22527,.T.); +#22550=EDGE_LOOP('',(#22544,#22546,#22548,#22549)); +#22551=FACE_OUTER_BOUND('',#22550,.F.); +#22553=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-2.2E1)); +#22554=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22555=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22556=AXIS2_PLACEMENT_3D('',#22553,#22554,#22555); +#22557=CYLINDRICAL_SURFACE('',#22556,3.E0); +#22558=ORIENTED_EDGE('',*,*,#22543,.T.); +#22559=ORIENTED_EDGE('',*,*,#22516,.F.); +#22560=ORIENTED_EDGE('',*,*,#22494,.F.); +#22562=ORIENTED_EDGE('',*,*,#22561,.T.); +#22563=EDGE_LOOP('',(#22558,#22559,#22560,#22562)); +#22564=FACE_OUTER_BOUND('',#22563,.F.); +#22566=CARTESIAN_POINT('',(-2.879687364248E1,-5.55E0,4.927608E1)); +#22567=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22568=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#22569=AXIS2_PLACEMENT_3D('',#22566,#22567,#22568); +#22570=CYLINDRICAL_SURFACE('',#22569,1.E0); +#22572=ORIENTED_EDGE('',*,*,#22571,.T.); +#22573=ORIENTED_EDGE('',*,*,#22561,.F.); +#22575=ORIENTED_EDGE('',*,*,#22574,.F.); +#22577=ORIENTED_EDGE('',*,*,#22576,.T.); +#22578=EDGE_LOOP('',(#22572,#22573,#22575,#22577)); +#22579=FACE_OUTER_BOUND('',#22578,.F.); +#22581=CARTESIAN_POINT('',(-2.879687364248E1,-5.55E0,-2.8E1)); +#22582=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22583=DIRECTION('',(-1.705392343707E-1,-9.853508865071E-1,0.E0)); +#22584=AXIS2_PLACEMENT_3D('',#22581,#22582,#22583); +#22585=TOROIDAL_SURFACE('',#22584,1.5E0,5.E-1); +#22587=ORIENTED_EDGE('',*,*,#22586,.T.); +#22588=ORIENTED_EDGE('',*,*,#22545,.T.); +#22589=ORIENTED_EDGE('',*,*,#22571,.F.); +#22591=ORIENTED_EDGE('',*,*,#22590,.F.); +#22592=EDGE_LOOP('',(#22587,#22588,#22589,#22591)); +#22593=FACE_OUTER_BOUND('',#22592,.F.); +#22595=CARTESIAN_POINT('',(0.E0,0.E0,-2.85E1)); +#22596=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22597=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22598=AXIS2_PLACEMENT_3D('',#22595,#22596,#22597); +#22599=PLANE('',#22598); +#22600=ORIENTED_EDGE('',*,*,#22547,.F.); +#22601=ORIENTED_EDGE('',*,*,#22586,.F.); +#22603=ORIENTED_EDGE('',*,*,#22602,.F.); +#22605=ORIENTED_EDGE('',*,*,#22604,.F.); +#22607=ORIENTED_EDGE('',*,*,#22606,.F.); +#22609=ORIENTED_EDGE('',*,*,#22608,.F.); +#22611=ORIENTED_EDGE('',*,*,#22610,.F.); +#22613=ORIENTED_EDGE('',*,*,#22612,.F.); +#22615=ORIENTED_EDGE('',*,*,#22614,.F.); +#22617=ORIENTED_EDGE('',*,*,#22616,.F.); +#22619=ORIENTED_EDGE('',*,*,#22618,.T.); +#22621=ORIENTED_EDGE('',*,*,#22620,.T.); +#22622=ORIENTED_EDGE('',*,*,#22529,.T.); +#22623=EDGE_LOOP('',(#22600,#22601,#22603,#22605,#22607,#22609,#22611,#22613, +#22615,#22617,#22619,#22621,#22622)); +#22624=FACE_OUTER_BOUND('',#22623,.F.); +#22626=CARTESIAN_POINT('',(0.E0,0.E0,-2.85E1)); +#22627=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22628=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22629=AXIS2_PLACEMENT_3D('',#22626,#22627,#22628); +#22630=PLANE('',#22629); +#22632=ORIENTED_EDGE('',*,*,#22631,.F.); +#22634=ORIENTED_EDGE('',*,*,#22633,.F.); +#22636=ORIENTED_EDGE('',*,*,#22635,.F.); +#22638=ORIENTED_EDGE('',*,*,#22637,.T.); +#22640=ORIENTED_EDGE('',*,*,#22639,.T.); +#22642=ORIENTED_EDGE('',*,*,#22641,.T.); +#22644=ORIENTED_EDGE('',*,*,#22643,.F.); +#22646=ORIENTED_EDGE('',*,*,#22645,.F.); +#22648=ORIENTED_EDGE('',*,*,#22647,.F.); +#22650=ORIENTED_EDGE('',*,*,#22649,.F.); +#22652=ORIENTED_EDGE('',*,*,#22651,.F.); +#22654=ORIENTED_EDGE('',*,*,#22653,.F.); +#22656=ORIENTED_EDGE('',*,*,#22655,.F.); +#22657=EDGE_LOOP('',(#22632,#22634,#22636,#22638,#22640,#22642,#22644,#22646, +#22648,#22650,#22652,#22654,#22656)); +#22658=FACE_OUTER_BOUND('',#22657,.F.); +#22660=CARTESIAN_POINT('',(0.E0,0.E0,-2.85E1)); +#22661=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22662=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22663=AXIS2_PLACEMENT_3D('',#22660,#22661,#22662); +#22664=PLANE('',#22663); +#22666=ORIENTED_EDGE('',*,*,#22665,.F.); +#22668=ORIENTED_EDGE('',*,*,#22667,.F.); +#22670=ORIENTED_EDGE('',*,*,#22669,.F.); +#22672=ORIENTED_EDGE('',*,*,#22671,.F.); +#22674=ORIENTED_EDGE('',*,*,#22673,.F.); +#22676=ORIENTED_EDGE('',*,*,#22675,.F.); +#22677=EDGE_LOOP('',(#22666,#22668,#22670,#22672,#22674,#22676)); +#22678=FACE_OUTER_BOUND('',#22677,.F.); +#22680=CARTESIAN_POINT('',(0.E0,0.E0,-2.85E1)); +#22681=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22682=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22683=AXIS2_PLACEMENT_3D('',#22680,#22681,#22682); +#22684=PLANE('',#22683); +#22686=ORIENTED_EDGE('',*,*,#22685,.F.); +#22688=ORIENTED_EDGE('',*,*,#22687,.F.); +#22690=ORIENTED_EDGE('',*,*,#22689,.F.); +#22692=ORIENTED_EDGE('',*,*,#22691,.F.); +#22694=ORIENTED_EDGE('',*,*,#22693,.F.); +#22696=ORIENTED_EDGE('',*,*,#22695,.F.); +#22697=EDGE_LOOP('',(#22686,#22688,#22690,#22692,#22694,#22696)); +#22698=FACE_OUTER_BOUND('',#22697,.F.); +#22700=CARTESIAN_POINT('',(-1.0965888E2,-7.05E0,-2.8E1)); +#22701=DIRECTION('',(1.E0,0.E0,0.E0)); +#22702=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22703=AXIS2_PLACEMENT_3D('',#22700,#22701,#22702); +#22704=CYLINDRICAL_SURFACE('',#22703,5.E-1); +#22706=ORIENTED_EDGE('',*,*,#22705,.T.); +#22707=ORIENTED_EDGE('',*,*,#22602,.T.); +#22708=ORIENTED_EDGE('',*,*,#22590,.T.); +#22710=ORIENTED_EDGE('',*,*,#22709,.F.); +#22711=EDGE_LOOP('',(#22706,#22707,#22708,#22710)); +#22712=FACE_OUTER_BOUND('',#22711,.F.); +#22714=CARTESIAN_POINT('',(-3.04E1,-1.105E1,-2.E1)); +#22715=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22716=DIRECTION('',(0.E0,1.E0,0.E0)); +#22717=AXIS2_PLACEMENT_3D('',#22714,#22715,#22716); +#22718=PLANE('',#22717); +#22719=ORIENTED_EDGE('',*,*,#22705,.F.); +#22721=ORIENTED_EDGE('',*,*,#22720,.F.); +#22723=ORIENTED_EDGE('',*,*,#22722,.F.); +#22725=ORIENTED_EDGE('',*,*,#22724,.T.); +#22727=ORIENTED_EDGE('',*,*,#22726,.F.); +#22729=ORIENTED_EDGE('',*,*,#22728,.F.); +#22731=ORIENTED_EDGE('',*,*,#22730,.F.); +#22732=ORIENTED_EDGE('',*,*,#22695,.T.); +#22734=ORIENTED_EDGE('',*,*,#22733,.F.); +#22736=ORIENTED_EDGE('',*,*,#22735,.F.); +#22738=ORIENTED_EDGE('',*,*,#22737,.F.); +#22740=ORIENTED_EDGE('',*,*,#22739,.T.); +#22742=ORIENTED_EDGE('',*,*,#22741,.F.); +#22744=ORIENTED_EDGE('',*,*,#22743,.T.); +#22746=ORIENTED_EDGE('',*,*,#22745,.T.); +#22748=ORIENTED_EDGE('',*,*,#22747,.F.); +#22750=ORIENTED_EDGE('',*,*,#22749,.F.); +#22752=ORIENTED_EDGE('',*,*,#22751,.F.); +#22753=ORIENTED_EDGE('',*,*,#22604,.T.); +#22754=EDGE_LOOP('',(#22719,#22721,#22723,#22725,#22727,#22729,#22731,#22732, +#22734,#22736,#22738,#22740,#22742,#22744,#22746,#22748,#22750,#22752,#22753)); +#22755=FACE_OUTER_BOUND('',#22754,.F.); +#22757=CARTESIAN_POINT('',(-3.04E1,-6.55E0,-2.2E1)); +#22758=DIRECTION('',(0.E0,1.E0,0.E0)); +#22759=DIRECTION('',(1.E0,0.E0,0.E0)); +#22760=AXIS2_PLACEMENT_3D('',#22757,#22758,#22759); +#22761=PLANE('',#22760); +#22762=ORIENTED_EDGE('',*,*,#22709,.T.); +#22763=ORIENTED_EDGE('',*,*,#22576,.F.); +#22765=ORIENTED_EDGE('',*,*,#22764,.F.); +#22767=ORIENTED_EDGE('',*,*,#22766,.F.); +#22768=ORIENTED_EDGE('',*,*,#22720,.T.); +#22769=EDGE_LOOP('',(#22762,#22763,#22765,#22767,#22768)); +#22770=FACE_OUTER_BOUND('',#22769,.F.); +#22772=CARTESIAN_POINT('',(-3.002645906966E1,-6.05E0,-2.25E1)); +#22773=DIRECTION('',(1.E0,0.E0,0.E0)); +#22774=DIRECTION('',(0.E0,0.E0,1.E0)); +#22775=AXIS2_PLACEMENT_3D('',#22772,#22773,#22774); +#22776=CYLINDRICAL_SURFACE('',#22775,5.E-1); +#22777=ORIENTED_EDGE('',*,*,#20474,.F.); +#22779=ORIENTED_EDGE('',*,*,#22778,.T.); +#22780=ORIENTED_EDGE('',*,*,#22764,.T.); +#22782=ORIENTED_EDGE('',*,*,#22781,.F.); +#22783=EDGE_LOOP('',(#22777,#22779,#22780,#22782)); +#22784=FACE_OUTER_BOUND('',#22783,.F.); +#22786=CARTESIAN_POINT('',(-2.99E1,-6.05E0,-2.15E1)); +#22787=DIRECTION('',(0.E0,1.E0,0.E0)); +#22788=DIRECTION('',(7.063372212094E-1,0.E0,7.078755045445E-1)); +#22789=AXIS2_PLACEMENT_3D('',#22786,#22787,#22788); +#22790=TOROIDAL_SURFACE('',#22789,1.E0,5.E-1); +#22791=ORIENTED_EDGE('',*,*,#22766,.T.); +#22792=ORIENTED_EDGE('',*,*,#22778,.F.); +#22794=ORIENTED_EDGE('',*,*,#22793,.F.); +#22795=ORIENTED_EDGE('',*,*,#22722,.T.); +#22796=EDGE_LOOP('',(#22791,#22792,#22794,#22795)); +#22797=FACE_OUTER_BOUND('',#22796,.F.); +#22799=CARTESIAN_POINT('',(-2.99E1,-5.789556E1,-2.15E1)); +#22800=DIRECTION('',(0.E0,1.E0,0.E0)); +#22801=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22802=AXIS2_PLACEMENT_3D('',#22799,#22800,#22801); +#22803=CYLINDRICAL_SURFACE('',#22802,5.E-1); +#22804=ORIENTED_EDGE('',*,*,#22793,.T.); +#22805=ORIENTED_EDGE('',*,*,#20472,.T.); +#22807=ORIENTED_EDGE('',*,*,#22806,.T.); +#22808=ORIENTED_EDGE('',*,*,#22724,.F.); +#22809=EDGE_LOOP('',(#22804,#22805,#22807,#22808)); +#22810=FACE_OUTER_BOUND('',#22809,.F.); +#22812=CARTESIAN_POINT('',(-2.99E1,9.55E0,-2.15E1)); +#22813=DIRECTION('',(0.E0,1.E0,0.E0)); +#22814=DIRECTION('',(2.502303232869E-1,0.E0,-9.681863381125E-1)); +#22815=AXIS2_PLACEMENT_3D('',#22812,#22813,#22814); +#22816=TOROIDAL_SURFACE('',#22815,1.E0,5.E-1); +#22817=ORIENTED_EDGE('',*,*,#22806,.F.); +#22819=ORIENTED_EDGE('',*,*,#22818,.T.); +#22821=ORIENTED_EDGE('',*,*,#22820,.T.); +#22822=ORIENTED_EDGE('',*,*,#22726,.T.); +#22823=EDGE_LOOP('',(#22817,#22819,#22821,#22822)); +#22824=FACE_OUTER_BOUND('',#22823,.F.); +#22826=CARTESIAN_POINT('',(-2.717623289512E1,9.55E0,-2.25E1)); +#22827=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22828=DIRECTION('',(0.E0,0.E0,1.E0)); +#22829=AXIS2_PLACEMENT_3D('',#22826,#22827,#22828); +#22830=CYLINDRICAL_SURFACE('',#22829,5.E-1); +#22831=ORIENTED_EDGE('',*,*,#20470,.F.); +#22833=ORIENTED_EDGE('',*,*,#22832,.T.); +#22835=ORIENTED_EDGE('',*,*,#22834,.T.); +#22836=ORIENTED_EDGE('',*,*,#22818,.F.); +#22837=EDGE_LOOP('',(#22831,#22833,#22835,#22836)); +#22838=FACE_OUTER_BOUND('',#22837,.F.); +#22840=CARTESIAN_POINT('',(-2.729687364248E1,9.05E0,-2.25E1)); +#22841=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22842=DIRECTION('',(9.606565731062E-1,2.777389935674E-1,1.421085471520E-14)); +#22843=AXIS2_PLACEMENT_3D('',#22840,#22841,#22842); +#22844=TOROIDAL_SURFACE('',#22843,5.E-1,5.E-1); +#22845=ORIENTED_EDGE('',*,*,#20468,.F.); +#22847=ORIENTED_EDGE('',*,*,#22846,.T.); +#22849=ORIENTED_EDGE('',*,*,#22848,.T.); +#22850=ORIENTED_EDGE('',*,*,#22832,.F.); +#22851=EDGE_LOOP('',(#22845,#22847,#22849,#22850)); +#22852=FACE_OUTER_BOUND('',#22851,.F.); +#22854=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.25E1)); +#22855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22856=DIRECTION('',(-9.160801319551E-1,4.009952516392E-1,0.E0)); +#22857=AXIS2_PLACEMENT_3D('',#22854,#22855,#22856); +#22858=TOROIDAL_SURFACE('',#22857,3.5E0,5.E-1); +#22859=ORIENTED_EDGE('',*,*,#20466,.F.); +#22861=ORIENTED_EDGE('',*,*,#22860,.T.); +#22863=ORIENTED_EDGE('',*,*,#22862,.T.); +#22864=ORIENTED_EDGE('',*,*,#22846,.F.); +#22865=EDGE_LOOP('',(#22859,#22861,#22863,#22864)); +#22866=FACE_OUTER_BOUND('',#22865,.F.); +#22868=CARTESIAN_POINT('',(-2.729687364248E1,1.255E1,-2.25E1)); +#22869=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22870=DIRECTION('',(-1.705392343707E-1,-9.853508865071E-1,1.421085471520E-14)); +#22871=AXIS2_PLACEMENT_3D('',#22868,#22869,#22870); +#22872=TOROIDAL_SURFACE('',#22871,5.E-1,5.E-1); +#22873=ORIENTED_EDGE('',*,*,#20464,.F.); +#22875=ORIENTED_EDGE('',*,*,#22874,.T.); +#22877=ORIENTED_EDGE('',*,*,#22876,.T.); +#22878=ORIENTED_EDGE('',*,*,#22860,.F.); +#22879=EDGE_LOOP('',(#22873,#22875,#22877,#22878)); +#22880=FACE_OUTER_BOUND('',#22879,.F.); +#22882=CARTESIAN_POINT('',(-3.002645906966E1,1.205E1,-2.25E1)); +#22883=DIRECTION('',(1.E0,0.E0,0.E0)); +#22884=DIRECTION('',(0.E0,0.E0,1.E0)); +#22885=AXIS2_PLACEMENT_3D('',#22882,#22883,#22884); +#22886=CYLINDRICAL_SURFACE('',#22885,5.E-1); +#22887=ORIENTED_EDGE('',*,*,#20462,.F.); +#22889=ORIENTED_EDGE('',*,*,#22888,.T.); +#22891=ORIENTED_EDGE('',*,*,#22890,.T.); +#22892=ORIENTED_EDGE('',*,*,#22874,.F.); +#22893=EDGE_LOOP('',(#22887,#22889,#22891,#22892)); +#22894=FACE_OUTER_BOUND('',#22893,.F.); +#22896=CARTESIAN_POINT('',(-3.030613017783E1,1.205402003160E1, +-2.145380812346E1)); +#22897=CARTESIAN_POINT('',(-3.031000382655E1,1.205342931139E1, +-2.149427291902E1)); +#22898=CARTESIAN_POINT('',(-3.030739474174E1,1.205382825564E1, +-2.158058097580E1)); +#22899=CARTESIAN_POINT('',(-3.026873182495E1,1.205970548488E1, +-2.170761752903E1)); +#22900=CARTESIAN_POINT('',(-3.019290855255E1,1.207159600241E1, +-2.182542920004E1)); +#22901=CARTESIAN_POINT('',(-3.008344344638E1,1.208962774106E1, +-2.192224164671E1)); +#22902=CARTESIAN_POINT('',(-2.994539743314E1,1.211393083621E1, +-2.198863874438E1)); +#22903=CARTESIAN_POINT('',(-2.982455214445E1,1.213699822912E1, +-2.200979304206E1)); +#22904=CARTESIAN_POINT('',(-2.975478555215E1,1.215109491829E1, +-2.200960183891E1)); +#22905=CARTESIAN_POINT('',(-2.973842876468E1,1.215443920156E1, +-2.200886950432E1)); +#22906=CARTESIAN_POINT('',(-3.030300590004E1,1.166370359066E1, +-2.145410796696E1)); +#22907=CARTESIAN_POINT('',(-3.030731135178E1,1.166311900237E1, +-2.149426626819E1)); +#22908=CARTESIAN_POINT('',(-3.030439739531E1,1.166350191264E1, +-2.158029017753E1)); +#22909=CARTESIAN_POINT('',(-3.026151589480E1,1.166943064973E1, +-2.170497735170E1)); +#22910=CARTESIAN_POINT('',(-3.017932275856E1,1.168157337663E1, +-2.181505287933E1)); +#22911=CARTESIAN_POINT('',(-3.006516648550E1,1.170040866226E1, +-2.189674771802E1)); +#22912=CARTESIAN_POINT('',(-2.992913709798E1,1.172660540379E1, +-2.194068770298E1)); +#22913=CARTESIAN_POINT('',(-2.981898626376E1,1.175248113024E1, +-2.194157458584E1)); +#22914=CARTESIAN_POINT('',(-2.975929573268E1,1.176882133867E1, +-2.193077373561E1)); +#22915=CARTESIAN_POINT('',(-2.974551431349E1,1.177276140720E1, +-2.192764237525E1)); +#22916=CARTESIAN_POINT('',(-3.062297103147E1,1.144226221334E1, +-2.142339715103E1)); +#22917=CARTESIAN_POINT('',(-3.063011281568E1,1.144206291225E1, +-2.149041979181E1)); +#22918=CARTESIAN_POINT('',(-3.062527526290E1,1.144217894988E1, +-2.163407037255E1)); +#22919=CARTESIAN_POINT('',(-3.055416896495E1,1.144433744961E1, +-2.184186804389E1)); +#22920=CARTESIAN_POINT('',(-3.041847130615E1,1.144893540142E1, +-2.202409790269E1)); +#22921=CARTESIAN_POINT('',(-3.023146847717E1,1.145656527160E1, +-2.215732872341E1)); +#22922=CARTESIAN_POINT('',(-3.001138029312E1,1.146811390930E1, +-2.222590903238E1)); +#22923=CARTESIAN_POINT('',(-2.983652998525E1,1.148065315295E1, +-2.222303533052E1)); +#22924=CARTESIAN_POINT('',(-2.974341952989E1,1.148913897690E1, +-2.220271147679E1)); +#22925=CARTESIAN_POINT('',(-2.972202064247E1,1.149125130270E1, +-2.219696468828E1)); +#22926=CARTESIAN_POINT('',(-3.098489166304E1,1.158426297562E1, +-2.138865940260E1)); +#22927=CARTESIAN_POINT('',(-3.099478219625E1,1.158448958859E1, +-2.148611315484E1)); +#22928=CARTESIAN_POINT('',(-3.098809246967E1,1.158432239967E1, +-2.169466817301E1)); +#22929=CARTESIAN_POINT('',(-3.088955457416E1,1.158220159519E1, +-2.199801207648E1)); +#22930=CARTESIAN_POINT('',(-3.070022890532E1,1.157808692265E1, +-2.226888911676E1)); +#22931=CARTESIAN_POINT('',(-3.043622997146E1,1.157234793229E1, +-2.247502797515E1)); +#22932=CARTESIAN_POINT('',(-3.011986492287E1,1.156557711426E1, +-2.259369609014E1)); +#22933=CARTESIAN_POINT('',(-2.986176870739E1,1.156035301542E1, +-2.260687283084E1)); +#22934=CARTESIAN_POINT('',(-2.972110639801E1,1.155778772130E1, +-2.258647990142E1)); +#22935=CARTESIAN_POINT('',(-2.968859021208E1,1.155725470279E1, +-2.258019930008E1)); +#22936=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#22896,#22897,#22898,#22899, +#22900,#22901,#22902,#22903,#22904,#22905),(#22906,#22907,#22908,#22909,#22910, +#22911,#22912,#22913,#22914,#22915),(#22916,#22917,#22918,#22919,#22920,#22921, +#22922,#22923,#22924,#22925),(#22926,#22927,#22928,#22929,#22930,#22931,#22932, +#22933,#22934,#22935)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4, +4),(4,1,1,1,1,1,1,4),(0.E0,1.E0),(5.779683026934E-1,6.400280880688E-1, +7.088646374956E-1,7.782775499774E-1,8.495156876176E-1,9.242853298475E-1,1.E0, +1.023219850485E0),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.281492356762E0,1.281492356762E0,1.281492356762E0,1.281492356762E0, +1.281492356762E0,1.281492356762E0,1.281492356762E0,1.281492356762E0, +1.281492356762E0,1.281492356762E0),(9.061692144128E-1,9.061692144128E-1, +9.061692144128E-1,9.061692144128E-1,9.061692144128E-1,9.061692144128E-1, +9.061692144128E-1,9.061692144128E-1,9.061692144128E-1,9.061692144128E-1),( +9.061692144128E-1,9.061692144128E-1,9.061692144128E-1,9.061692144128E-1, +9.061692144128E-1,9.061692144128E-1,9.061692144128E-1,9.061692144128E-1, +9.061692144128E-1,9.061692144128E-1),(1.281492356762E0,1.281492356762E0, +1.281492356762E0,1.281492356762E0,1.281492356762E0,1.281492356762E0, +1.281492356762E0,1.281492356762E0,1.281492356762E0,1.281492356762E0)))REPRESENTATION_ITEM('')SURFACE()); +#22938=ORIENTED_EDGE('',*,*,#22937,.T.); +#22939=ORIENTED_EDGE('',*,*,#22888,.F.); +#22941=ORIENTED_EDGE('',*,*,#22940,.F.); +#22943=ORIENTED_EDGE('',*,*,#22942,.T.); +#22944=ORIENTED_EDGE('',*,*,#22737,.T.); +#22945=EDGE_LOOP('',(#22938,#22939,#22941,#22943,#22944)); +#22946=FACE_OUTER_BOUND('',#22945,.F.); +#22948=CARTESIAN_POINT('',(-3.04E1,1.155E1,-2.2E1)); +#22949=DIRECTION('',(0.E0,1.E0,0.E0)); +#22950=DIRECTION('',(1.E0,0.E0,0.E0)); +#22951=AXIS2_PLACEMENT_3D('',#22948,#22949,#22950); +#22952=PLANE('',#22951); +#22954=ORIENTED_EDGE('',*,*,#22953,.T.); +#22956=ORIENTED_EDGE('',*,*,#22955,.F.); +#22957=ORIENTED_EDGE('',*,*,#22890,.F.); +#22958=ORIENTED_EDGE('',*,*,#22937,.F.); +#22959=ORIENTED_EDGE('',*,*,#22735,.T.); +#22960=EDGE_LOOP('',(#22954,#22956,#22957,#22958,#22959)); +#22961=FACE_OUTER_BOUND('',#22960,.F.); +#22963=CARTESIAN_POINT('',(-1.0965888E2,1.105E1,-2.8E1)); +#22964=DIRECTION('',(1.E0,0.E0,0.E0)); +#22965=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22966=AXIS2_PLACEMENT_3D('',#22963,#22964,#22965); +#22967=CYLINDRICAL_SURFACE('',#22966,5.E-1); +#22968=ORIENTED_EDGE('',*,*,#22733,.T.); +#22969=ORIENTED_EDGE('',*,*,#22693,.T.); +#22971=ORIENTED_EDGE('',*,*,#22970,.T.); +#22972=ORIENTED_EDGE('',*,*,#22953,.F.); +#22973=EDGE_LOOP('',(#22968,#22969,#22971,#22972)); +#22974=FACE_OUTER_BOUND('',#22973,.F.); +#22976=CARTESIAN_POINT('',(-2.729687364248E1,1.255E1,-2.8E1)); +#22977=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22978=DIRECTION('',(-1.705392343707E-1,-9.853508865071E-1,0.E0)); +#22979=AXIS2_PLACEMENT_3D('',#22976,#22977,#22978); +#22980=TOROIDAL_SURFACE('',#22979,1.5E0,5.E-1); +#22981=ORIENTED_EDGE('',*,*,#22691,.T.); +#22983=ORIENTED_EDGE('',*,*,#22982,.T.); +#22985=ORIENTED_EDGE('',*,*,#22984,.F.); +#22986=ORIENTED_EDGE('',*,*,#22970,.F.); +#22987=EDGE_LOOP('',(#22981,#22983,#22985,#22986)); +#22988=FACE_OUTER_BOUND('',#22987,.F.); +#22990=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.8E1)); +#22991=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22992=DIRECTION('',(-9.160801319551E-1,4.009952516392E-1,0.E0)); +#22993=AXIS2_PLACEMENT_3D('',#22990,#22991,#22992); +#22994=TOROIDAL_SURFACE('',#22993,2.5E0,5.E-1); +#22995=ORIENTED_EDGE('',*,*,#22689,.T.); +#22997=ORIENTED_EDGE('',*,*,#22996,.T.); +#22999=ORIENTED_EDGE('',*,*,#22998,.F.); +#23000=ORIENTED_EDGE('',*,*,#22982,.F.); +#23001=EDGE_LOOP('',(#22995,#22997,#22999,#23000)); +#23002=FACE_OUTER_BOUND('',#23001,.F.); +#23004=CARTESIAN_POINT('',(-2.729687364248E1,9.05E0,-2.8E1)); +#23005=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23006=DIRECTION('',(9.606565731062E-1,2.777389935674E-1,0.E0)); +#23007=AXIS2_PLACEMENT_3D('',#23004,#23005,#23006); +#23008=TOROIDAL_SURFACE('',#23007,1.5E0,5.E-1); +#23009=ORIENTED_EDGE('',*,*,#22687,.T.); +#23011=ORIENTED_EDGE('',*,*,#23010,.T.); +#23013=ORIENTED_EDGE('',*,*,#23012,.F.); +#23014=ORIENTED_EDGE('',*,*,#22996,.F.); +#23015=EDGE_LOOP('',(#23009,#23011,#23013,#23014)); +#23016=FACE_OUTER_BOUND('',#23015,.F.); +#23018=CARTESIAN_POINT('',(-2.717623289512E1,1.055E1,-2.8E1)); +#23019=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23020=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23021=AXIS2_PLACEMENT_3D('',#23018,#23019,#23020); +#23022=CYLINDRICAL_SURFACE('',#23021,5.E-1); +#23023=ORIENTED_EDGE('',*,*,#22730,.T.); +#23025=ORIENTED_EDGE('',*,*,#23024,.F.); +#23026=ORIENTED_EDGE('',*,*,#23010,.F.); +#23027=ORIENTED_EDGE('',*,*,#22685,.T.); +#23028=EDGE_LOOP('',(#23023,#23025,#23026,#23027)); +#23029=FACE_OUTER_BOUND('',#23028,.F.); +#23031=CARTESIAN_POINT('',(-2.660473750966E1,1.005E1,-2.2E1)); +#23032=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23033=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23034=AXIS2_PLACEMENT_3D('',#23031,#23032,#23033); +#23035=PLANE('',#23034); +#23036=ORIENTED_EDGE('',*,*,#23024,.T.); +#23037=ORIENTED_EDGE('',*,*,#22728,.T.); +#23038=ORIENTED_EDGE('',*,*,#22820,.F.); +#23039=ORIENTED_EDGE('',*,*,#22834,.F.); +#23041=ORIENTED_EDGE('',*,*,#23040,.T.); +#23042=EDGE_LOOP('',(#23036,#23037,#23038,#23039,#23041)); +#23043=FACE_OUTER_BOUND('',#23042,.F.); +#23045=CARTESIAN_POINT('',(-2.729687364248E1,9.05E0,4.927608E1)); +#23046=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23047=DIRECTION('',(0.E0,1.E0,0.E0)); +#23048=AXIS2_PLACEMENT_3D('',#23045,#23046,#23047); +#23049=CYLINDRICAL_SURFACE('',#23048,1.E0); +#23050=ORIENTED_EDGE('',*,*,#23012,.T.); +#23051=ORIENTED_EDGE('',*,*,#23040,.F.); +#23052=ORIENTED_EDGE('',*,*,#22848,.F.); +#23054=ORIENTED_EDGE('',*,*,#23053,.T.); +#23055=EDGE_LOOP('',(#23050,#23051,#23052,#23054)); +#23056=FACE_OUTER_BOUND('',#23055,.F.); +#23058=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.2E1)); +#23059=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23060=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23061=AXIS2_PLACEMENT_3D('',#23058,#23059,#23060); +#23062=CYLINDRICAL_SURFACE('',#23061,3.E0); +#23063=ORIENTED_EDGE('',*,*,#22998,.T.); +#23064=ORIENTED_EDGE('',*,*,#23053,.F.); +#23065=ORIENTED_EDGE('',*,*,#22862,.F.); +#23067=ORIENTED_EDGE('',*,*,#23066,.T.); +#23068=EDGE_LOOP('',(#23063,#23064,#23065,#23067)); +#23069=FACE_OUTER_BOUND('',#23068,.F.); +#23071=CARTESIAN_POINT('',(-2.729687364248E1,1.255E1,4.927608E1)); +#23072=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23073=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#23074=AXIS2_PLACEMENT_3D('',#23071,#23072,#23073); +#23075=CYLINDRICAL_SURFACE('',#23074,1.E0); +#23076=ORIENTED_EDGE('',*,*,#22984,.T.); +#23077=ORIENTED_EDGE('',*,*,#23066,.F.); +#23078=ORIENTED_EDGE('',*,*,#22876,.F.); +#23079=ORIENTED_EDGE('',*,*,#22955,.T.); +#23080=EDGE_LOOP('',(#23076,#23077,#23078,#23079)); +#23081=FACE_OUTER_BOUND('',#23080,.F.); +#23083=CARTESIAN_POINT('',(-2.54E1,1.105E1,-2.15E1)); +#23084=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23085=DIRECTION('',(7.060552683733E-1,-7.081567326534E-1,0.E0)); +#23086=AXIS2_PLACEMENT_3D('',#23083,#23084,#23085); +#23087=TOROIDAL_SURFACE('',#23086,4.5E0,5.E-1); +#23088=ORIENTED_EDGE('',*,*,#22940,.T.); +#23089=ORIENTED_EDGE('',*,*,#20460,.T.); +#23090=ORIENTED_EDGE('',*,*,#20357,.T.); +#23092=ORIENTED_EDGE('',*,*,#23091,.F.); +#23093=EDGE_LOOP('',(#23088,#23089,#23090,#23092)); +#23094=FACE_OUTER_BOUND('',#23093,.F.); +#23096=CARTESIAN_POINT('',(-2.54E1,1.105E1,-2.E1)); +#23097=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23098=DIRECTION('',(1.E0,0.E0,0.E0)); +#23099=AXIS2_PLACEMENT_3D('',#23096,#23097,#23098); +#23100=CYLINDRICAL_SURFACE('',#23099,5.E0); +#23102=ORIENTED_EDGE('',*,*,#23101,.T.); +#23103=ORIENTED_EDGE('',*,*,#23091,.T.); +#23104=ORIENTED_EDGE('',*,*,#20342,.F.); +#23106=ORIENTED_EDGE('',*,*,#23105,.T.); +#23107=EDGE_LOOP('',(#23102,#23103,#23104,#23106)); +#23108=FACE_OUTER_BOUND('',#23107,.F.); +#23110=CARTESIAN_POINT('',(-3.080832691320E1,1.205E1,-2.037976428319E1)); +#23111=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23112=DIRECTION('',(9.833321660356E-1,-1.818181818182E-1,0.E0)); +#23113=AXIS2_PLACEMENT_3D('',#23110,#23111,#23112); +#23114=CYLINDRICAL_SURFACE('',#23113,5.E-1); +#23115=ORIENTED_EDGE('',*,*,#23101,.F.); +#23117=ORIENTED_EDGE('',*,*,#23116,.T.); +#23118=ORIENTED_EDGE('',*,*,#22739,.F.); +#23119=ORIENTED_EDGE('',*,*,#22942,.F.); +#23120=EDGE_LOOP('',(#23115,#23117,#23118,#23119)); +#23121=FACE_OUTER_BOUND('',#23120,.F.); +#23123=CARTESIAN_POINT('',(-2.973896162705E1,1.215432387041E1, +-1.999110458837E1)); +#23124=CARTESIAN_POINT('',(-2.976543033067E1,1.214890911231E1, +-1.998997981456E1)); +#23125=CARTESIAN_POINT('',(-2.984616031331E1,1.213273463876E1, +-1.999185226402E1)); +#23126=CARTESIAN_POINT('',(-2.997593551800E1,1.210837573093E1, +-2.002191963728E1)); +#23127=CARTESIAN_POINT('',(-3.011250371037E1,1.208471022096E1, +-2.009783040493E1)); +#23128=CARTESIAN_POINT('',(-3.021870717020E1,1.206747596953E1, +-2.020585680401E1)); +#23129=CARTESIAN_POINT('',(-3.028766303173E1,1.205679555344E1, +-2.033672391726E1)); +#23130=CARTESIAN_POINT('',(-3.031020987446E1,1.205340448184E1, +-2.045428121495E1)); +#23131=CARTESIAN_POINT('',(-3.030819886191E1,1.205368900176E1, +-2.052457055143E1)); +#23132=CARTESIAN_POINT('',(-3.030607466851E1,1.205401070515E1, +-2.054654483247E1)); +#23133=CARTESIAN_POINT('',(-2.974146072028E1,1.201807013966E1, +-2.002006784309E1)); +#23134=CARTESIAN_POINT('',(-2.976644448795E1,1.201233345990E1, +-2.001755677743E1)); +#23135=CARTESIAN_POINT('',(-2.984325936406E1,1.199526238134E1, +-2.001494843820E1)); +#23136=CARTESIAN_POINT('',(-2.996966917937E1,1.196993576270E1, +-2.003719467134E1)); +#23137=CARTESIAN_POINT('',(-3.010620345354E1,1.194567942985E1, +-2.010536481092E1)); +#23138=CARTESIAN_POINT('',(-3.021450855740E1,1.192821473539E1, +-2.020847523347E1)); +#23139=CARTESIAN_POINT('',(-3.028579006573E1,1.191748013318E1, +-2.033716464752E1)); +#23140=CARTESIAN_POINT('',(-3.030926260623E1,1.191406817280E1, +-2.045436407198E1)); +#23141=CARTESIAN_POINT('',(-3.030716872713E1,1.191437609361E1, +-2.052452221280E1)); +#23142=CARTESIAN_POINT('',(-3.030496018579E1,1.191469899921E1, +-2.054643699590E1)); +#23143=CARTESIAN_POINT('',(-2.973912611885E1,1.188142211966E1, +-1.999301105457E1)); +#23144=CARTESIAN_POINT('',(-2.976524583686E1,1.187598617072E1, +-1.998888313399E1)); +#23145=CARTESIAN_POINT('',(-2.984622061751E1,1.185987312339E1, +-1.998167817515E1)); +#23146=CARTESIAN_POINT('',(-2.998263026124E1,1.183634073445E1, +-1.999896343155E1)); +#23147=CARTESIAN_POINT('',(-3.013363252866E1,1.181414844664E1, +-2.006749758673E1)); +#23148=CARTESIAN_POINT('',(-3.025557172314E1,1.179837042519E1, +-2.017767445172E1)); +#23149=CARTESIAN_POINT('',(-3.033677799852E1,1.178876249481E1, +-2.031898015261E1)); +#23150=CARTESIAN_POINT('',(-3.036367989149E1,1.178570487248E1, +-2.044922871225E1)); +#23151=CARTESIAN_POINT('',(-3.036127961767E1,1.178600294857E1, +-2.052727800918E1)); +#23152=CARTESIAN_POINT('',(-3.035875117942E1,1.178629152291E1, +-2.055163993051E1)); +#23153=CARTESIAN_POINT('',(-2.973233809815E1,1.176663794698E1, +-1.991434141213E1)); +#23154=CARTESIAN_POINT('',(-2.976202962165E1,1.176207639629E1, +-1.990862943762E1)); +#23155=CARTESIAN_POINT('',(-2.985456172504E1,1.174861996595E1, +-1.989746075618E1)); +#23156=CARTESIAN_POINT('',(-3.001270757657E1,1.172935149116E1, +-1.991345327601E1)); +#23157=CARTESIAN_POINT('',(-3.019032310590E1,1.171154191095E1, +-1.999039679722E1)); +#23158=CARTESIAN_POINT('',(-3.033520802641E1,1.169909294207E1, +-2.011847149454E1)); +#23159=CARTESIAN_POINT('',(-3.043232157716E1,1.169160902169E1, +-2.028513244378E1)); +#23160=CARTESIAN_POINT('',(-3.046459788159E1,1.168922324733E1, +-2.043971161723E1)); +#23161=CARTESIAN_POINT('',(-3.046171759251E1,1.168947983662E1, +-2.053238905819E1)); +#23162=CARTESIAN_POINT('',(-3.045868581530E1,1.168970413837E1, +-2.056130614780E1)); +#23163=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#23123,#23124,#23125,#23126, +#23127,#23128,#23129,#23130,#23131,#23132),(#23133,#23134,#23135,#23136,#23137, +#23138,#23139,#23140,#23141,#23142),(#23143,#23144,#23145,#23146,#23147,#23148, +#23149,#23150,#23151,#23152),(#23153,#23154,#23155,#23156,#23157,#23158,#23159, +#23160,#23161,#23162)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4, +4),(4,1,1,1,1,1,1,4),(0.E0,1.E0),(7.474299522492E-2,1.462140064769E-1, +2.929194780394E-1,4.378231970938E-1,5.804672995549E-1,7.215149382469E-1, +8.618366320987E-1,9.262803475808E-1),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.042450306409E0,1.042450306409E0,1.042450306409E0, +1.042450306409E0,1.042450306409E0,1.042450306409E0,1.042450306409E0, +1.042450306409E0,1.042450306409E0,1.042450306409E0),(9.858498978637E-1, +9.858498978637E-1,9.858498978637E-1,9.858498978637E-1,9.858498978637E-1, +9.858498978637E-1,9.858498978637E-1,9.858498978637E-1,9.858498978637E-1, +9.858498978637E-1),(9.858498978637E-1,9.858498978637E-1,9.858498978637E-1, +9.858498978637E-1,9.858498978637E-1,9.858498978637E-1,9.858498978637E-1, +9.858498978637E-1,9.858498978637E-1,9.858498978637E-1),(1.042450306409E0, +1.042450306409E0,1.042450306409E0,1.042450306409E0,1.042450306409E0, +1.042450306409E0,1.042450306409E0,1.042450306409E0,1.042450306409E0, +1.042450306409E0)))REPRESENTATION_ITEM('')SURFACE()); +#23165=ORIENTED_EDGE('',*,*,#23164,.F.); +#23166=ORIENTED_EDGE('',*,*,#15531,.F.); +#23168=ORIENTED_EDGE('',*,*,#23167,.F.); +#23169=ORIENTED_EDGE('',*,*,#23116,.F.); +#23170=EDGE_LOOP('',(#23165,#23166,#23168,#23169)); +#23171=FACE_OUTER_BOUND('',#23170,.F.); +#23173=CARTESIAN_POINT('',(-2.54E1,1.105E1,-2.05E1)); +#23174=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23175=DIRECTION('',(3.426866813725E-2,9.994126567060E-1,0.E0)); +#23176=AXIS2_PLACEMENT_3D('',#23173,#23174,#23175); +#23177=TOROIDAL_SURFACE('',#23176,4.5E0,5.E-1); +#23178=ORIENTED_EDGE('',*,*,#23164,.T.); +#23179=ORIENTED_EDGE('',*,*,#23105,.F.); +#23181=ORIENTED_EDGE('',*,*,#23180,.F.); +#23182=ORIENTED_EDGE('',*,*,#15533,.T.); +#23183=EDGE_LOOP('',(#23178,#23179,#23181,#23182)); +#23184=FACE_OUTER_BOUND('',#23183,.F.); +#23186=CARTESIAN_POINT('',(2.557137689436E1,1.555E1,-2.05E1)); +#23187=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23188=DIRECTION('',(0.E0,0.E0,1.E0)); +#23189=AXIS2_PLACEMENT_3D('',#23186,#23187,#23188); +#23190=CYLINDRICAL_SURFACE('',#23189,5.E-1); +#23191=ORIENTED_EDGE('',*,*,#15535,.T.); +#23192=ORIENTED_EDGE('',*,*,#23180,.T.); +#23193=ORIENTED_EDGE('',*,*,#20340,.F.); +#23194=ORIENTED_EDGE('',*,*,#20246,.F.); +#23195=EDGE_LOOP('',(#23191,#23192,#23193,#23194)); +#23196=FACE_OUTER_BOUND('',#23195,.F.); +#23198=CARTESIAN_POINT('',(-2.99E1,1.172137689436E1,-2.05E1)); +#23199=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23200=DIRECTION('',(0.E0,0.E0,1.E0)); +#23201=AXIS2_PLACEMENT_3D('',#23198,#23199,#23200); +#23202=CYLINDRICAL_SURFACE('',#23201,5.E-1); +#23203=ORIENTED_EDGE('',*,*,#22741,.T.); +#23204=ORIENTED_EDGE('',*,*,#23167,.T.); +#23205=ORIENTED_EDGE('',*,*,#15529,.T.); +#23207=ORIENTED_EDGE('',*,*,#23206,.T.); +#23208=EDGE_LOOP('',(#23203,#23204,#23205,#23207)); +#23209=FACE_OUTER_BOUND('',#23208,.F.); +#23211=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-2.05E1)); +#23212=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23213=DIRECTION('',(-9.994126567060E-1,3.426866813725E-2,0.E0)); +#23214=AXIS2_PLACEMENT_3D('',#23211,#23212,#23213); +#23215=TOROIDAL_SURFACE('',#23214,4.5E0,5.E-1); +#23216=ORIENTED_EDGE('',*,*,#15527,.T.); +#23218=ORIENTED_EDGE('',*,*,#23217,.T.); +#23220=ORIENTED_EDGE('',*,*,#23219,.F.); +#23221=ORIENTED_EDGE('',*,*,#23206,.F.); +#23222=EDGE_LOOP('',(#23216,#23218,#23220,#23221)); +#23223=FACE_OUTER_BOUND('',#23222,.F.); +#23225=CARTESIAN_POINT('',(-2.557137689436E1,-1.555E1,-2.05E1)); +#23226=DIRECTION('',(1.E0,0.E0,0.E0)); +#23227=DIRECTION('',(0.E0,0.E0,1.E0)); +#23228=AXIS2_PLACEMENT_3D('',#23225,#23226,#23227); +#23229=CYLINDRICAL_SURFACE('',#23228,5.E-1); +#23230=ORIENTED_EDGE('',*,*,#15525,.T.); +#23232=ORIENTED_EDGE('',*,*,#23231,.T.); +#23234=ORIENTED_EDGE('',*,*,#23233,.F.); +#23235=ORIENTED_EDGE('',*,*,#23217,.F.); +#23236=EDGE_LOOP('',(#23230,#23232,#23234,#23235)); +#23237=FACE_OUTER_BOUND('',#23236,.F.); +#23239=CARTESIAN_POINT('',(2.54E1,-1.105E1,-2.05E1)); +#23240=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23241=DIRECTION('',(-3.426866813725E-2,-9.994126567060E-1,0.E0)); +#23242=AXIS2_PLACEMENT_3D('',#23239,#23240,#23241); +#23243=TOROIDAL_SURFACE('',#23242,4.5E0,5.E-1); +#23244=ORIENTED_EDGE('',*,*,#15523,.T.); +#23246=ORIENTED_EDGE('',*,*,#23245,.T.); +#23248=ORIENTED_EDGE('',*,*,#23247,.F.); +#23249=ORIENTED_EDGE('',*,*,#23231,.F.); +#23250=EDGE_LOOP('',(#23244,#23246,#23248,#23249)); +#23251=FACE_OUTER_BOUND('',#23250,.F.); +#23253=CARTESIAN_POINT('',(2.99E1,-1.122137689436E1,-2.05E1)); +#23254=DIRECTION('',(0.E0,1.E0,0.E0)); +#23255=DIRECTION('',(0.E0,0.E0,1.E0)); +#23256=AXIS2_PLACEMENT_3D('',#23253,#23254,#23255); +#23257=CYLINDRICAL_SURFACE('',#23256,5.E-1); +#23258=ORIENTED_EDGE('',*,*,#15521,.T.); +#23259=ORIENTED_EDGE('',*,*,#20297,.F.); +#23261=ORIENTED_EDGE('',*,*,#23260,.T.); +#23262=ORIENTED_EDGE('',*,*,#23245,.F.); +#23263=EDGE_LOOP('',(#23258,#23259,#23261,#23262)); +#23264=FACE_OUTER_BOUND('',#23263,.F.); +#23266=CARTESIAN_POINT('',(3.04E1,1.105E1,-2.E1)); +#23267=DIRECTION('',(1.E0,0.E0,0.E0)); +#23268=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23269=AXIS2_PLACEMENT_3D('',#23266,#23267,#23268); +#23270=PLANE('',#23269); +#23272=ORIENTED_EDGE('',*,*,#23271,.F.); +#23273=ORIENTED_EDGE('',*,*,#22655,.T.); +#23275=ORIENTED_EDGE('',*,*,#23274,.F.); +#23277=ORIENTED_EDGE('',*,*,#23276,.F.); +#23279=ORIENTED_EDGE('',*,*,#23278,.F.); +#23281=ORIENTED_EDGE('',*,*,#23280,.T.); +#23283=ORIENTED_EDGE('',*,*,#23282,.F.); +#23284=ORIENTED_EDGE('',*,*,#23260,.F.); +#23285=ORIENTED_EDGE('',*,*,#20312,.F.); +#23287=ORIENTED_EDGE('',*,*,#23286,.F.); +#23289=ORIENTED_EDGE('',*,*,#23288,.T.); +#23291=ORIENTED_EDGE('',*,*,#23290,.F.); +#23292=ORIENTED_EDGE('',*,*,#22675,.T.); +#23294=ORIENTED_EDGE('',*,*,#23293,.F.); +#23296=ORIENTED_EDGE('',*,*,#23295,.F.); +#23298=ORIENTED_EDGE('',*,*,#23297,.F.); +#23300=ORIENTED_EDGE('',*,*,#23299,.T.); +#23302=ORIENTED_EDGE('',*,*,#23301,.F.); +#23304=ORIENTED_EDGE('',*,*,#23303,.F.); +#23305=EDGE_LOOP('',(#23272,#23273,#23275,#23277,#23279,#23281,#23283,#23284, +#23285,#23287,#23289,#23291,#23292,#23294,#23296,#23298,#23300,#23302,#23304)); +#23306=FACE_OUTER_BOUND('',#23305,.F.); +#23308=CARTESIAN_POINT('',(2.867623289512E1,-7.05E0,-2.8E1)); +#23309=DIRECTION('',(1.E0,0.E0,0.E0)); +#23310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23311=AXIS2_PLACEMENT_3D('',#23308,#23309,#23310); +#23312=CYLINDRICAL_SURFACE('',#23311,5.E-1); +#23313=ORIENTED_EDGE('',*,*,#23271,.T.); +#23315=ORIENTED_EDGE('',*,*,#23314,.F.); +#23317=ORIENTED_EDGE('',*,*,#23316,.F.); +#23318=ORIENTED_EDGE('',*,*,#22631,.T.); +#23319=EDGE_LOOP('',(#23313,#23315,#23317,#23318)); +#23320=FACE_OUTER_BOUND('',#23319,.F.); +#23322=CARTESIAN_POINT('',(2.810473750966E1,-6.55E0,-2.2E1)); +#23323=DIRECTION('',(0.E0,1.E0,0.E0)); +#23324=DIRECTION('',(1.E0,0.E0,0.E0)); +#23325=AXIS2_PLACEMENT_3D('',#23322,#23323,#23324); +#23326=PLANE('',#23325); +#23327=ORIENTED_EDGE('',*,*,#23314,.T.); +#23328=ORIENTED_EDGE('',*,*,#23303,.T.); +#23330=ORIENTED_EDGE('',*,*,#23329,.F.); +#23332=ORIENTED_EDGE('',*,*,#23331,.F.); +#23334=ORIENTED_EDGE('',*,*,#23333,.T.); +#23335=EDGE_LOOP('',(#23327,#23328,#23330,#23332,#23334)); +#23336=FACE_OUTER_BOUND('',#23335,.F.); +#23338=CARTESIAN_POINT('',(2.99E1,-6.05E0,-2.15E1)); +#23339=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23340=DIRECTION('',(-2.502303232869E-1,0.E0,-9.681863381125E-1)); +#23341=AXIS2_PLACEMENT_3D('',#23338,#23339,#23340); +#23342=TOROIDAL_SURFACE('',#23341,1.E0,5.E-1); +#23344=ORIENTED_EDGE('',*,*,#23343,.F.); +#23346=ORIENTED_EDGE('',*,*,#23345,.T.); +#23347=ORIENTED_EDGE('',*,*,#23329,.T.); +#23348=ORIENTED_EDGE('',*,*,#23301,.T.); +#23349=EDGE_LOOP('',(#23344,#23346,#23347,#23348)); +#23350=FACE_OUTER_BOUND('',#23349,.F.); +#23352=CARTESIAN_POINT('',(2.99E1,5.789556E1,-2.15E1)); +#23353=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23354=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23355=AXIS2_PLACEMENT_3D('',#23352,#23353,#23354); +#23356=CYLINDRICAL_SURFACE('',#23355,5.E-1); +#23357=ORIENTED_EDGE('',*,*,#23343,.T.); +#23358=ORIENTED_EDGE('',*,*,#23299,.F.); +#23360=ORIENTED_EDGE('',*,*,#23359,.T.); +#23361=ORIENTED_EDGE('',*,*,#20445,.T.); +#23362=EDGE_LOOP('',(#23357,#23358,#23360,#23361)); +#23363=FACE_OUTER_BOUND('',#23362,.F.); +#23365=CARTESIAN_POINT('',(2.99E1,9.55E0,-2.15E1)); +#23366=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23367=DIRECTION('',(-7.063372212094E-1,0.E0,7.078755045445E-1)); +#23368=AXIS2_PLACEMENT_3D('',#23365,#23366,#23367); +#23369=TOROIDAL_SURFACE('',#23368,1.E0,5.E-1); +#23371=ORIENTED_EDGE('',*,*,#23370,.T.); +#23373=ORIENTED_EDGE('',*,*,#23372,.F.); +#23374=ORIENTED_EDGE('',*,*,#23359,.F.); +#23375=ORIENTED_EDGE('',*,*,#23297,.T.); +#23376=EDGE_LOOP('',(#23371,#23373,#23374,#23375)); +#23377=FACE_OUTER_BOUND('',#23376,.F.); +#23379=CARTESIAN_POINT('',(3.04E1,1.005E1,-2.2E1)); +#23380=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23381=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23382=AXIS2_PLACEMENT_3D('',#23379,#23380,#23381); +#23383=PLANE('',#23382); +#23385=ORIENTED_EDGE('',*,*,#23384,.T.); +#23387=ORIENTED_EDGE('',*,*,#23386,.F.); +#23389=ORIENTED_EDGE('',*,*,#23388,.F.); +#23390=ORIENTED_EDGE('',*,*,#23370,.F.); +#23391=ORIENTED_EDGE('',*,*,#23295,.T.); +#23392=EDGE_LOOP('',(#23385,#23387,#23389,#23390,#23391)); +#23393=FACE_OUTER_BOUND('',#23392,.F.); +#23395=CARTESIAN_POINT('',(1.0965888E2,1.055E1,-2.8E1)); +#23396=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23397=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23398=AXIS2_PLACEMENT_3D('',#23395,#23396,#23397); +#23399=CYLINDRICAL_SURFACE('',#23398,5.E-1); +#23400=ORIENTED_EDGE('',*,*,#23293,.T.); +#23401=ORIENTED_EDGE('',*,*,#22673,.T.); +#23403=ORIENTED_EDGE('',*,*,#23402,.T.); +#23404=ORIENTED_EDGE('',*,*,#23384,.F.); +#23405=EDGE_LOOP('',(#23400,#23401,#23403,#23404)); +#23406=FACE_OUTER_BOUND('',#23405,.F.); +#23408=CARTESIAN_POINT('',(2.729687364248E1,9.05E0,-2.8E1)); +#23409=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23410=DIRECTION('',(1.705392343707E-1,9.853508865071E-1,0.E0)); +#23411=AXIS2_PLACEMENT_3D('',#23408,#23409,#23410); +#23412=TOROIDAL_SURFACE('',#23411,1.5E0,5.E-1); +#23413=ORIENTED_EDGE('',*,*,#22671,.T.); +#23415=ORIENTED_EDGE('',*,*,#23414,.T.); +#23417=ORIENTED_EDGE('',*,*,#23416,.F.); +#23418=ORIENTED_EDGE('',*,*,#23402,.F.); +#23419=EDGE_LOOP('',(#23413,#23415,#23417,#23418)); +#23420=FACE_OUTER_BOUND('',#23419,.F.); +#23422=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.8E1)); +#23423=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23424=DIRECTION('',(9.160801319551E-1,-4.009952516392E-1,0.E0)); +#23425=AXIS2_PLACEMENT_3D('',#23422,#23423,#23424); +#23426=TOROIDAL_SURFACE('',#23425,2.5E0,5.E-1); +#23427=ORIENTED_EDGE('',*,*,#22669,.T.); +#23429=ORIENTED_EDGE('',*,*,#23428,.T.); +#23431=ORIENTED_EDGE('',*,*,#23430,.F.); +#23432=ORIENTED_EDGE('',*,*,#23414,.F.); +#23433=EDGE_LOOP('',(#23427,#23429,#23431,#23432)); +#23434=FACE_OUTER_BOUND('',#23433,.F.); +#23436=CARTESIAN_POINT('',(2.729687364248E1,1.255E1,-2.8E1)); +#23437=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23438=DIRECTION('',(-9.606565731062E-1,-2.777389935674E-1,0.E0)); +#23439=AXIS2_PLACEMENT_3D('',#23436,#23437,#23438); +#23440=TOROIDAL_SURFACE('',#23439,1.5E0,5.E-1); +#23441=ORIENTED_EDGE('',*,*,#22667,.T.); +#23443=ORIENTED_EDGE('',*,*,#23442,.T.); +#23445=ORIENTED_EDGE('',*,*,#23444,.F.); +#23446=ORIENTED_EDGE('',*,*,#23428,.F.); +#23447=EDGE_LOOP('',(#23441,#23443,#23445,#23446)); +#23448=FACE_OUTER_BOUND('',#23447,.F.); +#23450=CARTESIAN_POINT('',(2.717623289512E1,1.105E1,-2.8E1)); +#23451=DIRECTION('',(1.E0,0.E0,0.E0)); +#23452=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23453=AXIS2_PLACEMENT_3D('',#23450,#23451,#23452); +#23454=CYLINDRICAL_SURFACE('',#23453,5.E-1); +#23455=ORIENTED_EDGE('',*,*,#23290,.T.); +#23457=ORIENTED_EDGE('',*,*,#23456,.F.); +#23458=ORIENTED_EDGE('',*,*,#23442,.F.); +#23459=ORIENTED_EDGE('',*,*,#22665,.T.); +#23460=EDGE_LOOP('',(#23455,#23457,#23458,#23459)); +#23461=FACE_OUTER_BOUND('',#23460,.F.); +#23463=CARTESIAN_POINT('',(2.660473750966E1,1.155E1,-2.2E1)); +#23464=DIRECTION('',(0.E0,1.E0,0.E0)); +#23465=DIRECTION('',(1.E0,0.E0,0.E0)); +#23466=AXIS2_PLACEMENT_3D('',#23463,#23464,#23465); +#23467=PLANE('',#23466); +#23468=ORIENTED_EDGE('',*,*,#23456,.T.); +#23469=ORIENTED_EDGE('',*,*,#23288,.F.); +#23471=ORIENTED_EDGE('',*,*,#23470,.F.); +#23473=ORIENTED_EDGE('',*,*,#23472,.F.); +#23475=ORIENTED_EDGE('',*,*,#23474,.T.); +#23476=EDGE_LOOP('',(#23468,#23469,#23471,#23473,#23475)); +#23477=FACE_OUTER_BOUND('',#23476,.F.); +#23479=CARTESIAN_POINT('',(2.973856822260E1,1.215440479102E1, +-2.200887482440E1)); +#23480=CARTESIAN_POINT('',(2.975487996610E1,1.215106988761E1, +-2.200960019610E1)); +#23481=CARTESIAN_POINT('',(2.982093049965E1,1.213772520036E1, +-2.200977373063E1)); +#23482=CARTESIAN_POINT('',(2.993439097868E1,1.211599641772E1, +-2.199099991606E1)); +#23483=CARTESIAN_POINT('',(3.006602463762E1,1.209261775301E1, +-2.193241397050E1)); +#23484=CARTESIAN_POINT('',(3.017504646788E1,1.207446967105E1, +-2.184459854306E1)); +#23485=CARTESIAN_POINT('',(3.025543806453E1,1.206175253044E1, +-2.173369702433E1)); +#23486=CARTESIAN_POINT('',(3.030277919427E1,1.205451397917E1, +-2.160672128490E1)); +#23487=CARTESIAN_POINT('',(3.031059359526E1,1.205334016934E1, +-2.151112353019E1)); +#23488=CARTESIAN_POINT('',(3.030676897706E1,1.205391732226E1, +-2.146060521471E1)); +#23489=CARTESIAN_POINT('',(3.030622122211E1,1.205399979374E1, +-2.145477888952E1)); +#23490=CARTESIAN_POINT('',(2.974563139254E1,1.177272325795E1, +-2.192767395851E1)); +#23491=CARTESIAN_POINT('',(2.975937621333E1,1.176879691642E1, +-2.193079205653E1)); +#23492=CARTESIAN_POINT('',(2.981589165729E1,1.175332829404E1, +-2.194100983586E1)); +#23493=CARTESIAN_POINT('',(2.991896079910E1,1.172890964766E1, +-2.194117631918E1)); +#23494=CARTESIAN_POINT('',(3.004764961894E1,1.170359901012E1, +-2.190417920588E1)); +#23495=CARTESIAN_POINT('',(3.016037654959E1,1.168455047444E1, +-2.183197584205E1)); +#23496=CARTESIAN_POINT('',(3.024693862472E1,1.167150889419E1, +-2.172992277122E1)); +#23497=CARTESIAN_POINT('',(3.029924257584E1,1.166420219655E1, +-2.160626439492E1)); +#23498=CARTESIAN_POINT('',(3.030797413263E1,1.166301692816E1, +-2.151102358312E1)); +#23499=CARTESIAN_POINT('',(3.030372048164E1,1.166360454632E1, +-2.146085370360E1)); +#23500=CARTESIAN_POINT('',(3.030311178384E1,1.166368939409E1, +-2.145507107414E1)); +#23501=CARTESIAN_POINT('',(2.972220256915E1,1.149123194619E1, +-2.219701712712E1)); +#23502=CARTESIAN_POINT('',(2.974354527603E1,1.148912968138E1, +-2.220274035557E1)); +#23503=CARTESIAN_POINT('',(2.983170418305E1,1.148109622930E1, +-2.222196845956E1)); +#23504=CARTESIAN_POINT('',(2.999516473631E1,1.146921494074E1, +-2.222631668251E1)); +#23505=CARTESIAN_POINT('',(3.020299271472E1,1.145793308767E1, +-2.216914903865E1)); +#23506=CARTESIAN_POINT('',(3.038732116548E1,1.145011063641E1, +-2.205188194520E1)); +#23507=CARTESIAN_POINT('',(3.053004254107E1,1.144510753263E1, +-2.188329488656E1)); +#23508=CARTESIAN_POINT('',(3.061670901576E1,1.144244164046E1, +-2.167742571057E1)); +#23509=CARTESIAN_POINT('',(3.063120776059E1,1.144200870046E1, +-2.151839437437E1)); +#23510=CARTESIAN_POINT('',(3.062415057993E1,1.144222911353E1, +-2.143465611322E1)); +#23511=CARTESIAN_POINT('',(3.062314082427E1,1.144226198392E1, +-2.142500511878E1)); +#23512=CARTESIAN_POINT('',(2.968886692961E1,1.155725648671E1, +-2.258025237565E1)); +#23513=CARTESIAN_POINT('',(2.972129662990E1,1.155779113192E1, +-2.258650420291E1)); +#23514=CARTESIAN_POINT('',(2.985447477811E1,1.156021922043E1, +-2.260579200444E1)); +#23515=CARTESIAN_POINT('',(3.009604801740E1,1.156508686067E1, +-2.259588922290E1)); +#23516=CARTESIAN_POINT('',(3.039556541689E1,1.157146849231E1, +-2.249451404154E1)); +#23517=CARTESIAN_POINT('',(3.065648083863E1,1.157713513215E1, +-2.231110159703E1)); +#23518=CARTESIAN_POINT('',(3.085600730603E1,1.158147060520E1, +-2.205905661725E1)); +#23519=CARTESIAN_POINT('',(3.097624419427E1,1.158407693723E1, +-2.175768103818E1)); +#23520=CARTESIAN_POINT('',(3.099629295708E1,1.158449909717E1, +-2.152675973392E1)); +#23521=CARTESIAN_POINT('',(3.098652100439E1,1.158429727081E1, +-2.140503033187E1)); +#23522=CARTESIAN_POINT('',(3.098512245579E1,1.158426947885E1, +-2.139099775841E1)); +#23523=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#23479,#23480,#23481,#23482, +#23483,#23484,#23485,#23486,#23487,#23488,#23489),(#23490,#23491,#23492,#23493, +#23494,#23495,#23496,#23497,#23498,#23499,#23500),(#23501,#23502,#23503,#23504, +#23505,#23506,#23507,#23508,#23509,#23510,#23511),(#23512,#23513,#23514,#23515, +#23516,#23517,#23518,#23519,#23520,#23521,#23522)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4,1,1,1,1,1,1,1,4),(0.E0,1.E0),( +-2.315490655522E-2,0.E0,7.051539661102E-2,1.398751415708E-1,2.085076524957E-1, +2.764998399868E-1,3.443022386819E-1,4.127080410490E-1,4.216415788681E-1), +.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE((( +1.281487001549E0,1.281487001549E0,1.281487001549E0,1.281487001549E0, +1.281487001549E0,1.281487001549E0,1.281487001549E0,1.281487001549E0, +1.281487001549E0,1.281487001549E0,1.281487001549E0),(9.061709994836E-1, +9.061709994836E-1,9.061709994836E-1,9.061709994836E-1,9.061709994836E-1, +9.061709994836E-1,9.061709994836E-1,9.061709994836E-1,9.061709994836E-1, +9.061709994836E-1,9.061709994836E-1),(9.061709994836E-1,9.061709994836E-1, +9.061709994836E-1,9.061709994836E-1,9.061709994836E-1,9.061709994836E-1, +9.061709994836E-1,9.061709994836E-1,9.061709994836E-1,9.061709994836E-1, +9.061709994836E-1),(1.281487001549E0,1.281487001549E0,1.281487001549E0, +1.281487001549E0,1.281487001549E0,1.281487001549E0,1.281487001549E0, +1.281487001549E0,1.281487001549E0,1.281487001549E0,1.281487001549E0)))REPRESENTATION_ITEM('')SURFACE()); +#23525=ORIENTED_EDGE('',*,*,#23524,.F.); +#23527=ORIENTED_EDGE('',*,*,#23526,.T.); +#23528=ORIENTED_EDGE('',*,*,#23470,.T.); +#23529=ORIENTED_EDGE('',*,*,#23286,.T.); +#23530=ORIENTED_EDGE('',*,*,#20310,.T.); +#23531=EDGE_LOOP('',(#23525,#23527,#23528,#23529,#23530)); +#23532=FACE_OUTER_BOUND('',#23531,.F.); +#23534=CARTESIAN_POINT('',(2.54E1,1.105E1,-2.15E1)); +#23535=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23536=DIRECTION('',(-2.412580843671E-2,9.997089303229E-1,0.E0)); +#23537=AXIS2_PLACEMENT_3D('',#23534,#23535,#23536); +#23538=TOROIDAL_SURFACE('',#23537,4.5E0,5.E-1); +#23539=ORIENTED_EDGE('',*,*,#23524,.T.); +#23540=ORIENTED_EDGE('',*,*,#20327,.F.); +#23541=ORIENTED_EDGE('',*,*,#20354,.F.); +#23542=ORIENTED_EDGE('',*,*,#20457,.T.); +#23543=EDGE_LOOP('',(#23539,#23540,#23541,#23542)); +#23544=FACE_OUTER_BOUND('',#23543,.F.); +#23546=CARTESIAN_POINT('',(2.717623289512E1,1.205E1,-2.25E1)); +#23547=DIRECTION('',(1.E0,0.E0,0.E0)); +#23548=DIRECTION('',(0.E0,0.E0,1.E0)); +#23549=AXIS2_PLACEMENT_3D('',#23546,#23547,#23548); +#23550=CYLINDRICAL_SURFACE('',#23549,5.E-1); +#23551=ORIENTED_EDGE('',*,*,#20455,.F.); +#23553=ORIENTED_EDGE('',*,*,#23552,.T.); +#23554=ORIENTED_EDGE('',*,*,#23472,.T.); +#23555=ORIENTED_EDGE('',*,*,#23526,.F.); +#23556=EDGE_LOOP('',(#23551,#23553,#23554,#23555)); +#23557=FACE_OUTER_BOUND('',#23556,.F.); +#23559=CARTESIAN_POINT('',(2.729687364248E1,1.255E1,-2.25E1)); +#23560=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23561=DIRECTION('',(-9.606565731062E-1,-2.777389935674E-1,1.421085471520E-14)); +#23562=AXIS2_PLACEMENT_3D('',#23559,#23560,#23561); +#23563=TOROIDAL_SURFACE('',#23562,5.E-1,5.E-1); +#23564=ORIENTED_EDGE('',*,*,#20453,.F.); +#23566=ORIENTED_EDGE('',*,*,#23565,.T.); +#23568=ORIENTED_EDGE('',*,*,#23567,.T.); +#23569=ORIENTED_EDGE('',*,*,#23552,.F.); +#23570=EDGE_LOOP('',(#23564,#23566,#23568,#23569)); +#23571=FACE_OUTER_BOUND('',#23570,.F.); +#23573=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.25E1)); +#23574=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23575=DIRECTION('',(9.160801319551E-1,-4.009952516392E-1,0.E0)); +#23576=AXIS2_PLACEMENT_3D('',#23573,#23574,#23575); +#23577=TOROIDAL_SURFACE('',#23576,3.5E0,5.E-1); +#23578=ORIENTED_EDGE('',*,*,#20451,.F.); +#23580=ORIENTED_EDGE('',*,*,#23579,.T.); +#23582=ORIENTED_EDGE('',*,*,#23581,.T.); +#23583=ORIENTED_EDGE('',*,*,#23565,.F.); +#23584=EDGE_LOOP('',(#23578,#23580,#23582,#23583)); +#23585=FACE_OUTER_BOUND('',#23584,.F.); +#23587=CARTESIAN_POINT('',(2.690529173818E1,9.155280284547E0, +-2.200901381171E1)); +#23588=CARTESIAN_POINT('',(2.653515450667E1,9.254794977710E0, +-2.193523414702E1)); +#23589=CARTESIAN_POINT('',(2.626862303123E1,9.326454340072E0, +-2.221123068977E1)); +#23590=CARTESIAN_POINT('',(2.633987248491E1,9.307298289513E0, +-2.259451223682E1)); +#23591=CARTESIAN_POINT('',(2.696347600458E1,9.371692179078E0, +-2.200901381171E1)); +#23592=CARTESIAN_POINT('',(2.664833662420E1,9.675767140799E0, +-2.193523414702E1)); +#23593=CARTESIAN_POINT('',(2.642140845576E1,9.894727951256E0, +-2.221123068977E1)); +#23594=CARTESIAN_POINT('',(2.648207111483E1,9.836195134087E0, +-2.259451223682E1)); +#23595=CARTESIAN_POINT('',(2.715346357708E1,9.490537621757E0, +-2.200901381171E1)); +#23596=CARTESIAN_POINT('',(2.701790725030E1,9.906949549632E0, +-2.193523414702E1)); +#23597=CARTESIAN_POINT('',(2.692029473066E1,1.020680289071E1, +-2.221123068977E1)); +#23598=CARTESIAN_POINT('',(2.694638860450E1,1.012664580345E1, +-2.259451223682E1)); +#23599=CARTESIAN_POINT('',(2.737352075366E1,9.448177782456E0, +-2.200901381171E1)); +#23600=CARTESIAN_POINT('',(2.744597034651E1,9.824549674074E0, +-2.193523414702E1)); +#23601=CARTESIAN_POINT('',(2.749814044784E1,1.009557065507E1, +-2.221123068977E1)); +#23602=CARTESIAN_POINT('',(2.748419428553E1,1.002312106239E1, +-2.259451223682E1)); +#23603=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#23587,#23588,#23589,#23590),( +#23591,#23592,#23593,#23594),(#23595,#23596,#23597,#23598),(#23599,#23600, +#23601,#23602)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4, +4),(0.E0,1.E0),(0.E0,1.E0),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.479541837693E0,1.046232206629E0,1.046232206629E0, +1.479541837693E0),(1.215455672355E0,8.594882805951E-1,8.594882805951E-1, +1.215455672355E0),(1.215455672355E0,8.594882805951E-1,8.594882805951E-1, +1.215455672355E0),(1.479541837693E0,1.046232206629E0,1.046232206629E0, +1.479541837693E0)))REPRESENTATION_ITEM('')SURFACE()); +#23604=ORIENTED_EDGE('',*,*,#20449,.F.); +#23606=ORIENTED_EDGE('',*,*,#23605,.T.); +#23608=ORIENTED_EDGE('',*,*,#23607,.T.); +#23609=ORIENTED_EDGE('',*,*,#23579,.F.); +#23610=EDGE_LOOP('',(#23604,#23606,#23608,#23609)); +#23611=FACE_OUTER_BOUND('',#23610,.F.); +#23613=CARTESIAN_POINT('',(3.001599927020E1,9.55E0,-2.25E1)); +#23614=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23615=DIRECTION('',(0.E0,0.E0,1.E0)); +#23616=AXIS2_PLACEMENT_3D('',#23613,#23614,#23615); +#23617=CYLINDRICAL_SURFACE('',#23616,5.E-1); +#23618=ORIENTED_EDGE('',*,*,#20447,.F.); +#23619=ORIENTED_EDGE('',*,*,#23372,.T.); +#23620=ORIENTED_EDGE('',*,*,#23388,.T.); +#23621=ORIENTED_EDGE('',*,*,#23605,.F.); +#23622=EDGE_LOOP('',(#23618,#23619,#23620,#23621)); +#23623=FACE_OUTER_BOUND('',#23622,.F.); +#23625=CARTESIAN_POINT('',(2.729687364248E1,9.05E0,4.927608E1)); +#23626=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23627=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#23628=AXIS2_PLACEMENT_3D('',#23625,#23626,#23627); +#23629=CYLINDRICAL_SURFACE('',#23628,1.E0); +#23630=ORIENTED_EDGE('',*,*,#23416,.T.); +#23632=ORIENTED_EDGE('',*,*,#23631,.F.); +#23633=ORIENTED_EDGE('',*,*,#23607,.F.); +#23634=ORIENTED_EDGE('',*,*,#23386,.T.); +#23635=EDGE_LOOP('',(#23630,#23632,#23633,#23634)); +#23636=FACE_OUTER_BOUND('',#23635,.F.); +#23638=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.2E1)); +#23639=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23640=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23641=AXIS2_PLACEMENT_3D('',#23638,#23639,#23640); +#23642=CYLINDRICAL_SURFACE('',#23641,3.E0); +#23643=ORIENTED_EDGE('',*,*,#23430,.T.); +#23645=ORIENTED_EDGE('',*,*,#23644,.F.); +#23646=ORIENTED_EDGE('',*,*,#23581,.F.); +#23647=ORIENTED_EDGE('',*,*,#23631,.T.); +#23648=EDGE_LOOP('',(#23643,#23645,#23646,#23647)); +#23649=FACE_OUTER_BOUND('',#23648,.F.); +#23651=CARTESIAN_POINT('',(2.729687364248E1,1.255E1,4.927608E1)); +#23652=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23653=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23654=AXIS2_PLACEMENT_3D('',#23651,#23652,#23653); +#23655=CYLINDRICAL_SURFACE('',#23654,1.E0); +#23656=ORIENTED_EDGE('',*,*,#23444,.T.); +#23657=ORIENTED_EDGE('',*,*,#23474,.F.); +#23658=ORIENTED_EDGE('',*,*,#23567,.F.); +#23659=ORIENTED_EDGE('',*,*,#23644,.T.); +#23660=EDGE_LOOP('',(#23656,#23657,#23658,#23659)); +#23661=FACE_OUTER_BOUND('',#23660,.F.); +#23663=CARTESIAN_POINT('',(2.867623289512E1,-6.05E0,-2.25E1)); +#23664=DIRECTION('',(1.E0,0.E0,0.E0)); +#23665=DIRECTION('',(0.E0,0.E0,1.E0)); +#23666=AXIS2_PLACEMENT_3D('',#23663,#23664,#23665); +#23667=CYLINDRICAL_SURFACE('',#23666,5.E-1); +#23668=ORIENTED_EDGE('',*,*,#20443,.F.); +#23670=ORIENTED_EDGE('',*,*,#23669,.T.); +#23671=ORIENTED_EDGE('',*,*,#23331,.T.); +#23672=ORIENTED_EDGE('',*,*,#23345,.F.); +#23673=EDGE_LOOP('',(#23668,#23670,#23671,#23672)); +#23674=FACE_OUTER_BOUND('',#23673,.F.); +#23676=CARTESIAN_POINT('',(2.879687364248E1,-5.55E0,-2.25E1)); +#23677=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23678=DIRECTION('',(-9.606565731062E-1,-2.777389935674E-1,1.421085471520E-14)); +#23679=AXIS2_PLACEMENT_3D('',#23676,#23677,#23678); +#23680=TOROIDAL_SURFACE('',#23679,5.E-1,5.E-1); +#23681=ORIENTED_EDGE('',*,*,#20441,.F.); +#23683=ORIENTED_EDGE('',*,*,#23682,.T.); +#23685=ORIENTED_EDGE('',*,*,#23684,.T.); +#23686=ORIENTED_EDGE('',*,*,#23669,.F.); +#23687=EDGE_LOOP('',(#23681,#23683,#23685,#23686)); +#23688=FACE_OUTER_BOUND('',#23687,.F.); +#23690=CARTESIAN_POINT('',(2.52E1,-7.3E0,-2.25E1)); +#23691=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23692=DIRECTION('',(-8.257605375213E-2,-9.965847657609E-1,0.E0)); +#23693=AXIS2_PLACEMENT_3D('',#23690,#23691,#23692); +#23694=TOROIDAL_SURFACE('',#23693,3.5E0,5.E-1); +#23696=ORIENTED_EDGE('',*,*,#23695,.T.); +#23698=ORIENTED_EDGE('',*,*,#23697,.T.); +#23699=ORIENTED_EDGE('',*,*,#23682,.F.); +#23700=ORIENTED_EDGE('',*,*,#20439,.F.); +#23701=EDGE_LOOP('',(#23696,#23698,#23699,#23700)); +#23702=FACE_OUTER_BOUND('',#23701,.F.); +#23704=CARTESIAN_POINT('',(1.805E1,-5.568671030682E0,-2.2E1)); +#23705=DIRECTION('',(0.E0,1.E0,0.E0)); +#23706=DIRECTION('',(1.E0,0.E0,0.E0)); +#23707=AXIS2_PLACEMENT_3D('',#23704,#23705,#23706); +#23708=PLANE('',#23707); +#23710=ORIENTED_EDGE('',*,*,#23709,.F.); +#23712=ORIENTED_EDGE('',*,*,#23711,.F.); +#23713=ORIENTED_EDGE('',*,*,#23695,.F.); +#23714=ORIENTED_EDGE('',*,*,#20437,.F.); +#23716=ORIENTED_EDGE('',*,*,#23715,.T.); +#23718=ORIENTED_EDGE('',*,*,#23717,.T.); +#23720=ORIENTED_EDGE('',*,*,#23719,.F.); +#23722=ORIENTED_EDGE('',*,*,#23721,.T.); +#23723=EDGE_LOOP('',(#23710,#23712,#23713,#23714,#23716,#23718,#23720,#23722)); +#23724=FACE_OUTER_BOUND('',#23723,.F.); +#23726=CARTESIAN_POINT('',(2.275E1,-5.568671030682E0,-2.85E1)); +#23727=DIRECTION('',(1.E0,0.E0,0.E0)); +#23728=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23729=AXIS2_PLACEMENT_3D('',#23726,#23727,#23728); +#23730=PLANE('',#23729); +#23731=ORIENTED_EDGE('',*,*,#22637,.F.); +#23733=ORIENTED_EDGE('',*,*,#23732,.F.); +#23734=ORIENTED_EDGE('',*,*,#23709,.T.); +#23736=ORIENTED_EDGE('',*,*,#23735,.T.); +#23738=ORIENTED_EDGE('',*,*,#23737,.F.); +#23739=EDGE_LOOP('',(#23731,#23733,#23734,#23736,#23738)); +#23740=FACE_OUTER_BOUND('',#23739,.F.); +#23742=CARTESIAN_POINT('',(2.52E1,-7.3E0,-2.8E1)); +#23743=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23744=DIRECTION('',(-8.257605375213E-2,-9.965847657609E-1,0.E0)); +#23745=AXIS2_PLACEMENT_3D('',#23742,#23743,#23744); +#23746=TOROIDAL_SURFACE('',#23745,2.5E0,5.E-1); +#23747=ORIENTED_EDGE('',*,*,#22635,.T.); +#23749=ORIENTED_EDGE('',*,*,#23748,.T.); +#23751=ORIENTED_EDGE('',*,*,#23750,.F.); +#23752=ORIENTED_EDGE('',*,*,#23732,.T.); +#23753=EDGE_LOOP('',(#23747,#23749,#23751,#23752)); +#23754=FACE_OUTER_BOUND('',#23753,.F.); +#23756=CARTESIAN_POINT('',(2.879687364248E1,-5.55E0,-2.8E1)); +#23757=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23758=DIRECTION('',(-9.606565731062E-1,-2.777389935674E-1,0.E0)); +#23759=AXIS2_PLACEMENT_3D('',#23756,#23757,#23758); +#23760=TOROIDAL_SURFACE('',#23759,1.5E0,5.E-1); +#23761=ORIENTED_EDGE('',*,*,#22633,.T.); +#23762=ORIENTED_EDGE('',*,*,#23316,.T.); +#23764=ORIENTED_EDGE('',*,*,#23763,.F.); +#23765=ORIENTED_EDGE('',*,*,#23748,.F.); +#23766=EDGE_LOOP('',(#23761,#23762,#23764,#23765)); +#23767=FACE_OUTER_BOUND('',#23766,.F.); +#23769=CARTESIAN_POINT('',(2.879687364248E1,-5.55E0,4.927608E1)); +#23770=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23771=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23772=AXIS2_PLACEMENT_3D('',#23769,#23770,#23771); +#23773=CYLINDRICAL_SURFACE('',#23772,1.E0); +#23774=ORIENTED_EDGE('',*,*,#23763,.T.); +#23775=ORIENTED_EDGE('',*,*,#23333,.F.); +#23776=ORIENTED_EDGE('',*,*,#23684,.F.); +#23778=ORIENTED_EDGE('',*,*,#23777,.T.); +#23779=EDGE_LOOP('',(#23774,#23775,#23776,#23778)); +#23780=FACE_OUTER_BOUND('',#23779,.F.); +#23782=CARTESIAN_POINT('',(2.52E1,-7.3E0,-2.2E1)); +#23783=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23784=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23785=AXIS2_PLACEMENT_3D('',#23782,#23783,#23784); +#23786=CYLINDRICAL_SURFACE('',#23785,3.E0); +#23787=ORIENTED_EDGE('',*,*,#23750,.T.); +#23788=ORIENTED_EDGE('',*,*,#23777,.F.); +#23789=ORIENTED_EDGE('',*,*,#23697,.F.); +#23790=ORIENTED_EDGE('',*,*,#23711,.T.); +#23791=EDGE_LOOP('',(#23787,#23788,#23789,#23790)); +#23792=FACE_OUTER_BOUND('',#23791,.F.); +#23794=CARTESIAN_POINT('',(0.E0,0.E0,-3.31E1)); +#23795=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23796=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23797=AXIS2_PLACEMENT_3D('',#23794,#23795,#23796); +#23798=PLANE('',#23797); +#23800=ORIENTED_EDGE('',*,*,#23799,.F.); +#23801=ORIENTED_EDGE('',*,*,#22506,.F.); +#23802=ORIENTED_EDGE('',*,*,#22533,.F.); +#23804=ORIENTED_EDGE('',*,*,#23803,.F.); +#23805=EDGE_LOOP('',(#23800,#23801,#23802,#23804)); +#23806=FACE_OUTER_BOUND('',#23805,.F.); +#23808=CARTESIAN_POINT('',(0.E0,0.E0,-3.31E1)); +#23809=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23810=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23811=AXIS2_PLACEMENT_3D('',#23808,#23809,#23810); +#23812=PLANE('',#23811); +#23814=ORIENTED_EDGE('',*,*,#23813,.T.); +#23816=ORIENTED_EDGE('',*,*,#23815,.F.); +#23817=ORIENTED_EDGE('',*,*,#23735,.F.); +#23818=ORIENTED_EDGE('',*,*,#23721,.F.); +#23819=EDGE_LOOP('',(#23814,#23816,#23817,#23818)); +#23820=FACE_OUTER_BOUND('',#23819,.F.); +#23822=CARTESIAN_POINT('',(-1.895E1,-1.095E1,-2.85E1)); +#23823=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23824=DIRECTION('',(0.E0,1.E0,0.E0)); +#23825=AXIS2_PLACEMENT_3D('',#23822,#23823,#23824); +#23826=PLANE('',#23825); +#23828=ORIENTED_EDGE('',*,*,#23827,.T.); +#23830=ORIENTED_EDGE('',*,*,#23829,.T.); +#23831=ORIENTED_EDGE('',*,*,#22508,.F.); +#23832=ORIENTED_EDGE('',*,*,#23799,.T.); +#23834=ORIENTED_EDGE('',*,*,#23833,.F.); +#23835=ORIENTED_EDGE('',*,*,#22618,.F.); +#23836=EDGE_LOOP('',(#23828,#23830,#23831,#23832,#23834,#23835)); +#23837=FACE_OUTER_BOUND('',#23836,.F.); +#23839=CARTESIAN_POINT('',(2.275E1,-1.095E1,-2.2E1)); +#23840=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23841=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23842=AXIS2_PLACEMENT_3D('',#23839,#23840,#23841); +#23843=PLANE('',#23842); +#23845=ORIENTED_EDGE('',*,*,#23844,.F.); +#23847=ORIENTED_EDGE('',*,*,#23846,.T.); +#23849=ORIENTED_EDGE('',*,*,#23848,.T.); +#23850=ORIENTED_EDGE('',*,*,#23827,.F.); +#23851=ORIENTED_EDGE('',*,*,#22616,.T.); +#23853=ORIENTED_EDGE('',*,*,#23852,.F.); +#23854=ORIENTED_EDGE('',*,*,#20387,.F.); +#23856=ORIENTED_EDGE('',*,*,#23855,.T.); +#23858=ORIENTED_EDGE('',*,*,#23857,.F.); +#23860=ORIENTED_EDGE('',*,*,#23859,.T.); +#23861=EDGE_LOOP('',(#23845,#23847,#23849,#23850,#23851,#23853,#23854,#23856, +#23858,#23860)); +#23862=FACE_OUTER_BOUND('',#23861,.F.); +#23864=CARTESIAN_POINT('',(2.275E1,-1.095E1,-2.2E1)); +#23865=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23866=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23867=AXIS2_PLACEMENT_3D('',#23864,#23865,#23866); +#23868=PLANE('',#23867); +#23870=ORIENTED_EDGE('',*,*,#23869,.F.); +#23872=ORIENTED_EDGE('',*,*,#23871,.F.); +#23874=ORIENTED_EDGE('',*,*,#23873,.F.); +#23876=ORIENTED_EDGE('',*,*,#23875,.F.); +#23878=ORIENTED_EDGE('',*,*,#23877,.F.); +#23879=ORIENTED_EDGE('',*,*,#20419,.F.); +#23881=ORIENTED_EDGE('',*,*,#23880,.T.); +#23882=ORIENTED_EDGE('',*,*,#22643,.T.); +#23884=ORIENTED_EDGE('',*,*,#23883,.T.); +#23886=ORIENTED_EDGE('',*,*,#23885,.T.); +#23887=EDGE_LOOP('',(#23870,#23872,#23874,#23876,#23878,#23879,#23881,#23882, +#23884,#23886)); +#23888=FACE_OUTER_BOUND('',#23887,.F.); +#23890=CARTESIAN_POINT('',(-1.777E1,-1.16E1,-3.28E1)); +#23891=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23892=DIRECTION('',(1.E0,0.E0,0.E0)); +#23893=AXIS2_PLACEMENT_3D('',#23890,#23891,#23892); +#23894=PLANE('',#23893); +#23895=ORIENTED_EDGE('',*,*,#23844,.T.); +#23897=ORIENTED_EDGE('',*,*,#23896,.T.); +#23899=ORIENTED_EDGE('',*,*,#23898,.T.); +#23901=ORIENTED_EDGE('',*,*,#23900,.T.); +#23902=EDGE_LOOP('',(#23895,#23897,#23899,#23901)); +#23903=FACE_OUTER_BOUND('',#23902,.F.); +#23905=CARTESIAN_POINT('',(-1.647E1,-1.095E1,-2.2E1)); +#23906=DIRECTION('',(1.E0,0.E0,0.E0)); +#23907=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23908=AXIS2_PLACEMENT_3D('',#23905,#23906,#23907); +#23909=PLANE('',#23908); +#23910=ORIENTED_EDGE('',*,*,#23896,.F.); +#23912=ORIENTED_EDGE('',*,*,#23911,.T.); +#23914=ORIENTED_EDGE('',*,*,#23913,.T.); +#23916=ORIENTED_EDGE('',*,*,#23915,.F.); +#23917=EDGE_LOOP('',(#23910,#23912,#23914,#23916)); +#23918=FACE_OUTER_BOUND('',#23917,.F.); +#23920=CARTESIAN_POINT('',(-1.647E1,-1.095E1,-2.2E1)); +#23921=DIRECTION('',(1.E0,0.E0,0.E0)); +#23922=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23923=AXIS2_PLACEMENT_3D('',#23920,#23921,#23922); +#23924=PLANE('',#23923); +#23926=ORIENTED_EDGE('',*,*,#23925,.T.); +#23928=ORIENTED_EDGE('',*,*,#23927,.F.); +#23929=ORIENTED_EDGE('',*,*,#20381,.F.); +#23931=ORIENTED_EDGE('',*,*,#23930,.T.); +#23932=EDGE_LOOP('',(#23926,#23928,#23929,#23931)); +#23933=FACE_OUTER_BOUND('',#23932,.F.); +#23935=CARTESIAN_POINT('',(-1.677E1,-1.065E1,-2.2E1)); +#23936=DIRECTION('',(7.071067811865E-1,7.071067811865E-1,0.E0)); +#23937=DIRECTION('',(7.071067811865E-1,-7.071067811865E-1,0.E0)); +#23938=AXIS2_PLACEMENT_3D('',#23935,#23936,#23937); +#23939=PLANE('',#23938); +#23940=ORIENTED_EDGE('',*,*,#23859,.F.); +#23941=ORIENTED_EDGE('',*,*,#23930,.F.); +#23942=ORIENTED_EDGE('',*,*,#20379,.F.); +#23944=ORIENTED_EDGE('',*,*,#23943,.T.); +#23946=ORIENTED_EDGE('',*,*,#23945,.T.); +#23947=ORIENTED_EDGE('',*,*,#23911,.F.); +#23948=EDGE_LOOP('',(#23940,#23941,#23942,#23944,#23946,#23947)); +#23949=FACE_OUTER_BOUND('',#23948,.F.); +#23951=CARTESIAN_POINT('',(-1.677E1,-9.55E0,-2.2E1)); +#23952=DIRECTION('',(1.E0,0.E0,0.E0)); +#23953=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23954=AXIS2_PLACEMENT_3D('',#23951,#23952,#23953); +#23955=PLANE('',#23954); +#23957=ORIENTED_EDGE('',*,*,#23956,.T.); +#23959=ORIENTED_EDGE('',*,*,#23958,.T.); +#23960=ORIENTED_EDGE('',*,*,#23943,.F.); +#23961=ORIENTED_EDGE('',*,*,#20377,.F.); +#23962=EDGE_LOOP('',(#23957,#23959,#23960,#23961)); +#23963=FACE_OUTER_BOUND('',#23962,.F.); +#23965=CARTESIAN_POINT('',(-1.647E1,-9.25E0,-2.2E1)); +#23966=DIRECTION('',(7.071067811866E-1,-7.071067811865E-1,0.E0)); +#23967=DIRECTION('',(-7.071067811865E-1,-7.071067811866E-1,0.E0)); +#23968=AXIS2_PLACEMENT_3D('',#23965,#23966,#23967); +#23969=PLANE('',#23968); +#23971=ORIENTED_EDGE('',*,*,#23970,.T.); +#23973=ORIENTED_EDGE('',*,*,#23972,.T.); +#23974=ORIENTED_EDGE('',*,*,#23956,.F.); +#23975=ORIENTED_EDGE('',*,*,#20375,.F.); +#23976=EDGE_LOOP('',(#23971,#23973,#23974,#23975)); +#23977=FACE_OUTER_BOUND('',#23976,.F.); +#23979=CARTESIAN_POINT('',(-1.647E1,-8.1E0,-2.2E1)); +#23980=DIRECTION('',(1.E0,0.E0,0.E0)); +#23981=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23982=AXIS2_PLACEMENT_3D('',#23979,#23980,#23981); +#23983=PLANE('',#23982); +#23985=ORIENTED_EDGE('',*,*,#23984,.F.); +#23987=ORIENTED_EDGE('',*,*,#23986,.T.); +#23988=ORIENTED_EDGE('',*,*,#23970,.F.); +#23989=ORIENTED_EDGE('',*,*,#20373,.F.); +#23990=EDGE_LOOP('',(#23985,#23987,#23988,#23989)); +#23991=FACE_OUTER_BOUND('',#23990,.F.); +#23993=CARTESIAN_POINT('',(-1.805E1,-5.568671030682E0,-2.2E1)); +#23994=DIRECTION('',(8.483115323795E-1,5.294974447832E-1,0.E0)); +#23995=DIRECTION('',(5.294974447832E-1,-8.483115323795E-1,0.E0)); +#23996=AXIS2_PLACEMENT_3D('',#23993,#23994,#23995); +#23997=PLANE('',#23996); +#23998=ORIENTED_EDGE('',*,*,#22512,.T.); +#24000=ORIENTED_EDGE('',*,*,#23999,.T.); +#24001=ORIENTED_EDGE('',*,*,#23984,.T.); +#24002=ORIENTED_EDGE('',*,*,#20371,.F.); +#24003=EDGE_LOOP('',(#23998,#24000,#24001,#24002)); +#24004=FACE_OUTER_BOUND('',#24003,.F.); +#24006=CARTESIAN_POINT('',(0.E0,0.E0,-3.78E1)); +#24007=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24008=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24009=AXIS2_PLACEMENT_3D('',#24006,#24007,#24008); +#24010=PLANE('',#24009); +#24011=ORIENTED_EDGE('',*,*,#23986,.F.); +#24012=ORIENTED_EDGE('',*,*,#23999,.F.); +#24013=ORIENTED_EDGE('',*,*,#22510,.F.); +#24014=ORIENTED_EDGE('',*,*,#23829,.F.); +#24015=ORIENTED_EDGE('',*,*,#23848,.F.); +#24017=ORIENTED_EDGE('',*,*,#24016,.F.); +#24019=ORIENTED_EDGE('',*,*,#24018,.F.); +#24020=ORIENTED_EDGE('',*,*,#23913,.F.); +#24021=ORIENTED_EDGE('',*,*,#23945,.F.); +#24022=ORIENTED_EDGE('',*,*,#23958,.F.); +#24023=ORIENTED_EDGE('',*,*,#23972,.F.); +#24024=EDGE_LOOP('',(#24011,#24012,#24013,#24014,#24015,#24017,#24019,#24020, +#24021,#24022,#24023)); +#24025=FACE_OUTER_BOUND('',#24024,.F.); +#24027=CARTESIAN_POINT('',(0.E0,0.E0,-3.78E1)); +#24028=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24029=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24030=AXIS2_PLACEMENT_3D('',#24027,#24028,#24029); +#24031=PLANE('',#24030); +#24033=ORIENTED_EDGE('',*,*,#24032,.F.); +#24035=ORIENTED_EDGE('',*,*,#24034,.F.); +#24037=ORIENTED_EDGE('',*,*,#24036,.F.); +#24039=ORIENTED_EDGE('',*,*,#24038,.F.); +#24041=ORIENTED_EDGE('',*,*,#24040,.F.); +#24043=ORIENTED_EDGE('',*,*,#24042,.F.); +#24045=ORIENTED_EDGE('',*,*,#24044,.F.); +#24047=ORIENTED_EDGE('',*,*,#24046,.F.); +#24048=ORIENTED_EDGE('',*,*,#23885,.F.); +#24050=ORIENTED_EDGE('',*,*,#24049,.F.); +#24051=ORIENTED_EDGE('',*,*,#23717,.F.); +#24052=EDGE_LOOP('',(#24033,#24035,#24037,#24039,#24041,#24043,#24045,#24047, +#24048,#24050,#24051)); +#24053=FACE_OUTER_BOUND('',#24052,.F.); +#24055=CARTESIAN_POINT('',(-1.777E1,-1.16E1,-2.2E1)); +#24056=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24057=DIRECTION('',(0.E0,1.E0,0.E0)); +#24058=AXIS2_PLACEMENT_3D('',#24055,#24056,#24057); +#24059=PLANE('',#24058); +#24060=ORIENTED_EDGE('',*,*,#23900,.F.); +#24062=ORIENTED_EDGE('',*,*,#24061,.T.); +#24063=ORIENTED_EDGE('',*,*,#24016,.T.); +#24064=ORIENTED_EDGE('',*,*,#23846,.F.); +#24065=EDGE_LOOP('',(#24060,#24062,#24063,#24064)); +#24066=FACE_OUTER_BOUND('',#24065,.F.); +#24068=CARTESIAN_POINT('',(-1.777E1,-1.16E1,-2.2E1)); +#24069=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24070=DIRECTION('',(0.E0,1.E0,0.E0)); +#24071=AXIS2_PLACEMENT_3D('',#24068,#24069,#24070); +#24072=PLANE('',#24071); +#24074=ORIENTED_EDGE('',*,*,#24073,.T.); +#24075=ORIENTED_EDGE('',*,*,#23855,.F.); +#24076=ORIENTED_EDGE('',*,*,#20385,.F.); +#24078=ORIENTED_EDGE('',*,*,#24077,.T.); +#24079=EDGE_LOOP('',(#24074,#24075,#24076,#24078)); +#24080=FACE_OUTER_BOUND('',#24079,.F.); +#24082=CARTESIAN_POINT('',(-1.647E1,-1.16E1,-2.2E1)); +#24083=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24084=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24085=AXIS2_PLACEMENT_3D('',#24082,#24083,#24084); +#24086=PLANE('',#24085); +#24087=ORIENTED_EDGE('',*,*,#23898,.F.); +#24088=ORIENTED_EDGE('',*,*,#23915,.T.); +#24089=ORIENTED_EDGE('',*,*,#24018,.T.); +#24090=ORIENTED_EDGE('',*,*,#24061,.F.); +#24091=EDGE_LOOP('',(#24087,#24088,#24089,#24090)); +#24092=FACE_OUTER_BOUND('',#24091,.F.); +#24094=CARTESIAN_POINT('',(-1.647E1,-1.16E1,-2.2E1)); +#24095=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24096=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24097=AXIS2_PLACEMENT_3D('',#24094,#24095,#24096); +#24098=PLANE('',#24097); +#24100=ORIENTED_EDGE('',*,*,#24099,.T.); +#24101=ORIENTED_EDGE('',*,*,#24077,.F.); +#24102=ORIENTED_EDGE('',*,*,#20383,.F.); +#24103=ORIENTED_EDGE('',*,*,#23927,.T.); +#24104=EDGE_LOOP('',(#24100,#24101,#24102,#24103)); +#24105=FACE_OUTER_BOUND('',#24104,.F.); +#24107=CARTESIAN_POINT('',(-1.647E1,-1.16E1,-2.4E1)); +#24108=DIRECTION('',(0.E0,0.E0,1.E0)); +#24109=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24110=AXIS2_PLACEMENT_3D('',#24107,#24108,#24109); +#24111=PLANE('',#24110); +#24112=ORIENTED_EDGE('',*,*,#23857,.T.); +#24113=ORIENTED_EDGE('',*,*,#24073,.F.); +#24114=ORIENTED_EDGE('',*,*,#24099,.F.); +#24115=ORIENTED_EDGE('',*,*,#23925,.F.); +#24116=EDGE_LOOP('',(#24112,#24113,#24114,#24115)); +#24117=FACE_OUTER_BOUND('',#24116,.F.); +#24119=CARTESIAN_POINT('',(1.647E1,-8.1E0,-2.2E1)); +#24120=DIRECTION('',(-8.483115323795E-1,5.294974447832E-1,0.E0)); +#24121=DIRECTION('',(5.294974447832E-1,8.483115323795E-1,0.E0)); +#24122=AXIS2_PLACEMENT_3D('',#24119,#24120,#24121); +#24123=PLANE('',#24122); +#24125=ORIENTED_EDGE('',*,*,#24124,.F.); +#24126=ORIENTED_EDGE('',*,*,#24032,.T.); +#24127=ORIENTED_EDGE('',*,*,#23715,.F.); +#24128=ORIENTED_EDGE('',*,*,#20435,.F.); +#24129=EDGE_LOOP('',(#24125,#24126,#24127,#24128)); +#24130=FACE_OUTER_BOUND('',#24129,.F.); +#24132=CARTESIAN_POINT('',(1.647E1,-9.25E0,-2.2E1)); +#24133=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24134=DIRECTION('',(0.E0,1.E0,0.E0)); +#24135=AXIS2_PLACEMENT_3D('',#24132,#24133,#24134); +#24136=PLANE('',#24135); +#24138=ORIENTED_EDGE('',*,*,#24137,.T.); +#24139=ORIENTED_EDGE('',*,*,#24034,.T.); +#24140=ORIENTED_EDGE('',*,*,#24124,.T.); +#24141=ORIENTED_EDGE('',*,*,#20433,.F.); +#24142=EDGE_LOOP('',(#24138,#24139,#24140,#24141)); +#24143=FACE_OUTER_BOUND('',#24142,.F.); +#24145=CARTESIAN_POINT('',(1.677E1,-9.55E0,-2.2E1)); +#24146=DIRECTION('',(-7.071067811866E-1,-7.071067811865E-1,0.E0)); +#24147=DIRECTION('',(-7.071067811865E-1,7.071067811866E-1,0.E0)); +#24148=AXIS2_PLACEMENT_3D('',#24145,#24146,#24147); +#24149=PLANE('',#24148); +#24151=ORIENTED_EDGE('',*,*,#24150,.T.); +#24152=ORIENTED_EDGE('',*,*,#24036,.T.); +#24153=ORIENTED_EDGE('',*,*,#24137,.F.); +#24154=ORIENTED_EDGE('',*,*,#20431,.F.); +#24155=EDGE_LOOP('',(#24151,#24152,#24153,#24154)); +#24156=FACE_OUTER_BOUND('',#24155,.F.); +#24158=CARTESIAN_POINT('',(1.677E1,-1.065E1,-2.2E1)); +#24159=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24160=DIRECTION('',(0.E0,1.E0,0.E0)); +#24161=AXIS2_PLACEMENT_3D('',#24158,#24159,#24160); +#24162=PLANE('',#24161); +#24164=ORIENTED_EDGE('',*,*,#24163,.T.); +#24165=ORIENTED_EDGE('',*,*,#24038,.T.); +#24166=ORIENTED_EDGE('',*,*,#24150,.F.); +#24167=ORIENTED_EDGE('',*,*,#20429,.F.); +#24168=EDGE_LOOP('',(#24164,#24165,#24166,#24167)); +#24169=FACE_OUTER_BOUND('',#24168,.F.); +#24171=CARTESIAN_POINT('',(1.647E1,-1.095E1,-2.2E1)); +#24172=DIRECTION('',(-7.071067811865E-1,7.071067811865E-1,0.E0)); +#24173=DIRECTION('',(7.071067811865E-1,7.071067811865E-1,0.E0)); +#24174=AXIS2_PLACEMENT_3D('',#24171,#24172,#24173); +#24175=PLANE('',#24174); +#24176=ORIENTED_EDGE('',*,*,#23873,.T.); +#24178=ORIENTED_EDGE('',*,*,#24177,.T.); +#24179=ORIENTED_EDGE('',*,*,#24040,.T.); +#24180=ORIENTED_EDGE('',*,*,#24163,.F.); +#24181=ORIENTED_EDGE('',*,*,#20427,.F.); +#24183=ORIENTED_EDGE('',*,*,#24182,.T.); +#24184=EDGE_LOOP('',(#24176,#24178,#24179,#24180,#24181,#24183)); +#24185=FACE_OUTER_BOUND('',#24184,.F.); +#24187=CARTESIAN_POINT('',(1.647E1,-1.16E1,-2.2E1)); +#24188=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24189=DIRECTION('',(0.E0,1.E0,0.E0)); +#24190=AXIS2_PLACEMENT_3D('',#24187,#24188,#24189); +#24191=PLANE('',#24190); +#24193=ORIENTED_EDGE('',*,*,#24192,.T.); +#24195=ORIENTED_EDGE('',*,*,#24194,.T.); +#24196=ORIENTED_EDGE('',*,*,#24182,.F.); +#24197=ORIENTED_EDGE('',*,*,#20425,.F.); +#24198=EDGE_LOOP('',(#24193,#24195,#24196,#24197)); +#24199=FACE_OUTER_BOUND('',#24198,.F.); +#24201=CARTESIAN_POINT('',(1.647E1,-1.16E1,-2.2E1)); +#24202=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24203=DIRECTION('',(0.E0,1.E0,0.E0)); +#24204=AXIS2_PLACEMENT_3D('',#24201,#24202,#24203); +#24205=PLANE('',#24204); +#24207=ORIENTED_EDGE('',*,*,#24206,.F.); +#24209=ORIENTED_EDGE('',*,*,#24208,.T.); +#24210=ORIENTED_EDGE('',*,*,#24042,.T.); +#24211=ORIENTED_EDGE('',*,*,#24177,.F.); +#24212=EDGE_LOOP('',(#24207,#24209,#24210,#24211)); +#24213=FACE_OUTER_BOUND('',#24212,.F.); +#24215=CARTESIAN_POINT('',(1.777E1,-1.16E1,-2.2E1)); +#24216=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24217=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24218=AXIS2_PLACEMENT_3D('',#24215,#24216,#24217); +#24219=PLANE('',#24218); +#24221=ORIENTED_EDGE('',*,*,#24220,.T.); +#24223=ORIENTED_EDGE('',*,*,#24222,.T.); +#24224=ORIENTED_EDGE('',*,*,#24192,.F.); +#24225=ORIENTED_EDGE('',*,*,#20423,.F.); +#24226=EDGE_LOOP('',(#24221,#24223,#24224,#24225)); +#24227=FACE_OUTER_BOUND('',#24226,.F.); +#24229=CARTESIAN_POINT('',(1.777E1,-1.16E1,-2.2E1)); +#24230=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24231=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24232=AXIS2_PLACEMENT_3D('',#24229,#24230,#24231); +#24233=PLANE('',#24232); +#24235=ORIENTED_EDGE('',*,*,#24234,.F.); +#24237=ORIENTED_EDGE('',*,*,#24236,.T.); +#24238=ORIENTED_EDGE('',*,*,#24044,.T.); +#24239=ORIENTED_EDGE('',*,*,#24208,.F.); +#24240=EDGE_LOOP('',(#24235,#24237,#24238,#24239)); +#24241=FACE_OUTER_BOUND('',#24240,.F.); +#24243=CARTESIAN_POINT('',(1.777E1,-1.095E1,-2.2E1)); +#24244=DIRECTION('',(1.E0,0.E0,0.E0)); +#24245=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24246=AXIS2_PLACEMENT_3D('',#24243,#24244,#24245); +#24247=PLANE('',#24246); +#24248=ORIENTED_EDGE('',*,*,#23877,.T.); +#24250=ORIENTED_EDGE('',*,*,#24249,.T.); +#24251=ORIENTED_EDGE('',*,*,#24220,.F.); +#24252=ORIENTED_EDGE('',*,*,#20421,.F.); +#24253=EDGE_LOOP('',(#24248,#24250,#24251,#24252)); +#24254=FACE_OUTER_BOUND('',#24253,.F.); +#24256=CARTESIAN_POINT('',(1.777E1,-1.095E1,-2.2E1)); +#24257=DIRECTION('',(1.E0,0.E0,0.E0)); +#24258=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24259=AXIS2_PLACEMENT_3D('',#24256,#24257,#24258); +#24260=PLANE('',#24259); +#24262=ORIENTED_EDGE('',*,*,#24261,.F.); +#24263=ORIENTED_EDGE('',*,*,#23869,.T.); +#24264=ORIENTED_EDGE('',*,*,#24046,.T.); +#24265=ORIENTED_EDGE('',*,*,#24236,.F.); +#24266=EDGE_LOOP('',(#24262,#24263,#24264,#24265)); +#24267=FACE_OUTER_BOUND('',#24266,.F.); +#24269=CARTESIAN_POINT('',(1.777E1,-1.16E1,-2.4E1)); +#24270=DIRECTION('',(0.E0,0.E0,1.E0)); +#24271=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24272=AXIS2_PLACEMENT_3D('',#24269,#24270,#24271); +#24273=PLANE('',#24272); +#24274=ORIENTED_EDGE('',*,*,#24249,.F.); +#24275=ORIENTED_EDGE('',*,*,#23875,.T.); +#24276=ORIENTED_EDGE('',*,*,#24194,.F.); +#24277=ORIENTED_EDGE('',*,*,#24222,.F.); +#24278=EDGE_LOOP('',(#24274,#24275,#24276,#24277)); +#24279=FACE_OUTER_BOUND('',#24278,.F.); +#24281=CARTESIAN_POINT('',(1.647E1,-1.16E1,-3.28E1)); +#24282=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24283=DIRECTION('',(1.E0,0.E0,0.E0)); +#24284=AXIS2_PLACEMENT_3D('',#24281,#24282,#24283); +#24285=PLANE('',#24284); +#24286=ORIENTED_EDGE('',*,*,#24261,.T.); +#24287=ORIENTED_EDGE('',*,*,#24234,.T.); +#24288=ORIENTED_EDGE('',*,*,#24206,.T.); +#24289=ORIENTED_EDGE('',*,*,#23871,.T.); +#24290=EDGE_LOOP('',(#24286,#24287,#24288,#24289)); +#24291=FACE_OUTER_BOUND('',#24290,.F.); +#24293=CARTESIAN_POINT('',(1.895E1,-7.218671030682E0,-2.85E1)); +#24294=DIRECTION('',(1.E0,0.E0,0.E0)); +#24295=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24296=AXIS2_PLACEMENT_3D('',#24293,#24294,#24295); +#24297=PLANE('',#24296); +#24299=ORIENTED_EDGE('',*,*,#24298,.T.); +#24300=ORIENTED_EDGE('',*,*,#23813,.F.); +#24301=ORIENTED_EDGE('',*,*,#23719,.T.); +#24302=ORIENTED_EDGE('',*,*,#24049,.T.); +#24303=ORIENTED_EDGE('',*,*,#23883,.F.); +#24304=ORIENTED_EDGE('',*,*,#22641,.F.); +#24305=EDGE_LOOP('',(#24299,#24300,#24301,#24302,#24303,#24304)); +#24306=FACE_OUTER_BOUND('',#24305,.F.); +#24308=CARTESIAN_POINT('',(2.275E1,-7.218671030682E0,-2.85E1)); +#24309=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24310=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24311=AXIS2_PLACEMENT_3D('',#24308,#24309,#24310); +#24312=PLANE('',#24311); +#24313=ORIENTED_EDGE('',*,*,#23815,.T.); +#24314=ORIENTED_EDGE('',*,*,#24298,.F.); +#24315=ORIENTED_EDGE('',*,*,#22639,.F.); +#24316=ORIENTED_EDGE('',*,*,#23737,.T.); +#24317=EDGE_LOOP('',(#24313,#24314,#24315,#24316)); +#24318=FACE_OUTER_BOUND('',#24317,.F.); +#24320=ORIENTED_EDGE('',*,*,#24319,.T.); +#24322=ORIENTED_EDGE('',*,*,#24321,.T.); +#24323=EDGE_LOOP('',(#24320,#24322)); +#24324=FACE_BOUND('',#24323,.F.); +#24326=CARTESIAN_POINT('',(2.09E1,-7.218671030682E0,-3.13E1)); +#24327=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24328=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24329=AXIS2_PLACEMENT_3D('',#24326,#24327,#24328); +#24330=CYLINDRICAL_SURFACE('',#24329,1.E0); +#24332=ORIENTED_EDGE('',*,*,#24331,.T.); +#24334=ORIENTED_EDGE('',*,*,#24333,.F.); +#24336=ORIENTED_EDGE('',*,*,#24335,.T.); +#24338=ORIENTED_EDGE('',*,*,#24337,.F.); +#24339=ORIENTED_EDGE('',*,*,#24319,.F.); +#24341=ORIENTED_EDGE('',*,*,#24340,.T.); +#24343=ORIENTED_EDGE('',*,*,#24342,.T.); +#24344=EDGE_LOOP('',(#24332,#24334,#24336,#24338,#24339,#24341,#24343)); +#24345=FACE_OUTER_BOUND('',#24344,.F.); +#24347=CARTESIAN_POINT('',(2.09E1,-1.463117103068E1,-3.13E1)); +#24348=DIRECTION('',(0.E0,1.E0,0.E0)); +#24349=DIRECTION('',(0.E0,0.E0,1.E0)); +#24350=AXIS2_PLACEMENT_3D('',#24347,#24348,#24349); +#24351=CONICAL_SURFACE('',#24350,9.625000000026E-1,4.5E1); +#24353=ORIENTED_EDGE('',*,*,#24352,.T.); +#24355=ORIENTED_EDGE('',*,*,#24354,.T.); +#24357=ORIENTED_EDGE('',*,*,#24356,.F.); +#24359=ORIENTED_EDGE('',*,*,#24358,.F.); +#24361=ORIENTED_EDGE('',*,*,#24360,.F.); +#24363=ORIENTED_EDGE('',*,*,#24362,.F.); +#24365=ORIENTED_EDGE('',*,*,#24364,.F.); +#24366=ORIENTED_EDGE('',*,*,#24331,.F.); +#24367=EDGE_LOOP('',(#24353,#24355,#24357,#24359,#24361,#24363,#24365,#24366)); +#24368=FACE_OUTER_BOUND('',#24367,.F.); +#24370=CARTESIAN_POINT('',(2.09E1,-1.488117103068E1,-3.13E1)); +#24371=DIRECTION('',(0.E0,1.E0,0.E0)); +#24372=DIRECTION('',(0.E0,0.E0,1.E0)); +#24373=AXIS2_PLACEMENT_3D('',#24370,#24371,#24372); +#24374=CONICAL_SURFACE('',#24373,7.125E-1,4.5E1); +#24375=ORIENTED_EDGE('',*,*,#24352,.F.); +#24376=ORIENTED_EDGE('',*,*,#24342,.F.); +#24378=ORIENTED_EDGE('',*,*,#24377,.F.); +#24379=ORIENTED_EDGE('',*,*,#24356,.T.); +#24381=ORIENTED_EDGE('',*,*,#24380,.T.); +#24382=EDGE_LOOP('',(#24375,#24376,#24378,#24379,#24381)); +#24383=FACE_OUTER_BOUND('',#24382,.F.); +#24385=CARTESIAN_POINT('',(2.09E1,-7.218671030682E0,-3.13E1)); +#24386=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24387=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24388=AXIS2_PLACEMENT_3D('',#24385,#24386,#24387); +#24389=CYLINDRICAL_SURFACE('',#24388,1.E0); +#24390=ORIENTED_EDGE('',*,*,#24358,.T.); +#24391=ORIENTED_EDGE('',*,*,#24377,.T.); +#24392=ORIENTED_EDGE('',*,*,#24340,.F.); +#24393=ORIENTED_EDGE('',*,*,#24321,.F.); +#24394=ORIENTED_EDGE('',*,*,#24337,.T.); +#24396=ORIENTED_EDGE('',*,*,#24395,.T.); +#24398=ORIENTED_EDGE('',*,*,#24397,.T.); +#24399=EDGE_LOOP('',(#24390,#24391,#24392,#24393,#24394,#24396,#24398)); +#24400=FACE_OUTER_BOUND('',#24399,.F.); +#24402=CARTESIAN_POINT('',(0.E0,-1.356867103068E1,-3.31E1)); +#24403=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24404=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24405=AXIS2_PLACEMENT_3D('',#24402,#24403,#24404); +#24406=PLANE('',#24405); +#24407=ORIENTED_EDGE('',*,*,#24335,.F.); +#24409=ORIENTED_EDGE('',*,*,#24408,.T.); +#24411=ORIENTED_EDGE('',*,*,#24410,.T.); +#24413=ORIENTED_EDGE('',*,*,#24412,.T.); +#24414=ORIENTED_EDGE('',*,*,#24395,.F.); +#24415=EDGE_LOOP('',(#24407,#24409,#24411,#24413,#24414)); +#24416=FACE_OUTER_BOUND('',#24415,.F.); +#24418=CARTESIAN_POINT('',(0.E0,-1.356867103068E1,-3.31E1)); +#24419=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24420=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24421=AXIS2_PLACEMENT_3D('',#24418,#24419,#24420); +#24422=PLANE('',#24421); +#24424=ORIENTED_EDGE('',*,*,#24423,.F.); +#24426=ORIENTED_EDGE('',*,*,#24425,.F.); +#24428=ORIENTED_EDGE('',*,*,#24427,.T.); +#24430=ORIENTED_EDGE('',*,*,#24429,.T.); +#24432=ORIENTED_EDGE('',*,*,#24431,.T.); +#24433=EDGE_LOOP('',(#24424,#24426,#24428,#24430,#24432)); +#24434=FACE_OUTER_BOUND('',#24433,.F.); +#24436=CARTESIAN_POINT('',(2.156E1,-1.516867103068E1,-3.205126559884E1)); +#24437=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24438=DIRECTION('',(1.E0,0.E0,0.E0)); +#24439=AXIS2_PLACEMENT_3D('',#24436,#24437,#24438); +#24440=PLANE('',#24439); +#24441=ORIENTED_EDGE('',*,*,#24364,.T.); +#24443=ORIENTED_EDGE('',*,*,#24442,.T.); +#24444=ORIENTED_EDGE('',*,*,#24408,.F.); +#24445=ORIENTED_EDGE('',*,*,#24333,.T.); +#24446=EDGE_LOOP('',(#24441,#24443,#24444,#24445)); +#24447=FACE_OUTER_BOUND('',#24446,.F.); +#24449=CARTESIAN_POINT('',(2.14E1,-1.516867103068E1,-3.13E1)); +#24450=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24451=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24452=AXIS2_PLACEMENT_3D('',#24449,#24450,#24451); +#24453=CYLINDRICAL_SURFACE('',#24452,1.E0); +#24454=ORIENTED_EDGE('',*,*,#24362,.T.); +#24456=ORIENTED_EDGE('',*,*,#24455,.T.); +#24457=ORIENTED_EDGE('',*,*,#24410,.F.); +#24458=ORIENTED_EDGE('',*,*,#24442,.F.); +#24459=EDGE_LOOP('',(#24454,#24456,#24457,#24458)); +#24460=FACE_OUTER_BOUND('',#24459,.F.); +#24462=CARTESIAN_POINT('',(2.206E1,-1.516867103068E1,-3.054873440116E1)); +#24463=DIRECTION('',(0.E0,0.E0,1.E0)); +#24464=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24465=AXIS2_PLACEMENT_3D('',#24462,#24463,#24464); +#24466=PLANE('',#24465); +#24467=ORIENTED_EDGE('',*,*,#24360,.T.); +#24468=ORIENTED_EDGE('',*,*,#24397,.F.); +#24469=ORIENTED_EDGE('',*,*,#24412,.F.); +#24470=ORIENTED_EDGE('',*,*,#24455,.F.); +#24471=EDGE_LOOP('',(#24467,#24468,#24469,#24470)); +#24472=FACE_OUTER_BOUND('',#24471,.F.); +#24474=CARTESIAN_POINT('',(-2.09E1,-7.218671030682E0,-3.13E1)); +#24475=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24476=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24477=AXIS2_PLACEMENT_3D('',#24474,#24475,#24476); +#24478=CYLINDRICAL_SURFACE('',#24477,1.E0); +#24480=ORIENTED_EDGE('',*,*,#24479,.T.); +#24482=ORIENTED_EDGE('',*,*,#24481,.T.); +#24484=ORIENTED_EDGE('',*,*,#24483,.F.); +#24486=ORIENTED_EDGE('',*,*,#24485,.F.); +#24488=ORIENTED_EDGE('',*,*,#24487,.T.); +#24489=ORIENTED_EDGE('',*,*,#24423,.T.); +#24491=ORIENTED_EDGE('',*,*,#24490,.T.); +#24492=EDGE_LOOP('',(#24480,#24482,#24484,#24486,#24488,#24489,#24491)); +#24493=FACE_OUTER_BOUND('',#24492,.F.); +#24495=CARTESIAN_POINT('',(-2.09E1,-1.463117103068E1,-3.13E1)); +#24496=DIRECTION('',(0.E0,1.E0,0.E0)); +#24497=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24498=AXIS2_PLACEMENT_3D('',#24495,#24496,#24497); +#24499=CONICAL_SURFACE('',#24498,9.625000000026E-1,4.5E1); +#24501=ORIENTED_EDGE('',*,*,#24500,.F.); +#24503=ORIENTED_EDGE('',*,*,#24502,.F.); +#24504=ORIENTED_EDGE('',*,*,#24479,.F.); +#24506=ORIENTED_EDGE('',*,*,#24505,.T.); +#24508=ORIENTED_EDGE('',*,*,#24507,.F.); +#24510=ORIENTED_EDGE('',*,*,#24509,.T.); +#24512=ORIENTED_EDGE('',*,*,#24511,.F.); +#24514=ORIENTED_EDGE('',*,*,#24513,.T.); +#24515=EDGE_LOOP('',(#24501,#24503,#24504,#24506,#24508,#24510,#24512,#24514)); +#24516=FACE_OUTER_BOUND('',#24515,.F.); +#24518=CARTESIAN_POINT('',(0.E0,-1.516867103068E1,-3.31E1)); +#24519=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24520=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24521=AXIS2_PLACEMENT_3D('',#24518,#24519,#24520); +#24522=PLANE('',#24521); +#24523=ORIENTED_EDGE('',*,*,#24500,.T.); +#24525=ORIENTED_EDGE('',*,*,#24524,.T.); +#24526=EDGE_LOOP('',(#24523,#24525)); +#24527=FACE_OUTER_BOUND('',#24526,.F.); +#24529=CARTESIAN_POINT('',(0.E0,-1.516867103068E1,-3.31E1)); +#24530=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24531=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24532=AXIS2_PLACEMENT_3D('',#24529,#24530,#24531); +#24533=PLANE('',#24532); +#24534=ORIENTED_EDGE('',*,*,#24354,.F.); +#24535=ORIENTED_EDGE('',*,*,#24380,.F.); +#24536=EDGE_LOOP('',(#24534,#24535)); +#24537=FACE_OUTER_BOUND('',#24536,.F.); +#24539=CARTESIAN_POINT('',(-2.09E1,-1.488117103068E1,-3.13E1)); +#24540=DIRECTION('',(0.E0,1.E0,0.E0)); +#24541=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24542=AXIS2_PLACEMENT_3D('',#24539,#24540,#24541); +#24543=CONICAL_SURFACE('',#24542,7.125E-1,4.5E1); +#24544=ORIENTED_EDGE('',*,*,#24524,.F.); +#24545=ORIENTED_EDGE('',*,*,#24513,.F.); +#24547=ORIENTED_EDGE('',*,*,#24546,.F.); +#24548=ORIENTED_EDGE('',*,*,#24481,.F.); +#24549=ORIENTED_EDGE('',*,*,#24502,.T.); +#24550=EDGE_LOOP('',(#24544,#24545,#24547,#24548,#24549)); +#24551=FACE_OUTER_BOUND('',#24550,.F.); +#24553=CARTESIAN_POINT('',(-2.09E1,-7.218671030682E0,-3.13E1)); +#24554=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24555=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24556=AXIS2_PLACEMENT_3D('',#24553,#24554,#24555); +#24557=CYLINDRICAL_SURFACE('',#24556,1.E0); +#24558=ORIENTED_EDGE('',*,*,#24511,.T.); +#24560=ORIENTED_EDGE('',*,*,#24559,.F.); +#24561=ORIENTED_EDGE('',*,*,#24425,.T.); +#24562=ORIENTED_EDGE('',*,*,#24487,.F.); +#24564=ORIENTED_EDGE('',*,*,#24563,.F.); +#24565=ORIENTED_EDGE('',*,*,#24483,.T.); +#24566=ORIENTED_EDGE('',*,*,#24546,.T.); +#24567=EDGE_LOOP('',(#24558,#24560,#24561,#24562,#24564,#24565,#24566)); +#24568=FACE_OUTER_BOUND('',#24567,.F.); +#24570=CARTESIAN_POINT('',(-2.156E1,-1.516867103068E1,-3.054873440116E1)); +#24571=DIRECTION('',(0.E0,0.E0,1.E0)); +#24572=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24573=AXIS2_PLACEMENT_3D('',#24570,#24571,#24572); +#24574=PLANE('',#24573); +#24575=ORIENTED_EDGE('',*,*,#24509,.F.); +#24577=ORIENTED_EDGE('',*,*,#24576,.T.); +#24578=ORIENTED_EDGE('',*,*,#24427,.F.); +#24579=ORIENTED_EDGE('',*,*,#24559,.T.); +#24580=EDGE_LOOP('',(#24575,#24577,#24578,#24579)); +#24581=FACE_OUTER_BOUND('',#24580,.F.); +#24583=CARTESIAN_POINT('',(-2.14E1,-1.516867103068E1,-3.13E1)); +#24584=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24585=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24586=AXIS2_PLACEMENT_3D('',#24583,#24584,#24585); +#24587=CYLINDRICAL_SURFACE('',#24586,1.E0); +#24588=ORIENTED_EDGE('',*,*,#24507,.T.); +#24590=ORIENTED_EDGE('',*,*,#24589,.T.); +#24591=ORIENTED_EDGE('',*,*,#24429,.F.); +#24592=ORIENTED_EDGE('',*,*,#24576,.F.); +#24593=EDGE_LOOP('',(#24588,#24590,#24591,#24592)); +#24594=FACE_OUTER_BOUND('',#24593,.F.); +#24596=CARTESIAN_POINT('',(-2.206E1,-1.516867103068E1,-3.205126559884E1)); +#24597=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24598=DIRECTION('',(1.E0,0.E0,0.E0)); +#24599=AXIS2_PLACEMENT_3D('',#24596,#24597,#24598); +#24600=PLANE('',#24599); +#24601=ORIENTED_EDGE('',*,*,#24505,.F.); +#24602=ORIENTED_EDGE('',*,*,#24490,.F.); +#24603=ORIENTED_EDGE('',*,*,#24431,.F.); +#24604=ORIENTED_EDGE('',*,*,#24589,.F.); +#24605=EDGE_LOOP('',(#24601,#24602,#24603,#24604)); +#24606=FACE_OUTER_BOUND('',#24605,.F.); +#24608=CARTESIAN_POINT('',(-1.895E1,-7.218671030682E0,-2.85E1)); +#24609=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24610=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24611=AXIS2_PLACEMENT_3D('',#24608,#24609,#24610); +#24612=PLANE('',#24611); +#24613=ORIENTED_EDGE('',*,*,#23803,.T.); +#24614=ORIENTED_EDGE('',*,*,#22531,.F.); +#24615=ORIENTED_EDGE('',*,*,#22620,.F.); +#24616=ORIENTED_EDGE('',*,*,#23833,.T.); +#24617=EDGE_LOOP('',(#24613,#24614,#24615,#24616)); +#24618=FACE_OUTER_BOUND('',#24617,.F.); +#24619=ORIENTED_EDGE('',*,*,#24485,.T.); +#24620=ORIENTED_EDGE('',*,*,#24563,.T.); +#24621=EDGE_LOOP('',(#24619,#24620)); +#24622=FACE_BOUND('',#24621,.F.); +#24624=CARTESIAN_POINT('',(-2.275E1,-1.095E1,-2.2E1)); +#24625=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24626=DIRECTION('',(0.E0,1.E0,0.E0)); +#24627=AXIS2_PLACEMENT_3D('',#24624,#24625,#24626); +#24628=PLANE('',#24627); +#24629=ORIENTED_EDGE('',*,*,#22614,.T.); +#24631=ORIENTED_EDGE('',*,*,#24630,.F.); +#24633=ORIENTED_EDGE('',*,*,#24632,.F.); +#24635=ORIENTED_EDGE('',*,*,#24634,.F.); +#24636=ORIENTED_EDGE('',*,*,#20389,.F.); +#24637=ORIENTED_EDGE('',*,*,#23852,.T.); +#24638=EDGE_LOOP('',(#24629,#24631,#24633,#24635,#24636,#24637)); +#24639=FACE_OUTER_BOUND('',#24638,.F.); +#24641=CARTESIAN_POINT('',(1.0965888E2,-9.8E0,-2.8E1)); +#24642=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24643=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24644=AXIS2_PLACEMENT_3D('',#24641,#24642,#24643); +#24645=CYLINDRICAL_SURFACE('',#24644,5.E-1); +#24646=ORIENTED_EDGE('',*,*,#22612,.T.); +#24648=ORIENTED_EDGE('',*,*,#24647,.T.); +#24650=ORIENTED_EDGE('',*,*,#24649,.F.); +#24651=ORIENTED_EDGE('',*,*,#24630,.T.); +#24652=EDGE_LOOP('',(#24646,#24648,#24650,#24651)); +#24653=FACE_OUTER_BOUND('',#24652,.F.); +#24655=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-2.8E1)); +#24656=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24657=DIRECTION('',(4.020274488477E-2,-9.991915428504E-1,0.E0)); +#24658=AXIS2_PLACEMENT_3D('',#24655,#24656,#24657); +#24659=TOROIDAL_SURFACE('',#24658,2.5E0,5.E-1); +#24660=ORIENTED_EDGE('',*,*,#22610,.T.); +#24662=ORIENTED_EDGE('',*,*,#24661,.T.); +#24664=ORIENTED_EDGE('',*,*,#24663,.F.); +#24665=ORIENTED_EDGE('',*,*,#24647,.F.); +#24666=EDGE_LOOP('',(#24660,#24662,#24664,#24665)); +#24667=FACE_OUTER_BOUND('',#24666,.F.); +#24669=CARTESIAN_POINT('',(-2.879687364248E1,-9.05E0,-2.8E1)); +#24670=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24671=DIRECTION('',(9.606565731062E-1,2.777389935674E-1,0.E0)); +#24672=AXIS2_PLACEMENT_3D('',#24669,#24670,#24671); +#24673=TOROIDAL_SURFACE('',#24672,1.5E0,5.E-1); +#24674=ORIENTED_EDGE('',*,*,#22608,.T.); +#24676=ORIENTED_EDGE('',*,*,#24675,.T.); +#24678=ORIENTED_EDGE('',*,*,#24677,.F.); +#24679=ORIENTED_EDGE('',*,*,#24661,.F.); +#24680=EDGE_LOOP('',(#24674,#24676,#24678,#24679)); +#24681=FACE_OUTER_BOUND('',#24680,.F.); +#24683=CARTESIAN_POINT('',(-2.867623289512E1,-7.55E0,-2.8E1)); +#24684=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24685=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24686=AXIS2_PLACEMENT_3D('',#24683,#24684,#24685); +#24687=CYLINDRICAL_SURFACE('',#24686,5.E-1); +#24688=ORIENTED_EDGE('',*,*,#22751,.T.); +#24690=ORIENTED_EDGE('',*,*,#24689,.F.); +#24691=ORIENTED_EDGE('',*,*,#24675,.F.); +#24692=ORIENTED_EDGE('',*,*,#22606,.T.); +#24693=EDGE_LOOP('',(#24688,#24690,#24691,#24692)); +#24694=FACE_OUTER_BOUND('',#24693,.F.); +#24696=CARTESIAN_POINT('',(-2.810473750966E1,-8.05E0,-2.2E1)); +#24697=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24698=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24699=AXIS2_PLACEMENT_3D('',#24696,#24697,#24698); +#24700=PLANE('',#24699); +#24701=ORIENTED_EDGE('',*,*,#24689,.T.); +#24702=ORIENTED_EDGE('',*,*,#22749,.T.); +#24704=ORIENTED_EDGE('',*,*,#24703,.F.); +#24706=ORIENTED_EDGE('',*,*,#24705,.F.); +#24708=ORIENTED_EDGE('',*,*,#24707,.T.); +#24709=EDGE_LOOP('',(#24701,#24702,#24704,#24706,#24708)); +#24710=FACE_OUTER_BOUND('',#24709,.F.); +#24712=CARTESIAN_POINT('',(-2.99E1,-8.55E0,-2.15E1)); +#24713=DIRECTION('',(0.E0,1.E0,0.E0)); +#24714=DIRECTION('',(2.502303232869E-1,0.E0,-9.681863381125E-1)); +#24715=AXIS2_PLACEMENT_3D('',#24712,#24713,#24714); +#24716=TOROIDAL_SURFACE('',#24715,1.E0,5.E-1); +#24718=ORIENTED_EDGE('',*,*,#24717,.F.); +#24720=ORIENTED_EDGE('',*,*,#24719,.T.); +#24721=ORIENTED_EDGE('',*,*,#24703,.T.); +#24722=ORIENTED_EDGE('',*,*,#22747,.T.); +#24723=EDGE_LOOP('',(#24718,#24720,#24721,#24722)); +#24724=FACE_OUTER_BOUND('',#24723,.F.); +#24726=CARTESIAN_POINT('',(-2.99E1,-1.117064074737E1,-2.15E1)); +#24727=DIRECTION('',(0.E0,1.E0,0.E0)); +#24728=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24729=AXIS2_PLACEMENT_3D('',#24726,#24727,#24728); +#24730=CYLINDRICAL_SURFACE('',#24729,5.E-1); +#24731=ORIENTED_EDGE('',*,*,#24717,.T.); +#24732=ORIENTED_EDGE('',*,*,#22745,.F.); +#24734=ORIENTED_EDGE('',*,*,#24733,.F.); +#24735=ORIENTED_EDGE('',*,*,#20399,.T.); +#24736=EDGE_LOOP('',(#24731,#24732,#24734,#24735)); +#24737=FACE_OUTER_BOUND('',#24736,.F.); +#24739=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-2.15E1)); +#24740=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24741=DIRECTION('',(2.412580843671E-2,-9.997089303229E-1,0.E0)); +#24742=AXIS2_PLACEMENT_3D('',#24739,#24740,#24741); +#24743=TOROIDAL_SURFACE('',#24742,4.5E0,5.E-1); +#24744=ORIENTED_EDGE('',*,*,#20401,.T.); +#24745=ORIENTED_EDGE('',*,*,#24733,.T.); +#24747=ORIENTED_EDGE('',*,*,#24746,.F.); +#24749=ORIENTED_EDGE('',*,*,#24748,.F.); +#24750=EDGE_LOOP('',(#24744,#24745,#24747,#24749)); +#24751=FACE_OUTER_BOUND('',#24750,.F.); +#24753=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-2.E1)); +#24754=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24755=DIRECTION('',(1.E0,0.E0,0.E0)); +#24756=AXIS2_PLACEMENT_3D('',#24753,#24754,#24755); +#24757=CYLINDRICAL_SURFACE('',#24756,5.E0); +#24758=ORIENTED_EDGE('',*,*,#24746,.T.); +#24759=ORIENTED_EDGE('',*,*,#22743,.F.); +#24760=ORIENTED_EDGE('',*,*,#23219,.T.); +#24762=ORIENTED_EDGE('',*,*,#24761,.T.); +#24763=EDGE_LOOP('',(#24758,#24759,#24760,#24762)); +#24764=FACE_OUTER_BOUND('',#24763,.F.); +#24766=CARTESIAN_POINT('',(2.54E1,-1.605E1,-2.E1)); +#24767=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24768=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24769=AXIS2_PLACEMENT_3D('',#24766,#24767,#24768); +#24770=PLANE('',#24769); +#24772=ORIENTED_EDGE('',*,*,#24771,.T.); +#24773=ORIENTED_EDGE('',*,*,#24761,.F.); +#24774=ORIENTED_EDGE('',*,*,#23233,.T.); +#24776=ORIENTED_EDGE('',*,*,#24775,.T.); +#24777=EDGE_LOOP('',(#24772,#24773,#24774,#24776)); +#24778=FACE_OUTER_BOUND('',#24777,.F.); +#24780=CARTESIAN_POINT('',(2.552064074737E1,-1.555E1,-2.15E1)); +#24781=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24782=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24783=AXIS2_PLACEMENT_3D('',#24780,#24781,#24782); +#24784=CYLINDRICAL_SURFACE('',#24783,5.E-1); +#24785=ORIENTED_EDGE('',*,*,#20403,.T.); +#24786=ORIENTED_EDGE('',*,*,#24748,.T.); +#24787=ORIENTED_EDGE('',*,*,#24771,.F.); +#24789=ORIENTED_EDGE('',*,*,#24788,.F.); +#24790=EDGE_LOOP('',(#24785,#24786,#24787,#24789)); +#24791=FACE_OUTER_BOUND('',#24790,.F.); +#24793=CARTESIAN_POINT('',(2.54E1,-1.105E1,-2.15E1)); +#24794=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24795=DIRECTION('',(9.997089303229E-1,2.412580843671E-2,0.E0)); +#24796=AXIS2_PLACEMENT_3D('',#24793,#24794,#24795); +#24797=TOROIDAL_SURFACE('',#24796,4.5E0,5.E-1); +#24798=ORIENTED_EDGE('',*,*,#20405,.T.); +#24799=ORIENTED_EDGE('',*,*,#24788,.T.); +#24801=ORIENTED_EDGE('',*,*,#24800,.F.); +#24803=ORIENTED_EDGE('',*,*,#24802,.F.); +#24804=EDGE_LOOP('',(#24798,#24799,#24801,#24803)); +#24805=FACE_OUTER_BOUND('',#24804,.F.); +#24807=CARTESIAN_POINT('',(2.54E1,-1.105E1,-2.E1)); +#24808=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24809=DIRECTION('',(1.E0,0.E0,0.E0)); +#24810=AXIS2_PLACEMENT_3D('',#24807,#24808,#24809); +#24811=CYLINDRICAL_SURFACE('',#24810,5.E0); +#24812=ORIENTED_EDGE('',*,*,#24800,.T.); +#24813=ORIENTED_EDGE('',*,*,#24775,.F.); +#24814=ORIENTED_EDGE('',*,*,#23247,.T.); +#24815=ORIENTED_EDGE('',*,*,#23282,.T.); +#24816=EDGE_LOOP('',(#24812,#24813,#24814,#24815)); +#24817=FACE_OUTER_BOUND('',#24816,.F.); +#24819=CARTESIAN_POINT('',(2.99E1,5.789556E1,-2.15E1)); +#24820=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24821=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24822=AXIS2_PLACEMENT_3D('',#24819,#24820,#24821); +#24823=CYLINDRICAL_SURFACE('',#24822,5.E-1); +#24825=ORIENTED_EDGE('',*,*,#24824,.T.); +#24826=ORIENTED_EDGE('',*,*,#20407,.T.); +#24827=ORIENTED_EDGE('',*,*,#24802,.T.); +#24828=ORIENTED_EDGE('',*,*,#23280,.F.); +#24829=EDGE_LOOP('',(#24825,#24826,#24827,#24828)); +#24830=FACE_OUTER_BOUND('',#24829,.F.); +#24832=CARTESIAN_POINT('',(2.99E1,-8.55E0,-2.15E1)); +#24833=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24834=DIRECTION('',(-7.063372212094E-1,0.E0,7.078755045445E-1)); +#24835=AXIS2_PLACEMENT_3D('',#24832,#24833,#24834); +#24836=TOROIDAL_SURFACE('',#24835,1.E0,5.E-1); +#24838=ORIENTED_EDGE('',*,*,#24837,.T.); +#24840=ORIENTED_EDGE('',*,*,#24839,.F.); +#24841=ORIENTED_EDGE('',*,*,#24824,.F.); +#24842=ORIENTED_EDGE('',*,*,#23278,.T.); +#24843=EDGE_LOOP('',(#24838,#24840,#24841,#24842)); +#24844=FACE_OUTER_BOUND('',#24843,.F.); +#24846=CARTESIAN_POINT('',(3.04E1,-8.05E0,-2.2E1)); +#24847=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24848=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24849=AXIS2_PLACEMENT_3D('',#24846,#24847,#24848); +#24850=PLANE('',#24849); +#24852=ORIENTED_EDGE('',*,*,#24851,.T.); +#24854=ORIENTED_EDGE('',*,*,#24853,.F.); +#24856=ORIENTED_EDGE('',*,*,#24855,.F.); +#24857=ORIENTED_EDGE('',*,*,#24837,.F.); +#24858=ORIENTED_EDGE('',*,*,#23276,.T.); +#24859=EDGE_LOOP('',(#24852,#24854,#24856,#24857,#24858)); +#24860=FACE_OUTER_BOUND('',#24859,.F.); +#24862=CARTESIAN_POINT('',(1.0965888E2,-7.55E0,-2.8E1)); +#24863=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24864=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24865=AXIS2_PLACEMENT_3D('',#24862,#24863,#24864); +#24866=CYLINDRICAL_SURFACE('',#24865,5.E-1); +#24867=ORIENTED_EDGE('',*,*,#23274,.T.); +#24868=ORIENTED_EDGE('',*,*,#22653,.T.); +#24870=ORIENTED_EDGE('',*,*,#24869,.T.); +#24871=ORIENTED_EDGE('',*,*,#24851,.F.); +#24872=EDGE_LOOP('',(#24867,#24868,#24870,#24871)); +#24873=FACE_OUTER_BOUND('',#24872,.F.); +#24875=CARTESIAN_POINT('',(2.879687364248E1,-9.05E0,-2.8E1)); +#24876=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24877=DIRECTION('',(1.705392343707E-1,9.853508865071E-1,0.E0)); +#24878=AXIS2_PLACEMENT_3D('',#24875,#24876,#24877); +#24879=TOROIDAL_SURFACE('',#24878,1.5E0,5.E-1); +#24880=ORIENTED_EDGE('',*,*,#22651,.T.); +#24882=ORIENTED_EDGE('',*,*,#24881,.T.); +#24884=ORIENTED_EDGE('',*,*,#24883,.F.); +#24885=ORIENTED_EDGE('',*,*,#24869,.F.); +#24886=EDGE_LOOP('',(#24880,#24882,#24884,#24885)); +#24887=FACE_OUTER_BOUND('',#24886,.F.); +#24889=CARTESIAN_POINT('',(2.52E1,-7.3E0,-2.8E1)); +#24890=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24891=DIRECTION('',(9.160801319551E-1,-4.009952516392E-1,0.E0)); +#24892=AXIS2_PLACEMENT_3D('',#24889,#24890,#24891); +#24893=TOROIDAL_SURFACE('',#24892,2.5E0,5.E-1); +#24894=ORIENTED_EDGE('',*,*,#22649,.T.); +#24896=ORIENTED_EDGE('',*,*,#24895,.T.); +#24898=ORIENTED_EDGE('',*,*,#24897,.F.); +#24899=ORIENTED_EDGE('',*,*,#24881,.F.); +#24900=EDGE_LOOP('',(#24894,#24896,#24898,#24899)); +#24901=FACE_OUTER_BOUND('',#24900,.F.); +#24903=CARTESIAN_POINT('',(2.532064074737E1,-9.8E0,-2.8E1)); +#24904=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24905=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24906=AXIS2_PLACEMENT_3D('',#24903,#24904,#24905); +#24907=CYLINDRICAL_SURFACE('',#24906,5.E-1); +#24909=ORIENTED_EDGE('',*,*,#24908,.F.); +#24910=ORIENTED_EDGE('',*,*,#24895,.F.); +#24911=ORIENTED_EDGE('',*,*,#22647,.T.); +#24913=ORIENTED_EDGE('',*,*,#24912,.T.); +#24914=EDGE_LOOP('',(#24909,#24910,#24911,#24913)); +#24915=FACE_OUTER_BOUND('',#24914,.F.); +#24917=CARTESIAN_POINT('',(2.52E1,-1.03E1,-2.2E1)); +#24918=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24919=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24920=AXIS2_PLACEMENT_3D('',#24917,#24918,#24919); +#24921=PLANE('',#24920); +#24922=ORIENTED_EDGE('',*,*,#24908,.T.); +#24924=ORIENTED_EDGE('',*,*,#24923,.F.); +#24926=ORIENTED_EDGE('',*,*,#24925,.F.); +#24928=ORIENTED_EDGE('',*,*,#24927,.T.); +#24929=EDGE_LOOP('',(#24922,#24924,#24926,#24928)); +#24930=FACE_OUTER_BOUND('',#24929,.F.); +#24932=CARTESIAN_POINT('',(2.275E1,-1.03E1,-2.2E1)); +#24933=DIRECTION('',(1.E0,0.E0,0.E0)); +#24934=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24935=AXIS2_PLACEMENT_3D('',#24932,#24933,#24934); +#24936=PLANE('',#24935); +#24937=ORIENTED_EDGE('',*,*,#24923,.T.); +#24938=ORIENTED_EDGE('',*,*,#24912,.F.); +#24939=ORIENTED_EDGE('',*,*,#22645,.T.); +#24940=ORIENTED_EDGE('',*,*,#23880,.F.); +#24941=ORIENTED_EDGE('',*,*,#20417,.F.); +#24943=ORIENTED_EDGE('',*,*,#24942,.F.); +#24944=EDGE_LOOP('',(#24937,#24938,#24939,#24940,#24941,#24943)); +#24945=FACE_OUTER_BOUND('',#24944,.F.); +#24947=CARTESIAN_POINT('',(2.532064074737E1,-1.08E1,-2.25E1)); +#24948=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24949=DIRECTION('',(0.E0,0.E0,1.E0)); +#24950=AXIS2_PLACEMENT_3D('',#24947,#24948,#24949); +#24951=CYLINDRICAL_SURFACE('',#24950,5.E-1); +#24952=ORIENTED_EDGE('',*,*,#24942,.T.); +#24953=ORIENTED_EDGE('',*,*,#20415,.F.); +#24955=ORIENTED_EDGE('',*,*,#24954,.T.); +#24956=ORIENTED_EDGE('',*,*,#24925,.T.); +#24957=EDGE_LOOP('',(#24952,#24953,#24955,#24956)); +#24958=FACE_OUTER_BOUND('',#24957,.F.); +#24960=CARTESIAN_POINT('',(2.52E1,-7.3E0,-2.25E1)); +#24961=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24962=DIRECTION('',(9.160801319551E-1,-4.009952516392E-1,0.E0)); +#24963=AXIS2_PLACEMENT_3D('',#24960,#24961,#24962); +#24964=TOROIDAL_SURFACE('',#24963,3.5E0,5.E-1); +#24965=ORIENTED_EDGE('',*,*,#20413,.F.); +#24967=ORIENTED_EDGE('',*,*,#24966,.T.); +#24969=ORIENTED_EDGE('',*,*,#24968,.T.); +#24970=ORIENTED_EDGE('',*,*,#24954,.F.); +#24971=EDGE_LOOP('',(#24965,#24967,#24969,#24970)); +#24972=FACE_OUTER_BOUND('',#24971,.F.); +#24974=CARTESIAN_POINT('',(2.879687364248E1,-9.05E0,-2.25E1)); +#24975=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24976=DIRECTION('',(1.705392343707E-1,9.853508865071E-1,1.421085471520E-14)); +#24977=AXIS2_PLACEMENT_3D('',#24974,#24975,#24976); +#24978=TOROIDAL_SURFACE('',#24977,5.E-1,5.E-1); +#24979=ORIENTED_EDGE('',*,*,#20411,.F.); +#24981=ORIENTED_EDGE('',*,*,#24980,.T.); +#24983=ORIENTED_EDGE('',*,*,#24982,.T.); +#24984=ORIENTED_EDGE('',*,*,#24966,.F.); +#24985=EDGE_LOOP('',(#24979,#24981,#24983,#24984)); +#24986=FACE_OUTER_BOUND('',#24985,.F.); +#24988=CARTESIAN_POINT('',(3.001599927020E1,-8.55E0,-2.25E1)); +#24989=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24990=DIRECTION('',(0.E0,0.E0,1.E0)); +#24991=AXIS2_PLACEMENT_3D('',#24988,#24989,#24990); +#24992=CYLINDRICAL_SURFACE('',#24991,5.E-1); +#24993=ORIENTED_EDGE('',*,*,#20409,.F.); +#24994=ORIENTED_EDGE('',*,*,#24839,.T.); +#24995=ORIENTED_EDGE('',*,*,#24855,.T.); +#24996=ORIENTED_EDGE('',*,*,#24980,.F.); +#24997=EDGE_LOOP('',(#24993,#24994,#24995,#24996)); +#24998=FACE_OUTER_BOUND('',#24997,.F.); +#25000=CARTESIAN_POINT('',(2.879687364248E1,-9.05E0,4.927608E1)); +#25001=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25002=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#25003=AXIS2_PLACEMENT_3D('',#25000,#25001,#25002); +#25004=CYLINDRICAL_SURFACE('',#25003,1.E0); +#25005=ORIENTED_EDGE('',*,*,#24883,.T.); +#25007=ORIENTED_EDGE('',*,*,#25006,.F.); +#25008=ORIENTED_EDGE('',*,*,#24982,.F.); +#25009=ORIENTED_EDGE('',*,*,#24853,.T.); +#25010=EDGE_LOOP('',(#25005,#25007,#25008,#25009)); +#25011=FACE_OUTER_BOUND('',#25010,.F.); +#25013=CARTESIAN_POINT('',(2.52E1,-7.3E0,-2.2E1)); +#25014=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25015=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25016=AXIS2_PLACEMENT_3D('',#25013,#25014,#25015); +#25017=CYLINDRICAL_SURFACE('',#25016,3.E0); +#25018=ORIENTED_EDGE('',*,*,#24897,.T.); +#25019=ORIENTED_EDGE('',*,*,#24927,.F.); +#25020=ORIENTED_EDGE('',*,*,#24968,.F.); +#25021=ORIENTED_EDGE('',*,*,#25006,.T.); +#25022=EDGE_LOOP('',(#25018,#25019,#25020,#25021)); +#25023=FACE_OUTER_BOUND('',#25022,.F.); +#25025=CARTESIAN_POINT('',(-2.867623289512E1,-8.55E0,-2.25E1)); +#25026=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25027=DIRECTION('',(0.E0,0.E0,1.E0)); +#25028=AXIS2_PLACEMENT_3D('',#25025,#25026,#25027); +#25029=CYLINDRICAL_SURFACE('',#25028,5.E-1); +#25030=ORIENTED_EDGE('',*,*,#20397,.F.); +#25032=ORIENTED_EDGE('',*,*,#25031,.T.); +#25033=ORIENTED_EDGE('',*,*,#24705,.T.); +#25034=ORIENTED_EDGE('',*,*,#24719,.F.); +#25035=EDGE_LOOP('',(#25030,#25032,#25033,#25034)); +#25036=FACE_OUTER_BOUND('',#25035,.F.); +#25038=CARTESIAN_POINT('',(-2.879687364248E1,-9.05E0,-2.25E1)); +#25039=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25040=DIRECTION('',(9.606565731062E-1,2.777389935674E-1,1.421085471520E-14)); +#25041=AXIS2_PLACEMENT_3D('',#25038,#25039,#25040); +#25042=TOROIDAL_SURFACE('',#25041,5.E-1,5.E-1); +#25043=ORIENTED_EDGE('',*,*,#20395,.F.); +#25045=ORIENTED_EDGE('',*,*,#25044,.T.); +#25047=ORIENTED_EDGE('',*,*,#25046,.T.); +#25048=ORIENTED_EDGE('',*,*,#25031,.F.); +#25049=EDGE_LOOP('',(#25043,#25045,#25047,#25048)); +#25050=FACE_OUTER_BOUND('',#25049,.F.); +#25052=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-2.25E1)); +#25053=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25054=DIRECTION('',(4.020274488477E-2,-9.991915428504E-1,0.E0)); +#25055=AXIS2_PLACEMENT_3D('',#25052,#25053,#25054); +#25056=TOROIDAL_SURFACE('',#25055,3.5E0,5.E-1); +#25057=ORIENTED_EDGE('',*,*,#20393,.F.); +#25059=ORIENTED_EDGE('',*,*,#25058,.T.); +#25061=ORIENTED_EDGE('',*,*,#25060,.T.); +#25062=ORIENTED_EDGE('',*,*,#25044,.F.); +#25063=EDGE_LOOP('',(#25057,#25059,#25061,#25062)); +#25064=FACE_OUTER_BOUND('',#25063,.F.); +#25066=CARTESIAN_POINT('',(1.0965888E2,-1.08E1,-2.25E1)); +#25067=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25068=DIRECTION('',(0.E0,0.E0,1.E0)); +#25069=AXIS2_PLACEMENT_3D('',#25066,#25067,#25068); +#25070=CYLINDRICAL_SURFACE('',#25069,5.E-1); +#25071=ORIENTED_EDGE('',*,*,#24634,.T.); +#25073=ORIENTED_EDGE('',*,*,#25072,.T.); +#25074=ORIENTED_EDGE('',*,*,#25058,.F.); +#25075=ORIENTED_EDGE('',*,*,#20391,.F.); +#25076=EDGE_LOOP('',(#25071,#25073,#25074,#25075)); +#25077=FACE_OUTER_BOUND('',#25076,.F.); +#25079=CARTESIAN_POINT('',(-2.275E1,-1.03E1,-2.2E1)); +#25080=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25081=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25082=AXIS2_PLACEMENT_3D('',#25079,#25080,#25081); +#25083=PLANE('',#25082); +#25084=ORIENTED_EDGE('',*,*,#24649,.T.); +#25086=ORIENTED_EDGE('',*,*,#25085,.F.); +#25087=ORIENTED_EDGE('',*,*,#25072,.F.); +#25088=ORIENTED_EDGE('',*,*,#24632,.T.); +#25089=EDGE_LOOP('',(#25084,#25086,#25087,#25088)); +#25090=FACE_OUTER_BOUND('',#25089,.F.); +#25092=CARTESIAN_POINT('',(-2.52E1,-7.3E0,-2.2E1)); +#25093=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25094=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25095=AXIS2_PLACEMENT_3D('',#25092,#25093,#25094); +#25096=CYLINDRICAL_SURFACE('',#25095,3.E0); +#25097=ORIENTED_EDGE('',*,*,#24663,.T.); +#25099=ORIENTED_EDGE('',*,*,#25098,.F.); +#25100=ORIENTED_EDGE('',*,*,#25060,.F.); +#25101=ORIENTED_EDGE('',*,*,#25085,.T.); +#25102=EDGE_LOOP('',(#25097,#25099,#25100,#25101)); +#25103=FACE_OUTER_BOUND('',#25102,.F.); +#25105=CARTESIAN_POINT('',(-2.879687364248E1,-9.05E0,4.927608E1)); +#25106=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25107=DIRECTION('',(0.E0,1.E0,0.E0)); +#25108=AXIS2_PLACEMENT_3D('',#25105,#25106,#25107); +#25109=CYLINDRICAL_SURFACE('',#25108,1.E0); +#25110=ORIENTED_EDGE('',*,*,#24677,.T.); +#25111=ORIENTED_EDGE('',*,*,#24707,.F.); +#25112=ORIENTED_EDGE('',*,*,#25046,.F.); +#25113=ORIENTED_EDGE('',*,*,#25098,.T.); +#25114=EDGE_LOOP('',(#25110,#25111,#25112,#25113)); +#25115=FACE_OUTER_BOUND('',#25114,.F.); +#25117=CARTESIAN_POINT('',(-2.879687364248E1,-5.55E0,-2.25E1)); +#25118=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25119=DIRECTION('',(-1.705392343707E-1,-9.853508865071E-1,1.421085471520E-14)); +#25120=AXIS2_PLACEMENT_3D('',#25117,#25118,#25119); +#25121=TOROIDAL_SURFACE('',#25120,5.E-1,5.E-1); +#25122=ORIENTED_EDGE('',*,*,#20476,.F.); +#25123=ORIENTED_EDGE('',*,*,#22781,.T.); +#25124=ORIENTED_EDGE('',*,*,#22574,.T.); +#25125=ORIENTED_EDGE('',*,*,#22492,.F.); +#25126=EDGE_LOOP('',(#25122,#25123,#25124,#25125)); +#25127=FACE_OUTER_BOUND('',#25126,.F.); +#25129=CARTESIAN_POINT('',(-1.65E1,3.25E0,-2.E1)); +#25130=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25131=DIRECTION('',(1.E0,0.E0,0.E0)); +#25132=AXIS2_PLACEMENT_3D('',#25129,#25130,#25131); +#25133=PLANE('',#25132); +#25134=ORIENTED_EDGE('',*,*,#16062,.F.); +#25136=ORIENTED_EDGE('',*,*,#25135,.T.); +#25138=ORIENTED_EDGE('',*,*,#25137,.T.); +#25140=ORIENTED_EDGE('',*,*,#25139,.F.); +#25141=EDGE_LOOP('',(#25134,#25136,#25138,#25140)); +#25142=FACE_OUTER_BOUND('',#25141,.F.); +#25144=CARTESIAN_POINT('',(-1.65E1,4.909574508667E0,-2.E1)); +#25145=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25146=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25147=AXIS2_PLACEMENT_3D('',#25144,#25145,#25146); +#25148=PLANE('',#25147); +#25149=ORIENTED_EDGE('',*,*,#16074,.F.); +#25151=ORIENTED_EDGE('',*,*,#25150,.T.); +#25153=ORIENTED_EDGE('',*,*,#25152,.T.); +#25154=ORIENTED_EDGE('',*,*,#25135,.F.); +#25155=EDGE_LOOP('',(#25149,#25151,#25153,#25154)); +#25156=FACE_OUTER_BOUND('',#25155,.F.); +#25158=CARTESIAN_POINT('',(-1.675E1,4.611702084541E0,-2.E1)); +#25159=DIRECTION('',(7.659743427825E-1,-6.428711427642E-1,0.E0)); +#25160=DIRECTION('',(6.428711427642E-1,7.659743427825E-1,0.E0)); +#25161=AXIS2_PLACEMENT_3D('',#25158,#25159,#25160); +#25162=PLANE('',#25161); +#25163=ORIENTED_EDGE('',*,*,#16072,.F.); +#25165=ORIENTED_EDGE('',*,*,#25164,.T.); +#25167=ORIENTED_EDGE('',*,*,#25166,.T.); +#25168=ORIENTED_EDGE('',*,*,#25150,.F.); +#25169=EDGE_LOOP('',(#25163,#25165,#25167,#25168)); +#25170=FACE_OUTER_BOUND('',#25169,.F.); +#25172=CARTESIAN_POINT('',(-1.675E1,4.952127695084E0,-2.E1)); +#25173=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25174=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25175=AXIS2_PLACEMENT_3D('',#25172,#25173,#25174); +#25176=PLANE('',#25175); +#25177=ORIENTED_EDGE('',*,*,#16070,.F.); +#25179=ORIENTED_EDGE('',*,*,#25178,.T.); +#25181=ORIENTED_EDGE('',*,*,#25180,.T.); +#25182=ORIENTED_EDGE('',*,*,#25164,.F.); +#25183=EDGE_LOOP('',(#25177,#25179,#25181,#25182)); +#25184=FACE_OUTER_BOUND('',#25183,.F.); +#25186=CARTESIAN_POINT('',(-1.65E1,5.25E0,-2.E1)); +#25187=DIRECTION('',(-7.659742160926E-1,6.428712937139E-1,0.E0)); +#25188=DIRECTION('',(-6.428712937139E-1,-7.659742160926E-1,0.E0)); +#25189=AXIS2_PLACEMENT_3D('',#25186,#25187,#25188); +#25190=PLANE('',#25189); +#25191=ORIENTED_EDGE('',*,*,#16068,.F.); +#25193=ORIENTED_EDGE('',*,*,#25192,.T.); +#25195=ORIENTED_EDGE('',*,*,#25194,.T.); +#25196=ORIENTED_EDGE('',*,*,#25178,.F.); +#25197=EDGE_LOOP('',(#25191,#25193,#25195,#25196)); +#25198=FACE_OUTER_BOUND('',#25197,.F.); +#25200=CARTESIAN_POINT('',(-1.625E1,5.25E0,-2.E1)); +#25201=DIRECTION('',(0.E0,1.E0,0.E0)); +#25202=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25203=AXIS2_PLACEMENT_3D('',#25200,#25201,#25202); +#25204=PLANE('',#25203); +#25205=ORIENTED_EDGE('',*,*,#16066,.F.); +#25207=ORIENTED_EDGE('',*,*,#25206,.T.); +#25209=ORIENTED_EDGE('',*,*,#25208,.T.); +#25210=ORIENTED_EDGE('',*,*,#25192,.F.); +#25211=EDGE_LOOP('',(#25205,#25207,#25209,#25210)); +#25212=FACE_OUTER_BOUND('',#25211,.F.); +#25214=CARTESIAN_POINT('',(-1.625E1,3.25E0,-2.E1)); +#25215=DIRECTION('',(1.E0,0.E0,0.E0)); +#25216=DIRECTION('',(0.E0,1.E0,0.E0)); +#25217=AXIS2_PLACEMENT_3D('',#25214,#25215,#25216); +#25218=PLANE('',#25217); +#25219=ORIENTED_EDGE('',*,*,#16064,.F.); +#25220=ORIENTED_EDGE('',*,*,#25139,.T.); +#25222=ORIENTED_EDGE('',*,*,#25221,.T.); +#25223=ORIENTED_EDGE('',*,*,#25206,.F.); +#25224=EDGE_LOOP('',(#25219,#25220,#25222,#25223)); +#25225=FACE_OUTER_BOUND('',#25224,.F.); +#25227=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#25228=DIRECTION('',(0.E0,0.E0,1.E0)); +#25229=DIRECTION('',(1.E0,0.E0,0.E0)); +#25230=AXIS2_PLACEMENT_3D('',#25227,#25228,#25229); +#25231=PLANE('',#25230); +#25232=ORIENTED_EDGE('',*,*,#25221,.F.); +#25233=ORIENTED_EDGE('',*,*,#25137,.F.); +#25234=ORIENTED_EDGE('',*,*,#25152,.F.); +#25235=ORIENTED_EDGE('',*,*,#25166,.F.); +#25236=ORIENTED_EDGE('',*,*,#25180,.F.); +#25237=ORIENTED_EDGE('',*,*,#25194,.F.); +#25238=ORIENTED_EDGE('',*,*,#25208,.F.); +#25239=EDGE_LOOP('',(#25232,#25233,#25234,#25235,#25236,#25237,#25238)); +#25240=FACE_OUTER_BOUND('',#25239,.F.); +#25242=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#25243=DIRECTION('',(0.E0,0.E0,1.E0)); +#25244=DIRECTION('',(1.E0,0.E0,0.E0)); +#25245=AXIS2_PLACEMENT_3D('',#25242,#25243,#25244); +#25246=PLANE('',#25245); +#25248=ORIENTED_EDGE('',*,*,#25247,.F.); +#25250=ORIENTED_EDGE('',*,*,#25249,.F.); +#25252=ORIENTED_EDGE('',*,*,#25251,.F.); +#25254=ORIENTED_EDGE('',*,*,#25253,.F.); +#25256=ORIENTED_EDGE('',*,*,#25255,.F.); +#25258=ORIENTED_EDGE('',*,*,#25257,.F.); +#25260=ORIENTED_EDGE('',*,*,#25259,.F.); +#25261=EDGE_LOOP('',(#25248,#25250,#25252,#25254,#25256,#25258,#25260)); +#25262=FACE_OUTER_BOUND('',#25261,.F.); +#25264=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#25265=DIRECTION('',(0.E0,0.E0,1.E0)); +#25266=DIRECTION('',(1.E0,0.E0,0.E0)); +#25267=AXIS2_PLACEMENT_3D('',#25264,#25265,#25266); +#25268=PLANE('',#25267); +#25270=ORIENTED_EDGE('',*,*,#25269,.F.); +#25272=ORIENTED_EDGE('',*,*,#25271,.F.); +#25274=ORIENTED_EDGE('',*,*,#25273,.F.); +#25276=ORIENTED_EDGE('',*,*,#25275,.F.); +#25278=ORIENTED_EDGE('',*,*,#25277,.F.); +#25280=ORIENTED_EDGE('',*,*,#25279,.F.); +#25282=ORIENTED_EDGE('',*,*,#25281,.F.); +#25284=ORIENTED_EDGE('',*,*,#25283,.F.); +#25286=ORIENTED_EDGE('',*,*,#25285,.F.); +#25288=ORIENTED_EDGE('',*,*,#25287,.F.); +#25290=ORIENTED_EDGE('',*,*,#25289,.F.); +#25292=ORIENTED_EDGE('',*,*,#25291,.F.); +#25294=ORIENTED_EDGE('',*,*,#25293,.F.); +#25296=ORIENTED_EDGE('',*,*,#25295,.F.); +#25298=ORIENTED_EDGE('',*,*,#25297,.F.); +#25300=ORIENTED_EDGE('',*,*,#25299,.F.); +#25302=ORIENTED_EDGE('',*,*,#25301,.F.); +#25304=ORIENTED_EDGE('',*,*,#25303,.F.); +#25306=ORIENTED_EDGE('',*,*,#25305,.F.); +#25308=ORIENTED_EDGE('',*,*,#25307,.F.); +#25310=ORIENTED_EDGE('',*,*,#25309,.F.); +#25312=ORIENTED_EDGE('',*,*,#25311,.F.); +#25314=ORIENTED_EDGE('',*,*,#25313,.F.); +#25316=ORIENTED_EDGE('',*,*,#25315,.F.); +#25318=ORIENTED_EDGE('',*,*,#25317,.F.); +#25320=ORIENTED_EDGE('',*,*,#25319,.F.); +#25322=ORIENTED_EDGE('',*,*,#25321,.F.); +#25324=ORIENTED_EDGE('',*,*,#25323,.F.); +#25326=ORIENTED_EDGE('',*,*,#25325,.F.); +#25328=ORIENTED_EDGE('',*,*,#25327,.F.); +#25330=ORIENTED_EDGE('',*,*,#25329,.F.); +#25332=ORIENTED_EDGE('',*,*,#25331,.F.); +#25334=ORIENTED_EDGE('',*,*,#25333,.F.); +#25336=ORIENTED_EDGE('',*,*,#25335,.F.); +#25338=ORIENTED_EDGE('',*,*,#25337,.F.); +#25340=ORIENTED_EDGE('',*,*,#25339,.F.); +#25342=ORIENTED_EDGE('',*,*,#25341,.F.); +#25344=ORIENTED_EDGE('',*,*,#25343,.F.); +#25346=ORIENTED_EDGE('',*,*,#25345,.F.); +#25348=ORIENTED_EDGE('',*,*,#25347,.F.); +#25349=EDGE_LOOP('',(#25270,#25272,#25274,#25276,#25278,#25280,#25282,#25284, +#25286,#25288,#25290,#25292,#25294,#25296,#25298,#25300,#25302,#25304,#25306, +#25308,#25310,#25312,#25314,#25316,#25318,#25320,#25322,#25324,#25326,#25328, +#25330,#25332,#25334,#25336,#25338,#25340,#25342,#25344,#25346,#25348)); +#25350=FACE_OUTER_BOUND('',#25349,.F.); +#25352=ORIENTED_EDGE('',*,*,#25351,.F.); +#25354=ORIENTED_EDGE('',*,*,#25353,.F.); +#25356=ORIENTED_EDGE('',*,*,#25355,.F.); +#25358=ORIENTED_EDGE('',*,*,#25357,.F.); +#25360=ORIENTED_EDGE('',*,*,#25359,.F.); +#25362=ORIENTED_EDGE('',*,*,#25361,.F.); +#25364=ORIENTED_EDGE('',*,*,#25363,.F.); +#25366=ORIENTED_EDGE('',*,*,#25365,.F.); +#25368=ORIENTED_EDGE('',*,*,#25367,.F.); +#25370=ORIENTED_EDGE('',*,*,#25369,.F.); +#25372=ORIENTED_EDGE('',*,*,#25371,.F.); +#25374=ORIENTED_EDGE('',*,*,#25373,.F.); +#25376=ORIENTED_EDGE('',*,*,#25375,.F.); +#25378=ORIENTED_EDGE('',*,*,#25377,.F.); +#25380=ORIENTED_EDGE('',*,*,#25379,.F.); +#25382=ORIENTED_EDGE('',*,*,#25381,.F.); +#25384=ORIENTED_EDGE('',*,*,#25383,.F.); +#25386=ORIENTED_EDGE('',*,*,#25385,.F.); +#25388=ORIENTED_EDGE('',*,*,#25387,.F.); +#25390=ORIENTED_EDGE('',*,*,#25389,.F.); +#25391=EDGE_LOOP('',(#25352,#25354,#25356,#25358,#25360,#25362,#25364,#25366, +#25368,#25370,#25372,#25374,#25376,#25378,#25380,#25382,#25384,#25386,#25388, +#25390)); +#25392=FACE_BOUND('',#25391,.F.); +#25394=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#25395=DIRECTION('',(0.E0,0.E0,1.E0)); +#25396=DIRECTION('',(1.E0,0.E0,0.E0)); +#25397=AXIS2_PLACEMENT_3D('',#25394,#25395,#25396); +#25398=PLANE('',#25397); +#25400=ORIENTED_EDGE('',*,*,#25399,.F.); +#25402=ORIENTED_EDGE('',*,*,#25401,.F.); +#25404=ORIENTED_EDGE('',*,*,#25403,.F.); +#25406=ORIENTED_EDGE('',*,*,#25405,.F.); +#25408=ORIENTED_EDGE('',*,*,#25407,.F.); +#25410=ORIENTED_EDGE('',*,*,#25409,.F.); +#25412=ORIENTED_EDGE('',*,*,#25411,.F.); +#25414=ORIENTED_EDGE('',*,*,#25413,.F.); +#25416=ORIENTED_EDGE('',*,*,#25415,.F.); +#25418=ORIENTED_EDGE('',*,*,#25417,.F.); +#25420=ORIENTED_EDGE('',*,*,#25419,.F.); +#25422=ORIENTED_EDGE('',*,*,#25421,.F.); +#25424=ORIENTED_EDGE('',*,*,#25423,.F.); +#25426=ORIENTED_EDGE('',*,*,#25425,.F.); +#25428=ORIENTED_EDGE('',*,*,#25427,.F.); +#25430=ORIENTED_EDGE('',*,*,#25429,.F.); +#25432=ORIENTED_EDGE('',*,*,#25431,.F.); +#25434=ORIENTED_EDGE('',*,*,#25433,.F.); +#25436=ORIENTED_EDGE('',*,*,#25435,.F.); +#25438=ORIENTED_EDGE('',*,*,#25437,.F.); +#25440=ORIENTED_EDGE('',*,*,#25439,.F.); +#25442=ORIENTED_EDGE('',*,*,#25441,.F.); +#25444=ORIENTED_EDGE('',*,*,#25443,.F.); +#25446=ORIENTED_EDGE('',*,*,#25445,.F.); +#25448=ORIENTED_EDGE('',*,*,#25447,.F.); +#25450=ORIENTED_EDGE('',*,*,#25449,.F.); +#25452=ORIENTED_EDGE('',*,*,#25451,.F.); +#25454=ORIENTED_EDGE('',*,*,#25453,.F.); +#25456=ORIENTED_EDGE('',*,*,#25455,.F.); +#25458=ORIENTED_EDGE('',*,*,#25457,.F.); +#25460=ORIENTED_EDGE('',*,*,#25459,.F.); +#25462=ORIENTED_EDGE('',*,*,#25461,.F.); +#25464=ORIENTED_EDGE('',*,*,#25463,.F.); +#25466=ORIENTED_EDGE('',*,*,#25465,.F.); +#25467=EDGE_LOOP('',(#25400,#25402,#25404,#25406,#25408,#25410,#25412,#25414, +#25416,#25418,#25420,#25422,#25424,#25426,#25428,#25430,#25432,#25434,#25436, +#25438,#25440,#25442,#25444,#25446,#25448,#25450,#25452,#25454,#25456,#25458, +#25460,#25462,#25464,#25466)); +#25468=FACE_OUTER_BOUND('',#25467,.F.); +#25470=ORIENTED_EDGE('',*,*,#25469,.F.); +#25472=ORIENTED_EDGE('',*,*,#25471,.F.); +#25474=ORIENTED_EDGE('',*,*,#25473,.F.); +#25476=ORIENTED_EDGE('',*,*,#25475,.F.); +#25478=ORIENTED_EDGE('',*,*,#25477,.F.); +#25480=ORIENTED_EDGE('',*,*,#25479,.F.); +#25482=ORIENTED_EDGE('',*,*,#25481,.F.); +#25484=ORIENTED_EDGE('',*,*,#25483,.F.); +#25486=ORIENTED_EDGE('',*,*,#25485,.F.); +#25488=ORIENTED_EDGE('',*,*,#25487,.F.); +#25490=ORIENTED_EDGE('',*,*,#25489,.F.); +#25492=ORIENTED_EDGE('',*,*,#25491,.F.); +#25494=ORIENTED_EDGE('',*,*,#25493,.F.); +#25496=ORIENTED_EDGE('',*,*,#25495,.F.); +#25498=ORIENTED_EDGE('',*,*,#25497,.F.); +#25500=ORIENTED_EDGE('',*,*,#25499,.F.); +#25501=EDGE_LOOP('',(#25470,#25472,#25474,#25476,#25478,#25480,#25482,#25484, +#25486,#25488,#25490,#25492,#25494,#25496,#25498,#25500)); +#25502=FACE_BOUND('',#25501,.F.); +#25504=ORIENTED_EDGE('',*,*,#25503,.F.); +#25506=ORIENTED_EDGE('',*,*,#25505,.F.); +#25508=ORIENTED_EDGE('',*,*,#25507,.F.); +#25510=ORIENTED_EDGE('',*,*,#25509,.F.); +#25512=ORIENTED_EDGE('',*,*,#25511,.F.); +#25514=ORIENTED_EDGE('',*,*,#25513,.F.); +#25516=ORIENTED_EDGE('',*,*,#25515,.F.); +#25518=ORIENTED_EDGE('',*,*,#25517,.F.); +#25520=ORIENTED_EDGE('',*,*,#25519,.F.); +#25522=ORIENTED_EDGE('',*,*,#25521,.F.); +#25524=ORIENTED_EDGE('',*,*,#25523,.F.); +#25526=ORIENTED_EDGE('',*,*,#25525,.F.); +#25528=ORIENTED_EDGE('',*,*,#25527,.F.); +#25530=ORIENTED_EDGE('',*,*,#25529,.F.); +#25532=ORIENTED_EDGE('',*,*,#25531,.F.); +#25534=ORIENTED_EDGE('',*,*,#25533,.F.); +#25535=EDGE_LOOP('',(#25504,#25506,#25508,#25510,#25512,#25514,#25516,#25518, +#25520,#25522,#25524,#25526,#25528,#25530,#25532,#25534)); +#25536=FACE_BOUND('',#25535,.F.); +#25538=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#25539=DIRECTION('',(0.E0,0.E0,1.E0)); +#25540=DIRECTION('',(1.E0,0.E0,0.E0)); +#25541=AXIS2_PLACEMENT_3D('',#25538,#25539,#25540); +#25542=PLANE('',#25541); +#25544=ORIENTED_EDGE('',*,*,#25543,.F.); +#25546=ORIENTED_EDGE('',*,*,#25545,.F.); +#25548=ORIENTED_EDGE('',*,*,#25547,.F.); +#25550=ORIENTED_EDGE('',*,*,#25549,.F.); +#25552=ORIENTED_EDGE('',*,*,#25551,.F.); +#25554=ORIENTED_EDGE('',*,*,#25553,.F.); +#25556=ORIENTED_EDGE('',*,*,#25555,.F.); +#25558=ORIENTED_EDGE('',*,*,#25557,.F.); +#25560=ORIENTED_EDGE('',*,*,#25559,.F.); +#25562=ORIENTED_EDGE('',*,*,#25561,.F.); +#25564=ORIENTED_EDGE('',*,*,#25563,.F.); +#25566=ORIENTED_EDGE('',*,*,#25565,.F.); +#25568=ORIENTED_EDGE('',*,*,#25567,.F.); +#25570=ORIENTED_EDGE('',*,*,#25569,.F.); +#25572=ORIENTED_EDGE('',*,*,#25571,.F.); +#25574=ORIENTED_EDGE('',*,*,#25573,.F.); +#25576=ORIENTED_EDGE('',*,*,#25575,.F.); +#25578=ORIENTED_EDGE('',*,*,#25577,.F.); +#25580=ORIENTED_EDGE('',*,*,#25579,.F.); +#25582=ORIENTED_EDGE('',*,*,#25581,.F.); +#25584=ORIENTED_EDGE('',*,*,#25583,.F.); +#25586=ORIENTED_EDGE('',*,*,#25585,.F.); +#25588=ORIENTED_EDGE('',*,*,#25587,.F.); +#25590=ORIENTED_EDGE('',*,*,#25589,.F.); +#25592=ORIENTED_EDGE('',*,*,#25591,.F.); +#25594=ORIENTED_EDGE('',*,*,#25593,.F.); +#25596=ORIENTED_EDGE('',*,*,#25595,.F.); +#25598=ORIENTED_EDGE('',*,*,#25597,.F.); +#25599=EDGE_LOOP('',(#25544,#25546,#25548,#25550,#25552,#25554,#25556,#25558, +#25560,#25562,#25564,#25566,#25568,#25570,#25572,#25574,#25576,#25578,#25580, +#25582,#25584,#25586,#25588,#25590,#25592,#25594,#25596,#25598)); +#25600=FACE_OUTER_BOUND('',#25599,.F.); +#25602=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#25603=DIRECTION('',(0.E0,0.E0,1.E0)); +#25604=DIRECTION('',(1.E0,0.E0,0.E0)); +#25605=AXIS2_PLACEMENT_3D('',#25602,#25603,#25604); +#25606=PLANE('',#25605); +#25608=ORIENTED_EDGE('',*,*,#25607,.F.); +#25610=ORIENTED_EDGE('',*,*,#25609,.F.); +#25612=ORIENTED_EDGE('',*,*,#25611,.F.); +#25614=ORIENTED_EDGE('',*,*,#25613,.F.); +#25616=ORIENTED_EDGE('',*,*,#25615,.F.); +#25618=ORIENTED_EDGE('',*,*,#25617,.F.); +#25620=ORIENTED_EDGE('',*,*,#25619,.F.); +#25622=ORIENTED_EDGE('',*,*,#25621,.F.); +#25624=ORIENTED_EDGE('',*,*,#25623,.F.); +#25626=ORIENTED_EDGE('',*,*,#25625,.F.); +#25628=ORIENTED_EDGE('',*,*,#25627,.F.); +#25630=ORIENTED_EDGE('',*,*,#25629,.F.); +#25632=ORIENTED_EDGE('',*,*,#25631,.F.); +#25634=ORIENTED_EDGE('',*,*,#25633,.F.); +#25636=ORIENTED_EDGE('',*,*,#25635,.F.); +#25638=ORIENTED_EDGE('',*,*,#25637,.F.); +#25640=ORIENTED_EDGE('',*,*,#25639,.F.); +#25642=ORIENTED_EDGE('',*,*,#25641,.F.); +#25644=ORIENTED_EDGE('',*,*,#25643,.F.); +#25646=ORIENTED_EDGE('',*,*,#25645,.F.); +#25648=ORIENTED_EDGE('',*,*,#25647,.F.); +#25650=ORIENTED_EDGE('',*,*,#25649,.F.); +#25652=ORIENTED_EDGE('',*,*,#25651,.F.); +#25654=ORIENTED_EDGE('',*,*,#25653,.F.); +#25656=ORIENTED_EDGE('',*,*,#25655,.F.); +#25658=ORIENTED_EDGE('',*,*,#25657,.F.); +#25660=ORIENTED_EDGE('',*,*,#25659,.F.); +#25662=ORIENTED_EDGE('',*,*,#25661,.F.); +#25664=ORIENTED_EDGE('',*,*,#25663,.F.); +#25666=ORIENTED_EDGE('',*,*,#25665,.F.); +#25668=ORIENTED_EDGE('',*,*,#25667,.F.); +#25670=ORIENTED_EDGE('',*,*,#25669,.F.); +#25672=ORIENTED_EDGE('',*,*,#25671,.F.); +#25674=ORIENTED_EDGE('',*,*,#25673,.F.); +#25676=ORIENTED_EDGE('',*,*,#25675,.F.); +#25678=ORIENTED_EDGE('',*,*,#25677,.F.); +#25680=ORIENTED_EDGE('',*,*,#25679,.F.); +#25682=ORIENTED_EDGE('',*,*,#25681,.F.); +#25684=ORIENTED_EDGE('',*,*,#25683,.F.); +#25686=ORIENTED_EDGE('',*,*,#25685,.F.); +#25688=ORIENTED_EDGE('',*,*,#25687,.F.); +#25690=ORIENTED_EDGE('',*,*,#25689,.F.); +#25692=ORIENTED_EDGE('',*,*,#25691,.F.); +#25694=ORIENTED_EDGE('',*,*,#25693,.F.); +#25696=ORIENTED_EDGE('',*,*,#25695,.F.); +#25698=ORIENTED_EDGE('',*,*,#25697,.F.); +#25700=ORIENTED_EDGE('',*,*,#25699,.F.); +#25702=ORIENTED_EDGE('',*,*,#25701,.F.); +#25704=ORIENTED_EDGE('',*,*,#25703,.F.); +#25706=ORIENTED_EDGE('',*,*,#25705,.F.); +#25708=ORIENTED_EDGE('',*,*,#25707,.F.); +#25710=ORIENTED_EDGE('',*,*,#25709,.F.); +#25712=ORIENTED_EDGE('',*,*,#25711,.F.); +#25714=ORIENTED_EDGE('',*,*,#25713,.F.); +#25716=ORIENTED_EDGE('',*,*,#25715,.F.); +#25718=ORIENTED_EDGE('',*,*,#25717,.F.); +#25720=ORIENTED_EDGE('',*,*,#25719,.F.); +#25721=EDGE_LOOP('',(#25608,#25610,#25612,#25614,#25616,#25618,#25620,#25622, +#25624,#25626,#25628,#25630,#25632,#25634,#25636,#25638,#25640,#25642,#25644, +#25646,#25648,#25650,#25652,#25654,#25656,#25658,#25660,#25662,#25664,#25666, +#25668,#25670,#25672,#25674,#25676,#25678,#25680,#25682,#25684,#25686,#25688, +#25690,#25692,#25694,#25696,#25698,#25700,#25702,#25704,#25706,#25708,#25710, +#25712,#25714,#25716,#25718,#25720)); +#25722=FACE_OUTER_BOUND('',#25721,.F.); +#25724=CARTESIAN_POINT('',(-1.693333330750E1,-6.25E0,-2.E1)); +#25725=DIRECTION('',(1.E0,0.E0,0.E0)); +#25726=DIRECTION('',(0.E0,1.E0,0.E0)); +#25727=AXIS2_PLACEMENT_3D('',#25724,#25725,#25726); +#25728=PLANE('',#25727); +#25729=ORIENTED_EDGE('',*,*,#16080,.F.); +#25731=ORIENTED_EDGE('',*,*,#25730,.T.); +#25732=ORIENTED_EDGE('',*,*,#25247,.T.); +#25734=ORIENTED_EDGE('',*,*,#25733,.F.); +#25735=EDGE_LOOP('',(#25729,#25731,#25732,#25734)); +#25736=FACE_OUTER_BOUND('',#25735,.F.); +#25738=CARTESIAN_POINT('',(-1.709583330750E1,-6.25E0,-2.E1)); +#25739=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25740=DIRECTION('',(1.E0,0.E0,0.E0)); +#25741=AXIS2_PLACEMENT_3D('',#25738,#25739,#25740); +#25742=PLANE('',#25741); +#25743=ORIENTED_EDGE('',*,*,#16078,.F.); +#25745=ORIENTED_EDGE('',*,*,#25744,.T.); +#25746=ORIENTED_EDGE('',*,*,#25249,.T.); +#25747=ORIENTED_EDGE('',*,*,#25730,.F.); +#25748=EDGE_LOOP('',(#25743,#25745,#25746,#25747)); +#25749=FACE_OUTER_BOUND('',#25748,.F.); +#25751=CARTESIAN_POINT('',(-1.709583330750E1,-4.590425491333E0,-2.E1)); +#25752=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25753=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25754=AXIS2_PLACEMENT_3D('',#25751,#25752,#25753); +#25755=PLANE('',#25754); +#25756=ORIENTED_EDGE('',*,*,#16090,.F.); +#25758=ORIENTED_EDGE('',*,*,#25757,.T.); +#25759=ORIENTED_EDGE('',*,*,#25251,.T.); +#25760=ORIENTED_EDGE('',*,*,#25744,.F.); +#25761=EDGE_LOOP('',(#25756,#25758,#25759,#25760)); +#25762=FACE_OUTER_BOUND('',#25761,.F.); +#25764=CARTESIAN_POINT('',(-1.725833330750E1,-4.888297915459E0,-2.E1)); +#25765=DIRECTION('',(8.778656733037E-1,-4.789069425630E-1,0.E0)); +#25766=DIRECTION('',(4.789069425630E-1,8.778656733037E-1,0.E0)); +#25767=AXIS2_PLACEMENT_3D('',#25764,#25765,#25766); +#25768=PLANE('',#25767); +#25769=ORIENTED_EDGE('',*,*,#16088,.F.); +#25771=ORIENTED_EDGE('',*,*,#25770,.T.); +#25772=ORIENTED_EDGE('',*,*,#25253,.T.); +#25773=ORIENTED_EDGE('',*,*,#25757,.F.); +#25774=EDGE_LOOP('',(#25769,#25771,#25772,#25773)); +#25775=FACE_OUTER_BOUND('',#25774,.F.); +#25777=CARTESIAN_POINT('',(-1.725833330750E1,-4.547872304916E0,-2.E1)); +#25778=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25779=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25780=AXIS2_PLACEMENT_3D('',#25777,#25778,#25779); +#25781=PLANE('',#25780); +#25782=ORIENTED_EDGE('',*,*,#16086,.F.); +#25784=ORIENTED_EDGE('',*,*,#25783,.T.); +#25785=ORIENTED_EDGE('',*,*,#25255,.T.); +#25786=ORIENTED_EDGE('',*,*,#25770,.F.); +#25787=EDGE_LOOP('',(#25782,#25784,#25785,#25786)); +#25788=FACE_OUTER_BOUND('',#25787,.F.); +#25790=CARTESIAN_POINT('',(-1.709583330750E1,-4.25E0,-2.E1)); +#25791=DIRECTION('',(-8.778655927268E-1,4.789070902653E-1,0.E0)); +#25792=DIRECTION('',(-4.789070902653E-1,-8.778655927268E-1,0.E0)); +#25793=AXIS2_PLACEMENT_3D('',#25790,#25791,#25792); +#25794=PLANE('',#25793); +#25795=ORIENTED_EDGE('',*,*,#16084,.F.); +#25797=ORIENTED_EDGE('',*,*,#25796,.T.); +#25798=ORIENTED_EDGE('',*,*,#25257,.T.); +#25799=ORIENTED_EDGE('',*,*,#25783,.F.); +#25800=EDGE_LOOP('',(#25795,#25797,#25798,#25799)); +#25801=FACE_OUTER_BOUND('',#25800,.F.); +#25803=CARTESIAN_POINT('',(-1.693333330750E1,-4.25E0,-2.E1)); +#25804=DIRECTION('',(0.E0,1.E0,0.E0)); +#25805=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25806=AXIS2_PLACEMENT_3D('',#25803,#25804,#25805); +#25807=PLANE('',#25806); +#25808=ORIENTED_EDGE('',*,*,#16082,.F.); +#25809=ORIENTED_EDGE('',*,*,#25733,.T.); +#25810=ORIENTED_EDGE('',*,*,#25259,.T.); +#25811=ORIENTED_EDGE('',*,*,#25796,.F.); +#25812=EDGE_LOOP('',(#25808,#25809,#25810,#25811)); +#25813=FACE_OUTER_BOUND('',#25812,.F.); +#25815=CARTESIAN_POINT('',(-1.579583330750E1,-5.271276593208E0,-2.E1)); +#25816=DIRECTION('',(8.436252719138E-1,5.369323985274E-1,0.E0)); +#25817=DIRECTION('',(-5.369323985274E-1,8.436252719138E-1,0.E0)); +#25818=AXIS2_PLACEMENT_3D('',#25815,#25816,#25817); +#25819=PLANE('',#25818); +#25820=ORIENTED_EDGE('',*,*,#16096,.F.); +#25822=ORIENTED_EDGE('',*,*,#25821,.T.); +#25823=ORIENTED_EDGE('',*,*,#25269,.T.); +#25825=ORIENTED_EDGE('',*,*,#25824,.F.); +#25826=EDGE_LOOP('',(#25820,#25822,#25823,#25825)); +#25827=FACE_OUTER_BOUND('',#25826,.F.); +#25829=CARTESIAN_POINT('',(-1.574166669250E1,-5.484042525291E0,-2.E1)); +#25830=DIRECTION('',(9.690884472822E-1,2.467135613299E-1,0.E0)); +#25831=DIRECTION('',(-2.467135613299E-1,9.690884472822E-1,0.E0)); +#25832=AXIS2_PLACEMENT_3D('',#25829,#25830,#25831); +#25833=PLANE('',#25832); +#25834=ORIENTED_EDGE('',*,*,#16094,.F.); +#25836=ORIENTED_EDGE('',*,*,#25835,.T.); +#25837=ORIENTED_EDGE('',*,*,#25271,.T.); +#25838=ORIENTED_EDGE('',*,*,#25821,.F.); +#25839=EDGE_LOOP('',(#25834,#25836,#25837,#25838)); +#25840=FACE_OUTER_BOUND('',#25839,.F.); +#25842=CARTESIAN_POINT('',(-1.574166669250E1,-5.696808516979E0,-2.E1)); +#25843=DIRECTION('',(1.E0,0.E0,0.E0)); +#25844=DIRECTION('',(0.E0,1.E0,0.E0)); +#25845=AXIS2_PLACEMENT_3D('',#25842,#25843,#25844); +#25846=PLANE('',#25845); +#25847=ORIENTED_EDGE('',*,*,#16172,.F.); +#25849=ORIENTED_EDGE('',*,*,#25848,.T.); +#25850=ORIENTED_EDGE('',*,*,#25273,.T.); +#25851=ORIENTED_EDGE('',*,*,#25835,.F.); +#25852=EDGE_LOOP('',(#25847,#25849,#25850,#25851)); +#25853=FACE_OUTER_BOUND('',#25852,.F.); +#25855=CARTESIAN_POINT('',(-1.579583330750E1,-5.909574478865E0,-2.E1)); +#25856=DIRECTION('',(9.690884555445E-1,-2.467135288759E-1,0.E0)); +#25857=DIRECTION('',(2.467135288759E-1,9.690884555445E-1,0.E0)); +#25858=AXIS2_PLACEMENT_3D('',#25855,#25856,#25857); +#25859=PLANE('',#25858); +#25860=ORIENTED_EDGE('',*,*,#16170,.F.); +#25862=ORIENTED_EDGE('',*,*,#25861,.T.); +#25863=ORIENTED_EDGE('',*,*,#25275,.T.); +#25864=ORIENTED_EDGE('',*,*,#25848,.F.); +#25865=EDGE_LOOP('',(#25860,#25862,#25863,#25864)); +#25866=FACE_OUTER_BOUND('',#25865,.F.); +#25868=CARTESIAN_POINT('',(-1.587708330750E1,-6.079787239432E0,-2.E1)); +#25869=DIRECTION('',(9.024561156646E-1,-4.307818001025E-1,0.E0)); +#25870=DIRECTION('',(4.307818001025E-1,9.024561156646E-1,0.E0)); +#25871=AXIS2_PLACEMENT_3D('',#25868,#25869,#25870); +#25872=PLANE('',#25871); +#25873=ORIENTED_EDGE('',*,*,#16168,.F.); +#25875=ORIENTED_EDGE('',*,*,#25874,.T.); +#25876=ORIENTED_EDGE('',*,*,#25277,.T.); +#25877=ORIENTED_EDGE('',*,*,#25861,.F.); +#25878=EDGE_LOOP('',(#25873,#25875,#25876,#25877)); +#25879=FACE_OUTER_BOUND('',#25878,.F.); +#25881=CARTESIAN_POINT('',(-1.60125E1,-6.207446809858E0,-2.E1)); +#25882=DIRECTION('',(6.859587477448E-1,-7.276404307022E-1,0.E0)); +#25883=DIRECTION('',(7.276404307022E-1,6.859587477448E-1,0.E0)); +#25884=AXIS2_PLACEMENT_3D('',#25881,#25882,#25883); +#25885=PLANE('',#25884); +#25886=ORIENTED_EDGE('',*,*,#16166,.F.); +#25888=ORIENTED_EDGE('',*,*,#25887,.T.); +#25889=ORIENTED_EDGE('',*,*,#25279,.T.); +#25890=ORIENTED_EDGE('',*,*,#25874,.F.); +#25891=EDGE_LOOP('',(#25886,#25888,#25889,#25890)); +#25892=FACE_OUTER_BOUND('',#25891,.F.); +#25894=CARTESIAN_POINT('',(-1.614791661501E1,-6.25E0,-2.E1)); +#25895=DIRECTION('',(2.997860912506E-1,-9.540064462532E-1,0.E0)); +#25896=DIRECTION('',(9.540064462532E-1,2.997860912506E-1,0.E0)); +#25897=AXIS2_PLACEMENT_3D('',#25894,#25895,#25896); +#25898=PLANE('',#25897); +#25899=ORIENTED_EDGE('',*,*,#16164,.F.); +#25901=ORIENTED_EDGE('',*,*,#25900,.T.); +#25902=ORIENTED_EDGE('',*,*,#25281,.T.); +#25903=ORIENTED_EDGE('',*,*,#25887,.F.); +#25904=EDGE_LOOP('',(#25899,#25901,#25902,#25903)); +#25905=FACE_OUTER_BOUND('',#25904,.F.); +#25907=CARTESIAN_POINT('',(-1.628333330750E1,-6.25E0,-2.E1)); +#25908=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25909=DIRECTION('',(1.E0,0.E0,0.E0)); +#25910=AXIS2_PLACEMENT_3D('',#25907,#25908,#25909); +#25911=PLANE('',#25910); +#25912=ORIENTED_EDGE('',*,*,#16162,.F.); +#25914=ORIENTED_EDGE('',*,*,#25913,.T.); +#25915=ORIENTED_EDGE('',*,*,#25283,.T.); +#25916=ORIENTED_EDGE('',*,*,#25900,.F.); +#25917=EDGE_LOOP('',(#25912,#25914,#25915,#25916)); +#25918=FACE_OUTER_BOUND('',#25917,.F.); +#25920=CARTESIAN_POINT('',(-1.641875E1,-6.207446809858E0,-2.E1)); +#25921=DIRECTION('',(-2.997859351281E-1,-9.540064953130E-1,0.E0)); +#25922=DIRECTION('',(9.540064953130E-1,-2.997859351281E-1,0.E0)); +#25923=AXIS2_PLACEMENT_3D('',#25920,#25921,#25922); +#25924=PLANE('',#25923); +#25925=ORIENTED_EDGE('',*,*,#16160,.F.); +#25927=ORIENTED_EDGE('',*,*,#25926,.T.); +#25928=ORIENTED_EDGE('',*,*,#25285,.T.); +#25929=ORIENTED_EDGE('',*,*,#25913,.F.); +#25930=EDGE_LOOP('',(#25925,#25927,#25928,#25929)); +#25931=FACE_OUTER_BOUND('',#25930,.F.); +#25933=CARTESIAN_POINT('',(-1.655416661501E1,-6.079787239432E0,-2.E1)); +#25934=DIRECTION('',(-6.859589555627E-1,-7.276402347887E-1,0.E0)); +#25935=DIRECTION('',(7.276402347887E-1,-6.859589555627E-1,0.E0)); +#25936=AXIS2_PLACEMENT_3D('',#25933,#25934,#25935); +#25937=PLANE('',#25936); +#25938=ORIENTED_EDGE('',*,*,#16158,.F.); +#25940=ORIENTED_EDGE('',*,*,#25939,.T.); +#25941=ORIENTED_EDGE('',*,*,#25287,.T.); +#25942=ORIENTED_EDGE('',*,*,#25926,.F.); +#25943=EDGE_LOOP('',(#25938,#25940,#25941,#25942)); +#25944=FACE_OUTER_BOUND('',#25943,.F.); +#25946=CARTESIAN_POINT('',(-1.663541665375E1,-5.909574478865E0,-2.E1)); +#25947=DIRECTION('',(-9.024560358080E-1,-4.307819673962E-1,0.E0)); +#25948=DIRECTION('',(4.307819673962E-1,-9.024560358080E-1,0.E0)); +#25949=AXIS2_PLACEMENT_3D('',#25946,#25947,#25948); +#25950=PLANE('',#25949); +#25951=ORIENTED_EDGE('',*,*,#16156,.F.); +#25953=ORIENTED_EDGE('',*,*,#25952,.T.); +#25954=ORIENTED_EDGE('',*,*,#25289,.T.); +#25955=ORIENTED_EDGE('',*,*,#25939,.F.); +#25956=EDGE_LOOP('',(#25951,#25953,#25954,#25955)); +#25957=FACE_OUTER_BOUND('',#25956,.F.); +#25959=CARTESIAN_POINT('',(-1.668958330750E1,-5.696808516979E0,-2.E1)); +#25960=DIRECTION('',(-9.690884133543E-1,-2.467136945985E-1,0.E0)); +#25961=DIRECTION('',(2.467136945985E-1,-9.690884133543E-1,0.E0)); +#25962=AXIS2_PLACEMENT_3D('',#25959,#25960,#25961); +#25963=PLANE('',#25962); +#25964=ORIENTED_EDGE('',*,*,#16154,.F.); +#25966=ORIENTED_EDGE('',*,*,#25965,.T.); +#25967=ORIENTED_EDGE('',*,*,#25291,.T.); +#25968=ORIENTED_EDGE('',*,*,#25952,.F.); +#25969=EDGE_LOOP('',(#25964,#25966,#25967,#25968)); +#25970=FACE_OUTER_BOUND('',#25969,.F.); +#25972=CARTESIAN_POINT('',(-1.671666665375E1,-5.398936152458E0,-2.E1)); +#25973=DIRECTION('',(-9.958919884055E-1,-9.054914372686E-2,0.E0)); +#25974=DIRECTION('',(9.054914372686E-2,-9.958919884055E-1,0.E0)); +#25975=AXIS2_PLACEMENT_3D('',#25972,#25973,#25974); +#25976=PLANE('',#25975); +#25977=ORIENTED_EDGE('',*,*,#16152,.F.); +#25979=ORIENTED_EDGE('',*,*,#25978,.T.); +#25980=ORIENTED_EDGE('',*,*,#25293,.T.); +#25981=ORIENTED_EDGE('',*,*,#25965,.F.); +#25982=EDGE_LOOP('',(#25977,#25979,#25980,#25981)); +#25983=FACE_OUTER_BOUND('',#25982,.F.); +#25985=CARTESIAN_POINT('',(-1.671666665375E1,-5.101063847542E0,-2.E1)); +#25986=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25987=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25988=AXIS2_PLACEMENT_3D('',#25985,#25986,#25987); +#25989=PLANE('',#25988); +#25990=ORIENTED_EDGE('',*,*,#16150,.F.); +#25992=ORIENTED_EDGE('',*,*,#25991,.T.); +#25993=ORIENTED_EDGE('',*,*,#25295,.T.); +#25994=ORIENTED_EDGE('',*,*,#25978,.F.); +#25995=EDGE_LOOP('',(#25990,#25992,#25993,#25994)); +#25996=FACE_OUTER_BOUND('',#25995,.F.); +#25998=CARTESIAN_POINT('',(-1.668958330750E1,-4.803191542625E0,-2.E1)); +#25999=DIRECTION('',(-9.958919867716E-1,9.054916169730E-2,0.E0)); +#26000=DIRECTION('',(-9.054916169730E-2,-9.958919867716E-1,0.E0)); +#26001=AXIS2_PLACEMENT_3D('',#25998,#25999,#26000); +#26002=PLANE('',#26001); +#26003=ORIENTED_EDGE('',*,*,#16148,.F.); +#26005=ORIENTED_EDGE('',*,*,#26004,.T.); +#26006=ORIENTED_EDGE('',*,*,#25297,.T.); +#26007=ORIENTED_EDGE('',*,*,#25991,.F.); +#26008=EDGE_LOOP('',(#26003,#26005,#26006,#26007)); +#26009=FACE_OUTER_BOUND('',#26008,.F.); +#26011=CARTESIAN_POINT('',(-1.663541665375E1,-4.590425491333E0,-2.E1)); +#26012=DIRECTION('',(-9.690884381410E-1,2.467135972365E-1,0.E0)); +#26013=DIRECTION('',(-2.467135972365E-1,-9.690884381410E-1,0.E0)); +#26014=AXIS2_PLACEMENT_3D('',#26011,#26012,#26013); +#26015=PLANE('',#26014); +#26016=ORIENTED_EDGE('',*,*,#16146,.F.); +#26018=ORIENTED_EDGE('',*,*,#26017,.T.); +#26019=ORIENTED_EDGE('',*,*,#25299,.T.); +#26020=ORIENTED_EDGE('',*,*,#26004,.F.); +#26021=EDGE_LOOP('',(#26016,#26018,#26019,#26020)); +#26022=FACE_OUTER_BOUND('',#26021,.F.); +#26024=CARTESIAN_POINT('',(-1.655416661501E1,-4.420212745667E0,-2.E1)); +#26025=DIRECTION('',(-9.024560211468E-1,4.307819981103E-1,0.E0)); +#26026=DIRECTION('',(-4.307819981103E-1,-9.024560211468E-1,0.E0)); +#26027=AXIS2_PLACEMENT_3D('',#26024,#26025,#26026); +#26028=PLANE('',#26027); +#26029=ORIENTED_EDGE('',*,*,#16144,.F.); +#26031=ORIENTED_EDGE('',*,*,#26030,.T.); +#26032=ORIENTED_EDGE('',*,*,#25301,.T.); +#26033=ORIENTED_EDGE('',*,*,#26017,.F.); +#26034=EDGE_LOOP('',(#26029,#26031,#26032,#26033)); +#26035=FACE_OUTER_BOUND('',#26034,.F.); +#26037=CARTESIAN_POINT('',(-1.641875E1,-4.292553186417E0,-2.E1)); +#26038=DIRECTION('',(-6.859589237676E-1,7.276402647625E-1,0.E0)); +#26039=DIRECTION('',(-7.276402647625E-1,-6.859589237676E-1,0.E0)); +#26040=AXIS2_PLACEMENT_3D('',#26037,#26038,#26039); +#26041=PLANE('',#26040); +#26042=ORIENTED_EDGE('',*,*,#16142,.F.); +#26044=ORIENTED_EDGE('',*,*,#26043,.T.); +#26045=ORIENTED_EDGE('',*,*,#25303,.T.); +#26046=ORIENTED_EDGE('',*,*,#26030,.F.); +#26047=EDGE_LOOP('',(#26042,#26044,#26045,#26046)); +#26048=FACE_OUTER_BOUND('',#26047,.F.); +#26050=CARTESIAN_POINT('',(-1.628333330750E1,-4.25E0,-2.E1)); +#26051=DIRECTION('',(-2.997859112422E-1,9.540065028189E-1,0.E0)); +#26052=DIRECTION('',(-9.540065028189E-1,-2.997859112422E-1,0.E0)); +#26053=AXIS2_PLACEMENT_3D('',#26050,#26051,#26052); +#26054=PLANE('',#26053); +#26055=ORIENTED_EDGE('',*,*,#16140,.F.); +#26057=ORIENTED_EDGE('',*,*,#26056,.T.); +#26058=ORIENTED_EDGE('',*,*,#25305,.T.); +#26059=ORIENTED_EDGE('',*,*,#26043,.F.); +#26060=EDGE_LOOP('',(#26055,#26057,#26058,#26059)); +#26061=FACE_OUTER_BOUND('',#26060,.F.); +#26063=CARTESIAN_POINT('',(-1.6175E1,-4.25E0,-2.E1)); +#26064=DIRECTION('',(0.E0,1.E0,0.E0)); +#26065=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26066=AXIS2_PLACEMENT_3D('',#26063,#26064,#26065); +#26067=PLANE('',#26066); +#26068=ORIENTED_EDGE('',*,*,#16138,.F.); +#26070=ORIENTED_EDGE('',*,*,#26069,.T.); +#26071=ORIENTED_EDGE('',*,*,#25307,.T.); +#26072=ORIENTED_EDGE('',*,*,#26056,.F.); +#26073=EDGE_LOOP('',(#26068,#26070,#26071,#26072)); +#26074=FACE_OUTER_BOUND('',#26073,.F.); +#26076=CARTESIAN_POINT('',(-1.603958330750E1,-4.292553186417E0,-2.E1)); +#26077=DIRECTION('',(2.997859112422E-1,9.540065028189E-1,0.E0)); +#26078=DIRECTION('',(-9.540065028189E-1,2.997859112422E-1,0.E0)); +#26079=AXIS2_PLACEMENT_3D('',#26076,#26077,#26078); +#26080=PLANE('',#26079); +#26081=ORIENTED_EDGE('',*,*,#16136,.F.); +#26083=ORIENTED_EDGE('',*,*,#26082,.T.); +#26084=ORIENTED_EDGE('',*,*,#25309,.T.); +#26085=ORIENTED_EDGE('',*,*,#26069,.F.); +#26086=EDGE_LOOP('',(#26081,#26083,#26084,#26085)); +#26087=FACE_OUTER_BOUND('',#26086,.F.); +#26089=CARTESIAN_POINT('',(-1.590416669250E1,-4.420212745667E0,-2.E1)); +#26090=DIRECTION('',(6.859589237676E-1,7.276402647625E-1,0.E0)); +#26091=DIRECTION('',(-7.276402647625E-1,6.859589237676E-1,0.E0)); +#26092=AXIS2_PLACEMENT_3D('',#26089,#26090,#26091); +#26093=PLANE('',#26092); +#26094=ORIENTED_EDGE('',*,*,#16134,.F.); +#26096=ORIENTED_EDGE('',*,*,#26095,.T.); +#26097=ORIENTED_EDGE('',*,*,#25311,.T.); +#26098=ORIENTED_EDGE('',*,*,#26082,.F.); +#26099=EDGE_LOOP('',(#26094,#26096,#26097,#26098)); +#26100=FACE_OUTER_BOUND('',#26099,.F.); +#26102=CARTESIAN_POINT('',(-1.582291669250E1,-4.590425491333E0,-2.E1)); +#26103=DIRECTION('',(9.024561010034E-1,4.307818308166E-1,0.E0)); +#26104=DIRECTION('',(-4.307818308166E-1,9.024561010034E-1,0.E0)); +#26105=AXIS2_PLACEMENT_3D('',#26102,#26103,#26104); +#26106=PLANE('',#26105); +#26107=ORIENTED_EDGE('',*,*,#16132,.F.); +#26109=ORIENTED_EDGE('',*,*,#26108,.T.); +#26110=ORIENTED_EDGE('',*,*,#25313,.T.); +#26111=ORIENTED_EDGE('',*,*,#26095,.F.); +#26112=EDGE_LOOP('',(#26107,#26109,#26110,#26111)); +#26113=FACE_OUTER_BOUND('',#26112,.F.); +#26115=CARTESIAN_POINT('',(-1.579583330750E1,-4.718085050583E0,-2.E1)); +#26116=DIRECTION('',(9.782277713358E-1,2.075341595675E-1,0.E0)); +#26117=DIRECTION('',(-2.075341595675E-1,9.782277713358E-1,0.E0)); +#26118=AXIS2_PLACEMENT_3D('',#26115,#26116,#26117); +#26119=PLANE('',#26118); +#26120=ORIENTED_EDGE('',*,*,#16130,.F.); +#26122=ORIENTED_EDGE('',*,*,#26121,.T.); +#26123=ORIENTED_EDGE('',*,*,#25315,.T.); +#26124=ORIENTED_EDGE('',*,*,#26108,.F.); +#26125=EDGE_LOOP('',(#26120,#26122,#26123,#26124)); +#26126=FACE_OUTER_BOUND('',#26125,.F.); +#26128=CARTESIAN_POINT('',(-1.593124992251E1,-4.718085050583E0,-2.E1)); +#26129=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26130=DIRECTION('',(1.E0,0.E0,0.E0)); +#26131=AXIS2_PLACEMENT_3D('',#26128,#26129,#26130); +#26132=PLANE('',#26131); +#26133=ORIENTED_EDGE('',*,*,#16128,.F.); +#26135=ORIENTED_EDGE('',*,*,#26134,.T.); +#26136=ORIENTED_EDGE('',*,*,#25317,.T.); +#26137=ORIENTED_EDGE('',*,*,#26121,.F.); +#26138=EDGE_LOOP('',(#26133,#26135,#26136,#26137)); +#26139=FACE_OUTER_BOUND('',#26138,.F.); +#26141=CARTESIAN_POINT('',(-1.598541661501E1,-4.590425491333E0,-2.E1)); +#26142=DIRECTION('',(-9.205608021420E-1,-3.905992953907E-1,0.E0)); +#26143=DIRECTION('',(3.905992953907E-1,-9.205608021420E-1,0.E0)); +#26144=AXIS2_PLACEMENT_3D('',#26141,#26142,#26143); +#26145=PLANE('',#26144); +#26146=ORIENTED_EDGE('',*,*,#16126,.F.); +#26148=ORIENTED_EDGE('',*,*,#26147,.T.); +#26149=ORIENTED_EDGE('',*,*,#25319,.T.); +#26150=ORIENTED_EDGE('',*,*,#26134,.F.); +#26151=EDGE_LOOP('',(#26146,#26148,#26149,#26150)); +#26152=FACE_OUTER_BOUND('',#26151,.F.); +#26154=CARTESIAN_POINT('',(-1.606666661501E1,-4.505319118500E0,-2.E1)); +#26155=DIRECTION('',(-7.233041855288E-1,-6.905295469396E-1,0.E0)); +#26156=DIRECTION('',(6.905295469396E-1,-7.233041855288E-1,0.E0)); +#26157=AXIS2_PLACEMENT_3D('',#26154,#26155,#26156); +#26158=PLANE('',#26157); +#26159=ORIENTED_EDGE('',*,*,#16124,.F.); +#26161=ORIENTED_EDGE('',*,*,#26160,.T.); +#26162=ORIENTED_EDGE('',*,*,#25321,.T.); +#26163=ORIENTED_EDGE('',*,*,#26147,.F.); +#26164=EDGE_LOOP('',(#26159,#26161,#26162,#26163)); +#26165=FACE_OUTER_BOUND('',#26164,.F.); +#26167=CARTESIAN_POINT('',(-1.614791661501E1,-4.462765932083E0,-2.E1)); +#26168=DIRECTION('',(-4.639527744152E-1,-8.858599342517E-1,0.E0)); +#26169=DIRECTION('',(8.858599342517E-1,-4.639527744152E-1,0.E0)); +#26170=AXIS2_PLACEMENT_3D('',#26167,#26168,#26169); +#26171=PLANE('',#26170); +#26172=ORIENTED_EDGE('',*,*,#16122,.F.); +#26174=ORIENTED_EDGE('',*,*,#26173,.T.); +#26175=ORIENTED_EDGE('',*,*,#25323,.T.); +#26176=ORIENTED_EDGE('',*,*,#26160,.F.); +#26177=EDGE_LOOP('',(#26172,#26174,#26175,#26176)); +#26178=FACE_OUTER_BOUND('',#26177,.F.); +#26180=CARTESIAN_POINT('',(-1.631041661501E1,-4.462765932083E0,-2.E1)); +#26181=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26182=DIRECTION('',(1.E0,0.E0,0.E0)); +#26183=AXIS2_PLACEMENT_3D('',#26180,#26181,#26182); +#26184=PLANE('',#26183); +#26185=ORIENTED_EDGE('',*,*,#16120,.F.); +#26187=ORIENTED_EDGE('',*,*,#26186,.T.); +#26188=ORIENTED_EDGE('',*,*,#25325,.T.); +#26189=ORIENTED_EDGE('',*,*,#26173,.F.); +#26190=EDGE_LOOP('',(#26185,#26187,#26188,#26189)); +#26191=FACE_OUTER_BOUND('',#26190,.F.); +#26193=CARTESIAN_POINT('',(-1.639166661501E1,-4.505319118500E0,-2.E1)); +#26194=DIRECTION('',(4.639527744152E-1,-8.858599342517E-1,0.E0)); +#26195=DIRECTION('',(8.858599342517E-1,4.639527744152E-1,0.E0)); +#26196=AXIS2_PLACEMENT_3D('',#26193,#26194,#26195); +#26197=PLANE('',#26196); +#26198=ORIENTED_EDGE('',*,*,#16118,.F.); +#26200=ORIENTED_EDGE('',*,*,#26199,.T.); +#26201=ORIENTED_EDGE('',*,*,#25327,.T.); +#26202=ORIENTED_EDGE('',*,*,#26186,.F.); +#26203=EDGE_LOOP('',(#26198,#26200,#26201,#26202)); +#26204=FACE_OUTER_BOUND('',#26203,.F.); +#26206=CARTESIAN_POINT('',(-1.647291661501E1,-4.590425491333E0,-2.E1)); +#26207=DIRECTION('',(7.233041855288E-1,-6.905295469396E-1,0.E0)); +#26208=DIRECTION('',(6.905295469396E-1,7.233041855288E-1,0.E0)); +#26209=AXIS2_PLACEMENT_3D('',#26206,#26207,#26208); +#26210=PLANE('',#26209); +#26211=ORIENTED_EDGE('',*,*,#16116,.F.); +#26213=ORIENTED_EDGE('',*,*,#26212,.T.); +#26214=ORIENTED_EDGE('',*,*,#25329,.T.); +#26215=ORIENTED_EDGE('',*,*,#26199,.F.); +#26216=EDGE_LOOP('',(#26211,#26213,#26214,#26215)); +#26217=FACE_OUTER_BOUND('',#26216,.F.); +#26219=CARTESIAN_POINT('',(-1.652708330750E1,-4.718085050583E0,-2.E1)); +#26220=DIRECTION('',(9.205608021420E-1,-3.905992953907E-1,0.E0)); +#26221=DIRECTION('',(3.905992953907E-1,9.205608021420E-1,0.E0)); +#26222=AXIS2_PLACEMENT_3D('',#26219,#26220,#26221); +#26223=PLANE('',#26222); +#26224=ORIENTED_EDGE('',*,*,#16114,.F.); +#26226=ORIENTED_EDGE('',*,*,#26225,.T.); +#26227=ORIENTED_EDGE('',*,*,#25331,.T.); +#26228=ORIENTED_EDGE('',*,*,#26212,.F.); +#26229=EDGE_LOOP('',(#26224,#26226,#26227,#26228)); +#26230=FACE_OUTER_BOUND('',#26229,.F.); +#26232=CARTESIAN_POINT('',(-1.655416661501E1,-4.845744729042E0,-2.E1)); +#26233=DIRECTION('',(9.782279312215E-1,-2.075334059328E-1,0.E0)); +#26234=DIRECTION('',(2.075334059328E-1,9.782279312215E-1,0.E0)); +#26235=AXIS2_PLACEMENT_3D('',#26232,#26233,#26234); +#26236=PLANE('',#26235); +#26237=ORIENTED_EDGE('',*,*,#16112,.F.); +#26239=ORIENTED_EDGE('',*,*,#26238,.T.); +#26240=ORIENTED_EDGE('',*,*,#25333,.T.); +#26241=ORIENTED_EDGE('',*,*,#26225,.F.); +#26242=EDGE_LOOP('',(#26237,#26239,#26240,#26241)); +#26243=FACE_OUTER_BOUND('',#26242,.F.); +#26245=CARTESIAN_POINT('',(-1.658125E1,-5.101063847542E0,-2.E1)); +#26246=DIRECTION('',(9.944209160726E-1,-1.054847935832E-1,0.E0)); +#26247=DIRECTION('',(1.054847935832E-1,9.944209160726E-1,0.E0)); +#26248=AXIS2_PLACEMENT_3D('',#26245,#26246,#26247); +#26249=PLANE('',#26248); +#26250=ORIENTED_EDGE('',*,*,#16110,.F.); +#26252=ORIENTED_EDGE('',*,*,#26251,.T.); +#26253=ORIENTED_EDGE('',*,*,#25335,.T.); +#26254=ORIENTED_EDGE('',*,*,#26238,.F.); +#26255=EDGE_LOOP('',(#26250,#26252,#26253,#26254)); +#26256=FACE_OUTER_BOUND('',#26255,.F.); +#26258=CARTESIAN_POINT('',(-1.658125E1,-5.186170220375E0,-2.E1)); +#26259=DIRECTION('',(1.E0,0.E0,0.E0)); +#26260=DIRECTION('',(0.E0,1.E0,0.E0)); +#26261=AXIS2_PLACEMENT_3D('',#26258,#26259,#26260); +#26262=PLANE('',#26261); +#26263=ORIENTED_EDGE('',*,*,#16108,.F.); +#26265=ORIENTED_EDGE('',*,*,#26264,.T.); +#26266=ORIENTED_EDGE('',*,*,#25337,.T.); +#26267=ORIENTED_EDGE('',*,*,#26251,.F.); +#26268=EDGE_LOOP('',(#26263,#26265,#26266,#26267)); +#26269=FACE_OUTER_BOUND('',#26268,.F.); +#26271=CARTESIAN_POINT('',(-1.641875E1,-5.015957474709E0,-2.E1)); +#26272=DIRECTION('',(-7.233041855288E-1,6.905295469396E-1,0.E0)); +#26273=DIRECTION('',(-6.905295469396E-1,-7.233041855288E-1,0.E0)); +#26274=AXIS2_PLACEMENT_3D('',#26271,#26272,#26273); +#26275=PLANE('',#26274); +#26276=ORIENTED_EDGE('',*,*,#16106,.F.); +#26278=ORIENTED_EDGE('',*,*,#26277,.T.); +#26279=ORIENTED_EDGE('',*,*,#25339,.T.); +#26280=ORIENTED_EDGE('',*,*,#26264,.F.); +#26281=EDGE_LOOP('',(#26276,#26278,#26279,#26280)); +#26282=FACE_OUTER_BOUND('',#26281,.F.); +#26284=CARTESIAN_POINT('',(-1.628333330750E1,-4.973404288292E0,-2.E1)); +#26285=DIRECTION('',(-2.997859112422E-1,9.540065028189E-1,0.E0)); +#26286=DIRECTION('',(-9.540065028189E-1,-2.997859112422E-1,0.E0)); +#26287=AXIS2_PLACEMENT_3D('',#26284,#26285,#26286); +#26288=PLANE('',#26287); +#26289=ORIENTED_EDGE('',*,*,#16104,.F.); +#26291=ORIENTED_EDGE('',*,*,#26290,.T.); +#26292=ORIENTED_EDGE('',*,*,#25341,.T.); +#26293=ORIENTED_EDGE('',*,*,#26277,.F.); +#26294=EDGE_LOOP('',(#26289,#26291,#26292,#26293)); +#26295=FACE_OUTER_BOUND('',#26294,.F.); +#26297=CARTESIAN_POINT('',(-1.614791661501E1,-4.973404288292E0,-2.E1)); +#26298=DIRECTION('',(0.E0,1.E0,0.E0)); +#26299=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26300=AXIS2_PLACEMENT_3D('',#26297,#26298,#26299); +#26301=PLANE('',#26300); +#26302=ORIENTED_EDGE('',*,*,#16102,.F.); +#26304=ORIENTED_EDGE('',*,*,#26303,.T.); +#26305=ORIENTED_EDGE('',*,*,#25343,.T.); +#26306=ORIENTED_EDGE('',*,*,#26290,.F.); +#26307=EDGE_LOOP('',(#26302,#26304,#26305,#26306)); +#26308=FACE_OUTER_BOUND('',#26307,.F.); +#26310=CARTESIAN_POINT('',(-1.60125E1,-5.015957474709E0,-2.E1)); +#26311=DIRECTION('',(2.997860673647E-1,9.540064537591E-1,0.E0)); +#26312=DIRECTION('',(-9.540064537591E-1,2.997860673647E-1,0.E0)); +#26313=AXIS2_PLACEMENT_3D('',#26310,#26311,#26312); +#26314=PLANE('',#26313); +#26315=ORIENTED_EDGE('',*,*,#16100,.F.); +#26317=ORIENTED_EDGE('',*,*,#26316,.T.); +#26318=ORIENTED_EDGE('',*,*,#25345,.T.); +#26319=ORIENTED_EDGE('',*,*,#26303,.F.); +#26320=EDGE_LOOP('',(#26315,#26317,#26318,#26319)); +#26321=FACE_OUTER_BOUND('',#26320,.F.); +#26323=CARTESIAN_POINT('',(-1.587708330750E1,-5.143617033958E0,-2.E1)); +#26324=DIRECTION('',(6.859587159497E-1,7.276404606759E-1,0.E0)); +#26325=DIRECTION('',(-7.276404606759E-1,6.859587159497E-1,0.E0)); +#26326=AXIS2_PLACEMENT_3D('',#26323,#26324,#26325); +#26327=PLANE('',#26326); +#26328=ORIENTED_EDGE('',*,*,#16098,.F.); +#26329=ORIENTED_EDGE('',*,*,#25824,.T.); +#26330=ORIENTED_EDGE('',*,*,#25347,.T.); +#26331=ORIENTED_EDGE('',*,*,#26316,.F.); +#26332=EDGE_LOOP('',(#26328,#26329,#26330,#26331)); +#26333=FACE_OUTER_BOUND('',#26332,.F.); +#26335=CARTESIAN_POINT('',(-1.590416669250E1,-5.398936152458E0,-2.E1)); +#26336=DIRECTION('',(-9.782277910077E-1,-2.075340668426E-1,0.E0)); +#26337=DIRECTION('',(2.075340668426E-1,-9.782277910077E-1,0.E0)); +#26338=AXIS2_PLACEMENT_3D('',#26335,#26336,#26337); +#26339=PLANE('',#26338); +#26340=ORIENTED_EDGE('',*,*,#15617,.F.); +#26342=ORIENTED_EDGE('',*,*,#26341,.T.); +#26343=ORIENTED_EDGE('',*,*,#25351,.T.); +#26345=ORIENTED_EDGE('',*,*,#26344,.F.); +#26346=EDGE_LOOP('',(#26340,#26342,#26343,#26345)); +#26347=FACE_OUTER_BOUND('',#26346,.F.); +#26349=CARTESIAN_POINT('',(-1.595833330750E1,-5.313829779625E0,-2.E1)); +#26350=DIRECTION('',(-8.436255038608E-1,-5.369320340933E-1,0.E0)); +#26351=DIRECTION('',(5.369320340933E-1,-8.436255038608E-1,0.E0)); +#26352=AXIS2_PLACEMENT_3D('',#26349,#26350,#26351); +#26353=PLANE('',#26352); +#26354=ORIENTED_EDGE('',*,*,#15615,.F.); +#26356=ORIENTED_EDGE('',*,*,#26355,.T.); +#26357=ORIENTED_EDGE('',*,*,#25353,.T.); +#26358=ORIENTED_EDGE('',*,*,#26341,.F.); +#26359=EDGE_LOOP('',(#26354,#26356,#26357,#26358)); +#26360=FACE_OUTER_BOUND('',#26359,.F.); +#26362=CARTESIAN_POINT('',(-1.603958330750E1,-5.228723406792E0,-2.E1)); +#26363=DIRECTION('',(-7.233041855288E-1,-6.905295469396E-1,0.E0)); +#26364=DIRECTION('',(6.905295469396E-1,-7.233041855288E-1,0.E0)); +#26365=AXIS2_PLACEMENT_3D('',#26362,#26363,#26364); +#26366=PLANE('',#26365); +#26367=ORIENTED_EDGE('',*,*,#15653,.F.); +#26369=ORIENTED_EDGE('',*,*,#26368,.T.); +#26370=ORIENTED_EDGE('',*,*,#25355,.T.); +#26371=ORIENTED_EDGE('',*,*,#26355,.F.); +#26372=EDGE_LOOP('',(#26367,#26369,#26370,#26371)); +#26373=FACE_OUTER_BOUND('',#26372,.F.); +#26375=CARTESIAN_POINT('',(-1.612083330750E1,-5.186170220375E0,-2.E1)); +#26376=DIRECTION('',(-4.639527744152E-1,-8.858599342517E-1,0.E0)); +#26377=DIRECTION('',(8.858599342517E-1,-4.639527744152E-1,0.E0)); +#26378=AXIS2_PLACEMENT_3D('',#26375,#26376,#26377); +#26379=PLANE('',#26378); +#26380=ORIENTED_EDGE('',*,*,#15651,.F.); +#26382=ORIENTED_EDGE('',*,*,#26381,.T.); +#26383=ORIENTED_EDGE('',*,*,#25357,.T.); +#26384=ORIENTED_EDGE('',*,*,#26368,.F.); +#26385=EDGE_LOOP('',(#26380,#26382,#26383,#26384)); +#26386=FACE_OUTER_BOUND('',#26385,.F.); +#26388=CARTESIAN_POINT('',(-1.631041661501E1,-5.186170220375E0,-2.E1)); +#26389=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26390=DIRECTION('',(1.E0,0.E0,0.E0)); +#26391=AXIS2_PLACEMENT_3D('',#26388,#26389,#26390); +#26392=PLANE('',#26391); +#26393=ORIENTED_EDGE('',*,*,#15649,.F.); +#26395=ORIENTED_EDGE('',*,*,#26394,.T.); +#26396=ORIENTED_EDGE('',*,*,#25359,.T.); +#26397=ORIENTED_EDGE('',*,*,#26381,.F.); +#26398=EDGE_LOOP('',(#26393,#26395,#26396,#26397)); +#26399=FACE_OUTER_BOUND('',#26398,.F.); +#26401=CARTESIAN_POINT('',(-1.639166661501E1,-5.228723406792E0,-2.E1)); +#26402=DIRECTION('',(4.639527744152E-1,-8.858599342517E-1,0.E0)); +#26403=DIRECTION('',(8.858599342517E-1,4.639527744152E-1,0.E0)); +#26404=AXIS2_PLACEMENT_3D('',#26401,#26402,#26403); +#26405=PLANE('',#26404); +#26406=ORIENTED_EDGE('',*,*,#15647,.F.); +#26408=ORIENTED_EDGE('',*,*,#26407,.T.); +#26409=ORIENTED_EDGE('',*,*,#25361,.T.); +#26410=ORIENTED_EDGE('',*,*,#26394,.F.); +#26411=EDGE_LOOP('',(#26406,#26408,#26409,#26410)); +#26412=FACE_OUTER_BOUND('',#26411,.F.); +#26414=CARTESIAN_POINT('',(-1.647291661501E1,-5.313829779625E0,-2.E1)); +#26415=DIRECTION('',(7.233041855288E-1,-6.905295469396E-1,0.E0)); +#26416=DIRECTION('',(6.905295469396E-1,7.233041855288E-1,0.E0)); +#26417=AXIS2_PLACEMENT_3D('',#26414,#26415,#26416); +#26418=PLANE('',#26417); +#26419=ORIENTED_EDGE('',*,*,#15645,.F.); +#26421=ORIENTED_EDGE('',*,*,#26420,.T.); +#26422=ORIENTED_EDGE('',*,*,#25363,.T.); +#26423=ORIENTED_EDGE('',*,*,#26407,.F.); +#26424=EDGE_LOOP('',(#26419,#26421,#26422,#26423)); +#26425=FACE_OUTER_BOUND('',#26424,.F.); +#26427=CARTESIAN_POINT('',(-1.652708330750E1,-5.398936152458E0,-2.E1)); +#26428=DIRECTION('',(8.436251559402E-1,-5.369325807444E-1,0.E0)); +#26429=DIRECTION('',(5.369325807444E-1,8.436251559402E-1,0.E0)); +#26430=AXIS2_PLACEMENT_3D('',#26427,#26428,#26429); +#26431=PLANE('',#26430); +#26432=ORIENTED_EDGE('',*,*,#15643,.F.); +#26434=ORIENTED_EDGE('',*,*,#26433,.T.); +#26435=ORIENTED_EDGE('',*,*,#25365,.T.); +#26436=ORIENTED_EDGE('',*,*,#26420,.F.); +#26437=EDGE_LOOP('',(#26432,#26434,#26435,#26436)); +#26438=FACE_OUTER_BOUND('',#26437,.F.); +#26440=CARTESIAN_POINT('',(-1.655416661501E1,-5.526595771313E0,-2.E1)); +#26441=DIRECTION('',(9.782279115498E-1,-2.075334986573E-1,0.E0)); +#26442=DIRECTION('',(2.075334986573E-1,9.782279115498E-1,0.E0)); +#26443=AXIS2_PLACEMENT_3D('',#26440,#26441,#26442); +#26444=PLANE('',#26443); +#26445=ORIENTED_EDGE('',*,*,#15641,.F.); +#26447=ORIENTED_EDGE('',*,*,#26446,.T.); +#26448=ORIENTED_EDGE('',*,*,#25367,.T.); +#26449=ORIENTED_EDGE('',*,*,#26433,.F.); +#26450=EDGE_LOOP('',(#26445,#26447,#26448,#26449)); +#26451=FACE_OUTER_BOUND('',#26450,.F.); +#26453=CARTESIAN_POINT('',(-1.655416661501E1,-5.654255330563E0,-2.E1)); +#26454=DIRECTION('',(1.E0,0.E0,0.E0)); +#26455=DIRECTION('',(0.E0,1.E0,0.E0)); +#26456=AXIS2_PLACEMENT_3D('',#26453,#26454,#26455); +#26457=PLANE('',#26456); +#26458=ORIENTED_EDGE('',*,*,#15639,.F.); +#26460=ORIENTED_EDGE('',*,*,#26459,.T.); +#26461=ORIENTED_EDGE('',*,*,#25369,.T.); +#26462=ORIENTED_EDGE('',*,*,#26446,.F.); +#26463=EDGE_LOOP('',(#26458,#26460,#26461,#26462)); +#26464=FACE_OUTER_BOUND('',#26463,.F.); +#26466=CARTESIAN_POINT('',(-1.652708330750E1,-5.781914889812E0,-2.E1)); +#26467=DIRECTION('',(9.782278918780E-1,2.075335913819E-1,0.E0)); +#26468=DIRECTION('',(-2.075335913819E-1,9.782278918780E-1,0.E0)); +#26469=AXIS2_PLACEMENT_3D('',#26466,#26467,#26468); +#26470=PLANE('',#26469); +#26471=ORIENTED_EDGE('',*,*,#15637,.F.); +#26473=ORIENTED_EDGE('',*,*,#26472,.T.); +#26474=ORIENTED_EDGE('',*,*,#25371,.T.); +#26475=ORIENTED_EDGE('',*,*,#26459,.F.); +#26476=EDGE_LOOP('',(#26471,#26473,#26474,#26475)); +#26477=FACE_OUTER_BOUND('',#26476,.F.); +#26479=CARTESIAN_POINT('',(-1.647291661501E1,-5.909574478865E0,-2.E1)); +#26480=DIRECTION('',(9.205608349297E-1,3.905992181168E-1,0.E0)); +#26481=DIRECTION('',(-3.905992181168E-1,9.205608349297E-1,0.E0)); +#26482=AXIS2_PLACEMENT_3D('',#26479,#26480,#26481); +#26483=PLANE('',#26482); +#26484=ORIENTED_EDGE('',*,*,#15635,.F.); +#26486=ORIENTED_EDGE('',*,*,#26485,.T.); +#26487=ORIENTED_EDGE('',*,*,#25373,.T.); +#26488=ORIENTED_EDGE('',*,*,#26472,.F.); +#26489=EDGE_LOOP('',(#26484,#26486,#26487,#26488)); +#26490=FACE_OUTER_BOUND('',#26489,.F.); +#26492=CARTESIAN_POINT('',(-1.639166661501E1,-5.994680851698E0,-2.E1)); +#26493=DIRECTION('',(7.233041855288E-1,6.905295469396E-1,0.E0)); +#26494=DIRECTION('',(-6.905295469396E-1,7.233041855288E-1,0.E0)); +#26495=AXIS2_PLACEMENT_3D('',#26492,#26493,#26494); +#26496=PLANE('',#26495); +#26497=ORIENTED_EDGE('',*,*,#15633,.F.); +#26499=ORIENTED_EDGE('',*,*,#26498,.T.); +#26500=ORIENTED_EDGE('',*,*,#25375,.T.); +#26501=ORIENTED_EDGE('',*,*,#26485,.F.); +#26502=EDGE_LOOP('',(#26497,#26499,#26500,#26501)); +#26503=FACE_OUTER_BOUND('',#26502,.F.); +#26505=CARTESIAN_POINT('',(-1.631041661501E1,-6.037234038115E0,-2.E1)); +#26506=DIRECTION('',(4.639527744152E-1,8.858599342517E-1,0.E0)); +#26507=DIRECTION('',(-8.858599342517E-1,4.639527744152E-1,0.E0)); +#26508=AXIS2_PLACEMENT_3D('',#26505,#26506,#26507); +#26509=PLANE('',#26508); +#26510=ORIENTED_EDGE('',*,*,#15631,.F.); +#26512=ORIENTED_EDGE('',*,*,#26511,.T.); +#26513=ORIENTED_EDGE('',*,*,#25377,.T.); +#26514=ORIENTED_EDGE('',*,*,#26498,.F.); +#26515=EDGE_LOOP('',(#26510,#26512,#26513,#26514)); +#26516=FACE_OUTER_BOUND('',#26515,.F.); +#26518=CARTESIAN_POINT('',(-1.612083330750E1,-6.037234038115E0,-2.E1)); +#26519=DIRECTION('',(0.E0,1.E0,0.E0)); +#26520=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26521=AXIS2_PLACEMENT_3D('',#26518,#26519,#26520); +#26522=PLANE('',#26521); +#26523=ORIENTED_EDGE('',*,*,#15629,.F.); +#26525=ORIENTED_EDGE('',*,*,#26524,.T.); +#26526=ORIENTED_EDGE('',*,*,#25379,.T.); +#26527=ORIENTED_EDGE('',*,*,#26511,.F.); +#26528=EDGE_LOOP('',(#26523,#26525,#26526,#26527)); +#26529=FACE_OUTER_BOUND('',#26528,.F.); +#26531=CARTESIAN_POINT('',(-1.603958330750E1,-5.994680851698E0,-2.E1)); +#26532=DIRECTION('',(-4.639527744152E-1,8.858599342517E-1,0.E0)); +#26533=DIRECTION('',(-8.858599342517E-1,-4.639527744152E-1,0.E0)); +#26534=AXIS2_PLACEMENT_3D('',#26531,#26532,#26533); +#26535=PLANE('',#26534); +#26536=ORIENTED_EDGE('',*,*,#15627,.F.); +#26538=ORIENTED_EDGE('',*,*,#26537,.T.); +#26539=ORIENTED_EDGE('',*,*,#25381,.T.); +#26540=ORIENTED_EDGE('',*,*,#26524,.F.); +#26541=EDGE_LOOP('',(#26536,#26538,#26539,#26540)); +#26542=FACE_OUTER_BOUND('',#26541,.F.); +#26544=CARTESIAN_POINT('',(-1.595833330750E1,-5.909574478865E0,-2.E1)); +#26545=DIRECTION('',(-7.233041855288E-1,6.905295469396E-1,0.E0)); +#26546=DIRECTION('',(-6.905295469396E-1,-7.233041855288E-1,0.E0)); +#26547=AXIS2_PLACEMENT_3D('',#26544,#26545,#26546); +#26548=PLANE('',#26547); +#26549=ORIENTED_EDGE('',*,*,#15625,.F.); +#26551=ORIENTED_EDGE('',*,*,#26550,.T.); +#26552=ORIENTED_EDGE('',*,*,#25383,.T.); +#26553=ORIENTED_EDGE('',*,*,#26537,.F.); +#26554=EDGE_LOOP('',(#26549,#26551,#26552,#26553)); +#26555=FACE_OUTER_BOUND('',#26554,.F.); +#26557=CARTESIAN_POINT('',(-1.590416669250E1,-5.781914889812E0,-2.E1)); +#26558=DIRECTION('',(-9.205610358419E-1,3.905987446084E-1,0.E0)); +#26559=DIRECTION('',(-3.905987446084E-1,-9.205610358419E-1,0.E0)); +#26560=AXIS2_PLACEMENT_3D('',#26557,#26558,#26559); +#26561=PLANE('',#26560); +#26562=ORIENTED_EDGE('',*,*,#15623,.F.); +#26564=ORIENTED_EDGE('',*,*,#26563,.T.); +#26565=ORIENTED_EDGE('',*,*,#25385,.T.); +#26566=ORIENTED_EDGE('',*,*,#26550,.F.); +#26567=EDGE_LOOP('',(#26562,#26564,#26565,#26566)); +#26568=FACE_OUTER_BOUND('',#26567,.F.); +#26570=CARTESIAN_POINT('',(-1.587708330750E1,-5.654255330563E0,-2.E1)); +#26571=DIRECTION('',(-9.782277713358E-1,2.075341595674E-1,0.E0)); +#26572=DIRECTION('',(-2.075341595674E-1,-9.782277713358E-1,0.E0)); +#26573=AXIS2_PLACEMENT_3D('',#26570,#26571,#26572); +#26574=PLANE('',#26573); +#26575=ORIENTED_EDGE('',*,*,#15621,.F.); +#26577=ORIENTED_EDGE('',*,*,#26576,.T.); +#26578=ORIENTED_EDGE('',*,*,#25387,.T.); +#26579=ORIENTED_EDGE('',*,*,#26563,.F.); +#26580=EDGE_LOOP('',(#26575,#26577,#26578,#26579)); +#26581=FACE_OUTER_BOUND('',#26580,.F.); +#26583=CARTESIAN_POINT('',(-1.587708330750E1,-5.526595771313E0,-2.E1)); +#26584=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26585=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26586=AXIS2_PLACEMENT_3D('',#26583,#26584,#26585); +#26587=PLANE('',#26586); +#26588=ORIENTED_EDGE('',*,*,#15619,.F.); +#26589=ORIENTED_EDGE('',*,*,#26344,.T.); +#26590=ORIENTED_EDGE('',*,*,#25389,.T.); +#26591=ORIENTED_EDGE('',*,*,#26576,.F.); +#26592=EDGE_LOOP('',(#26588,#26589,#26590,#26591)); +#26593=FACE_OUTER_BOUND('',#26592,.F.); +#26595=CARTESIAN_POINT('',(1.620833334029E1,4.271276593208E0,-2.E1)); +#26596=DIRECTION('',(-5.893390520654E-1,8.078858098213E-1,0.E0)); +#26597=DIRECTION('',(-8.078858098213E-1,-5.893390520654E-1,0.E0)); +#26598=AXIS2_PLACEMENT_3D('',#26595,#26596,#26597); +#26599=PLANE('',#26598); +#26600=ORIENTED_EDGE('',*,*,#16176,.F.); +#26602=ORIENTED_EDGE('',*,*,#26601,.T.); +#26603=ORIENTED_EDGE('',*,*,#25399,.T.); +#26605=ORIENTED_EDGE('',*,*,#26604,.F.); +#26606=EDGE_LOOP('',(#26600,#26602,#26603,#26605)); +#26607=FACE_OUTER_BOUND('',#26606,.F.); +#26609=CARTESIAN_POINT('',(1.615E1,4.313829779625E0,-2.E1)); +#26610=DIRECTION('',(-5.893390520654E-1,-8.078858098213E-1,0.E0)); +#26611=DIRECTION('',(8.078858098213E-1,-5.893390520654E-1,0.E0)); +#26612=AXIS2_PLACEMENT_3D('',#26609,#26610,#26611); +#26613=PLANE('',#26612); +#26614=ORIENTED_EDGE('',*,*,#16242,.F.); +#26616=ORIENTED_EDGE('',*,*,#26615,.T.); +#26617=ORIENTED_EDGE('',*,*,#25401,.T.); +#26618=ORIENTED_EDGE('',*,*,#26601,.F.); +#26619=EDGE_LOOP('',(#26614,#26616,#26617,#26618)); +#26620=FACE_OUTER_BOUND('',#26619,.F.); +#26622=CARTESIAN_POINT('',(1.60625E1,4.441489338875E0,-2.E1)); +#26623=DIRECTION('',(-8.248433014776E-1,-5.653614136174E-1,0.E0)); +#26624=DIRECTION('',(5.653614136174E-1,-8.248433014776E-1,0.E0)); +#26625=AXIS2_PLACEMENT_3D('',#26622,#26623,#26624); +#26626=PLANE('',#26625); +#26627=ORIENTED_EDGE('',*,*,#16240,.F.); +#26629=ORIENTED_EDGE('',*,*,#26628,.T.); +#26630=ORIENTED_EDGE('',*,*,#25403,.T.); +#26631=ORIENTED_EDGE('',*,*,#26615,.F.); +#26632=EDGE_LOOP('',(#26627,#26629,#26630,#26631)); +#26633=FACE_OUTER_BOUND('',#26632,.F.); +#26635=CARTESIAN_POINT('',(1.600416666754E1,4.611702084541E0,-2.E1)); +#26636=DIRECTION('',(-9.459891151502E-1,-3.241983868211E-1,0.E0)); +#26637=DIRECTION('',(3.241983868211E-1,-9.459891151502E-1,0.E0)); +#26638=AXIS2_PLACEMENT_3D('',#26635,#26636,#26637); +#26639=PLANE('',#26638); +#26640=ORIENTED_EDGE('',*,*,#16238,.F.); +#26642=ORIENTED_EDGE('',*,*,#26641,.T.); +#26643=ORIENTED_EDGE('',*,*,#25405,.T.); +#26644=ORIENTED_EDGE('',*,*,#26628,.F.); +#26645=EDGE_LOOP('',(#26640,#26642,#26643,#26644)); +#26646=FACE_OUTER_BOUND('',#26645,.F.); +#26648=CARTESIAN_POINT('',(1.600416666754E1,4.739361643791E0,-2.E1)); +#26649=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26650=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26651=AXIS2_PLACEMENT_3D('',#26648,#26649,#26650); +#26652=PLANE('',#26651); +#26653=ORIENTED_EDGE('',*,*,#16236,.F.); +#26655=ORIENTED_EDGE('',*,*,#26654,.T.); +#26656=ORIENTED_EDGE('',*,*,#25407,.T.); +#26657=ORIENTED_EDGE('',*,*,#26641,.F.); +#26658=EDGE_LOOP('',(#26653,#26655,#26656,#26657)); +#26659=FACE_OUTER_BOUND('',#26658,.F.); +#26661=CARTESIAN_POINT('',(1.60625E1,4.952127695084E0,-2.E1)); +#26662=DIRECTION('',(-9.644106059944E-1,2.644091205794E-1,0.E0)); +#26663=DIRECTION('',(-2.644091205794E-1,-9.644106059944E-1,0.E0)); +#26664=AXIS2_PLACEMENT_3D('',#26661,#26662,#26663); +#26665=PLANE('',#26664); +#26666=ORIENTED_EDGE('',*,*,#16234,.F.); +#26668=ORIENTED_EDGE('',*,*,#26667,.T.); +#26669=ORIENTED_EDGE('',*,*,#25409,.T.); +#26670=ORIENTED_EDGE('',*,*,#26654,.F.); +#26671=EDGE_LOOP('',(#26666,#26668,#26669,#26670)); +#26672=FACE_OUTER_BOUND('',#26671,.F.); +#26674=CARTESIAN_POINT('',(1.615E1,5.079787254333E0,-2.E1)); +#26675=DIRECTION('',(-8.248433014776E-1,5.653614136174E-1,0.E0)); +#26676=DIRECTION('',(-5.653614136174E-1,-8.248433014776E-1,0.E0)); +#26677=AXIS2_PLACEMENT_3D('',#26674,#26675,#26676); +#26678=PLANE('',#26677); +#26679=ORIENTED_EDGE('',*,*,#16232,.F.); +#26681=ORIENTED_EDGE('',*,*,#26680,.T.); +#26682=ORIENTED_EDGE('',*,*,#25411,.T.); +#26683=ORIENTED_EDGE('',*,*,#26667,.F.); +#26684=EDGE_LOOP('',(#26679,#26681,#26682,#26683)); +#26685=FACE_OUTER_BOUND('',#26684,.F.); +#26687=CARTESIAN_POINT('',(1.629583334029E1,5.207446813583E0,-2.E1)); +#26688=DIRECTION('',(-6.586664444793E-1,7.524350569431E-1,0.E0)); +#26689=DIRECTION('',(-7.524350569431E-1,-6.586664444793E-1,0.E0)); +#26690=AXIS2_PLACEMENT_3D('',#26687,#26688,#26689); +#26691=PLANE('',#26690); +#26692=ORIENTED_EDGE('',*,*,#16230,.F.); +#26694=ORIENTED_EDGE('',*,*,#26693,.T.); +#26695=ORIENTED_EDGE('',*,*,#25413,.T.); +#26696=ORIENTED_EDGE('',*,*,#26680,.F.); +#26697=EDGE_LOOP('',(#26692,#26694,#26695,#26696)); +#26698=FACE_OUTER_BOUND('',#26697,.F.); +#26700=CARTESIAN_POINT('',(1.644166668057E1,5.25E0,-2.E1)); +#26701=DIRECTION('',(-2.801119998294E-1,9.599673262938E-1,0.E0)); +#26702=DIRECTION('',(-9.599673262938E-1,-2.801119998294E-1,0.E0)); +#26703=AXIS2_PLACEMENT_3D('',#26700,#26701,#26702); +#26704=PLANE('',#26703); +#26705=ORIENTED_EDGE('',*,*,#16228,.F.); +#26707=ORIENTED_EDGE('',*,*,#26706,.T.); +#26708=ORIENTED_EDGE('',*,*,#25415,.T.); +#26709=ORIENTED_EDGE('',*,*,#26693,.F.); +#26710=EDGE_LOOP('',(#26705,#26707,#26708,#26709)); +#26711=FACE_OUTER_BOUND('',#26710,.F.); +#26713=CARTESIAN_POINT('',(1.655833331943E1,5.25E0,-2.E1)); +#26714=DIRECTION('',(0.E0,1.E0,0.E0)); +#26715=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26716=AXIS2_PLACEMENT_3D('',#26713,#26714,#26715); +#26717=PLANE('',#26716); +#26718=ORIENTED_EDGE('',*,*,#16226,.F.); +#26720=ORIENTED_EDGE('',*,*,#26719,.T.); +#26721=ORIENTED_EDGE('',*,*,#25417,.T.); +#26722=ORIENTED_EDGE('',*,*,#26706,.F.); +#26723=EDGE_LOOP('',(#26718,#26720,#26721,#26722)); +#26724=FACE_OUTER_BOUND('',#26723,.F.); +#26726=CARTESIAN_POINT('',(1.670416663885E1,5.207446813583E0,-2.E1)); +#26727=DIRECTION('',(2.801120367557E-1,9.599673155189E-1,0.E0)); +#26728=DIRECTION('',(-9.599673155189E-1,2.801120367557E-1,0.E0)); +#26729=AXIS2_PLACEMENT_3D('',#26726,#26727,#26728); +#26730=PLANE('',#26729); +#26731=ORIENTED_EDGE('',*,*,#16224,.F.); +#26733=ORIENTED_EDGE('',*,*,#26732,.T.); +#26734=ORIENTED_EDGE('',*,*,#25419,.T.); +#26735=ORIENTED_EDGE('',*,*,#26719,.F.); +#26736=EDGE_LOOP('',(#26731,#26733,#26734,#26735)); +#26737=FACE_OUTER_BOUND('',#26736,.F.); +#26739=CARTESIAN_POINT('',(1.685E1,5.079787254333E0,-2.E1)); +#26740=DIRECTION('',(6.586663911342E-1,7.524351036404E-1,0.E0)); +#26741=DIRECTION('',(-7.524351036404E-1,6.586663911342E-1,0.E0)); +#26742=AXIS2_PLACEMENT_3D('',#26739,#26740,#26741); +#26743=PLANE('',#26742); +#26744=ORIENTED_EDGE('',*,*,#16222,.F.); +#26746=ORIENTED_EDGE('',*,*,#26745,.T.); +#26747=ORIENTED_EDGE('',*,*,#25421,.T.); +#26748=ORIENTED_EDGE('',*,*,#26732,.F.); +#26749=EDGE_LOOP('',(#26744,#26746,#26747,#26748)); +#26750=FACE_OUTER_BOUND('',#26749,.F.); +#26752=CARTESIAN_POINT('',(1.69375E1,4.952127695084E0,-2.E1)); +#26753=DIRECTION('',(8.248433014776E-1,5.653614136174E-1,0.E0)); +#26754=DIRECTION('',(-5.653614136174E-1,8.248433014776E-1,0.E0)); +#26755=AXIS2_PLACEMENT_3D('',#26752,#26753,#26754); +#26756=PLANE('',#26755); +#26757=ORIENTED_EDGE('',*,*,#16220,.F.); +#26759=ORIENTED_EDGE('',*,*,#26758,.T.); +#26760=ORIENTED_EDGE('',*,*,#25423,.T.); +#26761=ORIENTED_EDGE('',*,*,#26745,.F.); +#26762=EDGE_LOOP('',(#26757,#26759,#26760,#26761)); +#26763=FACE_OUTER_BOUND('',#26762,.F.); +#26765=CARTESIAN_POINT('',(1.699583336115E1,4.739361643791E0,-2.E1)); +#26766=DIRECTION('',(9.644105728394E-1,2.644092415095E-1,0.E0)); +#26767=DIRECTION('',(-2.644092415095E-1,9.644105728394E-1,0.E0)); +#26768=AXIS2_PLACEMENT_3D('',#26765,#26766,#26767); +#26769=PLANE('',#26768); +#26770=ORIENTED_EDGE('',*,*,#16218,.F.); +#26772=ORIENTED_EDGE('',*,*,#26771,.T.); +#26773=ORIENTED_EDGE('',*,*,#25425,.T.); +#26774=ORIENTED_EDGE('',*,*,#26758,.F.); +#26775=EDGE_LOOP('',(#26770,#26772,#26773,#26774)); +#26776=FACE_OUTER_BOUND('',#26775,.F.); +#26778=CARTESIAN_POINT('',(1.699583336115E1,4.611702084541E0,-2.E1)); +#26779=DIRECTION('',(1.E0,0.E0,0.E0)); +#26780=DIRECTION('',(0.E0,1.E0,0.E0)); +#26781=AXIS2_PLACEMENT_3D('',#26778,#26779,#26780); +#26782=PLANE('',#26781); +#26783=ORIENTED_EDGE('',*,*,#16216,.F.); +#26785=ORIENTED_EDGE('',*,*,#26784,.T.); +#26786=ORIENTED_EDGE('',*,*,#25427,.T.); +#26787=ORIENTED_EDGE('',*,*,#26771,.F.); +#26788=EDGE_LOOP('',(#26783,#26785,#26786,#26787)); +#26789=FACE_OUTER_BOUND('',#26788,.F.); +#26791=CARTESIAN_POINT('',(1.69375E1,4.441489338875E0,-2.E1)); +#26792=DIRECTION('',(9.459890662577E-1,-3.241985294860E-1,0.E0)); +#26793=DIRECTION('',(3.241985294860E-1,9.459890662577E-1,0.E0)); +#26794=AXIS2_PLACEMENT_3D('',#26791,#26792,#26793); +#26795=PLANE('',#26794); +#26796=ORIENTED_EDGE('',*,*,#16214,.F.); +#26798=ORIENTED_EDGE('',*,*,#26797,.T.); +#26799=ORIENTED_EDGE('',*,*,#25429,.T.); +#26800=ORIENTED_EDGE('',*,*,#26784,.F.); +#26801=EDGE_LOOP('',(#26796,#26798,#26799,#26800)); +#26802=FACE_OUTER_BOUND('',#26801,.F.); +#26804=CARTESIAN_POINT('',(1.685E1,4.313829779625E0,-2.E1)); +#26805=DIRECTION('',(8.248433014776E-1,-5.653614136174E-1,0.E0)); +#26806=DIRECTION('',(5.653614136174E-1,8.248433014776E-1,0.E0)); +#26807=AXIS2_PLACEMENT_3D('',#26804,#26805,#26806); +#26808=PLANE('',#26807); +#26809=ORIENTED_EDGE('',*,*,#16212,.F.); +#26811=ORIENTED_EDGE('',*,*,#26810,.T.); +#26812=ORIENTED_EDGE('',*,*,#25431,.T.); +#26813=ORIENTED_EDGE('',*,*,#26797,.F.); +#26814=EDGE_LOOP('',(#26809,#26811,#26812,#26813)); +#26815=FACE_OUTER_BOUND('',#26814,.F.); +#26817=CARTESIAN_POINT('',(1.679166663885E1,4.271276593208E0,-2.E1)); +#26818=DIRECTION('',(5.893389145041E-1,-8.078859101700E-1,0.E0)); +#26819=DIRECTION('',(8.078859101700E-1,5.893389145041E-1,0.E0)); +#26820=AXIS2_PLACEMENT_3D('',#26817,#26818,#26819); +#26821=PLANE('',#26820); +#26822=ORIENTED_EDGE('',*,*,#16210,.F.); +#26824=ORIENTED_EDGE('',*,*,#26823,.T.); +#26825=ORIENTED_EDGE('',*,*,#25433,.T.); +#26826=ORIENTED_EDGE('',*,*,#26810,.F.); +#26827=EDGE_LOOP('',(#26822,#26824,#26825,#26826)); +#26828=FACE_OUTER_BOUND('',#26827,.F.); +#26830=CARTESIAN_POINT('',(1.685E1,4.228723406792E0,-2.E1)); +#26831=DIRECTION('',(5.893389145041E-1,8.078859101700E-1,0.E0)); +#26832=DIRECTION('',(-8.078859101700E-1,5.893389145041E-1,0.E0)); +#26833=AXIS2_PLACEMENT_3D('',#26830,#26831,#26832); +#26834=PLANE('',#26833); +#26835=ORIENTED_EDGE('',*,*,#16208,.F.); +#26837=ORIENTED_EDGE('',*,*,#26836,.T.); +#26838=ORIENTED_EDGE('',*,*,#25435,.T.); +#26839=ORIENTED_EDGE('',*,*,#26823,.F.); +#26840=EDGE_LOOP('',(#26835,#26837,#26838,#26839)); +#26841=FACE_OUTER_BOUND('',#26840,.F.); +#26843=CARTESIAN_POINT('',(1.696666663885E1,4.101063847542E0,-2.E1)); +#26844=DIRECTION('',(7.381747119181E-1,6.746095868609E-1,0.E0)); +#26845=DIRECTION('',(-6.746095868609E-1,7.381747119181E-1,0.E0)); +#26846=AXIS2_PLACEMENT_3D('',#26843,#26844,#26845); +#26847=PLANE('',#26846); +#26848=ORIENTED_EDGE('',*,*,#16206,.F.); +#26850=ORIENTED_EDGE('',*,*,#26849,.T.); +#26851=ORIENTED_EDGE('',*,*,#25437,.T.); +#26852=ORIENTED_EDGE('',*,*,#26836,.F.); +#26853=EDGE_LOOP('',(#26848,#26850,#26851,#26852)); +#26854=FACE_OUTER_BOUND('',#26853,.F.); +#26856=CARTESIAN_POINT('',(1.7025E1,3.888297855854E0,-2.E1)); +#26857=DIRECTION('',(9.644105539511E-1,2.644093104030E-1,0.E0)); +#26858=DIRECTION('',(-2.644093104030E-1,9.644105539511E-1,0.E0)); +#26859=AXIS2_PLACEMENT_3D('',#26856,#26857,#26858); +#26860=PLANE('',#26859); +#26861=ORIENTED_EDGE('',*,*,#16204,.F.); +#26863=ORIENTED_EDGE('',*,*,#26862,.T.); +#26864=ORIENTED_EDGE('',*,*,#25439,.T.); +#26865=ORIENTED_EDGE('',*,*,#26849,.F.); +#26866=EDGE_LOOP('',(#26861,#26863,#26864,#26865)); +#26867=FACE_OUTER_BOUND('',#26866,.F.); +#26869=CARTESIAN_POINT('',(1.7025E1,3.760638296604E0,-2.E1)); +#26870=DIRECTION('',(1.E0,0.E0,0.E0)); +#26871=DIRECTION('',(0.E0,1.E0,0.E0)); +#26872=AXIS2_PLACEMENT_3D('',#26869,#26870,#26871); +#26873=PLANE('',#26872); +#26874=ORIENTED_EDGE('',*,*,#16202,.F.); +#26876=ORIENTED_EDGE('',*,*,#26875,.T.); +#26877=ORIENTED_EDGE('',*,*,#25441,.T.); +#26878=ORIENTED_EDGE('',*,*,#26862,.F.); +#26879=EDGE_LOOP('',(#26874,#26876,#26877,#26878)); +#26880=FACE_OUTER_BOUND('',#26879,.F.); +#26882=CARTESIAN_POINT('',(1.696666663885E1,3.547872334719E0,-2.E1)); +#26883=DIRECTION('',(9.644105445069E-1,-2.644093448498E-1,0.E0)); +#26884=DIRECTION('',(2.644093448498E-1,9.644105445069E-1,0.E0)); +#26885=AXIS2_PLACEMENT_3D('',#26882,#26883,#26884); +#26886=PLANE('',#26885); +#26887=ORIENTED_EDGE('',*,*,#16200,.F.); +#26889=ORIENTED_EDGE('',*,*,#26888,.T.); +#26890=ORIENTED_EDGE('',*,*,#25443,.T.); +#26891=ORIENTED_EDGE('',*,*,#26875,.F.); +#26892=EDGE_LOOP('',(#26887,#26889,#26890,#26891)); +#26893=FACE_OUTER_BOUND('',#26892,.F.); +#26895=CARTESIAN_POINT('',(1.687916663885E1,3.420212760568E0,-2.E1)); +#26896=DIRECTION('',(8.248433322521E-1,-5.653613687185E-1,0.E0)); +#26897=DIRECTION('',(5.653613687185E-1,8.248433322521E-1,0.E0)); +#26898=AXIS2_PLACEMENT_3D('',#26895,#26896,#26897); +#26899=PLANE('',#26898); +#26900=ORIENTED_EDGE('',*,*,#16198,.F.); +#26902=ORIENTED_EDGE('',*,*,#26901,.T.); +#26903=ORIENTED_EDGE('',*,*,#25445,.T.); +#26904=ORIENTED_EDGE('',*,*,#26888,.F.); +#26905=EDGE_LOOP('',(#26900,#26902,#26903,#26904)); +#26906=FACE_OUTER_BOUND('',#26905,.F.); +#26908=CARTESIAN_POINT('',(1.673333336115E1,3.292553190142E0,-2.E1)); +#26909=DIRECTION('',(6.586666371609E-1,-7.524348882735E-1,0.E0)); +#26910=DIRECTION('',(7.524348882735E-1,6.586666371609E-1,0.E0)); +#26911=AXIS2_PLACEMENT_3D('',#26908,#26909,#26910); +#26912=PLANE('',#26911); +#26913=ORIENTED_EDGE('',*,*,#16196,.F.); +#26915=ORIENTED_EDGE('',*,*,#26914,.T.); +#26916=ORIENTED_EDGE('',*,*,#25447,.T.); +#26917=ORIENTED_EDGE('',*,*,#26901,.F.); +#26918=EDGE_LOOP('',(#26913,#26915,#26916,#26917)); +#26919=FACE_OUTER_BOUND('',#26918,.F.); +#26921=CARTESIAN_POINT('',(1.65875E1,3.25E0,-2.E1)); +#26922=DIRECTION('',(2.801119855012E-1,-9.599673304746E-1,0.E0)); +#26923=DIRECTION('',(9.599673304746E-1,2.801119855012E-1,0.E0)); +#26924=AXIS2_PLACEMENT_3D('',#26921,#26922,#26923); +#26925=PLANE('',#26924); +#26926=ORIENTED_EDGE('',*,*,#16194,.F.); +#26928=ORIENTED_EDGE('',*,*,#26927,.T.); +#26929=ORIENTED_EDGE('',*,*,#25449,.T.); +#26930=ORIENTED_EDGE('',*,*,#26914,.F.); +#26931=EDGE_LOOP('',(#26926,#26928,#26929,#26930)); +#26932=FACE_OUTER_BOUND('',#26931,.F.); +#26934=CARTESIAN_POINT('',(1.64125E1,3.25E0,-2.E1)); +#26935=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26936=DIRECTION('',(1.E0,0.E0,0.E0)); +#26937=AXIS2_PLACEMENT_3D('',#26934,#26935,#26936); +#26938=PLANE('',#26937); +#26939=ORIENTED_EDGE('',*,*,#16192,.F.); +#26941=ORIENTED_EDGE('',*,*,#26940,.T.); +#26942=ORIENTED_EDGE('',*,*,#25451,.T.); +#26943=ORIENTED_EDGE('',*,*,#26927,.F.); +#26944=EDGE_LOOP('',(#26939,#26941,#26942,#26943)); +#26945=FACE_OUTER_BOUND('',#26944,.F.); +#26947=CARTESIAN_POINT('',(1.626666665971E1,3.292553190142E0,-2.E1)); +#26948=DIRECTION('',(-2.801120224275E-1,-9.599673196998E-1,0.E0)); +#26949=DIRECTION('',(9.599673196998E-1,-2.801120224275E-1,0.E0)); +#26950=AXIS2_PLACEMENT_3D('',#26947,#26948,#26949); +#26951=PLANE('',#26950); +#26952=ORIENTED_EDGE('',*,*,#16190,.F.); +#26954=ORIENTED_EDGE('',*,*,#26953,.T.); +#26955=ORIENTED_EDGE('',*,*,#25453,.T.); +#26956=ORIENTED_EDGE('',*,*,#26940,.F.); +#26957=EDGE_LOOP('',(#26952,#26954,#26955,#26956)); +#26958=FACE_OUTER_BOUND('',#26957,.F.); +#26960=CARTESIAN_POINT('',(1.612083332986E1,3.420212760568E0,-2.E1)); +#26961=DIRECTION('',(-6.586665037980E-1,-7.524350050167E-1,0.E0)); +#26962=DIRECTION('',(7.524350050167E-1,-6.586665037980E-1,0.E0)); +#26963=AXIS2_PLACEMENT_3D('',#26960,#26961,#26962); +#26964=PLANE('',#26963); +#26965=ORIENTED_EDGE('',*,*,#16188,.F.); +#26967=ORIENTED_EDGE('',*,*,#26966,.T.); +#26968=ORIENTED_EDGE('',*,*,#25455,.T.); +#26969=ORIENTED_EDGE('',*,*,#26953,.F.); +#26970=EDGE_LOOP('',(#26965,#26967,#26968,#26969)); +#26971=FACE_OUTER_BOUND('',#26970,.F.); +#26973=CARTESIAN_POINT('',(1.603333333507E1,3.547872334719E0,-2.E1)); +#26974=DIRECTION('',(-8.248433479667E-1,-5.653613457914E-1,0.E0)); +#26975=DIRECTION('',(5.653613457914E-1,-8.248433479667E-1,0.E0)); +#26976=AXIS2_PLACEMENT_3D('',#26973,#26974,#26975); +#26977=PLANE('',#26976); +#26978=ORIENTED_EDGE('',*,*,#16186,.F.); +#26980=ORIENTED_EDGE('',*,*,#26979,.T.); +#26981=ORIENTED_EDGE('',*,*,#25457,.T.); +#26982=ORIENTED_EDGE('',*,*,#26966,.F.); +#26983=EDGE_LOOP('',(#26978,#26980,#26981,#26982)); +#26984=FACE_OUTER_BOUND('',#26983,.F.); +#26986=CARTESIAN_POINT('',(1.5975E1,3.760638296604E0,-2.E1)); +#26987=DIRECTION('',(-9.644105746478E-1,-2.644092349133E-1,0.E0)); +#26988=DIRECTION('',(2.644092349133E-1,-9.644105746478E-1,0.E0)); +#26989=AXIS2_PLACEMENT_3D('',#26986,#26987,#26988); +#26990=PLANE('',#26989); +#26991=ORIENTED_EDGE('',*,*,#16184,.F.); +#26993=ORIENTED_EDGE('',*,*,#26992,.T.); +#26994=ORIENTED_EDGE('',*,*,#25459,.T.); +#26995=ORIENTED_EDGE('',*,*,#26979,.F.); +#26996=EDGE_LOOP('',(#26991,#26993,#26994,#26995)); +#26997=FACE_OUTER_BOUND('',#26996,.F.); +#26999=CARTESIAN_POINT('',(1.5975E1,3.888297855854E0,-2.E1)); +#27000=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27001=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27002=AXIS2_PLACEMENT_3D('',#26999,#27000,#27001); +#27003=PLANE('',#27002); +#27004=ORIENTED_EDGE('',*,*,#16182,.F.); +#27006=ORIENTED_EDGE('',*,*,#27005,.T.); +#27007=ORIENTED_EDGE('',*,*,#25461,.T.); +#27008=ORIENTED_EDGE('',*,*,#26992,.F.); +#27009=EDGE_LOOP('',(#27004,#27006,#27007,#27008)); +#27010=FACE_OUTER_BOUND('',#27009,.F.); +#27012=CARTESIAN_POINT('',(1.603333333507E1,4.101063847542E0,-2.E1)); +#27013=DIRECTION('',(-9.644105840920E-1,2.644092004665E-1,0.E0)); +#27014=DIRECTION('',(-2.644092004665E-1,-9.644105840920E-1,0.E0)); +#27015=AXIS2_PLACEMENT_3D('',#27012,#27013,#27014); +#27016=PLANE('',#27015); +#27017=ORIENTED_EDGE('',*,*,#16180,.F.); +#27019=ORIENTED_EDGE('',*,*,#27018,.T.); +#27020=ORIENTED_EDGE('',*,*,#25463,.T.); +#27021=ORIENTED_EDGE('',*,*,#27005,.F.); +#27022=EDGE_LOOP('',(#27017,#27019,#27020,#27021)); +#27023=FACE_OUTER_BOUND('',#27022,.F.); +#27025=CARTESIAN_POINT('',(1.615E1,4.228723406792E0,-2.E1)); +#27026=DIRECTION('',(-7.381746368292E-1,6.746096690251E-1,0.E0)); +#27027=DIRECTION('',(-6.746096690251E-1,-7.381746368292E-1,0.E0)); +#27028=AXIS2_PLACEMENT_3D('',#27025,#27026,#27027); +#27029=PLANE('',#27028); +#27030=ORIENTED_EDGE('',*,*,#16178,.F.); +#27031=ORIENTED_EDGE('',*,*,#26604,.T.); +#27032=ORIENTED_EDGE('',*,*,#25465,.T.); +#27033=ORIENTED_EDGE('',*,*,#27018,.F.); +#27034=EDGE_LOOP('',(#27030,#27031,#27032,#27033)); +#27035=FACE_OUTER_BOUND('',#27034,.F.); +#27037=CARTESIAN_POINT('',(1.644166668057E1,3.462765961885E0,-2.E1)); +#27038=DIRECTION('',(3.426599231432E-1,9.394595132689E-1,0.E0)); +#27039=DIRECTION('',(-9.394595132689E-1,3.426599231432E-1,0.E0)); +#27040=AXIS2_PLACEMENT_3D('',#27037,#27038,#27039); +#27041=PLANE('',#27040); +#27042=ORIENTED_EDGE('',*,*,#15663,.F.); +#27044=ORIENTED_EDGE('',*,*,#27043,.T.); +#27045=ORIENTED_EDGE('',*,*,#25469,.T.); +#27047=ORIENTED_EDGE('',*,*,#27046,.F.); +#27048=EDGE_LOOP('',(#27042,#27044,#27045,#27047)); +#27049=FACE_OUTER_BOUND('',#27048,.F.); +#27051=CARTESIAN_POINT('',(1.655833331943E1,3.462765961885E0,-2.E1)); +#27052=DIRECTION('',(0.E0,1.E0,0.E0)); +#27053=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27054=AXIS2_PLACEMENT_3D('',#27051,#27052,#27053); +#27055=PLANE('',#27054); +#27056=ORIENTED_EDGE('',*,*,#15693,.F.); +#27058=ORIENTED_EDGE('',*,*,#27057,.T.); +#27059=ORIENTED_EDGE('',*,*,#25471,.T.); +#27060=ORIENTED_EDGE('',*,*,#27043,.F.); +#27061=EDGE_LOOP('',(#27056,#27058,#27059,#27060)); +#27062=FACE_OUTER_BOUND('',#27061,.F.); +#27064=CARTESIAN_POINT('',(1.6675E1,3.505319148302E0,-2.E1)); +#27065=DIRECTION('',(-3.426599231432E-1,9.394595132689E-1,0.E0)); +#27066=DIRECTION('',(-9.394595132689E-1,-3.426599231432E-1,0.E0)); +#27067=AXIS2_PLACEMENT_3D('',#27064,#27065,#27066); +#27068=PLANE('',#27067); +#27069=ORIENTED_EDGE('',*,*,#15691,.F.); +#27071=ORIENTED_EDGE('',*,*,#27070,.T.); +#27072=ORIENTED_EDGE('',*,*,#25473,.T.); +#27073=ORIENTED_EDGE('',*,*,#27057,.F.); +#27074=EDGE_LOOP('',(#27069,#27071,#27072,#27073)); +#27075=FACE_OUTER_BOUND('',#27074,.F.); +#27077=CARTESIAN_POINT('',(1.679166663885E1,3.590425521135E0,-2.E1)); +#27078=DIRECTION('',(-5.893391896268E-1,8.078857094726E-1,0.E0)); +#27079=DIRECTION('',(-8.078857094726E-1,-5.893391896268E-1,0.E0)); +#27080=AXIS2_PLACEMENT_3D('',#27077,#27078,#27079); +#27081=PLANE('',#27080); +#27082=ORIENTED_EDGE('',*,*,#15689,.F.); +#27084=ORIENTED_EDGE('',*,*,#27083,.T.); +#27085=ORIENTED_EDGE('',*,*,#25475,.T.); +#27086=ORIENTED_EDGE('',*,*,#27070,.F.); +#27087=EDGE_LOOP('',(#27082,#27084,#27085,#27086)); +#27088=FACE_OUTER_BOUND('',#27087,.F.); +#27090=CARTESIAN_POINT('',(1.687916663885E1,3.760638296604E0,-2.E1)); +#27091=DIRECTION('',(-8.893685396158E-1,4.571909893487E-1,0.E0)); +#27092=DIRECTION('',(-4.571909893487E-1,-8.893685396158E-1,0.E0)); +#27093=AXIS2_PLACEMENT_3D('',#27090,#27091,#27092); +#27094=PLANE('',#27093); +#27095=ORIENTED_EDGE('',*,*,#15687,.F.); +#27097=ORIENTED_EDGE('',*,*,#27096,.T.); +#27098=ORIENTED_EDGE('',*,*,#25477,.T.); +#27099=ORIENTED_EDGE('',*,*,#27083,.F.); +#27100=EDGE_LOOP('',(#27095,#27097,#27098,#27099)); +#27101=FACE_OUTER_BOUND('',#27100,.F.); +#27103=CARTESIAN_POINT('',(1.687916663885E1,3.888297855854E0,-2.E1)); +#27104=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27105=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27106=AXIS2_PLACEMENT_3D('',#27103,#27104,#27105); +#27107=PLANE('',#27106); +#27108=ORIENTED_EDGE('',*,*,#15685,.F.); +#27110=ORIENTED_EDGE('',*,*,#27109,.T.); +#27111=ORIENTED_EDGE('',*,*,#25479,.T.); +#27112=ORIENTED_EDGE('',*,*,#27096,.F.); +#27113=EDGE_LOOP('',(#27108,#27110,#27111,#27112)); +#27114=FACE_OUTER_BOUND('',#27113,.F.); +#27116=CARTESIAN_POINT('',(1.679166663885E1,4.058510661125E0,-2.E1)); +#27117=DIRECTION('',(-8.893685721646E-1,-4.571909260319E-1,0.E0)); +#27118=DIRECTION('',(4.571909260319E-1,-8.893685721646E-1,0.E0)); +#27119=AXIS2_PLACEMENT_3D('',#27116,#27117,#27118); +#27120=PLANE('',#27119); +#27121=ORIENTED_EDGE('',*,*,#15683,.F.); +#27123=ORIENTED_EDGE('',*,*,#27122,.T.); +#27124=ORIENTED_EDGE('',*,*,#25481,.T.); +#27125=ORIENTED_EDGE('',*,*,#27109,.F.); +#27126=EDGE_LOOP('',(#27121,#27123,#27124,#27125)); +#27127=FACE_OUTER_BOUND('',#27126,.F.); +#27129=CARTESIAN_POINT('',(1.6675E1,4.143617033958E0,-2.E1)); +#27130=DIRECTION('',(-5.893391896268E-1,-8.078857094726E-1,0.E0)); +#27131=DIRECTION('',(8.078857094726E-1,-5.893391896268E-1,0.E0)); +#27132=AXIS2_PLACEMENT_3D('',#27129,#27130,#27131); +#27133=PLANE('',#27132); +#27134=ORIENTED_EDGE('',*,*,#15681,.F.); +#27136=ORIENTED_EDGE('',*,*,#27135,.T.); +#27137=ORIENTED_EDGE('',*,*,#25483,.T.); +#27138=ORIENTED_EDGE('',*,*,#27122,.F.); +#27139=EDGE_LOOP('',(#27134,#27136,#27137,#27138)); +#27140=FACE_OUTER_BOUND('',#27139,.F.); +#27142=CARTESIAN_POINT('',(1.655833331943E1,4.186170220375E0,-2.E1)); +#27143=DIRECTION('',(-3.426599231432E-1,-9.394595132689E-1,0.E0)); +#27144=DIRECTION('',(9.394595132689E-1,-3.426599231432E-1,0.E0)); +#27145=AXIS2_PLACEMENT_3D('',#27142,#27143,#27144); +#27146=PLANE('',#27145); +#27147=ORIENTED_EDGE('',*,*,#15679,.F.); +#27149=ORIENTED_EDGE('',*,*,#27148,.T.); +#27150=ORIENTED_EDGE('',*,*,#25485,.T.); +#27151=ORIENTED_EDGE('',*,*,#27135,.F.); +#27152=EDGE_LOOP('',(#27147,#27149,#27150,#27151)); +#27153=FACE_OUTER_BOUND('',#27152,.F.); +#27155=CARTESIAN_POINT('',(1.644166668057E1,4.186170220375E0,-2.E1)); +#27156=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27157=DIRECTION('',(1.E0,0.E0,0.E0)); +#27158=AXIS2_PLACEMENT_3D('',#27155,#27156,#27157); +#27159=PLANE('',#27158); +#27160=ORIENTED_EDGE('',*,*,#15677,.F.); +#27162=ORIENTED_EDGE('',*,*,#27161,.T.); +#27163=ORIENTED_EDGE('',*,*,#25487,.T.); +#27164=ORIENTED_EDGE('',*,*,#27148,.F.); +#27165=EDGE_LOOP('',(#27160,#27162,#27163,#27164)); +#27166=FACE_OUTER_BOUND('',#27165,.F.); +#27168=CARTESIAN_POINT('',(1.6325E1,4.143617033958E0,-2.E1)); +#27169=DIRECTION('',(3.426599231432E-1,-9.394595132689E-1,0.E0)); +#27170=DIRECTION('',(9.394595132689E-1,3.426599231432E-1,0.E0)); +#27171=AXIS2_PLACEMENT_3D('',#27168,#27169,#27170); +#27172=PLANE('',#27171); +#27173=ORIENTED_EDGE('',*,*,#15675,.F.); +#27175=ORIENTED_EDGE('',*,*,#27174,.T.); +#27176=ORIENTED_EDGE('',*,*,#25489,.T.); +#27177=ORIENTED_EDGE('',*,*,#27161,.F.); +#27178=EDGE_LOOP('',(#27173,#27175,#27176,#27177)); +#27179=FACE_OUTER_BOUND('',#27178,.F.); +#27181=CARTESIAN_POINT('',(1.620833334029E1,4.058510661125E0,-2.E1)); +#27182=DIRECTION('',(5.893391208461E-1,-8.078857596470E-1,0.E0)); +#27183=DIRECTION('',(8.078857596470E-1,5.893391208461E-1,0.E0)); +#27184=AXIS2_PLACEMENT_3D('',#27181,#27182,#27183); +#27185=PLANE('',#27184); +#27186=ORIENTED_EDGE('',*,*,#15673,.F.); +#27188=ORIENTED_EDGE('',*,*,#27187,.T.); +#27189=ORIENTED_EDGE('',*,*,#25491,.T.); +#27190=ORIENTED_EDGE('',*,*,#27174,.F.); +#27191=EDGE_LOOP('',(#27186,#27188,#27189,#27190)); +#27192=FACE_OUTER_BOUND('',#27191,.F.); +#27194=CARTESIAN_POINT('',(1.612083332986E1,3.888297855854E0,-2.E1)); +#27195=DIRECTION('',(8.893685500037E-1,-4.571909691412E-1,0.E0)); +#27196=DIRECTION('',(4.571909691412E-1,8.893685500037E-1,0.E0)); +#27197=AXIS2_PLACEMENT_3D('',#27194,#27195,#27196); +#27198=PLANE('',#27197); +#27199=ORIENTED_EDGE('',*,*,#15671,.F.); +#27201=ORIENTED_EDGE('',*,*,#27200,.T.); +#27202=ORIENTED_EDGE('',*,*,#25493,.T.); +#27203=ORIENTED_EDGE('',*,*,#27187,.F.); +#27204=EDGE_LOOP('',(#27199,#27201,#27202,#27203)); +#27205=FACE_OUTER_BOUND('',#27204,.F.); +#27207=CARTESIAN_POINT('',(1.612083332986E1,3.760638296604E0,-2.E1)); +#27208=DIRECTION('',(1.E0,0.E0,0.E0)); +#27209=DIRECTION('',(0.E0,1.E0,0.E0)); +#27210=AXIS2_PLACEMENT_3D('',#27207,#27208,#27209); +#27211=PLANE('',#27210); +#27212=ORIENTED_EDGE('',*,*,#15669,.F.); +#27214=ORIENTED_EDGE('',*,*,#27213,.T.); +#27215=ORIENTED_EDGE('',*,*,#25495,.T.); +#27216=ORIENTED_EDGE('',*,*,#27200,.F.); +#27217=EDGE_LOOP('',(#27212,#27214,#27215,#27216)); +#27218=FACE_OUTER_BOUND('',#27217,.F.); +#27220=CARTESIAN_POINT('',(1.620833334029E1,3.590425521135E0,-2.E1)); +#27221=DIRECTION('',(8.893685174549E-1,4.571910324580E-1,0.E0)); +#27222=DIRECTION('',(-4.571910324580E-1,8.893685174549E-1,0.E0)); +#27223=AXIS2_PLACEMENT_3D('',#27220,#27221,#27222); +#27224=PLANE('',#27223); +#27225=ORIENTED_EDGE('',*,*,#15667,.F.); +#27227=ORIENTED_EDGE('',*,*,#27226,.T.); +#27228=ORIENTED_EDGE('',*,*,#25497,.T.); +#27229=ORIENTED_EDGE('',*,*,#27213,.F.); +#27230=EDGE_LOOP('',(#27225,#27227,#27228,#27229)); +#27231=FACE_OUTER_BOUND('',#27230,.F.); +#27233=CARTESIAN_POINT('',(1.6325E1,3.505319148302E0,-2.E1)); +#27234=DIRECTION('',(5.893391208461E-1,8.078857596470E-1,0.E0)); +#27235=DIRECTION('',(-8.078857596470E-1,5.893391208461E-1,0.E0)); +#27236=AXIS2_PLACEMENT_3D('',#27233,#27234,#27235); +#27237=PLANE('',#27236); +#27238=ORIENTED_EDGE('',*,*,#15665,.F.); +#27239=ORIENTED_EDGE('',*,*,#27046,.T.); +#27240=ORIENTED_EDGE('',*,*,#25499,.T.); +#27241=ORIENTED_EDGE('',*,*,#27226,.F.); +#27242=EDGE_LOOP('',(#27238,#27239,#27240,#27241)); +#27243=FACE_OUTER_BOUND('',#27242,.F.); +#27245=CARTESIAN_POINT('',(1.655833331943E1,5.037234067917E0,-2.E1)); +#27246=DIRECTION('',(-3.426599231432E-1,-9.394595132689E-1,0.E0)); +#27247=DIRECTION('',(9.394595132689E-1,-3.426599231432E-1,0.E0)); +#27248=AXIS2_PLACEMENT_3D('',#27245,#27246,#27247); +#27249=PLANE('',#27248); +#27250=ORIENTED_EDGE('',*,*,#15703,.F.); +#27252=ORIENTED_EDGE('',*,*,#27251,.T.); +#27253=ORIENTED_EDGE('',*,*,#25503,.T.); +#27255=ORIENTED_EDGE('',*,*,#27254,.F.); +#27256=EDGE_LOOP('',(#27250,#27252,#27253,#27255)); +#27257=FACE_OUTER_BOUND('',#27256,.F.); +#27259=CARTESIAN_POINT('',(1.644166668057E1,5.037234067917E0,-2.E1)); +#27260=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27261=DIRECTION('',(1.E0,0.E0,0.E0)); +#27262=AXIS2_PLACEMENT_3D('',#27259,#27260,#27261); +#27263=PLANE('',#27262); +#27264=ORIENTED_EDGE('',*,*,#15733,.F.); +#27266=ORIENTED_EDGE('',*,*,#27265,.T.); +#27267=ORIENTED_EDGE('',*,*,#25505,.T.); +#27268=ORIENTED_EDGE('',*,*,#27251,.F.); +#27269=EDGE_LOOP('',(#27264,#27266,#27267,#27268)); +#27270=FACE_OUTER_BOUND('',#27269,.F.); +#27272=CARTESIAN_POINT('',(1.6325E1,4.994680881500E0,-2.E1)); +#27273=DIRECTION('',(3.426599231432E-1,-9.394595132689E-1,0.E0)); +#27274=DIRECTION('',(9.394595132689E-1,3.426599231432E-1,0.E0)); +#27275=AXIS2_PLACEMENT_3D('',#27272,#27273,#27274); +#27276=PLANE('',#27275); +#27277=ORIENTED_EDGE('',*,*,#15731,.F.); +#27279=ORIENTED_EDGE('',*,*,#27278,.T.); +#27280=ORIENTED_EDGE('',*,*,#25507,.T.); +#27281=ORIENTED_EDGE('',*,*,#27265,.F.); +#27282=EDGE_LOOP('',(#27277,#27279,#27280,#27281)); +#27283=FACE_OUTER_BOUND('',#27282,.F.); +#27285=CARTESIAN_POINT('',(1.620833334029E1,4.867021322250E0,-2.E1)); +#27286=DIRECTION('',(7.381746518470E-1,-6.746096525922E-1,0.E0)); +#27287=DIRECTION('',(6.746096525922E-1,7.381746518470E-1,0.E0)); +#27288=AXIS2_PLACEMENT_3D('',#27285,#27286,#27287); +#27289=PLANE('',#27288); +#27290=ORIENTED_EDGE('',*,*,#15729,.F.); +#27292=ORIENTED_EDGE('',*,*,#27291,.T.); +#27293=ORIENTED_EDGE('',*,*,#25509,.T.); +#27294=ORIENTED_EDGE('',*,*,#27278,.F.); +#27295=EDGE_LOOP('',(#27290,#27292,#27293,#27294)); +#27296=FACE_OUTER_BOUND('',#27295,.F.); +#27298=CARTESIAN_POINT('',(1.615E1,4.739361643791E0,-2.E1)); +#27299=DIRECTION('',(9.095428104662E-1,-4.156102452168E-1,0.E0)); +#27300=DIRECTION('',(4.156102452168E-1,9.095428104662E-1,0.E0)); +#27301=AXIS2_PLACEMENT_3D('',#27298,#27299,#27300); +#27302=PLANE('',#27301); +#27303=ORIENTED_EDGE('',*,*,#15727,.F.); +#27305=ORIENTED_EDGE('',*,*,#27304,.T.); +#27306=ORIENTED_EDGE('',*,*,#25511,.T.); +#27307=ORIENTED_EDGE('',*,*,#27291,.F.); +#27308=EDGE_LOOP('',(#27303,#27305,#27306,#27307)); +#27309=FACE_OUTER_BOUND('',#27308,.F.); +#27311=CARTESIAN_POINT('',(1.615E1,4.654255270958E0,-2.E1)); +#27312=DIRECTION('',(1.E0,0.E0,0.E0)); +#27313=DIRECTION('',(0.E0,1.E0,0.E0)); +#27314=AXIS2_PLACEMENT_3D('',#27311,#27312,#27313); +#27315=PLANE('',#27314); +#27316=ORIENTED_EDGE('',*,*,#15725,.F.); +#27318=ORIENTED_EDGE('',*,*,#27317,.T.); +#27319=ORIENTED_EDGE('',*,*,#25513,.T.); +#27320=ORIENTED_EDGE('',*,*,#27304,.F.); +#27321=EDGE_LOOP('',(#27316,#27318,#27319,#27320)); +#27322=FACE_OUTER_BOUND('',#27321,.F.); +#27324=CARTESIAN_POINT('',(1.620833334029E1,4.526595711708E0,-2.E1)); +#27325=DIRECTION('',(9.095426637587E-1,4.156105662790E-1,0.E0)); +#27326=DIRECTION('',(-4.156105662790E-1,9.095426637587E-1,0.E0)); +#27327=AXIS2_PLACEMENT_3D('',#27324,#27325,#27326); +#27328=PLANE('',#27327); +#27329=ORIENTED_EDGE('',*,*,#15723,.F.); +#27331=ORIENTED_EDGE('',*,*,#27330,.T.); +#27332=ORIENTED_EDGE('',*,*,#25515,.T.); +#27333=ORIENTED_EDGE('',*,*,#27317,.F.); +#27334=EDGE_LOOP('',(#27329,#27331,#27332,#27333)); +#27335=FACE_OUTER_BOUND('',#27334,.F.); +#27337=CARTESIAN_POINT('',(1.6325E1,4.398936152458E0,-2.E1)); +#27338=DIRECTION('',(7.381746518470E-1,6.746096525922E-1,0.E0)); +#27339=DIRECTION('',(-6.746096525922E-1,7.381746518470E-1,0.E0)); +#27340=AXIS2_PLACEMENT_3D('',#27337,#27338,#27339); +#27341=PLANE('',#27340); +#27342=ORIENTED_EDGE('',*,*,#15721,.F.); +#27344=ORIENTED_EDGE('',*,*,#27343,.T.); +#27345=ORIENTED_EDGE('',*,*,#25517,.T.); +#27346=ORIENTED_EDGE('',*,*,#27330,.F.); +#27347=EDGE_LOOP('',(#27342,#27344,#27345,#27346)); +#27348=FACE_OUTER_BOUND('',#27347,.F.); +#27350=CARTESIAN_POINT('',(1.644166668057E1,4.356382966042E0,-2.E1)); +#27351=DIRECTION('',(3.426599231432E-1,9.394595132689E-1,0.E0)); +#27352=DIRECTION('',(-9.394595132689E-1,3.426599231432E-1,0.E0)); +#27353=AXIS2_PLACEMENT_3D('',#27350,#27351,#27352); +#27354=PLANE('',#27353); +#27355=ORIENTED_EDGE('',*,*,#15719,.F.); +#27357=ORIENTED_EDGE('',*,*,#27356,.T.); +#27358=ORIENTED_EDGE('',*,*,#25519,.T.); +#27359=ORIENTED_EDGE('',*,*,#27343,.F.); +#27360=EDGE_LOOP('',(#27355,#27357,#27358,#27359)); +#27361=FACE_OUTER_BOUND('',#27360,.F.); +#27363=CARTESIAN_POINT('',(1.655833331943E1,4.356382966042E0,-2.E1)); +#27364=DIRECTION('',(0.E0,1.E0,0.E0)); +#27365=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27366=AXIS2_PLACEMENT_3D('',#27363,#27364,#27365); +#27367=PLANE('',#27366); +#27368=ORIENTED_EDGE('',*,*,#15717,.F.); +#27370=ORIENTED_EDGE('',*,*,#27369,.T.); +#27371=ORIENTED_EDGE('',*,*,#25521,.T.); +#27372=ORIENTED_EDGE('',*,*,#27356,.F.); +#27373=EDGE_LOOP('',(#27368,#27370,#27371,#27372)); +#27374=FACE_OUTER_BOUND('',#27373,.F.); +#27376=CARTESIAN_POINT('',(1.6675E1,4.398936152458E0,-2.E1)); +#27377=DIRECTION('',(-3.426599231432E-1,9.394595132689E-1,0.E0)); +#27378=DIRECTION('',(-9.394595132689E-1,-3.426599231432E-1,0.E0)); +#27379=AXIS2_PLACEMENT_3D('',#27376,#27377,#27378); +#27380=PLANE('',#27379); +#27381=ORIENTED_EDGE('',*,*,#15715,.F.); +#27383=ORIENTED_EDGE('',*,*,#27382,.T.); +#27384=ORIENTED_EDGE('',*,*,#25523,.T.); +#27385=ORIENTED_EDGE('',*,*,#27369,.F.); +#27386=EDGE_LOOP('',(#27381,#27383,#27384,#27385)); +#27387=FACE_OUTER_BOUND('',#27386,.F.); +#27389=CARTESIAN_POINT('',(1.679166663885E1,4.526595711708E0,-2.E1)); +#27390=DIRECTION('',(-7.381747119181E-1,6.746095868609E-1,0.E0)); +#27391=DIRECTION('',(-6.746095868609E-1,-7.381747119181E-1,0.E0)); +#27392=AXIS2_PLACEMENT_3D('',#27389,#27390,#27391); +#27393=PLANE('',#27392); +#27394=ORIENTED_EDGE('',*,*,#15713,.F.); +#27396=ORIENTED_EDGE('',*,*,#27395,.T.); +#27397=ORIENTED_EDGE('',*,*,#25525,.T.); +#27398=ORIENTED_EDGE('',*,*,#27382,.F.); +#27399=EDGE_LOOP('',(#27394,#27396,#27397,#27398)); +#27400=FACE_OUTER_BOUND('',#27399,.F.); +#27402=CARTESIAN_POINT('',(1.685E1,4.654255270958E0,-2.E1)); +#27403=DIRECTION('',(-9.095426075728E-1,4.156106892391E-1,0.E0)); +#27404=DIRECTION('',(-4.156106892391E-1,-9.095426075728E-1,0.E0)); +#27405=AXIS2_PLACEMENT_3D('',#27402,#27403,#27404); +#27406=PLANE('',#27405); +#27407=ORIENTED_EDGE('',*,*,#15711,.F.); +#27409=ORIENTED_EDGE('',*,*,#27408,.T.); +#27410=ORIENTED_EDGE('',*,*,#25527,.T.); +#27411=ORIENTED_EDGE('',*,*,#27395,.F.); +#27412=EDGE_LOOP('',(#27407,#27409,#27410,#27411)); +#27413=FACE_OUTER_BOUND('',#27412,.F.); +#27415=CARTESIAN_POINT('',(1.685E1,4.739361643791E0,-2.E1)); +#27416=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27417=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27418=AXIS2_PLACEMENT_3D('',#27415,#27416,#27417); +#27419=PLANE('',#27418); +#27420=ORIENTED_EDGE('',*,*,#15709,.F.); +#27422=ORIENTED_EDGE('',*,*,#27421,.T.); +#27423=ORIENTED_EDGE('',*,*,#25529,.T.); +#27424=ORIENTED_EDGE('',*,*,#27408,.F.); +#27425=EDGE_LOOP('',(#27420,#27422,#27423,#27424)); +#27426=FACE_OUTER_BOUND('',#27425,.F.); +#27428=CARTESIAN_POINT('',(1.679166663885E1,4.867021322250E0,-2.E1)); +#27429=DIRECTION('',(-9.095427542804E-1,-4.156103681768E-1,0.E0)); +#27430=DIRECTION('',(4.156103681768E-1,-9.095427542804E-1,0.E0)); +#27431=AXIS2_PLACEMENT_3D('',#27428,#27429,#27430); +#27432=PLANE('',#27431); +#27433=ORIENTED_EDGE('',*,*,#15707,.F.); +#27435=ORIENTED_EDGE('',*,*,#27434,.T.); +#27436=ORIENTED_EDGE('',*,*,#25531,.T.); +#27437=ORIENTED_EDGE('',*,*,#27421,.F.); +#27438=EDGE_LOOP('',(#27433,#27435,#27436,#27437)); +#27439=FACE_OUTER_BOUND('',#27438,.F.); +#27441=CARTESIAN_POINT('',(1.6675E1,4.994680881500E0,-2.E1)); +#27442=DIRECTION('',(-7.381747119181E-1,-6.746095868609E-1,0.E0)); +#27443=DIRECTION('',(6.746095868609E-1,-7.381747119181E-1,0.E0)); +#27444=AXIS2_PLACEMENT_3D('',#27441,#27442,#27443); +#27445=PLANE('',#27444); +#27446=ORIENTED_EDGE('',*,*,#15705,.F.); +#27447=ORIENTED_EDGE('',*,*,#27254,.T.); +#27448=ORIENTED_EDGE('',*,*,#25533,.T.); +#27449=ORIENTED_EDGE('',*,*,#27434,.F.); +#27450=EDGE_LOOP('',(#27446,#27447,#27448,#27449)); +#27451=FACE_OUTER_BOUND('',#27450,.F.); +#27453=CARTESIAN_POINT('',(1.541666669250E1,-6.037234038115E0,-2.E1)); +#27454=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27455=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27456=AXIS2_PLACEMENT_3D('',#27453,#27454,#27455); +#27457=PLANE('',#27456); +#27458=ORIENTED_EDGE('',*,*,#16246,.F.); +#27460=ORIENTED_EDGE('',*,*,#27459,.T.); +#27461=ORIENTED_EDGE('',*,*,#25543,.T.); +#27463=ORIENTED_EDGE('',*,*,#27462,.F.); +#27464=EDGE_LOOP('',(#27458,#27460,#27461,#27463)); +#27465=FACE_OUTER_BOUND('',#27464,.F.); +#27467=CARTESIAN_POINT('',(1.6175E1,-4.973404288292E0,-2.E1)); +#27468=DIRECTION('',(-8.142924616486E-1,5.804548103877E-1,0.E0)); +#27469=DIRECTION('',(-5.804548103877E-1,-8.142924616486E-1,0.E0)); +#27470=AXIS2_PLACEMENT_3D('',#27467,#27468,#27469); +#27471=PLANE('',#27470); +#27472=ORIENTED_EDGE('',*,*,#16300,.F.); +#27474=ORIENTED_EDGE('',*,*,#27473,.T.); +#27475=ORIENTED_EDGE('',*,*,#25545,.T.); +#27476=ORIENTED_EDGE('',*,*,#27459,.F.); +#27477=EDGE_LOOP('',(#27472,#27474,#27475,#27476)); +#27478=FACE_OUTER_BOUND('',#27477,.F.); +#27480=CARTESIAN_POINT('',(1.622916669250E1,-4.803191542625E0,-2.E1)); +#27481=DIRECTION('',(-9.529126906510E-1,3.032447921998E-1,0.E0)); +#27482=DIRECTION('',(-3.032447921998E-1,-9.529126906510E-1,0.E0)); +#27483=AXIS2_PLACEMENT_3D('',#27480,#27481,#27482); +#27484=PLANE('',#27483); +#27485=ORIENTED_EDGE('',*,*,#16298,.F.); +#27487=ORIENTED_EDGE('',*,*,#27486,.T.); +#27488=ORIENTED_EDGE('',*,*,#25547,.T.); +#27489=ORIENTED_EDGE('',*,*,#27473,.F.); +#27490=EDGE_LOOP('',(#27485,#27487,#27488,#27489)); +#27491=FACE_OUTER_BOUND('',#27490,.F.); +#27493=CARTESIAN_POINT('',(1.622916669250E1,-4.718085050583E0,-2.E1)); +#27494=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27495=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27496=AXIS2_PLACEMENT_3D('',#27493,#27494,#27495); +#27497=PLANE('',#27496); +#27498=ORIENTED_EDGE('',*,*,#16296,.F.); +#27500=ORIENTED_EDGE('',*,*,#27499,.T.); +#27501=ORIENTED_EDGE('',*,*,#25549,.T.); +#27502=ORIENTED_EDGE('',*,*,#27486,.F.); +#27503=EDGE_LOOP('',(#27498,#27500,#27501,#27502)); +#27504=FACE_OUTER_BOUND('',#27503,.F.); +#27506=CARTESIAN_POINT('',(1.6175E1,-4.590425491333E0,-2.E1)); +#27507=DIRECTION('',(-9.205608021420E-1,-3.905992953907E-1,0.E0)); +#27508=DIRECTION('',(3.905992953907E-1,-9.205608021420E-1,0.E0)); +#27509=AXIS2_PLACEMENT_3D('',#27506,#27507,#27508); +#27510=PLANE('',#27509); +#27511=ORIENTED_EDGE('',*,*,#16294,.F.); +#27513=ORIENTED_EDGE('',*,*,#27512,.T.); +#27514=ORIENTED_EDGE('',*,*,#25551,.T.); +#27515=ORIENTED_EDGE('',*,*,#27499,.F.); +#27516=EDGE_LOOP('',(#27511,#27513,#27514,#27515)); +#27517=FACE_OUTER_BOUND('',#27516,.F.); +#27519=CARTESIAN_POINT('',(1.609375E1,-4.505319118500E0,-2.E1)); +#27520=DIRECTION('',(-7.233041855288E-1,-6.905295469396E-1,0.E0)); +#27521=DIRECTION('',(6.905295469396E-1,-7.233041855288E-1,0.E0)); +#27522=AXIS2_PLACEMENT_3D('',#27519,#27520,#27521); +#27523=PLANE('',#27522); +#27524=ORIENTED_EDGE('',*,*,#16292,.F.); +#27526=ORIENTED_EDGE('',*,*,#27525,.T.); +#27527=ORIENTED_EDGE('',*,*,#25553,.T.); +#27528=ORIENTED_EDGE('',*,*,#27512,.F.); +#27529=EDGE_LOOP('',(#27524,#27526,#27527,#27528)); +#27530=FACE_OUTER_BOUND('',#27529,.F.); +#27532=CARTESIAN_POINT('',(1.595833334625E1,-4.462765932083E0,-2.E1)); +#27533=DIRECTION('',(-2.997859893034E-1,-9.540064782890E-1,0.E0)); +#27534=DIRECTION('',(9.540064782890E-1,-2.997859893034E-1,0.E0)); +#27535=AXIS2_PLACEMENT_3D('',#27532,#27533,#27534); +#27536=PLANE('',#27535); +#27537=ORIENTED_EDGE('',*,*,#16290,.F.); +#27539=ORIENTED_EDGE('',*,*,#27538,.T.); +#27540=ORIENTED_EDGE('',*,*,#25555,.T.); +#27541=ORIENTED_EDGE('',*,*,#27525,.F.); +#27542=EDGE_LOOP('',(#27537,#27539,#27540,#27541)); +#27543=FACE_OUTER_BOUND('',#27542,.F.); +#27545=CARTESIAN_POINT('',(1.585000003874E1,-4.462765932083E0,-2.E1)); +#27546=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27547=DIRECTION('',(1.E0,0.E0,0.E0)); +#27548=AXIS2_PLACEMENT_3D('',#27545,#27546,#27547); +#27549=PLANE('',#27548); +#27550=ORIENTED_EDGE('',*,*,#16288,.F.); +#27552=ORIENTED_EDGE('',*,*,#27551,.T.); +#27553=ORIENTED_EDGE('',*,*,#25557,.T.); +#27554=ORIENTED_EDGE('',*,*,#27538,.F.); +#27555=EDGE_LOOP('',(#27550,#27552,#27553,#27554)); +#27556=FACE_OUTER_BOUND('',#27555,.F.); +#27558=CARTESIAN_POINT('',(1.571458336562E1,-4.505319118500E0,-2.E1)); +#27559=DIRECTION('',(2.997859502728E-1,-9.540064905539E-1,0.E0)); +#27560=DIRECTION('',(9.540064905539E-1,2.997859502728E-1,0.E0)); +#27561=AXIS2_PLACEMENT_3D('',#27558,#27559,#27560); +#27562=PLANE('',#27561); +#27563=ORIENTED_EDGE('',*,*,#16286,.F.); +#27565=ORIENTED_EDGE('',*,*,#27564,.T.); +#27566=ORIENTED_EDGE('',*,*,#25559,.T.); +#27567=ORIENTED_EDGE('',*,*,#27551,.F.); +#27568=EDGE_LOOP('',(#27563,#27565,#27566,#27567)); +#27569=FACE_OUTER_BOUND('',#27568,.F.); +#27571=CARTESIAN_POINT('',(1.563333336562E1,-4.590425491333E0,-2.E1)); +#27572=DIRECTION('',(7.233041855288E-1,-6.905295469396E-1,0.E0)); +#27573=DIRECTION('',(6.905295469396E-1,7.233041855288E-1,0.E0)); +#27574=AXIS2_PLACEMENT_3D('',#27571,#27572,#27573); +#27575=PLANE('',#27574); +#27576=ORIENTED_EDGE('',*,*,#16284,.F.); +#27578=ORIENTED_EDGE('',*,*,#27577,.T.); +#27579=ORIENTED_EDGE('',*,*,#25561,.T.); +#27580=ORIENTED_EDGE('',*,*,#27564,.F.); +#27581=EDGE_LOOP('',(#27576,#27578,#27579,#27580)); +#27582=FACE_OUTER_BOUND('',#27581,.F.); +#27584=CARTESIAN_POINT('',(1.557916669250E1,-4.718085050583E0,-2.E1)); +#27585=DIRECTION('',(9.205608523700E-1,-3.905991770137E-1,0.E0)); +#27586=DIRECTION('',(3.905991770137E-1,9.205608523700E-1,0.E0)); +#27587=AXIS2_PLACEMENT_3D('',#27584,#27585,#27586); +#27588=PLANE('',#27587); +#27589=ORIENTED_EDGE('',*,*,#16282,.F.); +#27591=ORIENTED_EDGE('',*,*,#27590,.T.); +#27592=ORIENTED_EDGE('',*,*,#25563,.T.); +#27593=ORIENTED_EDGE('',*,*,#27577,.F.); +#27594=EDGE_LOOP('',(#27589,#27591,#27592,#27593)); +#27595=FACE_OUTER_BOUND('',#27594,.F.); +#27597=CARTESIAN_POINT('',(1.544375002664E1,-4.718085050583E0,-2.E1)); +#27598=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27599=DIRECTION('',(1.E0,0.E0,0.E0)); +#27600=AXIS2_PLACEMENT_3D('',#27597,#27598,#27599); +#27601=PLANE('',#27600); +#27602=ORIENTED_EDGE('',*,*,#16280,.F.); +#27604=ORIENTED_EDGE('',*,*,#27603,.T.); +#27605=ORIENTED_EDGE('',*,*,#25565,.T.); +#27606=ORIENTED_EDGE('',*,*,#27590,.F.); +#27607=EDGE_LOOP('',(#27602,#27604,#27605,#27606)); +#27608=FACE_OUTER_BOUND('',#27607,.F.); +#27610=CARTESIAN_POINT('',(1.547083336078E1,-4.547872304916E0,-2.E1)); +#27611=DIRECTION('',(-9.875766746900E-1,1.571378745184E-1,0.E0)); +#27612=DIRECTION('',(-1.571378745184E-1,-9.875766746900E-1,0.E0)); +#27613=AXIS2_PLACEMENT_3D('',#27610,#27611,#27612); +#27614=PLANE('',#27613); +#27615=ORIENTED_EDGE('',*,*,#16278,.F.); +#27617=ORIENTED_EDGE('',*,*,#27616,.T.); +#27618=ORIENTED_EDGE('',*,*,#25567,.T.); +#27619=ORIENTED_EDGE('',*,*,#27603,.F.); +#27620=EDGE_LOOP('',(#27615,#27617,#27618,#27619)); +#27621=FACE_OUTER_BOUND('',#27620,.F.); +#27623=CARTESIAN_POINT('',(1.557916669250E1,-4.377659559250E0,-2.E1)); +#27624=DIRECTION('',(-8.436252755379E-1,5.369323928332E-1,0.E0)); +#27625=DIRECTION('',(-5.369323928332E-1,-8.436252755379E-1,0.E0)); +#27626=AXIS2_PLACEMENT_3D('',#27623,#27624,#27625); +#27627=PLANE('',#27626); +#27628=ORIENTED_EDGE('',*,*,#16276,.F.); +#27630=ORIENTED_EDGE('',*,*,#27629,.T.); +#27631=ORIENTED_EDGE('',*,*,#25569,.T.); +#27632=ORIENTED_EDGE('',*,*,#27616,.F.); +#27633=EDGE_LOOP('',(#27628,#27630,#27631,#27632)); +#27634=FACE_OUTER_BOUND('',#27633,.F.); +#27636=CARTESIAN_POINT('',(1.568750001937E1,-4.292553186417E0,-2.E1)); +#27637=DIRECTION('',(-6.177646879983E-1,7.863630143021E-1,0.E0)); +#27638=DIRECTION('',(-7.863630143021E-1,-6.177646879983E-1,0.E0)); +#27639=AXIS2_PLACEMENT_3D('',#27636,#27637,#27638); +#27640=PLANE('',#27639); +#27641=ORIENTED_EDGE('',*,*,#16274,.F.); +#27643=ORIENTED_EDGE('',*,*,#27642,.T.); +#27644=ORIENTED_EDGE('',*,*,#25571,.T.); +#27645=ORIENTED_EDGE('',*,*,#27629,.F.); +#27646=EDGE_LOOP('',(#27641,#27643,#27644,#27645)); +#27647=FACE_OUTER_BOUND('',#27646,.F.); +#27649=CARTESIAN_POINT('',(1.587708334625E1,-4.25E0,-2.E1)); +#27650=DIRECTION('',(-2.190072976821E-1,9.757232207763E-1,0.E0)); +#27651=DIRECTION('',(-9.757232207763E-1,-2.190072976821E-1,0.E0)); +#27652=AXIS2_PLACEMENT_3D('',#27649,#27650,#27651); +#27653=PLANE('',#27652); +#27654=ORIENTED_EDGE('',*,*,#16272,.F.); +#27656=ORIENTED_EDGE('',*,*,#27655,.T.); +#27657=ORIENTED_EDGE('',*,*,#25573,.T.); +#27658=ORIENTED_EDGE('',*,*,#27642,.F.); +#27659=EDGE_LOOP('',(#27654,#27656,#27657,#27658)); +#27660=FACE_OUTER_BOUND('',#27659,.F.); +#27662=CARTESIAN_POINT('',(1.598541669250E1,-4.25E0,-2.E1)); +#27663=DIRECTION('',(0.E0,1.E0,0.E0)); +#27664=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27665=AXIS2_PLACEMENT_3D('',#27662,#27663,#27664); +#27666=PLANE('',#27665); +#27667=ORIENTED_EDGE('',*,*,#16270,.F.); +#27669=ORIENTED_EDGE('',*,*,#27668,.T.); +#27670=ORIENTED_EDGE('',*,*,#25575,.T.); +#27671=ORIENTED_EDGE('',*,*,#27655,.F.); +#27672=EDGE_LOOP('',(#27667,#27669,#27670,#27671)); +#27673=FACE_OUTER_BOUND('',#27672,.F.); +#27675=CARTESIAN_POINT('',(1.614791669250E1,-4.292553186417E0,-2.E1)); +#27676=DIRECTION('',(2.533240861778E-1,9.673814694122E-1,0.E0)); +#27677=DIRECTION('',(-9.673814694122E-1,2.533240861778E-1,0.E0)); +#27678=AXIS2_PLACEMENT_3D('',#27675,#27676,#27677); +#27679=PLANE('',#27678); +#27680=ORIENTED_EDGE('',*,*,#16268,.F.); +#27682=ORIENTED_EDGE('',*,*,#27681,.T.); +#27683=ORIENTED_EDGE('',*,*,#25577,.T.); +#27684=ORIENTED_EDGE('',*,*,#27668,.F.); +#27685=EDGE_LOOP('',(#27680,#27682,#27683,#27684)); +#27686=FACE_OUTER_BOUND('',#27685,.F.); +#27688=CARTESIAN_POINT('',(1.625625E1,-4.377659559250E0,-2.E1)); +#27689=DIRECTION('',(6.177647563062E-1,7.863629606396E-1,0.E0)); +#27690=DIRECTION('',(-7.863629606396E-1,6.177647563062E-1,0.E0)); +#27691=AXIS2_PLACEMENT_3D('',#27688,#27689,#27690); +#27692=PLANE('',#27691); +#27693=ORIENTED_EDGE('',*,*,#16266,.F.); +#27695=ORIENTED_EDGE('',*,*,#27694,.T.); +#27696=ORIENTED_EDGE('',*,*,#25579,.T.); +#27697=ORIENTED_EDGE('',*,*,#27681,.F.); +#27698=EDGE_LOOP('',(#27693,#27695,#27696,#27697)); +#27699=FACE_OUTER_BOUND('',#27698,.F.); +#27701=CARTESIAN_POINT('',(1.636458338499E1,-4.547872304916E0,-2.E1)); +#27702=DIRECTION('',(8.436251559402E-1,5.369325807444E-1,0.E0)); +#27703=DIRECTION('',(-5.369325807444E-1,8.436251559402E-1,0.E0)); +#27704=AXIS2_PLACEMENT_3D('',#27701,#27702,#27703); +#27705=PLANE('',#27704); +#27706=ORIENTED_EDGE('',*,*,#16264,.F.); +#27708=ORIENTED_EDGE('',*,*,#27707,.T.); +#27709=ORIENTED_EDGE('',*,*,#25581,.T.); +#27710=ORIENTED_EDGE('',*,*,#27694,.F.); +#27711=EDGE_LOOP('',(#27706,#27708,#27709,#27710)); +#27712=FACE_OUTER_BOUND('',#27711,.F.); +#27714=CARTESIAN_POINT('',(1.639166669250E1,-4.718085050583E0,-2.E1)); +#27715=DIRECTION('',(9.875766986727E-1,1.571377237929E-1,0.E0)); +#27716=DIRECTION('',(-1.571377237929E-1,9.875766986727E-1,0.E0)); +#27717=AXIS2_PLACEMENT_3D('',#27714,#27715,#27716); +#27718=PLANE('',#27717); +#27719=ORIENTED_EDGE('',*,*,#16262,.F.); +#27721=ORIENTED_EDGE('',*,*,#27720,.T.); +#27722=ORIENTED_EDGE('',*,*,#25583,.T.); +#27723=ORIENTED_EDGE('',*,*,#27707,.F.); +#27724=EDGE_LOOP('',(#27719,#27721,#27722,#27723)); +#27725=FACE_OUTER_BOUND('',#27724,.F.); +#27727=CARTESIAN_POINT('',(1.639166669250E1,-4.803191542625E0,-2.E1)); +#27728=DIRECTION('',(1.E0,0.E0,0.E0)); +#27729=DIRECTION('',(0.E0,1.E0,0.E0)); +#27730=AXIS2_PLACEMENT_3D('',#27727,#27728,#27729); +#27731=PLANE('',#27730); +#27732=ORIENTED_EDGE('',*,*,#16260,.F.); +#27734=ORIENTED_EDGE('',*,*,#27733,.T.); +#27735=ORIENTED_EDGE('',*,*,#25585,.T.); +#27736=ORIENTED_EDGE('',*,*,#27720,.F.); +#27737=EDGE_LOOP('',(#27732,#27734,#27735,#27736)); +#27738=FACE_OUTER_BOUND('',#27737,.F.); +#27740=CARTESIAN_POINT('',(1.636458338499E1,-4.973404288292E0,-2.E1)); +#27741=DIRECTION('',(9.875766986727E-1,-1.571377237929E-1,0.E0)); +#27742=DIRECTION('',(1.571377237929E-1,9.875766986727E-1,0.E0)); +#27743=AXIS2_PLACEMENT_3D('',#27740,#27741,#27742); +#27744=PLANE('',#27743); +#27745=ORIENTED_EDGE('',*,*,#16258,.F.); +#27747=ORIENTED_EDGE('',*,*,#27746,.T.); +#27748=ORIENTED_EDGE('',*,*,#25587,.T.); +#27749=ORIENTED_EDGE('',*,*,#27733,.F.); +#27750=EDGE_LOOP('',(#27745,#27747,#27748,#27749)); +#27751=FACE_OUTER_BOUND('',#27750,.F.); +#27753=CARTESIAN_POINT('',(1.631041669250E1,-5.101063847542E0,-2.E1)); +#27754=DIRECTION('',(9.205608021420E-1,-3.905992953907E-1,0.E0)); +#27755=DIRECTION('',(3.905992953907E-1,9.205608021420E-1,0.E0)); +#27756=AXIS2_PLACEMENT_3D('',#27753,#27754,#27755); +#27757=PLANE('',#27756); +#27758=ORIENTED_EDGE('',*,*,#16256,.F.); +#27760=ORIENTED_EDGE('',*,*,#27759,.T.); +#27761=ORIENTED_EDGE('',*,*,#25589,.T.); +#27762=ORIENTED_EDGE('',*,*,#27746,.F.); +#27763=EDGE_LOOP('',(#27758,#27760,#27761,#27762)); +#27764=FACE_OUTER_BOUND('',#27763,.F.); +#27766=CARTESIAN_POINT('',(1.563333336562E1,-6.037234038115E0,-2.E1)); +#27767=DIRECTION('',(8.102844880173E-1,-5.860367296327E-1,0.E0)); +#27768=DIRECTION('',(5.860367296327E-1,8.102844880173E-1,0.E0)); +#27769=AXIS2_PLACEMENT_3D('',#27766,#27767,#27768); +#27770=PLANE('',#27769); +#27771=ORIENTED_EDGE('',*,*,#16254,.F.); +#27773=ORIENTED_EDGE('',*,*,#27772,.T.); +#27774=ORIENTED_EDGE('',*,*,#25591,.T.); +#27775=ORIENTED_EDGE('',*,*,#27759,.F.); +#27776=EDGE_LOOP('',(#27771,#27773,#27774,#27775)); +#27777=FACE_OUTER_BOUND('',#27776,.F.); +#27779=CARTESIAN_POINT('',(1.639166669250E1,-6.037234038115E0,-2.E1)); +#27780=DIRECTION('',(0.E0,1.E0,0.E0)); +#27781=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27782=AXIS2_PLACEMENT_3D('',#27779,#27780,#27781); +#27783=PLANE('',#27782); +#27784=ORIENTED_EDGE('',*,*,#16252,.F.); +#27786=ORIENTED_EDGE('',*,*,#27785,.T.); +#27787=ORIENTED_EDGE('',*,*,#25593,.T.); +#27788=ORIENTED_EDGE('',*,*,#27772,.F.); +#27789=EDGE_LOOP('',(#27784,#27786,#27787,#27788)); +#27790=FACE_OUTER_BOUND('',#27789,.F.); +#27792=CARTESIAN_POINT('',(1.639166669250E1,-6.25E0,-2.E1)); +#27793=DIRECTION('',(1.E0,0.E0,0.E0)); +#27794=DIRECTION('',(0.E0,1.E0,0.E0)); +#27795=AXIS2_PLACEMENT_3D('',#27792,#27793,#27794); +#27796=PLANE('',#27795); +#27797=ORIENTED_EDGE('',*,*,#16250,.F.); +#27799=ORIENTED_EDGE('',*,*,#27798,.T.); +#27800=ORIENTED_EDGE('',*,*,#25595,.T.); +#27801=ORIENTED_EDGE('',*,*,#27785,.F.); +#27802=EDGE_LOOP('',(#27797,#27799,#27800,#27801)); +#27803=FACE_OUTER_BOUND('',#27802,.F.); +#27805=CARTESIAN_POINT('',(1.541666669250E1,-6.25E0,-2.E1)); +#27806=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27807=DIRECTION('',(1.E0,0.E0,0.E0)); +#27808=AXIS2_PLACEMENT_3D('',#27805,#27806,#27807); +#27809=PLANE('',#27808); +#27810=ORIENTED_EDGE('',*,*,#16248,.F.); +#27811=ORIENTED_EDGE('',*,*,#27462,.T.); +#27812=ORIENTED_EDGE('',*,*,#25597,.T.); +#27813=ORIENTED_EDGE('',*,*,#27798,.F.); +#27814=EDGE_LOOP('',(#27810,#27811,#27812,#27813)); +#27815=FACE_OUTER_BOUND('',#27814,.F.); +#27817=CARTESIAN_POINT('',(1.750208330750E1,-6.037234038115E0,-2.E1)); +#27818=DIRECTION('',(9.205606012296E-1,-3.905997688988E-1,0.E0)); +#27819=DIRECTION('',(3.905997688988E-1,9.205606012296E-1,0.E0)); +#27820=AXIS2_PLACEMENT_3D('',#27817,#27818,#27819); +#27821=PLANE('',#27820); +#27822=ORIENTED_EDGE('',*,*,#16304,.F.); +#27824=ORIENTED_EDGE('',*,*,#27823,.T.); +#27825=ORIENTED_EDGE('',*,*,#25607,.T.); +#27827=ORIENTED_EDGE('',*,*,#27826,.F.); +#27828=EDGE_LOOP('',(#27822,#27824,#27825,#27827)); +#27829=FACE_OUTER_BOUND('',#27828,.F.); +#27831=CARTESIAN_POINT('',(1.742083330750E1,-6.122340425849E0,-2.E1)); +#27832=DIRECTION('',(7.233042459158E-1,-6.905294836864E-1,0.E0)); +#27833=DIRECTION('',(6.905294836864E-1,7.233042459158E-1,0.E0)); +#27834=AXIS2_PLACEMENT_3D('',#27831,#27832,#27833); +#27835=PLANE('',#27834); +#27836=ORIENTED_EDGE('',*,*,#16416,.F.); +#27838=ORIENTED_EDGE('',*,*,#27837,.T.); +#27839=ORIENTED_EDGE('',*,*,#25609,.T.); +#27840=ORIENTED_EDGE('',*,*,#27823,.F.); +#27841=EDGE_LOOP('',(#27836,#27838,#27839,#27840)); +#27842=FACE_OUTER_BOUND('',#27841,.F.); +#27844=CARTESIAN_POINT('',(1.731250007749E1,-6.207446809858E0,-2.E1)); +#27845=DIRECTION('',(6.177650797013E-1,-7.863627065812E-1,0.E0)); +#27846=DIRECTION('',(7.863627065812E-1,6.177650797013E-1,0.E0)); +#27847=AXIS2_PLACEMENT_3D('',#27844,#27845,#27846); +#27848=PLANE('',#27847); +#27849=ORIENTED_EDGE('',*,*,#16414,.F.); +#27851=ORIENTED_EDGE('',*,*,#27850,.T.); +#27852=ORIENTED_EDGE('',*,*,#25611,.T.); +#27853=ORIENTED_EDGE('',*,*,#27837,.F.); +#27854=EDGE_LOOP('',(#27849,#27851,#27852,#27853)); +#27855=FACE_OUTER_BOUND('',#27854,.F.); +#27857=CARTESIAN_POINT('',(1.720416669250E1,-6.25E0,-2.E1)); +#27858=DIRECTION('',(3.656050794628E-1,-9.307700714307E-1,0.E0)); +#27859=DIRECTION('',(9.307700714307E-1,3.656050794628E-1,0.E0)); +#27860=AXIS2_PLACEMENT_3D('',#27857,#27858,#27859); +#27861=PLANE('',#27860); +#27862=ORIENTED_EDGE('',*,*,#16412,.F.); +#27864=ORIENTED_EDGE('',*,*,#27863,.T.); +#27865=ORIENTED_EDGE('',*,*,#25613,.T.); +#27866=ORIENTED_EDGE('',*,*,#27850,.F.); +#27867=EDGE_LOOP('',(#27862,#27864,#27865,#27866)); +#27868=FACE_OUTER_BOUND('',#27867,.F.); +#27870=CARTESIAN_POINT('',(1.698750007749E1,-6.25E0,-2.E1)); +#27871=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27872=DIRECTION('',(1.E0,0.E0,0.E0)); +#27873=AXIS2_PLACEMENT_3D('',#27870,#27871,#27872); +#27874=PLANE('',#27873); +#27875=ORIENTED_EDGE('',*,*,#16410,.F.); +#27877=ORIENTED_EDGE('',*,*,#27876,.T.); +#27878=ORIENTED_EDGE('',*,*,#25615,.T.); +#27879=ORIENTED_EDGE('',*,*,#27863,.F.); +#27880=EDGE_LOOP('',(#27875,#27877,#27878,#27879)); +#27881=FACE_OUTER_BOUND('',#27880,.F.); +#27883=CARTESIAN_POINT('',(1.687916669250E1,-6.207446809858E0,-2.E1)); +#27884=DIRECTION('',(-3.656050794628E-1,-9.307700714306E-1,0.E0)); +#27885=DIRECTION('',(9.307700714306E-1,-3.656050794628E-1,0.E0)); +#27886=AXIS2_PLACEMENT_3D('',#27883,#27884,#27885); +#27887=PLANE('',#27886); +#27888=ORIENTED_EDGE('',*,*,#16408,.F.); +#27890=ORIENTED_EDGE('',*,*,#27889,.T.); +#27891=ORIENTED_EDGE('',*,*,#25617,.T.); +#27892=ORIENTED_EDGE('',*,*,#27876,.F.); +#27893=EDGE_LOOP('',(#27888,#27890,#27891,#27892)); +#27894=FACE_OUTER_BOUND('',#27893,.F.); +#27896=CARTESIAN_POINT('',(1.677083330750E1,-6.122340425849E0,-2.E1)); +#27897=DIRECTION('',(-6.177645332384E-1,-7.863631358811E-1,0.E0)); +#27898=DIRECTION('',(7.863631358811E-1,-6.177645332384E-1,0.E0)); +#27899=AXIS2_PLACEMENT_3D('',#27896,#27897,#27898); +#27900=PLANE('',#27899); +#27901=ORIENTED_EDGE('',*,*,#16406,.F.); +#27903=ORIENTED_EDGE('',*,*,#27902,.T.); +#27904=ORIENTED_EDGE('',*,*,#25619,.T.); +#27905=ORIENTED_EDGE('',*,*,#27889,.F.); +#27906=EDGE_LOOP('',(#27901,#27903,#27904,#27905)); +#27907=FACE_OUTER_BOUND('',#27906,.F.); +#27909=CARTESIAN_POINT('',(1.668958338499E1,-6.037234038115E0,-2.E1)); +#27910=DIRECTION('',(-7.233045748323E-1,-6.905291391583E-1,0.E0)); +#27911=DIRECTION('',(6.905291391583E-1,-7.233045748323E-1,0.E0)); +#27912=AXIS2_PLACEMENT_3D('',#27909,#27910,#27911); +#27913=PLANE('',#27912); +#27914=ORIENTED_EDGE('',*,*,#16404,.F.); +#27916=ORIENTED_EDGE('',*,*,#27915,.T.); +#27917=ORIENTED_EDGE('',*,*,#25621,.T.); +#27918=ORIENTED_EDGE('',*,*,#27902,.F.); +#27919=EDGE_LOOP('',(#27914,#27916,#27917,#27918)); +#27920=FACE_OUTER_BOUND('',#27919,.F.); +#27922=CARTESIAN_POINT('',(1.663541669250E1,-5.909574478865E0,-2.E1)); +#27923=DIRECTION('',(-9.205608021420E-1,-3.905992953907E-1,0.E0)); +#27924=DIRECTION('',(3.905992953907E-1,-9.205608021420E-1,0.E0)); +#27925=AXIS2_PLACEMENT_3D('',#27922,#27923,#27924); +#27926=PLANE('',#27925); +#27927=ORIENTED_EDGE('',*,*,#16402,.F.); +#27929=ORIENTED_EDGE('',*,*,#27928,.T.); +#27930=ORIENTED_EDGE('',*,*,#25623,.T.); +#27931=ORIENTED_EDGE('',*,*,#27915,.F.); +#27932=EDGE_LOOP('',(#27927,#27929,#27930,#27931)); +#27933=FACE_OUTER_BOUND('',#27932,.F.); +#27935=CARTESIAN_POINT('',(1.660833338499E1,-5.781914889812E0,-2.E1)); +#27936=DIRECTION('',(-9.782279017139E-1,-2.075335450196E-1,0.E0)); +#27937=DIRECTION('',(2.075335450196E-1,-9.782279017139E-1,0.E0)); +#27938=AXIS2_PLACEMENT_3D('',#27935,#27936,#27937); +#27939=PLANE('',#27938); +#27940=ORIENTED_EDGE('',*,*,#16400,.F.); +#27942=ORIENTED_EDGE('',*,*,#27941,.T.); +#27943=ORIENTED_EDGE('',*,*,#25625,.T.); +#27944=ORIENTED_EDGE('',*,*,#27928,.F.); +#27945=EDGE_LOOP('',(#27940,#27942,#27943,#27944)); +#27946=FACE_OUTER_BOUND('',#27945,.F.); +#27948=CARTESIAN_POINT('',(1.674375007749E1,-5.781914889812E0,-2.E1)); +#27949=DIRECTION('',(0.E0,1.E0,0.E0)); +#27950=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27951=AXIS2_PLACEMENT_3D('',#27948,#27949,#27950); +#27952=PLANE('',#27951); +#27953=ORIENTED_EDGE('',*,*,#16398,.F.); +#27955=ORIENTED_EDGE('',*,*,#27954,.T.); +#27956=ORIENTED_EDGE('',*,*,#25627,.T.); +#27957=ORIENTED_EDGE('',*,*,#27941,.F.); +#27958=EDGE_LOOP('',(#27953,#27955,#27956,#27957)); +#27959=FACE_OUTER_BOUND('',#27958,.F.); +#27961=CARTESIAN_POINT('',(1.677083330750E1,-5.867021262646E0,-2.E1)); +#27962=DIRECTION('',(9.529130667061E-1,3.032436104863E-1,0.E0)); +#27963=DIRECTION('',(-3.032436104863E-1,9.529130667061E-1,0.E0)); +#27964=AXIS2_PLACEMENT_3D('',#27961,#27962,#27963); +#27965=PLANE('',#27964); +#27966=ORIENTED_EDGE('',*,*,#16396,.F.); +#27968=ORIENTED_EDGE('',*,*,#27967,.T.); +#27969=ORIENTED_EDGE('',*,*,#25629,.T.); +#27970=ORIENTED_EDGE('',*,*,#27954,.F.); +#27971=EDGE_LOOP('',(#27966,#27968,#27969,#27970)); +#27972=FACE_OUTER_BOUND('',#27971,.F.); +#27974=CARTESIAN_POINT('',(1.682500007749E1,-5.952127665281E0,-2.E1)); +#27975=DIRECTION('',(8.436248931878E-1,5.369329935792E-1,0.E0)); +#27976=DIRECTION('',(-5.369329935792E-1,8.436248931878E-1,0.E0)); +#27977=AXIS2_PLACEMENT_3D('',#27974,#27975,#27976); +#27978=PLANE('',#27977); +#27979=ORIENTED_EDGE('',*,*,#16394,.F.); +#27981=ORIENTED_EDGE('',*,*,#27980,.T.); +#27982=ORIENTED_EDGE('',*,*,#25631,.T.); +#27983=ORIENTED_EDGE('',*,*,#27967,.F.); +#27984=EDGE_LOOP('',(#27979,#27981,#27982,#27983)); +#27985=FACE_OUTER_BOUND('',#27984,.F.); +#27987=CARTESIAN_POINT('',(1.687916669250E1,-5.994680851698E0,-2.E1)); +#27988=DIRECTION('',(6.177650295377E-1,7.863627459896E-1,0.E0)); +#27989=DIRECTION('',(-7.863627459896E-1,6.177650295377E-1,0.E0)); +#27990=AXIS2_PLACEMENT_3D('',#27987,#27988,#27989); +#27991=PLANE('',#27990); +#27992=ORIENTED_EDGE('',*,*,#16392,.F.); +#27994=ORIENTED_EDGE('',*,*,#27993,.T.); +#27995=ORIENTED_EDGE('',*,*,#25633,.T.); +#27996=ORIENTED_EDGE('',*,*,#27980,.F.); +#27997=EDGE_LOOP('',(#27992,#27994,#27995,#27996)); +#27998=FACE_OUTER_BOUND('',#27997,.F.); +#28000=CARTESIAN_POINT('',(1.701458330750E1,-6.037234038115E0,-2.E1)); +#28001=DIRECTION('',(2.997860673647E-1,9.540064537591E-1,0.E0)); +#28002=DIRECTION('',(-9.540064537591E-1,2.997860673647E-1,0.E0)); +#28003=AXIS2_PLACEMENT_3D('',#28000,#28001,#28002); +#28004=PLANE('',#28003); +#28005=ORIENTED_EDGE('',*,*,#16390,.F.); +#28007=ORIENTED_EDGE('',*,*,#28006,.T.); +#28008=ORIENTED_EDGE('',*,*,#25635,.T.); +#28009=ORIENTED_EDGE('',*,*,#27993,.F.); +#28010=EDGE_LOOP('',(#28005,#28007,#28008,#28009)); +#28011=FACE_OUTER_BOUND('',#28010,.F.); +#28013=CARTESIAN_POINT('',(1.717708330750E1,-6.037234038115E0,-2.E1)); +#28014=DIRECTION('',(0.E0,1.E0,0.E0)); +#28015=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28016=AXIS2_PLACEMENT_3D('',#28013,#28014,#28015); +#28017=PLANE('',#28016); +#28018=ORIENTED_EDGE('',*,*,#16388,.F.); +#28020=ORIENTED_EDGE('',*,*,#28019,.T.); +#28021=ORIENTED_EDGE('',*,*,#25637,.T.); +#28022=ORIENTED_EDGE('',*,*,#28006,.F.); +#28023=EDGE_LOOP('',(#28018,#28020,#28021,#28022)); +#28024=FACE_OUTER_BOUND('',#28023,.F.); +#28026=CARTESIAN_POINT('',(1.731250007749E1,-5.994680851698E0,-2.E1)); +#28027=DIRECTION('',(-2.997857551199E-1,9.540065518785E-1,0.E0)); +#28028=DIRECTION('',(-9.540065518785E-1,-2.997857551199E-1,0.E0)); +#28029=AXIS2_PLACEMENT_3D('',#28026,#28027,#28028); +#28030=PLANE('',#28029); +#28031=ORIENTED_EDGE('',*,*,#16386,.F.); +#28033=ORIENTED_EDGE('',*,*,#28032,.T.); +#28034=ORIENTED_EDGE('',*,*,#25639,.T.); +#28035=ORIENTED_EDGE('',*,*,#28019,.F.); +#28036=EDGE_LOOP('',(#28031,#28033,#28034,#28035)); +#28037=FACE_OUTER_BOUND('',#28036,.F.); +#28039=CARTESIAN_POINT('',(1.736666669250E1,-5.952127665281E0,-2.E1)); +#28040=DIRECTION('',(-6.177650295377E-1,7.863627459896E-1,0.E0)); +#28041=DIRECTION('',(-7.863627459896E-1,-6.177650295377E-1,0.E0)); +#28042=AXIS2_PLACEMENT_3D('',#28039,#28040,#28041); +#28043=PLANE('',#28042); +#28044=ORIENTED_EDGE('',*,*,#16384,.F.); +#28046=ORIENTED_EDGE('',*,*,#28045,.T.); +#28047=ORIENTED_EDGE('',*,*,#25641,.T.); +#28048=ORIENTED_EDGE('',*,*,#28032,.F.); +#28049=EDGE_LOOP('',(#28044,#28046,#28047,#28048)); +#28050=FACE_OUTER_BOUND('',#28049,.F.); +#28052=CARTESIAN_POINT('',(1.742083330750E1,-5.867021262646E0,-2.E1)); +#28053=DIRECTION('',(-8.436255890288E-1,5.369319002777E-1,0.E0)); +#28054=DIRECTION('',(-5.369319002777E-1,-8.436255890288E-1,0.E0)); +#28055=AXIS2_PLACEMENT_3D('',#28052,#28053,#28054); +#28056=PLANE('',#28055); +#28057=ORIENTED_EDGE('',*,*,#16382,.F.); +#28059=ORIENTED_EDGE('',*,*,#28058,.T.); +#28060=ORIENTED_EDGE('',*,*,#25643,.T.); +#28061=ORIENTED_EDGE('',*,*,#28045,.F.); +#28062=EDGE_LOOP('',(#28057,#28059,#28060,#28061)); +#28063=FACE_OUTER_BOUND('',#28062,.F.); +#28065=CARTESIAN_POINT('',(1.744791669250E1,-5.781914889812E0,-2.E1)); +#28066=DIRECTION('',(-9.529125652990E-1,3.032451861040E-1,0.E0)); +#28067=DIRECTION('',(-3.032451861040E-1,-9.529125652990E-1,0.E0)); +#28068=AXIS2_PLACEMENT_3D('',#28065,#28066,#28067); +#28069=PLANE('',#28068); +#28070=ORIENTED_EDGE('',*,*,#16380,.F.); +#28072=ORIENTED_EDGE('',*,*,#28071,.T.); +#28073=ORIENTED_EDGE('',*,*,#25645,.T.); +#28074=ORIENTED_EDGE('',*,*,#28058,.F.); +#28075=EDGE_LOOP('',(#28070,#28072,#28073,#28074)); +#28076=FACE_OUTER_BOUND('',#28075,.F.); +#28078=CARTESIAN_POINT('',(1.744791669250E1,-5.611702144146E0,-2.E1)); +#28079=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28080=DIRECTION('',(0.E0,-1.E0,0.E0)); +#28081=AXIS2_PLACEMENT_3D('',#28078,#28079,#28080); +#28082=PLANE('',#28081); +#28083=ORIENTED_EDGE('',*,*,#16378,.F.); +#28085=ORIENTED_EDGE('',*,*,#28084,.T.); +#28086=ORIENTED_EDGE('',*,*,#25647,.T.); +#28087=ORIENTED_EDGE('',*,*,#28071,.F.); +#28088=EDGE_LOOP('',(#28083,#28085,#28086,#28087)); +#28089=FACE_OUTER_BOUND('',#28088,.F.); +#28091=CARTESIAN_POINT('',(1.739375007749E1,-5.441489338875E0,-2.E1)); +#28092=DIRECTION('',(-9.529128466878E-1,-3.032443018711E-1,0.E0)); +#28093=DIRECTION('',(3.032443018711E-1,-9.529128466878E-1,0.E0)); +#28094=AXIS2_PLACEMENT_3D('',#28091,#28092,#28093); +#28095=PLANE('',#28094); +#28096=ORIENTED_EDGE('',*,*,#16376,.F.); +#28098=ORIENTED_EDGE('',*,*,#28097,.T.); +#28099=ORIENTED_EDGE('',*,*,#25649,.T.); +#28100=ORIENTED_EDGE('',*,*,#28084,.F.); +#28101=EDGE_LOOP('',(#28096,#28098,#28099,#28100)); +#28102=FACE_OUTER_BOUND('',#28101,.F.); +#28104=CARTESIAN_POINT('',(1.731250007749E1,-5.356382966042E0,-2.E1)); +#28105=DIRECTION('',(-7.233041855288E-1,-6.905295469395E-1,0.E0)); +#28106=DIRECTION('',(6.905295469395E-1,-7.233041855288E-1,0.E0)); +#28107=AXIS2_PLACEMENT_3D('',#28104,#28105,#28106); +#28108=PLANE('',#28107); +#28109=ORIENTED_EDGE('',*,*,#16374,.F.); +#28111=ORIENTED_EDGE('',*,*,#28110,.T.); +#28112=ORIENTED_EDGE('',*,*,#25651,.T.); +#28113=ORIENTED_EDGE('',*,*,#28097,.F.); +#28114=EDGE_LOOP('',(#28109,#28111,#28112,#28113)); +#28115=FACE_OUTER_BOUND('',#28114,.F.); +#28117=CARTESIAN_POINT('',(1.715000007749E1,-5.313829779625E0,-2.E1)); +#28118=DIRECTION('',(-2.533240861778E-1,-9.673814694122E-1,0.E0)); +#28119=DIRECTION('',(9.673814694122E-1,-2.533240861778E-1,0.E0)); +#28120=AXIS2_PLACEMENT_3D('',#28117,#28118,#28119); +#28121=PLANE('',#28120); +#28122=ORIENTED_EDGE('',*,*,#16372,.F.); +#28124=ORIENTED_EDGE('',*,*,#28123,.T.); +#28125=ORIENTED_EDGE('',*,*,#25653,.T.); +#28126=ORIENTED_EDGE('',*,*,#28110,.F.); +#28127=EDGE_LOOP('',(#28122,#28124,#28125,#28126)); +#28128=FACE_OUTER_BOUND('',#28127,.F.); +#28130=CARTESIAN_POINT('',(1.704166669250E1,-5.313829779625E0,-2.E1)); +#28131=DIRECTION('',(0.E0,-1.E0,0.E0)); +#28132=DIRECTION('',(1.E0,0.E0,0.E0)); +#28133=AXIS2_PLACEMENT_3D('',#28130,#28131,#28132); +#28134=PLANE('',#28133); +#28135=ORIENTED_EDGE('',*,*,#16370,.F.); +#28137=ORIENTED_EDGE('',*,*,#28136,.T.); +#28138=ORIENTED_EDGE('',*,*,#25655,.T.); +#28139=ORIENTED_EDGE('',*,*,#28123,.F.); +#28140=EDGE_LOOP('',(#28135,#28137,#28138,#28139)); +#28141=FACE_OUTER_BOUND('',#28140,.F.); +#28143=CARTESIAN_POINT('',(1.704166669250E1,-5.143617033958E0,-2.E1)); +#28144=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28145=DIRECTION('',(0.E0,-1.E0,0.E0)); +#28146=AXIS2_PLACEMENT_3D('',#28143,#28144,#28145); +#28147=PLANE('',#28146); +#28148=ORIENTED_EDGE('',*,*,#16368,.F.); +#28150=ORIENTED_EDGE('',*,*,#28149,.T.); +#28151=ORIENTED_EDGE('',*,*,#25657,.T.); +#28152=ORIENTED_EDGE('',*,*,#28136,.F.); +#28153=EDGE_LOOP('',(#28148,#28150,#28151,#28152)); +#28154=FACE_OUTER_BOUND('',#28153,.F.); +#28156=CARTESIAN_POINT('',(1.715000007749E1,-5.143617033958E0,-2.E1)); +#28157=DIRECTION('',(0.E0,1.E0,0.E0)); +#28158=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28159=AXIS2_PLACEMENT_3D('',#28156,#28157,#28158); +#28160=PLANE('',#28159); +#28161=ORIENTED_EDGE('',*,*,#16366,.F.); +#28163=ORIENTED_EDGE('',*,*,#28162,.T.); +#28164=ORIENTED_EDGE('',*,*,#25659,.T.); +#28165=ORIENTED_EDGE('',*,*,#28149,.F.); +#28166=EDGE_LOOP('',(#28161,#28163,#28164,#28165)); +#28167=FACE_OUTER_BOUND('',#28166,.F.); +#28169=CARTESIAN_POINT('',(1.731250007749E1,-5.058510661125E0,-2.E1)); +#28170=DIRECTION('',(-4.639527744152E-1,8.858599342517E-1,0.E0)); +#28171=DIRECTION('',(-8.858599342517E-1,-4.639527744152E-1,0.E0)); +#28172=AXIS2_PLACEMENT_3D('',#28169,#28170,#28171); +#28173=PLANE('',#28172); +#28174=ORIENTED_EDGE('',*,*,#16364,.F.); +#28176=ORIENTED_EDGE('',*,*,#28175,.T.); +#28177=ORIENTED_EDGE('',*,*,#25661,.T.); +#28178=ORIENTED_EDGE('',*,*,#28162,.F.); +#28179=EDGE_LOOP('',(#28174,#28176,#28177,#28178)); +#28180=FACE_OUTER_BOUND('',#28179,.F.); +#28182=CARTESIAN_POINT('',(1.736666669250E1,-4.973404288292E0,-2.E1)); +#28183=DIRECTION('',(-8.436255038608E-1,5.369320340933E-1,0.E0)); +#28184=DIRECTION('',(-5.369320340933E-1,-8.436255038608E-1,0.E0)); +#28185=AXIS2_PLACEMENT_3D('',#28182,#28183,#28184); +#28186=PLANE('',#28185); +#28187=ORIENTED_EDGE('',*,*,#16362,.F.); +#28189=ORIENTED_EDGE('',*,*,#28188,.T.); +#28190=ORIENTED_EDGE('',*,*,#25663,.T.); +#28191=ORIENTED_EDGE('',*,*,#28175,.F.); +#28192=EDGE_LOOP('',(#28187,#28189,#28190,#28191)); +#28193=FACE_OUTER_BOUND('',#28192,.F.); +#28195=CARTESIAN_POINT('',(1.742083330750E1,-4.803191542625E0,-2.E1)); +#28196=DIRECTION('',(-9.529128160028E-1,3.032443982955E-1,0.E0)); +#28197=DIRECTION('',(-3.032443982955E-1,-9.529128160028E-1,0.E0)); +#28198=AXIS2_PLACEMENT_3D('',#28195,#28196,#28197); +#28199=PLANE('',#28198); +#28200=ORIENTED_EDGE('',*,*,#16360,.F.); +#28202=ORIENTED_EDGE('',*,*,#28201,.T.); +#28203=ORIENTED_EDGE('',*,*,#25665,.T.); +#28204=ORIENTED_EDGE('',*,*,#28188,.F.); +#28205=EDGE_LOOP('',(#28200,#28202,#28203,#28204)); +#28206=FACE_OUTER_BOUND('',#28205,.F.); +#28208=CARTESIAN_POINT('',(1.742083330750E1,-4.718085050583E0,-2.E1)); +#28209=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28210=DIRECTION('',(0.E0,-1.E0,0.E0)); +#28211=AXIS2_PLACEMENT_3D('',#28208,#28209,#28210); +#28212=PLANE('',#28211); +#28213=ORIENTED_EDGE('',*,*,#16358,.F.); +#28215=ORIENTED_EDGE('',*,*,#28214,.T.); +#28216=ORIENTED_EDGE('',*,*,#25667,.T.); +#28217=ORIENTED_EDGE('',*,*,#28201,.F.); +#28218=EDGE_LOOP('',(#28213,#28215,#28216,#28217)); +#28219=FACE_OUTER_BOUND('',#28218,.F.); +#28221=CARTESIAN_POINT('',(1.736666669250E1,-4.590425491333E0,-2.E1)); +#28222=DIRECTION('',(-9.205610030542E-1,-3.905988218823E-1,0.E0)); +#28223=DIRECTION('',(3.905988218823E-1,-9.205610030542E-1,0.E0)); +#28224=AXIS2_PLACEMENT_3D('',#28221,#28222,#28223); +#28225=PLANE('',#28224); +#28226=ORIENTED_EDGE('',*,*,#16356,.F.); +#28228=ORIENTED_EDGE('',*,*,#28227,.T.); +#28229=ORIENTED_EDGE('',*,*,#25669,.T.); +#28230=ORIENTED_EDGE('',*,*,#28214,.F.); +#28231=EDGE_LOOP('',(#28226,#28228,#28229,#28230)); +#28232=FACE_OUTER_BOUND('',#28231,.F.); +#28234=CARTESIAN_POINT('',(1.728541669250E1,-4.505319118500E0,-2.E1)); +#28235=DIRECTION('',(-7.233041855288E-1,-6.905295469396E-1,0.E0)); +#28236=DIRECTION('',(6.905295469396E-1,-7.233041855288E-1,0.E0)); +#28237=AXIS2_PLACEMENT_3D('',#28234,#28235,#28236); +#28238=PLANE('',#28237); +#28239=ORIENTED_EDGE('',*,*,#16354,.F.); +#28241=ORIENTED_EDGE('',*,*,#28240,.T.); +#28242=ORIENTED_EDGE('',*,*,#25671,.T.); +#28243=ORIENTED_EDGE('',*,*,#28227,.F.); +#28244=EDGE_LOOP('',(#28239,#28241,#28242,#28243)); +#28245=FACE_OUTER_BOUND('',#28244,.F.); +#28247=CARTESIAN_POINT('',(1.715000007749E1,-4.462765932083E0,-2.E1)); +#28248=DIRECTION('',(-2.997860673647E-1,-9.540064537591E-1,0.E0)); +#28249=DIRECTION('',(9.540064537591E-1,-2.997860673647E-1,0.E0)); +#28250=AXIS2_PLACEMENT_3D('',#28247,#28248,#28249); +#28251=PLANE('',#28250); +#28252=ORIENTED_EDGE('',*,*,#16352,.F.); +#28254=ORIENTED_EDGE('',*,*,#28253,.T.); +#28255=ORIENTED_EDGE('',*,*,#25673,.T.); +#28256=ORIENTED_EDGE('',*,*,#28240,.F.); +#28257=EDGE_LOOP('',(#28252,#28254,#28255,#28256)); +#28258=FACE_OUTER_BOUND('',#28257,.F.); +#28260=CARTESIAN_POINT('',(1.704166669250E1,-4.462765932083E0,-2.E1)); +#28261=DIRECTION('',(0.E0,-1.E0,0.E0)); +#28262=DIRECTION('',(1.E0,0.E0,0.E0)); +#28263=AXIS2_PLACEMENT_3D('',#28260,#28261,#28262); +#28264=PLANE('',#28263); +#28265=ORIENTED_EDGE('',*,*,#16350,.F.); +#28267=ORIENTED_EDGE('',*,*,#28266,.T.); +#28268=ORIENTED_EDGE('',*,*,#25675,.T.); +#28269=ORIENTED_EDGE('',*,*,#28253,.F.); +#28270=EDGE_LOOP('',(#28265,#28267,#28268,#28269)); +#28271=FACE_OUTER_BOUND('',#28270,.F.); +#28273=CARTESIAN_POINT('',(1.690625007749E1,-4.505319118500E0,-2.E1)); +#28274=DIRECTION('',(2.997860673647E-1,-9.540064537591E-1,0.E0)); +#28275=DIRECTION('',(9.540064537591E-1,2.997860673647E-1,0.E0)); +#28276=AXIS2_PLACEMENT_3D('',#28273,#28274,#28275); +#28277=PLANE('',#28276); +#28278=ORIENTED_EDGE('',*,*,#16348,.F.); +#28280=ORIENTED_EDGE('',*,*,#28279,.T.); +#28281=ORIENTED_EDGE('',*,*,#25677,.T.); +#28282=ORIENTED_EDGE('',*,*,#28266,.F.); +#28283=EDGE_LOOP('',(#28278,#28280,#28281,#28282)); +#28284=FACE_OUTER_BOUND('',#28283,.F.); +#28286=CARTESIAN_POINT('',(1.682500007749E1,-4.590425491333E0,-2.E1)); +#28287=DIRECTION('',(7.233041855288E-1,-6.905295469396E-1,0.E0)); +#28288=DIRECTION('',(6.905295469396E-1,7.233041855288E-1,0.E0)); +#28289=AXIS2_PLACEMENT_3D('',#28286,#28287,#28288); +#28290=PLANE('',#28289); +#28291=ORIENTED_EDGE('',*,*,#16346,.F.); +#28293=ORIENTED_EDGE('',*,*,#28292,.T.); +#28294=ORIENTED_EDGE('',*,*,#25679,.T.); +#28295=ORIENTED_EDGE('',*,*,#28279,.F.); +#28296=EDGE_LOOP('',(#28291,#28293,#28294,#28295)); +#28297=FACE_OUTER_BOUND('',#28296,.F.); +#28299=CARTESIAN_POINT('',(1.677083330750E1,-4.718085050583E0,-2.E1)); +#28300=DIRECTION('',(9.205606012296E-1,-3.905997688988E-1,0.E0)); +#28301=DIRECTION('',(3.905997688988E-1,9.205606012296E-1,0.E0)); +#28302=AXIS2_PLACEMENT_3D('',#28299,#28300,#28301); +#28303=PLANE('',#28302); +#28304=ORIENTED_EDGE('',*,*,#16344,.F.); +#28306=ORIENTED_EDGE('',*,*,#28305,.T.); +#28307=ORIENTED_EDGE('',*,*,#25681,.T.); +#28308=ORIENTED_EDGE('',*,*,#28292,.F.); +#28309=EDGE_LOOP('',(#28304,#28306,#28307,#28308)); +#28310=FACE_OUTER_BOUND('',#28309,.F.); +#28312=CARTESIAN_POINT('',(1.663541669250E1,-4.718085050583E0,-2.E1)); +#28313=DIRECTION('',(0.E0,-1.E0,0.E0)); +#28314=DIRECTION('',(1.E0,0.E0,0.E0)); +#28315=AXIS2_PLACEMENT_3D('',#28312,#28313,#28314); +#28316=PLANE('',#28315); +#28317=ORIENTED_EDGE('',*,*,#16342,.F.); +#28319=ORIENTED_EDGE('',*,*,#28318,.T.); +#28320=ORIENTED_EDGE('',*,*,#25683,.T.); +#28321=ORIENTED_EDGE('',*,*,#28305,.F.); +#28322=EDGE_LOOP('',(#28317,#28319,#28320,#28321)); +#28323=FACE_OUTER_BOUND('',#28322,.F.); +#28325=CARTESIAN_POINT('',(1.66625E1,-4.547872304916E0,-2.E1)); +#28326=DIRECTION('',(-9.875766986727E-1,1.571377237929E-1,0.E0)); +#28327=DIRECTION('',(-1.571377237929E-1,-9.875766986727E-1,0.E0)); +#28328=AXIS2_PLACEMENT_3D('',#28325,#28326,#28327); +#28329=PLANE('',#28328); +#28330=ORIENTED_EDGE('',*,*,#16340,.F.); +#28332=ORIENTED_EDGE('',*,*,#28331,.T.); +#28333=ORIENTED_EDGE('',*,*,#25685,.T.); +#28334=ORIENTED_EDGE('',*,*,#28318,.F.); +#28335=EDGE_LOOP('',(#28330,#28332,#28333,#28334)); +#28336=FACE_OUTER_BOUND('',#28335,.F.); +#28338=CARTESIAN_POINT('',(1.677083330750E1,-4.377659559250E0,-2.E1)); +#28339=DIRECTION('',(-8.436253299005E-1,5.369323074189E-1,0.E0)); +#28340=DIRECTION('',(-5.369323074189E-1,-8.436253299005E-1,0.E0)); +#28341=AXIS2_PLACEMENT_3D('',#28338,#28339,#28340); +#28342=PLANE('',#28341); +#28343=ORIENTED_EDGE('',*,*,#16338,.F.); +#28345=ORIENTED_EDGE('',*,*,#28344,.T.); +#28346=ORIENTED_EDGE('',*,*,#25687,.T.); +#28347=ORIENTED_EDGE('',*,*,#28331,.F.); +#28348=EDGE_LOOP('',(#28343,#28345,#28346,#28347)); +#28349=FACE_OUTER_BOUND('',#28348,.F.); +#28351=CARTESIAN_POINT('',(1.687916669250E1,-4.292553186417E0,-2.E1)); +#28352=DIRECTION('',(-6.177644830748E-1,7.863631752894E-1,0.E0)); +#28353=DIRECTION('',(-7.863631752894E-1,-6.177644830748E-1,0.E0)); +#28354=AXIS2_PLACEMENT_3D('',#28351,#28352,#28353); +#28355=PLANE('',#28354); +#28356=ORIENTED_EDGE('',*,*,#16336,.F.); +#28358=ORIENTED_EDGE('',*,*,#28357,.T.); +#28359=ORIENTED_EDGE('',*,*,#25689,.T.); +#28360=ORIENTED_EDGE('',*,*,#28344,.F.); +#28361=EDGE_LOOP('',(#28356,#28358,#28359,#28360)); +#28362=FACE_OUTER_BOUND('',#28361,.F.); +#28364=CARTESIAN_POINT('',(1.706875007749E1,-4.25E0,-2.E1)); +#28365=DIRECTION('',(-2.190072337680E-1,9.757232351222E-1,0.E0)); +#28366=DIRECTION('',(-9.757232351222E-1,-2.190072337680E-1,0.E0)); +#28367=AXIS2_PLACEMENT_3D('',#28364,#28365,#28366); +#28368=PLANE('',#28367); +#28369=ORIENTED_EDGE('',*,*,#16334,.F.); +#28371=ORIENTED_EDGE('',*,*,#28370,.T.); +#28372=ORIENTED_EDGE('',*,*,#25691,.T.); +#28373=ORIENTED_EDGE('',*,*,#28357,.F.); +#28374=EDGE_LOOP('',(#28369,#28371,#28372,#28373)); +#28375=FACE_OUTER_BOUND('',#28374,.F.); +#28377=CARTESIAN_POINT('',(1.712291669250E1,-4.25E0,-2.E1)); +#28378=DIRECTION('',(0.E0,1.E0,0.E0)); +#28379=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28380=AXIS2_PLACEMENT_3D('',#28377,#28378,#28379); +#28381=PLANE('',#28380); +#28382=ORIENTED_EDGE('',*,*,#16332,.F.); +#28384=ORIENTED_EDGE('',*,*,#28383,.T.); +#28385=ORIENTED_EDGE('',*,*,#25693,.T.); +#28386=ORIENTED_EDGE('',*,*,#28370,.F.); +#28387=EDGE_LOOP('',(#28382,#28384,#28385,#28386)); +#28388=FACE_OUTER_BOUND('',#28387,.F.); +#28390=CARTESIAN_POINT('',(1.731250007749E1,-4.292553186417E0,-2.E1)); +#28391=DIRECTION('',(2.190072337680E-1,9.757232351222E-1,0.E0)); +#28392=DIRECTION('',(-9.757232351222E-1,2.190072337680E-1,0.E0)); +#28393=AXIS2_PLACEMENT_3D('',#28390,#28391,#28392); +#28394=PLANE('',#28393); +#28395=ORIENTED_EDGE('',*,*,#16330,.F.); +#28397=ORIENTED_EDGE('',*,*,#28396,.T.); +#28398=ORIENTED_EDGE('',*,*,#25695,.T.); +#28399=ORIENTED_EDGE('',*,*,#28383,.F.); +#28400=EDGE_LOOP('',(#28395,#28397,#28398,#28399)); +#28401=FACE_OUTER_BOUND('',#28400,.F.); +#28403=CARTESIAN_POINT('',(1.742083330750E1,-4.377659559250E0,-2.E1)); +#28404=DIRECTION('',(6.177650295377E-1,7.863627459896E-1,0.E0)); +#28405=DIRECTION('',(-7.863627459896E-1,6.177650295377E-1,0.E0)); +#28406=AXIS2_PLACEMENT_3D('',#28403,#28404,#28405); +#28407=PLANE('',#28406); +#28408=ORIENTED_EDGE('',*,*,#16328,.F.); +#28410=ORIENTED_EDGE('',*,*,#28409,.T.); +#28411=ORIENTED_EDGE('',*,*,#25697,.T.); +#28412=ORIENTED_EDGE('',*,*,#28396,.F.); +#28413=EDGE_LOOP('',(#28408,#28410,#28411,#28412)); +#28414=FACE_OUTER_BOUND('',#28413,.F.); +#28416=CARTESIAN_POINT('',(1.752916669250E1,-4.547872304916E0,-2.E1)); +#28417=DIRECTION('',(8.436251559402E-1,5.369325807444E-1,0.E0)); +#28418=DIRECTION('',(-5.369325807444E-1,8.436251559402E-1,0.E0)); +#28419=AXIS2_PLACEMENT_3D('',#28416,#28417,#28418); +#28420=PLANE('',#28419); +#28421=ORIENTED_EDGE('',*,*,#16326,.F.); +#28423=ORIENTED_EDGE('',*,*,#28422,.T.); +#28424=ORIENTED_EDGE('',*,*,#25699,.T.); +#28425=ORIENTED_EDGE('',*,*,#28409,.F.); +#28426=EDGE_LOOP('',(#28421,#28423,#28424,#28425)); +#28427=FACE_OUTER_BOUND('',#28426,.F.); +#28429=CARTESIAN_POINT('',(1.755625007749E1,-4.718085050583E0,-2.E1)); +#28430=DIRECTION('',(9.875766289050E-1,1.571381622669E-1,0.E0)); +#28431=DIRECTION('',(-1.571381622669E-1,9.875766289050E-1,0.E0)); +#28432=AXIS2_PLACEMENT_3D('',#28429,#28430,#28431); +#28433=PLANE('',#28432); +#28434=ORIENTED_EDGE('',*,*,#16324,.F.); +#28436=ORIENTED_EDGE('',*,*,#28435,.T.); +#28437=ORIENTED_EDGE('',*,*,#25701,.T.); +#28438=ORIENTED_EDGE('',*,*,#28422,.F.); +#28439=EDGE_LOOP('',(#28434,#28436,#28437,#28438)); +#28440=FACE_OUTER_BOUND('',#28439,.F.); +#28442=CARTESIAN_POINT('',(1.755625007749E1,-4.803191542625E0,-2.E1)); +#28443=DIRECTION('',(1.E0,0.E0,0.E0)); +#28444=DIRECTION('',(0.E0,1.E0,0.E0)); +#28445=AXIS2_PLACEMENT_3D('',#28442,#28443,#28444); +#28446=PLANE('',#28445); +#28447=ORIENTED_EDGE('',*,*,#16322,.F.); +#28449=ORIENTED_EDGE('',*,*,#28448,.T.); +#28450=ORIENTED_EDGE('',*,*,#25703,.T.); +#28451=ORIENTED_EDGE('',*,*,#28435,.F.); +#28452=EDGE_LOOP('',(#28447,#28449,#28450,#28451)); +#28453=FACE_OUTER_BOUND('',#28452,.F.); +#28455=CARTESIAN_POINT('',(1.752916669250E1,-4.973404288292E0,-2.E1)); +#28456=DIRECTION('',(9.875766289050E-1,-1.571381622669E-1,0.E0)); +#28457=DIRECTION('',(1.571381622669E-1,9.875766289050E-1,0.E0)); +#28458=AXIS2_PLACEMENT_3D('',#28455,#28456,#28457); +#28459=PLANE('',#28458); +#28460=ORIENTED_EDGE('',*,*,#16320,.F.); +#28462=ORIENTED_EDGE('',*,*,#28461,.T.); +#28463=ORIENTED_EDGE('',*,*,#25705,.T.); +#28464=ORIENTED_EDGE('',*,*,#28448,.F.); +#28465=EDGE_LOOP('',(#28460,#28462,#28463,#28464)); +#28466=FACE_OUTER_BOUND('',#28465,.F.); +#28468=CARTESIAN_POINT('',(1.747500007749E1,-5.101063847542E0,-2.E1)); +#28469=DIRECTION('',(9.205610030542E-1,-3.905988218823E-1,0.E0)); +#28470=DIRECTION('',(3.905988218823E-1,9.205610030542E-1,0.E0)); +#28471=AXIS2_PLACEMENT_3D('',#28468,#28469,#28470); +#28472=PLANE('',#28471); +#28473=ORIENTED_EDGE('',*,*,#16318,.F.); +#28475=ORIENTED_EDGE('',*,*,#28474,.T.); +#28476=ORIENTED_EDGE('',*,*,#25707,.T.); +#28477=ORIENTED_EDGE('',*,*,#28461,.F.); +#28478=EDGE_LOOP('',(#28473,#28475,#28476,#28477)); +#28479=FACE_OUTER_BOUND('',#28478,.F.); +#28481=CARTESIAN_POINT('',(1.736666669250E1,-5.228723406792E0,-2.E1)); +#28482=DIRECTION('',(7.624614503401E-1,-6.470336442144E-1,0.E0)); +#28483=DIRECTION('',(6.470336442144E-1,7.624614503401E-1,0.E0)); +#28484=AXIS2_PLACEMENT_3D('',#28481,#28482,#28483); +#28485=PLANE('',#28484); +#28486=ORIENTED_EDGE('',*,*,#16316,.F.); +#28488=ORIENTED_EDGE('',*,*,#28487,.T.); +#28489=ORIENTED_EDGE('',*,*,#25709,.T.); +#28490=ORIENTED_EDGE('',*,*,#28474,.F.); +#28491=EDGE_LOOP('',(#28486,#28488,#28489,#28490)); +#28492=FACE_OUTER_BOUND('',#28491,.F.); +#28494=CARTESIAN_POINT('',(1.750208330750E1,-5.313829779625E0,-2.E1)); +#28495=DIRECTION('',(5.321148856698E-1,8.466721611395E-1,0.E0)); +#28496=DIRECTION('',(-8.466721611395E-1,5.321148856698E-1,0.E0)); +#28497=AXIS2_PLACEMENT_3D('',#28494,#28495,#28496); +#28498=PLANE('',#28497); +#28499=ORIENTED_EDGE('',*,*,#16314,.F.); +#28501=ORIENTED_EDGE('',*,*,#28500,.T.); +#28502=ORIENTED_EDGE('',*,*,#25711,.T.); +#28503=ORIENTED_EDGE('',*,*,#28487,.F.); +#28504=EDGE_LOOP('',(#28499,#28501,#28502,#28503)); +#28505=FACE_OUTER_BOUND('',#28504,.F.); +#28507=CARTESIAN_POINT('',(1.755625007749E1,-5.441489338875E0,-2.E1)); +#28508=DIRECTION('',(9.205606012296E-1,3.905997688988E-1,0.E0)); +#28509=DIRECTION('',(-3.905997688988E-1,9.205606012296E-1,0.E0)); +#28510=AXIS2_PLACEMENT_3D('',#28507,#28508,#28509); +#28511=PLANE('',#28510); +#28512=ORIENTED_EDGE('',*,*,#16312,.F.); +#28514=ORIENTED_EDGE('',*,*,#28513,.T.); +#28515=ORIENTED_EDGE('',*,*,#25713,.T.); +#28516=ORIENTED_EDGE('',*,*,#28500,.F.); +#28517=EDGE_LOOP('',(#28512,#28514,#28515,#28516)); +#28518=FACE_OUTER_BOUND('',#28517,.F.); +#28520=CARTESIAN_POINT('',(1.758333330750E1,-5.611702144146E0,-2.E1)); +#28521=DIRECTION('',(9.875767769793E-1,1.571372316517E-1,0.E0)); +#28522=DIRECTION('',(-1.571372316517E-1,9.875767769793E-1,0.E0)); +#28523=AXIS2_PLACEMENT_3D('',#28520,#28521,#28522); +#28524=PLANE('',#28523); +#28525=ORIENTED_EDGE('',*,*,#16310,.F.); +#28527=ORIENTED_EDGE('',*,*,#28526,.T.); +#28528=ORIENTED_EDGE('',*,*,#25715,.T.); +#28529=ORIENTED_EDGE('',*,*,#28513,.F.); +#28530=EDGE_LOOP('',(#28525,#28527,#28528,#28529)); +#28531=FACE_OUTER_BOUND('',#28530,.F.); +#28533=CARTESIAN_POINT('',(1.758333330750E1,-5.781914889812E0,-2.E1)); +#28534=DIRECTION('',(1.E0,0.E0,0.E0)); +#28535=DIRECTION('',(0.E0,1.E0,0.E0)); +#28536=AXIS2_PLACEMENT_3D('',#28533,#28534,#28535); +#28537=PLANE('',#28536); +#28538=ORIENTED_EDGE('',*,*,#16308,.F.); +#28540=ORIENTED_EDGE('',*,*,#28539,.T.); +#28541=ORIENTED_EDGE('',*,*,#25717,.T.); +#28542=ORIENTED_EDGE('',*,*,#28526,.F.); +#28543=EDGE_LOOP('',(#28538,#28540,#28541,#28542)); +#28544=FACE_OUTER_BOUND('',#28543,.F.); +#28546=CARTESIAN_POINT('',(1.755625007749E1,-5.909574478865E0,-2.E1)); +#28547=DIRECTION('',(9.782280222558E-1,-2.075329768340E-1,0.E0)); +#28548=DIRECTION('',(2.075329768340E-1,9.782280222558E-1,0.E0)); +#28549=AXIS2_PLACEMENT_3D('',#28546,#28547,#28548); +#28550=PLANE('',#28549); +#28551=ORIENTED_EDGE('',*,*,#16306,.F.); +#28552=ORIENTED_EDGE('',*,*,#27826,.T.); +#28553=ORIENTED_EDGE('',*,*,#25719,.T.); +#28554=ORIENTED_EDGE('',*,*,#28539,.F.); +#28555=EDGE_LOOP('',(#28551,#28552,#28553,#28554)); +#28556=FACE_OUTER_BOUND('',#28555,.F.); +#28558=CARTESIAN_POINT('',(-1.2E1,0.E0,-1.2475E1)); +#28559=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28560=DIRECTION('',(0.E0,1.E0,0.E0)); +#28561=AXIS2_PLACEMENT_3D('',#28558,#28559,#28560); +#28562=CYLINDRICAL_SURFACE('',#28561,6.5E-1); +#28563=ORIENTED_EDGE('',*,*,#16468,.T.); +#28565=ORIENTED_EDGE('',*,*,#28564,.F.); +#28567=ORIENTED_EDGE('',*,*,#28566,.F.); +#28569=ORIENTED_EDGE('',*,*,#28568,.T.); +#28570=EDGE_LOOP('',(#28563,#28565,#28567,#28569)); +#28571=FACE_OUTER_BOUND('',#28570,.F.); +#28573=CARTESIAN_POINT('',(-1.2E1,0.E0,-1.2475E1)); +#28574=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28575=DIRECTION('',(0.E0,1.E0,0.E0)); +#28576=AXIS2_PLACEMENT_3D('',#28573,#28574,#28575); +#28577=CYLINDRICAL_SURFACE('',#28576,6.5E-1); +#28578=ORIENTED_EDGE('',*,*,#16470,.T.); +#28579=ORIENTED_EDGE('',*,*,#28568,.F.); +#28581=ORIENTED_EDGE('',*,*,#28580,.F.); +#28582=ORIENTED_EDGE('',*,*,#28564,.T.); +#28583=EDGE_LOOP('',(#28578,#28579,#28581,#28582)); +#28584=FACE_OUTER_BOUND('',#28583,.F.); +#28586=CARTESIAN_POINT('',(-1.2E1,0.E0,-1.2475E1)); +#28587=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28588=DIRECTION('',(0.E0,1.E0,0.E0)); +#28589=AXIS2_PLACEMENT_3D('',#28586,#28587,#28588); +#28590=PLANE('',#28589); +#28591=ORIENTED_EDGE('',*,*,#28566,.T.); +#28592=ORIENTED_EDGE('',*,*,#28580,.T.); +#28593=EDGE_LOOP('',(#28591,#28592)); +#28594=FACE_OUTER_BOUND('',#28593,.F.); +#28596=CARTESIAN_POINT('',(-8.E0,0.E0,-1.2475E1)); +#28597=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28598=DIRECTION('',(0.E0,1.E0,0.E0)); +#28599=AXIS2_PLACEMENT_3D('',#28596,#28597,#28598); +#28600=CYLINDRICAL_SURFACE('',#28599,6.5E-1); +#28601=ORIENTED_EDGE('',*,*,#16474,.T.); +#28603=ORIENTED_EDGE('',*,*,#28602,.F.); +#28605=ORIENTED_EDGE('',*,*,#28604,.F.); +#28607=ORIENTED_EDGE('',*,*,#28606,.T.); +#28608=EDGE_LOOP('',(#28601,#28603,#28605,#28607)); +#28609=FACE_OUTER_BOUND('',#28608,.F.); +#28611=CARTESIAN_POINT('',(-8.E0,0.E0,-1.2475E1)); +#28612=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28613=DIRECTION('',(0.E0,1.E0,0.E0)); +#28614=AXIS2_PLACEMENT_3D('',#28611,#28612,#28613); +#28615=CYLINDRICAL_SURFACE('',#28614,6.5E-1); +#28616=ORIENTED_EDGE('',*,*,#16476,.T.); +#28617=ORIENTED_EDGE('',*,*,#28606,.F.); +#28619=ORIENTED_EDGE('',*,*,#28618,.F.); +#28620=ORIENTED_EDGE('',*,*,#28602,.T.); +#28621=EDGE_LOOP('',(#28616,#28617,#28619,#28620)); +#28622=FACE_OUTER_BOUND('',#28621,.F.); +#28624=CARTESIAN_POINT('',(-8.E0,0.E0,-1.2475E1)); +#28625=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28626=DIRECTION('',(0.E0,1.E0,0.E0)); +#28627=AXIS2_PLACEMENT_3D('',#28624,#28625,#28626); +#28628=PLANE('',#28627); +#28629=ORIENTED_EDGE('',*,*,#28604,.T.); +#28630=ORIENTED_EDGE('',*,*,#28618,.T.); +#28631=EDGE_LOOP('',(#28629,#28630)); +#28632=FACE_OUTER_BOUND('',#28631,.F.); +#28634=CARTESIAN_POINT('',(-4.E0,0.E0,-1.2475E1)); +#28635=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28636=DIRECTION('',(0.E0,1.E0,0.E0)); +#28637=AXIS2_PLACEMENT_3D('',#28634,#28635,#28636); +#28638=CYLINDRICAL_SURFACE('',#28637,6.5E-1); +#28639=ORIENTED_EDGE('',*,*,#16480,.T.); +#28641=ORIENTED_EDGE('',*,*,#28640,.F.); +#28643=ORIENTED_EDGE('',*,*,#28642,.F.); +#28645=ORIENTED_EDGE('',*,*,#28644,.T.); +#28646=EDGE_LOOP('',(#28639,#28641,#28643,#28645)); +#28647=FACE_OUTER_BOUND('',#28646,.F.); +#28649=CARTESIAN_POINT('',(-4.E0,0.E0,-1.2475E1)); +#28650=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28651=DIRECTION('',(0.E0,1.E0,0.E0)); +#28652=AXIS2_PLACEMENT_3D('',#28649,#28650,#28651); +#28653=CYLINDRICAL_SURFACE('',#28652,6.5E-1); +#28654=ORIENTED_EDGE('',*,*,#16482,.T.); +#28655=ORIENTED_EDGE('',*,*,#28644,.F.); +#28657=ORIENTED_EDGE('',*,*,#28656,.F.); +#28658=ORIENTED_EDGE('',*,*,#28640,.T.); +#28659=EDGE_LOOP('',(#28654,#28655,#28657,#28658)); +#28660=FACE_OUTER_BOUND('',#28659,.F.); +#28662=CARTESIAN_POINT('',(-4.E0,0.E0,-1.2475E1)); +#28663=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28664=DIRECTION('',(0.E0,1.E0,0.E0)); +#28665=AXIS2_PLACEMENT_3D('',#28662,#28663,#28664); +#28666=PLANE('',#28665); +#28667=ORIENTED_EDGE('',*,*,#28642,.T.); +#28668=ORIENTED_EDGE('',*,*,#28656,.T.); +#28669=EDGE_LOOP('',(#28667,#28668)); +#28670=FACE_OUTER_BOUND('',#28669,.F.); +#28672=CARTESIAN_POINT('',(5.684341886081E-14,0.E0,-1.2475E1)); +#28673=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28674=DIRECTION('',(0.E0,1.E0,0.E0)); +#28675=AXIS2_PLACEMENT_3D('',#28672,#28673,#28674); +#28676=CYLINDRICAL_SURFACE('',#28675,6.5E-1); +#28677=ORIENTED_EDGE('',*,*,#16486,.T.); +#28679=ORIENTED_EDGE('',*,*,#28678,.F.); +#28681=ORIENTED_EDGE('',*,*,#28680,.F.); +#28683=ORIENTED_EDGE('',*,*,#28682,.T.); +#28684=EDGE_LOOP('',(#28677,#28679,#28681,#28683)); +#28685=FACE_OUTER_BOUND('',#28684,.F.); +#28687=CARTESIAN_POINT('',(5.684341886081E-14,0.E0,-1.2475E1)); +#28688=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28689=DIRECTION('',(0.E0,1.E0,0.E0)); +#28690=AXIS2_PLACEMENT_3D('',#28687,#28688,#28689); +#28691=CYLINDRICAL_SURFACE('',#28690,6.5E-1); +#28692=ORIENTED_EDGE('',*,*,#16488,.T.); +#28693=ORIENTED_EDGE('',*,*,#28682,.F.); +#28695=ORIENTED_EDGE('',*,*,#28694,.F.); +#28696=ORIENTED_EDGE('',*,*,#28678,.T.); +#28697=EDGE_LOOP('',(#28692,#28693,#28695,#28696)); +#28698=FACE_OUTER_BOUND('',#28697,.F.); +#28700=CARTESIAN_POINT('',(5.684341886081E-14,0.E0,-1.2475E1)); +#28701=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28702=DIRECTION('',(0.E0,1.E0,0.E0)); +#28703=AXIS2_PLACEMENT_3D('',#28700,#28701,#28702); +#28704=PLANE('',#28703); +#28705=ORIENTED_EDGE('',*,*,#28680,.T.); +#28706=ORIENTED_EDGE('',*,*,#28694,.T.); +#28707=EDGE_LOOP('',(#28705,#28706)); +#28708=FACE_OUTER_BOUND('',#28707,.F.); +#28710=CARTESIAN_POINT('',(4.E0,0.E0,-1.2475E1)); +#28711=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28712=DIRECTION('',(0.E0,1.E0,0.E0)); +#28713=AXIS2_PLACEMENT_3D('',#28710,#28711,#28712); +#28714=CYLINDRICAL_SURFACE('',#28713,6.5E-1); +#28715=ORIENTED_EDGE('',*,*,#16492,.T.); +#28717=ORIENTED_EDGE('',*,*,#28716,.F.); +#28719=ORIENTED_EDGE('',*,*,#28718,.F.); +#28721=ORIENTED_EDGE('',*,*,#28720,.T.); +#28722=EDGE_LOOP('',(#28715,#28717,#28719,#28721)); +#28723=FACE_OUTER_BOUND('',#28722,.F.); +#28725=CARTESIAN_POINT('',(4.E0,0.E0,-1.2475E1)); +#28726=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28727=DIRECTION('',(0.E0,1.E0,0.E0)); +#28728=AXIS2_PLACEMENT_3D('',#28725,#28726,#28727); +#28729=CYLINDRICAL_SURFACE('',#28728,6.5E-1); +#28730=ORIENTED_EDGE('',*,*,#16494,.T.); +#28731=ORIENTED_EDGE('',*,*,#28720,.F.); +#28733=ORIENTED_EDGE('',*,*,#28732,.F.); +#28734=ORIENTED_EDGE('',*,*,#28716,.T.); +#28735=EDGE_LOOP('',(#28730,#28731,#28733,#28734)); +#28736=FACE_OUTER_BOUND('',#28735,.F.); +#28738=CARTESIAN_POINT('',(4.E0,0.E0,-1.2475E1)); +#28739=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28740=DIRECTION('',(0.E0,1.E0,0.E0)); +#28741=AXIS2_PLACEMENT_3D('',#28738,#28739,#28740); +#28742=PLANE('',#28741); +#28743=ORIENTED_EDGE('',*,*,#28718,.T.); +#28744=ORIENTED_EDGE('',*,*,#28732,.T.); +#28745=EDGE_LOOP('',(#28743,#28744)); +#28746=FACE_OUTER_BOUND('',#28745,.F.); +#28748=CARTESIAN_POINT('',(8.E0,0.E0,-1.2475E1)); +#28749=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28750=DIRECTION('',(0.E0,1.E0,0.E0)); +#28751=AXIS2_PLACEMENT_3D('',#28748,#28749,#28750); +#28752=CYLINDRICAL_SURFACE('',#28751,6.5E-1); +#28753=ORIENTED_EDGE('',*,*,#16498,.T.); +#28755=ORIENTED_EDGE('',*,*,#28754,.F.); +#28757=ORIENTED_EDGE('',*,*,#28756,.F.); +#28759=ORIENTED_EDGE('',*,*,#28758,.T.); +#28760=EDGE_LOOP('',(#28753,#28755,#28757,#28759)); +#28761=FACE_OUTER_BOUND('',#28760,.F.); +#28763=CARTESIAN_POINT('',(8.E0,0.E0,-1.2475E1)); +#28764=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28765=DIRECTION('',(0.E0,1.E0,0.E0)); +#28766=AXIS2_PLACEMENT_3D('',#28763,#28764,#28765); +#28767=CYLINDRICAL_SURFACE('',#28766,6.5E-1); +#28768=ORIENTED_EDGE('',*,*,#16500,.T.); +#28769=ORIENTED_EDGE('',*,*,#28758,.F.); +#28771=ORIENTED_EDGE('',*,*,#28770,.F.); +#28772=ORIENTED_EDGE('',*,*,#28754,.T.); +#28773=EDGE_LOOP('',(#28768,#28769,#28771,#28772)); +#28774=FACE_OUTER_BOUND('',#28773,.F.); +#28776=CARTESIAN_POINT('',(8.E0,0.E0,-1.2475E1)); +#28777=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28778=DIRECTION('',(0.E0,1.E0,0.E0)); +#28779=AXIS2_PLACEMENT_3D('',#28776,#28777,#28778); +#28780=PLANE('',#28779); +#28781=ORIENTED_EDGE('',*,*,#28756,.T.); +#28782=ORIENTED_EDGE('',*,*,#28770,.T.); +#28783=EDGE_LOOP('',(#28781,#28782)); +#28784=FACE_OUTER_BOUND('',#28783,.F.); +#28786=CARTESIAN_POINT('',(1.2E1,0.E0,-1.2475E1)); +#28787=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28788=DIRECTION('',(0.E0,1.E0,0.E0)); +#28789=AXIS2_PLACEMENT_3D('',#28786,#28787,#28788); +#28790=CYLINDRICAL_SURFACE('',#28789,6.5E-1); +#28791=ORIENTED_EDGE('',*,*,#16504,.T.); +#28793=ORIENTED_EDGE('',*,*,#28792,.F.); +#28795=ORIENTED_EDGE('',*,*,#28794,.F.); +#28797=ORIENTED_EDGE('',*,*,#28796,.T.); +#28798=EDGE_LOOP('',(#28791,#28793,#28795,#28797)); +#28799=FACE_OUTER_BOUND('',#28798,.F.); +#28801=CARTESIAN_POINT('',(1.2E1,0.E0,-1.2475E1)); +#28802=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28803=DIRECTION('',(0.E0,1.E0,0.E0)); +#28804=AXIS2_PLACEMENT_3D('',#28801,#28802,#28803); +#28805=CYLINDRICAL_SURFACE('',#28804,6.5E-1); +#28806=ORIENTED_EDGE('',*,*,#16506,.T.); +#28807=ORIENTED_EDGE('',*,*,#28796,.F.); +#28809=ORIENTED_EDGE('',*,*,#28808,.F.); +#28810=ORIENTED_EDGE('',*,*,#28792,.T.); +#28811=EDGE_LOOP('',(#28806,#28807,#28809,#28810)); +#28812=FACE_OUTER_BOUND('',#28811,.F.); +#28814=CARTESIAN_POINT('',(1.2E1,0.E0,-1.2475E1)); +#28815=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28816=DIRECTION('',(0.E0,1.E0,0.E0)); +#28817=AXIS2_PLACEMENT_3D('',#28814,#28815,#28816); +#28818=PLANE('',#28817); +#28819=ORIENTED_EDGE('',*,*,#28794,.T.); +#28820=ORIENTED_EDGE('',*,*,#28808,.T.); +#28821=EDGE_LOOP('',(#28819,#28820)); +#28822=FACE_OUTER_BOUND('',#28821,.F.); +#28824=CARTESIAN_POINT('',(-1.4E1,-4.E0,-1.2475E1)); +#28825=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28826=DIRECTION('',(0.E0,1.E0,0.E0)); +#28827=AXIS2_PLACEMENT_3D('',#28824,#28825,#28826); +#28828=CYLINDRICAL_SURFACE('',#28827,6.5E-1); +#28829=ORIENTED_EDGE('',*,*,#16510,.T.); +#28831=ORIENTED_EDGE('',*,*,#28830,.F.); +#28833=ORIENTED_EDGE('',*,*,#28832,.F.); +#28835=ORIENTED_EDGE('',*,*,#28834,.T.); +#28836=EDGE_LOOP('',(#28829,#28831,#28833,#28835)); +#28837=FACE_OUTER_BOUND('',#28836,.F.); +#28839=CARTESIAN_POINT('',(-1.4E1,-4.E0,-1.2475E1)); +#28840=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28841=DIRECTION('',(0.E0,1.E0,0.E0)); +#28842=AXIS2_PLACEMENT_3D('',#28839,#28840,#28841); +#28843=CYLINDRICAL_SURFACE('',#28842,6.5E-1); +#28844=ORIENTED_EDGE('',*,*,#16512,.T.); +#28845=ORIENTED_EDGE('',*,*,#28834,.F.); +#28847=ORIENTED_EDGE('',*,*,#28846,.F.); +#28848=ORIENTED_EDGE('',*,*,#28830,.T.); +#28849=EDGE_LOOP('',(#28844,#28845,#28847,#28848)); +#28850=FACE_OUTER_BOUND('',#28849,.F.); +#28852=CARTESIAN_POINT('',(-1.4E1,-4.E0,-1.2475E1)); +#28853=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28854=DIRECTION('',(0.E0,1.E0,0.E0)); +#28855=AXIS2_PLACEMENT_3D('',#28852,#28853,#28854); +#28856=PLANE('',#28855); +#28857=ORIENTED_EDGE('',*,*,#28832,.T.); +#28858=ORIENTED_EDGE('',*,*,#28846,.T.); +#28859=EDGE_LOOP('',(#28857,#28858)); +#28860=FACE_OUTER_BOUND('',#28859,.F.); +#28862=CARTESIAN_POINT('',(-1.E1,-4.E0,-1.2475E1)); +#28863=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28864=DIRECTION('',(0.E0,1.E0,0.E0)); +#28865=AXIS2_PLACEMENT_3D('',#28862,#28863,#28864); +#28866=CYLINDRICAL_SURFACE('',#28865,6.5E-1); +#28867=ORIENTED_EDGE('',*,*,#16516,.T.); +#28869=ORIENTED_EDGE('',*,*,#28868,.F.); +#28871=ORIENTED_EDGE('',*,*,#28870,.F.); +#28873=ORIENTED_EDGE('',*,*,#28872,.T.); +#28874=EDGE_LOOP('',(#28867,#28869,#28871,#28873)); +#28875=FACE_OUTER_BOUND('',#28874,.F.); +#28877=CARTESIAN_POINT('',(-1.E1,-4.E0,-1.2475E1)); +#28878=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28879=DIRECTION('',(0.E0,1.E0,0.E0)); +#28880=AXIS2_PLACEMENT_3D('',#28877,#28878,#28879); +#28881=CYLINDRICAL_SURFACE('',#28880,6.5E-1); +#28882=ORIENTED_EDGE('',*,*,#16518,.T.); +#28883=ORIENTED_EDGE('',*,*,#28872,.F.); +#28885=ORIENTED_EDGE('',*,*,#28884,.F.); +#28886=ORIENTED_EDGE('',*,*,#28868,.T.); +#28887=EDGE_LOOP('',(#28882,#28883,#28885,#28886)); +#28888=FACE_OUTER_BOUND('',#28887,.F.); +#28890=CARTESIAN_POINT('',(-1.E1,-4.E0,-1.2475E1)); +#28891=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28892=DIRECTION('',(0.E0,1.E0,0.E0)); +#28893=AXIS2_PLACEMENT_3D('',#28890,#28891,#28892); +#28894=PLANE('',#28893); +#28895=ORIENTED_EDGE('',*,*,#28870,.T.); +#28896=ORIENTED_EDGE('',*,*,#28884,.T.); +#28897=EDGE_LOOP('',(#28895,#28896)); +#28898=FACE_OUTER_BOUND('',#28897,.F.); +#28900=CARTESIAN_POINT('',(-6.E0,-4.E0,-1.2475E1)); +#28901=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28902=DIRECTION('',(0.E0,1.E0,0.E0)); +#28903=AXIS2_PLACEMENT_3D('',#28900,#28901,#28902); +#28904=CYLINDRICAL_SURFACE('',#28903,6.5E-1); +#28905=ORIENTED_EDGE('',*,*,#16522,.T.); +#28907=ORIENTED_EDGE('',*,*,#28906,.F.); +#28909=ORIENTED_EDGE('',*,*,#28908,.F.); +#28911=ORIENTED_EDGE('',*,*,#28910,.T.); +#28912=EDGE_LOOP('',(#28905,#28907,#28909,#28911)); +#28913=FACE_OUTER_BOUND('',#28912,.F.); +#28915=CARTESIAN_POINT('',(-6.E0,-4.E0,-1.2475E1)); +#28916=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28917=DIRECTION('',(0.E0,1.E0,0.E0)); +#28918=AXIS2_PLACEMENT_3D('',#28915,#28916,#28917); +#28919=CYLINDRICAL_SURFACE('',#28918,6.5E-1); +#28920=ORIENTED_EDGE('',*,*,#16524,.T.); +#28921=ORIENTED_EDGE('',*,*,#28910,.F.); +#28923=ORIENTED_EDGE('',*,*,#28922,.F.); +#28924=ORIENTED_EDGE('',*,*,#28906,.T.); +#28925=EDGE_LOOP('',(#28920,#28921,#28923,#28924)); +#28926=FACE_OUTER_BOUND('',#28925,.F.); +#28928=CARTESIAN_POINT('',(-6.E0,-4.E0,-1.2475E1)); +#28929=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28930=DIRECTION('',(0.E0,1.E0,0.E0)); +#28931=AXIS2_PLACEMENT_3D('',#28928,#28929,#28930); +#28932=PLANE('',#28931); +#28933=ORIENTED_EDGE('',*,*,#28908,.T.); +#28934=ORIENTED_EDGE('',*,*,#28922,.T.); +#28935=EDGE_LOOP('',(#28933,#28934)); +#28936=FACE_OUTER_BOUND('',#28935,.F.); +#28938=CARTESIAN_POINT('',(-2.E0,-4.E0,-1.2475E1)); +#28939=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28940=DIRECTION('',(0.E0,1.E0,0.E0)); +#28941=AXIS2_PLACEMENT_3D('',#28938,#28939,#28940); +#28942=CYLINDRICAL_SURFACE('',#28941,6.5E-1); +#28943=ORIENTED_EDGE('',*,*,#16528,.T.); +#28945=ORIENTED_EDGE('',*,*,#28944,.F.); +#28947=ORIENTED_EDGE('',*,*,#28946,.F.); +#28949=ORIENTED_EDGE('',*,*,#28948,.T.); +#28950=EDGE_LOOP('',(#28943,#28945,#28947,#28949)); +#28951=FACE_OUTER_BOUND('',#28950,.F.); +#28953=CARTESIAN_POINT('',(-2.E0,-4.E0,-1.2475E1)); +#28954=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28955=DIRECTION('',(0.E0,1.E0,0.E0)); +#28956=AXIS2_PLACEMENT_3D('',#28953,#28954,#28955); +#28957=CYLINDRICAL_SURFACE('',#28956,6.5E-1); +#28958=ORIENTED_EDGE('',*,*,#16530,.T.); +#28959=ORIENTED_EDGE('',*,*,#28948,.F.); +#28961=ORIENTED_EDGE('',*,*,#28960,.F.); +#28962=ORIENTED_EDGE('',*,*,#28944,.T.); +#28963=EDGE_LOOP('',(#28958,#28959,#28961,#28962)); +#28964=FACE_OUTER_BOUND('',#28963,.F.); +#28966=CARTESIAN_POINT('',(-2.E0,-4.E0,-1.2475E1)); +#28967=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28968=DIRECTION('',(0.E0,1.E0,0.E0)); +#28969=AXIS2_PLACEMENT_3D('',#28966,#28967,#28968); +#28970=PLANE('',#28969); +#28971=ORIENTED_EDGE('',*,*,#28946,.T.); +#28972=ORIENTED_EDGE('',*,*,#28960,.T.); +#28973=EDGE_LOOP('',(#28971,#28972)); +#28974=FACE_OUTER_BOUND('',#28973,.F.); +#28976=CARTESIAN_POINT('',(2.E0,-4.E0,-1.2475E1)); +#28977=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28978=DIRECTION('',(0.E0,1.E0,0.E0)); +#28979=AXIS2_PLACEMENT_3D('',#28976,#28977,#28978); +#28980=CYLINDRICAL_SURFACE('',#28979,6.5E-1); +#28981=ORIENTED_EDGE('',*,*,#16534,.T.); +#28983=ORIENTED_EDGE('',*,*,#28982,.F.); +#28985=ORIENTED_EDGE('',*,*,#28984,.F.); +#28987=ORIENTED_EDGE('',*,*,#28986,.T.); +#28988=EDGE_LOOP('',(#28981,#28983,#28985,#28987)); +#28989=FACE_OUTER_BOUND('',#28988,.F.); +#28991=CARTESIAN_POINT('',(2.E0,-4.E0,-1.2475E1)); +#28992=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28993=DIRECTION('',(0.E0,1.E0,0.E0)); +#28994=AXIS2_PLACEMENT_3D('',#28991,#28992,#28993); +#28995=CYLINDRICAL_SURFACE('',#28994,6.5E-1); +#28996=ORIENTED_EDGE('',*,*,#16536,.T.); +#28997=ORIENTED_EDGE('',*,*,#28986,.F.); +#28999=ORIENTED_EDGE('',*,*,#28998,.F.); +#29000=ORIENTED_EDGE('',*,*,#28982,.T.); +#29001=EDGE_LOOP('',(#28996,#28997,#28999,#29000)); +#29002=FACE_OUTER_BOUND('',#29001,.F.); +#29004=CARTESIAN_POINT('',(2.E0,-4.E0,-1.2475E1)); +#29005=DIRECTION('',(0.E0,0.E0,-1.E0)); +#29006=DIRECTION('',(0.E0,1.E0,0.E0)); +#29007=AXIS2_PLACEMENT_3D('',#29004,#29005,#29006); +#29008=PLANE('',#29007); +#29009=ORIENTED_EDGE('',*,*,#28984,.T.); +#29010=ORIENTED_EDGE('',*,*,#28998,.T.); +#29011=EDGE_LOOP('',(#29009,#29010)); +#29012=FACE_OUTER_BOUND('',#29011,.F.); +#29014=CARTESIAN_POINT('',(6.E0,-4.E0,-1.2475E1)); +#29015=DIRECTION('',(0.E0,0.E0,-1.E0)); +#29016=DIRECTION('',(0.E0,1.E0,0.E0)); +#29017=AXIS2_PLACEMENT_3D('',#29014,#29015,#29016); +#29018=CYLINDRICAL_SURFACE('',#29017,6.5E-1); +#29019=ORIENTED_EDGE('',*,*,#16540,.T.); +#29021=ORIENTED_EDGE('',*,*,#29020,.F.); +#29023=ORIENTED_EDGE('',*,*,#29022,.F.); +#29025=ORIENTED_EDGE('',*,*,#29024,.T.); +#29026=EDGE_LOOP('',(#29019,#29021,#29023,#29025)); +#29027=FACE_OUTER_BOUND('',#29026,.F.); +#29029=CARTESIAN_POINT('',(6.E0,-4.E0,-1.2475E1)); +#29030=DIRECTION('',(0.E0,0.E0,-1.E0)); +#29031=DIRECTION('',(0.E0,1.E0,0.E0)); +#29032=AXIS2_PLACEMENT_3D('',#29029,#29030,#29031); +#29033=CYLINDRICAL_SURFACE('',#29032,6.5E-1); +#29034=ORIENTED_EDGE('',*,*,#16542,.T.); +#29035=ORIENTED_EDGE('',*,*,#29024,.F.); +#29037=ORIENTED_EDGE('',*,*,#29036,.F.); +#29038=ORIENTED_EDGE('',*,*,#29020,.T.); +#29039=EDGE_LOOP('',(#29034,#29035,#29037,#29038)); +#29040=FACE_OUTER_BOUND('',#29039,.F.); +#29042=CARTESIAN_POINT('',(6.E0,-4.E0,-1.2475E1)); +#29043=DIRECTION('',(0.E0,0.E0,-1.E0)); +#29044=DIRECTION('',(0.E0,1.E0,0.E0)); +#29045=AXIS2_PLACEMENT_3D('',#29042,#29043,#29044); +#29046=PLANE('',#29045); +#29047=ORIENTED_EDGE('',*,*,#29022,.T.); +#29048=ORIENTED_EDGE('',*,*,#29036,.T.); +#29049=EDGE_LOOP('',(#29047,#29048)); +#29050=FACE_OUTER_BOUND('',#29049,.F.); +#29052=CARTESIAN_POINT('',(1.E1,-4.E0,-1.2475E1)); +#29053=DIRECTION('',(0.E0,0.E0,-1.E0)); +#29054=DIRECTION('',(0.E0,1.E0,0.E0)); +#29055=AXIS2_PLACEMENT_3D('',#29052,#29053,#29054); +#29056=CYLINDRICAL_SURFACE('',#29055,6.5E-1); +#29057=ORIENTED_EDGE('',*,*,#16546,.T.); +#29059=ORIENTED_EDGE('',*,*,#29058,.F.); +#29061=ORIENTED_EDGE('',*,*,#29060,.F.); +#29063=ORIENTED_EDGE('',*,*,#29062,.T.); +#29064=EDGE_LOOP('',(#29057,#29059,#29061,#29063)); +#29065=FACE_OUTER_BOUND('',#29064,.F.); +#29067=CARTESIAN_POINT('',(1.E1,-4.E0,-1.2475E1)); +#29068=DIRECTION('',(0.E0,0.E0,-1.E0)); +#29069=DIRECTION('',(0.E0,1.E0,0.E0)); +#29070=AXIS2_PLACEMENT_3D('',#29067,#29068,#29069); +#29071=CYLINDRICAL_SURFACE('',#29070,6.5E-1); +#29072=ORIENTED_EDGE('',*,*,#16548,.T.); +#29073=ORIENTED_EDGE('',*,*,#29062,.F.); +#29075=ORIENTED_EDGE('',*,*,#29074,.F.); +#29076=ORIENTED_EDGE('',*,*,#29058,.T.); +#29077=EDGE_LOOP('',(#29072,#29073,#29075,#29076)); +#29078=FACE_OUTER_BOUND('',#29077,.F.); +#29080=CARTESIAN_POINT('',(1.E1,-4.E0,-1.2475E1)); +#29081=DIRECTION('',(0.E0,0.E0,-1.E0)); +#29082=DIRECTION('',(0.E0,1.E0,0.E0)); +#29083=AXIS2_PLACEMENT_3D('',#29080,#29081,#29082); +#29084=PLANE('',#29083); +#29085=ORIENTED_EDGE('',*,*,#29060,.T.); +#29086=ORIENTED_EDGE('',*,*,#29074,.T.); +#29087=EDGE_LOOP('',(#29085,#29086)); +#29088=FACE_OUTER_BOUND('',#29087,.F.); +#29090=CARTESIAN_POINT('',(1.4E1,-4.E0,-1.2475E1)); +#29091=DIRECTION('',(0.E0,0.E0,-1.E0)); +#29092=DIRECTION('',(0.E0,1.E0,0.E0)); +#29093=AXIS2_PLACEMENT_3D('',#29090,#29091,#29092); +#29094=CYLINDRICAL_SURFACE('',#29093,6.5E-1); +#29095=ORIENTED_EDGE('',*,*,#16552,.T.); +#29097=ORIENTED_EDGE('',*,*,#29096,.F.); +#29099=ORIENTED_EDGE('',*,*,#29098,.F.); +#29101=ORIENTED_EDGE('',*,*,#29100,.T.); +#29102=EDGE_LOOP('',(#29095,#29097,#29099,#29101)); +#29103=FACE_OUTER_BOUND('',#29102,.F.); +#29105=CARTESIAN_POINT('',(1.4E1,-4.E0,-1.2475E1)); +#29106=DIRECTION('',(0.E0,0.E0,-1.E0)); +#29107=DIRECTION('',(0.E0,1.E0,0.E0)); +#29108=AXIS2_PLACEMENT_3D('',#29105,#29106,#29107); +#29109=CYLINDRICAL_SURFACE('',#29108,6.5E-1); +#29110=ORIENTED_EDGE('',*,*,#16554,.T.); +#29111=ORIENTED_EDGE('',*,*,#29100,.F.); +#29113=ORIENTED_EDGE('',*,*,#29112,.F.); +#29114=ORIENTED_EDGE('',*,*,#29096,.T.); +#29115=EDGE_LOOP('',(#29110,#29111,#29113,#29114)); +#29116=FACE_OUTER_BOUND('',#29115,.F.); +#29118=CARTESIAN_POINT('',(1.4E1,-4.E0,-1.2475E1)); +#29119=DIRECTION('',(0.E0,0.E0,-1.E0)); +#29120=DIRECTION('',(0.E0,1.E0,0.E0)); +#29121=AXIS2_PLACEMENT_3D('',#29118,#29119,#29120); +#29122=PLANE('',#29121); +#29123=ORIENTED_EDGE('',*,*,#29098,.T.); +#29124=ORIENTED_EDGE('',*,*,#29112,.T.); +#29125=EDGE_LOOP('',(#29123,#29124)); +#29126=FACE_OUTER_BOUND('',#29125,.F.); +#29128=CARTESIAN_POINT('',(-2.425E0,9.8E0,-2.E1)); +#29129=DIRECTION('',(-1.E0,0.E0,0.E0)); +#29130=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29131=AXIS2_PLACEMENT_3D('',#29128,#29129,#29130); +#29132=PLANE('',#29131); +#29134=ORIENTED_EDGE('',*,*,#29133,.T.); +#29136=ORIENTED_EDGE('',*,*,#29135,.F.); +#29137=ORIENTED_EDGE('',*,*,#15743,.F.); +#29139=ORIENTED_EDGE('',*,*,#29138,.T.); +#29140=EDGE_LOOP('',(#29134,#29136,#29137,#29139)); +#29141=FACE_OUTER_BOUND('',#29140,.F.); +#29143=CARTESIAN_POINT('',(-1.975E0,9.921836158443E0,-1.045E1)); +#29144=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29145=DIRECTION('',(0.E0,0.E0,1.E0)); +#29146=AXIS2_PLACEMENT_3D('',#29143,#29144,#29145); +#29147=CYLINDRICAL_SURFACE('',#29146,4.5E-1); +#29148=ORIENTED_EDGE('',*,*,#15456,.T.); +#29150=ORIENTED_EDGE('',*,*,#29149,.T.); +#29151=ORIENTED_EDGE('',*,*,#29133,.F.); +#29153=ORIENTED_EDGE('',*,*,#29152,.F.); +#29154=EDGE_LOOP('',(#29148,#29150,#29151,#29153)); +#29155=FACE_OUTER_BOUND('',#29154,.F.); +#29157=CARTESIAN_POINT('',(-1.975E0,7.85E0,-1.045E1)); +#29158=DIRECTION('',(-7.071067812092E-1,7.071067811639E-1,0.E0)); +#29159=DIRECTION('',(-7.071067811639E-1,-7.071067812092E-1,0.E0)); +#29160=AXIS2_PLACEMENT_3D('',#29157,#29158,#29159); +#29161=SPHERICAL_SURFACE('',#29160,4.5E-1); +#29163=ORIENTED_EDGE('',*,*,#29162,.F.); +#29164=ORIENTED_EDGE('',*,*,#29149,.F.); +#29166=ORIENTED_EDGE('',*,*,#29165,.T.); +#29167=EDGE_LOOP('',(#29163,#29164,#29166)); +#29168=FACE_OUTER_BOUND('',#29167,.F.); +#29170=CARTESIAN_POINT('',(-1.975E0,7.85E0,-2.E1)); +#29171=DIRECTION('',(0.E0,0.E0,1.E0)); +#29172=DIRECTION('',(1.E0,0.E0,0.E0)); +#29173=AXIS2_PLACEMENT_3D('',#29170,#29171,#29172); +#29174=CYLINDRICAL_SURFACE('',#29173,4.5E-1); +#29175=ORIENTED_EDGE('',*,*,#29162,.T.); +#29177=ORIENTED_EDGE('',*,*,#29176,.F.); +#29178=ORIENTED_EDGE('',*,*,#15745,.F.); +#29179=ORIENTED_EDGE('',*,*,#29135,.T.); +#29180=EDGE_LOOP('',(#29175,#29177,#29178,#29179)); +#29181=FACE_OUTER_BOUND('',#29180,.F.); +#29183=CARTESIAN_POINT('',(-1.975E0,7.4E0,-2.E1)); +#29184=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29185=DIRECTION('',(1.E0,0.E0,0.E0)); +#29186=AXIS2_PLACEMENT_3D('',#29183,#29184,#29185); +#29187=PLANE('',#29186); +#29189=ORIENTED_EDGE('',*,*,#29188,.T.); +#29191=ORIENTED_EDGE('',*,*,#29190,.F.); +#29192=ORIENTED_EDGE('',*,*,#15747,.F.); +#29193=ORIENTED_EDGE('',*,*,#29176,.T.); +#29194=EDGE_LOOP('',(#29189,#29191,#29192,#29193)); +#29195=FACE_OUTER_BOUND('',#29194,.F.); +#29197=CARTESIAN_POINT('',(-2.096836158443E0,7.85E0,-1.045E1)); +#29198=DIRECTION('',(1.E0,0.E0,0.E0)); +#29199=DIRECTION('',(0.E0,0.E0,1.E0)); +#29200=AXIS2_PLACEMENT_3D('',#29197,#29198,#29199); +#29201=CYLINDRICAL_SURFACE('',#29200,4.5E-1); +#29202=ORIENTED_EDGE('',*,*,#15454,.T.); +#29204=ORIENTED_EDGE('',*,*,#29203,.T.); +#29205=ORIENTED_EDGE('',*,*,#29188,.F.); +#29206=ORIENTED_EDGE('',*,*,#29165,.F.); +#29207=EDGE_LOOP('',(#29202,#29204,#29205,#29206)); +#29208=FACE_OUTER_BOUND('',#29207,.F.); +#29210=CARTESIAN_POINT('',(-1.525E0,7.85E0,-1.045E1)); +#29211=DIRECTION('',(-7.071067811639E-1,-7.071067812092E-1,0.E0)); +#29212=DIRECTION('',(7.071067812092E-1,-7.071067811639E-1,0.E0)); +#29213=AXIS2_PLACEMENT_3D('',#29210,#29211,#29212); +#29214=SPHERICAL_SURFACE('',#29213,4.5E-1); +#29216=ORIENTED_EDGE('',*,*,#29215,.F.); +#29217=ORIENTED_EDGE('',*,*,#29203,.F.); +#29218=ORIENTED_EDGE('',*,*,#15089,.T.); +#29219=EDGE_LOOP('',(#29216,#29217,#29218)); +#29220=FACE_OUTER_BOUND('',#29219,.F.); +#29222=CARTESIAN_POINT('',(-1.525E0,7.85E0,-2.E1)); +#29223=DIRECTION('',(0.E0,0.E0,1.E0)); +#29224=DIRECTION('',(1.E0,0.E0,0.E0)); +#29225=AXIS2_PLACEMENT_3D('',#29222,#29223,#29224); +#29226=CYLINDRICAL_SURFACE('',#29225,4.5E-1); +#29227=ORIENTED_EDGE('',*,*,#29215,.T.); +#29229=ORIENTED_EDGE('',*,*,#29228,.F.); +#29230=ORIENTED_EDGE('',*,*,#15749,.F.); +#29231=ORIENTED_EDGE('',*,*,#29190,.T.); +#29232=EDGE_LOOP('',(#29227,#29229,#29230,#29231)); +#29233=FACE_OUTER_BOUND('',#29232,.F.); +#29235=CARTESIAN_POINT('',(-1.075E0,7.85E0,-2.E1)); +#29236=DIRECTION('',(1.E0,0.E0,0.E0)); +#29237=DIRECTION('',(0.E0,1.E0,0.E0)); +#29238=AXIS2_PLACEMENT_3D('',#29235,#29236,#29237); +#29239=PLANE('',#29238); +#29240=ORIENTED_EDGE('',*,*,#15087,.T.); +#29241=ORIENTED_EDGE('',*,*,#15060,.F.); +#29242=ORIENTED_EDGE('',*,*,#15751,.F.); +#29243=ORIENTED_EDGE('',*,*,#29228,.T.); +#29244=EDGE_LOOP('',(#29240,#29241,#29242,#29243)); +#29245=FACE_OUTER_BOUND('',#29244,.F.); +#29247=CARTESIAN_POINT('',(-2.925E0,9.8E0,-1.045E1)); +#29248=DIRECTION('',(0.E0,0.E0,1.E0)); +#29249=DIRECTION('',(-7.077664394356E-1,-7.064465069690E-1,0.E0)); +#29250=AXIS2_PLACEMENT_3D('',#29247,#29248,#29249); +#29251=TOROIDAL_SURFACE('',#29250,9.5E-1,4.5E-1); +#29252=ORIENTED_EDGE('',*,*,#15458,.T.); +#29253=ORIENTED_EDGE('',*,*,#29152,.T.); +#29255=ORIENTED_EDGE('',*,*,#29254,.F.); +#29256=ORIENTED_EDGE('',*,*,#14949,.T.); +#29257=EDGE_LOOP('',(#29252,#29253,#29255,#29256)); +#29258=FACE_OUTER_BOUND('',#29257,.F.); +#29260=CARTESIAN_POINT('',(-2.925E0,9.8E0,-2.E1)); +#29261=DIRECTION('',(0.E0,0.E0,1.E0)); +#29262=DIRECTION('',(1.E0,0.E0,0.E0)); +#29263=AXIS2_PLACEMENT_3D('',#29260,#29261,#29262); +#29264=CYLINDRICAL_SURFACE('',#29263,5.E-1); +#29265=ORIENTED_EDGE('',*,*,#29254,.T.); +#29266=ORIENTED_EDGE('',*,*,#29138,.F.); +#29267=ORIENTED_EDGE('',*,*,#16058,.T.); +#29268=ORIENTED_EDGE('',*,*,#14951,.T.); +#29269=EDGE_LOOP('',(#29265,#29266,#29267,#29268)); +#29270=FACE_OUTER_BOUND('',#29269,.F.); +#29272=CARTESIAN_POINT('',(7.5E-1,9.8E0,-2.E1)); +#29273=DIRECTION('',(0.E0,0.E0,1.E0)); +#29274=DIRECTION('',(1.E0,0.E0,0.E0)); +#29275=AXIS2_PLACEMENT_3D('',#29272,#29273,#29274); +#29276=CYLINDRICAL_SURFACE('',#29275,5.E-1); +#29278=ORIENTED_EDGE('',*,*,#29277,.T.); +#29280=ORIENTED_EDGE('',*,*,#29279,.F.); +#29281=ORIENTED_EDGE('',*,*,#15755,.T.); +#29282=ORIENTED_EDGE('',*,*,#15043,.T.); +#29283=EDGE_LOOP('',(#29278,#29280,#29281,#29282)); +#29284=FACE_OUTER_BOUND('',#29283,.F.); +#29286=CARTESIAN_POINT('',(7.5E-1,9.8E0,-1.045E1)); +#29287=DIRECTION('',(0.E0,0.E0,1.E0)); +#29288=DIRECTION('',(-7.077664394356E-1,-7.064465069690E-1,0.E0)); +#29289=AXIS2_PLACEMENT_3D('',#29286,#29287,#29288); +#29290=TOROIDAL_SURFACE('',#29289,9.5E-1,4.5E-1); +#29291=ORIENTED_EDGE('',*,*,#15164,.T.); +#29293=ORIENTED_EDGE('',*,*,#29292,.T.); +#29294=ORIENTED_EDGE('',*,*,#29277,.F.); +#29295=ORIENTED_EDGE('',*,*,#15041,.T.); +#29296=EDGE_LOOP('',(#29291,#29293,#29294,#29295)); +#29297=FACE_OUTER_BOUND('',#29296,.F.); +#29299=CARTESIAN_POINT('',(1.7E0,9.920640747365E0,-1.045E1)); +#29300=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29301=DIRECTION('',(0.E0,0.E0,1.E0)); +#29302=AXIS2_PLACEMENT_3D('',#29299,#29300,#29301); +#29303=CYLINDRICAL_SURFACE('',#29302,4.5E-1); +#29304=ORIENTED_EDGE('',*,*,#15162,.T.); +#29306=ORIENTED_EDGE('',*,*,#29305,.T.); +#29308=ORIENTED_EDGE('',*,*,#29307,.F.); +#29309=ORIENTED_EDGE('',*,*,#29292,.F.); +#29310=EDGE_LOOP('',(#29304,#29306,#29308,#29309)); +#29311=FACE_OUTER_BOUND('',#29310,.F.); +#29313=CARTESIAN_POINT('',(1.7E0,9.05E0,-1.045E1)); +#29314=DIRECTION('',(-7.071067812082E-1,7.071067811649E-1,0.E0)); +#29315=DIRECTION('',(-7.071067811649E-1,-7.071067812082E-1,0.E0)); +#29316=AXIS2_PLACEMENT_3D('',#29313,#29314,#29315); +#29317=SPHERICAL_SURFACE('',#29316,4.5E-1); +#29319=ORIENTED_EDGE('',*,*,#29318,.F.); +#29320=ORIENTED_EDGE('',*,*,#29305,.F.); +#29322=ORIENTED_EDGE('',*,*,#29321,.T.); +#29323=EDGE_LOOP('',(#29319,#29320,#29322)); +#29324=FACE_OUTER_BOUND('',#29323,.F.); +#29326=CARTESIAN_POINT('',(1.7E0,9.05E0,-2.E1)); +#29327=DIRECTION('',(0.E0,0.E0,1.E0)); +#29328=DIRECTION('',(1.E0,0.E0,0.E0)); +#29329=AXIS2_PLACEMENT_3D('',#29326,#29327,#29328); +#29330=CYLINDRICAL_SURFACE('',#29329,4.5E-1); +#29331=ORIENTED_EDGE('',*,*,#29318,.T.); +#29333=ORIENTED_EDGE('',*,*,#29332,.F.); +#29334=ORIENTED_EDGE('',*,*,#15759,.F.); +#29336=ORIENTED_EDGE('',*,*,#29335,.T.); +#29337=EDGE_LOOP('',(#29331,#29333,#29334,#29336)); +#29338=FACE_OUTER_BOUND('',#29337,.F.); +#29340=CARTESIAN_POINT('',(1.7E0,8.6E0,-2.E1)); +#29341=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29342=DIRECTION('',(1.E0,0.E0,0.E0)); +#29343=AXIS2_PLACEMENT_3D('',#29340,#29341,#29342); +#29344=PLANE('',#29343); +#29346=ORIENTED_EDGE('',*,*,#29345,.T.); +#29348=ORIENTED_EDGE('',*,*,#29347,.F.); +#29349=ORIENTED_EDGE('',*,*,#15761,.F.); +#29350=ORIENTED_EDGE('',*,*,#29332,.T.); +#29351=EDGE_LOOP('',(#29346,#29348,#29349,#29350)); +#29352=FACE_OUTER_BOUND('',#29351,.F.); +#29354=CARTESIAN_POINT('',(1.579359252635E0,9.05E0,-1.045E1)); +#29355=DIRECTION('',(1.E0,0.E0,0.E0)); +#29356=DIRECTION('',(0.E0,0.E0,1.E0)); +#29357=AXIS2_PLACEMENT_3D('',#29354,#29355,#29356); +#29358=CYLINDRICAL_SURFACE('',#29357,4.5E-1); +#29359=ORIENTED_EDGE('',*,*,#15160,.T.); +#29361=ORIENTED_EDGE('',*,*,#29360,.T.); +#29362=ORIENTED_EDGE('',*,*,#29345,.F.); +#29363=ORIENTED_EDGE('',*,*,#29321,.F.); +#29364=EDGE_LOOP('',(#29359,#29361,#29362,#29363)); +#29365=FACE_OUTER_BOUND('',#29364,.F.); +#29367=CARTESIAN_POINT('',(1.8E0,9.05E0,-1.045E1)); +#29368=DIRECTION('',(-7.071067811649E-1,-7.071067812082E-1,0.E0)); +#29369=DIRECTION('',(7.071067812082E-1,-7.071067811649E-1,0.E0)); +#29370=AXIS2_PLACEMENT_3D('',#29367,#29368,#29369); +#29371=SPHERICAL_SURFACE('',#29370,4.5E-1); +#29373=ORIENTED_EDGE('',*,*,#29372,.F.); +#29374=ORIENTED_EDGE('',*,*,#29360,.F.); +#29376=ORIENTED_EDGE('',*,*,#29375,.T.); +#29377=EDGE_LOOP('',(#29373,#29374,#29376)); +#29378=FACE_OUTER_BOUND('',#29377,.F.); +#29380=CARTESIAN_POINT('',(1.8E0,9.05E0,-2.E1)); +#29381=DIRECTION('',(0.E0,0.E0,1.E0)); +#29382=DIRECTION('',(1.E0,0.E0,0.E0)); +#29383=AXIS2_PLACEMENT_3D('',#29380,#29381,#29382); +#29384=CYLINDRICAL_SURFACE('',#29383,4.5E-1); +#29385=ORIENTED_EDGE('',*,*,#29372,.T.); +#29387=ORIENTED_EDGE('',*,*,#29386,.F.); +#29388=ORIENTED_EDGE('',*,*,#15763,.F.); +#29389=ORIENTED_EDGE('',*,*,#29347,.T.); +#29390=EDGE_LOOP('',(#29385,#29387,#29388,#29389)); +#29391=FACE_OUTER_BOUND('',#29390,.F.); +#29393=CARTESIAN_POINT('',(2.25E0,9.05E0,-2.E1)); +#29394=DIRECTION('',(1.E0,0.E0,0.E0)); +#29395=DIRECTION('',(0.E0,1.E0,0.E0)); +#29396=AXIS2_PLACEMENT_3D('',#29393,#29394,#29395); +#29397=PLANE('',#29396); +#29399=ORIENTED_EDGE('',*,*,#29398,.T.); +#29401=ORIENTED_EDGE('',*,*,#29400,.F.); +#29402=ORIENTED_EDGE('',*,*,#15765,.F.); +#29403=ORIENTED_EDGE('',*,*,#29386,.T.); +#29404=EDGE_LOOP('',(#29399,#29401,#29402,#29403)); +#29405=FACE_OUTER_BOUND('',#29404,.F.); +#29407=CARTESIAN_POINT('',(1.8E0,8.929359252635E0,-1.045E1)); +#29408=DIRECTION('',(0.E0,1.E0,0.E0)); +#29409=DIRECTION('',(0.E0,0.E0,1.E0)); +#29410=AXIS2_PLACEMENT_3D('',#29407,#29408,#29409); +#29411=CYLINDRICAL_SURFACE('',#29410,4.5E-1); +#29412=ORIENTED_EDGE('',*,*,#15158,.T.); +#29414=ORIENTED_EDGE('',*,*,#29413,.T.); +#29415=ORIENTED_EDGE('',*,*,#29398,.F.); +#29416=ORIENTED_EDGE('',*,*,#29375,.F.); +#29417=EDGE_LOOP('',(#29412,#29414,#29415,#29416)); +#29418=FACE_OUTER_BOUND('',#29417,.F.); +#29420=CARTESIAN_POINT('',(2.75E0,9.8E0,-1.045E1)); +#29421=DIRECTION('',(0.E0,0.E0,1.E0)); +#29422=DIRECTION('',(-9.418327390805E-1,-3.360819715429E-1,0.E0)); +#29423=AXIS2_PLACEMENT_3D('',#29420,#29421,#29422); +#29424=TOROIDAL_SURFACE('',#29423,9.5E-1,4.5E-1); +#29426=ORIENTED_EDGE('',*,*,#29425,.F.); +#29427=ORIENTED_EDGE('',*,*,#29413,.F.); +#29428=ORIENTED_EDGE('',*,*,#15156,.T.); +#29429=ORIENTED_EDGE('',*,*,#15037,.T.); +#29430=EDGE_LOOP('',(#29426,#29427,#29428,#29429)); +#29431=FACE_OUTER_BOUND('',#29430,.F.); +#29433=CARTESIAN_POINT('',(2.75E0,9.8E0,-2.E1)); +#29434=DIRECTION('',(0.E0,0.E0,1.E0)); +#29435=DIRECTION('',(1.E0,0.E0,0.E0)); +#29436=AXIS2_PLACEMENT_3D('',#29433,#29434,#29435); +#29437=CYLINDRICAL_SURFACE('',#29436,5.E-1); +#29438=ORIENTED_EDGE('',*,*,#29425,.T.); +#29439=ORIENTED_EDGE('',*,*,#15035,.F.); +#29440=ORIENTED_EDGE('',*,*,#15767,.T.); +#29441=ORIENTED_EDGE('',*,*,#29400,.T.); +#29442=EDGE_LOOP('',(#29438,#29439,#29440,#29441)); +#29443=FACE_OUTER_BOUND('',#29442,.F.); +#29445=CARTESIAN_POINT('',(1.25E0,9.8E0,-2.E1)); +#29446=DIRECTION('',(-1.E0,0.E0,0.E0)); +#29447=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29448=AXIS2_PLACEMENT_3D('',#29445,#29446,#29447); +#29449=PLANE('',#29448); +#29450=ORIENTED_EDGE('',*,*,#29307,.T.); +#29451=ORIENTED_EDGE('',*,*,#29335,.F.); +#29452=ORIENTED_EDGE('',*,*,#15757,.F.); +#29453=ORIENTED_EDGE('',*,*,#29279,.T.); +#29454=EDGE_LOOP('',(#29450,#29451,#29452,#29453)); +#29455=FACE_OUTER_BOUND('',#29454,.F.); +#29457=CARTESIAN_POINT('',(4.25E0,9.8E0,-2.E1)); +#29458=DIRECTION('',(0.E0,0.E0,1.E0)); +#29459=DIRECTION('',(1.E0,0.E0,0.E0)); +#29460=AXIS2_PLACEMENT_3D('',#29457,#29458,#29459); +#29461=CYLINDRICAL_SURFACE('',#29460,5.E-1); +#29463=ORIENTED_EDGE('',*,*,#29462,.T.); +#29465=ORIENTED_EDGE('',*,*,#29464,.F.); +#29466=ORIENTED_EDGE('',*,*,#15770,.T.); +#29467=ORIENTED_EDGE('',*,*,#15031,.T.); +#29468=EDGE_LOOP('',(#29463,#29465,#29466,#29467)); +#29469=FACE_OUTER_BOUND('',#29468,.F.); +#29471=CARTESIAN_POINT('',(4.25E0,9.8E0,-1.045E1)); +#29472=DIRECTION('',(0.E0,0.E0,1.E0)); +#29473=DIRECTION('',(-7.077664394356E-1,-7.064465069690E-1,0.E0)); +#29474=AXIS2_PLACEMENT_3D('',#29471,#29472,#29473); +#29475=TOROIDAL_SURFACE('',#29474,9.5E-1,4.5E-1); +#29476=ORIENTED_EDGE('',*,*,#15183,.T.); +#29478=ORIENTED_EDGE('',*,*,#29477,.T.); +#29479=ORIENTED_EDGE('',*,*,#29462,.F.); +#29480=ORIENTED_EDGE('',*,*,#15029,.T.); +#29481=EDGE_LOOP('',(#29476,#29478,#29479,#29480)); +#29482=FACE_OUTER_BOUND('',#29481,.F.); +#29484=CARTESIAN_POINT('',(5.2E0,9.920640747365E0,-1.045E1)); +#29485=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29486=DIRECTION('',(0.E0,0.E0,1.E0)); +#29487=AXIS2_PLACEMENT_3D('',#29484,#29485,#29486); +#29488=CYLINDRICAL_SURFACE('',#29487,4.5E-1); +#29489=ORIENTED_EDGE('',*,*,#15181,.T.); +#29491=ORIENTED_EDGE('',*,*,#29490,.T.); +#29493=ORIENTED_EDGE('',*,*,#29492,.F.); +#29494=ORIENTED_EDGE('',*,*,#29477,.F.); +#29495=EDGE_LOOP('',(#29489,#29491,#29493,#29494)); +#29496=FACE_OUTER_BOUND('',#29495,.F.); +#29498=CARTESIAN_POINT('',(5.2E0,9.05E0,-1.045E1)); +#29499=DIRECTION('',(-7.071067812082E-1,7.071067811649E-1,0.E0)); +#29500=DIRECTION('',(-7.071067811649E-1,-7.071067812082E-1,0.E0)); +#29501=AXIS2_PLACEMENT_3D('',#29498,#29499,#29500); +#29502=SPHERICAL_SURFACE('',#29501,4.5E-1); +#29504=ORIENTED_EDGE('',*,*,#29503,.F.); +#29505=ORIENTED_EDGE('',*,*,#29490,.F.); +#29507=ORIENTED_EDGE('',*,*,#29506,.T.); +#29508=EDGE_LOOP('',(#29504,#29505,#29507)); +#29509=FACE_OUTER_BOUND('',#29508,.F.); +#29511=CARTESIAN_POINT('',(5.2E0,9.05E0,-2.E1)); +#29512=DIRECTION('',(0.E0,0.E0,1.E0)); +#29513=DIRECTION('',(1.E0,0.E0,0.E0)); +#29514=AXIS2_PLACEMENT_3D('',#29511,#29512,#29513); +#29515=CYLINDRICAL_SURFACE('',#29514,4.5E-1); +#29516=ORIENTED_EDGE('',*,*,#29503,.T.); +#29518=ORIENTED_EDGE('',*,*,#29517,.F.); +#29519=ORIENTED_EDGE('',*,*,#15774,.F.); +#29521=ORIENTED_EDGE('',*,*,#29520,.T.); +#29522=EDGE_LOOP('',(#29516,#29518,#29519,#29521)); +#29523=FACE_OUTER_BOUND('',#29522,.F.); +#29525=CARTESIAN_POINT('',(5.2E0,8.6E0,-2.E1)); +#29526=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29527=DIRECTION('',(1.E0,0.E0,0.E0)); +#29528=AXIS2_PLACEMENT_3D('',#29525,#29526,#29527); +#29529=PLANE('',#29528); +#29531=ORIENTED_EDGE('',*,*,#29530,.T.); +#29533=ORIENTED_EDGE('',*,*,#29532,.F.); +#29534=ORIENTED_EDGE('',*,*,#15776,.F.); +#29535=ORIENTED_EDGE('',*,*,#29517,.T.); +#29536=EDGE_LOOP('',(#29531,#29533,#29534,#29535)); +#29537=FACE_OUTER_BOUND('',#29536,.F.); +#29539=CARTESIAN_POINT('',(5.079359252635E0,9.05E0,-1.045E1)); +#29540=DIRECTION('',(1.E0,0.E0,0.E0)); +#29541=DIRECTION('',(0.E0,0.E0,1.E0)); +#29542=AXIS2_PLACEMENT_3D('',#29539,#29540,#29541); +#29543=CYLINDRICAL_SURFACE('',#29542,4.5E-1); +#29544=ORIENTED_EDGE('',*,*,#15179,.T.); +#29546=ORIENTED_EDGE('',*,*,#29545,.T.); +#29547=ORIENTED_EDGE('',*,*,#29530,.F.); +#29548=ORIENTED_EDGE('',*,*,#29506,.F.); +#29549=EDGE_LOOP('',(#29544,#29546,#29547,#29548)); +#29550=FACE_OUTER_BOUND('',#29549,.F.); +#29552=CARTESIAN_POINT('',(5.3E0,9.05E0,-1.045E1)); +#29553=DIRECTION('',(-7.071067811649E-1,-7.071067812082E-1,0.E0)); +#29554=DIRECTION('',(7.071067812082E-1,-7.071067811649E-1,0.E0)); +#29555=AXIS2_PLACEMENT_3D('',#29552,#29553,#29554); +#29556=SPHERICAL_SURFACE('',#29555,4.5E-1); +#29558=ORIENTED_EDGE('',*,*,#29557,.F.); +#29559=ORIENTED_EDGE('',*,*,#29545,.F.); +#29561=ORIENTED_EDGE('',*,*,#29560,.T.); +#29562=EDGE_LOOP('',(#29558,#29559,#29561)); +#29563=FACE_OUTER_BOUND('',#29562,.F.); +#29565=CARTESIAN_POINT('',(5.3E0,9.05E0,-2.E1)); +#29566=DIRECTION('',(0.E0,0.E0,1.E0)); +#29567=DIRECTION('',(1.E0,0.E0,0.E0)); +#29568=AXIS2_PLACEMENT_3D('',#29565,#29566,#29567); +#29569=CYLINDRICAL_SURFACE('',#29568,4.5E-1); +#29570=ORIENTED_EDGE('',*,*,#29557,.T.); +#29572=ORIENTED_EDGE('',*,*,#29571,.F.); +#29573=ORIENTED_EDGE('',*,*,#15778,.F.); +#29574=ORIENTED_EDGE('',*,*,#29532,.T.); +#29575=EDGE_LOOP('',(#29570,#29572,#29573,#29574)); +#29576=FACE_OUTER_BOUND('',#29575,.F.); +#29578=CARTESIAN_POINT('',(5.75E0,9.05E0,-2.E1)); +#29579=DIRECTION('',(1.E0,0.E0,0.E0)); +#29580=DIRECTION('',(0.E0,1.E0,0.E0)); +#29581=AXIS2_PLACEMENT_3D('',#29578,#29579,#29580); +#29582=PLANE('',#29581); +#29584=ORIENTED_EDGE('',*,*,#29583,.T.); +#29586=ORIENTED_EDGE('',*,*,#29585,.F.); +#29587=ORIENTED_EDGE('',*,*,#15780,.F.); +#29588=ORIENTED_EDGE('',*,*,#29571,.T.); +#29589=EDGE_LOOP('',(#29584,#29586,#29587,#29588)); +#29590=FACE_OUTER_BOUND('',#29589,.F.); +#29592=CARTESIAN_POINT('',(5.3E0,8.929359252635E0,-1.045E1)); +#29593=DIRECTION('',(0.E0,1.E0,0.E0)); +#29594=DIRECTION('',(0.E0,0.E0,1.E0)); +#29595=AXIS2_PLACEMENT_3D('',#29592,#29593,#29594); +#29596=CYLINDRICAL_SURFACE('',#29595,4.5E-1); +#29597=ORIENTED_EDGE('',*,*,#15177,.T.); +#29599=ORIENTED_EDGE('',*,*,#29598,.T.); +#29600=ORIENTED_EDGE('',*,*,#29583,.F.); +#29601=ORIENTED_EDGE('',*,*,#29560,.F.); +#29602=EDGE_LOOP('',(#29597,#29599,#29600,#29601)); +#29603=FACE_OUTER_BOUND('',#29602,.F.); +#29605=CARTESIAN_POINT('',(6.25E0,9.8E0,-1.045E1)); +#29606=DIRECTION('',(0.E0,0.E0,1.E0)); +#29607=DIRECTION('',(-9.418327390805E-1,-3.360819715429E-1,0.E0)); +#29608=AXIS2_PLACEMENT_3D('',#29605,#29606,#29607); +#29609=TOROIDAL_SURFACE('',#29608,9.5E-1,4.5E-1); +#29611=ORIENTED_EDGE('',*,*,#29610,.F.); +#29612=ORIENTED_EDGE('',*,*,#29598,.F.); +#29613=ORIENTED_EDGE('',*,*,#15175,.T.); +#29614=ORIENTED_EDGE('',*,*,#15025,.T.); +#29615=EDGE_LOOP('',(#29611,#29612,#29613,#29614)); +#29616=FACE_OUTER_BOUND('',#29615,.F.); +#29618=CARTESIAN_POINT('',(6.25E0,9.8E0,-2.E1)); +#29619=DIRECTION('',(0.E0,0.E0,1.E0)); +#29620=DIRECTION('',(1.E0,0.E0,0.E0)); +#29621=AXIS2_PLACEMENT_3D('',#29618,#29619,#29620); +#29622=CYLINDRICAL_SURFACE('',#29621,5.E-1); +#29623=ORIENTED_EDGE('',*,*,#29610,.T.); +#29624=ORIENTED_EDGE('',*,*,#15023,.F.); +#29625=ORIENTED_EDGE('',*,*,#15782,.T.); +#29626=ORIENTED_EDGE('',*,*,#29585,.T.); +#29627=EDGE_LOOP('',(#29623,#29624,#29625,#29626)); +#29628=FACE_OUTER_BOUND('',#29627,.F.); +#29630=CARTESIAN_POINT('',(4.75E0,9.8E0,-2.E1)); +#29631=DIRECTION('',(-1.E0,0.E0,0.E0)); +#29632=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29633=AXIS2_PLACEMENT_3D('',#29630,#29631,#29632); +#29634=PLANE('',#29633); +#29635=ORIENTED_EDGE('',*,*,#29492,.T.); +#29636=ORIENTED_EDGE('',*,*,#29520,.F.); +#29637=ORIENTED_EDGE('',*,*,#15772,.F.); +#29638=ORIENTED_EDGE('',*,*,#29464,.T.); +#29639=EDGE_LOOP('',(#29635,#29636,#29637,#29638)); +#29640=FACE_OUTER_BOUND('',#29639,.F.); +#29642=CARTESIAN_POINT('',(7.75E0,9.8E0,-2.E1)); +#29643=DIRECTION('',(0.E0,0.E0,1.E0)); +#29644=DIRECTION('',(1.E0,0.E0,0.E0)); +#29645=AXIS2_PLACEMENT_3D('',#29642,#29643,#29644); +#29646=CYLINDRICAL_SURFACE('',#29645,5.E-1); +#29648=ORIENTED_EDGE('',*,*,#29647,.T.); +#29650=ORIENTED_EDGE('',*,*,#29649,.F.); +#29651=ORIENTED_EDGE('',*,*,#15785,.T.); +#29652=ORIENTED_EDGE('',*,*,#15019,.T.); +#29653=EDGE_LOOP('',(#29648,#29650,#29651,#29652)); +#29654=FACE_OUTER_BOUND('',#29653,.F.); +#29656=CARTESIAN_POINT('',(7.75E0,9.8E0,-1.045E1)); +#29657=DIRECTION('',(0.E0,0.E0,1.E0)); +#29658=DIRECTION('',(-7.077664394356E-1,-7.064465069690E-1,0.E0)); +#29659=AXIS2_PLACEMENT_3D('',#29656,#29657,#29658); +#29660=TOROIDAL_SURFACE('',#29659,9.5E-1,4.5E-1); +#29661=ORIENTED_EDGE('',*,*,#15202,.T.); +#29663=ORIENTED_EDGE('',*,*,#29662,.T.); +#29664=ORIENTED_EDGE('',*,*,#29647,.F.); +#29665=ORIENTED_EDGE('',*,*,#15017,.T.); +#29666=EDGE_LOOP('',(#29661,#29663,#29664,#29665)); +#29667=FACE_OUTER_BOUND('',#29666,.F.); +#29669=CARTESIAN_POINT('',(8.7E0,9.920640747365E0,-1.045E1)); +#29670=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29671=DIRECTION('',(0.E0,0.E0,1.E0)); +#29672=AXIS2_PLACEMENT_3D('',#29669,#29670,#29671); +#29673=CYLINDRICAL_SURFACE('',#29672,4.5E-1); +#29674=ORIENTED_EDGE('',*,*,#15200,.T.); +#29676=ORIENTED_EDGE('',*,*,#29675,.T.); +#29678=ORIENTED_EDGE('',*,*,#29677,.F.); +#29679=ORIENTED_EDGE('',*,*,#29662,.F.); +#29680=EDGE_LOOP('',(#29674,#29676,#29678,#29679)); +#29681=FACE_OUTER_BOUND('',#29680,.F.); +#29683=CARTESIAN_POINT('',(8.7E0,9.05E0,-1.045E1)); +#29684=DIRECTION('',(-7.071067812082E-1,7.071067811649E-1,0.E0)); +#29685=DIRECTION('',(-7.071067811649E-1,-7.071067812082E-1,0.E0)); +#29686=AXIS2_PLACEMENT_3D('',#29683,#29684,#29685); +#29687=SPHERICAL_SURFACE('',#29686,4.5E-1); +#29689=ORIENTED_EDGE('',*,*,#29688,.F.); +#29690=ORIENTED_EDGE('',*,*,#29675,.F.); +#29692=ORIENTED_EDGE('',*,*,#29691,.T.); +#29693=EDGE_LOOP('',(#29689,#29690,#29692)); +#29694=FACE_OUTER_BOUND('',#29693,.F.); +#29696=CARTESIAN_POINT('',(8.7E0,9.05E0,-2.E1)); +#29697=DIRECTION('',(0.E0,0.E0,1.E0)); +#29698=DIRECTION('',(1.E0,0.E0,0.E0)); +#29699=AXIS2_PLACEMENT_3D('',#29696,#29697,#29698); +#29700=CYLINDRICAL_SURFACE('',#29699,4.5E-1); +#29701=ORIENTED_EDGE('',*,*,#29688,.T.); +#29703=ORIENTED_EDGE('',*,*,#29702,.F.); +#29704=ORIENTED_EDGE('',*,*,#15789,.F.); +#29706=ORIENTED_EDGE('',*,*,#29705,.T.); +#29707=EDGE_LOOP('',(#29701,#29703,#29704,#29706)); +#29708=FACE_OUTER_BOUND('',#29707,.F.); +#29710=CARTESIAN_POINT('',(8.7E0,8.6E0,-2.E1)); +#29711=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29712=DIRECTION('',(1.E0,0.E0,0.E0)); +#29713=AXIS2_PLACEMENT_3D('',#29710,#29711,#29712); +#29714=PLANE('',#29713); +#29716=ORIENTED_EDGE('',*,*,#29715,.T.); +#29718=ORIENTED_EDGE('',*,*,#29717,.F.); +#29719=ORIENTED_EDGE('',*,*,#15791,.F.); +#29720=ORIENTED_EDGE('',*,*,#29702,.T.); +#29721=EDGE_LOOP('',(#29716,#29718,#29719,#29720)); +#29722=FACE_OUTER_BOUND('',#29721,.F.); +#29724=CARTESIAN_POINT('',(8.579359252635E0,9.05E0,-1.045E1)); +#29725=DIRECTION('',(1.E0,0.E0,0.E0)); +#29726=DIRECTION('',(0.E0,0.E0,1.E0)); +#29727=AXIS2_PLACEMENT_3D('',#29724,#29725,#29726); +#29728=CYLINDRICAL_SURFACE('',#29727,4.5E-1); +#29729=ORIENTED_EDGE('',*,*,#15198,.T.); +#29731=ORIENTED_EDGE('',*,*,#29730,.T.); +#29732=ORIENTED_EDGE('',*,*,#29715,.F.); +#29733=ORIENTED_EDGE('',*,*,#29691,.F.); +#29734=EDGE_LOOP('',(#29729,#29731,#29732,#29733)); +#29735=FACE_OUTER_BOUND('',#29734,.F.); +#29737=CARTESIAN_POINT('',(8.8E0,9.05E0,-1.045E1)); +#29738=DIRECTION('',(-7.071067811649E-1,-7.071067812082E-1,0.E0)); +#29739=DIRECTION('',(7.071067812082E-1,-7.071067811649E-1,0.E0)); +#29740=AXIS2_PLACEMENT_3D('',#29737,#29738,#29739); +#29741=SPHERICAL_SURFACE('',#29740,4.5E-1); +#29743=ORIENTED_EDGE('',*,*,#29742,.F.); +#29744=ORIENTED_EDGE('',*,*,#29730,.F.); +#29746=ORIENTED_EDGE('',*,*,#29745,.T.); +#29747=EDGE_LOOP('',(#29743,#29744,#29746)); +#29748=FACE_OUTER_BOUND('',#29747,.F.); +#29750=CARTESIAN_POINT('',(8.8E0,9.05E0,-2.E1)); +#29751=DIRECTION('',(0.E0,0.E0,1.E0)); +#29752=DIRECTION('',(1.E0,0.E0,0.E0)); +#29753=AXIS2_PLACEMENT_3D('',#29750,#29751,#29752); +#29754=CYLINDRICAL_SURFACE('',#29753,4.5E-1); +#29755=ORIENTED_EDGE('',*,*,#29742,.T.); +#29757=ORIENTED_EDGE('',*,*,#29756,.F.); +#29758=ORIENTED_EDGE('',*,*,#15793,.F.); +#29759=ORIENTED_EDGE('',*,*,#29717,.T.); +#29760=EDGE_LOOP('',(#29755,#29757,#29758,#29759)); +#29761=FACE_OUTER_BOUND('',#29760,.F.); +#29763=CARTESIAN_POINT('',(9.25E0,9.05E0,-2.E1)); +#29764=DIRECTION('',(1.E0,0.E0,0.E0)); +#29765=DIRECTION('',(0.E0,1.E0,0.E0)); +#29766=AXIS2_PLACEMENT_3D('',#29763,#29764,#29765); +#29767=PLANE('',#29766); +#29769=ORIENTED_EDGE('',*,*,#29768,.T.); +#29771=ORIENTED_EDGE('',*,*,#29770,.F.); +#29772=ORIENTED_EDGE('',*,*,#15795,.F.); +#29773=ORIENTED_EDGE('',*,*,#29756,.T.); +#29774=EDGE_LOOP('',(#29769,#29771,#29772,#29773)); +#29775=FACE_OUTER_BOUND('',#29774,.F.); +#29777=CARTESIAN_POINT('',(8.8E0,8.929359252635E0,-1.045E1)); +#29778=DIRECTION('',(0.E0,1.E0,0.E0)); +#29779=DIRECTION('',(0.E0,0.E0,1.E0)); +#29780=AXIS2_PLACEMENT_3D('',#29777,#29778,#29779); +#29781=CYLINDRICAL_SURFACE('',#29780,4.5E-1); +#29782=ORIENTED_EDGE('',*,*,#15196,.T.); +#29784=ORIENTED_EDGE('',*,*,#29783,.T.); +#29785=ORIENTED_EDGE('',*,*,#29768,.F.); +#29786=ORIENTED_EDGE('',*,*,#29745,.F.); +#29787=EDGE_LOOP('',(#29782,#29784,#29785,#29786)); +#29788=FACE_OUTER_BOUND('',#29787,.F.); +#29790=CARTESIAN_POINT('',(9.75E0,9.8E0,-1.045E1)); +#29791=DIRECTION('',(0.E0,0.E0,1.E0)); +#29792=DIRECTION('',(-9.418327390805E-1,-3.360819715429E-1,0.E0)); +#29793=AXIS2_PLACEMENT_3D('',#29790,#29791,#29792); +#29794=TOROIDAL_SURFACE('',#29793,9.5E-1,4.5E-1); +#29796=ORIENTED_EDGE('',*,*,#29795,.F.); +#29797=ORIENTED_EDGE('',*,*,#29783,.F.); +#29798=ORIENTED_EDGE('',*,*,#15194,.T.); +#29799=ORIENTED_EDGE('',*,*,#15013,.T.); +#29800=EDGE_LOOP('',(#29796,#29797,#29798,#29799)); +#29801=FACE_OUTER_BOUND('',#29800,.F.); +#29803=CARTESIAN_POINT('',(9.75E0,9.8E0,-2.E1)); +#29804=DIRECTION('',(0.E0,0.E0,1.E0)); +#29805=DIRECTION('',(1.E0,0.E0,0.E0)); +#29806=AXIS2_PLACEMENT_3D('',#29803,#29804,#29805); +#29807=CYLINDRICAL_SURFACE('',#29806,5.E-1); +#29808=ORIENTED_EDGE('',*,*,#29795,.T.); +#29809=ORIENTED_EDGE('',*,*,#15011,.F.); +#29810=ORIENTED_EDGE('',*,*,#15797,.T.); +#29811=ORIENTED_EDGE('',*,*,#29770,.T.); +#29812=EDGE_LOOP('',(#29808,#29809,#29810,#29811)); +#29813=FACE_OUTER_BOUND('',#29812,.F.); +#29815=CARTESIAN_POINT('',(8.25E0,9.8E0,-2.E1)); +#29816=DIRECTION('',(-1.E0,0.E0,0.E0)); +#29817=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29818=AXIS2_PLACEMENT_3D('',#29815,#29816,#29817); +#29819=PLANE('',#29818); +#29820=ORIENTED_EDGE('',*,*,#29677,.T.); +#29821=ORIENTED_EDGE('',*,*,#29705,.F.); +#29822=ORIENTED_EDGE('',*,*,#15787,.F.); +#29823=ORIENTED_EDGE('',*,*,#29649,.T.); +#29824=EDGE_LOOP('',(#29820,#29821,#29822,#29823)); +#29825=FACE_OUTER_BOUND('',#29824,.F.); +#29827=CARTESIAN_POINT('',(1.125E1,9.8E0,-2.E1)); +#29828=DIRECTION('',(0.E0,0.E0,1.E0)); +#29829=DIRECTION('',(1.E0,0.E0,0.E0)); +#29830=AXIS2_PLACEMENT_3D('',#29827,#29828,#29829); +#29831=CYLINDRICAL_SURFACE('',#29830,5.E-1); +#29833=ORIENTED_EDGE('',*,*,#29832,.T.); +#29835=ORIENTED_EDGE('',*,*,#29834,.F.); +#29836=ORIENTED_EDGE('',*,*,#15800,.T.); +#29837=ORIENTED_EDGE('',*,*,#15007,.T.); +#29838=EDGE_LOOP('',(#29833,#29835,#29836,#29837)); +#29839=FACE_OUTER_BOUND('',#29838,.F.); +#29841=CARTESIAN_POINT('',(1.125E1,9.8E0,-1.045E1)); +#29842=DIRECTION('',(0.E0,0.E0,1.E0)); +#29843=DIRECTION('',(-7.077664394356E-1,-7.064465069690E-1,0.E0)); +#29844=AXIS2_PLACEMENT_3D('',#29841,#29842,#29843); +#29845=TOROIDAL_SURFACE('',#29844,9.5E-1,4.5E-1); +#29846=ORIENTED_EDGE('',*,*,#15221,.T.); +#29848=ORIENTED_EDGE('',*,*,#29847,.T.); +#29849=ORIENTED_EDGE('',*,*,#29832,.F.); +#29850=ORIENTED_EDGE('',*,*,#15005,.T.); +#29851=EDGE_LOOP('',(#29846,#29848,#29849,#29850)); +#29852=FACE_OUTER_BOUND('',#29851,.F.); +#29854=CARTESIAN_POINT('',(1.22E1,9.920640747365E0,-1.045E1)); +#29855=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29856=DIRECTION('',(0.E0,0.E0,1.E0)); +#29857=AXIS2_PLACEMENT_3D('',#29854,#29855,#29856); +#29858=CYLINDRICAL_SURFACE('',#29857,4.5E-1); +#29859=ORIENTED_EDGE('',*,*,#15219,.T.); +#29861=ORIENTED_EDGE('',*,*,#29860,.T.); +#29863=ORIENTED_EDGE('',*,*,#29862,.F.); +#29864=ORIENTED_EDGE('',*,*,#29847,.F.); +#29865=EDGE_LOOP('',(#29859,#29861,#29863,#29864)); +#29866=FACE_OUTER_BOUND('',#29865,.F.); +#29868=CARTESIAN_POINT('',(1.22E1,9.05E0,-1.045E1)); +#29869=DIRECTION('',(-7.071067812081E-1,7.071067811649E-1,0.E0)); +#29870=DIRECTION('',(-7.071067811649E-1,-7.071067812081E-1,0.E0)); +#29871=AXIS2_PLACEMENT_3D('',#29868,#29869,#29870); +#29872=SPHERICAL_SURFACE('',#29871,4.5E-1); +#29874=ORIENTED_EDGE('',*,*,#29873,.F.); +#29875=ORIENTED_EDGE('',*,*,#29860,.F.); +#29877=ORIENTED_EDGE('',*,*,#29876,.T.); +#29878=EDGE_LOOP('',(#29874,#29875,#29877)); +#29879=FACE_OUTER_BOUND('',#29878,.F.); +#29881=CARTESIAN_POINT('',(1.22E1,9.05E0,-2.E1)); +#29882=DIRECTION('',(0.E0,0.E0,1.E0)); +#29883=DIRECTION('',(1.E0,0.E0,0.E0)); +#29884=AXIS2_PLACEMENT_3D('',#29881,#29882,#29883); +#29885=CYLINDRICAL_SURFACE('',#29884,4.5E-1); +#29886=ORIENTED_EDGE('',*,*,#29873,.T.); +#29888=ORIENTED_EDGE('',*,*,#29887,.F.); +#29889=ORIENTED_EDGE('',*,*,#15804,.F.); +#29891=ORIENTED_EDGE('',*,*,#29890,.T.); +#29892=EDGE_LOOP('',(#29886,#29888,#29889,#29891)); +#29893=FACE_OUTER_BOUND('',#29892,.F.); +#29895=CARTESIAN_POINT('',(1.22E1,8.6E0,-2.E1)); +#29896=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29897=DIRECTION('',(1.E0,0.E0,0.E0)); +#29898=AXIS2_PLACEMENT_3D('',#29895,#29896,#29897); +#29899=PLANE('',#29898); +#29901=ORIENTED_EDGE('',*,*,#29900,.T.); +#29903=ORIENTED_EDGE('',*,*,#29902,.F.); +#29904=ORIENTED_EDGE('',*,*,#15806,.F.); +#29905=ORIENTED_EDGE('',*,*,#29887,.T.); +#29906=EDGE_LOOP('',(#29901,#29903,#29904,#29905)); +#29907=FACE_OUTER_BOUND('',#29906,.F.); +#29909=CARTESIAN_POINT('',(1.207935925263E1,9.05E0,-1.045E1)); +#29910=DIRECTION('',(1.E0,0.E0,0.E0)); +#29911=DIRECTION('',(0.E0,0.E0,1.E0)); +#29912=AXIS2_PLACEMENT_3D('',#29909,#29910,#29911); +#29913=CYLINDRICAL_SURFACE('',#29912,4.5E-1); +#29914=ORIENTED_EDGE('',*,*,#15217,.T.); +#29916=ORIENTED_EDGE('',*,*,#29915,.T.); +#29917=ORIENTED_EDGE('',*,*,#29900,.F.); +#29918=ORIENTED_EDGE('',*,*,#29876,.F.); +#29919=EDGE_LOOP('',(#29914,#29916,#29917,#29918)); +#29920=FACE_OUTER_BOUND('',#29919,.F.); +#29922=CARTESIAN_POINT('',(1.23E1,9.05E0,-1.045E1)); +#29923=DIRECTION('',(-7.071067811649E-1,-7.071067812081E-1,0.E0)); +#29924=DIRECTION('',(7.071067812081E-1,-7.071067811649E-1,0.E0)); +#29925=AXIS2_PLACEMENT_3D('',#29922,#29923,#29924); +#29926=SPHERICAL_SURFACE('',#29925,4.5E-1); +#29928=ORIENTED_EDGE('',*,*,#29927,.F.); +#29929=ORIENTED_EDGE('',*,*,#29915,.F.); +#29931=ORIENTED_EDGE('',*,*,#29930,.T.); +#29932=EDGE_LOOP('',(#29928,#29929,#29931)); +#29933=FACE_OUTER_BOUND('',#29932,.F.); +#29935=CARTESIAN_POINT('',(1.23E1,9.05E0,-2.E1)); +#29936=DIRECTION('',(0.E0,0.E0,1.E0)); +#29937=DIRECTION('',(1.E0,0.E0,0.E0)); +#29938=AXIS2_PLACEMENT_3D('',#29935,#29936,#29937); +#29939=CYLINDRICAL_SURFACE('',#29938,4.5E-1); +#29940=ORIENTED_EDGE('',*,*,#29927,.T.); +#29942=ORIENTED_EDGE('',*,*,#29941,.F.); +#29943=ORIENTED_EDGE('',*,*,#15808,.F.); +#29944=ORIENTED_EDGE('',*,*,#29902,.T.); +#29945=EDGE_LOOP('',(#29940,#29942,#29943,#29944)); +#29946=FACE_OUTER_BOUND('',#29945,.F.); +#29948=CARTESIAN_POINT('',(1.275E1,9.05E0,-2.E1)); +#29949=DIRECTION('',(1.E0,0.E0,0.E0)); +#29950=DIRECTION('',(0.E0,1.E0,0.E0)); +#29951=AXIS2_PLACEMENT_3D('',#29948,#29949,#29950); +#29952=PLANE('',#29951); +#29954=ORIENTED_EDGE('',*,*,#29953,.T.); +#29956=ORIENTED_EDGE('',*,*,#29955,.F.); +#29957=ORIENTED_EDGE('',*,*,#15810,.F.); +#29958=ORIENTED_EDGE('',*,*,#29941,.T.); +#29959=EDGE_LOOP('',(#29954,#29956,#29957,#29958)); +#29960=FACE_OUTER_BOUND('',#29959,.F.); +#29962=CARTESIAN_POINT('',(1.23E1,8.929359252635E0,-1.045E1)); +#29963=DIRECTION('',(0.E0,1.E0,0.E0)); +#29964=DIRECTION('',(0.E0,0.E0,1.E0)); +#29965=AXIS2_PLACEMENT_3D('',#29962,#29963,#29964); +#29966=CYLINDRICAL_SURFACE('',#29965,4.5E-1); +#29967=ORIENTED_EDGE('',*,*,#15215,.T.); +#29969=ORIENTED_EDGE('',*,*,#29968,.T.); +#29970=ORIENTED_EDGE('',*,*,#29953,.F.); +#29971=ORIENTED_EDGE('',*,*,#29930,.F.); +#29972=EDGE_LOOP('',(#29967,#29969,#29970,#29971)); +#29973=FACE_OUTER_BOUND('',#29972,.F.); +#29975=CARTESIAN_POINT('',(1.325E1,9.8E0,-1.045E1)); +#29976=DIRECTION('',(0.E0,0.E0,1.E0)); +#29977=DIRECTION('',(-9.418327390805E-1,-3.360819715429E-1,0.E0)); +#29978=AXIS2_PLACEMENT_3D('',#29975,#29976,#29977); +#29979=TOROIDAL_SURFACE('',#29978,9.5E-1,4.5E-1); +#29981=ORIENTED_EDGE('',*,*,#29980,.F.); +#29982=ORIENTED_EDGE('',*,*,#29968,.F.); +#29983=ORIENTED_EDGE('',*,*,#15213,.T.); +#29984=ORIENTED_EDGE('',*,*,#15001,.T.); +#29985=EDGE_LOOP('',(#29981,#29982,#29983,#29984)); +#29986=FACE_OUTER_BOUND('',#29985,.F.); +#29988=CARTESIAN_POINT('',(1.325E1,9.8E0,-2.E1)); +#29989=DIRECTION('',(0.E0,0.E0,1.E0)); +#29990=DIRECTION('',(1.E0,0.E0,0.E0)); +#29991=AXIS2_PLACEMENT_3D('',#29988,#29989,#29990); +#29992=CYLINDRICAL_SURFACE('',#29991,5.E-1); +#29993=ORIENTED_EDGE('',*,*,#29980,.T.); +#29994=ORIENTED_EDGE('',*,*,#14999,.F.); +#29995=ORIENTED_EDGE('',*,*,#15812,.T.); +#29996=ORIENTED_EDGE('',*,*,#29955,.T.); +#29997=EDGE_LOOP('',(#29993,#29994,#29995,#29996)); +#29998=FACE_OUTER_BOUND('',#29997,.F.); +#30000=CARTESIAN_POINT('',(1.175E1,9.8E0,-2.E1)); +#30001=DIRECTION('',(-1.E0,0.E0,0.E0)); +#30002=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30003=AXIS2_PLACEMENT_3D('',#30000,#30001,#30002); +#30004=PLANE('',#30003); +#30005=ORIENTED_EDGE('',*,*,#29862,.T.); +#30006=ORIENTED_EDGE('',*,*,#29890,.F.); +#30007=ORIENTED_EDGE('',*,*,#15802,.F.); +#30008=ORIENTED_EDGE('',*,*,#29834,.T.); +#30009=EDGE_LOOP('',(#30005,#30006,#30007,#30008)); +#30010=FACE_OUTER_BOUND('',#30009,.F.); +#30012=CARTESIAN_POINT('',(-1.325E1,9.8E0,-2.E1)); +#30013=DIRECTION('',(0.E0,0.E0,1.E0)); +#30014=DIRECTION('',(1.E0,0.E0,0.E0)); +#30015=AXIS2_PLACEMENT_3D('',#30012,#30013,#30014); +#30016=CYLINDRICAL_SURFACE('',#30015,5.E-1); +#30018=ORIENTED_EDGE('',*,*,#30017,.T.); +#30020=ORIENTED_EDGE('',*,*,#30019,.F.); +#30021=ORIENTED_EDGE('',*,*,#16014,.T.); +#30022=ORIENTED_EDGE('',*,*,#14987,.T.); +#30023=EDGE_LOOP('',(#30018,#30020,#30021,#30022)); +#30024=FACE_OUTER_BOUND('',#30023,.F.); +#30026=CARTESIAN_POINT('',(-1.325E1,9.8E0,-1.045E1)); +#30027=DIRECTION('',(0.E0,0.E0,1.E0)); +#30028=DIRECTION('',(-7.077664394356E-1,-7.064465069690E-1,0.E0)); +#30029=AXIS2_PLACEMENT_3D('',#30026,#30027,#30028); +#30030=TOROIDAL_SURFACE('',#30029,9.5E-1,4.5E-1); +#30031=ORIENTED_EDGE('',*,*,#15107,.T.); +#30033=ORIENTED_EDGE('',*,*,#30032,.T.); +#30034=ORIENTED_EDGE('',*,*,#30017,.F.); +#30035=ORIENTED_EDGE('',*,*,#14985,.T.); +#30036=EDGE_LOOP('',(#30031,#30033,#30034,#30035)); +#30037=FACE_OUTER_BOUND('',#30036,.F.); +#30039=CARTESIAN_POINT('',(-1.23E1,9.920640747365E0,-1.045E1)); +#30040=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30041=DIRECTION('',(0.E0,0.E0,1.E0)); +#30042=AXIS2_PLACEMENT_3D('',#30039,#30040,#30041); +#30043=CYLINDRICAL_SURFACE('',#30042,4.5E-1); +#30044=ORIENTED_EDGE('',*,*,#15105,.T.); +#30046=ORIENTED_EDGE('',*,*,#30045,.T.); +#30048=ORIENTED_EDGE('',*,*,#30047,.F.); +#30049=ORIENTED_EDGE('',*,*,#30032,.F.); +#30050=EDGE_LOOP('',(#30044,#30046,#30048,#30049)); +#30051=FACE_OUTER_BOUND('',#30050,.F.); +#30053=CARTESIAN_POINT('',(-1.23E1,9.05E0,-1.045E1)); +#30054=DIRECTION('',(-7.071067812082E-1,7.071067811649E-1,0.E0)); +#30055=DIRECTION('',(-7.071067811649E-1,-7.071067812082E-1,0.E0)); +#30056=AXIS2_PLACEMENT_3D('',#30053,#30054,#30055); +#30057=SPHERICAL_SURFACE('',#30056,4.5E-1); +#30059=ORIENTED_EDGE('',*,*,#30058,.F.); +#30060=ORIENTED_EDGE('',*,*,#30045,.F.); +#30062=ORIENTED_EDGE('',*,*,#30061,.T.); +#30063=EDGE_LOOP('',(#30059,#30060,#30062)); +#30064=FACE_OUTER_BOUND('',#30063,.F.); +#30066=CARTESIAN_POINT('',(-1.23E1,9.05E0,-2.E1)); +#30067=DIRECTION('',(0.E0,0.E0,1.E0)); +#30068=DIRECTION('',(1.E0,0.E0,0.E0)); +#30069=AXIS2_PLACEMENT_3D('',#30066,#30067,#30068); +#30070=CYLINDRICAL_SURFACE('',#30069,4.5E-1); +#30071=ORIENTED_EDGE('',*,*,#30058,.T.); +#30073=ORIENTED_EDGE('',*,*,#30072,.F.); +#30074=ORIENTED_EDGE('',*,*,#16018,.F.); +#30076=ORIENTED_EDGE('',*,*,#30075,.T.); +#30077=EDGE_LOOP('',(#30071,#30073,#30074,#30076)); +#30078=FACE_OUTER_BOUND('',#30077,.F.); +#30080=CARTESIAN_POINT('',(-1.23E1,8.6E0,-2.E1)); +#30081=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30082=DIRECTION('',(1.E0,0.E0,0.E0)); +#30083=AXIS2_PLACEMENT_3D('',#30080,#30081,#30082); +#30084=PLANE('',#30083); +#30086=ORIENTED_EDGE('',*,*,#30085,.T.); +#30088=ORIENTED_EDGE('',*,*,#30087,.F.); +#30089=ORIENTED_EDGE('',*,*,#16020,.F.); +#30090=ORIENTED_EDGE('',*,*,#30072,.T.); +#30091=EDGE_LOOP('',(#30086,#30088,#30089,#30090)); +#30092=FACE_OUTER_BOUND('',#30091,.F.); +#30094=CARTESIAN_POINT('',(-1.242064074737E1,9.05E0,-1.045E1)); +#30095=DIRECTION('',(1.E0,0.E0,0.E0)); +#30096=DIRECTION('',(0.E0,0.E0,1.E0)); +#30097=AXIS2_PLACEMENT_3D('',#30094,#30095,#30096); +#30098=CYLINDRICAL_SURFACE('',#30097,4.5E-1); +#30099=ORIENTED_EDGE('',*,*,#15103,.T.); +#30101=ORIENTED_EDGE('',*,*,#30100,.T.); +#30102=ORIENTED_EDGE('',*,*,#30085,.F.); +#30103=ORIENTED_EDGE('',*,*,#30061,.F.); +#30104=EDGE_LOOP('',(#30099,#30101,#30102,#30103)); +#30105=FACE_OUTER_BOUND('',#30104,.F.); +#30107=CARTESIAN_POINT('',(-1.22E1,9.05E0,-1.045E1)); +#30108=DIRECTION('',(-7.071067811649E-1,-7.071067812082E-1,0.E0)); +#30109=DIRECTION('',(7.071067812082E-1,-7.071067811649E-1,0.E0)); +#30110=AXIS2_PLACEMENT_3D('',#30107,#30108,#30109); +#30111=SPHERICAL_SURFACE('',#30110,4.5E-1); +#30113=ORIENTED_EDGE('',*,*,#30112,.F.); +#30114=ORIENTED_EDGE('',*,*,#30100,.F.); +#30116=ORIENTED_EDGE('',*,*,#30115,.T.); +#30117=EDGE_LOOP('',(#30113,#30114,#30116)); +#30118=FACE_OUTER_BOUND('',#30117,.F.); +#30120=CARTESIAN_POINT('',(-1.22E1,9.05E0,-2.E1)); +#30121=DIRECTION('',(0.E0,0.E0,1.E0)); +#30122=DIRECTION('',(1.E0,0.E0,0.E0)); +#30123=AXIS2_PLACEMENT_3D('',#30120,#30121,#30122); +#30124=CYLINDRICAL_SURFACE('',#30123,4.5E-1); +#30125=ORIENTED_EDGE('',*,*,#30112,.T.); +#30127=ORIENTED_EDGE('',*,*,#30126,.F.); +#30128=ORIENTED_EDGE('',*,*,#16022,.F.); +#30129=ORIENTED_EDGE('',*,*,#30087,.T.); +#30130=EDGE_LOOP('',(#30125,#30127,#30128,#30129)); +#30131=FACE_OUTER_BOUND('',#30130,.F.); +#30133=CARTESIAN_POINT('',(-1.175E1,9.05E0,-2.E1)); +#30134=DIRECTION('',(1.E0,0.E0,0.E0)); +#30135=DIRECTION('',(0.E0,1.E0,0.E0)); +#30136=AXIS2_PLACEMENT_3D('',#30133,#30134,#30135); +#30137=PLANE('',#30136); +#30139=ORIENTED_EDGE('',*,*,#30138,.T.); +#30140=ORIENTED_EDGE('',*,*,#15507,.F.); +#30141=ORIENTED_EDGE('',*,*,#16024,.F.); +#30142=ORIENTED_EDGE('',*,*,#30126,.T.); +#30143=EDGE_LOOP('',(#30139,#30140,#30141,#30142)); +#30144=FACE_OUTER_BOUND('',#30143,.F.); +#30146=CARTESIAN_POINT('',(-1.22E1,8.929359252635E0,-1.045E1)); +#30147=DIRECTION('',(0.E0,1.E0,0.E0)); +#30148=DIRECTION('',(0.E0,0.E0,1.E0)); +#30149=AXIS2_PLACEMENT_3D('',#30146,#30147,#30148); +#30150=CYLINDRICAL_SURFACE('',#30149,4.5E-1); +#30151=ORIENTED_EDGE('',*,*,#15101,.T.); +#30152=ORIENTED_EDGE('',*,*,#15491,.T.); +#30153=ORIENTED_EDGE('',*,*,#30138,.F.); +#30154=ORIENTED_EDGE('',*,*,#30115,.F.); +#30155=EDGE_LOOP('',(#30151,#30152,#30153,#30154)); +#30156=FACE_OUTER_BOUND('',#30155,.F.); +#30158=CARTESIAN_POINT('',(-1.275E1,9.8E0,-2.E1)); +#30159=DIRECTION('',(-1.E0,0.E0,0.E0)); +#30160=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30161=AXIS2_PLACEMENT_3D('',#30158,#30159,#30160); +#30162=PLANE('',#30161); +#30163=ORIENTED_EDGE('',*,*,#30047,.T.); +#30164=ORIENTED_EDGE('',*,*,#30075,.F.); +#30165=ORIENTED_EDGE('',*,*,#16016,.F.); +#30166=ORIENTED_EDGE('',*,*,#30019,.T.); +#30167=EDGE_LOOP('',(#30163,#30164,#30165,#30166)); +#30168=FACE_OUTER_BOUND('',#30167,.F.); +#30170=CARTESIAN_POINT('',(-9.75E0,9.8E0,-2.E1)); +#30171=DIRECTION('',(0.E0,0.E0,1.E0)); +#30172=DIRECTION('',(1.E0,0.E0,0.E0)); +#30173=AXIS2_PLACEMENT_3D('',#30170,#30171,#30172); +#30174=CYLINDRICAL_SURFACE('',#30173,5.E-1); +#30176=ORIENTED_EDGE('',*,*,#30175,.T.); +#30178=ORIENTED_EDGE('',*,*,#30177,.F.); +#30179=ORIENTED_EDGE('',*,*,#16028,.T.); +#30180=ORIENTED_EDGE('',*,*,#14975,.T.); +#30181=EDGE_LOOP('',(#30176,#30178,#30179,#30180)); +#30182=FACE_OUTER_BOUND('',#30181,.F.); +#30184=CARTESIAN_POINT('',(-9.75E0,9.8E0,-1.045E1)); +#30185=DIRECTION('',(0.E0,0.E0,1.E0)); +#30186=DIRECTION('',(-7.077664394356E-1,-7.064465069690E-1,0.E0)); +#30187=AXIS2_PLACEMENT_3D('',#30184,#30185,#30186); +#30188=TOROIDAL_SURFACE('',#30187,9.5E-1,4.5E-1); +#30189=ORIENTED_EDGE('',*,*,#15126,.T.); +#30191=ORIENTED_EDGE('',*,*,#30190,.T.); +#30192=ORIENTED_EDGE('',*,*,#30175,.F.); +#30193=ORIENTED_EDGE('',*,*,#14973,.T.); +#30194=EDGE_LOOP('',(#30189,#30191,#30192,#30193)); +#30195=FACE_OUTER_BOUND('',#30194,.F.); +#30197=CARTESIAN_POINT('',(-8.8E0,9.920640747365E0,-1.045E1)); +#30198=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30199=DIRECTION('',(0.E0,0.E0,1.E0)); +#30200=AXIS2_PLACEMENT_3D('',#30197,#30198,#30199); +#30201=CYLINDRICAL_SURFACE('',#30200,4.5E-1); +#30202=ORIENTED_EDGE('',*,*,#15124,.T.); +#30204=ORIENTED_EDGE('',*,*,#30203,.T.); +#30206=ORIENTED_EDGE('',*,*,#30205,.F.); +#30207=ORIENTED_EDGE('',*,*,#30190,.F.); +#30208=EDGE_LOOP('',(#30202,#30204,#30206,#30207)); +#30209=FACE_OUTER_BOUND('',#30208,.F.); +#30211=CARTESIAN_POINT('',(-8.8E0,9.05E0,-1.045E1)); +#30212=DIRECTION('',(-7.071067812082E-1,7.071067811649E-1,0.E0)); +#30213=DIRECTION('',(-7.071067811649E-1,-7.071067812082E-1,0.E0)); +#30214=AXIS2_PLACEMENT_3D('',#30211,#30212,#30213); +#30215=SPHERICAL_SURFACE('',#30214,4.5E-1); +#30217=ORIENTED_EDGE('',*,*,#30216,.F.); +#30218=ORIENTED_EDGE('',*,*,#30203,.F.); +#30220=ORIENTED_EDGE('',*,*,#30219,.T.); +#30221=EDGE_LOOP('',(#30217,#30218,#30220)); +#30222=FACE_OUTER_BOUND('',#30221,.F.); +#30224=CARTESIAN_POINT('',(-8.8E0,9.05E0,-2.E1)); +#30225=DIRECTION('',(0.E0,0.E0,1.E0)); +#30226=DIRECTION('',(1.E0,0.E0,0.E0)); +#30227=AXIS2_PLACEMENT_3D('',#30224,#30225,#30226); +#30228=CYLINDRICAL_SURFACE('',#30227,4.5E-1); +#30229=ORIENTED_EDGE('',*,*,#30216,.T.); +#30231=ORIENTED_EDGE('',*,*,#30230,.F.); +#30232=ORIENTED_EDGE('',*,*,#16032,.F.); +#30234=ORIENTED_EDGE('',*,*,#30233,.T.); +#30235=EDGE_LOOP('',(#30229,#30231,#30232,#30234)); +#30236=FACE_OUTER_BOUND('',#30235,.F.); +#30238=CARTESIAN_POINT('',(-8.8E0,8.6E0,-2.E1)); +#30239=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30240=DIRECTION('',(1.E0,0.E0,0.E0)); +#30241=AXIS2_PLACEMENT_3D('',#30238,#30239,#30240); +#30242=PLANE('',#30241); +#30244=ORIENTED_EDGE('',*,*,#30243,.T.); +#30246=ORIENTED_EDGE('',*,*,#30245,.F.); +#30247=ORIENTED_EDGE('',*,*,#16034,.F.); +#30248=ORIENTED_EDGE('',*,*,#30230,.T.); +#30249=EDGE_LOOP('',(#30244,#30246,#30247,#30248)); +#30250=FACE_OUTER_BOUND('',#30249,.F.); +#30252=CARTESIAN_POINT('',(-8.920640747365E0,9.05E0,-1.045E1)); +#30253=DIRECTION('',(1.E0,0.E0,0.E0)); +#30254=DIRECTION('',(0.E0,0.E0,1.E0)); +#30255=AXIS2_PLACEMENT_3D('',#30252,#30253,#30254); +#30256=CYLINDRICAL_SURFACE('',#30255,4.5E-1); +#30257=ORIENTED_EDGE('',*,*,#15122,.T.); +#30259=ORIENTED_EDGE('',*,*,#30258,.T.); +#30260=ORIENTED_EDGE('',*,*,#30243,.F.); +#30261=ORIENTED_EDGE('',*,*,#30219,.F.); +#30262=EDGE_LOOP('',(#30257,#30259,#30260,#30261)); +#30263=FACE_OUTER_BOUND('',#30262,.F.); +#30265=CARTESIAN_POINT('',(-8.7E0,9.05E0,-1.045E1)); +#30266=DIRECTION('',(-7.071067811649E-1,-7.071067812082E-1,0.E0)); +#30267=DIRECTION('',(7.071067812082E-1,-7.071067811649E-1,0.E0)); +#30268=AXIS2_PLACEMENT_3D('',#30265,#30266,#30267); +#30269=SPHERICAL_SURFACE('',#30268,4.5E-1); +#30271=ORIENTED_EDGE('',*,*,#30270,.F.); +#30272=ORIENTED_EDGE('',*,*,#30258,.F.); +#30274=ORIENTED_EDGE('',*,*,#30273,.T.); +#30275=EDGE_LOOP('',(#30271,#30272,#30274)); +#30276=FACE_OUTER_BOUND('',#30275,.F.); +#30278=CARTESIAN_POINT('',(-8.7E0,9.05E0,-2.E1)); +#30279=DIRECTION('',(0.E0,0.E0,1.E0)); +#30280=DIRECTION('',(1.E0,0.E0,0.E0)); +#30281=AXIS2_PLACEMENT_3D('',#30278,#30279,#30280); +#30282=CYLINDRICAL_SURFACE('',#30281,4.5E-1); +#30283=ORIENTED_EDGE('',*,*,#30270,.T.); +#30285=ORIENTED_EDGE('',*,*,#30284,.F.); +#30286=ORIENTED_EDGE('',*,*,#16036,.F.); +#30287=ORIENTED_EDGE('',*,*,#30245,.T.); +#30288=EDGE_LOOP('',(#30283,#30285,#30286,#30287)); +#30289=FACE_OUTER_BOUND('',#30288,.F.); +#30291=CARTESIAN_POINT('',(-8.25E0,9.05E0,-2.E1)); +#30292=DIRECTION('',(1.E0,0.E0,0.E0)); +#30293=DIRECTION('',(0.E0,1.E0,0.E0)); +#30294=AXIS2_PLACEMENT_3D('',#30291,#30292,#30293); +#30295=PLANE('',#30294); +#30297=ORIENTED_EDGE('',*,*,#30296,.T.); +#30299=ORIENTED_EDGE('',*,*,#30298,.F.); +#30300=ORIENTED_EDGE('',*,*,#16038,.F.); +#30301=ORIENTED_EDGE('',*,*,#30284,.T.); +#30302=EDGE_LOOP('',(#30297,#30299,#30300,#30301)); +#30303=FACE_OUTER_BOUND('',#30302,.F.); +#30305=CARTESIAN_POINT('',(-8.7E0,8.929359252635E0,-1.045E1)); +#30306=DIRECTION('',(0.E0,1.E0,0.E0)); +#30307=DIRECTION('',(0.E0,0.E0,1.E0)); +#30308=AXIS2_PLACEMENT_3D('',#30305,#30306,#30307); +#30309=CYLINDRICAL_SURFACE('',#30308,4.5E-1); +#30310=ORIENTED_EDGE('',*,*,#15120,.T.); +#30312=ORIENTED_EDGE('',*,*,#30311,.T.); +#30313=ORIENTED_EDGE('',*,*,#30296,.F.); +#30314=ORIENTED_EDGE('',*,*,#30273,.F.); +#30315=EDGE_LOOP('',(#30310,#30312,#30313,#30314)); +#30316=FACE_OUTER_BOUND('',#30315,.F.); +#30318=CARTESIAN_POINT('',(-7.75E0,9.8E0,-1.045E1)); +#30319=DIRECTION('',(0.E0,0.E0,1.E0)); +#30320=DIRECTION('',(-9.418327390805E-1,-3.360819715429E-1,0.E0)); +#30321=AXIS2_PLACEMENT_3D('',#30318,#30319,#30320); +#30322=TOROIDAL_SURFACE('',#30321,9.5E-1,4.5E-1); +#30324=ORIENTED_EDGE('',*,*,#30323,.F.); +#30325=ORIENTED_EDGE('',*,*,#30311,.F.); +#30326=ORIENTED_EDGE('',*,*,#15118,.T.); +#30327=ORIENTED_EDGE('',*,*,#14969,.T.); +#30328=EDGE_LOOP('',(#30324,#30325,#30326,#30327)); +#30329=FACE_OUTER_BOUND('',#30328,.F.); +#30331=CARTESIAN_POINT('',(-7.75E0,9.8E0,-2.E1)); +#30332=DIRECTION('',(0.E0,0.E0,1.E0)); +#30333=DIRECTION('',(1.E0,0.E0,0.E0)); +#30334=AXIS2_PLACEMENT_3D('',#30331,#30332,#30333); +#30335=CYLINDRICAL_SURFACE('',#30334,5.E-1); +#30336=ORIENTED_EDGE('',*,*,#30323,.T.); +#30337=ORIENTED_EDGE('',*,*,#14967,.F.); +#30338=ORIENTED_EDGE('',*,*,#16040,.T.); +#30339=ORIENTED_EDGE('',*,*,#30298,.T.); +#30340=EDGE_LOOP('',(#30336,#30337,#30338,#30339)); +#30341=FACE_OUTER_BOUND('',#30340,.F.); +#30343=CARTESIAN_POINT('',(-9.25E0,9.8E0,-2.E1)); +#30344=DIRECTION('',(-1.E0,0.E0,0.E0)); +#30345=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30346=AXIS2_PLACEMENT_3D('',#30343,#30344,#30345); +#30347=PLANE('',#30346); +#30348=ORIENTED_EDGE('',*,*,#30205,.T.); +#30349=ORIENTED_EDGE('',*,*,#30233,.F.); +#30350=ORIENTED_EDGE('',*,*,#16030,.F.); +#30351=ORIENTED_EDGE('',*,*,#30177,.T.); +#30352=EDGE_LOOP('',(#30348,#30349,#30350,#30351)); +#30353=FACE_OUTER_BOUND('',#30352,.F.); +#30355=CARTESIAN_POINT('',(-6.25E0,9.8E0,-2.E1)); +#30356=DIRECTION('',(0.E0,0.E0,1.E0)); +#30357=DIRECTION('',(1.E0,0.E0,0.E0)); +#30358=AXIS2_PLACEMENT_3D('',#30355,#30356,#30357); +#30359=CYLINDRICAL_SURFACE('',#30358,5.E-1); +#30361=ORIENTED_EDGE('',*,*,#30360,.T.); +#30363=ORIENTED_EDGE('',*,*,#30362,.F.); +#30364=ORIENTED_EDGE('',*,*,#16043,.T.); +#30365=ORIENTED_EDGE('',*,*,#14963,.T.); +#30366=EDGE_LOOP('',(#30361,#30363,#30364,#30365)); +#30367=FACE_OUTER_BOUND('',#30366,.F.); +#30369=CARTESIAN_POINT('',(-6.25E0,9.8E0,-1.045E1)); +#30370=DIRECTION('',(0.E0,0.E0,1.E0)); +#30371=DIRECTION('',(-7.077664394356E-1,-7.064465069690E-1,0.E0)); +#30372=AXIS2_PLACEMENT_3D('',#30369,#30370,#30371); +#30373=TOROIDAL_SURFACE('',#30372,9.5E-1,4.5E-1); +#30374=ORIENTED_EDGE('',*,*,#15145,.T.); +#30376=ORIENTED_EDGE('',*,*,#30375,.T.); +#30377=ORIENTED_EDGE('',*,*,#30360,.F.); +#30378=ORIENTED_EDGE('',*,*,#14961,.T.); +#30379=EDGE_LOOP('',(#30374,#30376,#30377,#30378)); +#30380=FACE_OUTER_BOUND('',#30379,.F.); +#30382=CARTESIAN_POINT('',(-5.3E0,9.920640747365E0,-1.045E1)); +#30383=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30384=DIRECTION('',(0.E0,0.E0,1.E0)); +#30385=AXIS2_PLACEMENT_3D('',#30382,#30383,#30384); +#30386=CYLINDRICAL_SURFACE('',#30385,4.5E-1); +#30387=ORIENTED_EDGE('',*,*,#15143,.T.); +#30389=ORIENTED_EDGE('',*,*,#30388,.T.); +#30391=ORIENTED_EDGE('',*,*,#30390,.F.); +#30392=ORIENTED_EDGE('',*,*,#30375,.F.); +#30393=EDGE_LOOP('',(#30387,#30389,#30391,#30392)); +#30394=FACE_OUTER_BOUND('',#30393,.F.); +#30396=CARTESIAN_POINT('',(-5.3E0,9.05E0,-1.045E1)); +#30397=DIRECTION('',(-7.071067812082E-1,7.071067811649E-1,0.E0)); +#30398=DIRECTION('',(-7.071067811649E-1,-7.071067812081E-1,0.E0)); +#30399=AXIS2_PLACEMENT_3D('',#30396,#30397,#30398); +#30400=SPHERICAL_SURFACE('',#30399,4.5E-1); +#30402=ORIENTED_EDGE('',*,*,#30401,.F.); +#30403=ORIENTED_EDGE('',*,*,#30388,.F.); +#30405=ORIENTED_EDGE('',*,*,#30404,.T.); +#30406=EDGE_LOOP('',(#30402,#30403,#30405)); +#30407=FACE_OUTER_BOUND('',#30406,.F.); +#30409=CARTESIAN_POINT('',(-5.3E0,9.05E0,-2.E1)); +#30410=DIRECTION('',(0.E0,0.E0,1.E0)); +#30411=DIRECTION('',(1.E0,0.E0,0.E0)); +#30412=AXIS2_PLACEMENT_3D('',#30409,#30410,#30411); +#30413=CYLINDRICAL_SURFACE('',#30412,4.5E-1); +#30414=ORIENTED_EDGE('',*,*,#30401,.T.); +#30416=ORIENTED_EDGE('',*,*,#30415,.F.); +#30417=ORIENTED_EDGE('',*,*,#16047,.F.); +#30419=ORIENTED_EDGE('',*,*,#30418,.T.); +#30420=EDGE_LOOP('',(#30414,#30416,#30417,#30419)); +#30421=FACE_OUTER_BOUND('',#30420,.F.); +#30423=CARTESIAN_POINT('',(-5.3E0,8.6E0,-2.E1)); +#30424=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30425=DIRECTION('',(1.E0,0.E0,0.E0)); +#30426=AXIS2_PLACEMENT_3D('',#30423,#30424,#30425); +#30427=PLANE('',#30426); +#30429=ORIENTED_EDGE('',*,*,#30428,.T.); +#30431=ORIENTED_EDGE('',*,*,#30430,.F.); +#30432=ORIENTED_EDGE('',*,*,#16049,.F.); +#30433=ORIENTED_EDGE('',*,*,#30415,.T.); +#30434=EDGE_LOOP('',(#30429,#30431,#30432,#30433)); +#30435=FACE_OUTER_BOUND('',#30434,.F.); +#30437=CARTESIAN_POINT('',(-5.420640747365E0,9.05E0,-1.045E1)); +#30438=DIRECTION('',(1.E0,0.E0,0.E0)); +#30439=DIRECTION('',(0.E0,0.E0,1.E0)); +#30440=AXIS2_PLACEMENT_3D('',#30437,#30438,#30439); +#30441=CYLINDRICAL_SURFACE('',#30440,4.5E-1); +#30442=ORIENTED_EDGE('',*,*,#15141,.T.); +#30444=ORIENTED_EDGE('',*,*,#30443,.T.); +#30445=ORIENTED_EDGE('',*,*,#30428,.F.); +#30446=ORIENTED_EDGE('',*,*,#30404,.F.); +#30447=EDGE_LOOP('',(#30442,#30444,#30445,#30446)); +#30448=FACE_OUTER_BOUND('',#30447,.F.); +#30450=CARTESIAN_POINT('',(-5.2E0,9.05E0,-1.045E1)); +#30451=DIRECTION('',(-7.071067811649E-1,-7.071067812082E-1,0.E0)); +#30452=DIRECTION('',(7.071067812082E-1,-7.071067811649E-1,0.E0)); +#30453=AXIS2_PLACEMENT_3D('',#30450,#30451,#30452); +#30454=SPHERICAL_SURFACE('',#30453,4.5E-1); +#30456=ORIENTED_EDGE('',*,*,#30455,.F.); +#30457=ORIENTED_EDGE('',*,*,#30443,.F.); +#30459=ORIENTED_EDGE('',*,*,#30458,.T.); +#30460=EDGE_LOOP('',(#30456,#30457,#30459)); +#30461=FACE_OUTER_BOUND('',#30460,.F.); +#30463=CARTESIAN_POINT('',(-5.2E0,9.05E0,-2.E1)); +#30464=DIRECTION('',(0.E0,0.E0,1.E0)); +#30465=DIRECTION('',(1.E0,0.E0,0.E0)); +#30466=AXIS2_PLACEMENT_3D('',#30463,#30464,#30465); +#30467=CYLINDRICAL_SURFACE('',#30466,4.5E-1); +#30468=ORIENTED_EDGE('',*,*,#30455,.T.); +#30470=ORIENTED_EDGE('',*,*,#30469,.F.); +#30471=ORIENTED_EDGE('',*,*,#16051,.F.); +#30472=ORIENTED_EDGE('',*,*,#30430,.T.); +#30473=EDGE_LOOP('',(#30468,#30470,#30471,#30472)); +#30474=FACE_OUTER_BOUND('',#30473,.F.); +#30476=CARTESIAN_POINT('',(-4.75E0,9.05E0,-2.E1)); +#30477=DIRECTION('',(1.E0,0.E0,0.E0)); +#30478=DIRECTION('',(0.E0,1.E0,0.E0)); +#30479=AXIS2_PLACEMENT_3D('',#30476,#30477,#30478); +#30480=PLANE('',#30479); +#30482=ORIENTED_EDGE('',*,*,#30481,.T.); +#30484=ORIENTED_EDGE('',*,*,#30483,.F.); +#30485=ORIENTED_EDGE('',*,*,#16053,.F.); +#30486=ORIENTED_EDGE('',*,*,#30469,.T.); +#30487=EDGE_LOOP('',(#30482,#30484,#30485,#30486)); +#30488=FACE_OUTER_BOUND('',#30487,.F.); +#30490=CARTESIAN_POINT('',(-5.2E0,8.929359252635E0,-1.045E1)); +#30491=DIRECTION('',(0.E0,1.E0,0.E0)); +#30492=DIRECTION('',(0.E0,0.E0,1.E0)); +#30493=AXIS2_PLACEMENT_3D('',#30490,#30491,#30492); +#30494=CYLINDRICAL_SURFACE('',#30493,4.5E-1); +#30495=ORIENTED_EDGE('',*,*,#15139,.T.); +#30497=ORIENTED_EDGE('',*,*,#30496,.T.); +#30498=ORIENTED_EDGE('',*,*,#30481,.F.); +#30499=ORIENTED_EDGE('',*,*,#30458,.F.); +#30500=EDGE_LOOP('',(#30495,#30497,#30498,#30499)); +#30501=FACE_OUTER_BOUND('',#30500,.F.); +#30503=CARTESIAN_POINT('',(-4.25E0,9.8E0,-1.045E1)); +#30504=DIRECTION('',(0.E0,0.E0,1.E0)); +#30505=DIRECTION('',(-9.418327390805E-1,-3.360819715429E-1,0.E0)); +#30506=AXIS2_PLACEMENT_3D('',#30503,#30504,#30505); +#30507=TOROIDAL_SURFACE('',#30506,9.5E-1,4.5E-1); +#30509=ORIENTED_EDGE('',*,*,#30508,.F.); +#30510=ORIENTED_EDGE('',*,*,#30496,.F.); +#30511=ORIENTED_EDGE('',*,*,#15137,.T.); +#30512=ORIENTED_EDGE('',*,*,#14957,.T.); +#30513=EDGE_LOOP('',(#30509,#30510,#30511,#30512)); +#30514=FACE_OUTER_BOUND('',#30513,.F.); +#30516=CARTESIAN_POINT('',(-4.25E0,9.8E0,-2.E1)); +#30517=DIRECTION('',(0.E0,0.E0,1.E0)); +#30518=DIRECTION('',(1.E0,0.E0,0.E0)); +#30519=AXIS2_PLACEMENT_3D('',#30516,#30517,#30518); +#30520=CYLINDRICAL_SURFACE('',#30519,5.E-1); +#30521=ORIENTED_EDGE('',*,*,#30508,.T.); +#30522=ORIENTED_EDGE('',*,*,#14955,.F.); +#30523=ORIENTED_EDGE('',*,*,#16055,.T.); +#30524=ORIENTED_EDGE('',*,*,#30483,.T.); +#30525=EDGE_LOOP('',(#30521,#30522,#30523,#30524)); +#30526=FACE_OUTER_BOUND('',#30525,.F.); +#30528=CARTESIAN_POINT('',(-5.75E0,9.8E0,-2.E1)); +#30529=DIRECTION('',(-1.E0,0.E0,0.E0)); +#30530=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30531=AXIS2_PLACEMENT_3D('',#30528,#30529,#30530); +#30532=PLANE('',#30531); +#30533=ORIENTED_EDGE('',*,*,#30390,.T.); +#30534=ORIENTED_EDGE('',*,*,#30418,.F.); +#30535=ORIENTED_EDGE('',*,*,#16045,.F.); +#30536=ORIENTED_EDGE('',*,*,#30362,.T.); +#30537=EDGE_LOOP('',(#30533,#30534,#30535,#30536)); +#30538=FACE_OUTER_BOUND('',#30537,.F.); +#30540=CLOSED_SHELL('',(#15049,#15064,#15078,#15093,#15112,#15131,#15150,#15169, +#15188,#15207,#15226,#15246,#15266,#15286,#15306,#15326,#15346,#15366,#15386, +#15406,#15426,#15446,#15463,#15483,#15497,#15511,#15609,#15657,#15697,#15737, +#16558,#16597,#16612,#16632,#16647,#16702,#16717,#16731,#16745,#16759,#16773, +#16787,#16801,#16813,#16830,#16857,#16871,#16884,#16898,#16911,#16925,#16937, +#16951,#16964,#16978,#16990,#17004,#17017,#17030,#17043,#17060,#17074,#17088, +#17102,#17115,#17129,#17141,#17155,#17168,#17182,#17194,#17208,#17221,#17234, +#17246,#17339,#17353,#17366,#17380,#17393,#17407,#17419,#17433,#17446,#17460, +#17472,#17486,#17499,#17512,#17524,#17538,#17551,#17565,#17578,#17592,#17604, +#17618,#17631,#17645,#17657,#17671,#17684,#17697,#17709,#17723,#17736,#17750, +#17763,#17777,#17789,#17803,#17816,#17830,#17842,#17856,#17869,#17882,#17894, +#17911,#17938,#17954,#17968,#17982,#17996,#18009,#18023,#18035,#18049,#18062, +#18076,#18088,#18102,#18115,#18128,#18140,#18154,#18167,#18181,#18194,#18208, +#18220,#18234,#18247,#18261,#18273,#18287,#18300,#18313,#18326,#18340,#18353, +#18367,#18380,#18394,#18406,#18420,#18433,#18447,#18459,#18473,#18486,#18499, +#18511,#18525,#18538,#18552,#18565,#18579,#18591,#18605,#18618,#18632,#18644, +#18658,#18671,#18684,#18696,#18710,#18723,#18737,#18750,#18764,#18776,#18790, +#18803,#18817,#18829,#18843,#18856,#18869,#18881,#18895,#18908,#18922,#18935, +#18949,#18961,#18975,#18988,#19002,#19014,#19028,#19041,#19054,#19066,#19080, +#19093,#19107,#19120,#19134,#19146,#19160,#19173,#19187,#19199,#19213,#19226, +#19239,#19251,#19270,#19283,#19297,#19314,#19342,#19374,#19406,#19435,#19448, +#19461,#19474,#19487,#19500,#19512,#19529,#19542,#19556,#19572,#19585,#19598, +#19611,#19624,#19637,#19650,#19662,#19676,#19689,#19703,#19719,#19732,#19745, +#19758,#19771,#19784,#19797,#19810,#19826,#19839,#19853,#19868,#19881,#19894, +#19907,#19920,#19933,#19945,#19958,#19977,#19991,#20004,#20018,#20031,#20045, +#20057,#20073,#20085,#20100,#20113,#20123,#20135,#20147,#20159,#20173,#20185, +#20199,#20211,#20225,#20237,#20252,#20302,#20317,#20331,#20346,#20361,#20506, +#20521,#20535,#20617,#20630,#20645,#20665,#20734,#20745,#20761,#20775,#20785, +#20943,#20955,#20968,#20979,#20993,#21009,#21021,#21037,#21052,#21064,#21079, +#21092,#21105,#21123,#21134,#21149,#21162,#21172,#21182,#21197,#21210,#21223, +#21241,#21252,#21267,#21280,#21290,#21300,#21315,#21328,#21341,#21359,#21370, +#21385,#21398,#21408,#21418,#21433,#21446,#21459,#21477,#21488,#21503,#21516, +#21526,#21536,#21551,#21564,#21577,#21595,#21606,#21621,#21634,#21644,#21654, +#21669,#21682,#21695,#21713,#21724,#21739,#21752,#21762,#21772,#21787,#21800, +#21813,#21831,#21842,#21857,#21870,#21880,#21890,#21905,#21918,#21928,#21943, +#21956,#21966,#21981,#21994,#22004,#22019,#22032,#22042,#22057,#22070,#22080, +#22095,#22108,#22118,#22133,#22146,#22156,#22171,#22184,#22194,#22209,#22222, +#22232,#22247,#22260,#22270,#22285,#22298,#22308,#22323,#22336,#22346,#22361, +#22374,#22384,#22399,#22412,#22422,#22437,#22450,#22460,#22473,#22483,#22498, +#22520,#22537,#22552,#22565,#22580,#22594,#22625,#22659,#22679,#22699,#22713, +#22756,#22771,#22785,#22798,#22811,#22825,#22839,#22853,#22867,#22881,#22895, +#22947,#22962,#22975,#22989,#23003,#23017,#23030,#23044,#23057,#23070,#23082, +#23095,#23109,#23122,#23172,#23185,#23197,#23210,#23224,#23238,#23252,#23265, +#23307,#23321,#23337,#23351,#23364,#23378,#23394,#23407,#23421,#23435,#23449, +#23462,#23478,#23533,#23545,#23558,#23572,#23586,#23612,#23624,#23637,#23650, +#23662,#23675,#23689,#23703,#23725,#23741,#23755,#23768,#23781,#23793,#23807, +#23821,#23838,#23863,#23889,#23904,#23919,#23934,#23950,#23964,#23978,#23992, +#24005,#24026,#24054,#24067,#24081,#24093,#24106,#24118,#24131,#24144,#24157, +#24170,#24186,#24200,#24214,#24228,#24242,#24255,#24268,#24280,#24292,#24307, +#24325,#24346,#24369,#24384,#24401,#24417,#24435,#24448,#24461,#24473,#24494, +#24517,#24528,#24538,#24552,#24569,#24582,#24595,#24607,#24623,#24640,#24654, +#24668,#24682,#24695,#24711,#24725,#24738,#24752,#24765,#24779,#24792,#24806, +#24818,#24831,#24845,#24861,#24874,#24888,#24902,#24916,#24931,#24946,#24959, +#24973,#24987,#24999,#25012,#25024,#25037,#25051,#25065,#25078,#25091,#25104, +#25116,#25128,#25143,#25157,#25171,#25185,#25199,#25213,#25226,#25241,#25263, +#25393,#25537,#25601,#25723,#25737,#25750,#25763,#25776,#25789,#25802,#25814, +#25828,#25841,#25854,#25867,#25880,#25893,#25906,#25919,#25932,#25945,#25958, +#25971,#25984,#25997,#26010,#26023,#26036,#26049,#26062,#26075,#26088,#26101, +#26114,#26127,#26140,#26153,#26166,#26179,#26192,#26205,#26218,#26231,#26244, +#26257,#26270,#26283,#26296,#26309,#26322,#26334,#26348,#26361,#26374,#26387, +#26400,#26413,#26426,#26439,#26452,#26465,#26478,#26491,#26504,#26517,#26530, +#26543,#26556,#26569,#26582,#26594,#26608,#26621,#26634,#26647,#26660,#26673, +#26686,#26699,#26712,#26725,#26738,#26751,#26764,#26777,#26790,#26803,#26816, +#26829,#26842,#26855,#26868,#26881,#26894,#26907,#26920,#26933,#26946,#26959, +#26972,#26985,#26998,#27011,#27024,#27036,#27050,#27063,#27076,#27089,#27102, +#27115,#27128,#27141,#27154,#27167,#27180,#27193,#27206,#27219,#27232,#27244, +#27258,#27271,#27284,#27297,#27310,#27323,#27336,#27349,#27362,#27375,#27388, +#27401,#27414,#27427,#27440,#27452,#27466,#27479,#27492,#27505,#27518,#27531, +#27544,#27557,#27570,#27583,#27596,#27609,#27622,#27635,#27648,#27661,#27674, +#27687,#27700,#27713,#27726,#27739,#27752,#27765,#27778,#27791,#27804,#27816, +#27830,#27843,#27856,#27869,#27882,#27895,#27908,#27921,#27934,#27947,#27960, +#27973,#27986,#27999,#28012,#28025,#28038,#28051,#28064,#28077,#28090,#28103, +#28116,#28129,#28142,#28155,#28168,#28181,#28194,#28207,#28220,#28233,#28246, +#28259,#28272,#28285,#28298,#28311,#28324,#28337,#28350,#28363,#28376,#28389, +#28402,#28415,#28428,#28441,#28454,#28467,#28480,#28493,#28506,#28519,#28532, +#28545,#28557,#28572,#28585,#28595,#28610,#28623,#28633,#28648,#28661,#28671, +#28686,#28699,#28709,#28724,#28737,#28747,#28762,#28775,#28785,#28800,#28813, +#28823,#28838,#28851,#28861,#28876,#28889,#28899,#28914,#28927,#28937,#28952, +#28965,#28975,#28990,#29003,#29013,#29028,#29041,#29051,#29066,#29079,#29089, +#29104,#29117,#29127,#29142,#29156,#29169,#29182,#29196,#29209,#29221,#29234, +#29246,#29259,#29271,#29285,#29298,#29312,#29325,#29339,#29353,#29366,#29379, +#29392,#29406,#29419,#29432,#29444,#29456,#29470,#29483,#29497,#29510,#29524, +#29538,#29551,#29564,#29577,#29591,#29604,#29617,#29629,#29641,#29655,#29668, +#29682,#29695,#29709,#29723,#29736,#29749,#29762,#29776,#29789,#29802,#29814, +#29826,#29840,#29853,#29867,#29880,#29894,#29908,#29921,#29934,#29947,#29961, +#29974,#29987,#29999,#30011,#30025,#30038,#30052,#30065,#30079,#30093,#30106, +#30119,#30132,#30145,#30157,#30169,#30183,#30196,#30210,#30223,#30237,#30251, +#30264,#30277,#30290,#30304,#30317,#30330,#30342,#30354,#30368,#30381,#30395, +#30408,#30422,#30436,#30449,#30462,#30475,#30489,#30502,#30515,#30527,#30539)); +#30541=MANIFOLD_SOLID_BREP('',#30540); +#30544=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), +#30543); +#30545=(CONVERSION_BASED_UNIT('DEGREE',#30544)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); +#30547=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(7.923375373470E-3),#30542, +'distance_accuracy_value', +'Maximum model space distance between geometric entities at asserted connectivities'); +#30550=APPLICATION_CONTEXT('automotive_design'); +#30551=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2001,#30550); +#30552=PRODUCT_DEFINITION_CONTEXT('part definition',#30550,'design'); +#30553=PRODUCT_CONTEXT('',#30550,'mechanical'); +#30554=PRODUCT('C-770669-1','C-770669-1','NOT SPECIFIED',(#30553)); +#30555=PRODUCT_DEFINITION_FORMATION('1','LAST_VERSION',#30554); +#30563=DERIVED_UNIT_ELEMENT(#30562,2.E0); +#30564=DERIVED_UNIT((#30563)); +#30565=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +1.331344282615E4),#30564); +#30570=DERIVED_UNIT_ELEMENT(#30569,3.E0); +#30571=DERIVED_UNIT((#30570)); +#30572=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +1.609205211520E4),#30571); +#30576=CARTESIAN_POINT('centre point',(4.895100263082E-3,-7.873145707529E-1, +-2.206881928031E1)); +#30581=DERIVED_UNIT_ELEMENT(#30580,2.E0); +#30582=DERIVED_UNIT((#30581)); +#30583=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +1.331344282615E4),#30582); +#30588=DERIVED_UNIT_ELEMENT(#30587,3.E0); +#30589=DERIVED_UNIT((#30588)); +#30590=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +1.609205211520E4),#30589); +#30594=CARTESIAN_POINT('centre point',(4.895100263082E-3,-7.873145707529E-1, +-2.206881928031E1)); +#30599=PRODUCT_RELATED_PRODUCT_CATEGORY('part','',(#30554)); +#1=DRAUGHTING_PRE_DEFINED_COLOUR('black'); +#2=COLOUR_RGB('',0.E0,0.E0,3.6E-1); +#3=COLOUR_RGB('',0.E0,0.E0,4.8E-1); +#4=DRAUGHTING_PRE_DEFINED_COLOUR('blue'); +#5=COLOUR_RGB('',0.E0,5.8824E-2,4.58824E-1); +#6=COLOUR_RGB('',0.E0,1.80392E-1,4.78431E-1); +#7=COLOUR_RGB('',0.E0,3.6E-1,9.E-2); +#8=COLOUR_RGB('',0.E0,3.6E-1,2.7E-1); +#9=COLOUR_RGB('',0.E0,5.6E-1,6.4E-1); +#10=COLOUR_RGB('',0.E0,5.8E-1,8.E-1); +#11=COLOUR_RGB('',0.E0,7.1E-1,6.6E-1); +#12=COLOUR_RGB('',0.E0,7.13E-1,0.E0); +#13=COLOUR_RGB('',0.E0,9.5E-1,8.4E-1); +#14=DRAUGHTING_PRE_DEFINED_COLOUR('green'); +#15=DRAUGHTING_PRE_DEFINED_COLOUR('cyan'); +#16=COLOUR_RGB('',1.1765E-2,1.9608E-2,3.9216E-2); +#17=COLOUR_RGB('',4.E-2,0.E0,1.6E-1); +#18=COLOUR_RGB('',3.9216E-2,2.19608E-1,1.21569E-1); +#19=COLOUR_RGB('',3.9216E-2,3.60784E-1,2.E-1); +#20=COLOUR_RGB('',5.098E-2,7.0588E-2,1.01961E-1); +#21=COLOUR_RGB('',9.E-2,0.E0,1.2E-1); +#22=COLOUR_RGB('',9.0196E-2,1.60784E-1,1.09804E-1); +#23=COLOUR_RGB('',9.0196E-2,3.80392E-1,6.70588E-1); +#24=COLOUR_RGB('',1.E-1,0.E0,2.5E-1); +#25=COLOUR_RGB('',1.01961E-1,4.78431E-1,3.88235E-1); +#26=COLOUR_RGB('',1.09804E-1,1.4902E-1,9.0196E-2); +#27=COLOUR_RGB('',1.4902E-1,3.09804E-1,5.29412E-1); +#28=COLOUR_RGB('',1.4902E-1,4.E-1,1.60784E-1); +#29=COLOUR_RGB('',1.6E-1,3.2E-2,0.E0); +#30=COLOUR_RGB('',1.60784E-1,4.5098E-1,7.21569E-1); +#31=COLOUR_RGB('',1.6E-1,4.6E-1,6.5E-1); +#32=COLOUR_RGB('',1.6E-1,1.E0,1.6E-1); +#33=COLOUR_RGB('',2.E-1,3.2E-2,0.E0); +#34=COLOUR_RGB('',2.11765E-1,4.11765E-1,1.4902E-1); +#35=COLOUR_RGB('',2.39216E-1,2.39216E-1,2.31373E-1); +#36=COLOUR_RGB('',2.39216E-1,2.70588E-1,1.80392E-1); +#37=COLOUR_RGB('',2.5098E-1,2.90196E-1,3.29412E-1); +#38=COLOUR_RGB('',2.90196E-1,4.31373E-1,2.E-1); +#39=COLOUR_RGB('',3.1E-1,3.1E-1,5.6E-1); +#40=COLOUR_RGB('',3.09804E-1,6.58824E-1,2.E-1); +#41=COLOUR_RGB('',3.2E-1,1.5E-1,0.E0); +#42=COLOUR_RGB('',3.60784E-1,3.1373E-2,1.68627E-1); +#43=COLOUR_RGB('',3.68627E-1,2.E-1,1.21569E-1); +#44=COLOUR_RGB('',3.88235E-1,2.39216E-1,6.11765E-1); +#45=COLOUR_RGB('',4.E-1,4.E-1,4.E-1); +#46=COLOUR_RGB('',4.11765E-1,5.8824E-2,7.8431E-2); +#47=COLOUR_RGB('',4.11765E-1,3.29412E-1,2.19608E-1); +#48=COLOUR_RGB('',4.2E-1,4.2E-1,1.E0); +#49=COLOUR_RGB('',4.4E-1,8.5E-1,1.E0); +#50=COLOUR_RGB('',4.58824E-1,4.5098E-1,3.09804E-1); +#51=COLOUR_RGB('',4.70588E-1,5.09804E-1,5.4902E-1); +#52=COLOUR_RGB('',4.78431E-1,4.90196E-1,4.58824E-1); +#53=COLOUR_RGB('',4.90196E-1,3.60784E-1,2.19608E-1); +#54=COLOUR_RGB('',4.9E-1,4.9E-1,0.E0); +#55=COLOUR_RGB('',4.9E-1,1.E0,0.E0); +#56=COLOUR_RGB('',5.E-1,0.E0,0.E0); +#57=COLOUR_RGB('',5.E-1,0.E0,2.3E-1); +#58=COLOUR_RGB('',5.E-1,5.E-1,5.E-1); +#59=COLOUR_RGB('',5.21569E-1,2.19608E-1,1.68627E-1); +#60=COLOUR_RGB('',5.3E-1,0.E0,0.E0); +#61=COLOUR_RGB('',5.29412E-1,5.80392E-1,6.5098E-1); +#62=COLOUR_RGB('',5.4E-1,0.E0,4.4E-1); +#63=COLOUR_RGB('',5.5E-1,3.E-1,0.E0); +#64=COLOUR_RGB('',5.6E-1,1.E-1,5.E-2); +#65=COLOUR_RGB('',5.60784E-1,5.80392E-1,6.19608E-1); +#66=COLOUR_RGB('',5.68627E-1,5.8824E-2,4.E-1); +#67=COLOUR_RGB('',5.8E-1,2.2E-1,1.E-2); +#68=COLOUR_RGB('',5.88235E-1,1.21569E-1,1.09804E-1); +#69=COLOUR_RGB('',6.E-1,6.E-1,6.E-1); +#70=COLOUR_RGB('',6.11765E-1,5.60784E-1,3.80392E-1); +#71=COLOUR_RGB('',6.11765E-1,6.11765E-1,6.5098E-1); +#72=COLOUR_RGB('',6.2E-1,0.E0,5.5E-1); +#73=COLOUR_RGB('',6.19608E-1,6.39216E-1,6.90196E-1); +#74=COLOUR_RGB('',6.4E-1,0.E0,2.5E-1); +#75=COLOUR_RGB('',6.4E-1,1.E-2,0.E0); +#76=COLOUR_RGB('',6.39216E-1,5.4902E-1,4.78431E-1); +#77=COLOUR_RGB('',6.5098E-1,6.70588E-1,7.09804E-1); +#78=COLOUR_RGB('',6.70588E-1,1.21569E-1,1.09804E-1); +#79=COLOUR_RGB('',6.78431E-1,4.78431E-1,3.09804E-1); +#80=COLOUR_RGB('',6.8E-1,7.5E-1,1.E0); +#81=COLOUR_RGB('',6.952E-1,7.426E-1,7.9E-1); +#82=COLOUR_RGB('',7.09804E-1,7.0588E-2,2.E-1); +#83=COLOUR_RGB('',7.1E-1,3.1E-1,0.E0); +#84=COLOUR_RGB('',7.2E-1,7.2E-1,7.2E-1); +#85=COLOUR_RGB('',7.29412E-1,7.41176E-1,7.29412E-1); +#86=COLOUR_RGB('',7.41176E-1,7.29412E-1,6.70588E-1); +#87=COLOUR_RGB('',7.4E-1,1.E0,2.6E-1); +#88=COLOUR_RGB('',7.5E-1,3.E-2,0.E0); +#89=COLOUR_RGB('',7.4902E-1,8.90196E-1,7.29412E-1); +#90=COLOUR_RGB('',7.6E-1,0.E0,4.7E-1); +#91=COLOUR_RGB('',7.80392E-1,9.0196E-2,7.0588E-2); +#92=COLOUR_RGB('',7.88235E-1,2.19608E-1,5.4902E-1); +#93=COLOUR_RGB('',8.1E-1,4.E-2,0.E0); +#94=COLOUR_RGB('',8.1E-1,6.1E-1,0.E0); +#95=COLOUR_RGB('',8.2E-1,4.E-2,6.6E-1); +#96=COLOUR_RGB('',8.31373E-1,8.5098E-1,8.58824E-1); +#97=COLOUR_RGB('',8.5098E-1,7.29412E-1,5.4902E-1); +#98=COLOUR_RGB('',8.5E-1,8.5E-1,8.5E-1); +#99=COLOUR_RGB('',8.78431E-1,3.68627E-1,1.21569E-1); +#100=COLOUR_RGB('',8.784E-1,9.49E-1,1.E0); +#101=COLOUR_RGB('',8.90196E-1,5.88235E-1,1.41176E-1); +#102=COLOUR_RGB('',9.E-1,1.E0,0.E0); +#103=COLOUR_RGB('',9.09804E-1,6.11765E-1,7.09804E-1); +#104=COLOUR_RGB('',9.37E-1,7.85E-1,0.E0); +#105=COLOUR_RGB('',9.41176E-1,9.29412E-1,9.01961E-1); +#106=COLOUR_RGB('',9.5E-1,2.2E-1,8.1E-1); +#107=COLOUR_RGB('',9.6E-1,3.7E-1,3.E-1); +#108=COLOUR_RGB('',9.6E-1,7.1E-1,3.75E-1); +#109=COLOUR_RGB('',9.80392E-1,1.E0,1.E0); +#110=COLOUR_RGB('',9.88235E-1,1.09804E-1,7.8431E-2); +#111=COLOUR_RGB('',9.88235E-1,7.21569E-1,1.29412E-1); +#112=COLOUR_RGB('',9.88235E-1,9.21569E-1,8.E-1); +#113=DRAUGHTING_PRE_DEFINED_COLOUR('red'); +#114=COLOUR_RGB('',1.E0,0.E0,6.1E-1); +#115=COLOUR_RGB('',1.E0,4.E-2,0.E0); +#116=COLOUR_RGB('',1.E0,2.3E-1,0.E0); +#117=COLOUR_RGB('',1.E0,3.5E-1,5.2E-1); +#118=COLOUR_RGB('',1.E0,3.6E-1,0.E0); +#119=COLOUR_RGB('',1.E0,3.88235E-1,2.11765E-1); +#120=COLOUR_RGB('',1.E0,4.9E-1,3.7E-1); +#121=COLOUR_RGB('',1.E0,5.E-1,0.E0); +#122=COLOUR_RGB('',1.E0,5.6E-1,7.8E-1); +#123=COLOUR_RGB('',1.E0,6.4E-1,4.9E-1); +#124=COLOUR_RGB('',1.E0,6.7E-1,0.E0); +#125=COLOUR_RGB('',1.E0,7.6E-1,6.5E-1); +#126=COLOUR_RGB('',1.E0,8.39216E-1,3.01961E-1); +#127=COLOUR_RGB('',1.E0,8.6E-1,4.E-2); +#128=COLOUR_RGB('',1.E0,9.60784E-1,2.58824E-1); +#129=COLOUR_RGB('',1.E0,9.60784E-1,8.90196E-1); +#130=COLOUR_RGB('',1.E0,9.8E-1,7.2E-1); +#131=DRAUGHTING_PRE_DEFINED_COLOUR('yellow'); +#132=COLOUR_RGB('',1.E0,1.E0,3.9216E-2); +#133=DRAUGHTING_PRE_DEFINED_COLOUR('white'); +#270=CIRCLE('',#269,5.E-1); +#279=CIRCLE('',#278,4.5E-1); +#288=B_SPLINE_CURVE_WITH_KNOTS('',3,(#280,#281,#282,#283,#284,#285,#286,#287), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#293=CIRCLE('',#292,4.5E-1); +#298=CIRCLE('',#297,9.5E-1); +#315=CIRCLE('',#314,9.5E-1); +#320=CIRCLE('',#319,9.5E-1); +#337=CIRCLE('',#336,9.5E-1); +#342=CIRCLE('',#341,9.5E-1); +#359=CIRCLE('',#358,9.5E-1); +#364=CIRCLE('',#363,9.5E-1); +#381=CIRCLE('',#380,9.5E-1); +#386=CIRCLE('',#385,9.5E-1); +#403=CIRCLE('',#402,9.5E-1); +#408=CIRCLE('',#407,9.5E-1); +#425=CIRCLE('',#424,9.5E-1); +#430=CIRCLE('',#429,9.5E-1); +#447=CIRCLE('',#446,9.5E-1); +#452=CIRCLE('',#451,9.5E-1); +#469=CIRCLE('',#468,9.5E-1); +#474=CIRCLE('',#473,9.5E-1); +#491=CIRCLE('',#490,9.5E-1); +#496=CIRCLE('',#495,9.5E-1); +#513=CIRCLE('',#512,9.5E-1); +#518=CIRCLE('',#517,9.5E-1); +#535=CIRCLE('',#534,9.5E-1); +#540=CIRCLE('',#539,9.5E-1); +#557=CIRCLE('',#556,9.5E-1); +#562=CIRCLE('',#561,9.5E-1); +#579=CIRCLE('',#578,9.5E-1); +#584=CIRCLE('',#583,9.5E-1); +#601=CIRCLE('',#600,9.5E-1); +#606=CIRCLE('',#605,9.5E-1); +#623=CIRCLE('',#622,9.5E-1); +#628=CIRCLE('',#627,9.5E-1); +#645=CIRCLE('',#644,9.5E-1); +#650=CIRCLE('',#649,9.5E-1); +#667=CIRCLE('',#666,9.5E-1); +#672=CIRCLE('',#671,9.5E-1); +#689=CIRCLE('',#688,9.5E-1); +#694=CIRCLE('',#693,9.5E-1); +#711=CIRCLE('',#710,9.5E-1); +#720=CIRCLE('',#719,9.5E-1); +#737=CIRCLE('',#736,9.5E-1); +#746=CIRCLE('',#745,4.5E-1); +#755=B_SPLINE_CURVE_WITH_KNOTS('',3,(#747,#748,#749,#750,#751,#752,#753,#754), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#760=CIRCLE('',#759,5.E-1); +#769=CIRCLE('',#768,1.E0); +#774=CIRCLE('',#773,3.25E0); +#783=CIRCLE('',#782,3.25E0); +#792=CIRCLE('',#791,1.E0); +#797=CIRCLE('',#796,1.E0); +#806=CIRCLE('',#805,3.25E0); +#815=CIRCLE('',#814,3.25E0); +#824=CIRCLE('',#823,1.E0); +#829=CIRCLE('',#828,1.E0); +#838=CIRCLE('',#837,3.25E0); +#847=CIRCLE('',#846,3.25E0); +#856=CIRCLE('',#855,1.E0); +#861=CIRCLE('',#860,1.E0); +#870=CIRCLE('',#869,3.25E0); +#879=CIRCLE('',#878,3.25E0); +#884=CIRCLE('',#883,1.E0); +#889=CIRCLE('',#888,4.5E0); +#894=CIRCLE('',#893,4.5E0); +#903=CIRCLE('',#902,4.5E0); +#908=CIRCLE('',#907,4.5E0); +#1817=CIRCLE('',#1816,6.5E-1); +#1822=CIRCLE('',#1821,6.5E-1); +#1827=CIRCLE('',#1826,6.5E-1); +#1832=CIRCLE('',#1831,6.5E-1); +#1837=CIRCLE('',#1836,6.5E-1); +#1842=CIRCLE('',#1841,6.5E-1); +#1847=CIRCLE('',#1846,6.5E-1); +#1852=CIRCLE('',#1851,6.5E-1); +#1857=CIRCLE('',#1856,6.5E-1); +#1862=CIRCLE('',#1861,6.5E-1); +#1867=CIRCLE('',#1866,6.5E-1); +#1872=CIRCLE('',#1871,6.5E-1); +#1877=CIRCLE('',#1876,6.5E-1); +#1882=CIRCLE('',#1881,6.5E-1); +#1887=CIRCLE('',#1886,6.5E-1); +#1892=CIRCLE('',#1891,6.5E-1); +#1897=CIRCLE('',#1896,6.5E-1); +#1902=CIRCLE('',#1901,6.5E-1); +#1907=CIRCLE('',#1906,6.5E-1); +#1912=CIRCLE('',#1911,6.5E-1); +#1917=CIRCLE('',#1916,6.5E-1); +#1922=CIRCLE('',#1921,6.5E-1); +#1927=CIRCLE('',#1926,6.5E-1); +#1932=CIRCLE('',#1931,6.5E-1); +#1937=CIRCLE('',#1936,6.5E-1); +#1942=CIRCLE('',#1941,6.5E-1); +#1947=CIRCLE('',#1946,6.5E-1); +#1952=CIRCLE('',#1951,6.5E-1); +#1957=CIRCLE('',#1956,6.5E-1); +#1962=CIRCLE('',#1961,6.5E-1); +#1967=CIRCLE('',#1966,6.5E-1); +#1972=CIRCLE('',#1971,6.5E-1); +#1977=CIRCLE('',#1976,6.5E-1); +#1982=CIRCLE('',#1981,6.5E-1); +#1987=CIRCLE('',#1986,6.5E-1); +#1992=CIRCLE('',#1991,6.5E-1); +#1997=CIRCLE('',#1996,6.5E-1); +#2002=CIRCLE('',#2001,6.5E-1); +#2007=CIRCLE('',#2006,6.5E-1); +#2012=CIRCLE('',#2011,6.5E-1); +#2017=CIRCLE('',#2016,6.5E-1); +#2022=CIRCLE('',#2021,6.5E-1); +#2027=CIRCLE('',#2026,6.5E-1); +#2032=CIRCLE('',#2031,6.5E-1); +#2037=CIRCLE('',#2036,6.5E-1); +#2042=CIRCLE('',#2041,6.5E-1); +#2051=CIRCLE('',#2050,4.5E-1); +#2060=CIRCLE('',#2059,4.5E-1); +#2069=CIRCLE('',#2068,5.E-1); +#2074=CIRCLE('',#2073,5.E-1); +#2083=CIRCLE('',#2082,4.5E-1); +#2092=CIRCLE('',#2091,4.5E-1); +#2101=CIRCLE('',#2100,5.E-1); +#2106=CIRCLE('',#2105,5.E-1); +#2115=CIRCLE('',#2114,4.5E-1); +#2124=CIRCLE('',#2123,4.5E-1); +#2133=CIRCLE('',#2132,5.E-1); +#2138=CIRCLE('',#2137,5.E-1); +#2147=CIRCLE('',#2146,4.5E-1); +#2156=CIRCLE('',#2155,4.5E-1); +#2165=CIRCLE('',#2164,5.E-1); +#2170=CIRCLE('',#2169,5.E-1); +#2179=CIRCLE('',#2178,4.5E-1); +#2188=CIRCLE('',#2187,4.5E-1); +#2197=CIRCLE('',#2196,5.E-1); +#2202=CIRCLE('',#2201,5.E-1); +#2211=CIRCLE('',#2210,4.5E-1); +#2220=CIRCLE('',#2219,4.5E-1); +#2229=CIRCLE('',#2228,5.E-1); +#2234=CIRCLE('',#2233,5.E-1); +#2243=CIRCLE('',#2242,4.5E-1); +#2252=CIRCLE('',#2251,4.5E-1); +#2261=CIRCLE('',#2260,5.E-1); +#2266=CIRCLE('',#2265,5.E-1); +#2275=CIRCLE('',#2274,4.5E-1); +#2284=CIRCLE('',#2283,4.5E-1); +#2293=CIRCLE('',#2292,5.E-1); +#2298=CIRCLE('',#2297,5.E-1); +#2307=CIRCLE('',#2306,4.5E-1); +#2316=CIRCLE('',#2315,4.5E-1); +#2325=CIRCLE('',#2324,5.E-1); +#2330=CIRCLE('',#2329,5.E-1); +#2339=CIRCLE('',#2338,4.5E-1); +#2348=CIRCLE('',#2347,4.5E-1); +#2357=CIRCLE('',#2356,5.E-1); +#2362=CIRCLE('',#2361,5.E-1); +#2371=CIRCLE('',#2370,4.5E-1); +#2380=CIRCLE('',#2379,4.5E-1); +#2389=CIRCLE('',#2388,5.E-1); +#2394=CIRCLE('',#2393,5.E-1); +#2403=CIRCLE('',#2402,4.5E-1); +#2412=CIRCLE('',#2411,4.5E-1); +#2421=CIRCLE('',#2420,5.E-1); +#2426=CIRCLE('',#2425,5.E-1); +#2435=CIRCLE('',#2434,4.5E-1); +#2444=CIRCLE('',#2443,4.5E-1); +#2453=CIRCLE('',#2452,5.E-1); +#2458=CIRCLE('',#2457,5.E-1); +#2467=CIRCLE('',#2466,4.5E-1); +#2476=CIRCLE('',#2475,4.5E-1); +#2485=CIRCLE('',#2484,5.E-1); +#2490=CIRCLE('',#2489,5.E-1); +#2499=CIRCLE('',#2498,4.5E-1); +#2508=CIRCLE('',#2507,4.5E-1); +#2517=CIRCLE('',#2516,5.E-1); +#2522=CIRCLE('',#2521,5.E-1); +#2531=CIRCLE('',#2530,4.5E-1); +#2540=CIRCLE('',#2539,4.5E-1); +#2549=CIRCLE('',#2548,5.E-1); +#2554=CIRCLE('',#2553,5.E-1); +#2563=CIRCLE('',#2562,4.5E-1); +#2572=CIRCLE('',#2571,4.5E-1); +#2581=CIRCLE('',#2580,5.E-1); +#2586=CIRCLE('',#2585,5.E-1); +#2595=CIRCLE('',#2594,4.5E-1); +#2604=CIRCLE('',#2603,4.5E-1); +#2613=CIRCLE('',#2612,5.E-1); +#2618=CIRCLE('',#2617,5.E-1); +#2627=CIRCLE('',#2626,4.5E-1); +#2636=CIRCLE('',#2635,4.5E-1); +#2645=CIRCLE('',#2644,5.E-1); +#2650=CIRCLE('',#2649,5.E-1); +#2659=CIRCLE('',#2658,4.5E-1); +#2668=CIRCLE('',#2667,4.5E-1); +#2677=CIRCLE('',#2676,5.E-1); +#2682=CIRCLE('',#2681,5.E-1); +#2732=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2727,#2728,#2729,#2730,#2731), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#2738=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2733,#2734,#2735,#2736,#2737), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#2744=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2739,#2740,#2741,#2742,#2743), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#2769=CIRCLE('',#2768,5.7E0); +#2778=CIRCLE('',#2777,5.7E0); +#2787=CIRCLE('',#2786,5.7E0); +#2796=CIRCLE('',#2795,5.7E0); +#2829=CIRCLE('',#2828,5.235898384862E0); +#2850=CIRCLE('',#2849,5.235898384862E0); +#2867=CIRCLE('',#2866,5.235898384862E0); +#2884=CIRCLE('',#2883,5.235898384862E0); +#2897=CIRCLE('',#2896,4.7E0); +#2906=CIRCLE('',#2905,4.7E0); +#2939=CIRCLE('',#2938,5.E-1); +#2948=CIRCLE('',#2947,4.5E-1); +#2957=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2949,#2950,#2951,#2952,#2953,#2954,#2955, +#2956),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#2962=CIRCLE('',#2961,4.5E-1); +#2975=CIRCLE('',#2974,4.5E-1); +#2984=CIRCLE('',#2983,4.5E-1); +#2989=CIRCLE('',#2988,4.5E-1); +#3002=CIRCLE('',#3001,4.5E-1); +#3011=CIRCLE('',#3010,4.5E-1); +#3016=CIRCLE('',#3015,4.5E-1); +#3029=CIRCLE('',#3028,5.E-1); +#3038=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3030,#3031,#3032,#3033,#3034,#3035,#3036, +#3037),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#3048=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3039,#3040,#3041,#3042,#3043,#3044,#3045, +#3046,#3047),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#3061=CIRCLE('',#3060,4.7E0); +#3066=CIRCLE('',#3065,4.7E0); +#3071=CIRCLE('',#3070,5.E-1); +#3080=CIRCLE('',#3079,4.5E-1); +#3090=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3081,#3082,#3083,#3084,#3085,#3086,#3087, +#3088,#3089),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#3099=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3091,#3092,#3093,#3094,#3095,#3096,#3097, +#3098),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#3104=CIRCLE('',#3103,4.5E-1); +#3117=CIRCLE('',#3116,4.5E-1); +#3126=CIRCLE('',#3125,4.5E-1); +#3131=CIRCLE('',#3130,4.5E-1); +#3144=CIRCLE('',#3143,4.5E-1); +#3153=CIRCLE('',#3152,4.5E-1); +#3158=CIRCLE('',#3157,4.5E-1); +#3171=CIRCLE('',#3170,5.E-1); +#3182=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3172,#3173,#3174,#3175,#3176,#3177,#3178, +#3179,#3180,#3181),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#3323=CIRCLE('',#3322,5.E-1); +#3332=CIRCLE('',#3331,4.5E-1); +#3341=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3333,#3334,#3335,#3336,#3337,#3338,#3339, +#3340),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#3346=CIRCLE('',#3345,4.5E-1); +#3359=CIRCLE('',#3358,4.5E-1); +#3368=CIRCLE('',#3367,4.5E-1); +#3373=CIRCLE('',#3372,4.5E-1); +#3386=CIRCLE('',#3385,4.5E-1); +#3395=CIRCLE('',#3394,4.5E-1); +#3400=CIRCLE('',#3399,4.5E-1); +#3413=CIRCLE('',#3412,5.E-1); +#3424=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3414,#3415,#3416,#3417,#3418,#3419,#3420, +#3421,#3422,#3423),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#3429=CIRCLE('',#3428,5.E-1); +#3438=CIRCLE('',#3437,4.5E-1); +#3447=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3439,#3440,#3441,#3442,#3443,#3444,#3445, +#3446),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#3452=CIRCLE('',#3451,4.5E-1); +#3465=CIRCLE('',#3464,4.5E-1); +#3474=CIRCLE('',#3473,4.5E-1); +#3479=CIRCLE('',#3478,4.5E-1); +#3492=CIRCLE('',#3491,4.5E-1); +#3501=CIRCLE('',#3500,4.5E-1); +#3506=CIRCLE('',#3505,4.5E-1); +#3519=CIRCLE('',#3518,5.E-1); +#3530=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3520,#3521,#3522,#3523,#3524,#3525,#3526, +#3527,#3528,#3529),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#3535=CIRCLE('',#3534,5.E-1); +#3544=CIRCLE('',#3543,4.5E-1); +#3553=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3545,#3546,#3547,#3548,#3549,#3550,#3551, +#3552),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#3558=CIRCLE('',#3557,4.5E-1); +#3571=CIRCLE('',#3570,4.5E-1); +#3580=CIRCLE('',#3579,4.5E-1); +#3585=CIRCLE('',#3584,4.5E-1); +#3598=CIRCLE('',#3597,4.5E-1); +#3607=CIRCLE('',#3606,4.5E-1); +#3612=CIRCLE('',#3611,4.5E-1); +#3625=CIRCLE('',#3624,5.E-1); +#3636=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3626,#3627,#3628,#3629,#3630,#3631,#3632, +#3633,#3634,#3635),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#3649=CIRCLE('',#3648,4.7E0); +#3658=CIRCLE('',#3657,4.7E0); +#3695=CIRCLE('',#3694,4.7E0); +#3704=CIRCLE('',#3703,4.7E0); +#3709=CIRCLE('',#3708,5.E-1); +#3718=CIRCLE('',#3717,4.5E-1); +#3728=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3719,#3720,#3721,#3722,#3723,#3724,#3725, +#3726,#3727),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#3737=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3729,#3730,#3731,#3732,#3733,#3734,#3735, +#3736),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#3742=CIRCLE('',#3741,4.5E-1); +#3755=CIRCLE('',#3754,4.5E-1); +#3764=CIRCLE('',#3763,4.5E-1); +#3769=CIRCLE('',#3768,4.5E-1); +#3782=CIRCLE('',#3781,4.5E-1); +#3791=CIRCLE('',#3790,4.5E-1); +#3796=CIRCLE('',#3795,4.5E-1); +#3809=CIRCLE('',#3808,5.E-1); +#3820=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3810,#3811,#3812,#3813,#3814,#3815,#3816, +#3817,#3818,#3819),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#3825=CIRCLE('',#3824,5.E-1); +#3834=CIRCLE('',#3833,4.5E-1); +#3843=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3835,#3836,#3837,#3838,#3839,#3840,#3841, +#3842),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#3848=CIRCLE('',#3847,4.5E-1); +#3861=CIRCLE('',#3860,4.5E-1); +#3870=CIRCLE('',#3869,4.5E-1); +#3875=CIRCLE('',#3874,4.5E-1); +#3888=CIRCLE('',#3887,4.5E-1); +#3897=CIRCLE('',#3896,4.5E-1); +#3902=CIRCLE('',#3901,4.5E-1); +#3915=CIRCLE('',#3914,5.E-1); +#3924=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3916,#3917,#3918,#3919,#3920,#3921,#3922, +#3923),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#3934=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3925,#3926,#3927,#3928,#3929,#3930,#3931, +#3932,#3933),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#3939=CIRCLE('',#3938,5.E-1); +#3948=CIRCLE('',#3947,4.5E-1); +#3957=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3949,#3950,#3951,#3952,#3953,#3954,#3955, +#3956),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#3962=CIRCLE('',#3961,4.5E-1); +#3975=CIRCLE('',#3974,4.5E-1); +#3984=CIRCLE('',#3983,4.5E-1); +#3989=CIRCLE('',#3988,4.5E-1); +#4002=CIRCLE('',#4001,4.5E-1); +#4011=CIRCLE('',#4010,4.5E-1); +#4016=CIRCLE('',#4015,4.5E-1); +#4029=CIRCLE('',#4028,5.E-1); +#4040=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4030,#4031,#4032,#4033,#4034,#4035,#4036, +#4037,#4038,#4039),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#4045=CIRCLE('',#4044,5.E-1); +#4054=CIRCLE('',#4053,4.5E-1); +#4063=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4055,#4056,#4057,#4058,#4059,#4060,#4061, +#4062),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#4068=CIRCLE('',#4067,4.5E-1); +#4081=CIRCLE('',#4080,4.5E-1); +#4090=CIRCLE('',#4089,4.5E-1); +#4095=CIRCLE('',#4094,4.5E-1); +#4108=CIRCLE('',#4107,4.5E-1); +#4117=CIRCLE('',#4116,4.5E-1); +#4122=CIRCLE('',#4121,4.5E-1); +#4135=CIRCLE('',#4134,5.E-1); +#4146=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4136,#4137,#4138,#4139,#4140,#4141,#4142, +#4143,#4144,#4145),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#4151=CIRCLE('',#4150,5.E-1); +#4160=CIRCLE('',#4159,4.5E-1); +#4169=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4161,#4162,#4163,#4164,#4165,#4166,#4167, +#4168),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#4174=CIRCLE('',#4173,4.5E-1); +#4187=CIRCLE('',#4186,4.5E-1); +#4196=CIRCLE('',#4195,4.5E-1); +#4201=CIRCLE('',#4200,4.5E-1); +#4214=CIRCLE('',#4213,4.5E-1); +#4223=CIRCLE('',#4222,4.5E-1); +#4228=CIRCLE('',#4227,4.5E-1); +#4241=CIRCLE('',#4240,5.E-1); +#4252=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4242,#4243,#4244,#4245,#4246,#4247,#4248, +#4249,#4250,#4251),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#4257=CIRCLE('',#4256,5.E-1); +#4266=CIRCLE('',#4265,4.5E-1); +#4275=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4267,#4268,#4269,#4270,#4271,#4272,#4273, +#4274),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#4280=CIRCLE('',#4279,4.5E-1); +#4293=CIRCLE('',#4292,4.5E-1); +#4302=CIRCLE('',#4301,4.5E-1); +#4307=CIRCLE('',#4306,4.5E-1); +#4320=CIRCLE('',#4319,4.5E-1); +#4329=CIRCLE('',#4328,4.5E-1); +#4334=CIRCLE('',#4333,4.5E-1); +#4347=CIRCLE('',#4346,5.E-1); +#4358=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4348,#4349,#4350,#4351,#4352,#4353,#4354, +#4355,#4356,#4357),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#4363=CIRCLE('',#4362,5.E-1); +#4372=CIRCLE('',#4371,4.5E-1); +#4381=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4373,#4374,#4375,#4376,#4377,#4378,#4379, +#4380),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#4386=CIRCLE('',#4385,4.5E-1); +#4399=CIRCLE('',#4398,4.5E-1); +#4408=CIRCLE('',#4407,4.5E-1); +#4413=CIRCLE('',#4412,4.5E-1); +#4426=CIRCLE('',#4425,4.5E-1); +#4435=CIRCLE('',#4434,4.5E-1); +#4440=CIRCLE('',#4439,4.5E-1); +#4453=CIRCLE('',#4452,5.E-1); +#4464=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4454,#4455,#4456,#4457,#4458,#4459,#4460, +#4461,#4462,#4463),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#4470=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4465,#4466,#4467,#4468,#4469), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#4479=CIRCLE('',#4478,5.E-1); +#4485=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4480,#4481,#4482,#4483,#4484), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#4490=CIRCLE('',#4489,5.E-1); +#4495=CIRCLE('',#4494,6.2E0); +#4504=CIRCLE('',#4503,6.2E0); +#4513=CIRCLE('',#4512,6.2E0); +#4522=CIRCLE('',#4521,1.E0); +#4527=CIRCLE('',#4526,3.25E0); +#4536=CIRCLE('',#4535,3.25E0); +#4545=CIRCLE('',#4544,1.E0); +#4550=CIRCLE('',#4549,1.E0); +#4559=CIRCLE('',#4558,3.25E0); +#4568=CIRCLE('',#4567,3.25E0); +#4577=CIRCLE('',#4576,1.E0); +#4582=CIRCLE('',#4581,1.E0); +#4591=CIRCLE('',#4590,3.25E0); +#4600=CIRCLE('',#4599,3.25E0); +#4609=CIRCLE('',#4608,1.E0); +#4614=CIRCLE('',#4613,1.E0); +#4623=CIRCLE('',#4622,3.25E0); +#4632=CIRCLE('',#4631,3.25E0); +#4637=CIRCLE('',#4636,1.E0); +#4646=CIRCLE('',#4645,1.085E0); +#4651=CIRCLE('',#4650,1.085E0); +#4656=CIRCLE('',#4655,1.085E0); +#4661=CIRCLE('',#4660,1.085E0); +#4666=CIRCLE('',#4665,1.085E0); +#4671=CIRCLE('',#4670,1.085E0); +#4676=CIRCLE('',#4675,1.085E0); +#4681=CIRCLE('',#4680,1.085E0); +#4726=CIRCLE('',#4725,5.E-1); +#4731=CIRCLE('',#4730,5.E-1); +#4736=CIRCLE('',#4735,6.2E0); +#4745=CIRCLE('',#4744,6.2E0); +#4754=CIRCLE('',#4753,6.2E0); +#4795=CIRCLE('',#4794,5.E-1); +#4800=CIRCLE('',#4799,5.E-1); +#4805=CIRCLE('',#4804,6.2E0); +#4814=CIRCLE('',#4813,6.2E0); +#4823=CIRCLE('',#4822,6.2E0); +#4872=CIRCLE('',#4871,5.E-1); +#4877=CIRCLE('',#4876,5.E-1); +#4882=CIRCLE('',#4881,6.2E0); +#4891=CIRCLE('',#4890,6.2E0); +#4900=CIRCLE('',#4899,6.2E0); +#4934=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4929,#4930,#4931,#4932,#4933), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#4940=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4935,#4936,#4937,#4938,#4939), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#4994=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4989,#4990,#4991,#4992,#4993), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#5019=CIRCLE('',#5018,1.085E0); +#5024=CIRCLE('',#5023,1.085E0); +#5029=CIRCLE('',#5028,1.085E0); +#5034=CIRCLE('',#5033,1.085E0); +#5039=CIRCLE('',#5038,1.085E0); +#5044=CIRCLE('',#5043,1.085E0); +#5049=CIRCLE('',#5048,1.085E0); +#5054=CIRCLE('',#5053,1.085E0); +#5088=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5079,#5080,#5081,#5082,#5083,#5084,#5085, +#5086,#5087),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#5100=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5089,#5090,#5091,#5092,#5093,#5094,#5095, +#5096,#5097,#5098,#5099),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#5106=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5101,#5102,#5103,#5104,#5105), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#5115=CIRCLE('',#5114,5.E-1); +#5124=CIRCLE('',#5123,5.E0); +#5133=CIRCLE('',#5132,5.E0); +#5150=CIRCLE('',#5149,5.E-1); +#5195=CIRCLE('',#5194,3.5E0); +#5248=CIRCLE('',#5247,3.5E0); +#5253=CIRCLE('',#5252,5.E-1); +#5266=CIRCLE('',#5265,4.5E0); +#5275=CIRCLE('',#5274,4.5E0); +#5288=CIRCLE('',#5287,5.E-1); +#5293=CIRCLE('',#5292,3.5E0); +#5346=CIRCLE('',#5345,3.5E0); +#5351=CIRCLE('',#5350,5.E-1); +#5368=CIRCLE('',#5367,5.E-1); +#5373=CIRCLE('',#5372,3.5E0); +#5378=CIRCLE('',#5377,5.E-1); +#5387=CIRCLE('',#5386,4.5E0); +#5396=CIRCLE('',#5395,4.5E0); +#5405=CIRCLE('',#5404,5.E-1); +#5410=CIRCLE('',#5409,3.5E0); +#5415=CIRCLE('',#5414,5.E-1); +#5432=CIRCLE('',#5431,5.E-1); +#5449=CIRCLE('',#5448,1.5E0); +#5456=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5450,#5451,#5452,#5453,#5454,#5455), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5472=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5461,#5462,#5463,#5464,#5465,#5466,#5467, +#5468,#5469,#5470,#5471),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +6.25E-2,1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#5484=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5473,#5474,#5475,#5476,#5477,#5478,#5479, +#5480,#5481,#5482,#5483),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0,2.5E-1, +3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,9.375E-1,1.E0),.UNSPECIFIED.); +#5495=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5489,#5490,#5491,#5492,#5493,#5494), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5502=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5496,#5497,#5498,#5499,#5500,#5501), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5513=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5507,#5508,#5509,#5510,#5511,#5512), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5520=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5514,#5515,#5516,#5517,#5518,#5519), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5531=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5525,#5526,#5527,#5528,#5529,#5530), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5538=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5532,#5533,#5534,#5535,#5536,#5537), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5549=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5543,#5544,#5545,#5546,#5547,#5548), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5556=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5550,#5551,#5552,#5553,#5554,#5555), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5567=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5561,#5562,#5563,#5564,#5565,#5566), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5574=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5568,#5569,#5570,#5571,#5572,#5573), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5585=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5579,#5580,#5581,#5582,#5583,#5584), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5592=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5586,#5587,#5588,#5589,#5590,#5591), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5603=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5597,#5598,#5599,#5600,#5601,#5602), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5610=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5604,#5605,#5606,#5607,#5608,#5609), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5621=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5615,#5616,#5617,#5618,#5619,#5620), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5635=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5622,#5623,#5624,#5625,#5626,#5627,#5628, +#5629,#5630,#5631,#5632,#5633,#5634),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,6.25E-2,1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,9.375E-1, +1.E0),.UNSPECIFIED.); +#5644=CIRCLE('',#5643,6.5E-1); +#5649=CIRCLE('',#5648,6.5E-1); +#5671=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5650,#5651,#5652,#5653,#5654,#5655,#5656, +#5657,#5658,#5659,#5660,#5661,#5662,#5663,#5664,#5665,#5666,#5667,#5668,#5669, +#5670),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +5.555555555556E-2,1.111111111111E-1,1.666666666667E-1,2.222222222222E-1, +2.777777777778E-1,3.333333333333E-1,3.888888888889E-1,4.444444444444E-1,5.E-1, +5.555555555556E-1,6.111111111111E-1,6.666666666667E-1,7.222222222222E-1, +7.777777777778E-1,8.333333333333E-1,8.888888888889E-1,9.444444444444E-1,1.E0), +.UNSPECIFIED.); +#5693=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5672,#5673,#5674,#5675,#5676,#5677,#5678, +#5679,#5680,#5681,#5682,#5683,#5684,#5685,#5686,#5687,#5688,#5689,#5690,#5691, +#5692),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +5.555555555556E-2,1.111111111111E-1,1.666666666667E-1,2.222222222222E-1, +2.777777777778E-1,3.333333333333E-1,3.888888888889E-1,4.444444444444E-1,5.E-1, +5.555555555556E-1,6.111111111111E-1,6.666666666667E-1,7.222222222222E-1, +7.777777777778E-1,8.333333333333E-1,8.888888888889E-1,9.444444444444E-1,1.E0), +.UNSPECIFIED.); +#5715=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5694,#5695,#5696,#5697,#5698,#5699,#5700, +#5701,#5702,#5703,#5704,#5705,#5706,#5707,#5708,#5709,#5710,#5711,#5712,#5713, +#5714),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +5.555555555556E-2,1.111111111111E-1,1.666666666667E-1,2.222222222222E-1, +2.777777777778E-1,3.333333333333E-1,3.888888888889E-1,4.444444444444E-1,5.E-1, +5.555555555556E-1,6.111111111111E-1,6.666666666667E-1,7.222222222222E-1, +7.777777777778E-1,8.333333333333E-1,8.888888888889E-1,9.444444444444E-1,1.E0), +.UNSPECIFIED.); +#5737=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5716,#5717,#5718,#5719,#5720,#5721,#5722, +#5723,#5724,#5725,#5726,#5727,#5728,#5729,#5730,#5731,#5732,#5733,#5734,#5735, +#5736),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +5.555555555556E-2,1.111111111111E-1,1.666666666667E-1,2.222222222222E-1, +2.777777777778E-1,3.333333333333E-1,3.888888888889E-1,4.444444444444E-1,5.E-1, +5.555555555556E-1,6.111111111111E-1,6.666666666667E-1,7.222222222222E-1, +7.777777777778E-1,8.333333333333E-1,8.888888888889E-1,9.444444444444E-1,1.E0), +.UNSPECIFIED.); +#5759=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5738,#5739,#5740,#5741,#5742,#5743,#5744, +#5745,#5746,#5747,#5748,#5749,#5750,#5751,#5752,#5753,#5754,#5755,#5756,#5757, +#5758),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +5.555555555556E-2,1.111111111111E-1,1.666666666667E-1,2.222222222222E-1, +2.777777777778E-1,3.333333333333E-1,3.888888888889E-1,4.444444444444E-1,5.E-1, +5.555555555556E-1,6.111111111111E-1,6.666666666667E-1,7.222222222222E-1, +7.777777777778E-1,8.333333333333E-1,8.888888888889E-1,9.444444444444E-1,1.E0), +.UNSPECIFIED.); +#5781=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5760,#5761,#5762,#5763,#5764,#5765,#5766, +#5767,#5768,#5769,#5770,#5771,#5772,#5773,#5774,#5775,#5776,#5777,#5778,#5779, +#5780),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +5.555555555556E-2,1.111111111111E-1,1.666666666667E-1,2.222222222222E-1, +2.777777777778E-1,3.333333333333E-1,3.888888888889E-1,4.444444444444E-1,5.E-1, +5.555555555556E-1,6.111111111111E-1,6.666666666667E-1,7.222222222222E-1, +7.777777777778E-1,8.333333333333E-1,8.888888888889E-1,9.444444444444E-1,1.E0), +.UNSPECIFIED.); +#5803=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5782,#5783,#5784,#5785,#5786,#5787,#5788, +#5789,#5790,#5791,#5792,#5793,#5794,#5795,#5796,#5797,#5798,#5799,#5800,#5801, +#5802),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +5.555555555556E-2,1.111111111111E-1,1.666666666667E-1,2.222222222222E-1, +2.777777777778E-1,3.333333333333E-1,3.888888888889E-1,4.444444444444E-1,5.E-1, +5.555555555556E-1,6.111111111111E-1,6.666666666667E-1,7.222222222222E-1, +7.777777777778E-1,8.333333333333E-1,8.888888888889E-1,9.444444444444E-1,1.E0), +.UNSPECIFIED.); +#5825=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5804,#5805,#5806,#5807,#5808,#5809,#5810, +#5811,#5812,#5813,#5814,#5815,#5816,#5817,#5818,#5819,#5820,#5821,#5822,#5823, +#5824),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +5.555555555556E-2,1.111111111111E-1,1.666666666667E-1,2.222222222222E-1, +2.777777777778E-1,3.333333333333E-1,3.888888888889E-1,4.444444444444E-1,5.E-1, +5.555555555556E-1,6.111111111111E-1,6.666666666667E-1,7.222222222222E-1, +7.777777777778E-1,8.333333333333E-1,8.888888888889E-1,9.444444444444E-1,1.E0), +.UNSPECIFIED.); +#5847=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5826,#5827,#5828,#5829,#5830,#5831,#5832, +#5833,#5834,#5835,#5836,#5837,#5838,#5839,#5840,#5841,#5842,#5843,#5844,#5845, +#5846),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +5.555555555556E-2,1.111111111111E-1,1.666666666667E-1,2.222222222222E-1, +2.777777777778E-1,3.333333333333E-1,3.888888888889E-1,4.444444444444E-1,5.E-1, +5.555555555556E-1,6.111111111111E-1,6.666666666667E-1,7.222222222222E-1, +7.777777777778E-1,8.333333333333E-1,8.888888888889E-1,9.444444444444E-1,1.E0), +.UNSPECIFIED.); +#5869=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5848,#5849,#5850,#5851,#5852,#5853,#5854, +#5855,#5856,#5857,#5858,#5859,#5860,#5861,#5862,#5863,#5864,#5865,#5866,#5867, +#5868),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +5.555555555556E-2,1.111111111111E-1,1.666666666667E-1,2.222222222222E-1, +2.777777777778E-1,3.333333333333E-1,3.888888888889E-1,4.444444444444E-1,5.E-1, +5.555555555556E-1,6.111111111111E-1,6.666666666667E-1,7.222222222222E-1, +7.777777777778E-1,8.333333333333E-1,8.888888888889E-1,9.444444444444E-1,1.E0), +.UNSPECIFIED.); +#5891=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5870,#5871,#5872,#5873,#5874,#5875,#5876, +#5877,#5878,#5879,#5880,#5881,#5882,#5883,#5884,#5885,#5886,#5887,#5888,#5889, +#5890),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +5.555555555556E-2,1.111111111111E-1,1.666666666667E-1,2.222222222222E-1, +2.777777777778E-1,3.333333333333E-1,3.888888888889E-1,4.444444444444E-1,5.E-1, +5.555555555556E-1,6.111111111111E-1,6.666666666667E-1,7.222222222222E-1, +7.777777777778E-1,8.333333333333E-1,8.888888888889E-1,9.444444444444E-1,1.E0), +.UNSPECIFIED.); +#5913=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5892,#5893,#5894,#5895,#5896,#5897,#5898, +#5899,#5900,#5901,#5902,#5903,#5904,#5905,#5906,#5907,#5908,#5909,#5910,#5911, +#5912),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +5.555555555556E-2,1.111111111111E-1,1.666666666667E-1,2.222222222222E-1, +2.777777777778E-1,3.333333333333E-1,3.888888888889E-1,4.444444444444E-1,5.E-1, +5.555555555556E-1,6.111111111111E-1,6.666666666667E-1,7.222222222222E-1, +7.777777777778E-1,8.333333333333E-1,8.888888888889E-1,9.444444444444E-1,1.E0), +.UNSPECIFIED.); +#5935=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5914,#5915,#5916,#5917,#5918,#5919,#5920, +#5921,#5922,#5923,#5924,#5925,#5926,#5927,#5928,#5929,#5930,#5931,#5932,#5933, +#5934),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +5.555555555556E-2,1.111111111111E-1,1.666666666667E-1,2.222222222222E-1, +2.777777777778E-1,3.333333333333E-1,3.888888888889E-1,4.444444444444E-1,5.E-1, +5.555555555556E-1,6.111111111111E-1,6.666666666667E-1,7.222222222222E-1, +7.777777777778E-1,8.333333333333E-1,8.888888888889E-1,9.444444444444E-1,1.E0), +.UNSPECIFIED.); +#5957=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5936,#5937,#5938,#5939,#5940,#5941,#5942, +#5943,#5944,#5945,#5946,#5947,#5948,#5949,#5950,#5951,#5952,#5953,#5954,#5955, +#5956),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +5.555555555556E-2,1.111111111111E-1,1.666666666667E-1,2.222222222222E-1, +2.777777777778E-1,3.333333333333E-1,3.888888888889E-1,4.444444444444E-1,5.E-1, +5.555555555556E-1,6.111111111111E-1,6.666666666667E-1,7.222222222222E-1, +7.777777777778E-1,8.333333333333E-1,8.888888888889E-1,9.444444444444E-1,1.E0), +.UNSPECIFIED.); +#5979=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5958,#5959,#5960,#5961,#5962,#5963,#5964, +#5965,#5966,#5967,#5968,#5969,#5970,#5971,#5972,#5973,#5974,#5975,#5976,#5977, +#5978),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +5.555555555556E-2,1.111111111111E-1,1.666666666667E-1,2.222222222222E-1, +2.777777777778E-1,3.333333333333E-1,3.888888888889E-1,4.444444444444E-1,5.E-1, +5.555555555556E-1,6.111111111111E-1,6.666666666667E-1,7.222222222222E-1, +7.777777777778E-1,8.333333333333E-1,8.888888888889E-1,9.444444444444E-1,1.E0), +.UNSPECIFIED.); +#6001=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5980,#5981,#5982,#5983,#5984,#5985,#5986, +#5987,#5988,#5989,#5990,#5991,#5992,#5993,#5994,#5995,#5996,#5997,#5998,#5999, +#6000),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +5.555555555556E-2,1.111111111111E-1,1.666666666667E-1,2.222222222222E-1, +2.777777777778E-1,3.333333333333E-1,3.888888888889E-1,4.444444444444E-1,5.E-1, +5.555555555556E-1,6.111111111111E-1,6.666666666667E-1,7.222222222222E-1, +7.777777777778E-1,8.333333333333E-1,8.888888888889E-1,9.444444444444E-1,1.E0), +.UNSPECIFIED.); +#6010=CIRCLE('',#6009,6.5E-1); +#6015=CIRCLE('',#6014,6.5E-1); +#6022=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6016,#6017,#6018,#6019,#6020,#6021), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#6035=CIRCLE('',#6034,6.5E-1); +#6040=CIRCLE('',#6039,6.5E-1); +#6065=CIRCLE('',#6064,6.5E-1); +#6070=CIRCLE('',#6069,6.5E-1); +#6075=CIRCLE('',#6074,6.5E-1); +#6080=CIRCLE('',#6079,6.5E-1); +#6085=CIRCLE('',#6084,6.5E-1); +#6090=CIRCLE('',#6089,6.5E-1); +#6095=CIRCLE('',#6094,6.5E-1); +#6100=CIRCLE('',#6099,6.5E-1); +#6105=CIRCLE('',#6104,6.5E-1); +#6110=CIRCLE('',#6109,6.5E-1); +#6115=CIRCLE('',#6114,6.5E-1); +#6120=CIRCLE('',#6119,6.5E-1); +#6125=CIRCLE('',#6124,6.5E-1); +#6130=CIRCLE('',#6129,6.5E-1); +#6135=CIRCLE('',#6134,6.5E-1); +#6140=CIRCLE('',#6139,6.5E-1); +#6145=CIRCLE('',#6144,6.5E-1); +#6150=CIRCLE('',#6149,6.5E-1); +#6155=CIRCLE('',#6154,6.5E-1); +#6160=CIRCLE('',#6159,6.5E-1); +#6165=CIRCLE('',#6164,6.5E-1); +#6170=CIRCLE('',#6169,6.5E-1); +#6175=CIRCLE('',#6174,6.5E-1); +#6180=CIRCLE('',#6179,6.5E-1); +#6185=CIRCLE('',#6184,6.5E-1); +#6190=CIRCLE('',#6189,6.5E-1); +#6195=CIRCLE('',#6194,6.5E-1); +#6200=CIRCLE('',#6199,6.5E-1); +#6205=CIRCLE('',#6204,6.5E-1); +#6210=CIRCLE('',#6209,6.5E-1); +#6215=CIRCLE('',#6214,6.5E-1); +#6220=CIRCLE('',#6219,6.5E-1); +#6225=CIRCLE('',#6224,6.5E-1); +#6230=CIRCLE('',#6229,6.5E-1); +#6235=CIRCLE('',#6234,6.5E-1); +#6240=CIRCLE('',#6239,6.5E-1); +#6245=CIRCLE('',#6244,6.5E-1); +#6250=CIRCLE('',#6249,6.5E-1); +#6255=CIRCLE('',#6254,6.5E-1); +#6260=CIRCLE('',#6259,6.5E-1); +#6265=CIRCLE('',#6264,6.5E-1); +#6270=CIRCLE('',#6269,6.5E-1); +#6275=CIRCLE('',#6274,6.5E-1); +#6280=CIRCLE('',#6279,6.5E-1); +#6285=CIRCLE('',#6284,6.5E-1); +#6290=CIRCLE('',#6289,6.5E-1); +#6311=CIRCLE('',#6310,3.3E0); +#6324=CIRCLE('',#6323,1.5E0); +#6353=CIRCLE('',#6352,3.3E0); +#6366=CIRCLE('',#6365,6.5E-1); +#6371=CIRCLE('',#6370,6.5E-1); +#6378=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6372,#6373,#6374,#6375,#6376,#6377), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#6387=CIRCLE('',#6386,6.5E-1); +#6392=CIRCLE('',#6391,6.5E-1); +#6406=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6393,#6394,#6395,#6396,#6397,#6398,#6399, +#6400,#6401,#6402,#6403,#6404,#6405),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,6.25E-2,1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,9.375E-1, +1.E0),.UNSPECIFIED.); +#6419=CIRCLE('',#6418,6.5E-1); +#6424=CIRCLE('',#6423,6.5E-1); +#6429=CIRCLE('',#6428,6.5E-1); +#6434=CIRCLE('',#6433,6.5E-1); +#6443=CIRCLE('',#6442,6.5E-1); +#6448=CIRCLE('',#6447,6.5E-1); +#6455=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6449,#6450,#6451,#6452,#6453,#6454), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#6464=CIRCLE('',#6463,6.5E-1); +#6469=CIRCLE('',#6468,6.5E-1); +#6483=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6470,#6471,#6472,#6473,#6474,#6475,#6476, +#6477,#6478,#6479,#6480,#6481,#6482),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,6.25E-2,1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,9.375E-1, +1.E0),.UNSPECIFIED.); +#6496=CIRCLE('',#6495,6.5E-1); +#6501=CIRCLE('',#6500,6.5E-1); +#6506=CIRCLE('',#6505,6.5E-1); +#6511=CIRCLE('',#6510,6.5E-1); +#6520=CIRCLE('',#6519,6.5E-1); +#6525=CIRCLE('',#6524,6.5E-1); +#6532=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6526,#6527,#6528,#6529,#6530,#6531), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#6541=CIRCLE('',#6540,6.5E-1); +#6546=CIRCLE('',#6545,6.5E-1); +#6560=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6547,#6548,#6549,#6550,#6551,#6552,#6553, +#6554,#6555,#6556,#6557,#6558,#6559),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,6.25E-2,1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,9.375E-1, +1.E0),.UNSPECIFIED.); +#6573=CIRCLE('',#6572,6.5E-1); +#6578=CIRCLE('',#6577,6.5E-1); +#6583=CIRCLE('',#6582,6.5E-1); +#6588=CIRCLE('',#6587,6.5E-1); +#6597=CIRCLE('',#6596,6.5E-1); +#6602=CIRCLE('',#6601,6.5E-1); +#6609=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6603,#6604,#6605,#6606,#6607,#6608), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#6618=CIRCLE('',#6617,6.5E-1); +#6623=CIRCLE('',#6622,6.5E-1); +#6637=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6624,#6625,#6626,#6627,#6628,#6629,#6630, +#6631,#6632,#6633,#6634,#6635,#6636),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,6.25E-2,1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,9.375E-1, +1.E0),.UNSPECIFIED.); +#6650=CIRCLE('',#6649,6.5E-1); +#6655=CIRCLE('',#6654,6.5E-1); +#6660=CIRCLE('',#6659,6.5E-1); +#6665=CIRCLE('',#6664,6.5E-1); +#6674=CIRCLE('',#6673,6.5E-1); +#6679=CIRCLE('',#6678,6.5E-1); +#6686=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6680,#6681,#6682,#6683,#6684,#6685), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#6695=CIRCLE('',#6694,6.5E-1); +#6700=CIRCLE('',#6699,6.5E-1); +#6714=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6701,#6702,#6703,#6704,#6705,#6706,#6707, +#6708,#6709,#6710,#6711,#6712,#6713),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,6.25E-2,1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,9.375E-1, +1.E0),.UNSPECIFIED.); +#6727=CIRCLE('',#6726,6.5E-1); +#6732=CIRCLE('',#6731,6.5E-1); +#6737=CIRCLE('',#6736,6.5E-1); +#6742=CIRCLE('',#6741,6.5E-1); +#6751=CIRCLE('',#6750,6.5E-1); +#6756=CIRCLE('',#6755,6.5E-1); +#6763=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6757,#6758,#6759,#6760,#6761,#6762), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#6772=CIRCLE('',#6771,6.5E-1); +#6777=CIRCLE('',#6776,6.5E-1); +#6791=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6778,#6779,#6780,#6781,#6782,#6783,#6784, +#6785,#6786,#6787,#6788,#6789,#6790),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,6.25E-2,1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,9.375E-1, +1.E0),.UNSPECIFIED.); +#6804=CIRCLE('',#6803,6.5E-1); +#6809=CIRCLE('',#6808,6.5E-1); +#6814=CIRCLE('',#6813,6.5E-1); +#6819=CIRCLE('',#6818,6.5E-1); +#6828=CIRCLE('',#6827,6.5E-1); +#6833=CIRCLE('',#6832,6.5E-1); +#6840=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6834,#6835,#6836,#6837,#6838,#6839), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#6849=CIRCLE('',#6848,6.5E-1); +#6854=CIRCLE('',#6853,6.5E-1); +#6868=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6855,#6856,#6857,#6858,#6859,#6860,#6861, +#6862,#6863,#6864,#6865,#6866,#6867),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,6.25E-2,1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,9.375E-1, +1.E0),.UNSPECIFIED.); +#6881=CIRCLE('',#6880,6.5E-1); +#6886=CIRCLE('',#6885,6.5E-1); +#6891=CIRCLE('',#6890,6.5E-1); +#6896=CIRCLE('',#6895,6.5E-1); +#6909=CIRCLE('',#6908,6.5E-1); +#6914=CIRCLE('',#6913,6.5E-1); +#6927=CIRCLE('',#6926,6.5E-1); +#6932=CIRCLE('',#6931,6.5E-1); +#6945=CIRCLE('',#6944,6.5E-1); +#6950=CIRCLE('',#6949,6.5E-1); +#6963=CIRCLE('',#6962,6.5E-1); +#6968=CIRCLE('',#6967,6.5E-1); +#6981=CIRCLE('',#6980,6.5E-1); +#6986=CIRCLE('',#6985,6.5E-1); +#6999=CIRCLE('',#6998,6.5E-1); +#7004=CIRCLE('',#7003,6.5E-1); +#7017=CIRCLE('',#7016,6.5E-1); +#7022=CIRCLE('',#7021,6.5E-1); +#7035=CIRCLE('',#7034,6.5E-1); +#7040=CIRCLE('',#7039,6.5E-1); +#7053=CIRCLE('',#7052,6.5E-1); +#7058=CIRCLE('',#7057,6.5E-1); +#7071=CIRCLE('',#7070,6.5E-1); +#7076=CIRCLE('',#7075,6.5E-1); +#7089=CIRCLE('',#7088,6.5E-1); +#7094=CIRCLE('',#7093,6.5E-1); +#7107=CIRCLE('',#7106,6.5E-1); +#7112=CIRCLE('',#7111,6.5E-1); +#7125=CIRCLE('',#7124,6.5E-1); +#7130=CIRCLE('',#7129,6.5E-1); +#7143=CIRCLE('',#7142,6.5E-1); +#7148=CIRCLE('',#7147,6.5E-1); +#7161=CIRCLE('',#7160,6.5E-1); +#7166=CIRCLE('',#7165,6.5E-1); +#7175=CIRCLE('',#7174,6.5E-1); +#7180=CIRCLE('',#7179,6.5E-1); +#7185=CIRCLE('',#7184,5.E-1); +#7198=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7190,#7191,#7192,#7193,#7194,#7195,#7196, +#7197),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#7215=CIRCLE('',#7214,5.E-1); +#7227=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7216,#7217,#7218,#7219,#7220,#7221,#7222, +#7223,#7224,#7225,#7226),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#7232=CIRCLE('',#7231,3.E0); +#7237=CIRCLE('',#7236,3.E0); +#7246=CIRCLE('',#7245,1.E0); +#7251=CIRCLE('',#7250,1.E0); +#7256=CIRCLE('',#7255,5.E-1); +#7261=CIRCLE('',#7260,2.5E0); +#7266=CIRCLE('',#7265,1.5E0); +#7283=CIRCLE('',#7282,1.5E0); +#7288=CIRCLE('',#7287,2.5E0); +#7317=CIRCLE('',#7316,1.5E0); +#7322=CIRCLE('',#7321,2.5E0); +#7347=CIRCLE('',#7346,2.5E0); +#7352=CIRCLE('',#7351,1.5E0); +#7369=CIRCLE('',#7368,1.5E0); +#7374=CIRCLE('',#7373,2.5E0); +#7379=CIRCLE('',#7378,1.5E0); +#7396=CIRCLE('',#7395,1.5E0); +#7401=CIRCLE('',#7400,2.5E0); +#7406=CIRCLE('',#7405,1.5E0); +#7419=CIRCLE('',#7418,5.E-1); +#7428=CIRCLE('',#7427,5.E-1); +#7433=CIRCLE('',#7432,5.E-1); +#7446=CIRCLE('',#7445,5.E-1); +#7463=CIRCLE('',#7462,1.E0); +#7472=CIRCLE('',#7471,5.E-1); +#7483=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7473,#7474,#7475,#7476,#7477,#7478,#7479, +#7480,#7481,#7482),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#7488=CIRCLE('',#7487,5.E-1); +#7493=CIRCLE('',#7492,5.E-1); +#7498=CIRCLE('',#7497,5.E-1); +#7509=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7499,#7500,#7501,#7502,#7503,#7504,#7505, +#7506,#7507,#7508),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#7514=CIRCLE('',#7513,5.E-1); +#7519=CIRCLE('',#7518,5.E-1); +#7524=CIRCLE('',#7523,5.E-1); +#7529=CIRCLE('',#7528,5.E-1); +#7534=CIRCLE('',#7533,5.E-1); +#7539=CIRCLE('',#7538,4.999917032706E-1); +#7548=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7540,#7541,#7542,#7543,#7544,#7545,#7546, +#7547),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#7567=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7561,#7562,#7563,#7564,#7565,#7566), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#7576=CIRCLE('',#7575,5.E-1); +#7581=CIRCLE('',#7580,5.E-1); +#7586=CIRCLE('',#7585,5.E-1); +#7591=CIRCLE('',#7590,5.E-1); +#7604=CIRCLE('',#7603,1.E0); +#7617=CIRCLE('',#7616,1.E0); +#7622=CIRCLE('',#7621,1.E0); +#7627=CIRCLE('',#7626,3.E0); +#7636=CIRCLE('',#7635,3.E0); +#7645=CIRCLE('',#7644,1.E0); +#7650=CIRCLE('',#7649,1.E0); +#7660=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7651,#7652,#7653,#7654,#7655,#7656,#7657, +#7658,#7659),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7669=CIRCLE('',#7668,5.E0); +#7674=CIRCLE('',#7673,5.E0); +#7679=CIRCLE('',#7678,5.E-1); +#7689=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7684,#7685,#7686,#7687,#7688), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#7701=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7690,#7691,#7692,#7693,#7694,#7695,#7696, +#7697,#7698,#7699,#7700),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#7711=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7702,#7703,#7704,#7705,#7706,#7707,#7708, +#7709,#7710),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7716=CIRCLE('',#7715,5.E-1); +#7721=CIRCLE('',#7720,5.E-1); +#7734=CIRCLE('',#7733,5.E-1); +#7739=CIRCLE('',#7738,5.E-1); +#7744=CIRCLE('',#7743,5.E-1); +#7757=CIRCLE('',#7756,5.E-1); +#7762=CIRCLE('',#7761,5.E-1); +#7767=CIRCLE('',#7766,5.E-1); +#7780=CIRCLE('',#7779,5.E-1); +#7785=CIRCLE('',#7784,5.E-1); +#7794=CIRCLE('',#7793,5.E-1); +#7807=CIRCLE('',#7806,1.E0); +#7820=CIRCLE('',#7819,5.E-1); +#7831=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7821,#7822,#7823,#7824,#7825,#7826,#7827, +#7828,#7829,#7830),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#7836=CIRCLE('',#7835,5.E-1); +#7841=CIRCLE('',#7840,5.E-1); +#7852=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7842,#7843,#7844,#7845,#7846,#7847,#7848, +#7849,#7850,#7851),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#7869=CIRCLE('',#7868,1.E0); +#7878=CIRCLE('',#7877,5.E-1); +#7883=CIRCLE('',#7882,5.E-1); +#7888=CIRCLE('',#7887,5.E-1); +#7893=CIRCLE('',#7892,5.E-1); +#7904=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7898,#7899,#7900,#7901,#7902,#7903), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#7917=CIRCLE('',#7916,5.000000000040E-1); +#7926=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7918,#7919,#7920,#7921,#7922,#7923,#7924, +#7925),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#7931=CIRCLE('',#7930,4.999963930548E-1); +#7941=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7932,#7933,#7934,#7935,#7936,#7937,#7938, +#7939,#7940),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7946=CIRCLE('',#7945,5.E-1); +#7951=CIRCLE('',#7950,5.E-1); +#7956=CIRCLE('',#7955,5.E-1); +#7961=CIRCLE('',#7960,5.E-1); +#7966=CIRCLE('',#7965,5.E-1); +#7971=CIRCLE('',#7970,5.E-1); +#7976=CIRCLE('',#7975,1.E0); +#7981=CIRCLE('',#7980,1.E0); +#7986=CIRCLE('',#7985,3.E0); +#7995=CIRCLE('',#7994,3.E0); +#8004=CIRCLE('',#8003,1.E0); +#8009=CIRCLE('',#8008,1.E0); +#8014=CIRCLE('',#8013,5.E-1); +#8019=CIRCLE('',#8018,5.E-1); +#8028=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8020,#8021,#8022,#8023,#8024,#8025,#8026, +#8027),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#8048=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8037,#8038,#8039,#8040,#8041,#8042,#8043, +#8044,#8045,#8046,#8047),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#8053=CIRCLE('',#8052,5.E-1); +#8058=CIRCLE('',#8057,1.E0); +#8063=CIRCLE('',#8062,1.E0); +#8068=CIRCLE('',#8067,3.E0); +#8077=CIRCLE('',#8076,3.E0); +#8398=CIRCLE('',#8397,1.E0); +#8403=CIRCLE('',#8402,1.E0); +#8412=CIRCLE('',#8411,1.E0); +#8421=CIRCLE('',#8420,1.E0); +#8430=CIRCLE('',#8429,1.E0); +#8443=CIRCLE('',#8442,1.E0); +#8448=CIRCLE('',#8447,1.E0); +#8457=CIRCLE('',#8456,1.E0); +#8470=CIRCLE('',#8469,1.E0); +#8483=CIRCLE('',#8482,1.E0); +#8494=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8488,#8489,#8490,#8491,#8492,#8493), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#8504=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8495,#8496,#8497,#8498,#8499,#8500,#8501, +#8502,#8503),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#8515=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8509,#8510,#8511,#8512,#8513,#8514), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#8524=CIRCLE('',#8523,1.E0); +#8529=CIRCLE('',#8528,1.E0); +#8538=CIRCLE('',#8537,1.E0); +#8547=CIRCLE('',#8546,4.25E-1); +#8552=CIRCLE('',#8551,4.25E-1); +#8557=CIRCLE('',#8556,4.25E-1); +#8562=CIRCLE('',#8561,4.25E-1); +#8575=CIRCLE('',#8574,1.E0); +#8584=CIRCLE('',#8583,1.E0); +#8593=CIRCLE('',#8592,1.E0); +#8600=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8594,#8595,#8596,#8597,#8598,#8599), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#8610=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8601,#8602,#8603,#8604,#8605,#8606,#8607, +#8608,#8609),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#8621=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8615,#8616,#8617,#8618,#8619,#8620), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#8630=CIRCLE('',#8629,1.E0); +#8635=CIRCLE('',#8634,1.E0); +#8648=CIRCLE('',#8647,5.E-1); +#8657=CIRCLE('',#8656,5.E-1); +#8662=CIRCLE('',#8661,5.E-1); +#8667=CIRCLE('',#8666,5.E-1); +#8672=CIRCLE('',#8671,5.E-1); +#8685=CIRCLE('',#8684,1.E0); +#8698=CIRCLE('',#8697,5.E-1); +#8709=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8699,#8700,#8701,#8702,#8703,#8704,#8705, +#8706,#8707,#8708),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#8714=CIRCLE('',#8713,5.E-1); +#8719=CIRCLE('',#8718,5.E-1); +#8724=CIRCLE('',#8723,5.E-1); +#8729=CIRCLE('',#8728,5.E0); +#8734=CIRCLE('',#8733,5.E0); +#8755=CIRCLE('',#8754,5.E-1); +#8760=CIRCLE('',#8759,5.E-1); +#8765=CIRCLE('',#8764,5.E0); +#8770=CIRCLE('',#8769,5.E0); +#8779=CIRCLE('',#8778,5.E-1); +#8790=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8780,#8781,#8782,#8783,#8784,#8785,#8786, +#8787,#8788,#8789),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#8807=CIRCLE('',#8806,1.E0); +#8816=CIRCLE('',#8815,5.E-1); +#8821=CIRCLE('',#8820,5.E-1); +#8826=CIRCLE('',#8825,5.E-1); +#8831=CIRCLE('',#8830,5.E-1); +#8856=CIRCLE('',#8855,5.E-1); +#8861=CIRCLE('',#8860,5.E-1); +#8866=CIRCLE('',#8865,5.E-1); +#8871=CIRCLE('',#8870,5.E-1); +#8876=CIRCLE('',#8875,5.E-1); +#8881=CIRCLE('',#8880,1.E0); +#8886=CIRCLE('',#8885,1.E0); +#8891=CIRCLE('',#8890,3.E0); +#8896=CIRCLE('',#8895,3.E0); +#8905=CIRCLE('',#8904,5.E-1); +#8910=CIRCLE('',#8909,5.E-1); +#8915=CIRCLE('',#8914,5.E-1); +#8932=CIRCLE('',#8931,3.E0); +#8941=CIRCLE('',#8940,3.E0); +#8950=CIRCLE('',#8949,1.E0); +#8955=CIRCLE('',#8954,1.E0); +#8960=CIRCLE('',#8959,5.E-1); +#10773=CIRCLE('',#10772,6.5E-1); +#10778=CIRCLE('',#10777,6.5E-1); +#10791=CIRCLE('',#10790,6.5E-1); +#10796=CIRCLE('',#10795,6.5E-1); +#10809=CIRCLE('',#10808,6.5E-1); +#10814=CIRCLE('',#10813,6.5E-1); +#10827=CIRCLE('',#10826,6.5E-1); +#10832=CIRCLE('',#10831,6.5E-1); +#10845=CIRCLE('',#10844,6.5E-1); +#10850=CIRCLE('',#10849,6.5E-1); +#10863=CIRCLE('',#10862,6.5E-1); +#10868=CIRCLE('',#10867,6.5E-1); +#10881=CIRCLE('',#10880,6.5E-1); +#10886=CIRCLE('',#10885,6.5E-1); +#10899=CIRCLE('',#10898,6.5E-1); +#10904=CIRCLE('',#10903,6.5E-1); +#10917=CIRCLE('',#10916,6.5E-1); +#10922=CIRCLE('',#10921,6.5E-1); +#10935=CIRCLE('',#10934,6.5E-1); +#10940=CIRCLE('',#10939,6.5E-1); +#10953=CIRCLE('',#10952,6.5E-1); +#10958=CIRCLE('',#10957,6.5E-1); +#10971=CIRCLE('',#10970,6.5E-1); +#10976=CIRCLE('',#10975,6.5E-1); +#10989=CIRCLE('',#10988,6.5E-1); +#10994=CIRCLE('',#10993,6.5E-1); +#11007=CIRCLE('',#11006,6.5E-1); +#11012=CIRCLE('',#11011,6.5E-1); +#11025=CIRCLE('',#11024,6.5E-1); +#11030=CIRCLE('',#11029,6.5E-1); +#11043=CIRCLE('',#11042,4.5E-1); +#11048=CIRCLE('',#11047,4.5E-1); +#11053=CIRCLE('',#11052,4.5E-1); +#11070=CIRCLE('',#11069,4.5E-1); +#11075=CIRCLE('',#11074,4.5E-1); +#11080=CIRCLE('',#11079,4.5E-1); +#11103=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11093,#11094,#11095,#11096,#11097, +#11098,#11099,#11100,#11101,#11102),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),( +0.E0,1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#11108=CIRCLE('',#11107,5.E-1); +#11113=CIRCLE('',#11112,5.E-1); +#11124=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11114,#11115,#11116,#11117,#11118, +#11119,#11120,#11121,#11122,#11123),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),( +0.E0,1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#11129=CIRCLE('',#11128,4.5E-1); +#11134=CIRCLE('',#11133,4.5E-1); +#11139=CIRCLE('',#11138,4.5E-1); +#11156=CIRCLE('',#11155,4.5E-1); +#11161=CIRCLE('',#11160,4.5E-1); +#11166=CIRCLE('',#11165,4.5E-1); +#11183=CIRCLE('',#11182,4.5E-1); +#11188=CIRCLE('',#11187,4.5E-1); +#11197=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11189,#11190,#11191,#11192,#11193, +#11194,#11195,#11196),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1, +6.E-1,8.E-1,1.E0),.UNSPECIFIED.); +#11202=CIRCLE('',#11201,5.E-1); +#11219=CIRCLE('',#11218,5.E-1); +#11230=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11220,#11221,#11222,#11223,#11224, +#11225,#11226,#11227,#11228,#11229),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),( +0.E0,1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#11235=CIRCLE('',#11234,4.5E-1); +#11240=CIRCLE('',#11239,4.5E-1); +#11245=CIRCLE('',#11244,4.5E-1); +#11262=CIRCLE('',#11261,4.5E-1); +#11267=CIRCLE('',#11266,4.5E-1); +#11272=CIRCLE('',#11271,4.5E-1); +#11289=CIRCLE('',#11288,4.5E-1); +#11294=CIRCLE('',#11293,4.5E-1); +#11303=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11295,#11296,#11297,#11298,#11299, +#11300,#11301,#11302),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1, +6.E-1,8.E-1,1.E0),.UNSPECIFIED.); +#11308=CIRCLE('',#11307,5.E-1); +#11325=CIRCLE('',#11324,5.E-1); +#11336=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11326,#11327,#11328,#11329,#11330, +#11331,#11332,#11333,#11334,#11335),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),( +0.E0,1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#11341=CIRCLE('',#11340,4.5E-1); +#11346=CIRCLE('',#11345,4.5E-1); +#11351=CIRCLE('',#11350,4.5E-1); +#11368=CIRCLE('',#11367,4.5E-1); +#11373=CIRCLE('',#11372,4.5E-1); +#11378=CIRCLE('',#11377,4.5E-1); +#11395=CIRCLE('',#11394,4.5E-1); +#11400=CIRCLE('',#11399,4.5E-1); +#11409=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11401,#11402,#11403,#11404,#11405, +#11406,#11407,#11408),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1, +6.E-1,8.E-1,1.E0),.UNSPECIFIED.); +#11414=CIRCLE('',#11413,5.E-1); +#11431=CIRCLE('',#11430,5.E-1); +#11442=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11432,#11433,#11434,#11435,#11436, +#11437,#11438,#11439,#11440,#11441),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),( +0.E0,1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#11447=CIRCLE('',#11446,4.5E-1); +#11452=CIRCLE('',#11451,4.5E-1); +#11457=CIRCLE('',#11456,4.5E-1); +#11474=CIRCLE('',#11473,4.5E-1); +#11479=CIRCLE('',#11478,4.5E-1); +#11484=CIRCLE('',#11483,4.5E-1); +#11501=CIRCLE('',#11500,4.5E-1); +#11506=CIRCLE('',#11505,4.5E-1); +#11515=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11507,#11508,#11509,#11510,#11511, +#11512,#11513,#11514),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1, +6.E-1,8.E-1,1.E0),.UNSPECIFIED.); +#11520=CIRCLE('',#11519,5.E-1); +#11537=CIRCLE('',#11536,5.E-1); +#11548=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11538,#11539,#11540,#11541,#11542, +#11543,#11544,#11545,#11546,#11547),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),( +0.E0,1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#11553=CIRCLE('',#11552,4.5E-1); +#11558=CIRCLE('',#11557,4.5E-1); +#11563=CIRCLE('',#11562,4.5E-1); +#11580=CIRCLE('',#11579,4.5E-1); +#11585=CIRCLE('',#11584,4.5E-1); +#11590=CIRCLE('',#11589,4.5E-1); +#11607=CIRCLE('',#11606,4.5E-1); +#11620=CIRCLE('',#11619,5.E-1); +#11631=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11621,#11622,#11623,#11624,#11625, +#11626,#11627,#11628,#11629,#11630),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),( +0.E0,1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#11636=CIRCLE('',#11635,4.5E-1); +#11641=CIRCLE('',#11640,4.5E-1); +#11646=CIRCLE('',#11645,4.5E-1); +#11663=CIRCLE('',#11662,4.5E-1); +#11668=CIRCLE('',#11667,4.5E-1); +#11673=CIRCLE('',#11672,4.5E-1); +#11690=CIRCLE('',#11689,4.5E-1); +#11695=CIRCLE('',#11694,4.5E-1); +#11704=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11696,#11697,#11698,#11699,#11700, +#11701,#11702,#11703),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1, +6.E-1,8.E-1,1.E0),.UNSPECIFIED.); +#11709=CIRCLE('',#11708,5.E-1); +#11726=CIRCLE('',#11725,5.E-1); +#11737=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11727,#11728,#11729,#11730,#11731, +#11732,#11733,#11734,#11735,#11736),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),( +0.E0,1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#11742=CIRCLE('',#11741,4.5E-1); +#11747=CIRCLE('',#11746,4.5E-1); +#11752=CIRCLE('',#11751,4.5E-1); +#11769=CIRCLE('',#11768,4.5E-1); +#11774=CIRCLE('',#11773,4.5E-1); +#11779=CIRCLE('',#11778,4.5E-1); +#11796=CIRCLE('',#11795,4.5E-1); +#11801=CIRCLE('',#11800,4.5E-1); +#11810=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11802,#11803,#11804,#11805,#11806, +#11807,#11808,#11809),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1, +6.E-1,8.E-1,1.E0),.UNSPECIFIED.); +#11815=CIRCLE('',#11814,5.E-1); +#14943=EDGE_CURVE('',#13118,#14853,#137,.T.); +#14945=EDGE_CURVE('',#14857,#14853,#288,.T.); +#14947=EDGE_CURVE('',#14875,#14857,#715,.T.); +#14949=EDGE_CURVE('',#14877,#14875,#11103,.T.); +#14951=EDGE_CURVE('',#13115,#14877,#141,.T.); +#14953=EDGE_CURVE('',#13114,#13115,#145,.T.); +#14955=EDGE_CURVE('',#13114,#14401,#149,.T.); +#14957=EDGE_CURVE('',#14405,#14401,#11810,.T.); +#14959=EDGE_CURVE('',#14423,#14405,#153,.T.); +#14961=EDGE_CURVE('',#14425,#14423,#11737,.T.); +#14963=EDGE_CURVE('',#13079,#14425,#157,.T.); +#14965=EDGE_CURVE('',#13078,#13079,#161,.T.); +#14967=EDGE_CURVE('',#13078,#14373,#165,.T.); +#14969=EDGE_CURVE('',#14377,#14373,#11704,.T.); +#14971=EDGE_CURVE('',#14395,#14377,#169,.T.); +#14973=EDGE_CURVE('',#14397,#14395,#11631,.T.); +#14975=EDGE_CURVE('',#12571,#14397,#173,.T.); +#14977=EDGE_CURVE('',#12570,#12571,#177,.T.); +#14979=EDGE_CURVE('',#12570,#14345,#181,.T.); +#14981=EDGE_CURVE('',#14349,#14345,#755,.T.); +#14983=EDGE_CURVE('',#14367,#14349,#185,.T.); +#14985=EDGE_CURVE('',#14369,#14367,#11548,.T.); +#14987=EDGE_CURVE('',#12567,#14369,#189,.T.); +#14989=EDGE_CURVE('',#11865,#12567,#193,.T.); +#14991=EDGE_CURVE('',#11831,#11865,#3699,.T.); +#14993=EDGE_CURVE('',#11831,#11835,#197,.T.); +#14995=EDGE_CURVE('',#11835,#11867,#2901,.T.); +#14997=EDGE_CURVE('',#13093,#11867,#201,.T.); +#14999=EDGE_CURVE('',#13093,#14513,#205,.T.); +#15001=EDGE_CURVE('',#14517,#14513,#11515,.T.); +#15003=EDGE_CURVE('',#14535,#14517,#209,.T.); +#15005=EDGE_CURVE('',#14537,#14535,#11442,.T.); +#15007=EDGE_CURVE('',#13091,#14537,#213,.T.); +#15009=EDGE_CURVE('',#13090,#13091,#217,.T.); +#15011=EDGE_CURVE('',#13090,#14485,#221,.T.); +#15013=EDGE_CURVE('',#14489,#14485,#11409,.T.); +#15015=EDGE_CURVE('',#14507,#14489,#225,.T.); +#15017=EDGE_CURVE('',#14509,#14507,#11336,.T.); +#15019=EDGE_CURVE('',#13087,#14509,#229,.T.); +#15021=EDGE_CURVE('',#13086,#13087,#233,.T.); +#15023=EDGE_CURVE('',#13086,#14457,#237,.T.); +#15025=EDGE_CURVE('',#14461,#14457,#11303,.T.); +#15027=EDGE_CURVE('',#14479,#14461,#241,.T.); +#15029=EDGE_CURVE('',#14481,#14479,#11230,.T.); +#15031=EDGE_CURVE('',#13083,#14481,#245,.T.); +#15033=EDGE_CURVE('',#13082,#13083,#249,.T.); +#15035=EDGE_CURVE('',#13082,#14429,#253,.T.); +#15037=EDGE_CURVE('',#14433,#14429,#11197,.T.); +#15039=EDGE_CURVE('',#14451,#14433,#257,.T.); +#15041=EDGE_CURVE('',#14453,#14451,#11124,.T.); +#15043=EDGE_CURVE('',#13119,#14453,#261,.T.); +#15045=EDGE_CURVE('',#13118,#13119,#265,.T.); +#15049=ADVANCED_FACE('',(#15048),#14942,.T.); +#15055=EDGE_CURVE('',#14852,#14853,#270,.T.); +#15058=EDGE_CURVE('',#13118,#12959,#2069,.T.); +#15060=EDGE_CURVE('',#12959,#14852,#274,.T.); +#15064=ADVANCED_FACE('',(#15063),#15054,.F.); +#15071=EDGE_CURVE('',#14856,#14852,#279,.T.); +#15073=EDGE_CURVE('',#14856,#14857,#694,.T.); +#15078=ADVANCED_FACE('',(#15077),#15069,.T.); +#15084=EDGE_CURVE('',#14859,#14856,#698,.T.); +#15087=EDGE_CURVE('',#14861,#14852,#11088,.T.); +#15089=EDGE_CURVE('',#14859,#14861,#293,.T.); +#15093=ADVANCED_FACE('',(#15092),#15083,.T.); +#15099=EDGE_CURVE('',#14348,#14349,#298,.T.); +#15101=EDGE_CURVE('',#14351,#14348,#302,.T.); +#15103=EDGE_CURVE('',#14357,#14351,#306,.T.); +#15105=EDGE_CURVE('',#14363,#14357,#310,.T.); +#15107=EDGE_CURVE('',#14367,#14363,#315,.T.); +#15112=ADVANCED_FACE('',(#15111),#15098,.T.); +#15118=EDGE_CURVE('',#14376,#14377,#320,.T.); +#15120=EDGE_CURVE('',#14379,#14376,#324,.T.); +#15122=EDGE_CURVE('',#14385,#14379,#328,.T.); +#15124=EDGE_CURVE('',#14391,#14385,#332,.T.); +#15126=EDGE_CURVE('',#14395,#14391,#337,.T.); +#15131=ADVANCED_FACE('',(#15130),#15117,.T.); +#15137=EDGE_CURVE('',#14404,#14405,#342,.T.); +#15139=EDGE_CURVE('',#14407,#14404,#346,.T.); +#15141=EDGE_CURVE('',#14413,#14407,#350,.T.); +#15143=EDGE_CURVE('',#14419,#14413,#354,.T.); +#15145=EDGE_CURVE('',#14423,#14419,#359,.T.); +#15150=ADVANCED_FACE('',(#15149),#15136,.T.); +#15156=EDGE_CURVE('',#14432,#14433,#364,.T.); +#15158=EDGE_CURVE('',#14435,#14432,#368,.T.); +#15160=EDGE_CURVE('',#14441,#14435,#372,.T.); +#15162=EDGE_CURVE('',#14447,#14441,#376,.T.); +#15164=EDGE_CURVE('',#14451,#14447,#381,.T.); +#15169=ADVANCED_FACE('',(#15168),#15155,.T.); +#15175=EDGE_CURVE('',#14460,#14461,#386,.T.); +#15177=EDGE_CURVE('',#14463,#14460,#390,.T.); +#15179=EDGE_CURVE('',#14469,#14463,#394,.T.); +#15181=EDGE_CURVE('',#14475,#14469,#398,.T.); +#15183=EDGE_CURVE('',#14479,#14475,#403,.T.); +#15188=ADVANCED_FACE('',(#15187),#15174,.T.); +#15194=EDGE_CURVE('',#14488,#14489,#408,.T.); +#15196=EDGE_CURVE('',#14491,#14488,#412,.T.); +#15198=EDGE_CURVE('',#14497,#14491,#416,.T.); +#15200=EDGE_CURVE('',#14503,#14497,#420,.T.); +#15202=EDGE_CURVE('',#14507,#14503,#425,.T.); +#15207=ADVANCED_FACE('',(#15206),#15193,.T.); +#15213=EDGE_CURVE('',#14516,#14517,#430,.T.); +#15215=EDGE_CURVE('',#14519,#14516,#434,.T.); +#15217=EDGE_CURVE('',#14525,#14519,#438,.T.); +#15219=EDGE_CURVE('',#14531,#14525,#442,.T.); +#15221=EDGE_CURVE('',#14535,#14531,#447,.T.); +#15226=ADVANCED_FACE('',(#15225),#15212,.T.); +#15232=EDGE_CURVE('',#14544,#14545,#452,.T.); +#15234=EDGE_CURVE('',#14547,#14544,#456,.T.); +#15236=EDGE_CURVE('',#14553,#14547,#460,.T.); +#15238=EDGE_CURVE('',#14559,#14553,#464,.T.); +#15240=EDGE_CURVE('',#14563,#14559,#469,.T.); +#15242=EDGE_CURVE('',#14563,#14545,#3246,.T.); +#15246=ADVANCED_FACE('',(#15245),#15231,.T.); +#15252=EDGE_CURVE('',#14572,#14573,#474,.T.); +#15254=EDGE_CURVE('',#14575,#14572,#478,.T.); +#15256=EDGE_CURVE('',#14581,#14575,#482,.T.); +#15258=EDGE_CURVE('',#14587,#14581,#486,.T.); +#15260=EDGE_CURVE('',#14591,#14587,#491,.T.); +#15262=EDGE_CURVE('',#14591,#14573,#3262,.T.); +#15266=ADVANCED_FACE('',(#15265),#15251,.T.); +#15272=EDGE_CURVE('',#14600,#14601,#496,.T.); +#15274=EDGE_CURVE('',#14603,#14600,#500,.T.); +#15276=EDGE_CURVE('',#14609,#14603,#504,.T.); +#15278=EDGE_CURVE('',#14615,#14609,#508,.T.); +#15280=EDGE_CURVE('',#14619,#14615,#513,.T.); +#15282=EDGE_CURVE('',#14619,#14601,#3278,.T.); +#15286=ADVANCED_FACE('',(#15285),#15271,.T.); +#15292=EDGE_CURVE('',#14628,#14629,#518,.T.); +#15294=EDGE_CURVE('',#14631,#14628,#522,.T.); +#15296=EDGE_CURVE('',#14637,#14631,#526,.T.); +#15298=EDGE_CURVE('',#14643,#14637,#530,.T.); +#15300=EDGE_CURVE('',#14647,#14643,#535,.T.); +#15302=EDGE_CURVE('',#14647,#14629,#3294,.T.); +#15306=ADVANCED_FACE('',(#15305),#15291,.T.); +#15312=EDGE_CURVE('',#14656,#14657,#540,.T.); +#15314=EDGE_CURVE('',#14659,#14656,#544,.T.); +#15316=EDGE_CURVE('',#14665,#14659,#548,.T.); +#15318=EDGE_CURVE('',#14671,#14665,#552,.T.); +#15320=EDGE_CURVE('',#14675,#14671,#557,.T.); +#15322=EDGE_CURVE('',#14675,#14657,#3310,.T.); +#15326=ADVANCED_FACE('',(#15325),#15311,.T.); +#15332=EDGE_CURVE('',#14684,#14685,#562,.T.); +#15334=EDGE_CURVE('',#14687,#14684,#566,.T.); +#15336=EDGE_CURVE('',#14693,#14687,#570,.T.); +#15338=EDGE_CURVE('',#14699,#14693,#574,.T.); +#15340=EDGE_CURVE('',#14703,#14699,#579,.T.); +#15342=EDGE_CURVE('',#14703,#14685,#3202,.T.); +#15346=ADVANCED_FACE('',(#15345),#15331,.T.); +#15352=EDGE_CURVE('',#14712,#14713,#584,.T.); +#15354=EDGE_CURVE('',#14715,#14712,#588,.T.); +#15356=EDGE_CURVE('',#14721,#14715,#592,.T.); +#15358=EDGE_CURVE('',#14727,#14721,#596,.T.); +#15360=EDGE_CURVE('',#14731,#14727,#601,.T.); +#15362=EDGE_CURVE('',#14731,#14713,#3218,.T.); +#15366=ADVANCED_FACE('',(#15365),#15351,.T.); +#15372=EDGE_CURVE('',#14740,#14741,#606,.T.); +#15374=EDGE_CURVE('',#14743,#14740,#610,.T.); +#15376=EDGE_CURVE('',#14749,#14743,#614,.T.); +#15378=EDGE_CURVE('',#14755,#14749,#618,.T.); +#15380=EDGE_CURVE('',#14759,#14755,#623,.T.); +#15382=EDGE_CURVE('',#14759,#14741,#3662,.T.); +#15386=ADVANCED_FACE('',(#15385),#15371,.T.); +#15392=EDGE_CURVE('',#14768,#14769,#628,.T.); +#15394=EDGE_CURVE('',#14771,#14768,#632,.T.); +#15396=EDGE_CURVE('',#14777,#14771,#636,.T.); +#15398=EDGE_CURVE('',#14783,#14777,#640,.T.); +#15400=EDGE_CURVE('',#14787,#14783,#645,.T.); +#15402=EDGE_CURVE('',#14787,#14769,#3678,.T.); +#15406=ADVANCED_FACE('',(#15405),#15391,.T.); +#15412=EDGE_CURVE('',#14796,#14797,#650,.T.); +#15414=EDGE_CURVE('',#14799,#14796,#654,.T.); +#15416=EDGE_CURVE('',#14805,#14799,#658,.T.); +#15418=EDGE_CURVE('',#14811,#14805,#662,.T.); +#15420=EDGE_CURVE('',#14815,#14811,#667,.T.); +#15422=EDGE_CURVE('',#14815,#14797,#2914,.T.); +#15426=ADVANCED_FACE('',(#15425),#15411,.T.); +#15432=EDGE_CURVE('',#14824,#14825,#672,.T.); +#15434=EDGE_CURVE('',#14827,#14824,#676,.T.); +#15436=EDGE_CURVE('',#14833,#14827,#680,.T.); +#15438=EDGE_CURVE('',#14839,#14833,#684,.T.); +#15440=EDGE_CURVE('',#14843,#14839,#689,.T.); +#15442=EDGE_CURVE('',#14843,#14825,#2926,.T.); +#15446=ADVANCED_FACE('',(#15445),#15431,.T.); +#15454=EDGE_CURVE('',#14865,#14859,#702,.T.); +#15456=EDGE_CURVE('',#14871,#14865,#706,.T.); +#15458=EDGE_CURVE('',#14875,#14871,#711,.T.); +#15463=ADVANCED_FACE('',(#15462),#15451,.T.); +#15469=EDGE_CURVE('',#14884,#14885,#720,.T.); +#15471=EDGE_CURVE('',#14887,#14884,#724,.T.); +#15473=EDGE_CURVE('',#14893,#14887,#728,.T.); +#15475=EDGE_CURVE('',#14899,#14893,#732,.T.); +#15477=EDGE_CURVE('',#14903,#14899,#737,.T.); +#15479=EDGE_CURVE('',#14903,#14885,#741,.T.); +#15483=ADVANCED_FACE('',(#15482),#15468,.T.); +#15489=EDGE_CURVE('',#14344,#14345,#760,.T.); +#15491=EDGE_CURVE('',#14348,#14344,#746,.T.); +#15497=ADVANCED_FACE('',(#15496),#15488,.T.); +#15505=EDGE_CURVE('',#12570,#14143,#2613,.T.); +#15507=EDGE_CURVE('',#14143,#14344,#764,.T.); +#15511=ADVANCED_FACE('',(#15510),#15502,.F.); +#15517=EDGE_CURVE('',#13968,#13838,#889,.T.); +#15519=EDGE_CURVE('',#13853,#13968,#5106,.T.); +#15521=EDGE_CURVE('',#13833,#13853,#7748,.T.); +#15523=EDGE_CURVE('',#13829,#13833,#894,.T.); +#15525=EDGE_CURVE('',#13823,#13829,#898,.T.); +#15527=EDGE_CURVE('',#13822,#13823,#903,.T.); +#15529=EDGE_CURVE('',#13848,#13822,#7729,.T.); +#15531=EDGE_CURVE('',#13848,#13999,#7689,.T.); +#15533=EDGE_CURVE('',#13839,#13999,#908,.T.); +#15535=EDGE_CURVE('',#13838,#13839,#912,.T.); +#15539=EDGE_CURVE('',#13053,#13031,#2706,.T.); +#15541=EDGE_CURVE('',#13052,#13053,#769,.T.); +#15543=EDGE_CURVE('',#13052,#13055,#774,.T.); +#15545=EDGE_CURVE('',#13055,#13046,#778,.T.); +#15547=EDGE_CURVE('',#13046,#13047,#783,.T.); +#15549=EDGE_CURVE('',#13047,#13049,#787,.T.); +#15551=EDGE_CURVE('',#12885,#13049,#792,.T.); +#15553=EDGE_CURVE('',#11903,#12885,#4891,.T.); +#15555=EDGE_CURVE('',#11902,#11903,#4867,.T.); +#15557=EDGE_CURVE('',#13065,#11902,#797,.T.); +#15559=EDGE_CURVE('',#13065,#13067,#801,.T.); +#15561=EDGE_CURVE('',#13067,#13058,#806,.T.); +#15563=EDGE_CURVE('',#13058,#13059,#810,.T.); +#15565=EDGE_CURVE('',#13059,#13061,#815,.T.); +#15567=EDGE_CURVE('',#13061,#13063,#819,.T.); +#15569=EDGE_CURVE('',#11899,#13063,#824,.T.); +#15571=EDGE_CURVE('',#11863,#11899,#4814,.T.); +#15573=EDGE_CURVE('',#11862,#11863,#4790,.T.); +#15575=EDGE_CURVE('',#11897,#11862,#4754,.T.); +#15577=EDGE_CURVE('',#13039,#11897,#829,.T.); +#15579=EDGE_CURVE('',#13039,#13041,#833,.T.); +#15581=EDGE_CURVE('',#13041,#13043,#838,.T.); +#15583=EDGE_CURVE('',#13043,#13034,#842,.T.); +#15585=EDGE_CURVE('',#13034,#13035,#847,.T.); +#15587=EDGE_CURVE('',#13035,#13037,#851,.T.); +#15589=EDGE_CURVE('',#11895,#13037,#856,.T.); +#15591=EDGE_CURVE('',#11894,#11895,#4713,.T.); +#15593=EDGE_CURVE('',#12883,#11894,#4513,.T.); +#15595=EDGE_CURVE('',#13021,#12883,#861,.T.); +#15597=EDGE_CURVE('',#13021,#13023,#865,.T.); +#15599=EDGE_CURVE('',#13023,#13025,#870,.T.); +#15601=EDGE_CURVE('',#13025,#13027,#874,.T.); +#15603=EDGE_CURVE('',#13027,#13029,#879,.T.); +#15605=EDGE_CURVE('',#13031,#13029,#884,.T.); +#15609=ADVANCED_FACE('',(#15538,#15608),#15516,.F.); +#15615=EDGE_CURVE('',#12844,#12845,#1132,.T.); +#15617=EDGE_CURVE('',#12845,#12881,#1136,.T.); +#15619=EDGE_CURVE('',#12881,#12879,#1140,.T.); +#15621=EDGE_CURVE('',#12879,#12877,#1144,.T.); +#15623=EDGE_CURVE('',#12877,#12875,#1148,.T.); +#15625=EDGE_CURVE('',#12875,#12873,#1152,.T.); +#15627=EDGE_CURVE('',#12873,#12871,#1156,.T.); +#15629=EDGE_CURVE('',#12871,#12869,#1160,.T.); +#15631=EDGE_CURVE('',#12869,#12867,#1164,.T.); +#15633=EDGE_CURVE('',#12867,#12865,#1168,.T.); +#15635=EDGE_CURVE('',#12865,#12863,#1172,.T.); +#15637=EDGE_CURVE('',#12863,#12861,#1176,.T.); +#15639=EDGE_CURVE('',#12861,#12859,#1180,.T.); +#15641=EDGE_CURVE('',#12859,#12857,#1184,.T.); +#15643=EDGE_CURVE('',#12857,#12855,#1188,.T.); +#15645=EDGE_CURVE('',#12855,#12853,#1192,.T.); +#15647=EDGE_CURVE('',#12853,#12851,#1196,.T.); +#15649=EDGE_CURVE('',#12851,#12849,#1200,.T.); +#15651=EDGE_CURVE('',#12849,#12847,#1204,.T.); +#15653=EDGE_CURVE('',#12847,#12844,#1208,.T.); +#15657=ADVANCED_FACE('',(#15656),#15614,.F.); +#15663=EDGE_CURVE('',#13500,#13501,#1348,.T.); +#15665=EDGE_CURVE('',#13501,#13503,#1352,.T.); +#15667=EDGE_CURVE('',#13503,#13505,#1356,.T.); +#15669=EDGE_CURVE('',#13505,#13507,#1360,.T.); +#15671=EDGE_CURVE('',#13507,#13509,#1364,.T.); +#15673=EDGE_CURVE('',#13509,#13511,#1368,.T.); +#15675=EDGE_CURVE('',#13511,#13513,#1372,.T.); +#15677=EDGE_CURVE('',#13513,#13515,#1376,.T.); +#15679=EDGE_CURVE('',#13515,#13517,#1380,.T.); +#15681=EDGE_CURVE('',#13517,#13519,#1384,.T.); +#15683=EDGE_CURVE('',#13519,#13521,#1388,.T.); +#15685=EDGE_CURVE('',#13521,#13523,#1392,.T.); +#15687=EDGE_CURVE('',#13523,#13525,#1396,.T.); +#15689=EDGE_CURVE('',#13525,#13527,#1400,.T.); +#15691=EDGE_CURVE('',#13527,#13529,#1404,.T.); +#15693=EDGE_CURVE('',#13529,#13500,#1408,.T.); +#15697=ADVANCED_FACE('',(#15696),#15662,.F.); +#15703=EDGE_CURVE('',#13532,#13533,#1412,.T.); +#15705=EDGE_CURVE('',#13533,#13535,#1416,.T.); +#15707=EDGE_CURVE('',#13535,#13537,#1420,.T.); +#15709=EDGE_CURVE('',#13537,#13539,#1424,.T.); +#15711=EDGE_CURVE('',#13539,#13541,#1428,.T.); +#15713=EDGE_CURVE('',#13541,#13543,#1432,.T.); +#15715=EDGE_CURVE('',#13543,#13545,#1436,.T.); +#15717=EDGE_CURVE('',#13545,#13547,#1440,.T.); +#15719=EDGE_CURVE('',#13547,#13549,#1444,.T.); +#15721=EDGE_CURVE('',#13549,#13551,#1448,.T.); +#15723=EDGE_CURVE('',#13551,#13553,#1452,.T.); +#15725=EDGE_CURVE('',#13553,#13555,#1456,.T.); +#15727=EDGE_CURVE('',#13555,#13557,#1460,.T.); +#15729=EDGE_CURVE('',#13557,#13559,#1464,.T.); +#15731=EDGE_CURVE('',#13559,#13561,#1468,.T.); +#15733=EDGE_CURVE('',#13561,#13532,#1472,.T.); +#15737=ADVANCED_FACE('',(#15736),#15702,.F.); +#15743=EDGE_CURVE('',#12950,#12951,#2046,.T.); +#15745=EDGE_CURVE('',#12951,#12954,#2051,.T.); +#15747=EDGE_CURVE('',#12954,#12955,#2055,.T.); +#15749=EDGE_CURVE('',#12955,#12958,#2060,.T.); +#15751=EDGE_CURVE('',#12958,#12959,#2064,.T.); +#15755=EDGE_CURVE('',#14247,#13119,#2074,.T.); +#15757=EDGE_CURVE('',#14247,#14249,#2078,.T.); +#15759=EDGE_CURVE('',#14249,#14251,#2083,.T.); +#15761=EDGE_CURVE('',#14251,#14253,#2087,.T.); +#15763=EDGE_CURVE('',#14253,#14255,#2092,.T.); +#15765=EDGE_CURVE('',#14255,#14257,#2096,.T.); +#15767=EDGE_CURVE('',#13082,#14257,#2101,.T.); +#15770=EDGE_CURVE('',#14235,#13083,#2106,.T.); +#15772=EDGE_CURVE('',#14235,#14237,#2110,.T.); +#15774=EDGE_CURVE('',#14237,#14239,#2115,.T.); +#15776=EDGE_CURVE('',#14239,#14241,#2119,.T.); +#15778=EDGE_CURVE('',#14241,#14243,#2124,.T.); +#15780=EDGE_CURVE('',#14243,#14245,#2128,.T.); +#15782=EDGE_CURVE('',#13086,#14245,#2133,.T.); +#15785=EDGE_CURVE('',#14223,#13087,#2138,.T.); +#15787=EDGE_CURVE('',#14223,#14225,#2142,.T.); +#15789=EDGE_CURVE('',#14225,#14227,#2147,.T.); +#15791=EDGE_CURVE('',#14227,#14229,#2151,.T.); +#15793=EDGE_CURVE('',#14229,#14231,#2156,.T.); +#15795=EDGE_CURVE('',#14231,#14233,#2160,.T.); +#15797=EDGE_CURVE('',#13090,#14233,#2165,.T.); +#15800=EDGE_CURVE('',#14211,#13091,#2170,.T.); +#15802=EDGE_CURVE('',#14211,#14213,#2174,.T.); +#15804=EDGE_CURVE('',#14213,#14215,#2179,.T.); +#15806=EDGE_CURVE('',#14215,#14217,#2183,.T.); +#15808=EDGE_CURVE('',#14217,#14219,#2188,.T.); +#15810=EDGE_CURVE('',#14219,#14221,#2192,.T.); +#15812=EDGE_CURVE('',#13093,#14221,#2197,.T.); +#15815=EDGE_CURVE('',#11867,#14171,#2897,.T.); +#15817=EDGE_CURVE('',#14160,#14171,#2202,.T.); +#15819=EDGE_CURVE('',#14160,#14161,#2206,.T.); +#15821=EDGE_CURVE('',#14161,#14163,#2211,.T.); +#15823=EDGE_CURVE('',#14163,#14165,#2215,.T.); +#15825=EDGE_CURVE('',#14165,#14167,#2220,.T.); +#15827=EDGE_CURVE('',#14167,#14169,#2224,.T.); +#15829=EDGE_CURVE('',#12574,#14169,#2229,.T.); +#15831=EDGE_CURVE('',#12574,#12575,#2934,.T.); +#15833=EDGE_CURVE('',#14177,#12575,#2234,.T.); +#15835=EDGE_CURVE('',#14177,#14179,#2238,.T.); +#15837=EDGE_CURVE('',#14179,#14181,#2243,.T.); +#15839=EDGE_CURVE('',#14181,#14183,#2247,.T.); +#15841=EDGE_CURVE('',#14183,#14185,#2252,.T.); +#15843=EDGE_CURVE('',#14185,#14175,#2256,.T.); +#15845=EDGE_CURVE('',#14174,#14175,#2261,.T.); +#15847=EDGE_CURVE('',#14174,#11869,#3066,.T.); +#15849=EDGE_CURVE('',#11869,#12577,#3226,.T.); +#15851=EDGE_CURVE('',#14307,#12577,#2266,.T.); +#15853=EDGE_CURVE('',#14307,#14309,#2270,.T.); +#15855=EDGE_CURVE('',#14309,#14311,#2275,.T.); +#15857=EDGE_CURVE('',#14311,#14313,#2279,.T.); +#15859=EDGE_CURVE('',#14313,#14315,#2284,.T.); +#15861=EDGE_CURVE('',#14315,#14317,#2288,.T.); +#15863=EDGE_CURVE('',#12580,#14317,#2293,.T.); +#15865=EDGE_CURVE('',#12580,#12581,#3210,.T.); +#15867=EDGE_CURVE('',#14319,#12581,#2298,.T.); +#15869=EDGE_CURVE('',#14319,#14321,#2302,.T.); +#15871=EDGE_CURVE('',#14321,#14323,#2307,.T.); +#15873=EDGE_CURVE('',#14323,#14325,#2311,.T.); +#15875=EDGE_CURVE('',#14325,#14327,#2316,.T.); +#15877=EDGE_CURVE('',#14327,#14329,#2320,.T.); +#15879=EDGE_CURVE('',#13122,#14329,#2325,.T.); +#15881=EDGE_CURVE('',#13122,#13123,#3194,.T.); +#15883=EDGE_CURVE('',#12966,#13123,#2330,.T.); +#15885=EDGE_CURVE('',#12966,#12967,#2334,.T.); +#15887=EDGE_CURVE('',#12967,#12970,#2339,.T.); +#15889=EDGE_CURVE('',#12970,#12971,#2343,.T.); +#15891=EDGE_CURVE('',#12971,#12962,#2348,.T.); +#15893=EDGE_CURVE('',#12962,#12963,#2352,.T.); +#15895=EDGE_CURVE('',#13126,#12963,#2357,.T.); +#15897=EDGE_CURVE('',#13126,#13127,#3318,.T.); +#15899=EDGE_CURVE('',#14331,#13127,#2362,.T.); +#15901=EDGE_CURVE('',#14331,#14333,#2366,.T.); +#15903=EDGE_CURVE('',#14333,#14335,#2371,.T.); +#15905=EDGE_CURVE('',#14335,#14337,#2375,.T.); +#15907=EDGE_CURVE('',#14337,#14339,#2380,.T.); +#15909=EDGE_CURVE('',#14339,#14341,#2384,.T.); +#15911=EDGE_CURVE('',#13096,#14341,#2389,.T.); +#15913=EDGE_CURVE('',#13096,#13097,#3302,.T.); +#15915=EDGE_CURVE('',#14295,#13097,#2394,.T.); +#15917=EDGE_CURVE('',#14295,#14297,#2398,.T.); +#15919=EDGE_CURVE('',#14297,#14299,#2403,.T.); +#15921=EDGE_CURVE('',#14299,#14301,#2407,.T.); +#15923=EDGE_CURVE('',#14301,#14303,#2412,.T.); +#15925=EDGE_CURVE('',#14303,#14305,#2416,.T.); +#15927=EDGE_CURVE('',#13100,#14305,#2421,.T.); +#15929=EDGE_CURVE('',#13100,#13101,#3286,.T.); +#15931=EDGE_CURVE('',#14283,#13101,#2426,.T.); +#15933=EDGE_CURVE('',#14283,#14285,#2430,.T.); +#15935=EDGE_CURVE('',#14285,#14287,#2435,.T.); +#15937=EDGE_CURVE('',#14287,#14289,#2439,.T.); +#15939=EDGE_CURVE('',#14289,#14291,#2444,.T.); +#15941=EDGE_CURVE('',#14291,#14293,#2448,.T.); +#15943=EDGE_CURVE('',#13104,#14293,#2453,.T.); +#15945=EDGE_CURVE('',#13104,#13105,#3270,.T.); +#15947=EDGE_CURVE('',#14271,#13105,#2458,.T.); +#15949=EDGE_CURVE('',#14271,#14273,#2462,.T.); +#15951=EDGE_CURVE('',#14273,#14275,#2467,.T.); +#15953=EDGE_CURVE('',#14275,#14277,#2471,.T.); +#15955=EDGE_CURVE('',#14277,#14279,#2476,.T.); +#15957=EDGE_CURVE('',#14279,#14281,#2480,.T.); +#15959=EDGE_CURVE('',#13108,#14281,#2485,.T.); +#15961=EDGE_CURVE('',#13108,#13109,#3254,.T.); +#15963=EDGE_CURVE('',#14259,#13109,#2490,.T.); +#15965=EDGE_CURVE('',#14259,#14261,#2494,.T.); +#15967=EDGE_CURVE('',#14261,#14263,#2499,.T.); +#15969=EDGE_CURVE('',#14263,#14265,#2503,.T.); +#15971=EDGE_CURVE('',#14265,#14267,#2508,.T.); +#15973=EDGE_CURVE('',#14267,#14269,#2512,.T.); +#15975=EDGE_CURVE('',#13111,#14269,#2517,.T.); +#15977=EDGE_CURVE('',#13111,#11871,#3238,.T.); +#15979=EDGE_CURVE('',#11871,#14157,#3649,.T.); +#15981=EDGE_CURVE('',#14146,#14157,#2522,.T.); +#15983=EDGE_CURVE('',#14146,#14147,#2526,.T.); +#15985=EDGE_CURVE('',#14147,#14149,#2531,.T.); +#15987=EDGE_CURVE('',#14149,#14151,#2535,.T.); +#15989=EDGE_CURVE('',#14151,#14153,#2540,.T.); +#15991=EDGE_CURVE('',#14153,#14155,#2544,.T.); +#15993=EDGE_CURVE('',#12584,#14155,#2549,.T.); +#15995=EDGE_CURVE('',#12584,#12585,#3670,.T.); +#15997=EDGE_CURVE('',#14137,#12585,#2554,.T.); +#15999=EDGE_CURVE('',#14137,#14139,#2558,.T.); +#16001=EDGE_CURVE('',#14139,#12560,#2563,.T.); +#16003=EDGE_CURVE('',#12560,#12561,#2567,.T.); +#16005=EDGE_CURVE('',#12561,#12564,#2572,.T.); +#16007=EDGE_CURVE('',#12564,#12565,#2576,.T.); +#16009=EDGE_CURVE('',#14135,#12565,#2581,.T.); +#16011=EDGE_CURVE('',#14135,#11865,#3704,.T.); +#16014=EDGE_CURVE('',#13070,#12567,#2586,.T.); +#16016=EDGE_CURVE('',#13070,#13071,#2590,.T.); +#16018=EDGE_CURVE('',#13071,#13074,#2595,.T.); +#16020=EDGE_CURVE('',#13074,#13075,#2599,.T.); +#16022=EDGE_CURVE('',#13075,#14141,#2604,.T.); +#16024=EDGE_CURVE('',#14141,#14143,#2608,.T.); +#16028=EDGE_CURVE('',#14187,#12571,#2618,.T.); +#16030=EDGE_CURVE('',#14187,#14189,#2622,.T.); +#16032=EDGE_CURVE('',#14189,#14191,#2627,.T.); +#16034=EDGE_CURVE('',#14191,#14193,#2631,.T.); +#16036=EDGE_CURVE('',#14193,#14195,#2636,.T.); +#16038=EDGE_CURVE('',#14195,#14197,#2640,.T.); +#16040=EDGE_CURVE('',#13078,#14197,#2645,.T.); +#16043=EDGE_CURVE('',#14199,#13079,#2650,.T.); +#16045=EDGE_CURVE('',#14199,#14201,#2654,.T.); +#16047=EDGE_CURVE('',#14201,#14203,#2659,.T.); +#16049=EDGE_CURVE('',#14203,#14205,#2663,.T.); +#16051=EDGE_CURVE('',#14205,#14207,#2668,.T.); +#16053=EDGE_CURVE('',#14207,#14209,#2672,.T.); +#16055=EDGE_CURVE('',#13114,#14209,#2677,.T.); +#16058=EDGE_CURVE('',#12950,#13115,#2682,.T.); +#16062=EDGE_CURVE('',#12736,#12737,#916,.T.); +#16064=EDGE_CURVE('',#12737,#12747,#920,.T.); +#16066=EDGE_CURVE('',#12747,#12745,#924,.T.); +#16068=EDGE_CURVE('',#12745,#12743,#928,.T.); +#16070=EDGE_CURVE('',#12743,#12741,#932,.T.); +#16072=EDGE_CURVE('',#12741,#12739,#936,.T.); +#16074=EDGE_CURVE('',#12739,#12736,#940,.T.); +#16078=EDGE_CURVE('',#12750,#12751,#944,.T.); +#16080=EDGE_CURVE('',#12751,#12761,#948,.T.); +#16082=EDGE_CURVE('',#12761,#12759,#952,.T.); +#16084=EDGE_CURVE('',#12759,#12757,#956,.T.); +#16086=EDGE_CURVE('',#12757,#12755,#960,.T.); +#16088=EDGE_CURVE('',#12755,#12753,#964,.T.); +#16090=EDGE_CURVE('',#12753,#12750,#968,.T.); +#16094=EDGE_CURVE('',#12764,#12765,#972,.T.); +#16096=EDGE_CURVE('',#12765,#12841,#976,.T.); +#16098=EDGE_CURVE('',#12841,#12839,#980,.T.); +#16100=EDGE_CURVE('',#12839,#12837,#984,.T.); +#16102=EDGE_CURVE('',#12837,#12835,#988,.T.); +#16104=EDGE_CURVE('',#12835,#12833,#992,.T.); +#16106=EDGE_CURVE('',#12833,#12831,#996,.T.); +#16108=EDGE_CURVE('',#12831,#12829,#1000,.T.); +#16110=EDGE_CURVE('',#12829,#12827,#1004,.T.); +#16112=EDGE_CURVE('',#12827,#12825,#1008,.T.); +#16114=EDGE_CURVE('',#12825,#12823,#1012,.T.); +#16116=EDGE_CURVE('',#12823,#12821,#1016,.T.); +#16118=EDGE_CURVE('',#12821,#12819,#1020,.T.); +#16120=EDGE_CURVE('',#12819,#12817,#1024,.T.); +#16122=EDGE_CURVE('',#12817,#12815,#1028,.T.); +#16124=EDGE_CURVE('',#12815,#12813,#1032,.T.); +#16126=EDGE_CURVE('',#12813,#12811,#1036,.T.); +#16128=EDGE_CURVE('',#12811,#12809,#1040,.T.); +#16130=EDGE_CURVE('',#12809,#12807,#1044,.T.); +#16132=EDGE_CURVE('',#12807,#12805,#1048,.T.); +#16134=EDGE_CURVE('',#12805,#12803,#1052,.T.); +#16136=EDGE_CURVE('',#12803,#12801,#1056,.T.); +#16138=EDGE_CURVE('',#12801,#12799,#1060,.T.); +#16140=EDGE_CURVE('',#12799,#12797,#1064,.T.); +#16142=EDGE_CURVE('',#12797,#12795,#1068,.T.); +#16144=EDGE_CURVE('',#12795,#12793,#1072,.T.); +#16146=EDGE_CURVE('',#12793,#12791,#1076,.T.); +#16148=EDGE_CURVE('',#12791,#12789,#1080,.T.); +#16150=EDGE_CURVE('',#12789,#12787,#1084,.T.); +#16152=EDGE_CURVE('',#12787,#12785,#1088,.T.); +#16154=EDGE_CURVE('',#12785,#12783,#1092,.T.); +#16156=EDGE_CURVE('',#12783,#12781,#1096,.T.); +#16158=EDGE_CURVE('',#12781,#12779,#1100,.T.); +#16160=EDGE_CURVE('',#12779,#12777,#1104,.T.); +#16162=EDGE_CURVE('',#12777,#12775,#1108,.T.); +#16164=EDGE_CURVE('',#12775,#12773,#1112,.T.); +#16166=EDGE_CURVE('',#12773,#12771,#1116,.T.); +#16168=EDGE_CURVE('',#12771,#12769,#1120,.T.); +#16170=EDGE_CURVE('',#12769,#12767,#1124,.T.); +#16172=EDGE_CURVE('',#12767,#12764,#1128,.T.); +#16176=EDGE_CURVE('',#13432,#13433,#1212,.T.); +#16178=EDGE_CURVE('',#13433,#13435,#1216,.T.); +#16180=EDGE_CURVE('',#13435,#13437,#1220,.T.); +#16182=EDGE_CURVE('',#13437,#13439,#1224,.T.); +#16184=EDGE_CURVE('',#13439,#13441,#1228,.T.); +#16186=EDGE_CURVE('',#13441,#13443,#1232,.T.); +#16188=EDGE_CURVE('',#13443,#13445,#1236,.T.); +#16190=EDGE_CURVE('',#13445,#13447,#1240,.T.); +#16192=EDGE_CURVE('',#13447,#13449,#1244,.T.); +#16194=EDGE_CURVE('',#13449,#13451,#1248,.T.); +#16196=EDGE_CURVE('',#13451,#13453,#1252,.T.); +#16198=EDGE_CURVE('',#13453,#13455,#1256,.T.); +#16200=EDGE_CURVE('',#13455,#13457,#1260,.T.); +#16202=EDGE_CURVE('',#13457,#13459,#1264,.T.); +#16204=EDGE_CURVE('',#13459,#13461,#1268,.T.); +#16206=EDGE_CURVE('',#13461,#13463,#1272,.T.); +#16208=EDGE_CURVE('',#13463,#13465,#1276,.T.); +#16210=EDGE_CURVE('',#13465,#13467,#1280,.T.); +#16212=EDGE_CURVE('',#13467,#13469,#1284,.T.); +#16214=EDGE_CURVE('',#13469,#13471,#1288,.T.); +#16216=EDGE_CURVE('',#13471,#13473,#1292,.T.); +#16218=EDGE_CURVE('',#13473,#13475,#1296,.T.); +#16220=EDGE_CURVE('',#13475,#13477,#1300,.T.); +#16222=EDGE_CURVE('',#13477,#13479,#1304,.T.); +#16224=EDGE_CURVE('',#13479,#13481,#1308,.T.); +#16226=EDGE_CURVE('',#13481,#13483,#1312,.T.); +#16228=EDGE_CURVE('',#13483,#13485,#1316,.T.); +#16230=EDGE_CURVE('',#13485,#13487,#1320,.T.); +#16232=EDGE_CURVE('',#13487,#13489,#1324,.T.); +#16234=EDGE_CURVE('',#13489,#13491,#1328,.T.); +#16236=EDGE_CURVE('',#13491,#13493,#1332,.T.); +#16238=EDGE_CURVE('',#13493,#13495,#1336,.T.); +#16240=EDGE_CURVE('',#13495,#13497,#1340,.T.); +#16242=EDGE_CURVE('',#13497,#13432,#1344,.T.); +#16246=EDGE_CURVE('',#13564,#13565,#1476,.T.); +#16248=EDGE_CURVE('',#13565,#13567,#1480,.T.); +#16250=EDGE_CURVE('',#13567,#13569,#1484,.T.); +#16252=EDGE_CURVE('',#13569,#13571,#1488,.T.); +#16254=EDGE_CURVE('',#13571,#13573,#1492,.T.); +#16256=EDGE_CURVE('',#13573,#13575,#1496,.T.); +#16258=EDGE_CURVE('',#13575,#13577,#1500,.T.); +#16260=EDGE_CURVE('',#13577,#13579,#1504,.T.); +#16262=EDGE_CURVE('',#13579,#13581,#1508,.T.); +#16264=EDGE_CURVE('',#13581,#13583,#1512,.T.); +#16266=EDGE_CURVE('',#13583,#13585,#1516,.T.); +#16268=EDGE_CURVE('',#13585,#13587,#1520,.T.); +#16270=EDGE_CURVE('',#13587,#13589,#1524,.T.); +#16272=EDGE_CURVE('',#13589,#13591,#1528,.T.); +#16274=EDGE_CURVE('',#13591,#13593,#1532,.T.); +#16276=EDGE_CURVE('',#13593,#13595,#1536,.T.); +#16278=EDGE_CURVE('',#13595,#13597,#1540,.T.); +#16280=EDGE_CURVE('',#13597,#13599,#1544,.T.); +#16282=EDGE_CURVE('',#13599,#13601,#1548,.T.); +#16284=EDGE_CURVE('',#13601,#13603,#1552,.T.); +#16286=EDGE_CURVE('',#13603,#13605,#1556,.T.); +#16288=EDGE_CURVE('',#13605,#13607,#1560,.T.); +#16290=EDGE_CURVE('',#13607,#13609,#1564,.T.); +#16292=EDGE_CURVE('',#13609,#13611,#1568,.T.); +#16294=EDGE_CURVE('',#13611,#13613,#1572,.T.); +#16296=EDGE_CURVE('',#13613,#13615,#1576,.T.); +#16298=EDGE_CURVE('',#13615,#13617,#1580,.T.); +#16300=EDGE_CURVE('',#13617,#13564,#1584,.T.); +#16304=EDGE_CURVE('',#13620,#13621,#1588,.T.); +#16306=EDGE_CURVE('',#13621,#13623,#1592,.T.); +#16308=EDGE_CURVE('',#13623,#13625,#1596,.T.); +#16310=EDGE_CURVE('',#13625,#13627,#1600,.T.); +#16312=EDGE_CURVE('',#13627,#13629,#1604,.T.); +#16314=EDGE_CURVE('',#13629,#13631,#1608,.T.); +#16316=EDGE_CURVE('',#13631,#13633,#1612,.T.); +#16318=EDGE_CURVE('',#13633,#13635,#1616,.T.); +#16320=EDGE_CURVE('',#13635,#13637,#1620,.T.); +#16322=EDGE_CURVE('',#13637,#13639,#1624,.T.); +#16324=EDGE_CURVE('',#13639,#13641,#1628,.T.); +#16326=EDGE_CURVE('',#13641,#13643,#1632,.T.); +#16328=EDGE_CURVE('',#13643,#13645,#1636,.T.); +#16330=EDGE_CURVE('',#13645,#13647,#1640,.T.); +#16332=EDGE_CURVE('',#13647,#13649,#1644,.T.); +#16334=EDGE_CURVE('',#13649,#13651,#1648,.T.); +#16336=EDGE_CURVE('',#13651,#13653,#1652,.T.); +#16338=EDGE_CURVE('',#13653,#13655,#1656,.T.); +#16340=EDGE_CURVE('',#13655,#13657,#1660,.T.); +#16342=EDGE_CURVE('',#13657,#13659,#1664,.T.); +#16344=EDGE_CURVE('',#13659,#13661,#1668,.T.); +#16346=EDGE_CURVE('',#13661,#13663,#1672,.T.); +#16348=EDGE_CURVE('',#13663,#13665,#1676,.T.); +#16350=EDGE_CURVE('',#13665,#13667,#1680,.T.); +#16352=EDGE_CURVE('',#13667,#13669,#1684,.T.); +#16354=EDGE_CURVE('',#13669,#13671,#1688,.T.); +#16356=EDGE_CURVE('',#13671,#13673,#1692,.T.); +#16358=EDGE_CURVE('',#13673,#13675,#1696,.T.); +#16360=EDGE_CURVE('',#13675,#13677,#1700,.T.); +#16362=EDGE_CURVE('',#13677,#13679,#1704,.T.); +#16364=EDGE_CURVE('',#13679,#13681,#1708,.T.); +#16366=EDGE_CURVE('',#13681,#13683,#1712,.T.); +#16368=EDGE_CURVE('',#13683,#13685,#1716,.T.); +#16370=EDGE_CURVE('',#13685,#13687,#1720,.T.); +#16372=EDGE_CURVE('',#13687,#13689,#1724,.T.); +#16374=EDGE_CURVE('',#13689,#13691,#1728,.T.); +#16376=EDGE_CURVE('',#13691,#13693,#1732,.T.); +#16378=EDGE_CURVE('',#13693,#13695,#1736,.T.); +#16380=EDGE_CURVE('',#13695,#13697,#1740,.T.); +#16382=EDGE_CURVE('',#13697,#13699,#1744,.T.); +#16384=EDGE_CURVE('',#13699,#13701,#1748,.T.); +#16386=EDGE_CURVE('',#13701,#13703,#1752,.T.); +#16388=EDGE_CURVE('',#13703,#13705,#1756,.T.); +#16390=EDGE_CURVE('',#13705,#13707,#1760,.T.); +#16392=EDGE_CURVE('',#13707,#13709,#1764,.T.); +#16394=EDGE_CURVE('',#13709,#13711,#1768,.T.); +#16396=EDGE_CURVE('',#13711,#13713,#1772,.T.); +#16398=EDGE_CURVE('',#13713,#13715,#1776,.T.); +#16400=EDGE_CURVE('',#13715,#13717,#1780,.T.); +#16402=EDGE_CURVE('',#13717,#13719,#1784,.T.); +#16404=EDGE_CURVE('',#13719,#13721,#1788,.T.); +#16406=EDGE_CURVE('',#13721,#13723,#1792,.T.); +#16408=EDGE_CURVE('',#13723,#13725,#1796,.T.); +#16410=EDGE_CURVE('',#13725,#13727,#1800,.T.); +#16412=EDGE_CURVE('',#13727,#13729,#1804,.T.); +#16414=EDGE_CURVE('',#13729,#13731,#1808,.T.); +#16416=EDGE_CURVE('',#13731,#13620,#1812,.T.); +#16420=EDGE_CURVE('',#12108,#12109,#1817,.T.); +#16422=EDGE_CURVE('',#12109,#12108,#1822,.T.); +#16426=EDGE_CURVE('',#12166,#12167,#1827,.T.); +#16428=EDGE_CURVE('',#12167,#12166,#1832,.T.); +#16432=EDGE_CURVE('',#12192,#12193,#1837,.T.); +#16434=EDGE_CURVE('',#12193,#12192,#1842,.T.); +#16438=EDGE_CURVE('',#12218,#12219,#1847,.T.); +#16440=EDGE_CURVE('',#12219,#12218,#1852,.T.); +#16444=EDGE_CURVE('',#12244,#12245,#1857,.T.); +#16446=EDGE_CURVE('',#12245,#12244,#1862,.T.); +#16450=EDGE_CURVE('',#12270,#12271,#1867,.T.); +#16452=EDGE_CURVE('',#12271,#12270,#1872,.T.); +#16456=EDGE_CURVE('',#12296,#12297,#1877,.T.); +#16458=EDGE_CURVE('',#12297,#12296,#1882,.T.); +#16462=EDGE_CURVE('',#12322,#12323,#1887,.T.); +#16464=EDGE_CURVE('',#12323,#12322,#1892,.T.); +#16468=EDGE_CURVE('',#12134,#12135,#1897,.T.); +#16470=EDGE_CURVE('',#12135,#12134,#1902,.T.); +#16474=EDGE_CURVE('',#12348,#12349,#1907,.T.); +#16476=EDGE_CURVE('',#12349,#12348,#1912,.T.); +#16480=EDGE_CURVE('',#12364,#12365,#1917,.T.); +#16482=EDGE_CURVE('',#12365,#12364,#1922,.T.); +#16486=EDGE_CURVE('',#12380,#12381,#1927,.T.); +#16488=EDGE_CURVE('',#12381,#12380,#1932,.T.); +#16492=EDGE_CURVE('',#12396,#12397,#1937,.T.); +#16494=EDGE_CURVE('',#12397,#12396,#1942,.T.); +#16498=EDGE_CURVE('',#12412,#12413,#1947,.T.); +#16500=EDGE_CURVE('',#12413,#12412,#1952,.T.); +#16504=EDGE_CURVE('',#12428,#12429,#1957,.T.); +#16506=EDGE_CURVE('',#12429,#12428,#1962,.T.); +#16510=EDGE_CURVE('',#12150,#12151,#1967,.T.); +#16512=EDGE_CURVE('',#12151,#12150,#1972,.T.); +#16516=EDGE_CURVE('',#12444,#12445,#1977,.T.); +#16518=EDGE_CURVE('',#12445,#12444,#1982,.T.); +#16522=EDGE_CURVE('',#12460,#12461,#1987,.T.); +#16524=EDGE_CURVE('',#12461,#12460,#1992,.T.); +#16528=EDGE_CURVE('',#12476,#12477,#1997,.T.); +#16530=EDGE_CURVE('',#12477,#12476,#2002,.T.); +#16534=EDGE_CURVE('',#12492,#12493,#2007,.T.); +#16536=EDGE_CURVE('',#12493,#12492,#2012,.T.); +#16540=EDGE_CURVE('',#12508,#12509,#2017,.T.); +#16542=EDGE_CURVE('',#12509,#12508,#2022,.T.); +#16546=EDGE_CURVE('',#12524,#12525,#2027,.T.); +#16548=EDGE_CURVE('',#12525,#12524,#2032,.T.); +#16552=EDGE_CURVE('',#12540,#12541,#2037,.T.); +#16554=EDGE_CURVE('',#12541,#12540,#2042,.T.); +#16558=ADVANCED_FACE('',(#16061,#16077,#16093,#16175,#16245,#16303,#16419, +#16425,#16431,#16437,#16443,#16449,#16455,#16461,#16467,#16473,#16479,#16485, +#16491,#16497,#16503,#16509,#16515,#16521,#16527,#16533,#16539,#16545,#16551, +#16557),#15742,.F.); +#16564=EDGE_CURVE('',#13742,#13743,#2686,.T.); +#16566=EDGE_CURVE('',#13743,#11915,#2690,.T.); +#16568=EDGE_CURVE('',#11914,#11915,#2694,.T.); +#16570=EDGE_CURVE('',#13795,#11914,#2698,.T.); +#16572=EDGE_CURVE('',#13795,#13791,#2702,.T.); +#16574=EDGE_CURVE('',#11891,#13791,#4904,.T.); +#16576=EDGE_CURVE('',#11891,#13004,#4641,.T.); +#16578=EDGE_CURVE('',#13053,#13004,#4928,.T.); +#16581=EDGE_CURVE('',#13031,#12983,#2710,.T.); +#16583=EDGE_CURVE('',#12983,#11874,#4517,.T.); +#16585=EDGE_CURVE('',#11874,#13758,#4499,.T.); +#16587=EDGE_CURVE('',#13758,#13759,#2714,.T.); +#16589=EDGE_CURVE('',#13759,#11919,#2718,.T.); +#16591=EDGE_CURVE('',#11918,#11919,#2722,.T.); +#16593=EDGE_CURVE('',#13742,#11918,#2726,.T.); +#16597=ADVANCED_FACE('',(#16596),#16563,.T.); +#16604=EDGE_CURVE('',#13742,#13746,#2732,.T.); +#16606=EDGE_CURVE('',#13746,#13747,#2816,.T.); +#16608=EDGE_CURVE('',#13747,#13743,#2738,.T.); +#16612=ADVANCED_FACE('',(#16611),#16602,.T.); +#16618=EDGE_CURVE('',#13739,#13735,#2744,.T.); +#16620=EDGE_CURVE('',#13746,#13735,#2820,.T.); +#16624=EDGE_CURVE('',#11911,#11918,#4988,.T.); +#16626=EDGE_CURVE('',#11927,#11911,#2748,.T.); +#16628=EDGE_CURVE('',#13739,#11927,#2752,.T.); +#16632=ADVANCED_FACE('',(#16631),#16617,.T.); +#16638=EDGE_CURVE('',#13734,#13735,#2756,.T.); +#16641=EDGE_CURVE('',#13738,#13739,#4952,.T.); +#16643=EDGE_CURVE('',#13734,#13738,#4470,.T.); +#16647=ADVANCED_FACE('',(#16646),#16637,.T.); +#16654=EDGE_CURVE('',#13763,#13734,#2760,.T.); +#16656=EDGE_CURVE('',#13762,#13763,#2764,.T.); +#16658=EDGE_CURVE('',#13765,#13762,#2769,.T.); +#16660=EDGE_CURVE('',#13769,#13765,#2773,.T.); +#16662=EDGE_CURVE('',#13773,#13769,#2778,.T.); +#16664=EDGE_CURVE('',#13777,#13773,#2782,.T.); +#16666=EDGE_CURVE('',#13781,#13777,#2787,.T.); +#16668=EDGE_CURVE('',#13785,#13781,#2791,.T.); +#16670=EDGE_CURVE('',#13789,#13785,#2796,.T.); +#16672=EDGE_CURVE('',#13793,#13789,#2800,.T.); +#16674=EDGE_CURVE('',#13793,#13751,#2804,.T.); +#16676=EDGE_CURVE('',#13749,#13751,#2808,.T.); +#16678=EDGE_CURVE('',#13747,#13749,#2812,.T.); +#16684=EDGE_CURVE('',#11858,#11830,#2829,.T.); +#16686=EDGE_CURVE('',#11854,#11858,#2841,.T.); +#16688=EDGE_CURVE('',#11850,#11854,#2850,.T.); +#16690=EDGE_CURVE('',#11846,#11850,#2858,.T.); +#16692=EDGE_CURVE('',#11842,#11846,#2867,.T.); +#16694=EDGE_CURVE('',#11838,#11842,#2875,.T.); +#16696=EDGE_CURVE('',#11834,#11838,#2884,.T.); +#16698=EDGE_CURVE('',#11830,#11834,#2888,.T.); +#16702=ADVANCED_FACE('',(#16683,#16701),#16652,.T.); +#16708=EDGE_CURVE('',#11858,#11859,#2824,.T.); +#16711=EDGE_CURVE('',#11830,#11831,#2833,.T.); +#16713=EDGE_CURVE('',#11859,#11831,#3695,.T.); +#16717=ADVANCED_FACE('',(#16716),#16707,.F.); +#16723=EDGE_CURVE('',#11854,#11855,#2837,.T.); +#16727=EDGE_CURVE('',#11855,#11859,#3682,.T.); +#16731=ADVANCED_FACE('',(#16730),#16722,.T.); +#16737=EDGE_CURVE('',#11850,#11851,#2845,.T.); +#16741=EDGE_CURVE('',#11851,#11855,#3658,.T.); +#16745=ADVANCED_FACE('',(#16744),#16736,.F.); +#16751=EDGE_CURVE('',#11846,#11847,#2854,.T.); +#16755=EDGE_CURVE('',#11847,#11851,#3234,.T.); +#16759=ADVANCED_FACE('',(#16758),#16750,.T.); +#16765=EDGE_CURVE('',#11842,#11843,#2862,.T.); +#16769=EDGE_CURVE('',#11843,#11847,#3061,.T.); +#16773=ADVANCED_FACE('',(#16772),#16764,.F.); +#16779=EDGE_CURVE('',#11838,#11839,#2871,.T.); +#16783=EDGE_CURVE('',#11839,#11843,#2922,.T.); +#16787=ADVANCED_FACE('',(#16786),#16778,.T.); +#16793=EDGE_CURVE('',#11834,#11835,#2879,.T.); +#16797=EDGE_CURVE('',#11835,#11839,#2906,.T.); +#16801=ADVANCED_FACE('',(#16800),#16792,.F.); +#16813=ADVANCED_FACE('',(#16812),#16806,.T.); +#16819=EDGE_CURVE('',#14848,#11839,#2918,.T.); +#16821=EDGE_CURVE('',#14817,#14848,#3038,.T.); +#16823=EDGE_CURVE('',#14817,#14171,#2892,.T.); +#16830=ADVANCED_FACE('',(#16829),#16818,.F.); +#16836=EDGE_CURVE('',#12574,#14793,#2910,.T.); +#16838=EDGE_CURVE('',#14797,#14793,#2957,.T.); +#16841=EDGE_CURVE('',#14848,#14815,#3048,.T.); +#16845=EDGE_CURVE('',#14849,#11843,#3056,.T.); +#16847=EDGE_CURVE('',#14825,#14849,#3090,.T.); +#16850=EDGE_CURVE('',#14845,#14843,#3182,.T.); +#16852=EDGE_CURVE('',#12575,#14845,#2930,.T.); +#16857=ADVANCED_FACE('',(#16856),#16835,.T.); +#16863=EDGE_CURVE('',#14792,#14793,#2939,.T.); +#16867=EDGE_CURVE('',#14169,#14792,#2943,.T.); +#16871=ADVANCED_FACE('',(#16870),#16862,.F.); +#16878=EDGE_CURVE('',#14796,#14792,#2948,.T.); +#16884=ADVANCED_FACE('',(#16883),#16876,.T.); +#16892=EDGE_CURVE('',#14801,#14792,#2966,.T.); +#16894=EDGE_CURVE('',#14799,#14801,#2962,.T.); +#16898=ADVANCED_FACE('',(#16897),#16889,.T.); +#16907=EDGE_CURVE('',#14167,#14801,#2970,.T.); +#16911=ADVANCED_FACE('',(#16910),#16903,.T.); +#16917=EDGE_CURVE('',#14803,#14801,#2975,.T.); +#16921=EDGE_CURVE('',#14165,#14803,#2979,.T.); +#16925=ADVANCED_FACE('',(#16924),#16916,.T.); +#16932=EDGE_CURVE('',#14799,#14803,#2984,.T.); +#16937=ADVANCED_FACE('',(#16936),#16930,.T.); +#16945=EDGE_CURVE('',#14807,#14803,#2993,.T.); +#16947=EDGE_CURVE('',#14805,#14807,#2989,.T.); +#16951=ADVANCED_FACE('',(#16950),#16942,.T.); +#16960=EDGE_CURVE('',#14163,#14807,#2997,.T.); +#16964=ADVANCED_FACE('',(#16963),#16956,.T.); +#16970=EDGE_CURVE('',#14809,#14807,#3002,.T.); +#16974=EDGE_CURVE('',#14161,#14809,#3006,.T.); +#16978=ADVANCED_FACE('',(#16977),#16969,.T.); +#16985=EDGE_CURVE('',#14805,#14809,#3011,.T.); +#16990=ADVANCED_FACE('',(#16989),#16983,.T.); +#16998=EDGE_CURVE('',#14813,#14809,#3020,.T.); +#17000=EDGE_CURVE('',#14811,#14813,#3016,.T.); +#17004=ADVANCED_FACE('',(#17003),#16995,.T.); +#17013=EDGE_CURVE('',#14160,#14813,#3024,.T.); +#17017=ADVANCED_FACE('',(#17016),#17009,.T.); +#17023=EDGE_CURVE('',#14817,#14813,#3029,.T.); +#17030=ADVANCED_FACE('',(#17029),#17022,.F.); +#17043=ADVANCED_FACE('',(#17042),#17035,.T.); +#17049=EDGE_CURVE('',#14821,#14174,#3052,.T.); +#17051=EDGE_CURVE('',#14849,#14821,#3099,.T.); +#17055=EDGE_CURVE('',#11847,#11869,#3230,.T.); +#17060=ADVANCED_FACE('',(#17059),#17048,.F.); +#17066=EDGE_CURVE('',#14820,#14821,#3071,.T.); +#17070=EDGE_CURVE('',#14175,#14820,#3075,.T.); +#17074=ADVANCED_FACE('',(#17073),#17065,.F.); +#17081=EDGE_CURVE('',#14824,#14820,#3080,.T.); +#17088=ADVANCED_FACE('',(#17087),#17079,.T.); +#17096=EDGE_CURVE('',#14829,#14820,#3108,.T.); +#17098=EDGE_CURVE('',#14827,#14829,#3104,.T.); +#17102=ADVANCED_FACE('',(#17101),#17093,.T.); +#17111=EDGE_CURVE('',#14185,#14829,#3112,.T.); +#17115=ADVANCED_FACE('',(#17114),#17107,.T.); +#17121=EDGE_CURVE('',#14831,#14829,#3117,.T.); +#17125=EDGE_CURVE('',#14183,#14831,#3121,.T.); +#17129=ADVANCED_FACE('',(#17128),#17120,.T.); +#17136=EDGE_CURVE('',#14827,#14831,#3126,.T.); +#17141=ADVANCED_FACE('',(#17140),#17134,.T.); +#17149=EDGE_CURVE('',#14835,#14831,#3135,.T.); +#17151=EDGE_CURVE('',#14833,#14835,#3131,.T.); +#17155=ADVANCED_FACE('',(#17154),#17146,.T.); +#17164=EDGE_CURVE('',#14181,#14835,#3139,.T.); +#17168=ADVANCED_FACE('',(#17167),#17160,.T.); +#17174=EDGE_CURVE('',#14837,#14835,#3144,.T.); +#17178=EDGE_CURVE('',#14179,#14837,#3148,.T.); +#17182=ADVANCED_FACE('',(#17181),#17173,.T.); +#17189=EDGE_CURVE('',#14833,#14837,#3153,.T.); +#17194=ADVANCED_FACE('',(#17193),#17187,.T.); +#17202=EDGE_CURVE('',#14841,#14837,#3162,.T.); +#17204=EDGE_CURVE('',#14839,#14841,#3158,.T.); +#17208=ADVANCED_FACE('',(#17207),#17199,.T.); +#17217=EDGE_CURVE('',#14177,#14841,#3166,.T.); +#17221=ADVANCED_FACE('',(#17220),#17213,.T.); +#17227=EDGE_CURVE('',#14845,#14841,#3171,.T.); +#17234=ADVANCED_FACE('',(#17233),#17226,.F.); +#17246=ADVANCED_FACE('',(#17245),#17239,.T.); +#17252=EDGE_CURVE('',#13126,#14881,#3186,.T.); +#17254=EDGE_CURVE('',#14885,#14881,#3341,.T.); +#17257=EDGE_CURVE('',#14905,#14903,#3424,.T.); +#17259=EDGE_CURVE('',#13123,#14905,#3190,.T.); +#17262=EDGE_CURVE('',#13122,#14681,#3198,.T.); +#17264=EDGE_CURVE('',#14685,#14681,#3447,.T.); +#17267=EDGE_CURVE('',#14705,#14703,#3530,.T.); +#17269=EDGE_CURVE('',#12581,#14705,#3206,.T.); +#17272=EDGE_CURVE('',#12580,#14709,#3214,.T.); +#17274=EDGE_CURVE('',#14713,#14709,#3553,.T.); +#17277=EDGE_CURVE('',#14733,#14731,#3636,.T.); +#17279=EDGE_CURVE('',#12577,#14733,#3222,.T.); +#17284=EDGE_CURVE('',#11851,#11871,#3653,.T.); +#17287=EDGE_CURVE('',#13111,#14541,#3242,.T.); +#17289=EDGE_CURVE('',#14545,#14541,#3957,.T.); +#17292=EDGE_CURVE('',#14565,#14563,#4040,.T.); +#17294=EDGE_CURVE('',#13109,#14565,#3250,.T.); +#17297=EDGE_CURVE('',#13108,#14569,#3258,.T.); +#17299=EDGE_CURVE('',#14573,#14569,#4063,.T.); +#17302=EDGE_CURVE('',#14593,#14591,#4146,.T.); +#17304=EDGE_CURVE('',#13105,#14593,#3266,.T.); +#17307=EDGE_CURVE('',#13104,#14597,#3274,.T.); +#17309=EDGE_CURVE('',#14601,#14597,#4169,.T.); +#17312=EDGE_CURVE('',#14621,#14619,#4252,.T.); +#17314=EDGE_CURVE('',#13101,#14621,#3282,.T.); +#17317=EDGE_CURVE('',#13100,#14625,#3290,.T.); +#17319=EDGE_CURVE('',#14629,#14625,#4275,.T.); +#17322=EDGE_CURVE('',#14649,#14647,#4358,.T.); +#17324=EDGE_CURVE('',#13097,#14649,#3298,.T.); +#17327=EDGE_CURVE('',#13096,#14653,#3306,.T.); +#17329=EDGE_CURVE('',#14657,#14653,#4381,.T.); +#17332=EDGE_CURVE('',#14677,#14675,#4464,.T.); +#17334=EDGE_CURVE('',#13127,#14677,#3314,.T.); +#17339=ADVANCED_FACE('',(#17338),#17251,.T.); +#17345=EDGE_CURVE('',#14880,#14881,#3323,.T.); +#17349=EDGE_CURVE('',#12963,#14880,#3327,.T.); +#17353=ADVANCED_FACE('',(#17352),#17344,.F.); +#17360=EDGE_CURVE('',#14884,#14880,#3332,.T.); +#17366=ADVANCED_FACE('',(#17365),#17358,.T.); +#17374=EDGE_CURVE('',#14889,#14880,#3350,.T.); +#17376=EDGE_CURVE('',#14887,#14889,#3346,.T.); +#17380=ADVANCED_FACE('',(#17379),#17371,.T.); +#17389=EDGE_CURVE('',#12962,#14889,#3354,.T.); +#17393=ADVANCED_FACE('',(#17392),#17385,.T.); +#17399=EDGE_CURVE('',#14891,#14889,#3359,.T.); +#17403=EDGE_CURVE('',#12971,#14891,#3363,.T.); +#17407=ADVANCED_FACE('',(#17406),#17398,.T.); +#17414=EDGE_CURVE('',#14887,#14891,#3368,.T.); +#17419=ADVANCED_FACE('',(#17418),#17412,.T.); +#17427=EDGE_CURVE('',#14895,#14891,#3377,.T.); +#17429=EDGE_CURVE('',#14893,#14895,#3373,.T.); +#17433=ADVANCED_FACE('',(#17432),#17424,.T.); +#17442=EDGE_CURVE('',#12970,#14895,#3381,.T.); +#17446=ADVANCED_FACE('',(#17445),#17438,.T.); +#17452=EDGE_CURVE('',#14897,#14895,#3386,.T.); +#17456=EDGE_CURVE('',#12967,#14897,#3390,.T.); +#17460=ADVANCED_FACE('',(#17459),#17451,.T.); +#17467=EDGE_CURVE('',#14893,#14897,#3395,.T.); +#17472=ADVANCED_FACE('',(#17471),#17465,.T.); +#17480=EDGE_CURVE('',#14901,#14897,#3404,.T.); +#17482=EDGE_CURVE('',#14899,#14901,#3400,.T.); +#17486=ADVANCED_FACE('',(#17485),#17477,.T.); +#17495=EDGE_CURVE('',#12966,#14901,#3408,.T.); +#17499=ADVANCED_FACE('',(#17498),#17491,.T.); +#17505=EDGE_CURVE('',#14905,#14901,#3413,.T.); +#17512=ADVANCED_FACE('',(#17511),#17504,.F.); +#17524=ADVANCED_FACE('',(#17523),#17517,.T.); +#17530=EDGE_CURVE('',#14680,#14681,#3429,.T.); +#17534=EDGE_CURVE('',#14329,#14680,#3433,.T.); +#17538=ADVANCED_FACE('',(#17537),#17529,.F.); +#17545=EDGE_CURVE('',#14684,#14680,#3438,.T.); +#17551=ADVANCED_FACE('',(#17550),#17543,.T.); +#17559=EDGE_CURVE('',#14689,#14680,#3456,.T.); +#17561=EDGE_CURVE('',#14687,#14689,#3452,.T.); +#17565=ADVANCED_FACE('',(#17564),#17556,.T.); +#17574=EDGE_CURVE('',#14327,#14689,#3460,.T.); +#17578=ADVANCED_FACE('',(#17577),#17570,.T.); +#17584=EDGE_CURVE('',#14691,#14689,#3465,.T.); +#17588=EDGE_CURVE('',#14325,#14691,#3469,.T.); +#17592=ADVANCED_FACE('',(#17591),#17583,.T.); +#17599=EDGE_CURVE('',#14687,#14691,#3474,.T.); +#17604=ADVANCED_FACE('',(#17603),#17597,.T.); +#17612=EDGE_CURVE('',#14695,#14691,#3483,.T.); +#17614=EDGE_CURVE('',#14693,#14695,#3479,.T.); +#17618=ADVANCED_FACE('',(#17617),#17609,.T.); +#17627=EDGE_CURVE('',#14323,#14695,#3487,.T.); +#17631=ADVANCED_FACE('',(#17630),#17623,.T.); +#17637=EDGE_CURVE('',#14697,#14695,#3492,.T.); +#17641=EDGE_CURVE('',#14321,#14697,#3496,.T.); +#17645=ADVANCED_FACE('',(#17644),#17636,.T.); +#17652=EDGE_CURVE('',#14693,#14697,#3501,.T.); +#17657=ADVANCED_FACE('',(#17656),#17650,.T.); +#17665=EDGE_CURVE('',#14701,#14697,#3510,.T.); +#17667=EDGE_CURVE('',#14699,#14701,#3506,.T.); +#17671=ADVANCED_FACE('',(#17670),#17662,.T.); +#17680=EDGE_CURVE('',#14319,#14701,#3514,.T.); +#17684=ADVANCED_FACE('',(#17683),#17676,.T.); +#17690=EDGE_CURVE('',#14705,#14701,#3519,.T.); +#17697=ADVANCED_FACE('',(#17696),#17689,.F.); +#17709=ADVANCED_FACE('',(#17708),#17702,.T.); +#17715=EDGE_CURVE('',#14708,#14709,#3535,.T.); +#17719=EDGE_CURVE('',#14317,#14708,#3539,.T.); +#17723=ADVANCED_FACE('',(#17722),#17714,.F.); +#17730=EDGE_CURVE('',#14712,#14708,#3544,.T.); +#17736=ADVANCED_FACE('',(#17735),#17728,.T.); +#17744=EDGE_CURVE('',#14717,#14708,#3562,.T.); +#17746=EDGE_CURVE('',#14715,#14717,#3558,.T.); +#17750=ADVANCED_FACE('',(#17749),#17741,.T.); +#17759=EDGE_CURVE('',#14315,#14717,#3566,.T.); +#17763=ADVANCED_FACE('',(#17762),#17755,.T.); +#17769=EDGE_CURVE('',#14719,#14717,#3571,.T.); +#17773=EDGE_CURVE('',#14313,#14719,#3575,.T.); +#17777=ADVANCED_FACE('',(#17776),#17768,.T.); +#17784=EDGE_CURVE('',#14715,#14719,#3580,.T.); +#17789=ADVANCED_FACE('',(#17788),#17782,.T.); +#17797=EDGE_CURVE('',#14723,#14719,#3589,.T.); +#17799=EDGE_CURVE('',#14721,#14723,#3585,.T.); +#17803=ADVANCED_FACE('',(#17802),#17794,.T.); +#17812=EDGE_CURVE('',#14311,#14723,#3593,.T.); +#17816=ADVANCED_FACE('',(#17815),#17808,.T.); +#17822=EDGE_CURVE('',#14725,#14723,#3598,.T.); +#17826=EDGE_CURVE('',#14309,#14725,#3602,.T.); +#17830=ADVANCED_FACE('',(#17829),#17821,.T.); +#17837=EDGE_CURVE('',#14721,#14725,#3607,.T.); +#17842=ADVANCED_FACE('',(#17841),#17835,.T.); +#17850=EDGE_CURVE('',#14729,#14725,#3616,.T.); +#17852=EDGE_CURVE('',#14727,#14729,#3612,.T.); +#17856=ADVANCED_FACE('',(#17855),#17847,.T.); +#17865=EDGE_CURVE('',#14307,#14729,#3620,.T.); +#17869=ADVANCED_FACE('',(#17868),#17861,.T.); +#17875=EDGE_CURVE('',#14733,#14729,#3625,.T.); +#17882=ADVANCED_FACE('',(#17881),#17874,.F.); +#17894=ADVANCED_FACE('',(#17893),#17887,.T.); +#17900=EDGE_CURVE('',#11855,#14847,#3640,.T.); +#17902=EDGE_CURVE('',#14789,#14847,#3924,.T.); +#17904=EDGE_CURVE('',#14789,#14157,#3644,.T.); +#17911=ADVANCED_FACE('',(#17910),#17899,.F.); +#17917=EDGE_CURVE('',#14846,#11859,#3690,.T.); +#17919=EDGE_CURVE('',#14741,#14846,#3728,.T.); +#17922=EDGE_CURVE('',#14761,#14759,#3820,.T.); +#17924=EDGE_CURVE('',#12585,#14761,#3666,.T.); +#17927=EDGE_CURVE('',#12584,#14765,#3674,.T.); +#17929=EDGE_CURVE('',#14769,#14765,#3843,.T.); +#17932=EDGE_CURVE('',#14847,#14787,#3934,.T.); +#17938=ADVANCED_FACE('',(#17937),#17916,.T.); +#17944=EDGE_CURVE('',#14737,#14135,#3686,.T.); +#17946=EDGE_CURVE('',#14846,#14737,#3737,.T.); +#17954=ADVANCED_FACE('',(#17953),#17943,.F.); +#17960=EDGE_CURVE('',#14736,#14737,#3709,.T.); +#17964=EDGE_CURVE('',#12565,#14736,#3713,.T.); +#17968=ADVANCED_FACE('',(#17967),#17959,.F.); +#17975=EDGE_CURVE('',#14740,#14736,#3718,.T.); +#17982=ADVANCED_FACE('',(#17981),#17973,.T.); +#17990=EDGE_CURVE('',#14745,#14736,#3746,.T.); +#17992=EDGE_CURVE('',#14743,#14745,#3742,.T.); +#17996=ADVANCED_FACE('',(#17995),#17987,.T.); +#18005=EDGE_CURVE('',#12564,#14745,#3750,.T.); +#18009=ADVANCED_FACE('',(#18008),#18001,.T.); +#18015=EDGE_CURVE('',#14747,#14745,#3755,.T.); +#18019=EDGE_CURVE('',#12561,#14747,#3759,.T.); +#18023=ADVANCED_FACE('',(#18022),#18014,.T.); +#18030=EDGE_CURVE('',#14743,#14747,#3764,.T.); +#18035=ADVANCED_FACE('',(#18034),#18028,.T.); +#18043=EDGE_CURVE('',#14751,#14747,#3773,.T.); +#18045=EDGE_CURVE('',#14749,#14751,#3769,.T.); +#18049=ADVANCED_FACE('',(#18048),#18040,.T.); +#18058=EDGE_CURVE('',#12560,#14751,#3777,.T.); +#18062=ADVANCED_FACE('',(#18061),#18054,.T.); +#18068=EDGE_CURVE('',#14753,#14751,#3782,.T.); +#18072=EDGE_CURVE('',#14139,#14753,#3786,.T.); +#18076=ADVANCED_FACE('',(#18075),#18067,.T.); +#18083=EDGE_CURVE('',#14749,#14753,#3791,.T.); +#18088=ADVANCED_FACE('',(#18087),#18081,.T.); +#18096=EDGE_CURVE('',#14757,#14753,#3800,.T.); +#18098=EDGE_CURVE('',#14755,#14757,#3796,.T.); +#18102=ADVANCED_FACE('',(#18101),#18093,.T.); +#18111=EDGE_CURVE('',#14137,#14757,#3804,.T.); +#18115=ADVANCED_FACE('',(#18114),#18107,.T.); +#18121=EDGE_CURVE('',#14761,#14757,#3809,.T.); +#18128=ADVANCED_FACE('',(#18127),#18120,.F.); +#18140=ADVANCED_FACE('',(#18139),#18133,.T.); +#18146=EDGE_CURVE('',#14764,#14765,#3825,.T.); +#18150=EDGE_CURVE('',#14155,#14764,#3829,.T.); +#18154=ADVANCED_FACE('',(#18153),#18145,.F.); +#18161=EDGE_CURVE('',#14768,#14764,#3834,.T.); +#18167=ADVANCED_FACE('',(#18166),#18159,.T.); +#18175=EDGE_CURVE('',#14773,#14764,#3852,.T.); +#18177=EDGE_CURVE('',#14771,#14773,#3848,.T.); +#18181=ADVANCED_FACE('',(#18180),#18172,.T.); +#18190=EDGE_CURVE('',#14153,#14773,#3856,.T.); +#18194=ADVANCED_FACE('',(#18193),#18186,.T.); +#18200=EDGE_CURVE('',#14775,#14773,#3861,.T.); +#18204=EDGE_CURVE('',#14151,#14775,#3865,.T.); +#18208=ADVANCED_FACE('',(#18207),#18199,.T.); +#18215=EDGE_CURVE('',#14771,#14775,#3870,.T.); +#18220=ADVANCED_FACE('',(#18219),#18213,.T.); +#18228=EDGE_CURVE('',#14779,#14775,#3879,.T.); +#18230=EDGE_CURVE('',#14777,#14779,#3875,.T.); +#18234=ADVANCED_FACE('',(#18233),#18225,.T.); +#18243=EDGE_CURVE('',#14149,#14779,#3883,.T.); +#18247=ADVANCED_FACE('',(#18246),#18239,.T.); +#18253=EDGE_CURVE('',#14781,#14779,#3888,.T.); +#18257=EDGE_CURVE('',#14147,#14781,#3892,.T.); +#18261=ADVANCED_FACE('',(#18260),#18252,.T.); +#18268=EDGE_CURVE('',#14777,#14781,#3897,.T.); +#18273=ADVANCED_FACE('',(#18272),#18266,.T.); +#18281=EDGE_CURVE('',#14785,#14781,#3906,.T.); +#18283=EDGE_CURVE('',#14783,#14785,#3902,.T.); +#18287=ADVANCED_FACE('',(#18286),#18278,.T.); +#18296=EDGE_CURVE('',#14146,#14785,#3910,.T.); +#18300=ADVANCED_FACE('',(#18299),#18292,.T.); +#18306=EDGE_CURVE('',#14789,#14785,#3915,.T.); +#18313=ADVANCED_FACE('',(#18312),#18305,.F.); +#18326=ADVANCED_FACE('',(#18325),#18318,.T.); +#18332=EDGE_CURVE('',#14540,#14541,#3939,.T.); +#18336=EDGE_CURVE('',#14269,#14540,#3943,.T.); +#18340=ADVANCED_FACE('',(#18339),#18331,.F.); +#18347=EDGE_CURVE('',#14544,#14540,#3948,.T.); +#18353=ADVANCED_FACE('',(#18352),#18345,.T.); +#18361=EDGE_CURVE('',#14549,#14540,#3966,.T.); +#18363=EDGE_CURVE('',#14547,#14549,#3962,.T.); +#18367=ADVANCED_FACE('',(#18366),#18358,.T.); +#18376=EDGE_CURVE('',#14267,#14549,#3970,.T.); +#18380=ADVANCED_FACE('',(#18379),#18372,.T.); +#18386=EDGE_CURVE('',#14551,#14549,#3975,.T.); +#18390=EDGE_CURVE('',#14265,#14551,#3979,.T.); +#18394=ADVANCED_FACE('',(#18393),#18385,.T.); +#18401=EDGE_CURVE('',#14547,#14551,#3984,.T.); +#18406=ADVANCED_FACE('',(#18405),#18399,.T.); +#18414=EDGE_CURVE('',#14555,#14551,#3993,.T.); +#18416=EDGE_CURVE('',#14553,#14555,#3989,.T.); +#18420=ADVANCED_FACE('',(#18419),#18411,.T.); +#18429=EDGE_CURVE('',#14263,#14555,#3997,.T.); +#18433=ADVANCED_FACE('',(#18432),#18425,.T.); +#18439=EDGE_CURVE('',#14557,#14555,#4002,.T.); +#18443=EDGE_CURVE('',#14261,#14557,#4006,.T.); +#18447=ADVANCED_FACE('',(#18446),#18438,.T.); +#18454=EDGE_CURVE('',#14553,#14557,#4011,.T.); +#18459=ADVANCED_FACE('',(#18458),#18452,.T.); +#18467=EDGE_CURVE('',#14561,#14557,#4020,.T.); +#18469=EDGE_CURVE('',#14559,#14561,#4016,.T.); +#18473=ADVANCED_FACE('',(#18472),#18464,.T.); +#18482=EDGE_CURVE('',#14259,#14561,#4024,.T.); +#18486=ADVANCED_FACE('',(#18485),#18478,.T.); +#18492=EDGE_CURVE('',#14565,#14561,#4029,.T.); +#18499=ADVANCED_FACE('',(#18498),#18491,.F.); +#18511=ADVANCED_FACE('',(#18510),#18504,.T.); +#18517=EDGE_CURVE('',#14568,#14569,#4045,.T.); +#18521=EDGE_CURVE('',#14281,#14568,#4049,.T.); +#18525=ADVANCED_FACE('',(#18524),#18516,.F.); +#18532=EDGE_CURVE('',#14572,#14568,#4054,.T.); +#18538=ADVANCED_FACE('',(#18537),#18530,.T.); +#18546=EDGE_CURVE('',#14577,#14568,#4072,.T.); +#18548=EDGE_CURVE('',#14575,#14577,#4068,.T.); +#18552=ADVANCED_FACE('',(#18551),#18543,.T.); +#18561=EDGE_CURVE('',#14279,#14577,#4076,.T.); +#18565=ADVANCED_FACE('',(#18564),#18557,.T.); +#18571=EDGE_CURVE('',#14579,#14577,#4081,.T.); +#18575=EDGE_CURVE('',#14277,#14579,#4085,.T.); +#18579=ADVANCED_FACE('',(#18578),#18570,.T.); +#18586=EDGE_CURVE('',#14575,#14579,#4090,.T.); +#18591=ADVANCED_FACE('',(#18590),#18584,.T.); +#18599=EDGE_CURVE('',#14583,#14579,#4099,.T.); +#18601=EDGE_CURVE('',#14581,#14583,#4095,.T.); +#18605=ADVANCED_FACE('',(#18604),#18596,.T.); +#18614=EDGE_CURVE('',#14275,#14583,#4103,.T.); +#18618=ADVANCED_FACE('',(#18617),#18610,.T.); +#18624=EDGE_CURVE('',#14585,#14583,#4108,.T.); +#18628=EDGE_CURVE('',#14273,#14585,#4112,.T.); +#18632=ADVANCED_FACE('',(#18631),#18623,.T.); +#18639=EDGE_CURVE('',#14581,#14585,#4117,.T.); +#18644=ADVANCED_FACE('',(#18643),#18637,.T.); +#18652=EDGE_CURVE('',#14589,#14585,#4126,.T.); +#18654=EDGE_CURVE('',#14587,#14589,#4122,.T.); +#18658=ADVANCED_FACE('',(#18657),#18649,.T.); +#18667=EDGE_CURVE('',#14271,#14589,#4130,.T.); +#18671=ADVANCED_FACE('',(#18670),#18663,.T.); +#18677=EDGE_CURVE('',#14593,#14589,#4135,.T.); +#18684=ADVANCED_FACE('',(#18683),#18676,.F.); +#18696=ADVANCED_FACE('',(#18695),#18689,.T.); +#18702=EDGE_CURVE('',#14596,#14597,#4151,.T.); +#18706=EDGE_CURVE('',#14293,#14596,#4155,.T.); +#18710=ADVANCED_FACE('',(#18709),#18701,.F.); +#18717=EDGE_CURVE('',#14600,#14596,#4160,.T.); +#18723=ADVANCED_FACE('',(#18722),#18715,.T.); +#18731=EDGE_CURVE('',#14605,#14596,#4178,.T.); +#18733=EDGE_CURVE('',#14603,#14605,#4174,.T.); +#18737=ADVANCED_FACE('',(#18736),#18728,.T.); +#18746=EDGE_CURVE('',#14291,#14605,#4182,.T.); +#18750=ADVANCED_FACE('',(#18749),#18742,.T.); +#18756=EDGE_CURVE('',#14607,#14605,#4187,.T.); +#18760=EDGE_CURVE('',#14289,#14607,#4191,.T.); +#18764=ADVANCED_FACE('',(#18763),#18755,.T.); +#18771=EDGE_CURVE('',#14603,#14607,#4196,.T.); +#18776=ADVANCED_FACE('',(#18775),#18769,.T.); +#18784=EDGE_CURVE('',#14611,#14607,#4205,.T.); +#18786=EDGE_CURVE('',#14609,#14611,#4201,.T.); +#18790=ADVANCED_FACE('',(#18789),#18781,.T.); +#18799=EDGE_CURVE('',#14287,#14611,#4209,.T.); +#18803=ADVANCED_FACE('',(#18802),#18795,.T.); +#18809=EDGE_CURVE('',#14613,#14611,#4214,.T.); +#18813=EDGE_CURVE('',#14285,#14613,#4218,.T.); +#18817=ADVANCED_FACE('',(#18816),#18808,.T.); +#18824=EDGE_CURVE('',#14609,#14613,#4223,.T.); +#18829=ADVANCED_FACE('',(#18828),#18822,.T.); +#18837=EDGE_CURVE('',#14617,#14613,#4232,.T.); +#18839=EDGE_CURVE('',#14615,#14617,#4228,.T.); +#18843=ADVANCED_FACE('',(#18842),#18834,.T.); +#18852=EDGE_CURVE('',#14283,#14617,#4236,.T.); +#18856=ADVANCED_FACE('',(#18855),#18848,.T.); +#18862=EDGE_CURVE('',#14621,#14617,#4241,.T.); +#18869=ADVANCED_FACE('',(#18868),#18861,.F.); +#18881=ADVANCED_FACE('',(#18880),#18874,.T.); +#18887=EDGE_CURVE('',#14624,#14625,#4257,.T.); +#18891=EDGE_CURVE('',#14305,#14624,#4261,.T.); +#18895=ADVANCED_FACE('',(#18894),#18886,.F.); +#18902=EDGE_CURVE('',#14628,#14624,#4266,.T.); +#18908=ADVANCED_FACE('',(#18907),#18900,.T.); +#18916=EDGE_CURVE('',#14633,#14624,#4284,.T.); +#18918=EDGE_CURVE('',#14631,#14633,#4280,.T.); +#18922=ADVANCED_FACE('',(#18921),#18913,.T.); +#18931=EDGE_CURVE('',#14303,#14633,#4288,.T.); +#18935=ADVANCED_FACE('',(#18934),#18927,.T.); +#18941=EDGE_CURVE('',#14635,#14633,#4293,.T.); +#18945=EDGE_CURVE('',#14301,#14635,#4297,.T.); +#18949=ADVANCED_FACE('',(#18948),#18940,.T.); +#18956=EDGE_CURVE('',#14631,#14635,#4302,.T.); +#18961=ADVANCED_FACE('',(#18960),#18954,.T.); +#18969=EDGE_CURVE('',#14639,#14635,#4311,.T.); +#18971=EDGE_CURVE('',#14637,#14639,#4307,.T.); +#18975=ADVANCED_FACE('',(#18974),#18966,.T.); +#18984=EDGE_CURVE('',#14299,#14639,#4315,.T.); +#18988=ADVANCED_FACE('',(#18987),#18980,.T.); +#18994=EDGE_CURVE('',#14641,#14639,#4320,.T.); +#18998=EDGE_CURVE('',#14297,#14641,#4324,.T.); +#19002=ADVANCED_FACE('',(#19001),#18993,.T.); +#19009=EDGE_CURVE('',#14637,#14641,#4329,.T.); +#19014=ADVANCED_FACE('',(#19013),#19007,.T.); +#19022=EDGE_CURVE('',#14645,#14641,#4338,.T.); +#19024=EDGE_CURVE('',#14643,#14645,#4334,.T.); +#19028=ADVANCED_FACE('',(#19027),#19019,.T.); +#19037=EDGE_CURVE('',#14295,#14645,#4342,.T.); +#19041=ADVANCED_FACE('',(#19040),#19033,.T.); +#19047=EDGE_CURVE('',#14649,#14645,#4347,.T.); +#19054=ADVANCED_FACE('',(#19053),#19046,.F.); +#19066=ADVANCED_FACE('',(#19065),#19059,.T.); +#19072=EDGE_CURVE('',#14652,#14653,#4363,.T.); +#19076=EDGE_CURVE('',#14341,#14652,#4367,.T.); +#19080=ADVANCED_FACE('',(#19079),#19071,.F.); +#19087=EDGE_CURVE('',#14656,#14652,#4372,.T.); +#19093=ADVANCED_FACE('',(#19092),#19085,.T.); +#19101=EDGE_CURVE('',#14661,#14652,#4390,.T.); +#19103=EDGE_CURVE('',#14659,#14661,#4386,.T.); +#19107=ADVANCED_FACE('',(#19106),#19098,.T.); +#19116=EDGE_CURVE('',#14339,#14661,#4394,.T.); +#19120=ADVANCED_FACE('',(#19119),#19112,.T.); +#19126=EDGE_CURVE('',#14663,#14661,#4399,.T.); +#19130=EDGE_CURVE('',#14337,#14663,#4403,.T.); +#19134=ADVANCED_FACE('',(#19133),#19125,.T.); +#19141=EDGE_CURVE('',#14659,#14663,#4408,.T.); +#19146=ADVANCED_FACE('',(#19145),#19139,.T.); +#19154=EDGE_CURVE('',#14667,#14663,#4417,.T.); +#19156=EDGE_CURVE('',#14665,#14667,#4413,.T.); +#19160=ADVANCED_FACE('',(#19159),#19151,.T.); +#19169=EDGE_CURVE('',#14335,#14667,#4421,.T.); +#19173=ADVANCED_FACE('',(#19172),#19165,.T.); +#19179=EDGE_CURVE('',#14669,#14667,#4426,.T.); +#19183=EDGE_CURVE('',#14333,#14669,#4430,.T.); +#19187=ADVANCED_FACE('',(#19186),#19178,.T.); +#19194=EDGE_CURVE('',#14665,#14669,#4435,.T.); +#19199=ADVANCED_FACE('',(#19198),#19192,.T.); +#19207=EDGE_CURVE('',#14673,#14669,#4444,.T.); +#19209=EDGE_CURVE('',#14671,#14673,#4440,.T.); +#19213=ADVANCED_FACE('',(#19212),#19204,.T.); +#19222=EDGE_CURVE('',#14331,#14673,#4448,.T.); +#19226=ADVANCED_FACE('',(#19225),#19218,.T.); +#19232=EDGE_CURVE('',#14677,#14673,#4453,.T.); +#19239=ADVANCED_FACE('',(#19238),#19231,.F.); +#19251=ADVANCED_FACE('',(#19250),#19244,.T.); +#19258=EDGE_CURVE('',#13763,#13759,#4485,.T.); +#19262=EDGE_CURVE('',#13738,#11926,#4474,.T.); +#19264=EDGE_CURVE('',#11926,#11910,#4964,.T.); +#19266=EDGE_CURVE('',#11919,#11910,#4980,.T.); +#19270=ADVANCED_FACE('',(#19269),#19256,.T.); +#19277=EDGE_CURVE('',#13762,#13758,#4479,.T.); +#19283=ADVANCED_FACE('',(#19282),#19275,.T.); +#19291=EDGE_CURVE('',#13767,#13758,#4495,.T.); +#19293=EDGE_CURVE('',#13765,#13767,#4490,.T.); +#19297=ADVANCED_FACE('',(#19296),#19288,.T.); +#19305=EDGE_CURVE('',#11874,#11875,#4504,.T.); +#19307=EDGE_CURVE('',#11875,#12883,#4508,.T.); +#19310=EDGE_CURVE('',#11894,#13767,#4709,.T.); +#19314=ADVANCED_FACE('',(#19313),#19302,.T.); +#19322=EDGE_CURVE('',#12981,#12983,#4522,.T.); +#19324=EDGE_CURVE('',#12979,#12981,#4527,.T.); +#19326=EDGE_CURVE('',#12977,#12979,#4531,.T.); +#19328=EDGE_CURVE('',#12975,#12977,#4536,.T.); +#19330=EDGE_CURVE('',#12974,#12975,#4540,.T.); +#19332=EDGE_CURVE('',#11875,#12974,#4545,.T.); +#19336=EDGE_CURVE('',#12912,#12913,#4666,.T.); +#19338=EDGE_CURVE('',#12913,#12912,#4671,.T.); +#19342=ADVANCED_FACE('',(#19335,#19341),#19319,.T.); +#19348=EDGE_CURVE('',#11878,#11879,#4721,.T.); +#19350=EDGE_CURVE('',#12989,#11878,#4550,.T.); +#19352=EDGE_CURVE('',#12987,#12989,#4554,.T.); +#19354=EDGE_CURVE('',#12986,#12987,#4559,.T.); +#19356=EDGE_CURVE('',#12995,#12986,#4563,.T.); +#19358=EDGE_CURVE('',#12993,#12995,#4568,.T.); +#19360=EDGE_CURVE('',#12992,#12993,#4572,.T.); +#19362=EDGE_CURVE('',#11881,#12992,#4577,.T.); +#19364=EDGE_CURVE('',#11879,#11881,#4745,.T.); +#19368=EDGE_CURVE('',#12916,#12917,#4676,.T.); +#19370=EDGE_CURVE('',#12917,#12916,#4681,.T.); +#19374=ADVANCED_FACE('',(#19367,#19373),#19347,.T.); +#19380=EDGE_CURVE('',#11884,#11885,#4823,.T.); +#19382=EDGE_CURVE('',#13015,#11884,#4582,.T.); +#19384=EDGE_CURVE('',#13013,#13015,#4586,.T.); +#19386=EDGE_CURVE('',#13011,#13013,#4591,.T.); +#19388=EDGE_CURVE('',#13010,#13011,#4595,.T.); +#19390=EDGE_CURVE('',#13019,#13010,#4600,.T.); +#19392=EDGE_CURVE('',#13018,#13019,#4604,.T.); +#19394=EDGE_CURVE('',#11887,#13018,#4609,.T.); +#19396=EDGE_CURVE('',#11885,#11887,#4859,.T.); +#19400=EDGE_CURVE('',#12908,#12909,#4656,.T.); +#19402=EDGE_CURVE('',#12909,#12908,#4661,.T.); +#19406=ADVANCED_FACE('',(#19399,#19405),#19379,.T.); +#19412=EDGE_CURVE('',#11890,#11891,#4900,.T.); +#19414=EDGE_CURVE('',#13001,#11890,#4614,.T.); +#19416=EDGE_CURVE('',#12999,#13001,#4618,.T.); +#19418=EDGE_CURVE('',#12998,#12999,#4623,.T.); +#19420=EDGE_CURVE('',#13007,#12998,#4627,.T.); +#19422=EDGE_CURVE('',#13005,#13007,#4632,.T.); +#19424=EDGE_CURVE('',#13004,#13005,#4637,.T.); +#19429=EDGE_CURVE('',#12904,#12905,#4646,.T.); +#19431=EDGE_CURVE('',#12905,#12904,#4651,.T.); +#19435=ADVANCED_FACE('',(#19428,#19434),#19411,.T.); +#19442=EDGE_CURVE('',#13029,#12981,#4685,.T.); +#19448=ADVANCED_FACE('',(#19447),#19440,.F.); +#19455=EDGE_CURVE('',#13027,#12979,#4689,.T.); +#19461=ADVANCED_FACE('',(#19460),#19453,.T.); +#19468=EDGE_CURVE('',#13025,#12977,#4693,.T.); +#19474=ADVANCED_FACE('',(#19473),#19466,.T.); +#19481=EDGE_CURVE('',#13023,#12975,#4697,.T.); +#19487=ADVANCED_FACE('',(#19486),#19479,.T.); +#19494=EDGE_CURVE('',#13021,#12974,#4701,.T.); +#19500=ADVANCED_FACE('',(#19499),#19492,.T.); +#19512=ADVANCED_FACE('',(#19511),#19505,.F.); +#19518=EDGE_CURVE('',#13771,#13767,#4705,.T.); +#19522=EDGE_CURVE('',#11895,#11878,#4717,.T.); +#19525=EDGE_CURVE('',#11879,#13771,#4740,.T.); +#19529=ADVANCED_FACE('',(#19528),#19517,.T.); +#19538=EDGE_CURVE('',#13769,#13771,#4726,.T.); +#19542=ADVANCED_FACE('',(#19541),#19534,.T.); +#19550=EDGE_CURVE('',#13775,#13771,#4736,.T.); +#19552=EDGE_CURVE('',#13773,#13775,#4731,.T.); +#19556=ADVANCED_FACE('',(#19555),#19547,.T.); +#19565=EDGE_CURVE('',#11881,#11897,#4749,.T.); +#19568=EDGE_CURVE('',#11862,#13775,#4786,.T.); +#19572=ADVANCED_FACE('',(#19571),#19561,.T.); +#19580=EDGE_CURVE('',#13039,#12992,#4758,.T.); +#19585=ADVANCED_FACE('',(#19584),#19577,.F.); +#19594=EDGE_CURVE('',#13041,#12993,#4762,.T.); +#19598=ADVANCED_FACE('',(#19597),#19590,.T.); +#19607=EDGE_CURVE('',#13043,#12995,#4766,.T.); +#19611=ADVANCED_FACE('',(#19610),#19603,.T.); +#19620=EDGE_CURVE('',#13034,#12986,#4770,.T.); +#19624=ADVANCED_FACE('',(#19623),#19616,.T.); +#19633=EDGE_CURVE('',#13035,#12987,#4774,.T.); +#19637=ADVANCED_FACE('',(#19636),#19629,.T.); +#19646=EDGE_CURVE('',#13037,#12989,#4778,.T.); +#19650=ADVANCED_FACE('',(#19649),#19642,.T.); +#19662=ADVANCED_FACE('',(#19661),#19655,.F.); +#19668=EDGE_CURVE('',#13779,#13775,#4782,.T.); +#19672=EDGE_CURVE('',#11863,#13779,#4809,.T.); +#19676=ADVANCED_FACE('',(#19675),#19667,.T.); +#19685=EDGE_CURVE('',#13777,#13779,#4795,.T.); +#19689=ADVANCED_FACE('',(#19688),#19681,.T.); +#19697=EDGE_CURVE('',#13783,#13779,#4805,.T.); +#19699=EDGE_CURVE('',#13781,#13783,#4800,.T.); +#19703=ADVANCED_FACE('',(#19702),#19694,.T.); +#19712=EDGE_CURVE('',#11884,#11899,#4818,.T.); +#19715=EDGE_CURVE('',#11885,#13783,#4855,.T.); +#19719=ADVANCED_FACE('',(#19718),#19708,.T.); +#19727=EDGE_CURVE('',#13063,#13015,#4827,.T.); +#19732=ADVANCED_FACE('',(#19731),#19724,.F.); +#19739=EDGE_CURVE('',#13061,#13013,#4831,.T.); +#19745=ADVANCED_FACE('',(#19744),#19737,.T.); +#19752=EDGE_CURVE('',#13059,#13011,#4835,.T.); +#19758=ADVANCED_FACE('',(#19757),#19750,.T.); +#19765=EDGE_CURVE('',#13058,#13010,#4839,.T.); +#19771=ADVANCED_FACE('',(#19770),#19763,.T.); +#19778=EDGE_CURVE('',#13067,#13019,#4843,.T.); +#19784=ADVANCED_FACE('',(#19783),#19776,.T.); +#19791=EDGE_CURVE('',#13065,#13018,#4847,.T.); +#19797=ADVANCED_FACE('',(#19796),#19789,.T.); +#19803=EDGE_CURVE('',#11902,#11887,#4863,.T.); +#19810=ADVANCED_FACE('',(#19809),#19802,.F.); +#19816=EDGE_CURVE('',#13787,#13783,#4851,.T.); +#19822=EDGE_CURVE('',#11903,#13787,#4886,.T.); +#19826=ADVANCED_FACE('',(#19825),#19815,.T.); +#19835=EDGE_CURVE('',#13785,#13787,#4872,.T.); +#19839=ADVANCED_FACE('',(#19838),#19831,.T.); +#19847=EDGE_CURVE('',#13791,#13787,#4882,.T.); +#19849=EDGE_CURVE('',#13789,#13791,#4877,.T.); +#19853=ADVANCED_FACE('',(#19852),#19844,.T.); +#19862=EDGE_CURVE('',#11890,#12885,#4895,.T.); +#19868=ADVANCED_FACE('',(#19867),#19858,.T.); +#19876=EDGE_CURVE('',#13049,#13001,#4908,.T.); +#19881=ADVANCED_FACE('',(#19880),#19873,.F.); +#19888=EDGE_CURVE('',#13047,#12999,#4912,.T.); +#19894=ADVANCED_FACE('',(#19893),#19886,.T.); +#19901=EDGE_CURVE('',#13046,#12998,#4916,.T.); +#19907=ADVANCED_FACE('',(#19906),#19899,.T.); +#19914=EDGE_CURVE('',#13055,#13007,#4920,.T.); +#19920=ADVANCED_FACE('',(#19919),#19912,.T.); +#19927=EDGE_CURVE('',#13052,#13005,#4924,.T.); +#19933=ADVANCED_FACE('',(#19932),#19925,.T.); +#19945=ADVANCED_FACE('',(#19944),#19938,.F.); +#19954=EDGE_CURVE('',#13795,#13793,#4934,.T.); +#19958=ADVANCED_FACE('',(#19957),#19950,.T.); +#19964=EDGE_CURVE('',#13755,#13751,#4940,.T.); +#19969=EDGE_CURVE('',#11907,#11914,#4976,.T.); +#19971=EDGE_CURVE('',#11923,#11907,#4944,.T.); +#19973=EDGE_CURVE('',#13755,#11923,#4948,.T.); +#19977=ADVANCED_FACE('',(#19976),#19963,.T.); +#19985=EDGE_CURVE('',#13754,#13755,#4956,.T.); +#19987=EDGE_CURVE('',#13749,#13754,#4994,.T.); +#19991=ADVANCED_FACE('',(#19990),#19982,.T.); +#19999=EDGE_CURVE('',#11926,#11927,#4960,.T.); +#20004=ADVANCED_FACE('',(#20003),#19996,.F.); +#20012=EDGE_CURVE('',#11922,#11923,#5002,.T.); +#20014=EDGE_CURVE('',#13754,#11922,#4998,.T.); +#20018=ADVANCED_FACE('',(#20017),#20009,.F.); +#20026=EDGE_CURVE('',#11910,#11911,#4984,.T.); +#20031=ADVANCED_FACE('',(#20030),#20023,.T.); +#20038=EDGE_CURVE('',#11915,#11906,#4968,.T.); +#20040=EDGE_CURVE('',#11906,#11907,#4972,.T.); +#20045=ADVANCED_FACE('',(#20044),#20036,.F.); +#20057=ADVANCED_FACE('',(#20056),#20050,.F.); +#20068=EDGE_CURVE('',#11922,#11906,#5006,.T.); +#20073=ADVANCED_FACE('',(#20072),#20062,.T.); +#20085=ADVANCED_FACE('',(#20084),#20078,.T.); +#20092=EDGE_CURVE('',#12904,#12888,#5010,.T.); +#20094=EDGE_CURVE('',#12888,#12889,#5019,.T.); +#20096=EDGE_CURVE('',#12905,#12889,#5014,.T.); +#20100=ADVANCED_FACE('',(#20099),#20090,.F.); +#20108=EDGE_CURVE('',#12889,#12888,#5024,.T.); +#20113=ADVANCED_FACE('',(#20112),#20105,.F.); +#20123=ADVANCED_FACE('',(#20122),#20118,.T.); +#20129=EDGE_CURVE('',#12892,#12893,#5029,.T.); +#20131=EDGE_CURVE('',#12893,#12892,#5034,.T.); +#20135=ADVANCED_FACE('',(#20134),#20128,.T.); +#20141=EDGE_CURVE('',#12896,#12897,#5039,.T.); +#20143=EDGE_CURVE('',#12897,#12896,#5044,.T.); +#20147=ADVANCED_FACE('',(#20146),#20140,.T.); +#20153=EDGE_CURVE('',#12900,#12901,#5049,.T.); +#20155=EDGE_CURVE('',#12901,#12900,#5054,.T.); +#20159=ADVANCED_FACE('',(#20158),#20152,.T.); +#20166=EDGE_CURVE('',#12908,#12892,#5058,.T.); +#20169=EDGE_CURVE('',#12909,#12893,#5062,.T.); +#20173=ADVANCED_FACE('',(#20172),#20164,.F.); +#20185=ADVANCED_FACE('',(#20184),#20178,.F.); +#20192=EDGE_CURVE('',#12912,#12896,#5066,.T.); +#20195=EDGE_CURVE('',#12913,#12897,#5070,.T.); +#20199=ADVANCED_FACE('',(#20198),#20190,.F.); +#20211=ADVANCED_FACE('',(#20210),#20204,.F.); +#20218=EDGE_CURVE('',#12916,#12900,#5074,.T.); +#20221=EDGE_CURVE('',#12917,#12901,#5078,.T.); +#20225=ADVANCED_FACE('',(#20224),#20216,.F.); +#20237=ADVANCED_FACE('',(#20236),#20230,.F.); +#20243=EDGE_CURVE('',#13967,#13968,#5088,.T.); +#20246=EDGE_CURVE('',#13838,#13842,#7721,.T.); +#20248=EDGE_CURVE('',#13967,#13842,#5124,.T.); +#20252=ADVANCED_FACE('',(#20251),#20242,.T.); +#20295=EDGE_CURVE('',#13967,#13852,#5115,.T.); +#20297=EDGE_CURVE('',#13852,#13853,#5100,.T.); +#20302=ADVANCED_FACE('',(#20301),#20293,.F.); +#20308=EDGE_CURVE('',#13939,#13967,#5119,.T.); +#20310=EDGE_CURVE('',#13851,#13939,#7931,.T.); +#20312=EDGE_CURVE('',#13851,#13852,#5110,.T.); +#20317=ADVANCED_FACE('',(#20316),#20307,.F.); +#20325=EDGE_CURVE('',#13842,#13819,#5128,.T.); +#20327=EDGE_CURVE('',#13819,#13939,#5133,.T.); +#20331=ADVANCED_FACE('',(#20330),#20322,.T.); +#20337=EDGE_CURVE('',#13818,#13819,#5137,.T.); +#20340=EDGE_CURVE('',#13842,#13843,#5141,.T.); +#20342=EDGE_CURVE('',#13843,#13818,#5145,.T.); +#20346=ADVANCED_FACE('',(#20345),#20336,.T.); +#20352=EDGE_CURVE('',#13814,#13815,#5391,.T.); +#20354=EDGE_CURVE('',#13815,#13819,#7946,.T.); +#20357=EDGE_CURVE('',#13814,#13818,#5150,.T.); +#20361=ADVANCED_FACE('',(#20360),#20351,.T.); +#20367=EDGE_CURVE('',#13857,#13855,#5195,.T.); +#20369=EDGE_CURVE('',#13855,#12920,#5199,.T.); +#20371=EDGE_CURVE('',#12920,#12921,#5203,.T.); +#20373=EDGE_CURVE('',#12921,#12923,#5207,.T.); +#20375=EDGE_CURVE('',#12923,#12925,#5211,.T.); +#20377=EDGE_CURVE('',#12925,#12927,#5215,.T.); +#20379=EDGE_CURVE('',#12927,#12929,#5219,.T.); +#20381=EDGE_CURVE('',#12929,#12931,#5223,.T.); +#20383=EDGE_CURVE('',#12931,#12933,#5227,.T.); +#20385=EDGE_CURVE('',#12933,#12935,#5231,.T.); +#20387=EDGE_CURVE('',#12935,#12937,#5235,.T.); +#20389=EDGE_CURVE('',#12937,#13894,#5239,.T.); +#20391=EDGE_CURVE('',#13894,#13889,#5243,.T.); +#20393=EDGE_CURVE('',#13889,#13885,#5248,.T.); +#20395=EDGE_CURVE('',#13885,#13881,#5253,.T.); +#20397=EDGE_CURVE('',#13881,#13874,#5257,.T.); +#20399=EDGE_CURVE('',#13799,#13874,#5261,.T.); +#20401=EDGE_CURVE('',#13798,#13799,#5266,.T.); +#20403=EDGE_CURVE('',#13805,#13798,#5270,.T.); +#20405=EDGE_CURVE('',#13809,#13805,#5275,.T.); +#20407=EDGE_CURVE('',#13913,#13809,#5279,.T.); +#20409=EDGE_CURVE('',#13913,#13909,#5283,.T.); +#20411=EDGE_CURVE('',#13909,#13905,#5288,.T.); +#20413=EDGE_CURVE('',#13905,#13901,#5293,.T.); +#20415=EDGE_CURVE('',#13901,#13899,#5297,.T.); +#20417=EDGE_CURVE('',#13899,#11930,#5301,.T.); +#20419=EDGE_CURVE('',#11930,#11931,#5305,.T.); +#20421=EDGE_CURVE('',#11931,#11933,#5309,.T.); +#20423=EDGE_CURVE('',#11933,#11935,#5313,.T.); +#20425=EDGE_CURVE('',#11935,#11937,#5317,.T.); +#20427=EDGE_CURVE('',#11937,#11939,#5321,.T.); +#20429=EDGE_CURVE('',#11939,#11941,#5325,.T.); +#20431=EDGE_CURVE('',#11941,#11943,#5329,.T.); +#20433=EDGE_CURVE('',#11943,#11945,#5333,.T.); +#20435=EDGE_CURVE('',#11945,#11947,#5337,.T.); +#20437=EDGE_CURVE('',#11947,#13936,#5341,.T.); +#20439=EDGE_CURVE('',#13936,#13933,#5346,.T.); +#20441=EDGE_CURVE('',#13933,#13929,#5351,.T.); +#20443=EDGE_CURVE('',#13929,#13922,#5355,.T.); +#20445=EDGE_CURVE('',#13959,#13922,#5359,.T.); +#20447=EDGE_CURVE('',#13959,#13955,#5363,.T.); +#20449=EDGE_CURVE('',#13955,#13951,#5368,.T.); +#20451=EDGE_CURVE('',#13951,#13947,#5373,.T.); +#20453=EDGE_CURVE('',#13947,#13943,#5378,.T.); +#20455=EDGE_CURVE('',#13943,#13938,#5382,.T.); +#20457=EDGE_CURVE('',#13815,#13938,#5387,.T.); +#20460=EDGE_CURVE('',#13994,#13814,#5396,.T.); +#20462=EDGE_CURVE('',#13994,#13990,#5400,.T.); +#20464=EDGE_CURVE('',#13990,#13986,#5405,.T.); +#20466=EDGE_CURVE('',#13986,#13982,#5410,.T.); +#20468=EDGE_CURVE('',#13982,#13978,#5415,.T.); +#20470=EDGE_CURVE('',#13978,#13971,#5419,.T.); +#20472=EDGE_CURVE('',#13865,#13971,#5423,.T.); +#20474=EDGE_CURVE('',#13865,#13861,#5427,.T.); +#20476=EDGE_CURVE('',#13861,#13857,#5432,.T.); +#20480=EDGE_CURVE('',#14124,#14130,#5154,.T.); +#20482=EDGE_CURVE('',#14133,#14130,#6324,.T.); +#20484=EDGE_CURVE('',#12088,#14133,#5158,.T.); +#20486=EDGE_CURVE('',#12092,#12088,#5162,.T.); +#20488=EDGE_CURVE('',#12549,#12092,#5166,.T.); +#20490=EDGE_CURVE('',#12548,#12549,#5170,.T.); +#20492=EDGE_CURVE('',#12548,#12552,#5174,.T.); +#20494=EDGE_CURVE('',#12552,#12553,#5178,.T.); +#20496=EDGE_CURVE('',#12096,#12553,#5182,.T.); +#20498=EDGE_CURVE('',#12084,#12096,#5186,.T.); +#20500=EDGE_CURVE('',#12084,#14126,#5190,.T.); +#20502=EDGE_CURVE('',#14124,#14126,#5449,.T.); +#20506=ADVANCED_FACE('',(#20479,#20505),#20366,.T.); +#20512=EDGE_CURVE('',#14124,#14122,#5436,.T.); +#20514=EDGE_CURVE('',#14122,#14129,#5440,.T.); +#20516=EDGE_CURVE('',#14129,#14130,#5444,.T.); +#20521=ADVANCED_FACE('',(#20520),#20511,.T.); +#20527=EDGE_CURVE('',#14121,#14122,#5484,.T.); +#20531=EDGE_CURVE('',#14126,#14121,#6340,.T.); +#20535=ADVANCED_FACE('',(#20534),#20526,.T.); +#20541=EDGE_CURVE('',#12328,#12329,#5635,.T.); +#20543=EDGE_CURVE('',#12328,#12324,#5456,.T.); +#20545=EDGE_CURVE('',#12324,#12081,#5460,.T.); +#20547=EDGE_CURVE('',#12081,#14131,#6306,.T.); +#20549=EDGE_CURVE('',#14129,#14131,#5472,.T.); +#20553=EDGE_CURVE('',#12077,#14121,#6357,.T.); +#20555=EDGE_CURVE('',#12077,#12113,#5488,.T.); +#20557=EDGE_CURVE('',#12113,#12115,#5495,.T.); +#20559=EDGE_CURVE('',#12114,#12115,#6406,.T.); +#20561=EDGE_CURVE('',#12114,#12110,#5502,.T.); +#20563=EDGE_CURVE('',#12110,#12171,#5506,.T.); +#20565=EDGE_CURVE('',#12171,#12173,#5513,.T.); +#20567=EDGE_CURVE('',#12172,#12173,#6483,.T.); +#20569=EDGE_CURVE('',#12172,#12168,#5520,.T.); +#20571=EDGE_CURVE('',#12168,#12197,#5524,.T.); +#20573=EDGE_CURVE('',#12197,#12199,#5531,.T.); +#20575=EDGE_CURVE('',#12198,#12199,#6560,.T.); +#20577=EDGE_CURVE('',#12198,#12194,#5538,.T.); +#20579=EDGE_CURVE('',#12194,#12223,#5542,.T.); +#20581=EDGE_CURVE('',#12223,#12225,#5549,.T.); +#20583=EDGE_CURVE('',#12224,#12225,#6637,.T.); +#20585=EDGE_CURVE('',#12224,#12220,#5556,.T.); +#20587=EDGE_CURVE('',#12220,#12249,#5560,.T.); +#20589=EDGE_CURVE('',#12249,#12251,#5567,.T.); +#20591=EDGE_CURVE('',#12250,#12251,#6714,.T.); +#20593=EDGE_CURVE('',#12250,#12246,#5574,.T.); +#20595=EDGE_CURVE('',#12246,#12275,#5578,.T.); +#20597=EDGE_CURVE('',#12275,#12277,#5585,.T.); +#20599=EDGE_CURVE('',#12276,#12277,#6791,.T.); +#20601=EDGE_CURVE('',#12276,#12272,#5592,.T.); +#20603=EDGE_CURVE('',#12272,#12301,#5596,.T.); +#20605=EDGE_CURVE('',#12301,#12303,#5603,.T.); +#20607=EDGE_CURVE('',#12302,#12303,#6868,.T.); +#20609=EDGE_CURVE('',#12302,#12298,#5610,.T.); +#20611=EDGE_CURVE('',#12298,#12327,#5614,.T.); +#20613=EDGE_CURVE('',#12327,#12329,#5621,.T.); +#20617=ADVANCED_FACE('',(#20616),#20540,.T.); +#20624=EDGE_CURVE('',#12329,#12331,#5649,.T.); +#20626=EDGE_CURVE('',#12331,#12328,#5644,.T.); +#20630=ADVANCED_FACE('',(#20629),#20622,.T.); +#20637=EDGE_CURVE('',#12314,#12322,#7170,.T.); +#20639=EDGE_CURVE('',#12315,#12314,#7180,.T.); +#20641=EDGE_CURVE('',#12315,#12323,#5639,.T.); +#20645=ADVANCED_FACE('',(#20644),#20635,.T.); +#20654=EDGE_CURVE('',#12326,#12327,#5693,.T.); +#20656=EDGE_CURVE('',#12326,#12333,#6015,.T.); +#20658=EDGE_CURVE('',#12333,#12325,#6010,.T.); +#20660=EDGE_CURVE('',#12324,#12325,#5671,.T.); +#20665=ADVANCED_FACE('',(#20664),#20650,.T.); +#20672=EDGE_CURVE('',#12326,#12325,#6022,.T.); +#20676=EDGE_CURVE('',#12298,#12299,#5715,.T.); +#20678=EDGE_CURVE('',#12300,#12299,#6840,.T.); +#20680=EDGE_CURVE('',#12300,#12301,#5737,.T.); +#20683=EDGE_CURVE('',#12272,#12273,#5759,.T.); +#20685=EDGE_CURVE('',#12274,#12273,#6763,.T.); +#20687=EDGE_CURVE('',#12274,#12275,#5781,.T.); +#20690=EDGE_CURVE('',#12246,#12247,#5803,.T.); +#20692=EDGE_CURVE('',#12248,#12247,#6686,.T.); +#20694=EDGE_CURVE('',#12248,#12249,#5825,.T.); +#20697=EDGE_CURVE('',#12220,#12221,#5847,.T.); +#20699=EDGE_CURVE('',#12222,#12221,#6609,.T.); +#20701=EDGE_CURVE('',#12222,#12223,#5869,.T.); +#20704=EDGE_CURVE('',#12194,#12195,#5891,.T.); +#20706=EDGE_CURVE('',#12196,#12195,#6532,.T.); +#20708=EDGE_CURVE('',#12196,#12197,#5913,.T.); +#20711=EDGE_CURVE('',#12168,#12169,#5935,.T.); +#20713=EDGE_CURVE('',#12170,#12169,#6455,.T.); +#20715=EDGE_CURVE('',#12170,#12171,#5957,.T.); +#20718=EDGE_CURVE('',#12110,#12111,#5979,.T.); +#20720=EDGE_CURVE('',#12112,#12111,#6378,.T.); +#20722=EDGE_CURVE('',#12112,#12113,#6001,.T.); +#20725=EDGE_CURVE('',#12076,#12077,#6353,.T.); +#20727=EDGE_CURVE('',#12076,#12080,#6005,.T.); +#20729=EDGE_CURVE('',#12080,#12081,#6311,.T.); +#20734=ADVANCED_FACE('',(#20733),#20670,.T.); +#20745=ADVANCED_FACE('',(#20744),#20739,.T.); +#20751=EDGE_CURVE('',#12335,#12318,#6030,.T.); +#20753=EDGE_CURVE('',#12337,#12335,#6140,.T.); +#20755=EDGE_CURVE('',#12337,#12319,#6026,.T.); +#20757=EDGE_CURVE('',#12319,#12318,#6040,.T.); +#20761=ADVANCED_FACE('',(#20760),#20750,.T.); +#20768=EDGE_CURVE('',#12318,#12319,#6035,.T.); +#20771=EDGE_CURVE('',#12335,#12337,#6135,.T.); +#20775=ADVANCED_FACE('',(#20774),#20766,.T.); +#20785=ADVANCED_FACE('',(#20784),#20780,.T.); +#20791=EDGE_CURVE('',#12549,#12555,#6044,.T.); +#20794=EDGE_CURVE('',#12092,#12093,#6048,.T.); +#20796=EDGE_CURVE('',#12097,#12093,#6336,.T.); +#20798=EDGE_CURVE('',#12096,#12097,#6052,.T.); +#20801=EDGE_CURVE('',#12553,#12557,#6056,.T.); +#20803=EDGE_CURVE('',#12555,#12557,#6060,.T.); +#20807=EDGE_CURVE('',#12121,#12123,#6065,.T.); +#20809=EDGE_CURVE('',#12123,#12121,#6070,.T.); +#20813=EDGE_CURVE('',#12179,#12181,#6075,.T.); +#20815=EDGE_CURVE('',#12181,#12179,#6080,.T.); +#20819=EDGE_CURVE('',#12205,#12207,#6085,.T.); +#20821=EDGE_CURVE('',#12207,#12205,#6090,.T.); +#20825=EDGE_CURVE('',#12231,#12233,#6095,.T.); +#20827=EDGE_CURVE('',#12233,#12231,#6100,.T.); +#20831=EDGE_CURVE('',#12257,#12259,#6105,.T.); +#20833=EDGE_CURVE('',#12259,#12257,#6110,.T.); +#20837=EDGE_CURVE('',#12283,#12285,#6115,.T.); +#20839=EDGE_CURVE('',#12285,#12283,#6120,.T.); +#20843=EDGE_CURVE('',#12309,#12311,#6125,.T.); +#20845=EDGE_CURVE('',#12311,#12309,#6130,.T.); +#20853=EDGE_CURVE('',#12137,#12139,#6145,.T.); +#20855=EDGE_CURVE('',#12139,#12137,#6150,.T.); +#20859=EDGE_CURVE('',#12351,#12353,#6155,.T.); +#20861=EDGE_CURVE('',#12353,#12351,#6160,.T.); +#20865=EDGE_CURVE('',#12367,#12369,#6165,.T.); +#20867=EDGE_CURVE('',#12369,#12367,#6170,.T.); +#20871=EDGE_CURVE('',#12383,#12385,#6175,.T.); +#20873=EDGE_CURVE('',#12385,#12383,#6180,.T.); +#20877=EDGE_CURVE('',#12399,#12401,#6185,.T.); +#20879=EDGE_CURVE('',#12401,#12399,#6190,.T.); +#20883=EDGE_CURVE('',#12415,#12417,#6195,.T.); +#20885=EDGE_CURVE('',#12417,#12415,#6200,.T.); +#20889=EDGE_CURVE('',#12431,#12433,#6205,.T.); +#20891=EDGE_CURVE('',#12433,#12431,#6210,.T.); +#20895=EDGE_CURVE('',#12154,#12155,#6215,.T.); +#20897=EDGE_CURVE('',#12155,#12154,#6220,.T.); +#20901=EDGE_CURVE('',#12448,#12449,#6225,.T.); +#20903=EDGE_CURVE('',#12449,#12448,#6230,.T.); +#20907=EDGE_CURVE('',#12464,#12465,#6235,.T.); +#20909=EDGE_CURVE('',#12465,#12464,#6240,.T.); +#20913=EDGE_CURVE('',#12480,#12481,#6245,.T.); +#20915=EDGE_CURVE('',#12481,#12480,#6250,.T.); +#20919=EDGE_CURVE('',#12496,#12497,#6255,.T.); +#20921=EDGE_CURVE('',#12497,#12496,#6260,.T.); +#20925=EDGE_CURVE('',#12512,#12513,#6265,.T.); +#20927=EDGE_CURVE('',#12513,#12512,#6270,.T.); +#20931=EDGE_CURVE('',#12528,#12529,#6275,.T.); +#20933=EDGE_CURVE('',#12529,#12528,#6280,.T.); +#20937=EDGE_CURVE('',#12544,#12545,#6285,.T.); +#20939=EDGE_CURVE('',#12545,#12544,#6290,.T.); +#20943=ADVANCED_FACE('',(#20806,#20812,#20818,#20824,#20830,#20836,#20842, +#20848,#20852,#20858,#20864,#20870,#20876,#20882,#20888,#20894,#20900,#20906, +#20912,#20918,#20924,#20930,#20936,#20942),#20790,.T.); +#20951=EDGE_CURVE('',#12555,#12548,#6294,.T.); +#20955=ADVANCED_FACE('',(#20954),#20948,.F.); +#20964=EDGE_CURVE('',#12557,#12552,#6298,.T.); +#20968=ADVANCED_FACE('',(#20967),#20960,.T.); +#20979=ADVANCED_FACE('',(#20978),#20973,.T.); +#20985=EDGE_CURVE('',#12088,#12089,#6319,.T.); +#20987=EDGE_CURVE('',#12093,#12089,#6328,.T.); +#20993=ADVANCED_FACE('',(#20992),#20984,.F.); +#20999=EDGE_CURVE('',#14131,#14133,#6302,.T.); +#21003=EDGE_CURVE('',#12089,#12080,#6315,.T.); +#21009=ADVANCED_FACE('',(#21008),#20998,.T.); +#21021=ADVANCED_FACE('',(#21020),#21014,.T.); +#21030=EDGE_CURVE('',#12085,#12076,#6348,.T.); +#21032=EDGE_CURVE('',#12085,#12097,#6332,.T.); +#21037=ADVANCED_FACE('',(#21036),#21026,.T.); +#21045=EDGE_CURVE('',#12084,#12085,#6344,.T.); +#21052=ADVANCED_FACE('',(#21051),#21042,.F.); +#21064=ADVANCED_FACE('',(#21063),#21057,.F.); +#21070=EDGE_CURVE('',#12121,#12104,#6361,.T.); +#21072=EDGE_CURVE('',#12104,#12105,#6429,.T.); +#21074=EDGE_CURVE('',#12123,#12105,#6382,.T.); +#21079=ADVANCED_FACE('',(#21078),#21069,.T.); +#21085=EDGE_CURVE('',#12119,#12111,#6366,.T.); +#21087=EDGE_CURVE('',#12112,#12119,#6371,.T.); +#21092=ADVANCED_FACE('',(#21091),#21084,.T.); +#21101=EDGE_CURVE('',#12105,#12104,#6434,.T.); +#21105=ADVANCED_FACE('',(#21104),#21097,.T.); +#21111=EDGE_CURVE('',#12117,#12114,#6387,.T.); +#21113=EDGE_CURVE('',#12115,#12117,#6392,.T.); +#21123=ADVANCED_FACE('',(#21122),#21110,.T.); +#21134=ADVANCED_FACE('',(#21133),#21128,.T.); +#21141=EDGE_CURVE('',#12100,#12108,#6414,.T.); +#21143=EDGE_CURVE('',#12101,#12100,#6424,.T.); +#21145=EDGE_CURVE('',#12101,#12109,#6410,.T.); +#21149=ADVANCED_FACE('',(#21148),#21139,.T.); +#21157=EDGE_CURVE('',#12100,#12101,#6419,.T.); +#21162=ADVANCED_FACE('',(#21161),#21154,.T.); +#21172=ADVANCED_FACE('',(#21171),#21167,.F.); +#21182=ADVANCED_FACE('',(#21181),#21177,.T.); +#21188=EDGE_CURVE('',#12179,#12162,#6438,.T.); +#21190=EDGE_CURVE('',#12162,#12163,#6506,.T.); +#21192=EDGE_CURVE('',#12181,#12163,#6459,.T.); +#21197=ADVANCED_FACE('',(#21196),#21187,.T.); +#21203=EDGE_CURVE('',#12177,#12169,#6443,.T.); +#21205=EDGE_CURVE('',#12170,#12177,#6448,.T.); +#21210=ADVANCED_FACE('',(#21209),#21202,.T.); +#21219=EDGE_CURVE('',#12163,#12162,#6511,.T.); +#21223=ADVANCED_FACE('',(#21222),#21215,.T.); +#21229=EDGE_CURVE('',#12175,#12172,#6464,.T.); +#21231=EDGE_CURVE('',#12173,#12175,#6469,.T.); +#21241=ADVANCED_FACE('',(#21240),#21228,.T.); +#21252=ADVANCED_FACE('',(#21251),#21246,.T.); +#21259=EDGE_CURVE('',#12158,#12166,#6491,.T.); +#21261=EDGE_CURVE('',#12159,#12158,#6501,.T.); +#21263=EDGE_CURVE('',#12159,#12167,#6487,.T.); +#21267=ADVANCED_FACE('',(#21266),#21257,.T.); +#21275=EDGE_CURVE('',#12158,#12159,#6496,.T.); +#21280=ADVANCED_FACE('',(#21279),#21272,.T.); +#21290=ADVANCED_FACE('',(#21289),#21285,.F.); +#21300=ADVANCED_FACE('',(#21299),#21295,.T.); +#21306=EDGE_CURVE('',#12205,#12188,#6515,.T.); +#21308=EDGE_CURVE('',#12188,#12189,#6583,.T.); +#21310=EDGE_CURVE('',#12207,#12189,#6536,.T.); +#21315=ADVANCED_FACE('',(#21314),#21305,.T.); +#21321=EDGE_CURVE('',#12203,#12195,#6520,.T.); +#21323=EDGE_CURVE('',#12196,#12203,#6525,.T.); +#21328=ADVANCED_FACE('',(#21327),#21320,.T.); +#21337=EDGE_CURVE('',#12189,#12188,#6588,.T.); +#21341=ADVANCED_FACE('',(#21340),#21333,.T.); +#21347=EDGE_CURVE('',#12201,#12198,#6541,.T.); +#21349=EDGE_CURVE('',#12199,#12201,#6546,.T.); +#21359=ADVANCED_FACE('',(#21358),#21346,.T.); +#21370=ADVANCED_FACE('',(#21369),#21364,.T.); +#21377=EDGE_CURVE('',#12184,#12192,#6568,.T.); +#21379=EDGE_CURVE('',#12185,#12184,#6578,.T.); +#21381=EDGE_CURVE('',#12185,#12193,#6564,.T.); +#21385=ADVANCED_FACE('',(#21384),#21375,.T.); +#21393=EDGE_CURVE('',#12184,#12185,#6573,.T.); +#21398=ADVANCED_FACE('',(#21397),#21390,.T.); +#21408=ADVANCED_FACE('',(#21407),#21403,.F.); +#21418=ADVANCED_FACE('',(#21417),#21413,.T.); +#21424=EDGE_CURVE('',#12231,#12214,#6592,.T.); +#21426=EDGE_CURVE('',#12214,#12215,#6660,.T.); +#21428=EDGE_CURVE('',#12233,#12215,#6613,.T.); +#21433=ADVANCED_FACE('',(#21432),#21423,.T.); +#21439=EDGE_CURVE('',#12229,#12221,#6597,.T.); +#21441=EDGE_CURVE('',#12222,#12229,#6602,.T.); +#21446=ADVANCED_FACE('',(#21445),#21438,.T.); +#21455=EDGE_CURVE('',#12215,#12214,#6665,.T.); +#21459=ADVANCED_FACE('',(#21458),#21451,.T.); +#21465=EDGE_CURVE('',#12227,#12224,#6618,.T.); +#21467=EDGE_CURVE('',#12225,#12227,#6623,.T.); +#21477=ADVANCED_FACE('',(#21476),#21464,.T.); +#21488=ADVANCED_FACE('',(#21487),#21482,.T.); +#21495=EDGE_CURVE('',#12210,#12218,#6645,.T.); +#21497=EDGE_CURVE('',#12211,#12210,#6655,.T.); +#21499=EDGE_CURVE('',#12211,#12219,#6641,.T.); +#21503=ADVANCED_FACE('',(#21502),#21493,.T.); +#21511=EDGE_CURVE('',#12210,#12211,#6650,.T.); +#21516=ADVANCED_FACE('',(#21515),#21508,.T.); +#21526=ADVANCED_FACE('',(#21525),#21521,.F.); +#21536=ADVANCED_FACE('',(#21535),#21531,.T.); +#21542=EDGE_CURVE('',#12257,#12240,#6669,.T.); +#21544=EDGE_CURVE('',#12240,#12241,#6737,.T.); +#21546=EDGE_CURVE('',#12259,#12241,#6690,.T.); +#21551=ADVANCED_FACE('',(#21550),#21541,.T.); +#21557=EDGE_CURVE('',#12255,#12247,#6674,.T.); +#21559=EDGE_CURVE('',#12248,#12255,#6679,.T.); +#21564=ADVANCED_FACE('',(#21563),#21556,.T.); +#21573=EDGE_CURVE('',#12241,#12240,#6742,.T.); +#21577=ADVANCED_FACE('',(#21576),#21569,.T.); +#21583=EDGE_CURVE('',#12253,#12250,#6695,.T.); +#21585=EDGE_CURVE('',#12251,#12253,#6700,.T.); +#21595=ADVANCED_FACE('',(#21594),#21582,.T.); +#21606=ADVANCED_FACE('',(#21605),#21600,.T.); +#21613=EDGE_CURVE('',#12236,#12244,#6722,.T.); +#21615=EDGE_CURVE('',#12237,#12236,#6732,.T.); +#21617=EDGE_CURVE('',#12237,#12245,#6718,.T.); +#21621=ADVANCED_FACE('',(#21620),#21611,.T.); +#21629=EDGE_CURVE('',#12236,#12237,#6727,.T.); +#21634=ADVANCED_FACE('',(#21633),#21626,.T.); +#21644=ADVANCED_FACE('',(#21643),#21639,.F.); +#21654=ADVANCED_FACE('',(#21653),#21649,.T.); +#21660=EDGE_CURVE('',#12283,#12266,#6746,.T.); +#21662=EDGE_CURVE('',#12266,#12267,#6814,.T.); +#21664=EDGE_CURVE('',#12285,#12267,#6767,.T.); +#21669=ADVANCED_FACE('',(#21668),#21659,.T.); +#21675=EDGE_CURVE('',#12281,#12273,#6751,.T.); +#21677=EDGE_CURVE('',#12274,#12281,#6756,.T.); +#21682=ADVANCED_FACE('',(#21681),#21674,.T.); +#21691=EDGE_CURVE('',#12267,#12266,#6819,.T.); +#21695=ADVANCED_FACE('',(#21694),#21687,.T.); +#21701=EDGE_CURVE('',#12279,#12276,#6772,.T.); +#21703=EDGE_CURVE('',#12277,#12279,#6777,.T.); +#21713=ADVANCED_FACE('',(#21712),#21700,.T.); +#21724=ADVANCED_FACE('',(#21723),#21718,.T.); +#21731=EDGE_CURVE('',#12262,#12270,#6799,.T.); +#21733=EDGE_CURVE('',#12263,#12262,#6809,.T.); +#21735=EDGE_CURVE('',#12263,#12271,#6795,.T.); +#21739=ADVANCED_FACE('',(#21738),#21729,.T.); +#21747=EDGE_CURVE('',#12262,#12263,#6804,.T.); +#21752=ADVANCED_FACE('',(#21751),#21744,.T.); +#21762=ADVANCED_FACE('',(#21761),#21757,.F.); +#21772=ADVANCED_FACE('',(#21771),#21767,.T.); +#21778=EDGE_CURVE('',#12309,#12292,#6823,.T.); +#21780=EDGE_CURVE('',#12292,#12293,#6891,.T.); +#21782=EDGE_CURVE('',#12311,#12293,#6844,.T.); +#21787=ADVANCED_FACE('',(#21786),#21777,.T.); +#21793=EDGE_CURVE('',#12307,#12299,#6828,.T.); +#21795=EDGE_CURVE('',#12300,#12307,#6833,.T.); +#21800=ADVANCED_FACE('',(#21799),#21792,.T.); +#21809=EDGE_CURVE('',#12293,#12292,#6896,.T.); +#21813=ADVANCED_FACE('',(#21812),#21805,.T.); +#21819=EDGE_CURVE('',#12305,#12302,#6849,.T.); +#21821=EDGE_CURVE('',#12303,#12305,#6854,.T.); +#21831=ADVANCED_FACE('',(#21830),#21818,.T.); +#21842=ADVANCED_FACE('',(#21841),#21836,.T.); +#21849=EDGE_CURVE('',#12288,#12296,#6876,.T.); +#21851=EDGE_CURVE('',#12289,#12288,#6886,.T.); +#21853=EDGE_CURVE('',#12289,#12297,#6872,.T.); +#21857=ADVANCED_FACE('',(#21856),#21847,.T.); +#21865=EDGE_CURVE('',#12288,#12289,#6881,.T.); +#21870=ADVANCED_FACE('',(#21869),#21862,.T.); +#21880=ADVANCED_FACE('',(#21879),#21875,.F.); +#21890=ADVANCED_FACE('',(#21889),#21885,.T.); +#21896=EDGE_CURVE('',#12137,#12130,#6900,.T.); +#21898=EDGE_CURVE('',#12130,#12131,#6909,.T.); +#21900=EDGE_CURVE('',#12139,#12131,#6904,.T.); +#21905=ADVANCED_FACE('',(#21904),#21895,.T.); +#21914=EDGE_CURVE('',#12131,#12130,#6914,.T.); +#21918=ADVANCED_FACE('',(#21917),#21910,.T.); +#21928=ADVANCED_FACE('',(#21927),#21923,.T.); +#21934=EDGE_CURVE('',#12351,#12344,#6918,.T.); +#21936=EDGE_CURVE('',#12344,#12345,#6927,.T.); +#21938=EDGE_CURVE('',#12353,#12345,#6922,.T.); +#21943=ADVANCED_FACE('',(#21942),#21933,.T.); +#21952=EDGE_CURVE('',#12345,#12344,#6932,.T.); +#21956=ADVANCED_FACE('',(#21955),#21948,.T.); +#21966=ADVANCED_FACE('',(#21965),#21961,.T.); +#21972=EDGE_CURVE('',#12367,#12360,#6936,.T.); +#21974=EDGE_CURVE('',#12360,#12361,#6945,.T.); +#21976=EDGE_CURVE('',#12369,#12361,#6940,.T.); +#21981=ADVANCED_FACE('',(#21980),#21971,.T.); +#21990=EDGE_CURVE('',#12361,#12360,#6950,.T.); +#21994=ADVANCED_FACE('',(#21993),#21986,.T.); +#22004=ADVANCED_FACE('',(#22003),#21999,.T.); +#22010=EDGE_CURVE('',#12383,#12376,#6954,.T.); +#22012=EDGE_CURVE('',#12376,#12377,#6963,.T.); +#22014=EDGE_CURVE('',#12385,#12377,#6958,.T.); +#22019=ADVANCED_FACE('',(#22018),#22009,.T.); +#22028=EDGE_CURVE('',#12377,#12376,#6968,.T.); +#22032=ADVANCED_FACE('',(#22031),#22024,.T.); +#22042=ADVANCED_FACE('',(#22041),#22037,.T.); +#22048=EDGE_CURVE('',#12399,#12392,#6972,.T.); +#22050=EDGE_CURVE('',#12392,#12393,#6981,.T.); +#22052=EDGE_CURVE('',#12401,#12393,#6976,.T.); +#22057=ADVANCED_FACE('',(#22056),#22047,.T.); +#22066=EDGE_CURVE('',#12393,#12392,#6986,.T.); +#22070=ADVANCED_FACE('',(#22069),#22062,.T.); +#22080=ADVANCED_FACE('',(#22079),#22075,.T.); +#22086=EDGE_CURVE('',#12415,#12408,#6990,.T.); +#22088=EDGE_CURVE('',#12408,#12409,#6999,.T.); +#22090=EDGE_CURVE('',#12417,#12409,#6994,.T.); +#22095=ADVANCED_FACE('',(#22094),#22085,.T.); +#22104=EDGE_CURVE('',#12409,#12408,#7004,.T.); +#22108=ADVANCED_FACE('',(#22107),#22100,.T.); +#22118=ADVANCED_FACE('',(#22117),#22113,.T.); +#22124=EDGE_CURVE('',#12431,#12424,#7008,.T.); +#22126=EDGE_CURVE('',#12424,#12425,#7017,.T.); +#22128=EDGE_CURVE('',#12433,#12425,#7012,.T.); +#22133=ADVANCED_FACE('',(#22132),#22123,.T.); +#22142=EDGE_CURVE('',#12425,#12424,#7022,.T.); +#22146=ADVANCED_FACE('',(#22145),#22138,.T.); +#22156=ADVANCED_FACE('',(#22155),#22151,.T.); +#22163=EDGE_CURVE('',#12154,#12146,#7026,.T.); +#22165=EDGE_CURVE('',#12146,#12147,#7035,.T.); +#22167=EDGE_CURVE('',#12155,#12147,#7030,.T.); +#22171=ADVANCED_FACE('',(#22170),#22161,.T.); +#22179=EDGE_CURVE('',#12147,#12146,#7040,.T.); +#22184=ADVANCED_FACE('',(#22183),#22176,.T.); +#22194=ADVANCED_FACE('',(#22193),#22189,.T.); +#22201=EDGE_CURVE('',#12448,#12440,#7044,.T.); +#22203=EDGE_CURVE('',#12440,#12441,#7053,.T.); +#22205=EDGE_CURVE('',#12449,#12441,#7048,.T.); +#22209=ADVANCED_FACE('',(#22208),#22199,.T.); +#22217=EDGE_CURVE('',#12441,#12440,#7058,.T.); +#22222=ADVANCED_FACE('',(#22221),#22214,.T.); +#22232=ADVANCED_FACE('',(#22231),#22227,.T.); +#22239=EDGE_CURVE('',#12464,#12456,#7062,.T.); +#22241=EDGE_CURVE('',#12456,#12457,#7071,.T.); +#22243=EDGE_CURVE('',#12465,#12457,#7066,.T.); +#22247=ADVANCED_FACE('',(#22246),#22237,.T.); +#22255=EDGE_CURVE('',#12457,#12456,#7076,.T.); +#22260=ADVANCED_FACE('',(#22259),#22252,.T.); +#22270=ADVANCED_FACE('',(#22269),#22265,.T.); +#22277=EDGE_CURVE('',#12480,#12472,#7080,.T.); +#22279=EDGE_CURVE('',#12472,#12473,#7089,.T.); +#22281=EDGE_CURVE('',#12481,#12473,#7084,.T.); +#22285=ADVANCED_FACE('',(#22284),#22275,.T.); +#22293=EDGE_CURVE('',#12473,#12472,#7094,.T.); +#22298=ADVANCED_FACE('',(#22297),#22290,.T.); +#22308=ADVANCED_FACE('',(#22307),#22303,.T.); +#22315=EDGE_CURVE('',#12496,#12488,#7098,.T.); +#22317=EDGE_CURVE('',#12488,#12489,#7107,.T.); +#22319=EDGE_CURVE('',#12497,#12489,#7102,.T.); +#22323=ADVANCED_FACE('',(#22322),#22313,.T.); +#22331=EDGE_CURVE('',#12489,#12488,#7112,.T.); +#22336=ADVANCED_FACE('',(#22335),#22328,.T.); +#22346=ADVANCED_FACE('',(#22345),#22341,.T.); +#22353=EDGE_CURVE('',#12512,#12504,#7116,.T.); +#22355=EDGE_CURVE('',#12504,#12505,#7125,.T.); +#22357=EDGE_CURVE('',#12513,#12505,#7120,.T.); +#22361=ADVANCED_FACE('',(#22360),#22351,.T.); +#22369=EDGE_CURVE('',#12505,#12504,#7130,.T.); +#22374=ADVANCED_FACE('',(#22373),#22366,.T.); +#22384=ADVANCED_FACE('',(#22383),#22379,.T.); +#22391=EDGE_CURVE('',#12528,#12520,#7134,.T.); +#22393=EDGE_CURVE('',#12520,#12521,#7143,.T.); +#22395=EDGE_CURVE('',#12529,#12521,#7138,.T.); +#22399=ADVANCED_FACE('',(#22398),#22389,.T.); +#22407=EDGE_CURVE('',#12521,#12520,#7148,.T.); +#22412=ADVANCED_FACE('',(#22411),#22404,.T.); +#22422=ADVANCED_FACE('',(#22421),#22417,.T.); +#22429=EDGE_CURVE('',#12544,#12536,#7152,.T.); +#22431=EDGE_CURVE('',#12536,#12537,#7161,.T.); +#22433=EDGE_CURVE('',#12545,#12537,#7156,.T.); +#22437=ADVANCED_FACE('',(#22436),#22427,.T.); +#22445=EDGE_CURVE('',#12537,#12536,#7166,.T.); +#22450=ADVANCED_FACE('',(#22449),#22442,.T.); +#22460=ADVANCED_FACE('',(#22459),#22455,.T.); +#22468=EDGE_CURVE('',#12314,#12315,#7175,.T.); +#22473=ADVANCED_FACE('',(#22472),#22465,.T.); +#22483=ADVANCED_FACE('',(#22482),#22478,.F.); +#22489=EDGE_CURVE('',#13854,#13855,#7198,.T.); +#22492=EDGE_CURVE('',#13857,#13859,#7185,.T.); +#22494=EDGE_CURVE('',#13859,#13854,#7237,.T.); +#22498=ADVANCED_FACE('',(#22497),#22488,.F.); +#22504=EDGE_CURVE('',#11963,#14004,#7206,.T.); +#22506=EDGE_CURVE('',#11963,#12044,#8089,.T.); +#22508=EDGE_CURVE('',#12044,#12017,#7189,.T.); +#22510=EDGE_CURVE('',#12017,#12019,#8201,.T.); +#22512=EDGE_CURVE('',#12920,#12019,#8185,.T.); +#22516=EDGE_CURVE('',#13854,#14004,#7202,.T.); +#22520=ADVANCED_FACE('',(#22519),#22503,.T.); +#22527=EDGE_CURVE('',#14008,#14004,#7227,.T.); +#22529=EDGE_CURVE('',#11969,#14008,#7308,.T.); +#22531=EDGE_CURVE('',#11969,#11962,#7210,.T.); +#22533=EDGE_CURVE('',#11962,#11963,#8093,.T.); +#22537=ADVANCED_FACE('',(#22536),#22525,.T.); +#22543=EDGE_CURVE('',#14003,#14004,#7232,.T.); +#22545=EDGE_CURVE('',#14007,#14003,#7215,.T.); +#22547=EDGE_CURVE('',#14007,#14008,#7261,.T.); +#22552=ADVANCED_FACE('',(#22551),#22542,.T.); +#22561=EDGE_CURVE('',#13859,#14003,#7241,.T.); +#22565=ADVANCED_FACE('',(#22564),#22557,.T.); +#22571=EDGE_CURVE('',#14012,#14003,#7246,.T.); +#22574=EDGE_CURVE('',#13863,#13859,#7251,.T.); +#22576=EDGE_CURVE('',#13863,#14012,#7454,.T.); +#22580=ADVANCED_FACE('',(#22579),#22570,.F.); +#22586=EDGE_CURVE('',#14010,#14007,#7266,.T.); +#22590=EDGE_CURVE('',#14010,#14012,#7256,.T.); +#22594=ADVANCED_FACE('',(#22593),#22585,.T.); +#22602=EDGE_CURVE('',#14016,#14010,#7270,.T.); +#22604=EDGE_CURVE('',#14019,#14016,#7274,.T.); +#22606=EDGE_CURVE('',#14024,#14019,#7278,.T.); +#22608=EDGE_CURVE('',#14026,#14024,#7283,.T.); +#22610=EDGE_CURVE('',#14030,#14026,#7288,.T.); +#22612=EDGE_CURVE('',#14034,#14030,#7292,.T.); +#22614=EDGE_CURVE('',#12939,#14034,#8643,.T.); +#22616=EDGE_CURVE('',#11966,#12939,#7296,.T.); +#22618=EDGE_CURVE('',#11966,#11967,#7300,.T.); +#22620=EDGE_CURVE('',#11967,#11969,#7304,.T.); +#22625=ADVANCED_FACE('',(#22624),#22599,.T.); +#22631=EDGE_CURVE('',#14044,#14039,#7312,.T.); +#22633=EDGE_CURVE('',#14046,#14044,#7317,.T.); +#22635=EDGE_CURVE('',#14050,#14046,#7322,.T.); +#22637=EDGE_CURVE('',#14050,#11956,#7326,.T.); +#22639=EDGE_CURVE('',#11956,#11957,#7330,.T.); +#22641=EDGE_CURVE('',#11957,#11959,#7334,.T.); +#22643=EDGE_CURVE('',#11949,#11959,#7338,.T.); +#22645=EDGE_CURVE('',#14060,#11949,#8851,.T.); +#22647=EDGE_CURVE('',#14059,#14060,#7342,.T.); +#22649=EDGE_CURVE('',#14062,#14059,#7347,.T.); +#22651=EDGE_CURVE('',#14066,#14062,#7352,.T.); +#22653=EDGE_CURVE('',#14072,#14066,#7356,.T.); +#22655=EDGE_CURVE('',#14039,#14072,#7360,.T.); +#22659=ADVANCED_FACE('',(#22658),#22630,.T.); +#22665=EDGE_CURVE('',#14080,#14075,#7364,.T.); +#22667=EDGE_CURVE('',#14082,#14080,#7369,.T.); +#22669=EDGE_CURVE('',#14086,#14082,#7374,.T.); +#22671=EDGE_CURVE('',#14090,#14086,#7379,.T.); +#22673=EDGE_CURVE('',#14096,#14090,#7383,.T.); +#22675=EDGE_CURVE('',#14075,#14096,#7387,.T.); +#22679=ADVANCED_FACE('',(#22678),#22664,.T.); +#22685=EDGE_CURVE('',#14104,#14099,#7391,.T.); +#22687=EDGE_CURVE('',#14106,#14104,#7396,.T.); +#22689=EDGE_CURVE('',#14110,#14106,#7401,.T.); +#22691=EDGE_CURVE('',#14114,#14110,#7406,.T.); +#22693=EDGE_CURVE('',#14120,#14114,#7410,.T.); +#22695=EDGE_CURVE('',#14099,#14120,#7414,.T.); +#22699=ADVANCED_FACE('',(#22698),#22684,.T.); +#22705=EDGE_CURVE('',#14015,#14016,#7419,.T.); +#22709=EDGE_CURVE('',#14015,#14012,#7450,.T.); +#22713=ADVANCED_FACE('',(#22712),#22704,.T.); +#22720=EDGE_CURVE('',#13869,#14015,#7467,.T.); +#22722=EDGE_CURVE('',#13871,#13869,#7483,.T.); +#22724=EDGE_CURVE('',#13871,#13972,#7423,.T.); +#22726=EDGE_CURVE('',#13976,#13972,#7509,.T.); +#22728=EDGE_CURVE('',#14100,#13976,#7599,.T.); +#22730=EDGE_CURVE('',#14099,#14100,#7428,.T.); +#22733=EDGE_CURVE('',#14119,#14120,#7433,.T.); +#22735=EDGE_CURVE('',#13997,#14119,#7571,.T.); +#22737=EDGE_CURVE('',#13846,#13997,#7548,.T.); +#22739=EDGE_CURVE('',#13846,#13847,#7683,.T.); +#22741=EDGE_CURVE('',#13826,#13847,#7725,.T.); +#22743=EDGE_CURVE('',#13826,#13803,#7437,.T.); +#22745=EDGE_CURVE('',#13803,#13875,#7441,.T.); +#22747=EDGE_CURVE('',#13879,#13875,#8709,.T.); +#22749=EDGE_CURVE('',#14020,#13879,#8680,.T.); +#22751=EDGE_CURVE('',#14019,#14020,#7446,.T.); +#22756=ADVANCED_FACE('',(#22755),#22718,.T.); +#22764=EDGE_CURVE('',#13867,#13863,#7458,.T.); +#22766=EDGE_CURVE('',#13869,#13867,#7463,.T.); +#22771=ADVANCED_FACE('',(#22770),#22761,.T.); +#22778=EDGE_CURVE('',#13865,#13867,#7472,.T.); +#22781=EDGE_CURVE('',#13861,#13863,#8960,.T.); +#22785=ADVANCED_FACE('',(#22784),#22776,.F.); +#22793=EDGE_CURVE('',#13871,#13865,#7488,.T.); +#22798=ADVANCED_FACE('',(#22797),#22790,.F.); +#22806=EDGE_CURVE('',#13971,#13972,#7493,.T.); +#22811=ADVANCED_FACE('',(#22810),#22803,.T.); +#22818=EDGE_CURVE('',#13971,#13975,#7498,.T.); +#22820=EDGE_CURVE('',#13975,#13976,#7604,.T.); +#22825=ADVANCED_FACE('',(#22824),#22816,.F.); +#22832=EDGE_CURVE('',#13978,#13980,#7514,.T.); +#22834=EDGE_CURVE('',#13980,#13975,#7608,.T.); +#22839=ADVANCED_FACE('',(#22838),#22830,.F.); +#22846=EDGE_CURVE('',#13982,#13984,#7519,.T.); +#22848=EDGE_CURVE('',#13984,#13980,#7622,.T.); +#22853=ADVANCED_FACE('',(#22852),#22844,.F.); +#22860=EDGE_CURVE('',#13986,#13988,#7524,.T.); +#22862=EDGE_CURVE('',#13988,#13984,#7636,.T.); +#22867=ADVANCED_FACE('',(#22866),#22858,.F.); +#22874=EDGE_CURVE('',#13990,#13992,#7529,.T.); +#22876=EDGE_CURVE('',#13992,#13988,#7650,.T.); +#22881=ADVANCED_FACE('',(#22880),#22872,.F.); +#22888=EDGE_CURVE('',#13994,#13996,#7534,.T.); +#22890=EDGE_CURVE('',#13996,#13992,#7560,.T.); +#22895=ADVANCED_FACE('',(#22894),#22886,.F.); +#22937=EDGE_CURVE('',#13997,#13996,#7567,.T.); +#22940=EDGE_CURVE('',#13998,#13994,#7660,.T.); +#22942=EDGE_CURVE('',#13998,#13846,#7539,.T.); +#22947=ADVANCED_FACE('',(#22946),#22936,.F.); +#22953=EDGE_CURVE('',#14119,#14116,#7552,.T.); +#22955=EDGE_CURVE('',#13992,#14116,#7556,.T.); +#22962=ADVANCED_FACE('',(#22961),#22952,.T.); +#22970=EDGE_CURVE('',#14114,#14116,#7576,.T.); +#22975=ADVANCED_FACE('',(#22974),#22967,.T.); +#22982=EDGE_CURVE('',#14110,#14112,#7581,.T.); +#22984=EDGE_CURVE('',#14116,#14112,#7645,.T.); +#22989=ADVANCED_FACE('',(#22988),#22980,.T.); +#22996=EDGE_CURVE('',#14106,#14108,#7586,.T.); +#22998=EDGE_CURVE('',#14112,#14108,#7627,.T.); +#23003=ADVANCED_FACE('',(#23002),#22994,.T.); +#23010=EDGE_CURVE('',#14104,#14102,#7591,.T.); +#23012=EDGE_CURVE('',#14108,#14102,#7617,.T.); +#23017=ADVANCED_FACE('',(#23016),#23008,.T.); +#23024=EDGE_CURVE('',#14102,#14100,#7595,.T.); +#23030=ADVANCED_FACE('',(#23029),#23022,.T.); +#23040=EDGE_CURVE('',#13980,#14102,#7612,.T.); +#23044=ADVANCED_FACE('',(#23043),#23035,.T.); +#23053=EDGE_CURVE('',#13984,#14108,#7631,.T.); +#23057=ADVANCED_FACE('',(#23056),#23049,.F.); +#23066=EDGE_CURVE('',#13988,#14112,#7640,.T.); +#23070=ADVANCED_FACE('',(#23069),#23062,.T.); +#23082=ADVANCED_FACE('',(#23081),#23075,.F.); +#23091=EDGE_CURVE('',#13998,#13818,#7669,.T.); +#23095=ADVANCED_FACE('',(#23094),#23087,.T.); +#23101=EDGE_CURVE('',#14000,#13998,#7664,.T.); +#23105=EDGE_CURVE('',#13843,#14000,#7674,.T.); +#23109=ADVANCED_FACE('',(#23108),#23100,.T.); +#23116=EDGE_CURVE('',#14000,#13847,#7679,.T.); +#23122=ADVANCED_FACE('',(#23121),#23114,.F.); +#23164=EDGE_CURVE('',#13999,#14000,#7711,.T.); +#23167=EDGE_CURVE('',#13847,#13848,#7701,.T.); +#23172=ADVANCED_FACE('',(#23171),#23163,.F.); +#23180=EDGE_CURVE('',#13839,#13843,#7716,.T.); +#23185=ADVANCED_FACE('',(#23184),#23177,.T.); +#23197=ADVANCED_FACE('',(#23196),#23190,.T.); +#23206=EDGE_CURVE('',#13822,#13826,#7734,.T.); +#23210=ADVANCED_FACE('',(#23209),#23202,.T.); +#23217=EDGE_CURVE('',#13823,#13827,#7739,.T.); +#23219=EDGE_CURVE('',#13826,#13827,#8734,.T.); +#23224=ADVANCED_FACE('',(#23223),#23215,.T.); +#23231=EDGE_CURVE('',#13829,#13831,#7744,.T.); +#23233=EDGE_CURVE('',#13827,#13831,#8746,.T.); +#23238=ADVANCED_FACE('',(#23237),#23229,.T.); +#23245=EDGE_CURVE('',#13833,#13835,#7757,.T.); +#23247=EDGE_CURVE('',#13831,#13835,#8770,.T.); +#23252=ADVANCED_FACE('',(#23251),#23243,.T.); +#23260=EDGE_CURVE('',#13852,#13835,#7752,.T.); +#23265=ADVANCED_FACE('',(#23264),#23257,.T.); +#23271=EDGE_CURVE('',#14039,#14040,#7762,.T.); +#23274=EDGE_CURVE('',#14071,#14072,#7767,.T.); +#23276=EDGE_CURVE('',#13917,#14071,#8811,.T.); +#23278=EDGE_CURVE('',#13919,#13917,#8790,.T.); +#23280=EDGE_CURVE('',#13919,#13811,#7771,.T.); +#23282=EDGE_CURVE('',#13835,#13811,#8774,.T.); +#23286=EDGE_CURVE('',#13941,#13851,#7926,.T.); +#23288=EDGE_CURVE('',#13941,#14076,#7775,.T.); +#23290=EDGE_CURVE('',#14075,#14076,#7780,.T.); +#23293=EDGE_CURVE('',#14095,#14096,#7785,.T.); +#23295=EDGE_CURVE('',#13963,#14095,#7873,.T.); +#23297=EDGE_CURVE('',#13965,#13963,#7852,.T.); +#23299=EDGE_CURVE('',#13965,#13923,#7789,.T.); +#23301=EDGE_CURVE('',#13927,#13923,#7831,.T.); +#23303=EDGE_CURVE('',#14040,#13927,#7802,.T.); +#23307=ADVANCED_FACE('',(#23306),#23270,.T.); +#23314=EDGE_CURVE('',#14042,#14040,#7798,.T.); +#23316=EDGE_CURVE('',#14044,#14042,#7794,.T.); +#23321=ADVANCED_FACE('',(#23320),#23312,.T.); +#23329=EDGE_CURVE('',#13926,#13927,#7807,.T.); +#23331=EDGE_CURVE('',#13931,#13926,#7811,.T.); +#23333=EDGE_CURVE('',#13931,#14042,#7815,.T.); +#23337=ADVANCED_FACE('',(#23336),#23326,.T.); +#23343=EDGE_CURVE('',#13922,#13923,#7836,.T.); +#23345=EDGE_CURVE('',#13922,#13926,#7820,.T.); +#23351=ADVANCED_FACE('',(#23350),#23342,.F.); +#23359=EDGE_CURVE('',#13965,#13959,#7841,.T.); +#23364=ADVANCED_FACE('',(#23363),#23356,.T.); +#23370=EDGE_CURVE('',#13963,#13961,#7869,.T.); +#23372=EDGE_CURVE('',#13959,#13961,#7971,.T.); +#23378=ADVANCED_FACE('',(#23377),#23369,.F.); +#23384=EDGE_CURVE('',#14095,#14092,#7856,.T.); +#23386=EDGE_CURVE('',#13957,#14092,#7860,.T.); +#23388=EDGE_CURVE('',#13961,#13957,#7864,.T.); +#23394=ADVANCED_FACE('',(#23393),#23383,.T.); +#23402=EDGE_CURVE('',#14090,#14092,#7878,.T.); +#23407=ADVANCED_FACE('',(#23406),#23399,.T.); +#23414=EDGE_CURVE('',#14086,#14088,#7883,.T.); +#23416=EDGE_CURVE('',#14092,#14088,#7976,.T.); +#23421=ADVANCED_FACE('',(#23420),#23412,.T.); +#23428=EDGE_CURVE('',#14082,#14084,#7888,.T.); +#23430=EDGE_CURVE('',#14088,#14084,#7986,.T.); +#23435=ADVANCED_FACE('',(#23434),#23426,.T.); +#23442=EDGE_CURVE('',#14080,#14078,#7893,.T.); +#23444=EDGE_CURVE('',#14084,#14078,#8004,.T.); +#23449=ADVANCED_FACE('',(#23448),#23440,.T.); +#23456=EDGE_CURVE('',#14078,#14076,#7897,.T.); +#23462=ADVANCED_FACE('',(#23461),#23454,.T.); +#23470=EDGE_CURVE('',#13940,#13941,#7904,.T.); +#23472=EDGE_CURVE('',#13945,#13940,#7908,.T.); +#23474=EDGE_CURVE('',#13945,#14078,#7912,.T.); +#23478=ADVANCED_FACE('',(#23477),#23467,.T.); +#23524=EDGE_CURVE('',#13938,#13939,#7941,.T.); +#23526=EDGE_CURVE('',#13938,#13940,#7917,.T.); +#23533=ADVANCED_FACE('',(#23532),#23523,.F.); +#23545=ADVANCED_FACE('',(#23544),#23538,.T.); +#23552=EDGE_CURVE('',#13943,#13945,#7951,.T.); +#23558=ADVANCED_FACE('',(#23557),#23550,.F.); +#23565=EDGE_CURVE('',#13947,#13949,#7956,.T.); +#23567=EDGE_CURVE('',#13949,#13945,#8009,.T.); +#23572=ADVANCED_FACE('',(#23571),#23563,.F.); +#23579=EDGE_CURVE('',#13951,#13953,#7961,.T.); +#23581=EDGE_CURVE('',#13953,#13949,#7995,.T.); +#23586=ADVANCED_FACE('',(#23585),#23577,.F.); +#23605=EDGE_CURVE('',#13955,#13957,#7966,.T.); +#23607=EDGE_CURVE('',#13957,#13953,#7981,.T.); +#23612=ADVANCED_FACE('',(#23611),#23603,.F.); +#23624=ADVANCED_FACE('',(#23623),#23617,.F.); +#23631=EDGE_CURVE('',#13953,#14088,#7999,.T.); +#23637=ADVANCED_FACE('',(#23636),#23629,.F.); +#23644=EDGE_CURVE('',#13949,#14084,#7990,.T.); +#23650=ADVANCED_FACE('',(#23649),#23642,.T.); +#23662=ADVANCED_FACE('',(#23661),#23655,.F.); +#23669=EDGE_CURVE('',#13929,#13931,#8014,.T.); +#23675=ADVANCED_FACE('',(#23674),#23667,.F.); +#23682=EDGE_CURVE('',#13933,#13935,#8019,.T.); +#23684=EDGE_CURVE('',#13935,#13931,#8063,.T.); +#23689=ADVANCED_FACE('',(#23688),#23680,.F.); +#23695=EDGE_CURVE('',#13936,#13937,#8028,.T.); +#23697=EDGE_CURVE('',#13937,#13935,#8077,.T.); +#23703=ADVANCED_FACE('',(#23702),#23694,.F.); +#23709=EDGE_CURVE('',#14052,#11952,#8036,.T.); +#23711=EDGE_CURVE('',#13937,#14052,#8081,.T.); +#23715=EDGE_CURVE('',#11947,#12023,#8032,.T.); +#23717=EDGE_CURVE('',#12023,#12025,#8277,.T.); +#23719=EDGE_CURVE('',#12048,#12025,#8393,.T.); +#23721=EDGE_CURVE('',#12048,#11952,#8113,.T.); +#23725=ADVANCED_FACE('',(#23724),#23708,.T.); +#23732=EDGE_CURVE('',#14052,#14050,#8048,.T.); +#23735=EDGE_CURVE('',#11952,#11953,#8109,.T.); +#23737=EDGE_CURVE('',#11956,#11953,#8407,.T.); +#23741=ADVANCED_FACE('',(#23740),#23730,.T.); +#23748=EDGE_CURVE('',#14046,#14048,#8053,.T.); +#23750=EDGE_CURVE('',#14052,#14048,#8068,.T.); +#23755=ADVANCED_FACE('',(#23754),#23746,.T.); +#23763=EDGE_CURVE('',#14048,#14042,#8058,.T.); +#23768=ADVANCED_FACE('',(#23767),#23760,.T.); +#23777=EDGE_CURVE('',#13935,#14048,#8072,.T.); +#23781=ADVANCED_FACE('',(#23780),#23773,.F.); +#23793=ADVANCED_FACE('',(#23792),#23786,.T.); +#23799=EDGE_CURVE('',#12044,#12045,#8085,.T.); +#23803=EDGE_CURVE('',#12045,#11962,#8097,.T.); +#23807=ADVANCED_FACE('',(#23806),#23798,.T.); +#23813=EDGE_CURVE('',#12048,#12049,#8101,.T.); +#23815=EDGE_CURVE('',#11953,#12049,#8105,.T.); +#23821=ADVANCED_FACE('',(#23820),#23812,.T.); +#23827=EDGE_CURVE('',#11966,#12015,#8117,.T.); +#23829=EDGE_CURVE('',#12015,#12017,#8205,.T.); +#23833=EDGE_CURVE('',#11967,#12045,#8639,.T.); +#23838=ADVANCED_FACE('',(#23837),#23826,.T.); +#23844=EDGE_CURVE('',#12068,#12069,#8121,.T.); +#23846=EDGE_CURVE('',#12068,#12013,#8125,.T.); +#23848=EDGE_CURVE('',#12013,#12015,#8209,.T.); +#23852=EDGE_CURVE('',#12937,#12939,#8652,.T.); +#23855=EDGE_CURVE('',#12935,#12073,#8129,.T.); +#23857=EDGE_CURVE('',#12072,#12073,#8133,.T.); +#23859=EDGE_CURVE('',#12072,#12069,#8169,.T.); +#23863=ADVANCED_FACE('',(#23862),#23843,.T.); +#23869=EDGE_CURVE('',#12062,#12029,#8385,.T.); +#23871=EDGE_CURVE('',#12053,#12062,#8137,.T.); +#23873=EDGE_CURVE('',#12052,#12053,#8329,.T.); +#23875=EDGE_CURVE('',#12055,#12052,#8141,.T.); +#23877=EDGE_CURVE('',#11931,#12055,#8373,.T.); +#23880=EDGE_CURVE('',#11930,#11949,#8145,.T.); +#23883=EDGE_CURVE('',#11959,#12027,#8149,.T.); +#23885=EDGE_CURVE('',#12027,#12029,#8269,.T.); +#23889=ADVANCED_FACE('',(#23888),#23868,.T.); +#23896=EDGE_CURVE('',#12069,#12941,#8153,.T.); +#23898=EDGE_CURVE('',#12941,#12945,#8297,.T.); +#23900=EDGE_CURVE('',#12945,#12068,#8281,.T.); +#23904=ADVANCED_FACE('',(#23903),#23894,.F.); +#23911=EDGE_CURVE('',#12069,#12007,#8157,.T.); +#23913=EDGE_CURVE('',#12007,#12009,#8221,.T.); +#23915=EDGE_CURVE('',#12941,#12009,#8301,.T.); +#23919=ADVANCED_FACE('',(#23918),#23909,.T.); +#23925=EDGE_CURVE('',#12072,#12943,#8161,.T.); +#23927=EDGE_CURVE('',#12931,#12943,#8309,.T.); +#23930=EDGE_CURVE('',#12929,#12072,#8165,.T.); +#23934=ADVANCED_FACE('',(#23933),#23924,.T.); +#23943=EDGE_CURVE('',#12927,#12005,#8173,.T.); +#23945=EDGE_CURVE('',#12005,#12007,#8225,.T.); +#23950=ADVANCED_FACE('',(#23949),#23939,.T.); +#23956=EDGE_CURVE('',#12925,#12003,#8177,.T.); +#23958=EDGE_CURVE('',#12003,#12005,#8229,.T.); +#23964=ADVANCED_FACE('',(#23963),#23955,.T.); +#23970=EDGE_CURVE('',#12923,#12001,#8181,.T.); +#23972=EDGE_CURVE('',#12001,#12003,#8233,.T.); +#23978=ADVANCED_FACE('',(#23977),#23969,.T.); +#23984=EDGE_CURVE('',#12000,#12921,#8189,.T.); +#23986=EDGE_CURVE('',#12000,#12001,#8193,.T.); +#23992=ADVANCED_FACE('',(#23991),#23983,.T.); +#23999=EDGE_CURVE('',#12019,#12000,#8197,.T.); +#24005=ADVANCED_FACE('',(#24004),#23997,.T.); +#24016=EDGE_CURVE('',#12011,#12013,#8213,.T.); +#24018=EDGE_CURVE('',#12009,#12011,#8217,.T.); +#24026=ADVANCED_FACE('',(#24025),#24010,.T.); +#24032=EDGE_CURVE('',#12022,#12023,#8237,.T.); +#24034=EDGE_CURVE('',#12041,#12022,#8241,.T.); +#24036=EDGE_CURVE('',#12039,#12041,#8245,.T.); +#24038=EDGE_CURVE('',#12037,#12039,#8249,.T.); +#24040=EDGE_CURVE('',#12035,#12037,#8253,.T.); +#24042=EDGE_CURVE('',#12033,#12035,#8257,.T.); +#24044=EDGE_CURVE('',#12031,#12033,#8261,.T.); +#24046=EDGE_CURVE('',#12029,#12031,#8265,.T.); +#24049=EDGE_CURVE('',#12025,#12027,#8273,.T.); +#24054=ADVANCED_FACE('',(#24053),#24031,.T.); +#24061=EDGE_CURVE('',#12945,#12011,#8285,.T.); +#24067=ADVANCED_FACE('',(#24066),#24059,.T.); +#24073=EDGE_CURVE('',#12947,#12073,#8289,.T.); +#24077=EDGE_CURVE('',#12933,#12947,#8293,.T.); +#24081=ADVANCED_FACE('',(#24080),#24072,.T.); +#24093=ADVANCED_FACE('',(#24092),#24086,.T.); +#24099=EDGE_CURVE('',#12943,#12947,#8305,.T.); +#24106=ADVANCED_FACE('',(#24105),#24098,.T.); +#24118=ADVANCED_FACE('',(#24117),#24111,.F.); +#24124=EDGE_CURVE('',#12022,#11945,#8317,.T.); +#24131=ADVANCED_FACE('',(#24130),#24123,.T.); +#24137=EDGE_CURVE('',#11943,#12041,#8313,.T.); +#24144=ADVANCED_FACE('',(#24143),#24136,.T.); +#24150=EDGE_CURVE('',#11941,#12039,#8321,.T.); +#24157=ADVANCED_FACE('',(#24156),#24149,.T.); +#24163=EDGE_CURVE('',#11939,#12037,#8325,.T.); +#24170=ADVANCED_FACE('',(#24169),#24162,.T.); +#24177=EDGE_CURVE('',#12053,#12035,#8333,.T.); +#24182=EDGE_CURVE('',#11937,#12052,#8337,.T.); +#24186=ADVANCED_FACE('',(#24185),#24175,.T.); +#24192=EDGE_CURVE('',#11935,#12059,#8341,.T.); +#24194=EDGE_CURVE('',#12059,#12052,#8345,.T.); +#24200=ADVANCED_FACE('',(#24199),#24191,.T.); +#24206=EDGE_CURVE('',#12065,#12053,#8349,.T.); +#24208=EDGE_CURVE('',#12065,#12033,#8353,.T.); +#24214=ADVANCED_FACE('',(#24213),#24205,.T.); +#24220=EDGE_CURVE('',#11933,#12057,#8357,.T.); +#24222=EDGE_CURVE('',#12057,#12059,#8361,.T.); +#24228=ADVANCED_FACE('',(#24227),#24219,.T.); +#24234=EDGE_CURVE('',#12063,#12065,#8365,.T.); +#24236=EDGE_CURVE('',#12063,#12031,#8369,.T.); +#24242=ADVANCED_FACE('',(#24241),#24233,.T.); +#24249=EDGE_CURVE('',#12055,#12057,#8377,.T.); +#24255=ADVANCED_FACE('',(#24254),#24247,.T.); +#24261=EDGE_CURVE('',#12062,#12063,#8381,.T.); +#24268=ADVANCED_FACE('',(#24267),#24260,.T.); +#24280=ADVANCED_FACE('',(#24279),#24273,.F.); +#24292=ADVANCED_FACE('',(#24291),#24285,.F.); +#24298=EDGE_CURVE('',#11957,#12049,#8389,.T.); +#24307=ADVANCED_FACE('',(#24306),#24297,.T.); +#24319=EDGE_CURVE('',#11972,#11973,#8398,.T.); +#24321=EDGE_CURVE('',#11973,#11972,#8403,.T.); +#24325=ADVANCED_FACE('',(#24318,#24324),#24312,.T.); +#24331=EDGE_CURVE('',#14924,#14931,#8412,.T.); +#24333=EDGE_CURVE('',#11980,#14931,#8416,.T.); +#24335=EDGE_CURVE('',#11980,#11981,#8421,.T.); +#24337=EDGE_CURVE('',#11973,#11981,#8452,.T.); +#24340=EDGE_CURVE('',#11972,#14933,#8425,.T.); +#24342=EDGE_CURVE('',#14933,#14924,#8430,.T.); +#24346=ADVANCED_FACE('',(#24345),#24330,.T.); +#24352=EDGE_CURVE('',#14924,#14925,#8434,.T.); +#24354=EDGE_CURVE('',#14925,#14929,#8557,.T.); +#24356=EDGE_CURVE('',#14928,#14929,#8438,.T.); +#24358=EDGE_CURVE('',#14935,#14928,#8443,.T.); +#24360=EDGE_CURVE('',#14937,#14935,#8515,.T.); +#24362=EDGE_CURVE('',#14936,#14937,#8504,.T.); +#24364=EDGE_CURVE('',#14931,#14936,#8494,.T.); +#24369=ADVANCED_FACE('',(#24368),#24351,.T.); +#24377=EDGE_CURVE('',#14928,#14933,#8448,.T.); +#24380=EDGE_CURVE('',#14929,#14925,#8562,.T.); +#24384=ADVANCED_FACE('',(#24383),#24374,.T.); +#24395=EDGE_CURVE('',#11981,#11983,#8457,.T.); +#24397=EDGE_CURVE('',#11983,#14935,#8461,.T.); +#24401=ADVANCED_FACE('',(#24400),#24389,.T.); +#24408=EDGE_CURVE('',#11980,#11992,#8465,.T.); +#24410=EDGE_CURVE('',#11992,#11993,#8470,.T.); +#24412=EDGE_CURVE('',#11993,#11983,#8474,.T.); +#24417=ADVANCED_FACE('',(#24416),#24406,.F.); +#24423=EDGE_CURVE('',#11986,#11987,#8538,.T.); +#24425=EDGE_CURVE('',#11989,#11986,#8584,.T.); +#24427=EDGE_CURVE('',#11989,#11996,#8478,.T.); +#24429=EDGE_CURVE('',#11996,#11997,#8483,.T.); +#24431=EDGE_CURVE('',#11997,#11987,#8487,.T.); +#24435=ADVANCED_FACE('',(#24434),#24422,.F.); +#24442=EDGE_CURVE('',#14936,#11992,#8508,.T.); +#24448=ADVANCED_FACE('',(#24447),#24440,.T.); +#24455=EDGE_CURVE('',#14937,#11993,#8519,.T.); +#24461=ADVANCED_FACE('',(#24460),#24453,.T.); +#24473=ADVANCED_FACE('',(#24472),#24466,.T.); +#24479=EDGE_CURVE('',#14912,#14913,#8524,.T.); +#24481=EDGE_CURVE('',#14913,#14915,#8529,.T.); +#24483=EDGE_CURVE('',#11977,#14915,#8588,.T.); +#24485=EDGE_CURVE('',#11976,#11977,#8630,.T.); +#24487=EDGE_CURVE('',#11976,#11986,#8533,.T.); +#24490=EDGE_CURVE('',#11987,#14912,#8542,.T.); +#24494=ADVANCED_FACE('',(#24493),#24478,.T.); +#24500=EDGE_CURVE('',#14908,#14909,#8547,.T.); +#24502=EDGE_CURVE('',#14913,#14908,#8570,.T.); +#24505=EDGE_CURVE('',#14912,#14921,#8621,.T.); +#24507=EDGE_CURVE('',#14920,#14921,#8610,.T.); +#24509=EDGE_CURVE('',#14920,#14919,#8600,.T.); +#24511=EDGE_CURVE('',#14918,#14919,#8575,.T.); +#24513=EDGE_CURVE('',#14918,#14909,#8566,.T.); +#24517=ADVANCED_FACE('',(#24516),#24499,.T.); +#24524=EDGE_CURVE('',#14909,#14908,#8552,.T.); +#24528=ADVANCED_FACE('',(#24527),#24522,.T.); +#24538=ADVANCED_FACE('',(#24537),#24533,.T.); +#24546=EDGE_CURVE('',#14915,#14918,#8593,.T.); +#24552=ADVANCED_FACE('',(#24551),#24543,.T.); +#24559=EDGE_CURVE('',#11989,#14919,#8579,.T.); +#24563=EDGE_CURVE('',#11977,#11976,#8635,.T.); +#24569=ADVANCED_FACE('',(#24568),#24557,.T.); +#24576=EDGE_CURVE('',#14920,#11996,#8614,.T.); +#24582=ADVANCED_FACE('',(#24581),#24574,.T.); +#24589=EDGE_CURVE('',#14921,#11997,#8625,.T.); +#24595=ADVANCED_FACE('',(#24594),#24587,.T.); +#24607=ADVANCED_FACE('',(#24606),#24600,.T.); +#24623=ADVANCED_FACE('',(#24618,#24622),#24612,.T.); +#24630=EDGE_CURVE('',#14036,#14034,#8657,.T.); +#24632=EDGE_CURVE('',#13895,#14036,#8927,.T.); +#24634=EDGE_CURVE('',#13894,#13895,#8648,.T.); +#24640=ADVANCED_FACE('',(#24639),#24628,.T.); +#24647=EDGE_CURVE('',#14030,#14032,#8662,.T.); +#24649=EDGE_CURVE('',#14036,#14032,#8919,.T.); +#24654=ADVANCED_FACE('',(#24653),#24645,.T.); +#24661=EDGE_CURVE('',#14026,#14028,#8667,.T.); +#24663=EDGE_CURVE('',#14032,#14028,#8932,.T.); +#24668=ADVANCED_FACE('',(#24667),#24659,.T.); +#24675=EDGE_CURVE('',#14024,#14022,#8672,.T.); +#24677=EDGE_CURVE('',#14028,#14022,#8950,.T.); +#24682=ADVANCED_FACE('',(#24681),#24673,.T.); +#24689=EDGE_CURVE('',#14022,#14020,#8676,.T.); +#24695=ADVANCED_FACE('',(#24694),#24687,.T.); +#24703=EDGE_CURVE('',#13878,#13879,#8685,.T.); +#24705=EDGE_CURVE('',#13883,#13878,#8689,.T.); +#24707=EDGE_CURVE('',#13883,#14022,#8693,.T.); +#24711=ADVANCED_FACE('',(#24710),#24700,.T.); +#24717=EDGE_CURVE('',#13874,#13875,#8714,.T.); +#24719=EDGE_CURVE('',#13874,#13878,#8698,.T.); +#24725=ADVANCED_FACE('',(#24724),#24716,.F.); +#24733=EDGE_CURVE('',#13799,#13803,#8719,.T.); +#24738=ADVANCED_FACE('',(#24737),#24730,.T.); +#24746=EDGE_CURVE('',#13802,#13803,#8729,.T.); +#24748=EDGE_CURVE('',#13798,#13802,#8724,.T.); +#24752=ADVANCED_FACE('',(#24751),#24743,.T.); +#24761=EDGE_CURVE('',#13827,#13802,#8738,.T.); +#24765=ADVANCED_FACE('',(#24764),#24757,.T.); +#24771=EDGE_CURVE('',#13807,#13802,#8742,.T.); +#24775=EDGE_CURVE('',#13831,#13807,#8750,.T.); +#24779=ADVANCED_FACE('',(#24778),#24770,.T.); +#24788=EDGE_CURVE('',#13805,#13807,#8755,.T.); +#24792=ADVANCED_FACE('',(#24791),#24784,.T.); +#24800=EDGE_CURVE('',#13811,#13807,#8765,.T.); +#24802=EDGE_CURVE('',#13809,#13811,#8760,.T.); +#24806=ADVANCED_FACE('',(#24805),#24797,.T.); +#24818=ADVANCED_FACE('',(#24817),#24811,.T.); +#24824=EDGE_CURVE('',#13919,#13913,#8779,.T.); +#24831=ADVANCED_FACE('',(#24830),#24823,.T.); +#24837=EDGE_CURVE('',#13917,#13915,#8807,.T.); +#24839=EDGE_CURVE('',#13913,#13915,#8876,.T.); +#24845=ADVANCED_FACE('',(#24844),#24836,.F.); +#24851=EDGE_CURVE('',#14071,#14068,#8794,.T.); +#24853=EDGE_CURVE('',#13911,#14068,#8798,.T.); +#24855=EDGE_CURVE('',#13915,#13911,#8802,.T.); +#24861=ADVANCED_FACE('',(#24860),#24850,.T.); +#24869=EDGE_CURVE('',#14066,#14068,#8816,.T.); +#24874=ADVANCED_FACE('',(#24873),#24866,.T.); +#24881=EDGE_CURVE('',#14062,#14064,#8821,.T.); +#24883=EDGE_CURVE('',#14068,#14064,#8881,.T.); +#24888=ADVANCED_FACE('',(#24887),#24879,.T.); +#24895=EDGE_CURVE('',#14059,#14055,#8826,.T.); +#24897=EDGE_CURVE('',#14064,#14055,#8891,.T.); +#24902=ADVANCED_FACE('',(#24901),#24893,.T.); +#24908=EDGE_CURVE('',#14055,#14056,#8835,.T.); +#24912=EDGE_CURVE('',#14060,#14056,#8831,.T.); +#24916=ADVANCED_FACE('',(#24915),#24907,.T.); +#24923=EDGE_CURVE('',#13898,#14056,#8847,.T.); +#24925=EDGE_CURVE('',#13903,#13898,#8839,.T.); +#24927=EDGE_CURVE('',#13903,#14055,#8843,.T.); +#24931=ADVANCED_FACE('',(#24930),#24921,.T.); +#24942=EDGE_CURVE('',#13898,#13899,#8856,.T.); +#24946=ADVANCED_FACE('',(#24945),#24936,.T.); +#24954=EDGE_CURVE('',#13901,#13903,#8861,.T.); +#24959=ADVANCED_FACE('',(#24958),#24951,.F.); +#24966=EDGE_CURVE('',#13905,#13907,#8866,.T.); +#24968=EDGE_CURVE('',#13907,#13903,#8896,.T.); +#24973=ADVANCED_FACE('',(#24972),#24964,.F.); +#24980=EDGE_CURVE('',#13909,#13911,#8871,.T.); +#24982=EDGE_CURVE('',#13911,#13907,#8886,.T.); +#24987=ADVANCED_FACE('',(#24986),#24978,.F.); +#24999=ADVANCED_FACE('',(#24998),#24992,.F.); +#25006=EDGE_CURVE('',#13907,#14064,#8900,.T.); +#25012=ADVANCED_FACE('',(#25011),#25004,.F.); +#25024=ADVANCED_FACE('',(#25023),#25017,.T.); +#25031=EDGE_CURVE('',#13881,#13883,#8905,.T.); +#25037=ADVANCED_FACE('',(#25036),#25029,.F.); +#25044=EDGE_CURVE('',#13885,#13887,#8910,.T.); +#25046=EDGE_CURVE('',#13887,#13883,#8955,.T.); +#25051=ADVANCED_FACE('',(#25050),#25042,.F.); +#25058=EDGE_CURVE('',#13889,#13891,#8915,.T.); +#25060=EDGE_CURVE('',#13891,#13887,#8941,.T.); +#25065=ADVANCED_FACE('',(#25064),#25056,.F.); +#25072=EDGE_CURVE('',#13895,#13891,#8923,.T.); +#25078=ADVANCED_FACE('',(#25077),#25070,.F.); +#25085=EDGE_CURVE('',#13891,#14032,#8945,.T.); +#25091=ADVANCED_FACE('',(#25090),#25083,.T.); +#25098=EDGE_CURVE('',#13887,#14028,#8936,.T.); +#25104=ADVANCED_FACE('',(#25103),#25096,.T.); +#25116=ADVANCED_FACE('',(#25115),#25109,.F.); +#25128=ADVANCED_FACE('',(#25127),#25121,.F.); +#25135=EDGE_CURVE('',#12736,#12588,#8964,.T.); +#25137=EDGE_CURVE('',#12588,#12589,#8996,.T.); +#25139=EDGE_CURVE('',#12737,#12589,#8988,.T.); +#25143=ADVANCED_FACE('',(#25142),#25133,.T.); +#25150=EDGE_CURVE('',#12739,#12591,#8968,.T.); +#25152=EDGE_CURVE('',#12591,#12588,#9000,.T.); +#25157=ADVANCED_FACE('',(#25156),#25148,.T.); +#25164=EDGE_CURVE('',#12741,#12593,#8972,.T.); +#25166=EDGE_CURVE('',#12593,#12591,#9004,.T.); +#25171=ADVANCED_FACE('',(#25170),#25162,.T.); +#25178=EDGE_CURVE('',#12743,#12595,#8976,.T.); +#25180=EDGE_CURVE('',#12595,#12593,#9008,.T.); +#25185=ADVANCED_FACE('',(#25184),#25176,.T.); +#25192=EDGE_CURVE('',#12745,#12597,#8980,.T.); +#25194=EDGE_CURVE('',#12597,#12595,#9012,.T.); +#25199=ADVANCED_FACE('',(#25198),#25190,.T.); +#25206=EDGE_CURVE('',#12747,#12599,#8984,.T.); +#25208=EDGE_CURVE('',#12599,#12597,#9016,.T.); +#25213=ADVANCED_FACE('',(#25212),#25204,.T.); +#25221=EDGE_CURVE('',#12589,#12599,#8992,.T.); +#25226=ADVANCED_FACE('',(#25225),#25218,.T.); +#25241=ADVANCED_FACE('',(#25240),#25231,.T.); +#25247=EDGE_CURVE('',#12603,#12613,#9020,.T.); +#25249=EDGE_CURVE('',#12602,#12603,#9024,.T.); +#25251=EDGE_CURVE('',#12605,#12602,#9028,.T.); +#25253=EDGE_CURVE('',#12607,#12605,#9032,.T.); +#25255=EDGE_CURVE('',#12609,#12607,#9036,.T.); +#25257=EDGE_CURVE('',#12611,#12609,#9040,.T.); +#25259=EDGE_CURVE('',#12613,#12611,#9044,.T.); +#25263=ADVANCED_FACE('',(#25262),#25246,.T.); +#25269=EDGE_CURVE('',#12617,#12693,#9048,.T.); +#25271=EDGE_CURVE('',#12616,#12617,#9052,.T.); +#25273=EDGE_CURVE('',#12619,#12616,#9056,.T.); +#25275=EDGE_CURVE('',#12621,#12619,#9060,.T.); +#25277=EDGE_CURVE('',#12623,#12621,#9064,.T.); +#25279=EDGE_CURVE('',#12625,#12623,#9068,.T.); +#25281=EDGE_CURVE('',#12627,#12625,#9072,.T.); +#25283=EDGE_CURVE('',#12629,#12627,#9076,.T.); +#25285=EDGE_CURVE('',#12631,#12629,#9080,.T.); +#25287=EDGE_CURVE('',#12633,#12631,#9084,.T.); +#25289=EDGE_CURVE('',#12635,#12633,#9088,.T.); +#25291=EDGE_CURVE('',#12637,#12635,#9092,.T.); +#25293=EDGE_CURVE('',#12639,#12637,#9096,.T.); +#25295=EDGE_CURVE('',#12641,#12639,#9100,.T.); +#25297=EDGE_CURVE('',#12643,#12641,#9104,.T.); +#25299=EDGE_CURVE('',#12645,#12643,#9108,.T.); +#25301=EDGE_CURVE('',#12647,#12645,#9112,.T.); +#25303=EDGE_CURVE('',#12649,#12647,#9116,.T.); +#25305=EDGE_CURVE('',#12651,#12649,#9120,.T.); +#25307=EDGE_CURVE('',#12653,#12651,#9124,.T.); +#25309=EDGE_CURVE('',#12655,#12653,#9128,.T.); +#25311=EDGE_CURVE('',#12657,#12655,#9132,.T.); +#25313=EDGE_CURVE('',#12659,#12657,#9136,.T.); +#25315=EDGE_CURVE('',#12661,#12659,#9140,.T.); +#25317=EDGE_CURVE('',#12663,#12661,#9144,.T.); +#25319=EDGE_CURVE('',#12665,#12663,#9148,.T.); +#25321=EDGE_CURVE('',#12667,#12665,#9152,.T.); +#25323=EDGE_CURVE('',#12669,#12667,#9156,.T.); +#25325=EDGE_CURVE('',#12671,#12669,#9160,.T.); +#25327=EDGE_CURVE('',#12673,#12671,#9164,.T.); +#25329=EDGE_CURVE('',#12675,#12673,#9168,.T.); +#25331=EDGE_CURVE('',#12677,#12675,#9172,.T.); +#25333=EDGE_CURVE('',#12679,#12677,#9176,.T.); +#25335=EDGE_CURVE('',#12681,#12679,#9180,.T.); +#25337=EDGE_CURVE('',#12683,#12681,#9184,.T.); +#25339=EDGE_CURVE('',#12685,#12683,#9188,.T.); +#25341=EDGE_CURVE('',#12687,#12685,#9192,.T.); +#25343=EDGE_CURVE('',#12689,#12687,#9196,.T.); +#25345=EDGE_CURVE('',#12691,#12689,#9200,.T.); +#25347=EDGE_CURVE('',#12693,#12691,#9204,.T.); +#25351=EDGE_CURVE('',#12697,#12733,#9208,.T.); +#25353=EDGE_CURVE('',#12696,#12697,#9212,.T.); +#25355=EDGE_CURVE('',#12699,#12696,#9216,.T.); +#25357=EDGE_CURVE('',#12701,#12699,#9220,.T.); +#25359=EDGE_CURVE('',#12703,#12701,#9224,.T.); +#25361=EDGE_CURVE('',#12705,#12703,#9228,.T.); +#25363=EDGE_CURVE('',#12707,#12705,#9232,.T.); +#25365=EDGE_CURVE('',#12709,#12707,#9236,.T.); +#25367=EDGE_CURVE('',#12711,#12709,#9240,.T.); +#25369=EDGE_CURVE('',#12713,#12711,#9244,.T.); +#25371=EDGE_CURVE('',#12715,#12713,#9248,.T.); +#25373=EDGE_CURVE('',#12717,#12715,#9252,.T.); +#25375=EDGE_CURVE('',#12719,#12717,#9256,.T.); +#25377=EDGE_CURVE('',#12721,#12719,#9260,.T.); +#25379=EDGE_CURVE('',#12723,#12721,#9264,.T.); +#25381=EDGE_CURVE('',#12725,#12723,#9268,.T.); +#25383=EDGE_CURVE('',#12727,#12725,#9272,.T.); +#25385=EDGE_CURVE('',#12729,#12727,#9276,.T.); +#25387=EDGE_CURVE('',#12731,#12729,#9280,.T.); +#25389=EDGE_CURVE('',#12733,#12731,#9284,.T.); +#25393=ADVANCED_FACE('',(#25350,#25392),#25268,.T.); +#25399=EDGE_CURVE('',#13130,#13131,#9288,.T.); +#25401=EDGE_CURVE('',#13195,#13130,#9292,.T.); +#25403=EDGE_CURVE('',#13193,#13195,#9296,.T.); +#25405=EDGE_CURVE('',#13191,#13193,#9300,.T.); +#25407=EDGE_CURVE('',#13189,#13191,#9304,.T.); +#25409=EDGE_CURVE('',#13187,#13189,#9308,.T.); +#25411=EDGE_CURVE('',#13185,#13187,#9312,.T.); +#25413=EDGE_CURVE('',#13183,#13185,#9316,.T.); +#25415=EDGE_CURVE('',#13181,#13183,#9320,.T.); +#25417=EDGE_CURVE('',#13179,#13181,#9324,.T.); +#25419=EDGE_CURVE('',#13177,#13179,#9328,.T.); +#25421=EDGE_CURVE('',#13175,#13177,#9332,.T.); +#25423=EDGE_CURVE('',#13173,#13175,#9336,.T.); +#25425=EDGE_CURVE('',#13171,#13173,#9340,.T.); +#25427=EDGE_CURVE('',#13169,#13171,#9344,.T.); +#25429=EDGE_CURVE('',#13167,#13169,#9348,.T.); +#25431=EDGE_CURVE('',#13165,#13167,#9352,.T.); +#25433=EDGE_CURVE('',#13163,#13165,#9356,.T.); +#25435=EDGE_CURVE('',#13161,#13163,#9360,.T.); +#25437=EDGE_CURVE('',#13159,#13161,#9364,.T.); +#25439=EDGE_CURVE('',#13157,#13159,#9368,.T.); +#25441=EDGE_CURVE('',#13155,#13157,#9372,.T.); +#25443=EDGE_CURVE('',#13153,#13155,#9376,.T.); +#25445=EDGE_CURVE('',#13151,#13153,#9380,.T.); +#25447=EDGE_CURVE('',#13149,#13151,#9384,.T.); +#25449=EDGE_CURVE('',#13147,#13149,#9388,.T.); +#25451=EDGE_CURVE('',#13145,#13147,#9392,.T.); +#25453=EDGE_CURVE('',#13143,#13145,#9396,.T.); +#25455=EDGE_CURVE('',#13141,#13143,#9400,.T.); +#25457=EDGE_CURVE('',#13139,#13141,#9404,.T.); +#25459=EDGE_CURVE('',#13137,#13139,#9408,.T.); +#25461=EDGE_CURVE('',#13135,#13137,#9412,.T.); +#25463=EDGE_CURVE('',#13133,#13135,#9416,.T.); +#25465=EDGE_CURVE('',#13131,#13133,#9420,.T.); +#25469=EDGE_CURVE('',#13198,#13199,#9424,.T.); +#25471=EDGE_CURVE('',#13227,#13198,#9428,.T.); +#25473=EDGE_CURVE('',#13225,#13227,#9432,.T.); +#25475=EDGE_CURVE('',#13223,#13225,#9436,.T.); +#25477=EDGE_CURVE('',#13221,#13223,#9440,.T.); +#25479=EDGE_CURVE('',#13219,#13221,#9444,.T.); +#25481=EDGE_CURVE('',#13217,#13219,#9448,.T.); +#25483=EDGE_CURVE('',#13215,#13217,#9452,.T.); +#25485=EDGE_CURVE('',#13213,#13215,#9456,.T.); +#25487=EDGE_CURVE('',#13211,#13213,#9460,.T.); +#25489=EDGE_CURVE('',#13209,#13211,#9464,.T.); +#25491=EDGE_CURVE('',#13207,#13209,#9468,.T.); +#25493=EDGE_CURVE('',#13205,#13207,#9472,.T.); +#25495=EDGE_CURVE('',#13203,#13205,#9476,.T.); +#25497=EDGE_CURVE('',#13201,#13203,#9480,.T.); +#25499=EDGE_CURVE('',#13199,#13201,#9484,.T.); +#25503=EDGE_CURVE('',#13230,#13231,#9488,.T.); +#25505=EDGE_CURVE('',#13259,#13230,#9492,.T.); +#25507=EDGE_CURVE('',#13257,#13259,#9496,.T.); +#25509=EDGE_CURVE('',#13255,#13257,#9500,.T.); +#25511=EDGE_CURVE('',#13253,#13255,#9504,.T.); +#25513=EDGE_CURVE('',#13251,#13253,#9508,.T.); +#25515=EDGE_CURVE('',#13249,#13251,#9512,.T.); +#25517=EDGE_CURVE('',#13247,#13249,#9516,.T.); +#25519=EDGE_CURVE('',#13245,#13247,#9520,.T.); +#25521=EDGE_CURVE('',#13243,#13245,#9524,.T.); +#25523=EDGE_CURVE('',#13241,#13243,#9528,.T.); +#25525=EDGE_CURVE('',#13239,#13241,#9532,.T.); +#25527=EDGE_CURVE('',#13237,#13239,#9536,.T.); +#25529=EDGE_CURVE('',#13235,#13237,#9540,.T.); +#25531=EDGE_CURVE('',#13233,#13235,#9544,.T.); +#25533=EDGE_CURVE('',#13231,#13233,#9548,.T.); +#25537=ADVANCED_FACE('',(#25468,#25502,#25536),#25398,.T.); +#25543=EDGE_CURVE('',#13262,#13263,#9552,.T.); +#25545=EDGE_CURVE('',#13315,#13262,#9556,.T.); +#25547=EDGE_CURVE('',#13313,#13315,#9560,.T.); +#25549=EDGE_CURVE('',#13311,#13313,#9564,.T.); +#25551=EDGE_CURVE('',#13309,#13311,#9568,.T.); +#25553=EDGE_CURVE('',#13307,#13309,#9572,.T.); +#25555=EDGE_CURVE('',#13305,#13307,#9576,.T.); +#25557=EDGE_CURVE('',#13303,#13305,#9580,.T.); +#25559=EDGE_CURVE('',#13301,#13303,#9584,.T.); +#25561=EDGE_CURVE('',#13299,#13301,#9588,.T.); +#25563=EDGE_CURVE('',#13297,#13299,#9592,.T.); +#25565=EDGE_CURVE('',#13295,#13297,#9596,.T.); +#25567=EDGE_CURVE('',#13293,#13295,#9600,.T.); +#25569=EDGE_CURVE('',#13291,#13293,#9604,.T.); +#25571=EDGE_CURVE('',#13289,#13291,#9608,.T.); +#25573=EDGE_CURVE('',#13287,#13289,#9612,.T.); +#25575=EDGE_CURVE('',#13285,#13287,#9616,.T.); +#25577=EDGE_CURVE('',#13283,#13285,#9620,.T.); +#25579=EDGE_CURVE('',#13281,#13283,#9624,.T.); +#25581=EDGE_CURVE('',#13279,#13281,#9628,.T.); +#25583=EDGE_CURVE('',#13277,#13279,#9632,.T.); +#25585=EDGE_CURVE('',#13275,#13277,#9636,.T.); +#25587=EDGE_CURVE('',#13273,#13275,#9640,.T.); +#25589=EDGE_CURVE('',#13271,#13273,#9644,.T.); +#25591=EDGE_CURVE('',#13269,#13271,#9648,.T.); +#25593=EDGE_CURVE('',#13267,#13269,#9652,.T.); +#25595=EDGE_CURVE('',#13265,#13267,#9656,.T.); +#25597=EDGE_CURVE('',#13263,#13265,#9660,.T.); +#25601=ADVANCED_FACE('',(#25600),#25542,.T.); +#25607=EDGE_CURVE('',#13318,#13319,#9664,.T.); +#25609=EDGE_CURVE('',#13429,#13318,#9668,.T.); +#25611=EDGE_CURVE('',#13427,#13429,#9672,.T.); +#25613=EDGE_CURVE('',#13425,#13427,#9676,.T.); +#25615=EDGE_CURVE('',#13423,#13425,#9680,.T.); +#25617=EDGE_CURVE('',#13421,#13423,#9684,.T.); +#25619=EDGE_CURVE('',#13419,#13421,#9688,.T.); +#25621=EDGE_CURVE('',#13417,#13419,#9692,.T.); +#25623=EDGE_CURVE('',#13415,#13417,#9696,.T.); +#25625=EDGE_CURVE('',#13413,#13415,#9700,.T.); +#25627=EDGE_CURVE('',#13411,#13413,#9704,.T.); +#25629=EDGE_CURVE('',#13409,#13411,#9708,.T.); +#25631=EDGE_CURVE('',#13407,#13409,#9712,.T.); +#25633=EDGE_CURVE('',#13405,#13407,#9716,.T.); +#25635=EDGE_CURVE('',#13403,#13405,#9720,.T.); +#25637=EDGE_CURVE('',#13401,#13403,#9724,.T.); +#25639=EDGE_CURVE('',#13399,#13401,#9728,.T.); +#25641=EDGE_CURVE('',#13397,#13399,#9732,.T.); +#25643=EDGE_CURVE('',#13395,#13397,#9736,.T.); +#25645=EDGE_CURVE('',#13393,#13395,#9740,.T.); +#25647=EDGE_CURVE('',#13391,#13393,#9744,.T.); +#25649=EDGE_CURVE('',#13389,#13391,#9748,.T.); +#25651=EDGE_CURVE('',#13387,#13389,#9752,.T.); +#25653=EDGE_CURVE('',#13385,#13387,#9756,.T.); +#25655=EDGE_CURVE('',#13383,#13385,#9760,.T.); +#25657=EDGE_CURVE('',#13381,#13383,#9764,.T.); +#25659=EDGE_CURVE('',#13379,#13381,#9768,.T.); +#25661=EDGE_CURVE('',#13377,#13379,#9772,.T.); +#25663=EDGE_CURVE('',#13375,#13377,#9776,.T.); +#25665=EDGE_CURVE('',#13373,#13375,#9780,.T.); +#25667=EDGE_CURVE('',#13371,#13373,#9784,.T.); +#25669=EDGE_CURVE('',#13369,#13371,#9788,.T.); +#25671=EDGE_CURVE('',#13367,#13369,#9792,.T.); +#25673=EDGE_CURVE('',#13365,#13367,#9796,.T.); +#25675=EDGE_CURVE('',#13363,#13365,#9800,.T.); +#25677=EDGE_CURVE('',#13361,#13363,#9804,.T.); +#25679=EDGE_CURVE('',#13359,#13361,#9808,.T.); +#25681=EDGE_CURVE('',#13357,#13359,#9812,.T.); +#25683=EDGE_CURVE('',#13355,#13357,#9816,.T.); +#25685=EDGE_CURVE('',#13353,#13355,#9820,.T.); +#25687=EDGE_CURVE('',#13351,#13353,#9824,.T.); +#25689=EDGE_CURVE('',#13349,#13351,#9828,.T.); +#25691=EDGE_CURVE('',#13347,#13349,#9832,.T.); +#25693=EDGE_CURVE('',#13345,#13347,#9836,.T.); +#25695=EDGE_CURVE('',#13343,#13345,#9840,.T.); +#25697=EDGE_CURVE('',#13341,#13343,#9844,.T.); +#25699=EDGE_CURVE('',#13339,#13341,#9848,.T.); +#25701=EDGE_CURVE('',#13337,#13339,#9852,.T.); +#25703=EDGE_CURVE('',#13335,#13337,#9856,.T.); +#25705=EDGE_CURVE('',#13333,#13335,#9860,.T.); +#25707=EDGE_CURVE('',#13331,#13333,#9864,.T.); +#25709=EDGE_CURVE('',#13329,#13331,#9868,.T.); +#25711=EDGE_CURVE('',#13327,#13329,#9872,.T.); +#25713=EDGE_CURVE('',#13325,#13327,#9876,.T.); +#25715=EDGE_CURVE('',#13323,#13325,#9880,.T.); +#25717=EDGE_CURVE('',#13321,#13323,#9884,.T.); +#25719=EDGE_CURVE('',#13319,#13321,#9888,.T.); +#25723=ADVANCED_FACE('',(#25722),#25606,.T.); +#25730=EDGE_CURVE('',#12751,#12603,#9892,.T.); +#25733=EDGE_CURVE('',#12761,#12613,#9916,.T.); +#25737=ADVANCED_FACE('',(#25736),#25728,.T.); +#25744=EDGE_CURVE('',#12750,#12602,#9896,.T.); +#25750=ADVANCED_FACE('',(#25749),#25742,.T.); +#25757=EDGE_CURVE('',#12753,#12605,#9900,.T.); +#25763=ADVANCED_FACE('',(#25762),#25755,.T.); +#25770=EDGE_CURVE('',#12755,#12607,#9904,.T.); +#25776=ADVANCED_FACE('',(#25775),#25768,.T.); +#25783=EDGE_CURVE('',#12757,#12609,#9908,.T.); +#25789=ADVANCED_FACE('',(#25788),#25781,.T.); +#25796=EDGE_CURVE('',#12759,#12611,#9912,.T.); +#25802=ADVANCED_FACE('',(#25801),#25794,.T.); +#25814=ADVANCED_FACE('',(#25813),#25807,.T.); +#25821=EDGE_CURVE('',#12765,#12617,#9920,.T.); +#25824=EDGE_CURVE('',#12841,#12693,#10076,.T.); +#25828=ADVANCED_FACE('',(#25827),#25819,.T.); +#25835=EDGE_CURVE('',#12764,#12616,#9924,.T.); +#25841=ADVANCED_FACE('',(#25840),#25833,.T.); +#25848=EDGE_CURVE('',#12767,#12619,#9928,.T.); +#25854=ADVANCED_FACE('',(#25853),#25846,.T.); +#25861=EDGE_CURVE('',#12769,#12621,#9932,.T.); +#25867=ADVANCED_FACE('',(#25866),#25859,.T.); +#25874=EDGE_CURVE('',#12771,#12623,#9936,.T.); +#25880=ADVANCED_FACE('',(#25879),#25872,.T.); +#25887=EDGE_CURVE('',#12773,#12625,#9940,.T.); +#25893=ADVANCED_FACE('',(#25892),#25885,.T.); +#25900=EDGE_CURVE('',#12775,#12627,#9944,.T.); +#25906=ADVANCED_FACE('',(#25905),#25898,.T.); +#25913=EDGE_CURVE('',#12777,#12629,#9948,.T.); +#25919=ADVANCED_FACE('',(#25918),#25911,.T.); +#25926=EDGE_CURVE('',#12779,#12631,#9952,.T.); +#25932=ADVANCED_FACE('',(#25931),#25924,.T.); +#25939=EDGE_CURVE('',#12781,#12633,#9956,.T.); +#25945=ADVANCED_FACE('',(#25944),#25937,.T.); +#25952=EDGE_CURVE('',#12783,#12635,#9960,.T.); +#25958=ADVANCED_FACE('',(#25957),#25950,.T.); +#25965=EDGE_CURVE('',#12785,#12637,#9964,.T.); +#25971=ADVANCED_FACE('',(#25970),#25963,.T.); +#25978=EDGE_CURVE('',#12787,#12639,#9968,.T.); +#25984=ADVANCED_FACE('',(#25983),#25976,.T.); +#25991=EDGE_CURVE('',#12789,#12641,#9972,.T.); +#25997=ADVANCED_FACE('',(#25996),#25989,.T.); +#26004=EDGE_CURVE('',#12791,#12643,#9976,.T.); +#26010=ADVANCED_FACE('',(#26009),#26002,.T.); +#26017=EDGE_CURVE('',#12793,#12645,#9980,.T.); +#26023=ADVANCED_FACE('',(#26022),#26015,.T.); +#26030=EDGE_CURVE('',#12795,#12647,#9984,.T.); +#26036=ADVANCED_FACE('',(#26035),#26028,.T.); +#26043=EDGE_CURVE('',#12797,#12649,#9988,.T.); +#26049=ADVANCED_FACE('',(#26048),#26041,.T.); +#26056=EDGE_CURVE('',#12799,#12651,#9992,.T.); +#26062=ADVANCED_FACE('',(#26061),#26054,.T.); +#26069=EDGE_CURVE('',#12801,#12653,#9996,.T.); +#26075=ADVANCED_FACE('',(#26074),#26067,.T.); +#26082=EDGE_CURVE('',#12803,#12655,#10000,.T.); +#26088=ADVANCED_FACE('',(#26087),#26080,.T.); +#26095=EDGE_CURVE('',#12805,#12657,#10004,.T.); +#26101=ADVANCED_FACE('',(#26100),#26093,.T.); +#26108=EDGE_CURVE('',#12807,#12659,#10008,.T.); +#26114=ADVANCED_FACE('',(#26113),#26106,.T.); +#26121=EDGE_CURVE('',#12809,#12661,#10012,.T.); +#26127=ADVANCED_FACE('',(#26126),#26119,.T.); +#26134=EDGE_CURVE('',#12811,#12663,#10016,.T.); +#26140=ADVANCED_FACE('',(#26139),#26132,.T.); +#26147=EDGE_CURVE('',#12813,#12665,#10020,.T.); +#26153=ADVANCED_FACE('',(#26152),#26145,.T.); +#26160=EDGE_CURVE('',#12815,#12667,#10024,.T.); +#26166=ADVANCED_FACE('',(#26165),#26158,.T.); +#26173=EDGE_CURVE('',#12817,#12669,#10028,.T.); +#26179=ADVANCED_FACE('',(#26178),#26171,.T.); +#26186=EDGE_CURVE('',#12819,#12671,#10032,.T.); +#26192=ADVANCED_FACE('',(#26191),#26184,.T.); +#26199=EDGE_CURVE('',#12821,#12673,#10036,.T.); +#26205=ADVANCED_FACE('',(#26204),#26197,.T.); +#26212=EDGE_CURVE('',#12823,#12675,#10040,.T.); +#26218=ADVANCED_FACE('',(#26217),#26210,.T.); +#26225=EDGE_CURVE('',#12825,#12677,#10044,.T.); +#26231=ADVANCED_FACE('',(#26230),#26223,.T.); +#26238=EDGE_CURVE('',#12827,#12679,#10048,.T.); +#26244=ADVANCED_FACE('',(#26243),#26236,.T.); +#26251=EDGE_CURVE('',#12829,#12681,#10052,.T.); +#26257=ADVANCED_FACE('',(#26256),#26249,.T.); +#26264=EDGE_CURVE('',#12831,#12683,#10056,.T.); +#26270=ADVANCED_FACE('',(#26269),#26262,.T.); +#26277=EDGE_CURVE('',#12833,#12685,#10060,.T.); +#26283=ADVANCED_FACE('',(#26282),#26275,.T.); +#26290=EDGE_CURVE('',#12835,#12687,#10064,.T.); +#26296=ADVANCED_FACE('',(#26295),#26288,.T.); +#26303=EDGE_CURVE('',#12837,#12689,#10068,.T.); +#26309=ADVANCED_FACE('',(#26308),#26301,.T.); +#26316=EDGE_CURVE('',#12839,#12691,#10072,.T.); +#26322=ADVANCED_FACE('',(#26321),#26314,.T.); +#26334=ADVANCED_FACE('',(#26333),#26327,.T.); +#26341=EDGE_CURVE('',#12845,#12697,#10080,.T.); +#26344=EDGE_CURVE('',#12881,#12733,#10156,.T.); +#26348=ADVANCED_FACE('',(#26347),#26339,.T.); +#26355=EDGE_CURVE('',#12844,#12696,#10084,.T.); +#26361=ADVANCED_FACE('',(#26360),#26353,.T.); +#26368=EDGE_CURVE('',#12847,#12699,#10088,.T.); +#26374=ADVANCED_FACE('',(#26373),#26366,.T.); +#26381=EDGE_CURVE('',#12849,#12701,#10092,.T.); +#26387=ADVANCED_FACE('',(#26386),#26379,.T.); +#26394=EDGE_CURVE('',#12851,#12703,#10096,.T.); +#26400=ADVANCED_FACE('',(#26399),#26392,.T.); +#26407=EDGE_CURVE('',#12853,#12705,#10100,.T.); +#26413=ADVANCED_FACE('',(#26412),#26405,.T.); +#26420=EDGE_CURVE('',#12855,#12707,#10104,.T.); +#26426=ADVANCED_FACE('',(#26425),#26418,.T.); +#26433=EDGE_CURVE('',#12857,#12709,#10108,.T.); +#26439=ADVANCED_FACE('',(#26438),#26431,.T.); +#26446=EDGE_CURVE('',#12859,#12711,#10112,.T.); +#26452=ADVANCED_FACE('',(#26451),#26444,.T.); +#26459=EDGE_CURVE('',#12861,#12713,#10116,.T.); +#26465=ADVANCED_FACE('',(#26464),#26457,.T.); +#26472=EDGE_CURVE('',#12863,#12715,#10120,.T.); +#26478=ADVANCED_FACE('',(#26477),#26470,.T.); +#26485=EDGE_CURVE('',#12865,#12717,#10124,.T.); +#26491=ADVANCED_FACE('',(#26490),#26483,.T.); +#26498=EDGE_CURVE('',#12867,#12719,#10128,.T.); +#26504=ADVANCED_FACE('',(#26503),#26496,.T.); +#26511=EDGE_CURVE('',#12869,#12721,#10132,.T.); +#26517=ADVANCED_FACE('',(#26516),#26509,.T.); +#26524=EDGE_CURVE('',#12871,#12723,#10136,.T.); +#26530=ADVANCED_FACE('',(#26529),#26522,.T.); +#26537=EDGE_CURVE('',#12873,#12725,#10140,.T.); +#26543=ADVANCED_FACE('',(#26542),#26535,.T.); +#26550=EDGE_CURVE('',#12875,#12727,#10144,.T.); +#26556=ADVANCED_FACE('',(#26555),#26548,.T.); +#26563=EDGE_CURVE('',#12877,#12729,#10148,.T.); +#26569=ADVANCED_FACE('',(#26568),#26561,.T.); +#26576=EDGE_CURVE('',#12879,#12731,#10152,.T.); +#26582=ADVANCED_FACE('',(#26581),#26574,.T.); +#26594=ADVANCED_FACE('',(#26593),#26587,.T.); +#26601=EDGE_CURVE('',#13432,#13130,#10160,.T.); +#26604=EDGE_CURVE('',#13433,#13131,#10292,.T.); +#26608=ADVANCED_FACE('',(#26607),#26599,.T.); +#26615=EDGE_CURVE('',#13497,#13195,#10164,.T.); +#26621=ADVANCED_FACE('',(#26620),#26613,.T.); +#26628=EDGE_CURVE('',#13495,#13193,#10168,.T.); +#26634=ADVANCED_FACE('',(#26633),#26626,.T.); +#26641=EDGE_CURVE('',#13493,#13191,#10172,.T.); +#26647=ADVANCED_FACE('',(#26646),#26639,.T.); +#26654=EDGE_CURVE('',#13491,#13189,#10176,.T.); +#26660=ADVANCED_FACE('',(#26659),#26652,.T.); +#26667=EDGE_CURVE('',#13489,#13187,#10180,.T.); +#26673=ADVANCED_FACE('',(#26672),#26665,.T.); +#26680=EDGE_CURVE('',#13487,#13185,#10184,.T.); +#26686=ADVANCED_FACE('',(#26685),#26678,.T.); +#26693=EDGE_CURVE('',#13485,#13183,#10188,.T.); +#26699=ADVANCED_FACE('',(#26698),#26691,.T.); +#26706=EDGE_CURVE('',#13483,#13181,#10192,.T.); +#26712=ADVANCED_FACE('',(#26711),#26704,.T.); +#26719=EDGE_CURVE('',#13481,#13179,#10196,.T.); +#26725=ADVANCED_FACE('',(#26724),#26717,.T.); +#26732=EDGE_CURVE('',#13479,#13177,#10200,.T.); +#26738=ADVANCED_FACE('',(#26737),#26730,.T.); +#26745=EDGE_CURVE('',#13477,#13175,#10204,.T.); +#26751=ADVANCED_FACE('',(#26750),#26743,.T.); +#26758=EDGE_CURVE('',#13475,#13173,#10208,.T.); +#26764=ADVANCED_FACE('',(#26763),#26756,.T.); +#26771=EDGE_CURVE('',#13473,#13171,#10212,.T.); +#26777=ADVANCED_FACE('',(#26776),#26769,.T.); +#26784=EDGE_CURVE('',#13471,#13169,#10216,.T.); +#26790=ADVANCED_FACE('',(#26789),#26782,.T.); +#26797=EDGE_CURVE('',#13469,#13167,#10220,.T.); +#26803=ADVANCED_FACE('',(#26802),#26795,.T.); +#26810=EDGE_CURVE('',#13467,#13165,#10224,.T.); +#26816=ADVANCED_FACE('',(#26815),#26808,.T.); +#26823=EDGE_CURVE('',#13465,#13163,#10228,.T.); +#26829=ADVANCED_FACE('',(#26828),#26821,.T.); +#26836=EDGE_CURVE('',#13463,#13161,#10232,.T.); +#26842=ADVANCED_FACE('',(#26841),#26834,.T.); +#26849=EDGE_CURVE('',#13461,#13159,#10236,.T.); +#26855=ADVANCED_FACE('',(#26854),#26847,.T.); +#26862=EDGE_CURVE('',#13459,#13157,#10240,.T.); +#26868=ADVANCED_FACE('',(#26867),#26860,.T.); +#26875=EDGE_CURVE('',#13457,#13155,#10244,.T.); +#26881=ADVANCED_FACE('',(#26880),#26873,.T.); +#26888=EDGE_CURVE('',#13455,#13153,#10248,.T.); +#26894=ADVANCED_FACE('',(#26893),#26886,.T.); +#26901=EDGE_CURVE('',#13453,#13151,#10252,.T.); +#26907=ADVANCED_FACE('',(#26906),#26899,.T.); +#26914=EDGE_CURVE('',#13451,#13149,#10256,.T.); +#26920=ADVANCED_FACE('',(#26919),#26912,.T.); +#26927=EDGE_CURVE('',#13449,#13147,#10260,.T.); +#26933=ADVANCED_FACE('',(#26932),#26925,.T.); +#26940=EDGE_CURVE('',#13447,#13145,#10264,.T.); +#26946=ADVANCED_FACE('',(#26945),#26938,.T.); +#26953=EDGE_CURVE('',#13445,#13143,#10268,.T.); +#26959=ADVANCED_FACE('',(#26958),#26951,.T.); +#26966=EDGE_CURVE('',#13443,#13141,#10272,.T.); +#26972=ADVANCED_FACE('',(#26971),#26964,.T.); +#26979=EDGE_CURVE('',#13441,#13139,#10276,.T.); +#26985=ADVANCED_FACE('',(#26984),#26977,.T.); +#26992=EDGE_CURVE('',#13439,#13137,#10280,.T.); +#26998=ADVANCED_FACE('',(#26997),#26990,.T.); +#27005=EDGE_CURVE('',#13437,#13135,#10284,.T.); +#27011=ADVANCED_FACE('',(#27010),#27003,.T.); +#27018=EDGE_CURVE('',#13435,#13133,#10288,.T.); +#27024=ADVANCED_FACE('',(#27023),#27016,.T.); +#27036=ADVANCED_FACE('',(#27035),#27029,.T.); +#27043=EDGE_CURVE('',#13500,#13198,#10296,.T.); +#27046=EDGE_CURVE('',#13501,#13199,#10356,.T.); +#27050=ADVANCED_FACE('',(#27049),#27041,.T.); +#27057=EDGE_CURVE('',#13529,#13227,#10300,.T.); +#27063=ADVANCED_FACE('',(#27062),#27055,.T.); +#27070=EDGE_CURVE('',#13527,#13225,#10304,.T.); +#27076=ADVANCED_FACE('',(#27075),#27068,.T.); +#27083=EDGE_CURVE('',#13525,#13223,#10308,.T.); +#27089=ADVANCED_FACE('',(#27088),#27081,.T.); +#27096=EDGE_CURVE('',#13523,#13221,#10312,.T.); +#27102=ADVANCED_FACE('',(#27101),#27094,.T.); +#27109=EDGE_CURVE('',#13521,#13219,#10316,.T.); +#27115=ADVANCED_FACE('',(#27114),#27107,.T.); +#27122=EDGE_CURVE('',#13519,#13217,#10320,.T.); +#27128=ADVANCED_FACE('',(#27127),#27120,.T.); +#27135=EDGE_CURVE('',#13517,#13215,#10324,.T.); +#27141=ADVANCED_FACE('',(#27140),#27133,.T.); +#27148=EDGE_CURVE('',#13515,#13213,#10328,.T.); +#27154=ADVANCED_FACE('',(#27153),#27146,.T.); +#27161=EDGE_CURVE('',#13513,#13211,#10332,.T.); +#27167=ADVANCED_FACE('',(#27166),#27159,.T.); +#27174=EDGE_CURVE('',#13511,#13209,#10336,.T.); +#27180=ADVANCED_FACE('',(#27179),#27172,.T.); +#27187=EDGE_CURVE('',#13509,#13207,#10340,.T.); +#27193=ADVANCED_FACE('',(#27192),#27185,.T.); +#27200=EDGE_CURVE('',#13507,#13205,#10344,.T.); +#27206=ADVANCED_FACE('',(#27205),#27198,.T.); +#27213=EDGE_CURVE('',#13505,#13203,#10348,.T.); +#27219=ADVANCED_FACE('',(#27218),#27211,.T.); +#27226=EDGE_CURVE('',#13503,#13201,#10352,.T.); +#27232=ADVANCED_FACE('',(#27231),#27224,.T.); +#27244=ADVANCED_FACE('',(#27243),#27237,.T.); +#27251=EDGE_CURVE('',#13532,#13230,#10360,.T.); +#27254=EDGE_CURVE('',#13533,#13231,#10420,.T.); +#27258=ADVANCED_FACE('',(#27257),#27249,.T.); +#27265=EDGE_CURVE('',#13561,#13259,#10364,.T.); +#27271=ADVANCED_FACE('',(#27270),#27263,.T.); +#27278=EDGE_CURVE('',#13559,#13257,#10368,.T.); +#27284=ADVANCED_FACE('',(#27283),#27276,.T.); +#27291=EDGE_CURVE('',#13557,#13255,#10372,.T.); +#27297=ADVANCED_FACE('',(#27296),#27289,.T.); +#27304=EDGE_CURVE('',#13555,#13253,#10376,.T.); +#27310=ADVANCED_FACE('',(#27309),#27302,.T.); +#27317=EDGE_CURVE('',#13553,#13251,#10380,.T.); +#27323=ADVANCED_FACE('',(#27322),#27315,.T.); +#27330=EDGE_CURVE('',#13551,#13249,#10384,.T.); +#27336=ADVANCED_FACE('',(#27335),#27328,.T.); +#27343=EDGE_CURVE('',#13549,#13247,#10388,.T.); +#27349=ADVANCED_FACE('',(#27348),#27341,.T.); +#27356=EDGE_CURVE('',#13547,#13245,#10392,.T.); +#27362=ADVANCED_FACE('',(#27361),#27354,.T.); +#27369=EDGE_CURVE('',#13545,#13243,#10396,.T.); +#27375=ADVANCED_FACE('',(#27374),#27367,.T.); +#27382=EDGE_CURVE('',#13543,#13241,#10400,.T.); +#27388=ADVANCED_FACE('',(#27387),#27380,.T.); +#27395=EDGE_CURVE('',#13541,#13239,#10404,.T.); +#27401=ADVANCED_FACE('',(#27400),#27393,.T.); +#27408=EDGE_CURVE('',#13539,#13237,#10408,.T.); +#27414=ADVANCED_FACE('',(#27413),#27406,.T.); +#27421=EDGE_CURVE('',#13537,#13235,#10412,.T.); +#27427=ADVANCED_FACE('',(#27426),#27419,.T.); +#27434=EDGE_CURVE('',#13535,#13233,#10416,.T.); +#27440=ADVANCED_FACE('',(#27439),#27432,.T.); +#27452=ADVANCED_FACE('',(#27451),#27445,.T.); +#27459=EDGE_CURVE('',#13564,#13262,#10424,.T.); +#27462=EDGE_CURVE('',#13565,#13263,#10532,.T.); +#27466=ADVANCED_FACE('',(#27465),#27457,.T.); +#27473=EDGE_CURVE('',#13617,#13315,#10428,.T.); +#27479=ADVANCED_FACE('',(#27478),#27471,.T.); +#27486=EDGE_CURVE('',#13615,#13313,#10432,.T.); +#27492=ADVANCED_FACE('',(#27491),#27484,.T.); +#27499=EDGE_CURVE('',#13613,#13311,#10436,.T.); +#27505=ADVANCED_FACE('',(#27504),#27497,.T.); +#27512=EDGE_CURVE('',#13611,#13309,#10440,.T.); +#27518=ADVANCED_FACE('',(#27517),#27510,.T.); +#27525=EDGE_CURVE('',#13609,#13307,#10444,.T.); +#27531=ADVANCED_FACE('',(#27530),#27523,.T.); +#27538=EDGE_CURVE('',#13607,#13305,#10448,.T.); +#27544=ADVANCED_FACE('',(#27543),#27536,.T.); +#27551=EDGE_CURVE('',#13605,#13303,#10452,.T.); +#27557=ADVANCED_FACE('',(#27556),#27549,.T.); +#27564=EDGE_CURVE('',#13603,#13301,#10456,.T.); +#27570=ADVANCED_FACE('',(#27569),#27562,.T.); +#27577=EDGE_CURVE('',#13601,#13299,#10460,.T.); +#27583=ADVANCED_FACE('',(#27582),#27575,.T.); +#27590=EDGE_CURVE('',#13599,#13297,#10464,.T.); +#27596=ADVANCED_FACE('',(#27595),#27588,.T.); +#27603=EDGE_CURVE('',#13597,#13295,#10468,.T.); +#27609=ADVANCED_FACE('',(#27608),#27601,.T.); +#27616=EDGE_CURVE('',#13595,#13293,#10472,.T.); +#27622=ADVANCED_FACE('',(#27621),#27614,.T.); +#27629=EDGE_CURVE('',#13593,#13291,#10476,.T.); +#27635=ADVANCED_FACE('',(#27634),#27627,.T.); +#27642=EDGE_CURVE('',#13591,#13289,#10480,.T.); +#27648=ADVANCED_FACE('',(#27647),#27640,.T.); +#27655=EDGE_CURVE('',#13589,#13287,#10484,.T.); +#27661=ADVANCED_FACE('',(#27660),#27653,.T.); +#27668=EDGE_CURVE('',#13587,#13285,#10488,.T.); +#27674=ADVANCED_FACE('',(#27673),#27666,.T.); +#27681=EDGE_CURVE('',#13585,#13283,#10492,.T.); +#27687=ADVANCED_FACE('',(#27686),#27679,.T.); +#27694=EDGE_CURVE('',#13583,#13281,#10496,.T.); +#27700=ADVANCED_FACE('',(#27699),#27692,.T.); +#27707=EDGE_CURVE('',#13581,#13279,#10500,.T.); +#27713=ADVANCED_FACE('',(#27712),#27705,.T.); +#27720=EDGE_CURVE('',#13579,#13277,#10504,.T.); +#27726=ADVANCED_FACE('',(#27725),#27718,.T.); +#27733=EDGE_CURVE('',#13577,#13275,#10508,.T.); +#27739=ADVANCED_FACE('',(#27738),#27731,.T.); +#27746=EDGE_CURVE('',#13575,#13273,#10512,.T.); +#27752=ADVANCED_FACE('',(#27751),#27744,.T.); +#27759=EDGE_CURVE('',#13573,#13271,#10516,.T.); +#27765=ADVANCED_FACE('',(#27764),#27757,.T.); +#27772=EDGE_CURVE('',#13571,#13269,#10520,.T.); +#27778=ADVANCED_FACE('',(#27777),#27770,.T.); +#27785=EDGE_CURVE('',#13569,#13267,#10524,.T.); +#27791=ADVANCED_FACE('',(#27790),#27783,.T.); +#27798=EDGE_CURVE('',#13567,#13265,#10528,.T.); +#27804=ADVANCED_FACE('',(#27803),#27796,.T.); +#27816=ADVANCED_FACE('',(#27815),#27809,.T.); +#27823=EDGE_CURVE('',#13620,#13318,#10536,.T.); +#27826=EDGE_CURVE('',#13621,#13319,#10760,.T.); +#27830=ADVANCED_FACE('',(#27829),#27821,.T.); +#27837=EDGE_CURVE('',#13731,#13429,#10540,.T.); +#27843=ADVANCED_FACE('',(#27842),#27835,.T.); +#27850=EDGE_CURVE('',#13729,#13427,#10544,.T.); +#27856=ADVANCED_FACE('',(#27855),#27848,.T.); +#27863=EDGE_CURVE('',#13727,#13425,#10548,.T.); +#27869=ADVANCED_FACE('',(#27868),#27861,.T.); +#27876=EDGE_CURVE('',#13725,#13423,#10552,.T.); +#27882=ADVANCED_FACE('',(#27881),#27874,.T.); +#27889=EDGE_CURVE('',#13723,#13421,#10556,.T.); +#27895=ADVANCED_FACE('',(#27894),#27887,.T.); +#27902=EDGE_CURVE('',#13721,#13419,#10560,.T.); +#27908=ADVANCED_FACE('',(#27907),#27900,.T.); +#27915=EDGE_CURVE('',#13719,#13417,#10564,.T.); +#27921=ADVANCED_FACE('',(#27920),#27913,.T.); +#27928=EDGE_CURVE('',#13717,#13415,#10568,.T.); +#27934=ADVANCED_FACE('',(#27933),#27926,.T.); +#27941=EDGE_CURVE('',#13715,#13413,#10572,.T.); +#27947=ADVANCED_FACE('',(#27946),#27939,.T.); +#27954=EDGE_CURVE('',#13713,#13411,#10576,.T.); +#27960=ADVANCED_FACE('',(#27959),#27952,.T.); +#27967=EDGE_CURVE('',#13711,#13409,#10580,.T.); +#27973=ADVANCED_FACE('',(#27972),#27965,.T.); +#27980=EDGE_CURVE('',#13709,#13407,#10584,.T.); +#27986=ADVANCED_FACE('',(#27985),#27978,.T.); +#27993=EDGE_CURVE('',#13707,#13405,#10588,.T.); +#27999=ADVANCED_FACE('',(#27998),#27991,.T.); +#28006=EDGE_CURVE('',#13705,#13403,#10592,.T.); +#28012=ADVANCED_FACE('',(#28011),#28004,.T.); +#28019=EDGE_CURVE('',#13703,#13401,#10596,.T.); +#28025=ADVANCED_FACE('',(#28024),#28017,.T.); +#28032=EDGE_CURVE('',#13701,#13399,#10600,.T.); +#28038=ADVANCED_FACE('',(#28037),#28030,.T.); +#28045=EDGE_CURVE('',#13699,#13397,#10604,.T.); +#28051=ADVANCED_FACE('',(#28050),#28043,.T.); +#28058=EDGE_CURVE('',#13697,#13395,#10608,.T.); +#28064=ADVANCED_FACE('',(#28063),#28056,.T.); +#28071=EDGE_CURVE('',#13695,#13393,#10612,.T.); +#28077=ADVANCED_FACE('',(#28076),#28069,.T.); +#28084=EDGE_CURVE('',#13693,#13391,#10616,.T.); +#28090=ADVANCED_FACE('',(#28089),#28082,.T.); +#28097=EDGE_CURVE('',#13691,#13389,#10620,.T.); +#28103=ADVANCED_FACE('',(#28102),#28095,.T.); +#28110=EDGE_CURVE('',#13689,#13387,#10624,.T.); +#28116=ADVANCED_FACE('',(#28115),#28108,.T.); +#28123=EDGE_CURVE('',#13687,#13385,#10628,.T.); +#28129=ADVANCED_FACE('',(#28128),#28121,.T.); +#28136=EDGE_CURVE('',#13685,#13383,#10632,.T.); +#28142=ADVANCED_FACE('',(#28141),#28134,.T.); +#28149=EDGE_CURVE('',#13683,#13381,#10636,.T.); +#28155=ADVANCED_FACE('',(#28154),#28147,.T.); +#28162=EDGE_CURVE('',#13681,#13379,#10640,.T.); +#28168=ADVANCED_FACE('',(#28167),#28160,.T.); +#28175=EDGE_CURVE('',#13679,#13377,#10644,.T.); +#28181=ADVANCED_FACE('',(#28180),#28173,.T.); +#28188=EDGE_CURVE('',#13677,#13375,#10648,.T.); +#28194=ADVANCED_FACE('',(#28193),#28186,.T.); +#28201=EDGE_CURVE('',#13675,#13373,#10652,.T.); +#28207=ADVANCED_FACE('',(#28206),#28199,.T.); +#28214=EDGE_CURVE('',#13673,#13371,#10656,.T.); +#28220=ADVANCED_FACE('',(#28219),#28212,.T.); +#28227=EDGE_CURVE('',#13671,#13369,#10660,.T.); +#28233=ADVANCED_FACE('',(#28232),#28225,.T.); +#28240=EDGE_CURVE('',#13669,#13367,#10664,.T.); +#28246=ADVANCED_FACE('',(#28245),#28238,.T.); +#28253=EDGE_CURVE('',#13667,#13365,#10668,.T.); +#28259=ADVANCED_FACE('',(#28258),#28251,.T.); +#28266=EDGE_CURVE('',#13665,#13363,#10672,.T.); +#28272=ADVANCED_FACE('',(#28271),#28264,.T.); +#28279=EDGE_CURVE('',#13663,#13361,#10676,.T.); +#28285=ADVANCED_FACE('',(#28284),#28277,.T.); +#28292=EDGE_CURVE('',#13661,#13359,#10680,.T.); +#28298=ADVANCED_FACE('',(#28297),#28290,.T.); +#28305=EDGE_CURVE('',#13659,#13357,#10684,.T.); +#28311=ADVANCED_FACE('',(#28310),#28303,.T.); +#28318=EDGE_CURVE('',#13657,#13355,#10688,.T.); +#28324=ADVANCED_FACE('',(#28323),#28316,.T.); +#28331=EDGE_CURVE('',#13655,#13353,#10692,.T.); +#28337=ADVANCED_FACE('',(#28336),#28329,.T.); +#28344=EDGE_CURVE('',#13653,#13351,#10696,.T.); +#28350=ADVANCED_FACE('',(#28349),#28342,.T.); +#28357=EDGE_CURVE('',#13651,#13349,#10700,.T.); +#28363=ADVANCED_FACE('',(#28362),#28355,.T.); +#28370=EDGE_CURVE('',#13649,#13347,#10704,.T.); +#28376=ADVANCED_FACE('',(#28375),#28368,.T.); +#28383=EDGE_CURVE('',#13647,#13345,#10708,.T.); +#28389=ADVANCED_FACE('',(#28388),#28381,.T.); +#28396=EDGE_CURVE('',#13645,#13343,#10712,.T.); +#28402=ADVANCED_FACE('',(#28401),#28394,.T.); +#28409=EDGE_CURVE('',#13643,#13341,#10716,.T.); +#28415=ADVANCED_FACE('',(#28414),#28407,.T.); +#28422=EDGE_CURVE('',#13641,#13339,#10720,.T.); +#28428=ADVANCED_FACE('',(#28427),#28420,.T.); +#28435=EDGE_CURVE('',#13639,#13337,#10724,.T.); +#28441=ADVANCED_FACE('',(#28440),#28433,.T.); +#28448=EDGE_CURVE('',#13637,#13335,#10728,.T.); +#28454=ADVANCED_FACE('',(#28453),#28446,.T.); +#28461=EDGE_CURVE('',#13635,#13333,#10732,.T.); +#28467=ADVANCED_FACE('',(#28466),#28459,.T.); +#28474=EDGE_CURVE('',#13633,#13331,#10736,.T.); +#28480=ADVANCED_FACE('',(#28479),#28472,.T.); +#28487=EDGE_CURVE('',#13631,#13329,#10740,.T.); +#28493=ADVANCED_FACE('',(#28492),#28485,.T.); +#28500=EDGE_CURVE('',#13629,#13327,#10744,.T.); +#28506=ADVANCED_FACE('',(#28505),#28498,.T.); +#28513=EDGE_CURVE('',#13627,#13325,#10748,.T.); +#28519=ADVANCED_FACE('',(#28518),#28511,.T.); +#28526=EDGE_CURVE('',#13625,#13323,#10752,.T.); +#28532=ADVANCED_FACE('',(#28531),#28524,.T.); +#28539=EDGE_CURVE('',#13623,#13321,#10756,.T.); +#28545=ADVANCED_FACE('',(#28544),#28537,.T.); +#28557=ADVANCED_FACE('',(#28556),#28550,.T.); +#28564=EDGE_CURVE('',#12127,#12135,#10768,.T.); +#28566=EDGE_CURVE('',#12126,#12127,#10773,.T.); +#28568=EDGE_CURVE('',#12126,#12134,#10764,.T.); +#28572=ADVANCED_FACE('',(#28571),#28562,.T.); +#28580=EDGE_CURVE('',#12127,#12126,#10778,.T.); +#28585=ADVANCED_FACE('',(#28584),#28577,.T.); +#28595=ADVANCED_FACE('',(#28594),#28590,.F.); +#28602=EDGE_CURVE('',#12341,#12349,#10786,.T.); +#28604=EDGE_CURVE('',#12340,#12341,#10791,.T.); +#28606=EDGE_CURVE('',#12340,#12348,#10782,.T.); +#28610=ADVANCED_FACE('',(#28609),#28600,.T.); +#28618=EDGE_CURVE('',#12341,#12340,#10796,.T.); +#28623=ADVANCED_FACE('',(#28622),#28615,.T.); +#28633=ADVANCED_FACE('',(#28632),#28628,.F.); +#28640=EDGE_CURVE('',#12357,#12365,#10804,.T.); +#28642=EDGE_CURVE('',#12356,#12357,#10809,.T.); +#28644=EDGE_CURVE('',#12356,#12364,#10800,.T.); +#28648=ADVANCED_FACE('',(#28647),#28638,.T.); +#28656=EDGE_CURVE('',#12357,#12356,#10814,.T.); +#28661=ADVANCED_FACE('',(#28660),#28653,.T.); +#28671=ADVANCED_FACE('',(#28670),#28666,.F.); +#28678=EDGE_CURVE('',#12373,#12381,#10822,.T.); +#28680=EDGE_CURVE('',#12372,#12373,#10827,.T.); +#28682=EDGE_CURVE('',#12372,#12380,#10818,.T.); +#28686=ADVANCED_FACE('',(#28685),#28676,.T.); +#28694=EDGE_CURVE('',#12373,#12372,#10832,.T.); +#28699=ADVANCED_FACE('',(#28698),#28691,.T.); +#28709=ADVANCED_FACE('',(#28708),#28704,.F.); +#28716=EDGE_CURVE('',#12389,#12397,#10840,.T.); +#28718=EDGE_CURVE('',#12388,#12389,#10845,.T.); +#28720=EDGE_CURVE('',#12388,#12396,#10836,.T.); +#28724=ADVANCED_FACE('',(#28723),#28714,.T.); +#28732=EDGE_CURVE('',#12389,#12388,#10850,.T.); +#28737=ADVANCED_FACE('',(#28736),#28729,.T.); +#28747=ADVANCED_FACE('',(#28746),#28742,.F.); +#28754=EDGE_CURVE('',#12405,#12413,#10858,.T.); +#28756=EDGE_CURVE('',#12404,#12405,#10863,.T.); +#28758=EDGE_CURVE('',#12404,#12412,#10854,.T.); +#28762=ADVANCED_FACE('',(#28761),#28752,.T.); +#28770=EDGE_CURVE('',#12405,#12404,#10868,.T.); +#28775=ADVANCED_FACE('',(#28774),#28767,.T.); +#28785=ADVANCED_FACE('',(#28784),#28780,.F.); +#28792=EDGE_CURVE('',#12421,#12429,#10876,.T.); +#28794=EDGE_CURVE('',#12420,#12421,#10881,.T.); +#28796=EDGE_CURVE('',#12420,#12428,#10872,.T.); +#28800=ADVANCED_FACE('',(#28799),#28790,.T.); +#28808=EDGE_CURVE('',#12421,#12420,#10886,.T.); +#28813=ADVANCED_FACE('',(#28812),#28805,.T.); +#28823=ADVANCED_FACE('',(#28822),#28818,.F.); +#28830=EDGE_CURVE('',#12143,#12151,#10894,.T.); +#28832=EDGE_CURVE('',#12142,#12143,#10899,.T.); +#28834=EDGE_CURVE('',#12142,#12150,#10890,.T.); +#28838=ADVANCED_FACE('',(#28837),#28828,.T.); +#28846=EDGE_CURVE('',#12143,#12142,#10904,.T.); +#28851=ADVANCED_FACE('',(#28850),#28843,.T.); +#28861=ADVANCED_FACE('',(#28860),#28856,.F.); +#28868=EDGE_CURVE('',#12437,#12445,#10912,.T.); +#28870=EDGE_CURVE('',#12436,#12437,#10917,.T.); +#28872=EDGE_CURVE('',#12436,#12444,#10908,.T.); +#28876=ADVANCED_FACE('',(#28875),#28866,.T.); +#28884=EDGE_CURVE('',#12437,#12436,#10922,.T.); +#28889=ADVANCED_FACE('',(#28888),#28881,.T.); +#28899=ADVANCED_FACE('',(#28898),#28894,.F.); +#28906=EDGE_CURVE('',#12453,#12461,#10930,.T.); +#28908=EDGE_CURVE('',#12452,#12453,#10935,.T.); +#28910=EDGE_CURVE('',#12452,#12460,#10926,.T.); +#28914=ADVANCED_FACE('',(#28913),#28904,.T.); +#28922=EDGE_CURVE('',#12453,#12452,#10940,.T.); +#28927=ADVANCED_FACE('',(#28926),#28919,.T.); +#28937=ADVANCED_FACE('',(#28936),#28932,.F.); +#28944=EDGE_CURVE('',#12469,#12477,#10948,.T.); +#28946=EDGE_CURVE('',#12468,#12469,#10953,.T.); +#28948=EDGE_CURVE('',#12468,#12476,#10944,.T.); +#28952=ADVANCED_FACE('',(#28951),#28942,.T.); +#28960=EDGE_CURVE('',#12469,#12468,#10958,.T.); +#28965=ADVANCED_FACE('',(#28964),#28957,.T.); +#28975=ADVANCED_FACE('',(#28974),#28970,.F.); +#28982=EDGE_CURVE('',#12485,#12493,#10966,.T.); +#28984=EDGE_CURVE('',#12484,#12485,#10971,.T.); +#28986=EDGE_CURVE('',#12484,#12492,#10962,.T.); +#28990=ADVANCED_FACE('',(#28989),#28980,.T.); +#28998=EDGE_CURVE('',#12485,#12484,#10976,.T.); +#29003=ADVANCED_FACE('',(#29002),#28995,.T.); +#29013=ADVANCED_FACE('',(#29012),#29008,.F.); +#29020=EDGE_CURVE('',#12501,#12509,#10984,.T.); +#29022=EDGE_CURVE('',#12500,#12501,#10989,.T.); +#29024=EDGE_CURVE('',#12500,#12508,#10980,.T.); +#29028=ADVANCED_FACE('',(#29027),#29018,.T.); +#29036=EDGE_CURVE('',#12501,#12500,#10994,.T.); +#29041=ADVANCED_FACE('',(#29040),#29033,.T.); +#29051=ADVANCED_FACE('',(#29050),#29046,.F.); +#29058=EDGE_CURVE('',#12517,#12525,#11002,.T.); +#29060=EDGE_CURVE('',#12516,#12517,#11007,.T.); +#29062=EDGE_CURVE('',#12516,#12524,#10998,.T.); +#29066=ADVANCED_FACE('',(#29065),#29056,.T.); +#29074=EDGE_CURVE('',#12517,#12516,#11012,.T.); +#29079=ADVANCED_FACE('',(#29078),#29071,.T.); +#29089=ADVANCED_FACE('',(#29088),#29084,.F.); +#29096=EDGE_CURVE('',#12533,#12541,#11020,.T.); +#29098=EDGE_CURVE('',#12532,#12533,#11025,.T.); +#29100=EDGE_CURVE('',#12532,#12540,#11016,.T.); +#29104=ADVANCED_FACE('',(#29103),#29094,.T.); +#29112=EDGE_CURVE('',#12533,#12532,#11030,.T.); +#29117=ADVANCED_FACE('',(#29116),#29109,.T.); +#29127=ADVANCED_FACE('',(#29126),#29122,.F.); +#29133=EDGE_CURVE('',#14873,#14869,#11034,.T.); +#29135=EDGE_CURVE('',#12951,#14869,#11057,.T.); +#29138=EDGE_CURVE('',#12950,#14873,#11038,.T.); +#29142=ADVANCED_FACE('',(#29141),#29132,.T.); +#29149=EDGE_CURVE('',#14865,#14869,#11048,.T.); +#29152=EDGE_CURVE('',#14871,#14873,#11043,.T.); +#29156=ADVANCED_FACE('',(#29155),#29147,.T.); +#29162=EDGE_CURVE('',#14869,#14867,#11053,.T.); +#29165=EDGE_CURVE('',#14865,#14867,#11070,.T.); +#29169=ADVANCED_FACE('',(#29168),#29161,.T.); +#29176=EDGE_CURVE('',#12954,#14867,#11065,.T.); +#29182=ADVANCED_FACE('',(#29181),#29174,.T.); +#29188=EDGE_CURVE('',#14867,#14863,#11061,.T.); +#29190=EDGE_CURVE('',#12955,#14863,#11084,.T.); +#29196=ADVANCED_FACE('',(#29195),#29187,.T.); +#29203=EDGE_CURVE('',#14859,#14863,#11075,.T.); +#29209=ADVANCED_FACE('',(#29208),#29201,.T.); +#29215=EDGE_CURVE('',#14863,#14861,#11080,.T.); +#29221=ADVANCED_FACE('',(#29220),#29214,.T.); +#29228=EDGE_CURVE('',#12958,#14861,#11092,.T.); +#29234=ADVANCED_FACE('',(#29233),#29226,.T.); +#29246=ADVANCED_FACE('',(#29245),#29239,.T.); +#29254=EDGE_CURVE('',#14877,#14873,#11108,.T.); +#29259=ADVANCED_FACE('',(#29258),#29251,.T.); +#29271=ADVANCED_FACE('',(#29270),#29264,.F.); +#29277=EDGE_CURVE('',#14453,#14449,#11113,.T.); +#29279=EDGE_CURVE('',#14247,#14449,#11214,.T.); +#29285=ADVANCED_FACE('',(#29284),#29276,.F.); +#29292=EDGE_CURVE('',#14447,#14449,#11129,.T.); +#29298=ADVANCED_FACE('',(#29297),#29290,.T.); +#29305=EDGE_CURVE('',#14441,#14445,#11134,.T.); +#29307=EDGE_CURVE('',#14449,#14445,#11210,.T.); +#29312=ADVANCED_FACE('',(#29311),#29303,.T.); +#29318=EDGE_CURVE('',#14445,#14443,#11139,.T.); +#29321=EDGE_CURVE('',#14441,#14443,#11156,.T.); +#29325=ADVANCED_FACE('',(#29324),#29317,.T.); +#29332=EDGE_CURVE('',#14251,#14443,#11151,.T.); +#29335=EDGE_CURVE('',#14249,#14445,#11143,.T.); +#29339=ADVANCED_FACE('',(#29338),#29330,.T.); +#29345=EDGE_CURVE('',#14443,#14439,#11147,.T.); +#29347=EDGE_CURVE('',#14253,#14439,#11170,.T.); +#29353=ADVANCED_FACE('',(#29352),#29344,.T.); +#29360=EDGE_CURVE('',#14435,#14439,#11161,.T.); +#29366=ADVANCED_FACE('',(#29365),#29358,.T.); +#29372=EDGE_CURVE('',#14439,#14437,#11166,.T.); +#29375=EDGE_CURVE('',#14435,#14437,#11183,.T.); +#29379=ADVANCED_FACE('',(#29378),#29371,.T.); +#29386=EDGE_CURVE('',#14255,#14437,#11178,.T.); +#29392=ADVANCED_FACE('',(#29391),#29384,.T.); +#29398=EDGE_CURVE('',#14437,#14428,#11174,.T.); +#29400=EDGE_CURVE('',#14257,#14428,#11206,.T.); +#29406=ADVANCED_FACE('',(#29405),#29397,.T.); +#29413=EDGE_CURVE('',#14432,#14428,#11188,.T.); +#29419=ADVANCED_FACE('',(#29418),#29411,.T.); +#29425=EDGE_CURVE('',#14428,#14429,#11202,.T.); +#29432=ADVANCED_FACE('',(#29431),#29424,.T.); +#29444=ADVANCED_FACE('',(#29443),#29437,.F.); +#29456=ADVANCED_FACE('',(#29455),#29449,.T.); +#29462=EDGE_CURVE('',#14481,#14477,#11219,.T.); +#29464=EDGE_CURVE('',#14235,#14477,#11320,.T.); +#29470=ADVANCED_FACE('',(#29469),#29461,.F.); +#29477=EDGE_CURVE('',#14475,#14477,#11235,.T.); +#29483=ADVANCED_FACE('',(#29482),#29475,.T.); +#29490=EDGE_CURVE('',#14469,#14473,#11240,.T.); +#29492=EDGE_CURVE('',#14477,#14473,#11316,.T.); +#29497=ADVANCED_FACE('',(#29496),#29488,.T.); +#29503=EDGE_CURVE('',#14473,#14471,#11245,.T.); +#29506=EDGE_CURVE('',#14469,#14471,#11262,.T.); +#29510=ADVANCED_FACE('',(#29509),#29502,.T.); +#29517=EDGE_CURVE('',#14239,#14471,#11257,.T.); +#29520=EDGE_CURVE('',#14237,#14473,#11249,.T.); +#29524=ADVANCED_FACE('',(#29523),#29515,.T.); +#29530=EDGE_CURVE('',#14471,#14467,#11253,.T.); +#29532=EDGE_CURVE('',#14241,#14467,#11276,.T.); +#29538=ADVANCED_FACE('',(#29537),#29529,.T.); +#29545=EDGE_CURVE('',#14463,#14467,#11267,.T.); +#29551=ADVANCED_FACE('',(#29550),#29543,.T.); +#29557=EDGE_CURVE('',#14467,#14465,#11272,.T.); +#29560=EDGE_CURVE('',#14463,#14465,#11289,.T.); +#29564=ADVANCED_FACE('',(#29563),#29556,.T.); +#29571=EDGE_CURVE('',#14243,#14465,#11284,.T.); +#29577=ADVANCED_FACE('',(#29576),#29569,.T.); +#29583=EDGE_CURVE('',#14465,#14456,#11280,.T.); +#29585=EDGE_CURVE('',#14245,#14456,#11312,.T.); +#29591=ADVANCED_FACE('',(#29590),#29582,.T.); +#29598=EDGE_CURVE('',#14460,#14456,#11294,.T.); +#29604=ADVANCED_FACE('',(#29603),#29596,.T.); +#29610=EDGE_CURVE('',#14456,#14457,#11308,.T.); +#29617=ADVANCED_FACE('',(#29616),#29609,.T.); +#29629=ADVANCED_FACE('',(#29628),#29622,.F.); +#29641=ADVANCED_FACE('',(#29640),#29634,.T.); +#29647=EDGE_CURVE('',#14509,#14505,#11325,.T.); +#29649=EDGE_CURVE('',#14223,#14505,#11426,.T.); +#29655=ADVANCED_FACE('',(#29654),#29646,.F.); +#29662=EDGE_CURVE('',#14503,#14505,#11341,.T.); +#29668=ADVANCED_FACE('',(#29667),#29660,.T.); +#29675=EDGE_CURVE('',#14497,#14501,#11346,.T.); +#29677=EDGE_CURVE('',#14505,#14501,#11422,.T.); +#29682=ADVANCED_FACE('',(#29681),#29673,.T.); +#29688=EDGE_CURVE('',#14501,#14499,#11351,.T.); +#29691=EDGE_CURVE('',#14497,#14499,#11368,.T.); +#29695=ADVANCED_FACE('',(#29694),#29687,.T.); +#29702=EDGE_CURVE('',#14227,#14499,#11363,.T.); +#29705=EDGE_CURVE('',#14225,#14501,#11355,.T.); +#29709=ADVANCED_FACE('',(#29708),#29700,.T.); +#29715=EDGE_CURVE('',#14499,#14495,#11359,.T.); +#29717=EDGE_CURVE('',#14229,#14495,#11382,.T.); +#29723=ADVANCED_FACE('',(#29722),#29714,.T.); +#29730=EDGE_CURVE('',#14491,#14495,#11373,.T.); +#29736=ADVANCED_FACE('',(#29735),#29728,.T.); +#29742=EDGE_CURVE('',#14495,#14493,#11378,.T.); +#29745=EDGE_CURVE('',#14491,#14493,#11395,.T.); +#29749=ADVANCED_FACE('',(#29748),#29741,.T.); +#29756=EDGE_CURVE('',#14231,#14493,#11390,.T.); +#29762=ADVANCED_FACE('',(#29761),#29754,.T.); +#29768=EDGE_CURVE('',#14493,#14484,#11386,.T.); +#29770=EDGE_CURVE('',#14233,#14484,#11418,.T.); +#29776=ADVANCED_FACE('',(#29775),#29767,.T.); +#29783=EDGE_CURVE('',#14488,#14484,#11400,.T.); +#29789=ADVANCED_FACE('',(#29788),#29781,.T.); +#29795=EDGE_CURVE('',#14484,#14485,#11414,.T.); +#29802=ADVANCED_FACE('',(#29801),#29794,.T.); +#29814=ADVANCED_FACE('',(#29813),#29807,.F.); +#29826=ADVANCED_FACE('',(#29825),#29819,.T.); +#29832=EDGE_CURVE('',#14537,#14533,#11431,.T.); +#29834=EDGE_CURVE('',#14211,#14533,#11532,.T.); +#29840=ADVANCED_FACE('',(#29839),#29831,.F.); +#29847=EDGE_CURVE('',#14531,#14533,#11447,.T.); +#29853=ADVANCED_FACE('',(#29852),#29845,.T.); +#29860=EDGE_CURVE('',#14525,#14529,#11452,.T.); +#29862=EDGE_CURVE('',#14533,#14529,#11528,.T.); +#29867=ADVANCED_FACE('',(#29866),#29858,.T.); +#29873=EDGE_CURVE('',#14529,#14527,#11457,.T.); +#29876=EDGE_CURVE('',#14525,#14527,#11474,.T.); +#29880=ADVANCED_FACE('',(#29879),#29872,.T.); +#29887=EDGE_CURVE('',#14215,#14527,#11469,.T.); +#29890=EDGE_CURVE('',#14213,#14529,#11461,.T.); +#29894=ADVANCED_FACE('',(#29893),#29885,.T.); +#29900=EDGE_CURVE('',#14527,#14523,#11465,.T.); +#29902=EDGE_CURVE('',#14217,#14523,#11488,.T.); +#29908=ADVANCED_FACE('',(#29907),#29899,.T.); +#29915=EDGE_CURVE('',#14519,#14523,#11479,.T.); +#29921=ADVANCED_FACE('',(#29920),#29913,.T.); +#29927=EDGE_CURVE('',#14523,#14521,#11484,.T.); +#29930=EDGE_CURVE('',#14519,#14521,#11501,.T.); +#29934=ADVANCED_FACE('',(#29933),#29926,.T.); +#29941=EDGE_CURVE('',#14219,#14521,#11496,.T.); +#29947=ADVANCED_FACE('',(#29946),#29939,.T.); +#29953=EDGE_CURVE('',#14521,#14512,#11492,.T.); +#29955=EDGE_CURVE('',#14221,#14512,#11524,.T.); +#29961=ADVANCED_FACE('',(#29960),#29952,.T.); +#29968=EDGE_CURVE('',#14516,#14512,#11506,.T.); +#29974=ADVANCED_FACE('',(#29973),#29966,.T.); +#29980=EDGE_CURVE('',#14512,#14513,#11520,.T.); +#29987=ADVANCED_FACE('',(#29986),#29979,.T.); +#29999=ADVANCED_FACE('',(#29998),#29992,.F.); +#30011=ADVANCED_FACE('',(#30010),#30004,.T.); +#30017=EDGE_CURVE('',#14369,#14365,#11537,.T.); +#30019=EDGE_CURVE('',#13070,#14365,#11615,.T.); +#30025=ADVANCED_FACE('',(#30024),#30016,.F.); +#30032=EDGE_CURVE('',#14363,#14365,#11553,.T.); +#30038=ADVANCED_FACE('',(#30037),#30030,.T.); +#30045=EDGE_CURVE('',#14357,#14361,#11558,.T.); +#30047=EDGE_CURVE('',#14365,#14361,#11611,.T.); +#30052=ADVANCED_FACE('',(#30051),#30043,.T.); +#30058=EDGE_CURVE('',#14361,#14359,#11563,.T.); +#30061=EDGE_CURVE('',#14357,#14359,#11580,.T.); +#30065=ADVANCED_FACE('',(#30064),#30057,.T.); +#30072=EDGE_CURVE('',#13074,#14359,#11575,.T.); +#30075=EDGE_CURVE('',#13071,#14361,#11567,.T.); +#30079=ADVANCED_FACE('',(#30078),#30070,.T.); +#30085=EDGE_CURVE('',#14359,#14355,#11571,.T.); +#30087=EDGE_CURVE('',#13075,#14355,#11594,.T.); +#30093=ADVANCED_FACE('',(#30092),#30084,.T.); +#30100=EDGE_CURVE('',#14351,#14355,#11585,.T.); +#30106=ADVANCED_FACE('',(#30105),#30098,.T.); +#30112=EDGE_CURVE('',#14355,#14353,#11590,.T.); +#30115=EDGE_CURVE('',#14351,#14353,#11607,.T.); +#30119=ADVANCED_FACE('',(#30118),#30111,.T.); +#30126=EDGE_CURVE('',#14141,#14353,#11602,.T.); +#30132=ADVANCED_FACE('',(#30131),#30124,.T.); +#30138=EDGE_CURVE('',#14353,#14344,#11598,.T.); +#30145=ADVANCED_FACE('',(#30144),#30137,.T.); +#30157=ADVANCED_FACE('',(#30156),#30150,.T.); +#30169=ADVANCED_FACE('',(#30168),#30162,.T.); +#30175=EDGE_CURVE('',#14397,#14393,#11620,.T.); +#30177=EDGE_CURVE('',#14187,#14393,#11721,.T.); +#30183=ADVANCED_FACE('',(#30182),#30174,.F.); +#30190=EDGE_CURVE('',#14391,#14393,#11636,.T.); +#30196=ADVANCED_FACE('',(#30195),#30188,.T.); +#30203=EDGE_CURVE('',#14385,#14389,#11641,.T.); +#30205=EDGE_CURVE('',#14393,#14389,#11717,.T.); +#30210=ADVANCED_FACE('',(#30209),#30201,.T.); +#30216=EDGE_CURVE('',#14389,#14387,#11646,.T.); +#30219=EDGE_CURVE('',#14385,#14387,#11663,.T.); +#30223=ADVANCED_FACE('',(#30222),#30215,.T.); +#30230=EDGE_CURVE('',#14191,#14387,#11658,.T.); +#30233=EDGE_CURVE('',#14189,#14389,#11650,.T.); +#30237=ADVANCED_FACE('',(#30236),#30228,.T.); +#30243=EDGE_CURVE('',#14387,#14383,#11654,.T.); +#30245=EDGE_CURVE('',#14193,#14383,#11677,.T.); +#30251=ADVANCED_FACE('',(#30250),#30242,.T.); +#30258=EDGE_CURVE('',#14379,#14383,#11668,.T.); +#30264=ADVANCED_FACE('',(#30263),#30256,.T.); +#30270=EDGE_CURVE('',#14383,#14381,#11673,.T.); +#30273=EDGE_CURVE('',#14379,#14381,#11690,.T.); +#30277=ADVANCED_FACE('',(#30276),#30269,.T.); +#30284=EDGE_CURVE('',#14195,#14381,#11685,.T.); +#30290=ADVANCED_FACE('',(#30289),#30282,.T.); +#30296=EDGE_CURVE('',#14381,#14372,#11681,.T.); +#30298=EDGE_CURVE('',#14197,#14372,#11713,.T.); +#30304=ADVANCED_FACE('',(#30303),#30295,.T.); +#30311=EDGE_CURVE('',#14376,#14372,#11695,.T.); +#30317=ADVANCED_FACE('',(#30316),#30309,.T.); +#30323=EDGE_CURVE('',#14372,#14373,#11709,.T.); +#30330=ADVANCED_FACE('',(#30329),#30322,.T.); +#30342=ADVANCED_FACE('',(#30341),#30335,.F.); +#30354=ADVANCED_FACE('',(#30353),#30347,.T.); +#30360=EDGE_CURVE('',#14425,#14421,#11726,.T.); +#30362=EDGE_CURVE('',#14199,#14421,#11827,.T.); +#30368=ADVANCED_FACE('',(#30367),#30359,.F.); +#30375=EDGE_CURVE('',#14419,#14421,#11742,.T.); +#30381=ADVANCED_FACE('',(#30380),#30373,.T.); +#30388=EDGE_CURVE('',#14413,#14417,#11747,.T.); +#30390=EDGE_CURVE('',#14421,#14417,#11823,.T.); +#30395=ADVANCED_FACE('',(#30394),#30386,.T.); +#30401=EDGE_CURVE('',#14417,#14415,#11752,.T.); +#30404=EDGE_CURVE('',#14413,#14415,#11769,.T.); +#30408=ADVANCED_FACE('',(#30407),#30400,.T.); +#30415=EDGE_CURVE('',#14203,#14415,#11764,.T.); +#30418=EDGE_CURVE('',#14201,#14417,#11756,.T.); +#30422=ADVANCED_FACE('',(#30421),#30413,.T.); +#30428=EDGE_CURVE('',#14415,#14411,#11760,.T.); +#30430=EDGE_CURVE('',#14205,#14411,#11783,.T.); +#30436=ADVANCED_FACE('',(#30435),#30427,.T.); +#30443=EDGE_CURVE('',#14407,#14411,#11774,.T.); +#30449=ADVANCED_FACE('',(#30448),#30441,.T.); +#30455=EDGE_CURVE('',#14411,#14409,#11779,.T.); +#30458=EDGE_CURVE('',#14407,#14409,#11796,.T.); +#30462=ADVANCED_FACE('',(#30461),#30454,.T.); +#30469=EDGE_CURVE('',#14207,#14409,#11791,.T.); +#30475=ADVANCED_FACE('',(#30474),#30467,.T.); +#30481=EDGE_CURVE('',#14409,#14400,#11787,.T.); +#30483=EDGE_CURVE('',#14209,#14400,#11819,.T.); +#30489=ADVANCED_FACE('',(#30488),#30480,.T.); +#30496=EDGE_CURVE('',#14404,#14400,#11801,.T.); +#30502=ADVANCED_FACE('',(#30501),#30494,.T.); +#30508=EDGE_CURVE('',#14400,#14401,#11815,.T.); +#30515=ADVANCED_FACE('',(#30514),#30507,.T.); +#30527=ADVANCED_FACE('',(#30526),#30520,.F.); +#30539=ADVANCED_FACE('',(#30538),#30532,.T.); +#30542=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#30543=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#30546=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#30548=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( +#30547))GLOBAL_UNIT_ASSIGNED_CONTEXT((#30542,#30545,#30546))REPRESENTATION_CONTEXT('ID1','3')); +#30549=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#30541),#30548); +#30556=PRODUCT_DEFINITION('part definition','',#30555,#30552); +#30557=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR C-770669-1.',#30556); +#30558=SHAPE_ASPECT('','solid data associated with C-770669-1',#30557,.F.); +#30559=PROPERTY_DEFINITION('', +'shape for solid data with which properties are associated',#30558); +#30560=SHAPE_REPRESENTATION('',(#30541),#30548); +#30561=SHAPE_DEFINITION_REPRESENTATION(#30559,#30560); +#30562=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#30566=PROPERTY_DEFINITION('geometric validation property','area of C-770669-1', +#30558); +#30567=REPRESENTATION('surface area',(#30565),#30548); +#30568=PROPERTY_DEFINITION_REPRESENTATION(#30566,#30567); +#30569=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#30573=PROPERTY_DEFINITION('geometric validation property', +'volume of C-770669-1',#30558); +#30574=REPRESENTATION('volume',(#30572),#30548); +#30575=PROPERTY_DEFINITION_REPRESENTATION(#30573,#30574); +#30577=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-770669-1',#30558); +#30578=REPRESENTATION('centroid',(#30576),#30548); +#30579=PROPERTY_DEFINITION_REPRESENTATION(#30577,#30578); +#30580=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#30584=PROPERTY_DEFINITION('geometric validation property','area of C-770669-1', +#30557); +#30585=REPRESENTATION('surface area',(#30583),#30548); +#30586=PROPERTY_DEFINITION_REPRESENTATION(#30584,#30585); +#30587=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#30591=PROPERTY_DEFINITION('geometric validation property', +'volume of C-770669-1',#30557); +#30592=REPRESENTATION('volume',(#30590),#30548); +#30593=PROPERTY_DEFINITION_REPRESENTATION(#30591,#30592); +#30595=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-770669-1',#30557); +#30596=REPRESENTATION('centroid',(#30594),#30548); +#30597=PROPERTY_DEFINITION_REPRESENTATION(#30595,#30596); +#30598=SHAPE_DEFINITION_REPRESENTATION(#30557,#30549); +ENDSEC; +END-ISO-10303-21; diff --git a/lib/c-776228-1-e-3d.stp b/lib/c-776228-1-e-3d.stp new file mode 100644 index 0000000..5f8c5d0 --- /dev/null +++ b/lib/c-776228-1-e-3d.stp @@ -0,0 +1,26997 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION((''),'2;1'); +FILE_NAME('C-776228-1','2018-01-31T',('workeradm'),('TE Connectivity Ltd.'), +'CREO PARAMETRIC BY PTC INC, 2016050','CREO PARAMETRIC BY PTC INC, 2016050',''); +FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); +ENDSEC; +DATA; +#2=DIRECTION('',(1.E0,0.E0,0.E0)); +#3=VECTOR('',#2,3.1E1); +#4=CARTESIAN_POINT('',(-1.55E1,1.083589838486E1,0.E0)); +#5=LINE('',#4,#3); +#6=CARTESIAN_POINT('',(-1.55E1,5.6E0,0.E0)); +#7=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9=AXIS2_PLACEMENT_3D('',#6,#7,#8); +#11=DIRECTION('',(0.E0,1.E0,0.E0)); +#12=VECTOR('',#11,1.12E1); +#13=CARTESIAN_POINT('',(-2.073589838486E1,-5.6E0,0.E0)); +#14=LINE('',#13,#12); +#15=CARTESIAN_POINT('',(-1.55E1,-5.6E0,0.E0)); +#16=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18=AXIS2_PLACEMENT_3D('',#15,#16,#17); +#20=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21=VECTOR('',#20,3.1E1); +#22=CARTESIAN_POINT('',(1.55E1,-1.083589838486E1,0.E0)); +#23=LINE('',#22,#21); +#24=CARTESIAN_POINT('',(1.55E1,-5.6E0,0.E0)); +#25=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26=DIRECTION('',(1.E0,0.E0,0.E0)); +#27=AXIS2_PLACEMENT_3D('',#24,#25,#26); +#29=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30=VECTOR('',#29,1.12E1); +#31=CARTESIAN_POINT('',(2.073589838486E1,5.6E0,0.E0)); +#32=LINE('',#31,#30); +#33=CARTESIAN_POINT('',(1.55E1,5.6E0,0.E0)); +#34=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35=DIRECTION('',(0.E0,1.E0,0.E0)); +#36=AXIS2_PLACEMENT_3D('',#33,#34,#35); +#38=DIRECTION('',(-1.E0,0.E0,0.E0)); +#39=VECTOR('',#38,1.095625566824E1); +#40=CARTESIAN_POINT('',(1.55E1,1.13E1,0.E0)); +#41=LINE('',#40,#39); +#42=CARTESIAN_POINT('',(1.55E1,5.6E0,0.E0)); +#43=DIRECTION('',(0.E0,0.E0,1.E0)); +#44=DIRECTION('',(1.E0,0.E0,0.E0)); +#45=AXIS2_PLACEMENT_3D('',#42,#43,#44); +#47=DIRECTION('',(0.E0,1.E0,0.E0)); +#48=VECTOR('',#47,1.12E1); +#49=CARTESIAN_POINT('',(2.12E1,-5.6E0,0.E0)); +#50=LINE('',#49,#48); +#51=CARTESIAN_POINT('',(1.55E1,-5.6E0,0.E0)); +#52=DIRECTION('',(0.E0,0.E0,1.E0)); +#53=DIRECTION('',(0.E0,-1.E0,0.E0)); +#54=AXIS2_PLACEMENT_3D('',#51,#52,#53); +#56=DIRECTION('',(1.E0,0.E0,0.E0)); +#57=VECTOR('',#56,3.1E1); +#58=CARTESIAN_POINT('',(-1.55E1,-1.13E1,0.E0)); +#59=LINE('',#58,#57); +#60=CARTESIAN_POINT('',(-1.55E1,-5.6E0,0.E0)); +#61=DIRECTION('',(0.E0,0.E0,1.E0)); +#62=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63=AXIS2_PLACEMENT_3D('',#60,#61,#62); +#65=DIRECTION('',(0.E0,-1.E0,0.E0)); +#66=VECTOR('',#65,1.12E1); +#67=CARTESIAN_POINT('',(-2.12E1,5.6E0,0.E0)); +#68=LINE('',#67,#66); +#69=CARTESIAN_POINT('',(-1.55E1,5.6E0,0.E0)); +#70=DIRECTION('',(0.E0,0.E0,1.E0)); +#71=DIRECTION('',(0.E0,1.E0,0.E0)); +#72=AXIS2_PLACEMENT_3D('',#69,#70,#71); +#74=DIRECTION('',(-1.E0,0.E0,0.E0)); +#75=VECTOR('',#74,1.095625566824E1); +#76=CARTESIAN_POINT('',(-4.543744331763E0,1.13E1,0.E0)); +#77=LINE('',#76,#75); +#78=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#79=VECTOR('',#78,5.019099187717E-1); +#80=CARTESIAN_POINT('',(-4.543744331763E0,1.13E1,0.E0)); +#81=LINE('',#80,#79); +#82=DIRECTION('',(1.E0,0.E0,0.E0)); +#83=VECTOR('',#82,1.8E0); +#84=CARTESIAN_POINT('',(-4.5E0,1.18E1,0.E0)); +#85=LINE('',#84,#83); +#86=DIRECTION('',(-8.715574274766E-2,9.961946980917E-1,0.E0)); +#87=VECTOR('',#86,5.019099187717E-1); +#88=CARTESIAN_POINT('',(-2.656255668237E0,1.13E1,0.E0)); +#89=LINE('',#88,#87); +#90=DIRECTION('',(-1.E0,0.E0,0.E0)); +#91=VECTOR('',#90,5.312511336474E0); +#92=CARTESIAN_POINT('',(2.656255668237E0,1.13E1,0.E0)); +#93=LINE('',#92,#91); +#94=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#95=VECTOR('',#94,5.019099187717E-1); +#96=CARTESIAN_POINT('',(2.656255668237E0,1.13E1,0.E0)); +#97=LINE('',#96,#95); +#98=DIRECTION('',(1.E0,0.E0,0.E0)); +#99=VECTOR('',#98,1.8E0); +#100=CARTESIAN_POINT('',(2.7E0,1.18E1,0.E0)); +#101=LINE('',#100,#99); +#102=DIRECTION('',(-8.715574274766E-2,9.961946980917E-1,0.E0)); +#103=VECTOR('',#102,5.019099187717E-1); +#104=CARTESIAN_POINT('',(4.543744331763E0,1.13E1,0.E0)); +#105=LINE('',#104,#103); +#106=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); +#107=VECTOR('',#106,2.070552360820E0); +#108=CARTESIAN_POINT('',(1.55E1,1.03E1,-2.E0)); +#109=LINE('',#108,#107); +#110=DIRECTION('',(2.588190451025E-1,0.E0,9.659258262891E-1)); +#111=VECTOR('',#110,2.070552360820E0); +#112=CARTESIAN_POINT('',(2.02E1,5.6E0,-2.E0)); +#113=LINE('',#112,#111); +#114=DIRECTION('',(2.588190451025E-1,0.E0,9.659258262891E-1)); +#115=VECTOR('',#114,2.070552360820E0); +#116=CARTESIAN_POINT('',(2.02E1,-5.6E0,-2.E0)); +#117=LINE('',#116,#115); +#118=DIRECTION('',(0.E0,-2.588190451025E-1,9.659258262891E-1)); +#119=VECTOR('',#118,2.070552360820E0); +#120=CARTESIAN_POINT('',(1.55E1,-1.03E1,-2.E0)); +#121=LINE('',#120,#119); +#122=DIRECTION('',(0.E0,-2.588190451025E-1,9.659258262891E-1)); +#123=VECTOR('',#122,2.070552360820E0); +#124=CARTESIAN_POINT('',(-1.55E1,-1.03E1,-2.E0)); +#125=LINE('',#124,#123); +#126=DIRECTION('',(-2.588190451025E-1,0.E0,9.659258262891E-1)); +#127=VECTOR('',#126,2.070552360820E0); +#128=CARTESIAN_POINT('',(-2.02E1,-5.6E0,-2.E0)); +#129=LINE('',#128,#127); +#130=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); +#131=VECTOR('',#130,2.070552360820E0); +#132=CARTESIAN_POINT('',(-1.55E1,1.03E1,-2.E0)); +#133=LINE('',#132,#131); +#134=DIRECTION('',(-2.588190451025E-1,0.E0,9.659258262891E-1)); +#135=VECTOR('',#134,2.070552360820E0); +#136=CARTESIAN_POINT('',(-2.02E1,5.6E0,-2.E0)); +#137=LINE('',#136,#135); +#138=CARTESIAN_POINT('',(-1.55E1,5.6E0,-2.E0)); +#139=DIRECTION('',(0.E0,0.E0,1.E0)); +#140=DIRECTION('',(0.E0,1.E0,0.E0)); +#141=AXIS2_PLACEMENT_3D('',#138,#139,#140); +#143=DIRECTION('',(0.E0,0.E0,-1.E0)); +#144=VECTOR('',#143,1.8E1); +#145=CARTESIAN_POINT('',(-1.55E1,1.03E1,-2.E0)); +#146=LINE('',#145,#144); +#147=DIRECTION('',(0.E0,0.E0,1.E0)); +#148=VECTOR('',#147,1.E1); +#149=CARTESIAN_POINT('',(1.25E0,1.03E1,-2.E1)); +#150=LINE('',#149,#148); +#151=DIRECTION('',(0.E0,0.E0,1.E0)); +#152=VECTOR('',#151,1.E1); +#153=CARTESIAN_POINT('',(-1.075E0,1.03E1,-2.E1)); +#154=LINE('',#153,#152); +#155=DIRECTION('',(1.E0,0.E0,0.E0)); +#156=VECTOR('',#155,1.35E0); +#157=CARTESIAN_POINT('',(-2.425E0,1.03E1,-1.E1)); +#158=LINE('',#157,#156); +#159=DIRECTION('',(0.E0,0.E0,1.E0)); +#160=VECTOR('',#159,1.E1); +#161=CARTESIAN_POINT('',(-2.425E0,1.03E1,-2.E1)); +#162=LINE('',#161,#160); +#163=DIRECTION('',(0.E0,0.E0,1.E0)); +#164=VECTOR('',#163,1.E1); +#165=CARTESIAN_POINT('',(-4.75E0,1.03E1,-2.E1)); +#166=LINE('',#165,#164); +#167=DIRECTION('',(1.E0,0.E0,0.E0)); +#168=VECTOR('',#167,1.E0); +#169=CARTESIAN_POINT('',(-5.75E0,1.03E1,-1.E1)); +#170=LINE('',#169,#168); +#171=DIRECTION('',(0.E0,0.E0,1.E0)); +#172=VECTOR('',#171,1.E1); +#173=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#174=LINE('',#173,#172); +#175=DIRECTION('',(0.E0,0.E0,1.E0)); +#176=VECTOR('',#175,1.E1); +#177=CARTESIAN_POINT('',(-8.25E0,1.03E1,-2.E1)); +#178=LINE('',#177,#176); +#179=DIRECTION('',(1.E0,0.E0,0.E0)); +#180=VECTOR('',#179,1.E0); +#181=CARTESIAN_POINT('',(-9.25E0,1.03E1,-1.E1)); +#182=LINE('',#181,#180); +#183=DIRECTION('',(0.E0,0.E0,1.E0)); +#184=VECTOR('',#183,1.E1); +#185=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#186=LINE('',#185,#184); +#187=DIRECTION('',(0.E0,0.E0,1.E0)); +#188=VECTOR('',#187,1.E1); +#189=CARTESIAN_POINT('',(-1.175E1,1.03E1,-2.E1)); +#190=LINE('',#189,#188); +#191=DIRECTION('',(1.E0,0.E0,0.E0)); +#192=VECTOR('',#191,1.E0); +#193=CARTESIAN_POINT('',(-1.275E1,1.03E1,-1.E1)); +#194=LINE('',#193,#192); +#195=DIRECTION('',(0.E0,0.E0,1.E0)); +#196=VECTOR('',#195,1.E1); +#197=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#198=LINE('',#197,#196); +#199=DIRECTION('',(-1.E0,0.E0,0.E0)); +#200=VECTOR('',#199,3.1E1); +#201=CARTESIAN_POINT('',(1.55E1,1.03E1,-2.E0)); +#202=LINE('',#201,#200); +#203=DIRECTION('',(0.E0,0.E0,-1.E0)); +#204=VECTOR('',#203,1.8E1); +#205=CARTESIAN_POINT('',(1.55E1,1.03E1,-2.E0)); +#206=LINE('',#205,#204); +#207=DIRECTION('',(0.E0,0.E0,1.E0)); +#208=VECTOR('',#207,1.E1); +#209=CARTESIAN_POINT('',(1.275E1,1.03E1,-2.E1)); +#210=LINE('',#209,#208); +#211=DIRECTION('',(1.E0,0.E0,0.E0)); +#212=VECTOR('',#211,1.E0); +#213=CARTESIAN_POINT('',(1.175E1,1.03E1,-1.E1)); +#214=LINE('',#213,#212); +#215=DIRECTION('',(0.E0,0.E0,1.E0)); +#216=VECTOR('',#215,1.E1); +#217=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#218=LINE('',#217,#216); +#219=DIRECTION('',(0.E0,0.E0,1.E0)); +#220=VECTOR('',#219,1.E1); +#221=CARTESIAN_POINT('',(9.25E0,1.03E1,-2.E1)); +#222=LINE('',#221,#220); +#223=DIRECTION('',(1.E0,0.E0,0.E0)); +#224=VECTOR('',#223,1.E0); +#225=CARTESIAN_POINT('',(8.25E0,1.03E1,-1.E1)); +#226=LINE('',#225,#224); +#227=DIRECTION('',(0.E0,0.E0,1.E0)); +#228=VECTOR('',#227,1.E1); +#229=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#230=LINE('',#229,#228); +#231=DIRECTION('',(0.E0,0.E0,1.E0)); +#232=VECTOR('',#231,1.E1); +#233=CARTESIAN_POINT('',(5.75E0,1.03E1,-2.E1)); +#234=LINE('',#233,#232); +#235=DIRECTION('',(1.E0,0.E0,0.E0)); +#236=VECTOR('',#235,1.E0); +#237=CARTESIAN_POINT('',(4.75E0,1.03E1,-1.E1)); +#238=LINE('',#237,#236); +#239=DIRECTION('',(0.E0,0.E0,1.E0)); +#240=VECTOR('',#239,1.E1); +#241=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#242=LINE('',#241,#240); +#243=DIRECTION('',(0.E0,0.E0,1.E0)); +#244=VECTOR('',#243,1.E1); +#245=CARTESIAN_POINT('',(2.25E0,1.03E1,-2.E1)); +#246=LINE('',#245,#244); +#247=DIRECTION('',(1.E0,0.E0,0.E0)); +#248=VECTOR('',#247,1.E0); +#249=CARTESIAN_POINT('',(1.25E0,1.03E1,-1.E1)); +#250=LINE('',#249,#248); +#251=DIRECTION('',(0.E0,0.E0,-1.E0)); +#252=VECTOR('',#251,1.E1); +#253=CARTESIAN_POINT('',(1.25E0,9.1E0,-1.E1)); +#254=LINE('',#253,#252); +#255=DIRECTION('',(-3.102797975506E-1,-9.506452793929E-1,0.E0)); +#256=VECTOR('',#255,1.342872699388E-1); +#257=CARTESIAN_POINT('',(-1.659E1,3.191488981247E-1,-2.E1)); +#258=LINE('',#257,#256); +#259=DIRECTION('',(-5.466227293958E-1,-8.373790012341E-1,0.E0)); +#260=VECTOR('',#259,1.524513500598E-1); +#261=CARTESIAN_POINT('',(-1.663166662693E1,1.914893388748E-1,-2.E1)); +#262=LINE('',#261,#260); +#263=DIRECTION('',(-8.265992787996E-1,-5.627909312417E-1,0.E0)); +#264=VECTOR('',#263,1.512220046714E-1); +#265=CARTESIAN_POINT('',(-1.6715E1,6.382977962494E-2,-2.E1)); +#266=LINE('',#265,#264); +#267=DIRECTION('',(-9.466499177700E-1,-3.222637633772E-1,0.E0)); +#268=VECTOR('',#267,1.320445897196E-1); +#269=CARTESIAN_POINT('',(-1.684E1,-2.127659320831E-2,-2.E1)); +#270=LINE('',#269,#268); +#271=DIRECTION('',(-1.E0,0.E0,0.E0)); +#272=VECTOR('',#271,2.916666865349E-1); +#273=CARTESIAN_POINT('',(-1.6965E1,-6.382977962494E-2,-2.E1)); +#274=LINE('',#273,#272); +#275=DIRECTION('',(-9.466498943303E-1,3.222638322314E-1,0.E0)); +#276=VECTOR('',#275,1.320445615072E-1); +#277=CARTESIAN_POINT('',(-1.725666668653E1,-6.382977962494E-2,-2.E1)); +#278=LINE('',#277,#276); +#279=DIRECTION('',(-8.265992787996E-1,5.627909312417E-1,0.E0)); +#280=VECTOR('',#279,1.512220046714E-1); +#281=CARTESIAN_POINT('',(-1.738166665673E1,-2.127659320831E-2,-2.E1)); +#282=LINE('',#281,#280); +#283=DIRECTION('',(-5.466225923193E-1,8.373790907147E-1,0.E0)); +#284=VECTOR('',#283,1.524513337692E-1); +#285=CARTESIAN_POINT('',(-1.750666665673E1,6.382977962494E-2,-2.E1)); +#286=LINE('',#285,#284); +#287=DIRECTION('',(-3.102800983961E-1,9.506451812003E-1,0.E0)); +#288=VECTOR('',#287,1.342872838094E-1); +#289=CARTESIAN_POINT('',(-1.759E1,1.914893388748E-1,-2.E1)); +#290=LINE('',#289,#288); +#291=DIRECTION('',(0.E0,1.E0,0.E0)); +#292=VECTOR('',#291,8.510637283325E-2); +#293=CARTESIAN_POINT('',(-1.763166667163E1,3.191488981247E-1,-2.E1)); +#294=LINE('',#293,#292); +#295=DIRECTION('',(3.102798365493E-1,9.506452666641E-1,0.E0)); +#296=VECTOR('',#295,1.342873971351E-1); +#297=CARTESIAN_POINT('',(-1.763166667163E1,4.042552709579E-1,-2.E1)); +#298=LINE('',#297,#296); +#299=DIRECTION('',(5.466225923193E-1,8.373790907147E-1,0.E0)); +#300=VECTOR('',#299,1.524513337692E-1); +#301=CARTESIAN_POINT('',(-1.759E1,5.319149494171E-1,-2.E1)); +#302=LINE('',#301,#300); +#303=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#304=VECTOR('',#303,1.512220046714E-1); +#305=CARTESIAN_POINT('',(-1.750666665673E1,6.595745086670E-1,-2.E1)); +#306=LINE('',#305,#304); +#307=DIRECTION('',(9.466498943303E-1,3.222638322314E-1,0.E0)); +#308=VECTOR('',#307,1.320445615072E-1); +#309=CARTESIAN_POINT('',(-1.738166665673E1,7.446808815002E-1,-2.E1)); +#310=LINE('',#309,#308); +#311=DIRECTION('',(1.E0,0.E0,0.E0)); +#312=VECTOR('',#311,2.916666865349E-1); +#313=CARTESIAN_POINT('',(-1.725666668653E1,7.872340679169E-1,-2.E1)); +#314=LINE('',#313,#312); +#315=DIRECTION('',(9.466499177700E-1,-3.222637633772E-1,0.E0)); +#316=VECTOR('',#315,1.320445897196E-1); +#317=CARTESIAN_POINT('',(-1.6965E1,7.872340679169E-1,-2.E1)); +#318=LINE('',#317,#316); +#319=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#320=VECTOR('',#319,1.512220046714E-1); +#321=CARTESIAN_POINT('',(-1.684E1,7.446808815002E-1,-2.E1)); +#322=LINE('',#321,#320); +#323=DIRECTION('',(5.466227293958E-1,-8.373790012341E-1,0.E0)); +#324=VECTOR('',#323,1.524513500598E-1); +#325=CARTESIAN_POINT('',(-1.6715E1,6.595745086670E-1,-2.E1)); +#326=LINE('',#325,#324); +#327=DIRECTION('',(3.102795357040E-1,-9.506453648566E-1,0.E0)); +#328=VECTOR('',#327,1.342873832646E-1); +#329=CARTESIAN_POINT('',(-1.663166662693E1,5.319149494171E-1,-2.E1)); +#330=LINE('',#329,#328); +#331=DIRECTION('',(0.E0,-1.E0,0.E0)); +#332=VECTOR('',#331,8.510637283325E-2); +#333=CARTESIAN_POINT('',(-1.659E1,4.042552709579E-1,-2.E1)); +#334=LINE('',#333,#332); +#335=DIRECTION('',(3.102804688813E-1,-9.506450602779E-1,0.E0)); +#336=VECTOR('',#335,1.342873635899E-1); +#337=CARTESIAN_POINT('',(-1.591666674614E1,-4.148936152459E0,-2.E1)); +#338=LINE('',#337,#336); +#339=DIRECTION('',(0.E0,-1.E0,0.E0)); +#340=VECTOR('',#339,1.276595592499E-1); +#341=CARTESIAN_POINT('',(-1.5875E1,-4.276595771313E0,-2.E1)); +#342=LINE('',#341,#340); +#343=DIRECTION('',(-3.102805998049E-1,-9.506450175458E-1,0.E0)); +#344=VECTOR('',#343,1.342873069271E-1); +#345=CARTESIAN_POINT('',(-1.5875E1,-4.404255330563E0,-2.E1)); +#346=LINE('',#345,#344); +#347=DIRECTION('',(-5.466220916090E-1,-8.373794175671E-1,0.E0)); +#348=VECTOR('',#347,1.524513098532E-1); +#349=CARTESIAN_POINT('',(-1.591666674614E1,-4.531914889813E0,-2.E1)); +#350=LINE('',#349,#348); +#351=DIRECTION('',(-8.265992787996E-1,-5.627909312417E-1,0.E0)); +#352=VECTOR('',#351,1.512220046714E-1); +#353=CARTESIAN_POINT('',(-1.6E1,-4.659574478865E0,-2.E1)); +#354=LINE('',#353,#352); +#355=DIRECTION('',(-9.466499177700E-1,-3.222637633772E-1,0.E0)); +#356=VECTOR('',#355,1.320445897196E-1); +#357=CARTESIAN_POINT('',(-1.6125E1,-4.744680851698E0,-2.E1)); +#358=LINE('',#357,#356); +#359=DIRECTION('',(-1.E0,0.E0,0.E0)); +#360=VECTOR('',#359,2.916666269302E-1); +#361=CARTESIAN_POINT('',(-1.625E1,-4.787234038115E0,-2.E1)); +#362=LINE('',#361,#360); +#363=DIRECTION('',(-9.466499177700E-1,3.222637633772E-1,0.E0)); +#364=VECTOR('',#363,1.320445897196E-1); +#365=CARTESIAN_POINT('',(-1.654166662693E1,-4.787234038115E0,-2.E1)); +#366=LINE('',#365,#364); +#367=DIRECTION('',(-8.265992787996E-1,5.627909312417E-1,0.E0)); +#368=VECTOR('',#367,1.512220046714E-1); +#369=CARTESIAN_POINT('',(-1.666666662693E1,-4.744680851698E0,-2.E1)); +#370=LINE('',#369,#368); +#371=DIRECTION('',(-5.466226399153E-1,8.373790596451E-1,0.E0)); +#372=VECTOR('',#371,1.524513750156E-1); +#373=CARTESIAN_POINT('',(-1.679166662693E1,-4.659574478865E0,-2.E1)); +#374=LINE('',#373,#372); +#375=DIRECTION('',(-3.102797975506E-1,9.506452793929E-1,0.E0)); +#376=VECTOR('',#375,1.342872699388E-1); +#377=CARTESIAN_POINT('',(-1.6875E1,-4.531914889813E0,-2.E1)); +#378=LINE('',#377,#376); +#379=DIRECTION('',(0.E0,1.E0,0.E0)); +#380=VECTOR('',#379,1.276595592499E-1); +#381=CARTESIAN_POINT('',(-1.691666662693E1,-4.404255330563E0,-2.E1)); +#382=LINE('',#381,#380); +#383=DIRECTION('',(3.102796666273E-1,9.506453221247E-1,0.E0)); +#384=VECTOR('',#383,1.342873266017E-1); +#385=CARTESIAN_POINT('',(-1.691666662693E1,-4.276595771313E0,-2.E1)); +#386=LINE('',#385,#384); +#387=DIRECTION('',(6.996250055017E-1,7.145102180353E-1,0.E0)); +#388=VECTOR('',#387,1.191114846017E-1); +#389=CARTESIAN_POINT('',(-1.6875E1,-4.148936152459E0,-2.E1)); +#390=LINE('',#389,#388); +#391=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#392=VECTOR('',#391,1.512220046714E-1); +#393=CARTESIAN_POINT('',(-1.679166662693E1,-4.063829779625E0,-2.E1)); +#394=LINE('',#393,#392); +#395=DIRECTION('',(9.466499177700E-1,3.222637633772E-1,0.E0)); +#396=VECTOR('',#395,1.320445897196E-1); +#397=CARTESIAN_POINT('',(-1.666666662693E1,-3.978723406792E0,-2.E1)); +#398=LINE('',#397,#396); +#399=DIRECTION('',(1.E0,0.E0,0.E0)); +#400=VECTOR('',#399,2.916666269302E-1); +#401=CARTESIAN_POINT('',(-1.654166662693E1,-3.936170220376E0,-2.E1)); +#402=LINE('',#401,#400); +#403=DIRECTION('',(9.466499177700E-1,-3.222637633772E-1,0.E0)); +#404=VECTOR('',#403,1.320445897196E-1); +#405=CARTESIAN_POINT('',(-1.625E1,-3.936170220376E0,-2.E1)); +#406=LINE('',#405,#404); +#407=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#408=VECTOR('',#407,1.512220046714E-1); +#409=CARTESIAN_POINT('',(-1.6125E1,-3.978723406792E0,-2.E1)); +#410=LINE('',#409,#408); +#411=DIRECTION('',(6.996244945571E-1,-7.145107183351E-1,0.E0)); +#412=VECTOR('',#411,1.191114011999E-1); +#413=CARTESIAN_POINT('',(-1.6E1,-4.063829779625E0,-2.E1)); +#414=LINE('',#413,#412); +#415=DIRECTION('',(-9.689177247618E-1,2.473831898946E-1,0.E0)); +#416=VECTOR('',#415,1.720132497069E-1); +#417=CARTESIAN_POINT('',(1.616666668653E1,3.212765961886E0,-2.E1)); +#418=LINE('',#417,#416); +#419=DIRECTION('',(-8.906052758868E-1,4.547771350481E-1,0.E0)); +#420=VECTOR('',#419,1.871386362119E-1); +#421=CARTESIAN_POINT('',(1.6E1,3.255319148303E0,-2.E1)); +#422=LINE('',#421,#420); +#423=DIRECTION('',(-5.919095272623E-1,8.060044116108E-1,0.E0)); +#424=VECTOR('',#423,2.111809476683E-1); +#425=CARTESIAN_POINT('',(1.583333334327E1,3.340425521136E0,-2.E1)); +#426=LINE('',#425,#424); +#427=DIRECTION('',(0.E0,1.E0,0.E0)); +#428=VECTOR('',#427,1.276595592499E-1); +#429=CARTESIAN_POINT('',(1.570833332837E1,3.510638296605E0,-2.E1)); +#430=LINE('',#429,#428); +#431=DIRECTION('',(5.919094599355E-1,8.060044610540E-1,0.E0)); +#432=VECTOR('',#431,2.111809716891E-1); +#433=CARTESIAN_POINT('',(1.570833332837E1,3.638297855855E0,-2.E1)); +#434=LINE('',#433,#432); +#435=DIRECTION('',(8.906052758868E-1,4.547771350481E-1,0.E0)); +#436=VECTOR('',#435,1.871386362119E-1); +#437=CARTESIAN_POINT('',(1.583333334327E1,3.808510661126E0,-2.E1)); +#438=LINE('',#437,#436); +#439=DIRECTION('',(9.689177247618E-1,2.473831898946E-1,0.E0)); +#440=VECTOR('',#439,1.720132497069E-1); +#441=CARTESIAN_POINT('',(1.6E1,3.893617033959E0,-2.E1)); +#442=LINE('',#441,#440); +#443=DIRECTION('',(1.E0,0.E0,0.E0)); +#444=VECTOR('',#443,1.666666269302E-1); +#445=CARTESIAN_POINT('',(1.616666668653E1,3.936170220376E0,-2.E1)); +#446=LINE('',#445,#444); +#447=DIRECTION('',(9.689177247618E-1,-2.473831898946E-1,0.E0)); +#448=VECTOR('',#447,1.720132497069E-1); +#449=CARTESIAN_POINT('',(1.633333331347E1,3.936170220376E0,-2.E1)); +#450=LINE('',#449,#448); +#451=DIRECTION('',(8.906052429498E-1,-4.547771995497E-1,0.E0)); +#452=VECTOR('',#451,1.871386096698E-1); +#453=CARTESIAN_POINT('',(1.65E1,3.893617033959E0,-2.E1)); +#454=LINE('',#453,#452); +#455=DIRECTION('',(5.919094140959E-1,-8.060044947174E-1,0.E0)); +#456=VECTOR('',#455,2.111809628690E-1); +#457=CARTESIAN_POINT('',(1.666666662693E1,3.808510661126E0,-2.E1)); +#458=LINE('',#457,#456); +#459=DIRECTION('',(0.E0,-1.E0,0.E0)); +#460=VECTOR('',#459,1.276595592499E-1); +#461=CARTESIAN_POINT('',(1.679166662693E1,3.638297855855E0,-2.E1)); +#462=LINE('',#461,#460); +#463=DIRECTION('',(-5.919094814228E-1,-8.060044452742E-1,0.E0)); +#464=VECTOR('',#463,2.111809388482E-1); +#465=CARTESIAN_POINT('',(1.679166662693E1,3.510638296605E0,-2.E1)); +#466=LINE('',#465,#464); +#467=DIRECTION('',(-8.906052429498E-1,-4.547771995498E-1,0.E0)); +#468=VECTOR('',#467,1.871386096698E-1); +#469=CARTESIAN_POINT('',(1.666666662693E1,3.340425521136E0,-2.E1)); +#470=LINE('',#469,#468); +#471=DIRECTION('',(-9.689177247618E-1,-2.473831898946E-1,0.E0)); +#472=VECTOR('',#471,1.720132497069E-1); +#473=CARTESIAN_POINT('',(1.65E1,3.255319148303E0,-2.E1)); +#474=LINE('',#473,#472); +#475=DIRECTION('',(-1.E0,0.E0,0.E0)); +#476=VECTOR('',#475,1.666666269302E-1); +#477=CARTESIAN_POINT('',(1.633333331347E1,3.212765961886E0,-2.E1)); +#478=LINE('',#477,#476); +#479=DIRECTION('',(9.689177247618E-1,-2.473831898946E-1,0.E0)); +#480=VECTOR('',#479,1.720132497069E-1); +#481=CARTESIAN_POINT('',(1.633333331347E1,4.787234067917E0,-2.E1)); +#482=LINE('',#481,#480); +#483=DIRECTION('',(7.938781110087E-1,-6.080769234738E-1,0.E0)); +#484=VECTOR('',#483,2.099398189962E-1); +#485=CARTESIAN_POINT('',(1.65E1,4.744680881501E0,-2.E1)); +#486=LINE('',#485,#484); +#487=DIRECTION('',(5.466223714738E-1,-8.373792348777E-1,0.E0)); +#488=VECTOR('',#487,1.524514498832E-1); +#489=CARTESIAN_POINT('',(1.666666662693E1,4.617021322251E0,-2.E1)); +#490=LINE('',#489,#488); +#491=DIRECTION('',(0.E0,-1.E0,0.E0)); +#492=VECTOR('',#491,8.510637283325E-2); +#493=CARTESIAN_POINT('',(1.675E1,4.489361643792E0,-2.E1)); +#494=LINE('',#493,#492); +#495=DIRECTION('',(-5.466227293958E-1,-8.373790012342E-1,0.E0)); +#496=VECTOR('',#495,1.524513500598E-1); +#497=CARTESIAN_POINT('',(1.675E1,4.404255270958E0,-2.E1)); +#498=LINE('',#497,#496); +#499=DIRECTION('',(-7.938781110087E-1,-6.080769234738E-1,0.E0)); +#500=VECTOR('',#499,2.099398189962E-1); +#501=CARTESIAN_POINT('',(1.666666662693E1,4.276595711709E0,-2.E1)); +#502=LINE('',#501,#500); +#503=DIRECTION('',(-9.689177247618E-1,-2.473831898946E-1,0.E0)); +#504=VECTOR('',#503,1.720132497069E-1); +#505=CARTESIAN_POINT('',(1.65E1,4.148936152459E0,-2.E1)); +#506=LINE('',#505,#504); +#507=DIRECTION('',(-1.E0,0.E0,0.E0)); +#508=VECTOR('',#507,1.666666269302E-1); +#509=CARTESIAN_POINT('',(1.633333331347E1,4.106382966042E0,-2.E1)); +#510=LINE('',#509,#508); +#511=DIRECTION('',(-9.689177247618E-1,2.473831898946E-1,0.E0)); +#512=VECTOR('',#511,1.720132497069E-1); +#513=CARTESIAN_POINT('',(1.616666668653E1,4.106382966042E0,-2.E1)); +#514=LINE('',#513,#512); +#515=DIRECTION('',(-7.938781634981E-1,6.080768549458E-1,0.E0)); +#516=VECTOR('',#515,2.099398426557E-1); +#517=CARTESIAN_POINT('',(1.6E1,4.148936152459E0,-2.E1)); +#518=LINE('',#517,#516); +#519=DIRECTION('',(-5.466225923193E-1,8.373790907147E-1,0.E0)); +#520=VECTOR('',#519,1.524513337692E-1); +#521=CARTESIAN_POINT('',(1.583333334327E1,4.276595711709E0,-2.E1)); +#522=LINE('',#521,#520); +#523=DIRECTION('',(0.E0,1.E0,0.E0)); +#524=VECTOR('',#523,8.510637283325E-2); +#525=CARTESIAN_POINT('',(1.575E1,4.404255270958E0,-2.E1)); +#526=LINE('',#525,#524); +#527=DIRECTION('',(5.466222343973E-1,8.373793243581E-1,0.E0)); +#528=VECTOR('',#527,1.524514335926E-1); +#529=CARTESIAN_POINT('',(1.575E1,4.489361643792E0,-2.E1)); +#530=LINE('',#529,#528); +#531=DIRECTION('',(7.938781634981E-1,6.080768549458E-1,0.E0)); +#532=VECTOR('',#531,2.099398426557E-1); +#533=CARTESIAN_POINT('',(1.583333334327E1,4.617021322251E0,-2.E1)); +#534=LINE('',#533,#532); +#535=DIRECTION('',(9.689177247618E-1,2.473831898946E-1,0.E0)); +#536=VECTOR('',#535,1.720132497069E-1); +#537=CARTESIAN_POINT('',(1.6E1,4.744680881501E0,-2.E1)); +#538=LINE('',#537,#536); +#539=DIRECTION('',(1.E0,0.E0,0.E0)); +#540=VECTOR('',#539,1.666666269302E-1); +#541=CARTESIAN_POINT('',(1.616666668653E1,4.787234067917E0,-2.E1)); +#542=LINE('',#541,#540); +#543=DIRECTION('',(0.E0,1.E0,0.E0)); +#544=VECTOR('',#543,2.E0); +#545=CARTESIAN_POINT('',(-1.5E1,3.000000000001E0,-2.E1)); +#546=LINE('',#545,#544); +#547=DIRECTION('',(-1.E0,0.E0,0.E0)); +#548=VECTOR('',#547,2.5E-1); +#549=CARTESIAN_POINT('',(-1.5E1,5.000000000001E0,-2.E1)); +#550=LINE('',#549,#548); +#551=DIRECTION('',(-6.428712937139E-1,-7.659742160926E-1,0.E0)); +#552=VECTOR('',#551,3.888803286825E-1); +#553=CARTESIAN_POINT('',(-1.525E1,5.000000000001E0,-2.E1)); +#554=LINE('',#553,#552); +#555=DIRECTION('',(0.E0,-1.E0,0.E0)); +#556=VECTOR('',#555,3.404256105423E-1); +#557=CARTESIAN_POINT('',(-1.55E1,4.702127695084E0,-2.E1)); +#558=LINE('',#557,#556); +#559=DIRECTION('',(6.428711427642E-1,7.659743427825E-1,0.E0)); +#560=VECTOR('',#559,3.888804199937E-1); +#561=CARTESIAN_POINT('',(-1.55E1,4.361702084542E0,-2.E1)); +#562=LINE('',#561,#560); +#563=DIRECTION('',(0.E0,-1.E0,0.E0)); +#564=VECTOR('',#563,1.659574508667E0); +#565=CARTESIAN_POINT('',(-1.525E1,4.659574508668E0,-2.E1)); +#566=LINE('',#565,#564); +#567=DIRECTION('',(1.E0,0.E0,0.E0)); +#568=VECTOR('',#567,2.5E-1); +#569=CARTESIAN_POINT('',(-1.525E1,3.000000000001E0,-2.E1)); +#570=LINE('',#569,#568); +#571=DIRECTION('',(3.102799827934E-1,-9.506452189317E-1,0.E0)); +#572=VECTOR('',#571,1.342873098291E-1); +#573=CARTESIAN_POINT('',(-1.775666666418E1,-5.319148898125E-1,-2.E1)); +#574=LINE('',#573,#572); +#575=DIRECTION('',(5.919095150862E-1,-8.060044205527E-1,0.E0)); +#576=VECTOR('',#575,2.111809268378E-1); +#577=CARTESIAN_POINT('',(-1.7715E1,-6.595744788647E-1,-2.E1)); +#578=LINE('',#577,#576); +#579=DIRECTION('',(8.526534133324E-1,-5.224769437331E-1,0.E0)); +#580=VECTOR('',#579,2.443353184422E-1); +#581=CARTESIAN_POINT('',(-1.759E1,-8.297872394323E-1,-2.E1)); +#582=LINE('',#581,#580); +#583=DIRECTION('',(9.797707077141E-1,-2.001233627174E-1,0.E0)); +#584=VECTOR('',#583,2.126347946791E-1); +#585=CARTESIAN_POINT('',(-1.738166665673E1,-9.574468098581E-1,-2.E1)); +#586=LINE('',#585,#584); +#587=DIRECTION('',(1.E0,0.E0,0.E0)); +#588=VECTOR('',#587,1.666666269302E-1); +#589=CARTESIAN_POINT('',(-1.717333331347E1,-1.E0,-2.E1)); +#590=LINE('',#589,#588); +#591=DIRECTION('',(9.797707021009E-1,2.001233901988E-1,0.E0)); +#592=VECTOR('',#591,2.126347654797E-1); +#593=CARTESIAN_POINT('',(-1.700666668653E1,-1.E0,-2.E1)); +#594=LINE('',#593,#592); +#595=DIRECTION('',(8.526534466288E-1,5.224768893951E-1,0.E0)); +#596=VECTOR('',#595,2.443353438533E-1); +#597=CARTESIAN_POINT('',(-1.679833337307E1,-9.574468098581E-1,-2.E1)); +#598=LINE('',#597,#596); +#599=DIRECTION('',(5.919095150862E-1,8.060044205527E-1,0.E0)); +#600=VECTOR('',#599,2.111809268378E-1); +#601=CARTESIAN_POINT('',(-1.659E1,-8.297872394323E-1,-2.E1)); +#602=LINE('',#601,#600); +#603=DIRECTION('',(3.646920423907E-1,9.311281942981E-1,0.E0)); +#604=VECTOR('',#603,2.285034039226E-1); +#605=CARTESIAN_POINT('',(-1.6465E1,-6.595744788647E-1,-2.E1)); +#606=LINE('',#605,#604); +#607=DIRECTION('',(1.385320696791E-1,9.903579482543E-1,0.E0)); +#608=VECTOR('',#607,3.007724278339E-1); +#609=CARTESIAN_POINT('',(-1.638166662693E1,-4.468085169792E-1,-2.E1)); +#610=LINE('',#609,#608); +#611=DIRECTION('',(0.E0,1.E0,0.E0)); +#612=VECTOR('',#611,2.978723049164E-1); +#613=CARTESIAN_POINT('',(-1.634E1,-1.489361524582E-1,-2.E1)); +#614=LINE('',#613,#612); +#615=DIRECTION('',(-1.385320968676E-1,9.903579444511E-1,0.E0)); +#616=VECTOR('',#615,3.007723688040E-1); +#617=CARTESIAN_POINT('',(-1.634E1,1.489361524582E-1,-2.E1)); +#618=LINE('',#617,#616); +#619=DIRECTION('',(-3.646919095245E-1,9.311282463374E-1,0.E0)); +#620=VECTOR('',#619,2.285034871720E-1); +#621=CARTESIAN_POINT('',(-1.638166662693E1,4.468084573746E-1,-2.E1)); +#622=LINE('',#621,#620); +#623=DIRECTION('',(-5.919095487496E-1,8.060043958311E-1,0.E0)); +#624=VECTOR('',#623,2.111809148274E-1); +#625=CARTESIAN_POINT('',(-1.6465E1,6.595745086670E-1,-2.E1)); +#626=LINE('',#625,#624); +#627=DIRECTION('',(-8.526534670056E-1,5.224768561414E-1,0.E0)); +#628=VECTOR('',#627,2.443353380142E-1); +#629=CARTESIAN_POINT('',(-1.659E1,8.297872543335E-1,-2.E1)); +#630=LINE('',#629,#628); +#631=DIRECTION('',(-9.797707055361E-1,2.001233733807E-1,0.E0)); +#632=VECTOR('',#631,2.126347647342E-1); +#633=CARTESIAN_POINT('',(-1.679833337307E1,9.574468135834E-1,-2.E1)); +#634=LINE('',#633,#632); +#635=DIRECTION('',(-1.E0,0.E0,0.E0)); +#636=VECTOR('',#635,2.083333134651E-1); +#637=CARTESIAN_POINT('',(-1.700666668653E1,1.E0,-2.E1)); +#638=LINE('',#637,#636); +#639=DIRECTION('',(-9.797707111493E-1,-2.001233458994E-1,0.E0)); +#640=VECTOR('',#639,2.126347939336E-1); +#641=CARTESIAN_POINT('',(-1.7215E1,1.E0,-2.E1)); +#642=LINE('',#641,#640); +#643=DIRECTION('',(-8.526534170609E-1,-5.224769376483E-1,0.E0)); +#644=VECTOR('',#643,2.443352998976E-1); +#645=CARTESIAN_POINT('',(-1.742333334327E1,9.574468135834E-1,-2.E1)); +#646=LINE('',#645,#644); +#647=DIRECTION('',(-5.919095258298E-1,-8.060044126628E-1,0.E0)); +#648=VECTOR('',#647,2.111809104173E-1); +#649=CARTESIAN_POINT('',(-1.763166667163E1,8.297872543335E-1,-2.E1)); +#650=LINE('',#649,#648); +#651=DIRECTION('',(-3.646917681776E-1,-9.311283016983E-1,0.E0)); +#652=VECTOR('',#651,2.285034735861E-1); +#653=CARTESIAN_POINT('',(-1.775666666418E1,6.595745086670E-1,-2.E1)); +#654=LINE('',#653,#652); +#655=DIRECTION('',(0.E0,-1.E0,0.E0)); +#656=VECTOR('',#655,1.702127456665E-1); +#657=CARTESIAN_POINT('',(-1.784E1,4.468084573746E-1,-2.E1)); +#658=LINE('',#657,#656); +#659=DIRECTION('',(3.646919453324E-1,-9.311282323126E-1,0.E0)); +#660=VECTOR('',#659,2.285033625870E-1); +#661=CARTESIAN_POINT('',(-1.784E1,2.765957117081E-1,-2.E1)); +#662=LINE('',#661,#660); +#663=DIRECTION('',(5.919095258298E-1,-8.060044126628E-1,0.E0)); +#664=VECTOR('',#663,2.111809104173E-1); +#665=CARTESIAN_POINT('',(-1.775666666418E1,6.382977962494E-2,-2.E1)); +#666=LINE('',#665,#664); +#667=DIRECTION('',(8.526534170609E-1,-5.224769376483E-1,0.E0)); +#668=VECTOR('',#667,2.443352998976E-1); +#669=CARTESIAN_POINT('',(-1.763166667163E1,-1.063829660416E-1,-2.E1)); +#670=LINE('',#669,#668); +#671=DIRECTION('',(9.797706561866E-1,-2.001236149876E-1,0.E0)); +#672=VECTOR('',#671,2.126348058619E-1); +#673=CARTESIAN_POINT('',(-1.742333334327E1,-2.340425252914E-1,-2.E1)); +#674=LINE('',#673,#672); +#675=DIRECTION('',(1.E0,0.E0,0.E0)); +#676=VECTOR('',#675,2.083333134651E-1); +#677=CARTESIAN_POINT('',(-1.7215E1,-2.765957713127E-1,-2.E1)); +#678=LINE('',#677,#676); +#679=DIRECTION('',(9.797706505734E-1,2.001236424690E-1,0.E0)); +#680=VECTOR('',#679,2.126347766625E-1); +#681=CARTESIAN_POINT('',(-1.700666668653E1,-2.765957713127E-1,-2.E1)); +#682=LINE('',#681,#680); +#683=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#684=VECTOR('',#683,3.024440093428E-1); +#685=CARTESIAN_POINT('',(-1.679833337307E1,-2.340425252914E-1,-2.E1)); +#686=LINE('',#685,#684); +#687=DIRECTION('',(0.E0,-1.E0,0.E0)); +#688=VECTOR('',#687,8.510637283325E-2); +#689=CARTESIAN_POINT('',(-1.654833337307E1,-6.382977962494E-2,-2.E1)); +#690=LINE('',#689,#688); +#691=DIRECTION('',(-1.610636102655E-1,-9.869440275154E-1,0.E0)); +#692=VECTOR('',#691,2.586967153012E-1); +#693=CARTESIAN_POINT('',(-1.654833337307E1,-1.489361524582E-1,-2.E1)); +#694=LINE('',#693,#692); +#695=DIRECTION('',(-3.102797975506E-1,-9.506452793929E-1,0.E0)); +#696=VECTOR('',#695,1.342872699388E-1); +#697=CARTESIAN_POINT('',(-1.659E1,-4.042553305626E-1,-2.E1)); +#698=LINE('',#697,#696); +#699=DIRECTION('',(-5.466226399153E-1,-8.373790596451E-1,0.E0)); +#700=VECTOR('',#699,1.524513750156E-1); +#701=CARTESIAN_POINT('',(-1.663166662693E1,-5.319148898125E-1,-2.E1)); +#702=LINE('',#701,#700); +#703=DIRECTION('',(-8.265992787996E-1,-5.627909312417E-1,0.E0)); +#704=VECTOR('',#703,1.512220046714E-1); +#705=CARTESIAN_POINT('',(-1.6715E1,-6.595744788647E-1,-2.E1)); +#706=LINE('',#705,#704); +#707=DIRECTION('',(-9.466499177700E-1,-3.222637633772E-1,0.E0)); +#708=VECTOR('',#707,1.320445897196E-1); +#709=CARTESIAN_POINT('',(-1.684E1,-7.446808516979E-1,-2.E1)); +#710=LINE('',#709,#708); +#711=DIRECTION('',(-1.E0,0.E0,0.E0)); +#712=VECTOR('',#711,2.5E-1); +#713=CARTESIAN_POINT('',(-1.6965E1,-7.872340381145E-1,-2.E1)); +#714=LINE('',#713,#712); +#715=DIRECTION('',(-9.466499177700E-1,3.222637633772E-1,0.E0)); +#716=VECTOR('',#715,1.320445897196E-1); +#717=CARTESIAN_POINT('',(-1.7215E1,-7.872340381145E-1,-2.E1)); +#718=LINE('',#717,#716); +#719=DIRECTION('',(-8.265992787996E-1,5.627909312418E-1,0.E0)); +#720=VECTOR('',#719,1.512220046714E-1); +#721=CARTESIAN_POINT('',(-1.734E1,-7.446808516979E-1,-2.E1)); +#722=LINE('',#721,#720); +#723=DIRECTION('',(-5.466225028388E-1,8.373791491256E-1,0.E0)); +#724=VECTOR('',#723,1.524513587250E-1); +#725=CARTESIAN_POINT('',(-1.7465E1,-6.595744788647E-1,-2.E1)); +#726=LINE('',#725,#724); +#727=DIRECTION('',(-1.E0,0.E0,0.E0)); +#728=VECTOR('',#727,2.083333209157E-1); +#729=CARTESIAN_POINT('',(-1.754833334327E1,-5.319148898125E-1,-2.E1)); +#730=LINE('',#729,#728); +#731=DIRECTION('',(0.E0,1.E0,0.E0)); +#732=VECTOR('',#731,2.E0); +#733=CARTESIAN_POINT('',(-1.75E1,-5.000000000001E0,-2.E1)); +#734=LINE('',#733,#732); +#735=DIRECTION('',(-1.E0,0.E0,0.E0)); +#736=VECTOR('',#735,2.5E-1); +#737=CARTESIAN_POINT('',(-1.75E1,-3.000000000001E0,-2.E1)); +#738=LINE('',#737,#736); +#739=DIRECTION('',(-6.428712937139E-1,-7.659742160926E-1,0.E0)); +#740=VECTOR('',#739,3.888803286825E-1); +#741=CARTESIAN_POINT('',(-1.775E1,-3.000000000001E0,-2.E1)); +#742=LINE('',#741,#740); +#743=DIRECTION('',(0.E0,-1.E0,0.E0)); +#744=VECTOR('',#743,3.404256105423E-1); +#745=CARTESIAN_POINT('',(-1.8E1,-3.297872304917E0,-2.E1)); +#746=LINE('',#745,#744); +#747=DIRECTION('',(6.428711427642E-1,7.659743427825E-1,0.E0)); +#748=VECTOR('',#747,3.888804199937E-1); +#749=CARTESIAN_POINT('',(-1.8E1,-3.638297915459E0,-2.E1)); +#750=LINE('',#749,#748); +#751=DIRECTION('',(0.E0,-1.E0,0.E0)); +#752=VECTOR('',#751,1.659574508667E0); +#753=CARTESIAN_POINT('',(-1.775E1,-3.340425491334E0,-2.E1)); +#754=LINE('',#753,#752); +#755=DIRECTION('',(1.E0,0.E0,0.E0)); +#756=VECTOR('',#755,2.5E-1); +#757=CARTESIAN_POINT('',(-1.775E1,-5.000000000001E0,-2.E1)); +#758=LINE('',#757,#756); +#759=DIRECTION('',(-6.996248351869E-1,7.145103848018E-1,0.E0)); +#760=VECTOR('',#759,1.786671852016E-1); +#761=CARTESIAN_POINT('',(-1.575E1,-4.021276593209E0,-2.E1)); +#762=LINE('',#761,#760); +#763=DIRECTION('',(-8.526534670056E-1,5.224768561414E-1,0.E0)); +#764=VECTOR('',#763,2.443353380142E-1); +#765=CARTESIAN_POINT('',(-1.5875E1,-3.893617033959E0,-2.E1)); +#766=LINE('',#765,#764); +#767=DIRECTION('',(-9.797706943097E-1,2.001234283435E-1,0.E0)); +#768=VECTOR('',#767,2.126347063353E-1); +#769=CARTESIAN_POINT('',(-1.608333337307E1,-3.765957474709E0,-2.E1)); +#770=LINE('',#769,#768); +#771=DIRECTION('',(-1.E0,0.E0,0.E0)); +#772=VECTOR('',#771,2.083333730698E-1); +#773=CARTESIAN_POINT('',(-1.629166662693E1,-3.723404288292E0,-2.E1)); +#774=LINE('',#773,#772); +#775=DIRECTION('',(-9.797707167625E-1,-2.001233184181E-1,0.E0)); +#776=VECTOR('',#775,2.126348231331E-1); +#777=CARTESIAN_POINT('',(-1.65E1,-3.723404288292E0,-2.E1)); +#778=LINE('',#777,#776); +#779=DIRECTION('',(-8.265992787996E-1,-5.627909312417E-1,0.E0)); +#780=VECTOR('',#779,3.024440093428E-1); +#781=CARTESIAN_POINT('',(-1.670833337307E1,-3.765957474709E0,-2.E1)); +#782=LINE('',#781,#780); +#783=DIRECTION('',(0.E0,1.E0,0.E0)); +#784=VECTOR('',#783,8.510637283325E-2); +#785=CARTESIAN_POINT('',(-1.695833337307E1,-3.936170220376E0,-2.E1)); +#786=LINE('',#785,#784); +#787=DIRECTION('',(1.610640957438E-1,9.869439482880E-1,0.E0)); +#788=VECTOR('',#787,2.586966756751E-1); +#789=CARTESIAN_POINT('',(-1.695833337307E1,-3.851063847542E0,-2.E1)); +#790=LINE('',#789,#788); +#791=DIRECTION('',(3.102795357040E-1,9.506453648566E-1,0.E0)); +#792=VECTOR('',#791,1.342873832646E-1); +#793=CARTESIAN_POINT('',(-1.691666662693E1,-3.595744729043E0,-2.E1)); +#794=LINE('',#793,#792); +#795=DIRECTION('',(5.466227293958E-1,8.373790012342E-1,0.E0)); +#796=VECTOR('',#795,1.524513500598E-1); +#797=CARTESIAN_POINT('',(-1.6875E1,-3.468085050583E0,-2.E1)); +#798=LINE('',#797,#796); +#799=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#800=VECTOR('',#799,1.512220046714E-1); +#801=CARTESIAN_POINT('',(-1.679166662693E1,-3.340425491334E0,-2.E1)); +#802=LINE('',#801,#800); +#803=DIRECTION('',(9.466499177700E-1,3.222637633772E-1,0.E0)); +#804=VECTOR('',#803,1.320445897196E-1); +#805=CARTESIAN_POINT('',(-1.666666662693E1,-3.255319118500E0,-2.E1)); +#806=LINE('',#805,#804); +#807=DIRECTION('',(1.E0,0.E0,0.E0)); +#808=VECTOR('',#807,2.5E-1); +#809=CARTESIAN_POINT('',(-1.654166662693E1,-3.212765932084E0,-2.E1)); +#810=LINE('',#809,#808); +#811=DIRECTION('',(9.466499177700E-1,-3.222637633772E-1,0.E0)); +#812=VECTOR('',#811,1.320445897196E-1); +#813=CARTESIAN_POINT('',(-1.629166662693E1,-3.212765932084E0,-2.E1)); +#814=LINE('',#813,#812); +#815=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#816=VECTOR('',#815,1.512220046714E-1); +#817=CARTESIAN_POINT('',(-1.616666662693E1,-3.255319118500E0,-2.E1)); +#818=LINE('',#817,#816); +#819=DIRECTION('',(5.466227293958E-1,-8.373790012342E-1,0.E0)); +#820=VECTOR('',#819,1.524513500598E-1); +#821=CARTESIAN_POINT('',(-1.604166662693E1,-3.340425491334E0,-2.E1)); +#822=LINE('',#821,#820); +#823=DIRECTION('',(1.E0,0.E0,0.E0)); +#824=VECTOR('',#823,2.083332538605E-1); +#825=CARTESIAN_POINT('',(-1.595833325386E1,-3.468085050583E0,-2.E1)); +#826=LINE('',#825,#824); +#827=DIRECTION('',(-3.102805998049E-1,9.506450175458E-1,0.E0)); +#828=VECTOR('',#827,1.342873069271E-1); +#829=CARTESIAN_POINT('',(-1.575E1,-3.468085050583E0,-2.E1)); +#830=LINE('',#829,#828); +#831=DIRECTION('',(-5.919095487496E-1,8.060043958311E-1,0.E0)); +#832=VECTOR('',#831,2.111809148274E-1); +#833=CARTESIAN_POINT('',(-1.579166674614E1,-3.340425491334E0,-2.E1)); +#834=LINE('',#833,#832); +#835=DIRECTION('',(-8.526533338197E-1,5.224770734933E-1,0.E0)); +#836=VECTOR('',#835,2.443352363700E-1); +#837=CARTESIAN_POINT('',(-1.591666674614E1,-3.170212745667E0,-2.E1)); +#838=LINE('',#837,#836); +#839=DIRECTION('',(-9.797707167625E-1,2.001233184181E-1,0.E0)); +#840=VECTOR('',#839,2.126348231331E-1); +#841=CARTESIAN_POINT('',(-1.6125E1,-3.042553186417E0,-2.E1)); +#842=LINE('',#841,#840); +#843=DIRECTION('',(-1.E0,0.E0,0.E0)); +#844=VECTOR('',#843,1.666666269302E-1); +#845=CARTESIAN_POINT('',(-1.633333337307E1,-3.000000000001E0,-2.E1)); +#846=LINE('',#845,#844); +#847=DIRECTION('',(-9.797707167625E-1,-2.001233184181E-1,0.E0)); +#848=VECTOR('',#847,2.126348231331E-1); +#849=CARTESIAN_POINT('',(-1.65E1,-3.000000000001E0,-2.E1)); +#850=LINE('',#849,#848); +#851=DIRECTION('',(-8.526533338197E-1,-5.224770734933E-1,0.E0)); +#852=VECTOR('',#851,2.443352363700E-1); +#853=CARTESIAN_POINT('',(-1.670833337307E1,-3.042553186417E0,-2.E1)); +#854=LINE('',#853,#852); +#855=DIRECTION('',(-5.919097321077E-1,-8.060042611774E-1,0.E0)); +#856=VECTOR('',#855,2.111809501079E-1); +#857=CARTESIAN_POINT('',(-1.691666662693E1,-3.170212745667E0,-2.E1)); +#858=LINE('',#857,#856); +#859=DIRECTION('',(-3.646916833695E-1,-9.311283349148E-1,0.E0)); +#860=VECTOR('',#859,2.285034654346E-1); +#861=CARTESIAN_POINT('',(-1.704166668653E1,-3.340425491334E0,-2.E1)); +#862=LINE('',#861,#860); +#863=DIRECTION('',(-1.385322912364E-1,-9.903579172626E-1,0.E0)); +#864=VECTOR('',#863,3.007723770611E-1); +#865=CARTESIAN_POINT('',(-1.7125E1,-3.553191542626E0,-2.E1)); +#866=LINE('',#865,#864); +#867=DIRECTION('',(0.E0,-1.E0,0.E0)); +#868=VECTOR('',#867,2.978723049164E-1); +#869=CARTESIAN_POINT('',(-1.716666668653E1,-3.851063847542E0,-2.E1)); +#870=LINE('',#869,#868); +#871=DIRECTION('',(1.385322640479E-1,-9.903579210658E-1,0.E0)); +#872=VECTOR('',#871,3.007724360910E-1); +#873=CARTESIAN_POINT('',(-1.716666668653E1,-4.148936152459E0,-2.E1)); +#874=LINE('',#873,#872); +#875=DIRECTION('',(3.646918162355E-1,-9.311282828756E-1,0.E0)); +#876=VECTOR('',#875,2.285033821853E-1); +#877=CARTESIAN_POINT('',(-1.7125E1,-4.446808516980E0,-2.E1)); +#878=LINE('',#877,#876); +#879=DIRECTION('',(5.919096984443E-1,-8.060042858990E-1,0.E0)); +#880=VECTOR('',#879,2.111809621183E-1); +#881=CARTESIAN_POINT('',(-1.704166668653E1,-4.659574478865E0,-2.E1)); +#882=LINE('',#881,#880); +#883=DIRECTION('',(8.526533134429E-1,-5.224771067470E-1,0.E0)); +#884=VECTOR('',#883,2.443352422091E-1); +#885=CARTESIAN_POINT('',(-1.691666662693E1,-4.829787239433E0,-2.E1)); +#886=LINE('',#885,#884); +#887=DIRECTION('',(9.797707133274E-1,-2.001233352361E-1,0.E0)); +#888=VECTOR('',#887,2.126348238786E-1); +#889=CARTESIAN_POINT('',(-1.670833337307E1,-4.957446809859E0,-2.E1)); +#890=LINE('',#889,#888); +#891=DIRECTION('',(1.E0,0.E0,0.E0)); +#892=VECTOR('',#891,2.083333730698E-1); +#893=CARTESIAN_POINT('',(-1.65E1,-5.000000000001E0,-2.E1)); +#894=LINE('',#893,#892); +#895=DIRECTION('',(9.797706908745E-1,2.001234451615E-1,0.E0)); +#896=VECTOR('',#895,2.126347070808E-1); +#897=CARTESIAN_POINT('',(-1.629166662693E1,-5.000000000001E0,-2.E1)); +#898=LINE('',#897,#896); +#899=DIRECTION('',(8.526534466288E-1,5.224768893951E-1,0.E0)); +#900=VECTOR('',#899,2.443353438533E-1); +#901=CARTESIAN_POINT('',(-1.608333337307E1,-4.957446809859E0,-2.E1)); +#902=LINE('',#901,#900); +#903=DIRECTION('',(5.919095150862E-1,8.060044205527E-1,0.E0)); +#904=VECTOR('',#903,2.111809268378E-1); +#905=CARTESIAN_POINT('',(-1.5875E1,-4.829787239433E0,-2.E1)); +#906=LINE('',#905,#904); +#907=DIRECTION('',(3.646915900803E-1,9.311283714530E-1,0.E0)); +#908=VECTOR('',#907,2.285033604480E-1); +#909=CARTESIAN_POINT('',(-1.575E1,-4.659574478865E0,-2.E1)); +#910=LINE('',#909,#908); +#911=DIRECTION('',(0.E0,1.E0,0.E0)); +#912=VECTOR('',#911,2.127659916878E-1); +#913=CARTESIAN_POINT('',(-1.566666674614E1,-4.446808516980E0,-2.E1)); +#914=LINE('',#913,#912); +#915=DIRECTION('',(-3.646916343690E-1,9.311283541066E-1,0.E0)); +#916=VECTOR('',#915,2.285033326982E-1); +#917=CARTESIAN_POINT('',(-1.566666674614E1,-4.234042525292E0,-2.E1)); +#918=LINE('',#917,#916); +#919=DIRECTION('',(-8.906053088238E-1,-4.547770705465E-1,0.E0)); +#920=VECTOR('',#919,9.356933137699E-2); +#921=CARTESIAN_POINT('',(1.583333334327E1,4.021276593209E0,-2.E1)); +#922=LINE('',#921,#920); +#923=DIRECTION('',(-7.938781766205E-1,-6.080768378139E-1,0.E0)); +#924=VECTOR('',#923,2.099398485705E-1); +#925=CARTESIAN_POINT('',(1.575E1,3.978723406792E0,-2.E1)); +#926=LINE('',#925,#924); +#927=DIRECTION('',(-3.646918567550E-1,-9.311282670055E-1,0.E0)); +#928=VECTOR('',#927,2.285034180866E-1); +#929=CARTESIAN_POINT('',(1.558333333582E1,3.851063847542E0,-2.E1)); +#930=LINE('',#929,#928); +#931=DIRECTION('',(0.E0,-1.E0,0.E0)); +#932=VECTOR('',#931,1.276595592499E-1); +#933=CARTESIAN_POINT('',(1.55E1,3.638297855855E0,-2.E1)); +#934=LINE('',#933,#932); +#935=DIRECTION('',(3.646919010437E-1,-9.311282496590E-1,0.E0)); +#936=VECTOR('',#935,2.285033903368E-1); +#937=CARTESIAN_POINT('',(1.55E1,3.510638296605E0,-2.E1)); +#938=LINE('',#937,#936); +#939=DIRECTION('',(6.996247722059E-1,-7.145104464707E-1,0.E0)); +#940=VECTOR('',#939,1.786671906361E-1); +#941=CARTESIAN_POINT('',(1.558333333582E1,3.297872334719E0,-2.E1)); +#942=LINE('',#941,#940); +#943=DIRECTION('',(8.526533966842E-1,-5.224769709020E-1,0.E0)); +#944=VECTOR('',#943,2.443353057367E-1); +#945=CARTESIAN_POINT('',(1.570833332837E1,3.170212760568E0,-2.E1)); +#946=LINE('',#945,#944); +#947=DIRECTION('',(9.797707077141E-1,-2.001233627174E-1,0.E0)); +#948=VECTOR('',#947,2.126347946791E-1); +#949=CARTESIAN_POINT('',(1.591666665673E1,3.042553190142E0,-2.E1)); +#950=LINE('',#949,#948); +#951=DIRECTION('',(1.E0,0.E0,0.E0)); +#952=VECTOR('',#951,2.5E-1); +#953=CARTESIAN_POINT('',(1.6125E1,3.000000000001E0,-2.E1)); +#954=LINE('',#953,#952); +#955=DIRECTION('',(9.797707133274E-1,2.001233352361E-1,0.E0)); +#956=VECTOR('',#955,2.126348238786E-1); +#957=CARTESIAN_POINT('',(1.6375E1,3.000000000001E0,-2.E1)); +#958=LINE('',#957,#956); +#959=DIRECTION('',(8.526533134429E-1,5.224771067470E-1,0.E0)); +#960=VECTOR('',#959,2.443352422091E-1); +#961=CARTESIAN_POINT('',(1.658333337307E1,3.042553190142E0,-2.E1)); +#962=LINE('',#961,#960); +#963=DIRECTION('',(6.996247934953E-1,7.145104256249E-1,0.E0)); +#964=VECTOR('',#963,1.786671958487E-1); +#965=CARTESIAN_POINT('',(1.679166662693E1,3.170212760568E0,-2.E1)); +#966=LINE('',#965,#964); +#967=DIRECTION('',(3.646920423907E-1,9.311281942981E-1,0.E0)); +#968=VECTOR('',#967,2.285034039226E-1); +#969=CARTESIAN_POINT('',(1.691666662693E1,3.297872334719E0,-2.E1)); +#970=LINE('',#969,#968); +#971=DIRECTION('',(0.E0,1.E0,0.E0)); +#972=VECTOR('',#971,1.276595592499E-1); +#973=CARTESIAN_POINT('',(1.7E1,3.510638296605E0,-2.E1)); +#974=LINE('',#973,#972); +#975=DIRECTION('',(-3.646919981020E-1,9.311282116446E-1,0.E0)); +#976=VECTOR('',#975,2.285034316724E-1); +#977=CARTESIAN_POINT('',(1.7E1,3.638297855855E0,-2.E1)); +#978=LINE('',#977,#976); +#979=DIRECTION('',(-7.938781110087E-1,6.080769234738E-1,0.E0)); +#980=VECTOR('',#979,2.099398189962E-1); +#981=CARTESIAN_POINT('',(1.691666662693E1,3.851063847542E0,-2.E1)); +#982=LINE('',#981,#980); +#983=DIRECTION('',(-8.906053746977E-1,4.547769415434E-1,0.E0)); +#984=VECTOR('',#983,9.356935791910E-2); +#985=CARTESIAN_POINT('',(1.675E1,3.978723406792E0,-2.E1)); +#986=LINE('',#985,#984); +#987=DIRECTION('',(8.906053746977E-1,4.547769415434E-1,0.E0)); +#988=VECTOR('',#987,9.356935791910E-2); +#989=CARTESIAN_POINT('',(1.666666662693E1,4.021276593209E0,-2.E1)); +#990=LINE('',#989,#988); +#991=DIRECTION('',(6.996248351869E-1,7.145103848018E-1,0.E0)); +#992=VECTOR('',#991,1.786671852016E-1); +#993=CARTESIAN_POINT('',(1.675E1,4.063829779625E0,-2.E1)); +#994=LINE('',#993,#992); +#995=DIRECTION('',(4.397136649566E-1,8.981380143666E-1,0.E0)); +#996=VECTOR('',#995,1.895173602985E-1); +#997=CARTESIAN_POINT('',(1.6875E1,4.191489338875E0,-2.E1)); +#998=LINE('',#997,#996); +#999=DIRECTION('',(0.E0,1.E0,0.E0)); +#1000=VECTOR('',#999,1.276595592499E-1); +#1001=CARTESIAN_POINT('',(1.695833337307E1,4.361702084542E0,-2.E1)); +#1002=LINE('',#1001,#1000); +#1003=DIRECTION('',(-3.646919095245E-1,9.311282463374E-1,0.E0)); +#1004=VECTOR('',#1003,2.285034871720E-1); +#1005=CARTESIAN_POINT('',(1.695833337307E1,4.489361643792E0,-2.E1)); +#1006=LINE('',#1005,#1004); +#1007=DIRECTION('',(-6.996248351869E-1,7.145103848018E-1,0.E0)); +#1008=VECTOR('',#1007,1.786671852016E-1); +#1009=CARTESIAN_POINT('',(1.6875E1,4.702127695084E0,-2.E1)); +#1010=LINE('',#1009,#1008); +#1011=DIRECTION('',(-8.526534670056E-1,5.224768561414E-1,0.E0)); +#1012=VECTOR('',#1011,2.443353380142E-1); +#1013=CARTESIAN_POINT('',(1.675E1,4.829787254334E0,-2.E1)); +#1014=LINE('',#1013,#1012); +#1015=DIRECTION('',(-9.797707055361E-1,2.001233733807E-1,0.E0)); +#1016=VECTOR('',#1015,2.126347647342E-1); +#1017=CARTESIAN_POINT('',(1.654166662693E1,4.957446813584E0,-2.E1)); +#1018=LINE('',#1017,#1016); +#1019=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1020=VECTOR('',#1019,1.666666269302E-1); +#1021=CARTESIAN_POINT('',(1.633333331347E1,5.000000000001E0,-2.E1)); +#1022=LINE('',#1021,#1020); +#1023=DIRECTION('',(-9.797707111493E-1,-2.001233458994E-1,0.E0)); +#1024=VECTOR('',#1023,2.126347939336E-1); +#1025=CARTESIAN_POINT('',(1.616666668653E1,5.000000000001E0,-2.E1)); +#1026=LINE('',#1025,#1024); +#1027=DIRECTION('',(-8.526534337091E-1,-5.224769104793E-1,0.E0)); +#1028=VECTOR('',#1027,2.443353126031E-1); +#1029=CARTESIAN_POINT('',(1.595833334327E1,4.957446813584E0,-2.E1)); +#1030=LINE('',#1029,#1028); +#1031=DIRECTION('',(-6.996248351869E-1,-7.145103848018E-1,0.E0)); +#1032=VECTOR('',#1031,1.786671852016E-1); +#1033=CARTESIAN_POINT('',(1.575E1,4.829787254334E0,-2.E1)); +#1034=LINE('',#1033,#1032); +#1035=DIRECTION('',(-3.646917540429E-1,-9.311283072343E-1,0.E0)); +#1036=VECTOR('',#1035,2.285034722276E-1); +#1037=CARTESIAN_POINT('',(1.5625E1,4.702127695084E0,-2.E1)); +#1038=LINE('',#1037,#1036); +#1039=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1040=VECTOR('',#1039,1.276595592499E-1); +#1041=CARTESIAN_POINT('',(1.554166666791E1,4.489361643792E0,-2.E1)); +#1042=LINE('',#1041,#1040); +#1043=DIRECTION('',(4.397134905391E-1,-8.981380997585E-1,0.E0)); +#1044=VECTOR('',#1043,1.895173422798E-1); +#1045=CARTESIAN_POINT('',(1.554166666791E1,4.361702084542E0,-2.E1)); +#1046=LINE('',#1045,#1044); +#1047=DIRECTION('',(6.996248351869E-1,-7.145103848018E-1,0.E0)); +#1048=VECTOR('',#1047,1.786671852016E-1); +#1049=CARTESIAN_POINT('',(1.5625E1,4.191489338875E0,-2.E1)); +#1050=LINE('',#1049,#1048); +#1051=DIRECTION('',(8.906053088238E-1,-4.547770705465E-1,0.E0)); +#1052=VECTOR('',#1051,9.356933137699E-2); +#1053=CARTESIAN_POINT('',(1.575E1,4.063829779625E0,-2.E1)); +#1054=LINE('',#1053,#1052); +#1055=DIRECTION('',(0.E0,1.E0,0.E0)); +#1056=VECTOR('',#1055,2.E0); +#1057=CARTESIAN_POINT('',(1.545E1,-1.E0,-2.E1)); +#1058=LINE('',#1057,#1056); +#1059=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1060=VECTOR('',#1059,2.5E-1); +#1061=CARTESIAN_POINT('',(1.545E1,1.E0,-2.E1)); +#1062=LINE('',#1061,#1060); +#1063=DIRECTION('',(-6.428712937139E-1,-7.659742160926E-1,0.E0)); +#1064=VECTOR('',#1063,3.888803286825E-1); +#1065=CARTESIAN_POINT('',(1.52E1,1.E0,-2.E1)); +#1066=LINE('',#1065,#1064); +#1067=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1068=VECTOR('',#1067,3.404256105423E-1); +#1069=CARTESIAN_POINT('',(1.495E1,7.021276950836E-1,-2.E1)); +#1070=LINE('',#1069,#1068); +#1071=DIRECTION('',(6.428711427642E-1,7.659743427825E-1,0.E0)); +#1072=VECTOR('',#1071,3.888804199937E-1); +#1073=CARTESIAN_POINT('',(1.495E1,3.617020845413E-1,-2.E1)); +#1074=LINE('',#1073,#1072); +#1075=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1076=VECTOR('',#1075,1.659574508667E0); +#1077=CARTESIAN_POINT('',(1.52E1,6.595745086670E-1,-2.E1)); +#1078=LINE('',#1077,#1076); +#1079=DIRECTION('',(1.E0,0.E0,0.E0)); +#1080=VECTOR('',#1079,2.5E-1); +#1081=CARTESIAN_POINT('',(1.52E1,-1.E0,-2.E1)); +#1082=LINE('',#1081,#1080); +#1083=DIRECTION('',(7.938781110087E-1,6.080769234738E-1,0.E0)); +#1084=VECTOR('',#1083,2.099398189962E-1); +#1085=CARTESIAN_POINT('',(1.6075E1,-1.063829660416E-1,-2.E1)); +#1086=LINE('',#1085,#1084); +#1087=DIRECTION('',(9.689177459678E-1,2.473831068378E-1,0.E0)); +#1088=VECTOR('',#1087,1.720133074589E-1); +#1089=CARTESIAN_POINT('',(1.624166662693E1,2.127659320831E-2,-2.E1)); +#1090=LINE('',#1089,#1088); +#1091=DIRECTION('',(1.E0,0.E0,0.E0)); +#1092=VECTOR('',#1091,2.5E-1); +#1093=CARTESIAN_POINT('',(1.640833337307E1,6.382977962494E-2,-2.E1)); +#1094=LINE('',#1093,#1092); +#1095=DIRECTION('',(9.689177035558E-1,-2.473832729514E-1,0.E0)); +#1096=VECTOR('',#1095,1.720131919549E-1); +#1097=CARTESIAN_POINT('',(1.665833337307E1,6.382977962494E-2,-2.E1)); +#1098=LINE('',#1097,#1096); +#1099=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#1100=VECTOR('',#1099,1.512220046714E-1); +#1101=CARTESIAN_POINT('',(1.6825E1,2.127659320831E-2,-2.E1)); +#1102=LINE('',#1101,#1100); +#1103=DIRECTION('',(5.466221810895E-1,-8.373793591563E-1,0.E0)); +#1104=VECTOR('',#1103,1.524512848973E-1); +#1105=CARTESIAN_POINT('',(1.695E1,-6.382977962494E-2,-2.E1)); +#1106=LINE('',#1105,#1104); +#1107=DIRECTION('',(3.102804688813E-1,-9.506450602779E-1,0.E0)); +#1108=VECTOR('',#1107,1.342873635899E-1); +#1109=CARTESIAN_POINT('',(1.703333325386E1,-1.914893388748E-1,-2.E1)); +#1110=LINE('',#1109,#1108); +#1111=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1112=VECTOR('',#1111,8.510637283325E-2); +#1113=CARTESIAN_POINT('',(1.7075E1,-3.191489577293E-1,-2.E1)); +#1114=LINE('',#1113,#1112); +#1115=DIRECTION('',(-3.102805998049E-1,-9.506450175458E-1,0.E0)); +#1116=VECTOR('',#1115,1.342873069271E-1); +#1117=CARTESIAN_POINT('',(1.7075E1,-4.042553305626E-1,-2.E1)); +#1118=LINE('',#1117,#1116); +#1119=DIRECTION('',(-5.466220916090E-1,-8.373794175671E-1,0.E0)); +#1120=VECTOR('',#1119,1.524513098532E-1); +#1121=CARTESIAN_POINT('',(1.703333325386E1,-5.319148898125E-1,-2.E1)); +#1122=LINE('',#1121,#1120); +#1123=DIRECTION('',(-8.265992787996E-1,-5.627909312417E-1,0.E0)); +#1124=VECTOR('',#1123,1.512220046714E-1); +#1125=CARTESIAN_POINT('',(1.695E1,-6.595744788647E-1,-2.E1)); +#1126=LINE('',#1125,#1124); +#1127=DIRECTION('',(-9.689177035558E-1,-2.473832729514E-1,0.E0)); +#1128=VECTOR('',#1127,1.720131919549E-1); +#1129=CARTESIAN_POINT('',(1.6825E1,-7.446808516979E-1,-2.E1)); +#1130=LINE('',#1129,#1128); +#1131=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1132=VECTOR('',#1131,2.5E-1); +#1133=CARTESIAN_POINT('',(1.665833337307E1,-7.872340381145E-1,-2.E1)); +#1134=LINE('',#1133,#1132); +#1135=DIRECTION('',(-9.689177459678E-1,2.473831068378E-1,0.E0)); +#1136=VECTOR('',#1135,1.720133074589E-1); +#1137=CARTESIAN_POINT('',(1.640833337307E1,-7.872340381145E-1,-2.E1)); +#1138=LINE('',#1137,#1136); +#1139=DIRECTION('',(-8.265992787996E-1,5.627909312417E-1,0.E0)); +#1140=VECTOR('',#1139,1.512220046714E-1); +#1141=CARTESIAN_POINT('',(1.624166662693E1,-7.446808516979E-1,-2.E1)); +#1142=LINE('',#1141,#1140); +#1143=DIRECTION('',(-4.397130954570E-1,8.981382931841E-1,0.E0)); +#1144=VECTOR('',#1143,1.895173346472E-1); +#1145=CARTESIAN_POINT('',(1.611666662693E1,-6.595744788647E-1,-2.E1)); +#1146=LINE('',#1145,#1144); +#1147=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1148=VECTOR('',#1147,2.500000596046E-1); +#1149=CARTESIAN_POINT('',(1.603333337307E1,-4.893617033958E-1,-2.E1)); +#1150=LINE('',#1149,#1148); +#1151=DIRECTION('',(4.397136028534E-1,-8.981380447713E-1,0.E0)); +#1152=VECTOR('',#1151,1.895173870651E-1); +#1153=CARTESIAN_POINT('',(1.578333331347E1,-4.893617033958E-1,-2.E1)); +#1154=LINE('',#1153,#1152); +#1155=DIRECTION('',(5.919093317280E-1,-8.060045552063E-1,0.E0)); +#1156=VECTOR('',#1155,2.111808915572E-1); +#1157=CARTESIAN_POINT('',(1.586666668653E1,-6.595744788647E-1,-2.E1)); +#1158=LINE('',#1157,#1156); +#1159=DIRECTION('',(8.526534466289E-1,-5.224768893951E-1,0.E0)); +#1160=VECTOR('',#1159,2.443353438533E-1); +#1161=CARTESIAN_POINT('',(1.599166662693E1,-8.297872394323E-1,-2.E1)); +#1162=LINE('',#1161,#1160); +#1163=DIRECTION('',(9.797707133274E-1,-2.001233352361E-1,0.E0)); +#1164=VECTOR('',#1163,2.126348238786E-1); +#1165=CARTESIAN_POINT('',(1.62E1,-9.574468098581E-1,-2.E1)); +#1166=LINE('',#1165,#1164); +#1167=DIRECTION('',(1.E0,0.E0,0.E0)); +#1168=VECTOR('',#1167,2.5E-1); +#1169=CARTESIAN_POINT('',(1.640833337307E1,-1.E0,-2.E1)); +#1170=LINE('',#1169,#1168); +#1171=DIRECTION('',(9.797706908745E-1,2.001234451615E-1,0.E0)); +#1172=VECTOR('',#1171,2.126347070808E-1); +#1173=CARTESIAN_POINT('',(1.665833337307E1,-1.E0,-2.E1)); +#1174=LINE('',#1173,#1172); +#1175=DIRECTION('',(8.526534466288E-1,5.224768893951E-1,0.E0)); +#1176=VECTOR('',#1175,2.443353438533E-1); +#1177=CARTESIAN_POINT('',(1.686666662693E1,-9.574468098581E-1,-2.E1)); +#1178=LINE('',#1177,#1176); +#1179=DIRECTION('',(5.919095150862E-1,8.060044205527E-1,0.E0)); +#1180=VECTOR('',#1179,2.111809268378E-1); +#1181=CARTESIAN_POINT('',(1.7075E1,-8.297872394323E-1,-2.E1)); +#1182=LINE('',#1181,#1180); +#1183=DIRECTION('',(3.646915900803E-1,9.311283714530E-1,0.E0)); +#1184=VECTOR('',#1183,2.285033604480E-1); +#1185=CARTESIAN_POINT('',(1.72E1,-6.595744788647E-1,-2.E1)); +#1186=LINE('',#1185,#1184); +#1187=DIRECTION('',(0.E0,1.E0,0.E0)); +#1188=VECTOR('',#1187,1.702127456665E-1); +#1189=CARTESIAN_POINT('',(1.728333325386E1,-4.468085169792E-1,-2.E1)); +#1190=LINE('',#1189,#1188); +#1191=DIRECTION('',(-3.646915457917E-1,9.311283887994E-1,0.E0)); +#1192=VECTOR('',#1191,2.285033881978E-1); +#1193=CARTESIAN_POINT('',(1.728333325386E1,-2.765957713127E-1,-2.E1)); +#1194=LINE('',#1193,#1192); +#1195=DIRECTION('',(-5.919095487496E-1,8.060043958311E-1,0.E0)); +#1196=VECTOR('',#1195,2.111809148274E-1); +#1197=CARTESIAN_POINT('',(1.72E1,-6.382977962494E-2,-2.E1)); +#1198=LINE('',#1197,#1196); +#1199=DIRECTION('',(-8.526534670056E-1,5.224768561414E-1,0.E0)); +#1200=VECTOR('',#1199,2.443353380142E-1); +#1201=CARTESIAN_POINT('',(1.7075E1,1.063829660416E-1,-2.E1)); +#1202=LINE('',#1201,#1200); +#1203=DIRECTION('',(-9.797706943097E-1,2.001234283435E-1,0.E0)); +#1204=VECTOR('',#1203,2.126347063353E-1); +#1205=CARTESIAN_POINT('',(1.686666662693E1,2.340425252914E-1,-2.E1)); +#1206=LINE('',#1205,#1204); +#1207=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1208=VECTOR('',#1207,2.5E-1); +#1209=CARTESIAN_POINT('',(1.665833337307E1,2.765957117081E-1,-2.E1)); +#1210=LINE('',#1209,#1208); +#1211=DIRECTION('',(-9.797707167625E-1,-2.001233184181E-1,0.E0)); +#1212=VECTOR('',#1211,2.126348231331E-1); +#1213=CARTESIAN_POINT('',(1.640833337307E1,2.765957117081E-1,-2.E1)); +#1214=LINE('',#1213,#1212); +#1215=DIRECTION('',(-8.526534670056E-1,-5.224768561413E-1,0.E0)); +#1216=VECTOR('',#1215,2.443353380142E-1); +#1217=CARTESIAN_POINT('',(1.62E1,2.340425252914E-1,-2.E1)); +#1218=LINE('',#1217,#1216); +#1219=DIRECTION('',(0.E0,1.E0,0.E0)); +#1220=VECTOR('',#1219,6.808511018753E-1); +#1221=CARTESIAN_POINT('',(1.599166662693E1,1.063829660416E-1,-2.E1)); +#1222=LINE('',#1221,#1220); +#1223=DIRECTION('',(1.E0,0.E0,0.E0)); +#1224=VECTOR('',#1223,1.208333373070E0); +#1225=CARTESIAN_POINT('',(1.599166662693E1,7.872340679169E-1,-2.E1)); +#1226=LINE('',#1225,#1224); +#1227=DIRECTION('',(0.E0,1.E0,0.E0)); +#1228=VECTOR('',#1227,2.127659320831E-1); +#1229=CARTESIAN_POINT('',(1.72E1,7.872340679169E-1,-2.E1)); +#1230=LINE('',#1229,#1228); +#1231=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1232=VECTOR('',#1231,1.416666686535E0); +#1233=CARTESIAN_POINT('',(1.72E1,1.E0,-2.E1)); +#1234=LINE('',#1233,#1232); +#1235=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1236=VECTOR('',#1235,1.106382966042E0); +#1237=CARTESIAN_POINT('',(1.578333331347E1,1.E0,-2.E1)); +#1238=LINE('',#1237,#1236); +#1239=DIRECTION('',(1.E0,0.E0,0.E0)); +#1240=VECTOR('',#1239,2.916666865349E-1); +#1241=CARTESIAN_POINT('',(1.578333331347E1,-1.063829660416E-1,-2.E1)); +#1242=LINE('',#1241,#1240); +#1243=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1244=VECTOR('',#1243,2.127659618855E-1); +#1245=CARTESIAN_POINT('',(1.495E1,-4.787234038115E0,-2.E1)); +#1246=LINE('',#1245,#1244); +#1247=DIRECTION('',(1.E0,0.E0,0.E0)); +#1248=VECTOR('',#1247,1.5E0); +#1249=CARTESIAN_POINT('',(1.495E1,-5.000000000001E0,-2.E1)); +#1250=LINE('',#1249,#1248); +#1251=DIRECTION('',(0.E0,1.E0,0.E0)); +#1252=VECTOR('',#1251,2.127659618855E-1); +#1253=CARTESIAN_POINT('',(1.645E1,-5.000000000001E0,-2.E1)); +#1254=LINE('',#1253,#1252); +#1255=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1256=VECTOR('',#1255,1.166666656733E0); +#1257=CARTESIAN_POINT('',(1.645E1,-4.787234038115E0,-2.E1)); +#1258=LINE('',#1257,#1256); +#1259=DIRECTION('',(7.437660872566E-1,6.684399804373E-1,0.E0)); +#1260=VECTOR('',#1259,1.400529917376E0); +#1261=CARTESIAN_POINT('',(1.528333334327E1,-4.787234038115E0,-2.E1)); +#1262=LINE('',#1261,#1260); +#1263=DIRECTION('',(5.466227293958E-1,8.373790012341E-1,0.E0)); +#1264=VECTOR('',#1263,1.524513500598E-1); +#1265=CARTESIAN_POINT('',(1.6325E1,-3.851063847542E0,-2.E1)); +#1266=LINE('',#1265,#1264); +#1267=DIRECTION('',(2.377711385310E-1,9.713212062349E-1,0.E0)); +#1268=VECTOR('',#1267,1.752383707613E-1); +#1269=CARTESIAN_POINT('',(1.640833337307E1,-3.723404288292E0,-2.E1)); +#1270=LINE('',#1269,#1268); +#1271=DIRECTION('',(0.E0,1.E0,0.E0)); +#1272=VECTOR('',#1271,8.510649204254E-2); +#1273=CARTESIAN_POINT('',(1.645E1,-3.553191542626E0,-2.E1)); +#1274=LINE('',#1273,#1272); +#1275=DIRECTION('',(-2.377711385310E-1,9.713212062349E-1,0.E0)); +#1276=VECTOR('',#1275,1.752383707613E-1); +#1277=CARTESIAN_POINT('',(1.645E1,-3.468085050583E0,-2.E1)); +#1278=LINE('',#1277,#1276); +#1279=DIRECTION('',(-6.996250055017E-1,7.145102180353E-1,0.E0)); +#1280=VECTOR('',#1279,2.382229692034E-1); +#1281=CARTESIAN_POINT('',(1.640833337307E1,-3.297872304917E0,-2.E1)); +#1282=LINE('',#1281,#1280); +#1283=DIRECTION('',(-8.906052429498E-1,4.547771995497E-1,0.E0)); +#1284=VECTOR('',#1283,1.871386096698E-1); +#1285=CARTESIAN_POINT('',(1.624166662693E1,-3.127659559250E0,-2.E1)); +#1286=LINE('',#1285,#1284); +#1287=DIRECTION('',(-9.858211730931E-1,1.677993286083E-1,0.E0)); +#1288=VECTOR('',#1287,2.535956893841E-1); +#1289=CARTESIAN_POINT('',(1.6075E1,-3.042553186417E0,-2.E1)); +#1290=LINE('',#1289,#1288); +#1291=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1292=VECTOR('',#1291,1.666666865349E-1); +#1293=CARTESIAN_POINT('',(1.5825E1,-3.000000000001E0,-2.E1)); +#1294=LINE('',#1293,#1292); +#1295=DIRECTION('',(-9.895240333173E-1,-1.443682357291E-1,0.E0)); +#1296=VECTOR('',#1295,2.947544950018E-1); +#1297=CARTESIAN_POINT('',(1.565833331347E1,-3.000000000001E0,-2.E1)); +#1298=LINE('',#1297,#1296); +#1299=DIRECTION('',(-8.906052758868E-1,-4.547771350481E-1,0.E0)); +#1300=VECTOR('',#1299,1.871386362119E-1); +#1301=CARTESIAN_POINT('',(1.536666665673E1,-3.042553186417E0,-2.E1)); +#1302=LINE('',#1301,#1300); +#1303=DIRECTION('',(-6.996248298646E-1,-7.145103900133E-1,0.E0)); +#1304=VECTOR('',#1303,2.382229118646E-1); +#1305=CARTESIAN_POINT('',(1.52E1,-3.127659559250E0,-2.E1)); +#1306=LINE('',#1305,#1304); +#1307=DIRECTION('',(-2.377713591533E-1,-9.713211522284E-1,0.E0)); +#1308=VECTOR('',#1307,1.752383805047E-1); +#1309=CARTESIAN_POINT('',(1.503333333582E1,-3.297872304917E0,-2.E1)); +#1310=LINE('',#1309,#1308); +#1311=DIRECTION('',(1.E0,0.E0,0.E0)); +#1312=VECTOR('',#1311,2.083333320916E-1); +#1313=CARTESIAN_POINT('',(1.499166666791E1,-3.468085050583E0,-2.E1)); +#1314=LINE('',#1313,#1312); +#1315=DIRECTION('',(5.466225923193E-1,8.373790907147E-1,0.E0)); +#1316=VECTOR('',#1315,1.524513337692E-1); +#1317=CARTESIAN_POINT('',(1.52E1,-3.468085050583E0,-2.E1)); +#1318=LINE('',#1317,#1316); +#1319=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#1320=VECTOR('',#1319,1.512220046714E-1); +#1321=CARTESIAN_POINT('',(1.528333334327E1,-3.340425491334E0,-2.E1)); +#1322=LINE('',#1321,#1320); +#1323=DIRECTION('',(9.797707111493E-1,2.001233458994E-1,0.E0)); +#1324=VECTOR('',#1323,2.126347939336E-1); +#1325=CARTESIAN_POINT('',(1.540833334327E1,-3.255319118500E0,-2.E1)); +#1326=LINE('',#1325,#1324); +#1327=DIRECTION('',(1.E0,0.E0,0.E0)); +#1328=VECTOR('',#1327,1.666666269302E-1); +#1329=CARTESIAN_POINT('',(1.561666668653E1,-3.212765932084E0,-2.E1)); +#1330=LINE('',#1329,#1328); +#1331=DIRECTION('',(9.797707055361E-1,-2.001233733807E-1,0.E0)); +#1332=VECTOR('',#1331,2.126347647342E-1); +#1333=CARTESIAN_POINT('',(1.578333331347E1,-3.212765932084E0,-2.E1)); +#1334=LINE('',#1333,#1332); +#1335=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#1336=VECTOR('',#1335,1.512220046714E-1); +#1337=CARTESIAN_POINT('',(1.599166662693E1,-3.255319118500E0,-2.E1)); +#1338=LINE('',#1337,#1336); +#1339=DIRECTION('',(5.466227293958E-1,-8.373790012342E-1,0.E0)); +#1340=VECTOR('',#1339,1.524513500598E-1); +#1341=CARTESIAN_POINT('',(1.611666662693E1,-3.340425491334E0,-2.E1)); +#1342=LINE('',#1341,#1340); +#1343=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1344=VECTOR('',#1343,8.510649204254E-2); +#1345=CARTESIAN_POINT('',(1.62E1,-3.468085050583E0,-2.E1)); +#1346=LINE('',#1345,#1344); +#1347=DIRECTION('',(-4.397136649566E-1,-8.981380143666E-1,0.E0)); +#1348=VECTOR('',#1347,1.895173602985E-1); +#1349=CARTESIAN_POINT('',(1.62E1,-3.553191542626E0,-2.E1)); +#1350=LINE('',#1349,#1348); +#1351=DIRECTION('',(-7.389229549020E-1,-6.737899277363E-1,0.E0)); +#1352=VECTOR('',#1351,1.578874521614E0); +#1353=CARTESIAN_POINT('',(1.611666662693E1,-3.723404288292E0,-2.E1)); +#1354=LINE('',#1353,#1352); +#1355=DIRECTION('',(-2.377704181660E-1,9.713213825738E-1,0.E0)); +#1356=VECTOR('',#1355,1.752384003121E-1); +#1357=CARTESIAN_POINT('',(1.828333325386E1,-4.361702144147E0,-2.E1)); +#1358=LINE('',#1357,#1356); +#1359=DIRECTION('',(-5.466232777014E-1,8.373786433120E-1,0.E0)); +#1360=VECTOR('',#1359,1.524514152223E-1); +#1361=CARTESIAN_POINT('',(1.824166674614E1,-4.191489338875E0,-2.E1)); +#1362=LINE('',#1361,#1360); +#1363=DIRECTION('',(-9.257350567423E-1,3.781727181043E-1,0.E0)); +#1364=VECTOR('',#1363,2.250463049262E-1); +#1365=CARTESIAN_POINT('',(1.815833325386E1,-4.063829779625E0,-2.E1)); +#1366=LINE('',#1365,#1364); +#1367=DIRECTION('',(7.938783209665E-1,6.080766493621E-1,0.E0)); +#1368=VECTOR('',#1367,2.099399136339E-1); +#1369=CARTESIAN_POINT('',(1.795E1,-3.978723406792E0,-2.E1)); +#1370=LINE('',#1369,#1368); +#1371=DIRECTION('',(5.466221810895E-1,8.373793591563E-1,0.E0)); +#1372=VECTOR('',#1371,1.524512848973E-1); +#1373=CARTESIAN_POINT('',(1.811666674614E1,-3.851063847542E0,-2.E1)); +#1374=LINE('',#1373,#1372); +#1375=DIRECTION('',(2.377717803411E-1,9.713210491251E-1,0.E0)); +#1376=VECTOR('',#1375,1.752383991059E-1); +#1377=CARTESIAN_POINT('',(1.82E1,-3.723404288292E0,-2.E1)); +#1378=LINE('',#1377,#1376); +#1379=DIRECTION('',(0.E0,1.E0,0.E0)); +#1380=VECTOR('',#1379,8.510649204254E-2); +#1381=CARTESIAN_POINT('',(1.824166674614E1,-3.553191542626E0,-2.E1)); +#1382=LINE('',#1381,#1380); +#1383=DIRECTION('',(-2.377717803411E-1,9.713210491251E-1,0.E0)); +#1384=VECTOR('',#1383,1.752383991059E-1); +#1385=CARTESIAN_POINT('',(1.824166674614E1,-3.468085050583E0,-2.E1)); +#1386=LINE('',#1385,#1384); +#1387=DIRECTION('',(-6.996250055017E-1,7.145102180353E-1,0.E0)); +#1388=VECTOR('',#1387,2.382229692034E-1); +#1389=CARTESIAN_POINT('',(1.82E1,-3.297872304917E0,-2.E1)); +#1390=LINE('',#1389,#1388); +#1391=DIRECTION('',(-8.906051112017E-1,4.547774575564E-1,0.E0)); +#1392=VECTOR('',#1391,1.871385035014E-1); +#1393=CARTESIAN_POINT('',(1.803333325386E1,-3.127659559250E0,-2.E1)); +#1394=LINE('',#1393,#1392); +#1395=DIRECTION('',(-9.895240396393E-1,1.443681923971E-1,0.E0)); +#1396=VECTOR('',#1395,2.947545834721E-1); +#1397=CARTESIAN_POINT('',(1.786666674614E1,-3.042553186417E0,-2.E1)); +#1398=LINE('',#1397,#1396); +#1399=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1400=VECTOR('',#1399,8.333325386047E-2); +#1401=CARTESIAN_POINT('',(1.7575E1,-3.000000000001E0,-2.E1)); +#1402=LINE('',#1401,#1400); +#1403=DIRECTION('',(-9.895240396393E-1,-1.443681923971E-1,0.E0)); +#1404=VECTOR('',#1403,2.947545834721E-1); +#1405=CARTESIAN_POINT('',(1.749166674614E1,-3.000000000001E0,-2.E1)); +#1406=LINE('',#1405,#1404); +#1407=DIRECTION('',(-8.906053746977E-1,-4.547769415434E-1,0.E0)); +#1408=VECTOR('',#1407,1.871387158382E-1); +#1409=CARTESIAN_POINT('',(1.72E1,-3.042553186417E0,-2.E1)); +#1410=LINE('',#1409,#1408); +#1411=DIRECTION('',(-6.996247500295E-1,-7.145104681851E-1,0.E0)); +#1412=VECTOR('',#1411,2.382228858016E-1); +#1413=CARTESIAN_POINT('',(1.703333325386E1,-3.127659559250E0,-2.E1)); +#1414=LINE('',#1413,#1412); +#1415=DIRECTION('',(-2.377711385310E-1,-9.713212062349E-1,0.E0)); +#1416=VECTOR('',#1415,1.752383707613E-1); +#1417=CARTESIAN_POINT('',(1.686666662693E1,-3.297872304917E0,-2.E1)); +#1418=LINE('',#1417,#1416); +#1419=DIRECTION('',(1.E0,0.E0,0.E0)); +#1420=VECTOR('',#1419,2.083332538605E-1); +#1421=CARTESIAN_POINT('',(1.6825E1,-3.468085050583E0,-2.E1)); +#1422=LINE('',#1421,#1420); +#1423=DIRECTION('',(5.466232777014E-1,8.373786433120E-1,0.E0)); +#1424=VECTOR('',#1423,1.524514152223E-1); +#1425=CARTESIAN_POINT('',(1.703333325386E1,-3.468085050583E0,-2.E1)); +#1426=LINE('',#1425,#1424); +#1427=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#1428=VECTOR('',#1427,1.512220046714E-1); +#1429=CARTESIAN_POINT('',(1.711666674614E1,-3.340425491334E0,-2.E1)); +#1430=LINE('',#1429,#1428); +#1431=DIRECTION('',(9.797706943097E-1,2.001234283435E-1,0.E0)); +#1432=VECTOR('',#1431,2.126347063353E-1); +#1433=CARTESIAN_POINT('',(1.724166674614E1,-3.255319118500E0,-2.E1)); +#1434=LINE('',#1433,#1432); +#1435=DIRECTION('',(1.E0,0.E0,0.E0)); +#1436=VECTOR('',#1435,1.666667461395E-1); +#1437=CARTESIAN_POINT('',(1.745E1,-3.212765932084E0,-2.E1)); +#1438=LINE('',#1437,#1436); +#1439=DIRECTION('',(9.797706943097E-1,-2.001234283435E-1,0.E0)); +#1440=VECTOR('',#1439,2.126347063353E-1); +#1441=CARTESIAN_POINT('',(1.761666674614E1,-3.212765932084E0,-2.E1)); +#1442=LINE('',#1441,#1440); +#1443=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#1444=VECTOR('',#1443,1.512220046714E-1); +#1445=CARTESIAN_POINT('',(1.7825E1,-3.255319118500E0,-2.E1)); +#1446=LINE('',#1445,#1444); +#1447=DIRECTION('',(5.466221810895E-1,-8.373793591563E-1,0.E0)); +#1448=VECTOR('',#1447,1.524512848973E-1); +#1449=CARTESIAN_POINT('',(1.795E1,-3.340425491334E0,-2.E1)); +#1450=LINE('',#1449,#1448); +#1451=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1452=VECTOR('',#1451,8.510649204254E-2); +#1453=CARTESIAN_POINT('',(1.803333325386E1,-3.468085050583E0,-2.E1)); +#1454=LINE('',#1453,#1452); +#1455=DIRECTION('',(-4.397131575602E-1,-8.981382627794E-1,0.E0)); +#1456=VECTOR('',#1455,1.895173078806E-1); +#1457=CARTESIAN_POINT('',(1.803333325386E1,-3.553191542626E0,-2.E1)); +#1458=LINE('',#1457,#1456); +#1459=DIRECTION('',(-6.996244945571E-1,-7.145107183351E-1,0.E0)); +#1460=VECTOR('',#1459,1.191114011999E-1); +#1461=CARTESIAN_POINT('',(1.795E1,-3.723404288292E0,-2.E1)); +#1462=LINE('',#1461,#1460); +#1463=DIRECTION('',(-9.466499177700E-1,-3.222637633772E-1,0.E0)); +#1464=VECTOR('',#1463,2.640891794391E-1); +#1465=CARTESIAN_POINT('',(1.786666674614E1,-3.808510661126E0,-2.E1)); +#1466=LINE('',#1465,#1464); +#1467=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1468=VECTOR('',#1467,1.666667461395E-1); +#1469=CARTESIAN_POINT('',(1.761666674614E1,-3.893617033959E0,-2.E1)); +#1470=LINE('',#1469,#1468); +#1471=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1472=VECTOR('',#1471,1.702127456665E-1); +#1473=CARTESIAN_POINT('',(1.745E1,-3.893617033959E0,-2.E1)); +#1474=LINE('',#1473,#1472); +#1475=DIRECTION('',(1.E0,0.E0,0.E0)); +#1476=VECTOR('',#1475,1.666667461395E-1); +#1477=CARTESIAN_POINT('',(1.745E1,-4.063829779625E0,-2.E1)); +#1478=LINE('',#1477,#1476); +#1479=DIRECTION('',(9.858211730931E-1,-1.677993286083E-1,0.E0)); +#1480=VECTOR('',#1479,2.535956893841E-1); +#1481=CARTESIAN_POINT('',(1.761666674614E1,-4.063829779625E0,-2.E1)); +#1482=LINE('',#1481,#1480); +#1483=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#1484=VECTOR('',#1483,1.512220046714E-1); +#1485=CARTESIAN_POINT('',(1.786666674614E1,-4.106382966042E0,-2.E1)); +#1486=LINE('',#1485,#1484); +#1487=DIRECTION('',(4.397130333538E-1,-8.981383235887E-1,0.E0)); +#1488=VECTOR('',#1487,1.895173614138E-1); +#1489=CARTESIAN_POINT('',(1.799166674614E1,-4.191489338875E0,-2.E1)); +#1490=LINE('',#1489,#1488); +#1491=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1492=VECTOR('',#1491,1.702127456665E-1); +#1493=CARTESIAN_POINT('',(1.8075E1,-4.361702144147E0,-2.E1)); +#1494=LINE('',#1493,#1492); +#1495=DIRECTION('',(-4.397141723527E-1,-8.981377659536E-1,0.E0)); +#1496=VECTOR('',#1495,9.475870635825E-2); +#1497=CARTESIAN_POINT('',(1.8075E1,-4.531914889813E0,-2.E1)); +#1498=LINE('',#1497,#1496); +#1499=DIRECTION('',(-6.996243694821E-1,-7.145108408043E-1,0.E0)); +#1500=VECTOR('',#1499,1.191114224940E-1); +#1501=CARTESIAN_POINT('',(1.803333325386E1,-4.617021262646E0,-2.E1)); +#1502=LINE('',#1501,#1500); +#1503=DIRECTION('',(-8.906051112017E-1,-4.547774575564E-1,0.E0)); +#1504=VECTOR('',#1503,9.356925175068E-2); +#1505=CARTESIAN_POINT('',(1.795E1,-4.702127665282E0,-2.E1)); +#1506=LINE('',#1505,#1504); +#1507=DIRECTION('',(-9.797707392153E-1,-2.001232084928E-1,0.E0)); +#1508=VECTOR('',#1507,2.126349399308E-1); +#1509=CARTESIAN_POINT('',(1.786666674614E1,-4.744680851698E0,-2.E1)); +#1510=LINE('',#1509,#1508); +#1511=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1512=VECTOR('',#1511,2.5E-1); +#1513=CARTESIAN_POINT('',(1.765833325386E1,-4.787234038115E0,-2.E1)); +#1514=LINE('',#1513,#1512); +#1515=DIRECTION('',(-9.797706943097E-1,2.001234283435E-1,0.E0)); +#1516=VECTOR('',#1515,2.126347063353E-1); +#1517=CARTESIAN_POINT('',(1.740833325386E1,-4.787234038115E0,-2.E1)); +#1518=LINE('',#1517,#1516); +#1519=DIRECTION('',(-8.906051112017E-1,4.547774575564E-1,0.E0)); +#1520=VECTOR('',#1519,9.356925175068E-2); +#1521=CARTESIAN_POINT('',(1.72E1,-4.744680851698E0,-2.E1)); +#1522=LINE('',#1521,#1520); +#1523=DIRECTION('',(-6.996253913704E-1,7.145098402051E-1,0.E0)); +#1524=VECTOR('',#1523,1.191115892976E-1); +#1525=CARTESIAN_POINT('',(1.711666674614E1,-4.702127665282E0,-2.E1)); +#1526=LINE('',#1525,#1524); +#1527=DIRECTION('',(-4.397121427660E-1,8.981387596046E-1,0.E0)); +#1528=VECTOR('',#1527,9.475860152246E-2); +#1529=CARTESIAN_POINT('',(1.703333325386E1,-4.617021262646E0,-2.E1)); +#1530=LINE('',#1529,#1528); +#1531=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1532=VECTOR('',#1531,2.083333730698E-1); +#1533=CARTESIAN_POINT('',(1.699166674614E1,-4.531914889813E0,-2.E1)); +#1534=LINE('',#1533,#1532); +#1535=DIRECTION('',(3.102797320889E-1,-9.506453007588E-1,0.E0)); +#1536=VECTOR('',#1535,1.342872982702E-1); +#1537=CARTESIAN_POINT('',(1.678333337307E1,-4.531914889813E0,-2.E1)); +#1538=LINE('',#1537,#1536); +#1539=DIRECTION('',(5.466227293958E-1,-8.373790012341E-1,0.E0)); +#1540=VECTOR('',#1539,1.524513500598E-1); +#1541=CARTESIAN_POINT('',(1.6825E1,-4.659574478865E0,-2.E1)); +#1542=LINE('',#1541,#1540); +#1543=DIRECTION('',(8.265989832759E-1,-5.627913652921E-1,0.E0)); +#1544=VECTOR('',#1543,1.512219145193E-1); +#1545=CARTESIAN_POINT('',(1.690833337307E1,-4.787234038115E0,-2.E1)); +#1546=LINE('',#1545,#1544); +#1547=DIRECTION('',(8.906053505096E-1,-4.547769889117E-1,0.E0)); +#1548=VECTOR('',#1547,1.871387209207E-1); +#1549=CARTESIAN_POINT('',(1.703333325386E1,-4.872340425850E0,-2.E1)); +#1550=LINE('',#1549,#1548); +#1551=DIRECTION('',(9.689177407767E-1,-2.473831271694E-1,0.E0)); +#1552=VECTOR('',#1551,1.720133083805E-1); +#1553=CARTESIAN_POINT('',(1.72E1,-4.957446809859E0,-2.E1)); +#1554=LINE('',#1553,#1552); +#1555=DIRECTION('',(1.E0,0.E0,0.E0)); +#1556=VECTOR('',#1555,3.333332538605E-1); +#1557=CARTESIAN_POINT('',(1.736666674614E1,-5.000000000001E0,-2.E1)); +#1558=LINE('',#1557,#1556); +#1559=DIRECTION('',(9.689177407767E-1,2.473831271694E-1,0.E0)); +#1560=VECTOR('',#1559,1.720133083805E-1); +#1561=CARTESIAN_POINT('',(1.77E1,-5.000000000001E0,-2.E1)); +#1562=LINE('',#1561,#1560); +#1563=DIRECTION('',(8.906050870136E-1,4.547775049247E-1,0.E0)); +#1564=VECTOR('',#1563,1.871385085839E-1); +#1565=CARTESIAN_POINT('',(1.786666674614E1,-4.957446809859E0,-2.E1)); +#1566=LINE('',#1565,#1564); +#1567=DIRECTION('',(8.265992329593E-1,5.627909985697E-1,0.E0)); +#1568=VECTOR('',#1567,1.512220130576E-1); +#1569=CARTESIAN_POINT('',(1.803333325386E1,-4.872340425850E0,-2.E1)); +#1570=LINE('',#1569,#1568); +#1571=DIRECTION('',(5.466232777014E-1,8.373786433120E-1,0.E0)); +#1572=VECTOR('',#1571,1.524514152223E-1); +#1573=CARTESIAN_POINT('',(1.815833325386E1,-4.787234038115E0,-2.E1)); +#1574=LINE('',#1573,#1572); +#1575=DIRECTION('',(3.102789298341E-1,9.506455626052E-1,0.E0)); +#1576=VECTOR('',#1575,1.342872612821E-1); +#1577=CARTESIAN_POINT('',(1.824166674614E1,-4.659574478865E0,-2.E1)); +#1578=LINE('',#1577,#1576); +#1579=DIRECTION('',(0.E0,1.E0,0.E0)); +#1580=VECTOR('',#1579,1.702127456665E-1); +#1581=CARTESIAN_POINT('',(1.828333325386E1,-4.531914889813E0,-2.E1)); +#1582=LINE('',#1581,#1580); +#1583=CARTESIAN_POINT('',(-1.4E1,4.000000000001E0,-2.E1)); +#1584=DIRECTION('',(0.E0,0.E0,1.E0)); +#1585=DIRECTION('',(1.E0,0.E0,0.E0)); +#1586=AXIS2_PLACEMENT_3D('',#1583,#1584,#1585); +#1588=CARTESIAN_POINT('',(-1.4E1,4.000000000001E0,-2.E1)); +#1589=DIRECTION('',(0.E0,0.E0,1.E0)); +#1590=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1591=AXIS2_PLACEMENT_3D('',#1588,#1589,#1590); +#1593=CARTESIAN_POINT('',(-1.2E1,1.077689183250E-14,-2.E1)); +#1594=DIRECTION('',(0.E0,0.E0,1.E0)); +#1595=DIRECTION('',(1.E0,0.E0,0.E0)); +#1596=AXIS2_PLACEMENT_3D('',#1593,#1594,#1595); +#1598=CARTESIAN_POINT('',(-1.2E1,1.077689183250E-14,-2.E1)); +#1599=DIRECTION('',(0.E0,0.E0,1.E0)); +#1600=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1601=AXIS2_PLACEMENT_3D('',#1598,#1599,#1600); +#1603=CARTESIAN_POINT('',(-1.4E1,-4.000000000001E0,-2.E1)); +#1604=DIRECTION('',(0.E0,0.E0,1.E0)); +#1605=DIRECTION('',(1.E0,0.E0,0.E0)); +#1606=AXIS2_PLACEMENT_3D('',#1603,#1604,#1605); +#1608=CARTESIAN_POINT('',(-1.4E1,-4.000000000001E0,-2.E1)); +#1609=DIRECTION('',(0.E0,0.E0,1.E0)); +#1610=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1611=AXIS2_PLACEMENT_3D('',#1608,#1609,#1610); +#1613=CARTESIAN_POINT('',(-1.E1,-4.000000000001E0,-2.E1)); +#1614=DIRECTION('',(0.E0,0.E0,1.E0)); +#1615=DIRECTION('',(1.E0,0.E0,0.E0)); +#1616=AXIS2_PLACEMENT_3D('',#1613,#1614,#1615); +#1618=CARTESIAN_POINT('',(-1.E1,-4.000000000001E0,-2.E1)); +#1619=DIRECTION('',(0.E0,0.E0,1.E0)); +#1620=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1621=AXIS2_PLACEMENT_3D('',#1618,#1619,#1620); +#1623=CARTESIAN_POINT('',(-8.E0,1.126675055216E-14,-2.E1)); +#1624=DIRECTION('',(0.E0,0.E0,1.E0)); +#1625=DIRECTION('',(1.E0,0.E0,0.E0)); +#1626=AXIS2_PLACEMENT_3D('',#1623,#1624,#1625); +#1628=CARTESIAN_POINT('',(-8.E0,1.126675055216E-14,-2.E1)); +#1629=DIRECTION('',(0.E0,0.E0,1.E0)); +#1630=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1631=AXIS2_PLACEMENT_3D('',#1628,#1629,#1630); +#1633=CARTESIAN_POINT('',(-1.E1,4.000000000001E0,-2.E1)); +#1634=DIRECTION('',(0.E0,0.E0,1.E0)); +#1635=DIRECTION('',(1.E0,0.E0,0.E0)); +#1636=AXIS2_PLACEMENT_3D('',#1633,#1634,#1635); +#1638=CARTESIAN_POINT('',(-1.E1,4.000000000001E0,-2.E1)); +#1639=DIRECTION('',(0.E0,0.E0,1.E0)); +#1640=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1641=AXIS2_PLACEMENT_3D('',#1638,#1639,#1640); +#1643=CARTESIAN_POINT('',(-6.E0,4.000000000001E0,-2.E1)); +#1644=DIRECTION('',(0.E0,0.E0,1.E0)); +#1645=DIRECTION('',(1.E0,0.E0,0.E0)); +#1646=AXIS2_PLACEMENT_3D('',#1643,#1644,#1645); +#1648=CARTESIAN_POINT('',(-6.E0,4.000000000001E0,-2.E1)); +#1649=DIRECTION('',(0.E0,0.E0,1.E0)); +#1650=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1651=AXIS2_PLACEMENT_3D('',#1648,#1649,#1650); +#1653=CARTESIAN_POINT('',(-4.E0,1.175660927181E-14,-2.E1)); +#1654=DIRECTION('',(0.E0,0.E0,1.E0)); +#1655=DIRECTION('',(1.E0,0.E0,0.E0)); +#1656=AXIS2_PLACEMENT_3D('',#1653,#1654,#1655); +#1658=CARTESIAN_POINT('',(-4.E0,1.175660927181E-14,-2.E1)); +#1659=DIRECTION('',(0.E0,0.E0,1.E0)); +#1660=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1661=AXIS2_PLACEMENT_3D('',#1658,#1659,#1660); +#1663=CARTESIAN_POINT('',(-6.E0,-4.000000000001E0,-2.E1)); +#1664=DIRECTION('',(0.E0,0.E0,1.E0)); +#1665=DIRECTION('',(1.E0,0.E0,0.E0)); +#1666=AXIS2_PLACEMENT_3D('',#1663,#1664,#1665); +#1668=CARTESIAN_POINT('',(-6.E0,-4.000000000001E0,-2.E1)); +#1669=DIRECTION('',(0.E0,0.E0,1.E0)); +#1670=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1671=AXIS2_PLACEMENT_3D('',#1668,#1669,#1670); +#1673=CARTESIAN_POINT('',(-2.E0,-4.000000000001E0,-2.E1)); +#1674=DIRECTION('',(0.E0,0.E0,1.E0)); +#1675=DIRECTION('',(1.E0,0.E0,0.E0)); +#1676=AXIS2_PLACEMENT_3D('',#1673,#1674,#1675); +#1678=CARTESIAN_POINT('',(-2.E0,-4.000000000001E0,-2.E1)); +#1679=DIRECTION('',(0.E0,0.E0,1.E0)); +#1680=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1681=AXIS2_PLACEMENT_3D('',#1678,#1679,#1680); +#1683=CARTESIAN_POINT('',(0.E0,1.224646799147E-14,-2.E1)); +#1684=DIRECTION('',(0.E0,0.E0,1.E0)); +#1685=DIRECTION('',(1.E0,0.E0,0.E0)); +#1686=AXIS2_PLACEMENT_3D('',#1683,#1684,#1685); +#1688=CARTESIAN_POINT('',(0.E0,1.224646799147E-14,-2.E1)); +#1689=DIRECTION('',(0.E0,0.E0,1.E0)); +#1690=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1691=AXIS2_PLACEMENT_3D('',#1688,#1689,#1690); +#1693=CARTESIAN_POINT('',(-2.E0,4.000000000001E0,-2.E1)); +#1694=DIRECTION('',(0.E0,0.E0,1.E0)); +#1695=DIRECTION('',(1.E0,0.E0,0.E0)); +#1696=AXIS2_PLACEMENT_3D('',#1693,#1694,#1695); +#1698=CARTESIAN_POINT('',(-2.E0,4.000000000001E0,-2.E1)); +#1699=DIRECTION('',(0.E0,0.E0,1.E0)); +#1700=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1701=AXIS2_PLACEMENT_3D('',#1698,#1699,#1700); +#1703=CARTESIAN_POINT('',(2.E0,4.000000000001E0,-2.E1)); +#1704=DIRECTION('',(0.E0,0.E0,1.E0)); +#1705=DIRECTION('',(1.E0,0.E0,0.E0)); +#1706=AXIS2_PLACEMENT_3D('',#1703,#1704,#1705); +#1708=CARTESIAN_POINT('',(2.E0,4.000000000001E0,-2.E1)); +#1709=DIRECTION('',(0.E0,0.E0,1.E0)); +#1710=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1711=AXIS2_PLACEMENT_3D('',#1708,#1709,#1710); +#1713=CARTESIAN_POINT('',(4.E0,1.175660927181E-14,-2.E1)); +#1714=DIRECTION('',(0.E0,0.E0,1.E0)); +#1715=DIRECTION('',(1.E0,0.E0,0.E0)); +#1716=AXIS2_PLACEMENT_3D('',#1713,#1714,#1715); +#1718=CARTESIAN_POINT('',(4.E0,1.175660927181E-14,-2.E1)); +#1719=DIRECTION('',(0.E0,0.E0,1.E0)); +#1720=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1721=AXIS2_PLACEMENT_3D('',#1718,#1719,#1720); +#1723=CARTESIAN_POINT('',(2.E0,-4.000000000001E0,-2.E1)); +#1724=DIRECTION('',(0.E0,0.E0,1.E0)); +#1725=DIRECTION('',(1.E0,0.E0,0.E0)); +#1726=AXIS2_PLACEMENT_3D('',#1723,#1724,#1725); +#1728=CARTESIAN_POINT('',(2.E0,-4.000000000001E0,-2.E1)); +#1729=DIRECTION('',(0.E0,0.E0,1.E0)); +#1730=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1731=AXIS2_PLACEMENT_3D('',#1728,#1729,#1730); +#1733=CARTESIAN_POINT('',(6.E0,-4.000000000001E0,-2.E1)); +#1734=DIRECTION('',(0.E0,0.E0,1.E0)); +#1735=DIRECTION('',(1.E0,0.E0,0.E0)); +#1736=AXIS2_PLACEMENT_3D('',#1733,#1734,#1735); +#1738=CARTESIAN_POINT('',(6.E0,-4.000000000001E0,-2.E1)); +#1739=DIRECTION('',(0.E0,0.E0,1.E0)); +#1740=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1741=AXIS2_PLACEMENT_3D('',#1738,#1739,#1740); +#1743=CARTESIAN_POINT('',(8.E0,1.126675055216E-14,-2.E1)); +#1744=DIRECTION('',(0.E0,0.E0,1.E0)); +#1745=DIRECTION('',(1.E0,0.E0,0.E0)); +#1746=AXIS2_PLACEMENT_3D('',#1743,#1744,#1745); +#1748=CARTESIAN_POINT('',(8.E0,1.126675055216E-14,-2.E1)); +#1749=DIRECTION('',(0.E0,0.E0,1.E0)); +#1750=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1751=AXIS2_PLACEMENT_3D('',#1748,#1749,#1750); +#1753=CARTESIAN_POINT('',(6.E0,4.000000000001E0,-2.E1)); +#1754=DIRECTION('',(0.E0,0.E0,1.E0)); +#1755=DIRECTION('',(1.E0,0.E0,0.E0)); +#1756=AXIS2_PLACEMENT_3D('',#1753,#1754,#1755); +#1758=CARTESIAN_POINT('',(6.E0,4.000000000001E0,-2.E1)); +#1759=DIRECTION('',(0.E0,0.E0,1.E0)); +#1760=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1761=AXIS2_PLACEMENT_3D('',#1758,#1759,#1760); +#1763=CARTESIAN_POINT('',(1.E1,4.000000000001E0,-2.E1)); +#1764=DIRECTION('',(0.E0,0.E0,1.E0)); +#1765=DIRECTION('',(1.E0,0.E0,0.E0)); +#1766=AXIS2_PLACEMENT_3D('',#1763,#1764,#1765); +#1768=CARTESIAN_POINT('',(1.E1,4.000000000001E0,-2.E1)); +#1769=DIRECTION('',(0.E0,0.E0,1.E0)); +#1770=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1771=AXIS2_PLACEMENT_3D('',#1768,#1769,#1770); +#1773=CARTESIAN_POINT('',(1.4E1,4.000000000001E0,-2.E1)); +#1774=DIRECTION('',(0.E0,0.E0,1.E0)); +#1775=DIRECTION('',(1.E0,0.E0,0.E0)); +#1776=AXIS2_PLACEMENT_3D('',#1773,#1774,#1775); +#1778=CARTESIAN_POINT('',(1.4E1,4.000000000001E0,-2.E1)); +#1779=DIRECTION('',(0.E0,0.E0,1.E0)); +#1780=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1781=AXIS2_PLACEMENT_3D('',#1778,#1779,#1780); +#1783=CARTESIAN_POINT('',(1.2E1,1.077689183250E-14,-2.E1)); +#1784=DIRECTION('',(0.E0,0.E0,1.E0)); +#1785=DIRECTION('',(1.E0,0.E0,0.E0)); +#1786=AXIS2_PLACEMENT_3D('',#1783,#1784,#1785); +#1788=CARTESIAN_POINT('',(1.2E1,1.077689183250E-14,-2.E1)); +#1789=DIRECTION('',(0.E0,0.E0,1.E0)); +#1790=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1791=AXIS2_PLACEMENT_3D('',#1788,#1789,#1790); +#1793=CARTESIAN_POINT('',(1.E1,-4.000000000001E0,-2.E1)); +#1794=DIRECTION('',(0.E0,0.E0,1.E0)); +#1795=DIRECTION('',(1.E0,0.E0,0.E0)); +#1796=AXIS2_PLACEMENT_3D('',#1793,#1794,#1795); +#1798=CARTESIAN_POINT('',(1.E1,-4.000000000001E0,-2.E1)); +#1799=DIRECTION('',(0.E0,0.E0,1.E0)); +#1800=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1801=AXIS2_PLACEMENT_3D('',#1798,#1799,#1800); +#1803=CARTESIAN_POINT('',(1.4E1,-4.000000000001E0,-2.E1)); +#1804=DIRECTION('',(0.E0,0.E0,1.E0)); +#1805=DIRECTION('',(1.E0,0.E0,0.E0)); +#1806=AXIS2_PLACEMENT_3D('',#1803,#1804,#1805); +#1808=CARTESIAN_POINT('',(1.4E1,-4.000000000001E0,-2.E1)); +#1809=DIRECTION('',(0.E0,0.E0,1.E0)); +#1810=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1811=AXIS2_PLACEMENT_3D('',#1808,#1809,#1810); +#1813=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1814=VECTOR('',#1813,1.2E0); +#1815=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#1816=LINE('',#1815,#1814); +#1817=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1818=VECTOR('',#1817,1.2E0); +#1819=CARTESIAN_POINT('',(-8.25E0,1.03E1,-2.E1)); +#1820=LINE('',#1819,#1818); +#1821=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1822=VECTOR('',#1821,2.5E0); +#1823=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#1824=LINE('',#1823,#1822); +#1825=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1826=VECTOR('',#1825,1.2E0); +#1827=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#1828=LINE('',#1827,#1826); +#1829=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1830=VECTOR('',#1829,1.2E0); +#1831=CARTESIAN_POINT('',(-4.75E0,1.03E1,-2.E1)); +#1832=LINE('',#1831,#1830); +#1833=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1834=VECTOR('',#1833,2.325E0); +#1835=CARTESIAN_POINT('',(-2.425E0,1.03E1,-2.E1)); +#1836=LINE('',#1835,#1834); +#1837=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1838=VECTOR('',#1837,2.225E0); +#1839=CARTESIAN_POINT('',(-2.425E0,1.03E1,-2.E1)); +#1840=LINE('',#1839,#1838); +#1841=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1842=VECTOR('',#1841,2.225E0); +#1843=CARTESIAN_POINT('',(-1.075E0,1.03E1,-2.E1)); +#1844=LINE('',#1843,#1842); +#1845=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1846=VECTOR('',#1845,2.325E0); +#1847=CARTESIAN_POINT('',(1.25E0,1.03E1,-2.E1)); +#1848=LINE('',#1847,#1846); +#1849=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1850=VECTOR('',#1849,1.2E0); +#1851=CARTESIAN_POINT('',(1.25E0,1.03E1,-2.E1)); +#1852=LINE('',#1851,#1850); +#1853=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1854=VECTOR('',#1853,1.2E0); +#1855=CARTESIAN_POINT('',(2.25E0,1.03E1,-2.E1)); +#1856=LINE('',#1855,#1854); +#1857=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1858=VECTOR('',#1857,2.5E0); +#1859=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#1860=LINE('',#1859,#1858); +#1861=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1862=VECTOR('',#1861,1.2E0); +#1863=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#1864=LINE('',#1863,#1862); +#1865=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1866=VECTOR('',#1865,1.2E0); +#1867=CARTESIAN_POINT('',(5.75E0,1.03E1,-2.E1)); +#1868=LINE('',#1867,#1866); +#1869=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1870=VECTOR('',#1869,2.5E0); +#1871=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#1872=LINE('',#1871,#1870); +#1873=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1874=VECTOR('',#1873,1.2E0); +#1875=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#1876=LINE('',#1875,#1874); +#1877=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1878=VECTOR('',#1877,1.2E0); +#1879=CARTESIAN_POINT('',(9.25E0,1.03E1,-2.E1)); +#1880=LINE('',#1879,#1878); +#1881=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1882=VECTOR('',#1881,2.5E0); +#1883=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#1884=LINE('',#1883,#1882); +#1885=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1886=VECTOR('',#1885,1.2E0); +#1887=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#1888=LINE('',#1887,#1886); +#1889=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1890=VECTOR('',#1889,1.2E0); +#1891=CARTESIAN_POINT('',(1.275E1,1.03E1,-2.E1)); +#1892=LINE('',#1891,#1890); +#1893=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1894=VECTOR('',#1893,2.75E0); +#1895=CARTESIAN_POINT('',(1.55E1,1.03E1,-2.E1)); +#1896=LINE('',#1895,#1894); +#1897=CARTESIAN_POINT('',(1.55E1,5.6E0,-2.E1)); +#1898=DIRECTION('',(0.E0,0.E0,1.E0)); +#1899=DIRECTION('',(1.E0,0.E0,0.E0)); +#1900=AXIS2_PLACEMENT_3D('',#1897,#1898,#1899); +#1902=DIRECTION('',(0.E0,1.E0,0.E0)); +#1903=VECTOR('',#1902,4.E-1); +#1904=CARTESIAN_POINT('',(2.02E1,5.2E0,-2.E1)); +#1905=LINE('',#1904,#1903); +#1906=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1907=VECTOR('',#1906,1.2E0); +#1908=CARTESIAN_POINT('',(2.02E1,5.2E0,-2.E1)); +#1909=LINE('',#1908,#1907); +#1910=CARTESIAN_POINT('',(1.9E1,4.7E0,-2.E1)); +#1911=DIRECTION('',(0.E0,0.E0,1.E0)); +#1912=DIRECTION('',(0.E0,1.E0,0.E0)); +#1913=AXIS2_PLACEMENT_3D('',#1910,#1911,#1912); +#1915=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1916=VECTOR('',#1915,1.2E0); +#1917=CARTESIAN_POINT('',(2.02E1,4.2E0,-2.E1)); +#1918=LINE('',#1917,#1916); +#1919=DIRECTION('',(0.E0,1.E0,0.E0)); +#1920=VECTOR('',#1919,8.4E0); +#1921=CARTESIAN_POINT('',(2.02E1,-4.2E0,-2.E1)); +#1922=LINE('',#1921,#1920); +#1923=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1924=VECTOR('',#1923,1.2E0); +#1925=CARTESIAN_POINT('',(2.02E1,-4.2E0,-2.E1)); +#1926=LINE('',#1925,#1924); +#1927=CARTESIAN_POINT('',(1.9E1,-4.7E0,-2.E1)); +#1928=DIRECTION('',(0.E0,0.E0,1.E0)); +#1929=DIRECTION('',(0.E0,1.E0,0.E0)); +#1930=AXIS2_PLACEMENT_3D('',#1927,#1928,#1929); +#1932=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1933=VECTOR('',#1932,1.2E0); +#1934=CARTESIAN_POINT('',(2.02E1,-5.2E0,-2.E1)); +#1935=LINE('',#1934,#1933); +#1936=DIRECTION('',(0.E0,1.E0,0.E0)); +#1937=VECTOR('',#1936,4.E-1); +#1938=CARTESIAN_POINT('',(2.02E1,-5.6E0,-2.E1)); +#1939=LINE('',#1938,#1937); +#1940=CARTESIAN_POINT('',(1.55E1,-5.6E0,-2.E1)); +#1941=DIRECTION('',(0.E0,0.E0,1.E0)); +#1942=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1943=AXIS2_PLACEMENT_3D('',#1940,#1941,#1942); +#1945=DIRECTION('',(1.E0,0.E0,0.E0)); +#1946=VECTOR('',#1945,2.75E0); +#1947=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#1948=LINE('',#1947,#1946); +#1949=DIRECTION('',(0.E0,1.E0,0.E0)); +#1950=VECTOR('',#1949,1.2E0); +#1951=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#1952=LINE('',#1951,#1950); +#1953=DIRECTION('',(0.E0,1.E0,0.E0)); +#1954=VECTOR('',#1953,1.2E0); +#1955=CARTESIAN_POINT('',(1.175E1,-1.03E1,-2.E1)); +#1956=LINE('',#1955,#1954); +#1957=DIRECTION('',(1.E0,0.E0,0.E0)); +#1958=VECTOR('',#1957,2.5E0); +#1959=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#1960=LINE('',#1959,#1958); +#1961=DIRECTION('',(0.E0,1.E0,0.E0)); +#1962=VECTOR('',#1961,1.2E0); +#1963=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#1964=LINE('',#1963,#1962); +#1965=DIRECTION('',(0.E0,1.E0,0.E0)); +#1966=VECTOR('',#1965,1.2E0); +#1967=CARTESIAN_POINT('',(8.25E0,-1.03E1,-2.E1)); +#1968=LINE('',#1967,#1966); +#1969=DIRECTION('',(1.E0,0.E0,0.E0)); +#1970=VECTOR('',#1969,2.325E0); +#1971=CARTESIAN_POINT('',(5.925E0,-1.03E1,-2.E1)); +#1972=LINE('',#1971,#1970); +#1973=DIRECTION('',(0.E0,1.E0,0.E0)); +#1974=VECTOR('',#1973,2.225E0); +#1975=CARTESIAN_POINT('',(5.925E0,-1.03E1,-2.E1)); +#1976=LINE('',#1975,#1974); +#1977=DIRECTION('',(0.E0,1.E0,0.E0)); +#1978=VECTOR('',#1977,2.225E0); +#1979=CARTESIAN_POINT('',(4.575E0,-1.03E1,-2.E1)); +#1980=LINE('',#1979,#1978); +#1981=DIRECTION('',(1.E0,0.E0,0.E0)); +#1982=VECTOR('',#1981,2.325E0); +#1983=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#1984=LINE('',#1983,#1982); +#1985=DIRECTION('',(0.E0,1.E0,0.E0)); +#1986=VECTOR('',#1985,1.2E0); +#1987=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#1988=LINE('',#1987,#1986); +#1989=DIRECTION('',(0.E0,1.E0,0.E0)); +#1990=VECTOR('',#1989,1.2E0); +#1991=CARTESIAN_POINT('',(1.25E0,-1.03E1,-2.E1)); +#1992=LINE('',#1991,#1990); +#1993=DIRECTION('',(1.E0,0.E0,0.E0)); +#1994=VECTOR('',#1993,2.5E0); +#1995=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#1996=LINE('',#1995,#1994); +#1997=DIRECTION('',(0.E0,1.E0,0.E0)); +#1998=VECTOR('',#1997,1.2E0); +#1999=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#2000=LINE('',#1999,#1998); +#2001=DIRECTION('',(0.E0,1.E0,0.E0)); +#2002=VECTOR('',#2001,1.2E0); +#2003=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-2.E1)); +#2004=LINE('',#2003,#2002); +#2005=DIRECTION('',(1.E0,0.E0,0.E0)); +#2006=VECTOR('',#2005,2.5E0); +#2007=CARTESIAN_POINT('',(-4.75E0,-1.03E1,-2.E1)); +#2008=LINE('',#2007,#2006); +#2009=DIRECTION('',(0.E0,1.E0,0.E0)); +#2010=VECTOR('',#2009,1.2E0); +#2011=CARTESIAN_POINT('',(-4.75E0,-1.03E1,-2.E1)); +#2012=LINE('',#2011,#2010); +#2013=DIRECTION('',(0.E0,1.E0,0.E0)); +#2014=VECTOR('',#2013,1.2E0); +#2015=CARTESIAN_POINT('',(-5.75E0,-1.03E1,-2.E1)); +#2016=LINE('',#2015,#2014); +#2017=DIRECTION('',(1.E0,0.E0,0.E0)); +#2018=VECTOR('',#2017,2.5E0); +#2019=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#2020=LINE('',#2019,#2018); +#2021=DIRECTION('',(0.E0,1.E0,0.E0)); +#2022=VECTOR('',#2021,1.2E0); +#2023=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#2024=LINE('',#2023,#2022); +#2025=DIRECTION('',(0.E0,1.E0,0.E0)); +#2026=VECTOR('',#2025,1.2E0); +#2027=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-2.E1)); +#2028=LINE('',#2027,#2026); +#2029=DIRECTION('',(1.E0,0.E0,0.E0)); +#2030=VECTOR('',#2029,2.5E0); +#2031=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#2032=LINE('',#2031,#2030); +#2033=DIRECTION('',(0.E0,1.E0,0.E0)); +#2034=VECTOR('',#2033,1.2E0); +#2035=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#2036=LINE('',#2035,#2034); +#2037=DIRECTION('',(0.E0,1.E0,0.E0)); +#2038=VECTOR('',#2037,1.2E0); +#2039=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-2.E1)); +#2040=LINE('',#2039,#2038); +#2041=DIRECTION('',(1.E0,0.E0,0.E0)); +#2042=VECTOR('',#2041,2.75E0); +#2043=CARTESIAN_POINT('',(-1.55E1,-1.03E1,-2.E1)); +#2044=LINE('',#2043,#2042); +#2045=CARTESIAN_POINT('',(-1.55E1,-5.6E0,-2.E1)); +#2046=DIRECTION('',(0.E0,0.E0,1.E0)); +#2047=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2048=AXIS2_PLACEMENT_3D('',#2045,#2046,#2047); +#2050=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2051=VECTOR('',#2050,4.E-1); +#2052=CARTESIAN_POINT('',(-2.02E1,-5.2E0,-2.E1)); +#2053=LINE('',#2052,#2051); +#2054=DIRECTION('',(1.E0,0.E0,0.E0)); +#2055=VECTOR('',#2054,1.2E0); +#2056=CARTESIAN_POINT('',(-2.02E1,-5.2E0,-2.E1)); +#2057=LINE('',#2056,#2055); +#2058=CARTESIAN_POINT('',(-1.9E1,-4.7E0,-2.E1)); +#2059=DIRECTION('',(0.E0,0.E0,1.E0)); +#2060=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2061=AXIS2_PLACEMENT_3D('',#2058,#2059,#2060); +#2063=DIRECTION('',(1.E0,0.E0,0.E0)); +#2064=VECTOR('',#2063,1.2E0); +#2065=CARTESIAN_POINT('',(-2.02E1,-4.2E0,-2.E1)); +#2066=LINE('',#2065,#2064); +#2067=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2068=VECTOR('',#2067,8.4E0); +#2069=CARTESIAN_POINT('',(-2.02E1,4.2E0,-2.E1)); +#2070=LINE('',#2069,#2068); +#2071=DIRECTION('',(1.E0,0.E0,0.E0)); +#2072=VECTOR('',#2071,1.2E0); +#2073=CARTESIAN_POINT('',(-2.02E1,4.2E0,-2.E1)); +#2074=LINE('',#2073,#2072); +#2075=CARTESIAN_POINT('',(-1.9E1,4.7E0,-2.E1)); +#2076=DIRECTION('',(0.E0,0.E0,1.E0)); +#2077=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2078=AXIS2_PLACEMENT_3D('',#2075,#2076,#2077); +#2080=DIRECTION('',(1.E0,0.E0,0.E0)); +#2081=VECTOR('',#2080,1.2E0); +#2082=CARTESIAN_POINT('',(-2.02E1,5.2E0,-2.E1)); +#2083=LINE('',#2082,#2081); +#2084=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2085=VECTOR('',#2084,4.E-1); +#2086=CARTESIAN_POINT('',(-2.02E1,5.6E0,-2.E1)); +#2087=LINE('',#2086,#2085); +#2088=CARTESIAN_POINT('',(-1.55E1,5.6E0,-2.E1)); +#2089=DIRECTION('',(0.E0,0.E0,1.E0)); +#2090=DIRECTION('',(0.E0,1.E0,0.E0)); +#2091=AXIS2_PLACEMENT_3D('',#2088,#2089,#2090); +#2093=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2094=VECTOR('',#2093,2.75E0); +#2095=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#2096=LINE('',#2095,#2094); +#2097=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2098=VECTOR('',#2097,1.2E0); +#2099=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#2100=LINE('',#2099,#2098); +#2101=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2102=VECTOR('',#2101,1.2E0); +#2103=CARTESIAN_POINT('',(-1.175E1,1.03E1,-2.E1)); +#2104=LINE('',#2103,#2102); +#2105=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2106=VECTOR('',#2105,2.5E0); +#2107=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#2108=LINE('',#2107,#2106); +#2109=DIRECTION('',(0.E0,0.E0,1.E0)); +#2110=VECTOR('',#2109,2.E-1); +#2111=CARTESIAN_POINT('',(-1.659E1,3.191488981247E-1,-2.E1)); +#2112=LINE('',#2111,#2110); +#2113=DIRECTION('',(0.E0,0.E0,1.E0)); +#2114=VECTOR('',#2113,2.E-1); +#2115=CARTESIAN_POINT('',(-1.659E1,4.042552709579E-1,-2.E1)); +#2116=LINE('',#2115,#2114); +#2117=DIRECTION('',(0.E0,0.E0,1.E0)); +#2118=VECTOR('',#2117,2.E-1); +#2119=CARTESIAN_POINT('',(-1.663166662693E1,5.319149494171E-1,-2.E1)); +#2120=LINE('',#2119,#2118); +#2121=DIRECTION('',(0.E0,0.E0,1.E0)); +#2122=VECTOR('',#2121,2.E-1); +#2123=CARTESIAN_POINT('',(-1.6715E1,6.595745086670E-1,-2.E1)); +#2124=LINE('',#2123,#2122); +#2125=DIRECTION('',(0.E0,0.E0,1.E0)); +#2126=VECTOR('',#2125,2.E-1); +#2127=CARTESIAN_POINT('',(-1.684E1,7.446808815002E-1,-2.E1)); +#2128=LINE('',#2127,#2126); +#2129=DIRECTION('',(0.E0,0.E0,1.E0)); +#2130=VECTOR('',#2129,2.E-1); +#2131=CARTESIAN_POINT('',(-1.6965E1,7.872340679169E-1,-2.E1)); +#2132=LINE('',#2131,#2130); +#2133=DIRECTION('',(0.E0,0.E0,1.E0)); +#2134=VECTOR('',#2133,2.E-1); +#2135=CARTESIAN_POINT('',(-1.725666668653E1,7.872340679169E-1,-2.E1)); +#2136=LINE('',#2135,#2134); +#2137=DIRECTION('',(0.E0,0.E0,1.E0)); +#2138=VECTOR('',#2137,2.E-1); +#2139=CARTESIAN_POINT('',(-1.738166665673E1,7.446808815002E-1,-2.E1)); +#2140=LINE('',#2139,#2138); +#2141=DIRECTION('',(0.E0,0.E0,1.E0)); +#2142=VECTOR('',#2141,2.E-1); +#2143=CARTESIAN_POINT('',(-1.750666665673E1,6.595745086670E-1,-2.E1)); +#2144=LINE('',#2143,#2142); +#2145=DIRECTION('',(0.E0,0.E0,1.E0)); +#2146=VECTOR('',#2145,2.E-1); +#2147=CARTESIAN_POINT('',(-1.759E1,5.319149494171E-1,-2.E1)); +#2148=LINE('',#2147,#2146); +#2149=DIRECTION('',(0.E0,0.E0,1.E0)); +#2150=VECTOR('',#2149,2.E-1); +#2151=CARTESIAN_POINT('',(-1.763166667163E1,4.042552709579E-1,-2.E1)); +#2152=LINE('',#2151,#2150); +#2153=DIRECTION('',(0.E0,0.E0,1.E0)); +#2154=VECTOR('',#2153,2.E-1); +#2155=CARTESIAN_POINT('',(-1.763166667163E1,3.191488981247E-1,-2.E1)); +#2156=LINE('',#2155,#2154); +#2157=DIRECTION('',(0.E0,0.E0,1.E0)); +#2158=VECTOR('',#2157,2.E-1); +#2159=CARTESIAN_POINT('',(-1.759E1,1.914893388748E-1,-2.E1)); +#2160=LINE('',#2159,#2158); +#2161=DIRECTION('',(0.E0,0.E0,1.E0)); +#2162=VECTOR('',#2161,2.E-1); +#2163=CARTESIAN_POINT('',(-1.750666665673E1,6.382977962494E-2,-2.E1)); +#2164=LINE('',#2163,#2162); +#2165=DIRECTION('',(0.E0,0.E0,1.E0)); +#2166=VECTOR('',#2165,2.E-1); +#2167=CARTESIAN_POINT('',(-1.738166665673E1,-2.127659320831E-2,-2.E1)); +#2168=LINE('',#2167,#2166); +#2169=DIRECTION('',(0.E0,0.E0,1.E0)); +#2170=VECTOR('',#2169,2.E-1); +#2171=CARTESIAN_POINT('',(-1.725666668653E1,-6.382977962494E-2,-2.E1)); +#2172=LINE('',#2171,#2170); +#2173=DIRECTION('',(0.E0,0.E0,1.E0)); +#2174=VECTOR('',#2173,2.E-1); +#2175=CARTESIAN_POINT('',(-1.6965E1,-6.382977962494E-2,-2.E1)); +#2176=LINE('',#2175,#2174); +#2177=DIRECTION('',(0.E0,0.E0,1.E0)); +#2178=VECTOR('',#2177,2.E-1); +#2179=CARTESIAN_POINT('',(-1.684E1,-2.127659320831E-2,-2.E1)); +#2180=LINE('',#2179,#2178); +#2181=DIRECTION('',(0.E0,0.E0,1.E0)); +#2182=VECTOR('',#2181,2.E-1); +#2183=CARTESIAN_POINT('',(-1.6715E1,6.382977962494E-2,-2.E1)); +#2184=LINE('',#2183,#2182); +#2185=DIRECTION('',(0.E0,0.E0,1.E0)); +#2186=VECTOR('',#2185,2.E-1); +#2187=CARTESIAN_POINT('',(-1.663166662693E1,1.914893388748E-1,-2.E1)); +#2188=LINE('',#2187,#2186); +#2189=DIRECTION('',(0.E0,1.E0,0.E0)); +#2190=VECTOR('',#2189,2.E0); +#2191=CARTESIAN_POINT('',(-1.5E1,3.000000000001E0,-1.98E1)); +#2192=LINE('',#2191,#2190); +#2193=DIRECTION('',(1.E0,0.E0,0.E0)); +#2194=VECTOR('',#2193,2.5E-1); +#2195=CARTESIAN_POINT('',(-1.525E1,3.000000000001E0,-1.98E1)); +#2196=LINE('',#2195,#2194); +#2197=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2198=VECTOR('',#2197,1.659574508667E0); +#2199=CARTESIAN_POINT('',(-1.525E1,4.659574508668E0,-1.98E1)); +#2200=LINE('',#2199,#2198); +#2201=DIRECTION('',(6.428711427642E-1,7.659743427825E-1,0.E0)); +#2202=VECTOR('',#2201,3.888804199937E-1); +#2203=CARTESIAN_POINT('',(-1.55E1,4.361702084542E0,-1.98E1)); +#2204=LINE('',#2203,#2202); +#2205=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2206=VECTOR('',#2205,3.404256105423E-1); +#2207=CARTESIAN_POINT('',(-1.55E1,4.702127695084E0,-1.98E1)); +#2208=LINE('',#2207,#2206); +#2209=DIRECTION('',(-6.428712937139E-1,-7.659742160926E-1,0.E0)); +#2210=VECTOR('',#2209,3.888803286825E-1); +#2211=CARTESIAN_POINT('',(-1.525E1,5.000000000001E0,-1.98E1)); +#2212=LINE('',#2211,#2210); +#2213=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2214=VECTOR('',#2213,2.5E-1); +#2215=CARTESIAN_POINT('',(-1.5E1,5.000000000001E0,-1.98E1)); +#2216=LINE('',#2215,#2214); +#2217=DIRECTION('',(3.102799827934E-1,-9.506452189317E-1,0.E0)); +#2218=VECTOR('',#2217,1.342873098291E-1); +#2219=CARTESIAN_POINT('',(-1.775666666418E1,-5.319148898125E-1,-1.98E1)); +#2220=LINE('',#2219,#2218); +#2221=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2222=VECTOR('',#2221,2.083333209157E-1); +#2223=CARTESIAN_POINT('',(-1.754833334327E1,-5.319148898125E-1,-1.98E1)); +#2224=LINE('',#2223,#2222); +#2225=DIRECTION('',(-5.466225028388E-1,8.373791491256E-1,0.E0)); +#2226=VECTOR('',#2225,1.524513587250E-1); +#2227=CARTESIAN_POINT('',(-1.7465E1,-6.595744788647E-1,-1.98E1)); +#2228=LINE('',#2227,#2226); +#2229=DIRECTION('',(-8.265992787996E-1,5.627909312417E-1,0.E0)); +#2230=VECTOR('',#2229,1.512220046714E-1); +#2231=CARTESIAN_POINT('',(-1.734E1,-7.446808516979E-1,-1.98E1)); +#2232=LINE('',#2231,#2230); +#2233=DIRECTION('',(-9.466499177700E-1,3.222637633772E-1,0.E0)); +#2234=VECTOR('',#2233,1.320445897196E-1); +#2235=CARTESIAN_POINT('',(-1.7215E1,-7.872340381145E-1,-1.98E1)); +#2236=LINE('',#2235,#2234); +#2237=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2238=VECTOR('',#2237,2.5E-1); +#2239=CARTESIAN_POINT('',(-1.6965E1,-7.872340381145E-1,-1.98E1)); +#2240=LINE('',#2239,#2238); +#2241=DIRECTION('',(-9.466499177700E-1,-3.222637633772E-1,0.E0)); +#2242=VECTOR('',#2241,1.320445897196E-1); +#2243=CARTESIAN_POINT('',(-1.684E1,-7.446808516979E-1,-1.98E1)); +#2244=LINE('',#2243,#2242); +#2245=DIRECTION('',(-8.265992787996E-1,-5.627909312417E-1,0.E0)); +#2246=VECTOR('',#2245,1.512220046714E-1); +#2247=CARTESIAN_POINT('',(-1.6715E1,-6.595744788647E-1,-1.98E1)); +#2248=LINE('',#2247,#2246); +#2249=DIRECTION('',(-5.466226399153E-1,-8.373790596451E-1,0.E0)); +#2250=VECTOR('',#2249,1.524513750156E-1); +#2251=CARTESIAN_POINT('',(-1.663166662693E1,-5.319148898125E-1,-1.98E1)); +#2252=LINE('',#2251,#2250); +#2253=DIRECTION('',(-3.102797975506E-1,-9.506452793929E-1,0.E0)); +#2254=VECTOR('',#2253,1.342872699388E-1); +#2255=CARTESIAN_POINT('',(-1.659E1,-4.042553305626E-1,-1.98E1)); +#2256=LINE('',#2255,#2254); +#2257=DIRECTION('',(-1.610636102655E-1,-9.869440275154E-1,0.E0)); +#2258=VECTOR('',#2257,2.586967153012E-1); +#2259=CARTESIAN_POINT('',(-1.654833337307E1,-1.489361524582E-1,-1.98E1)); +#2260=LINE('',#2259,#2258); +#2261=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2262=VECTOR('',#2261,8.510637283325E-2); +#2263=CARTESIAN_POINT('',(-1.654833337307E1,-6.382977962494E-2,-1.98E1)); +#2264=LINE('',#2263,#2262); +#2265=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#2266=VECTOR('',#2265,3.024440093428E-1); +#2267=CARTESIAN_POINT('',(-1.679833337307E1,-2.340425252914E-1,-1.98E1)); +#2268=LINE('',#2267,#2266); +#2269=DIRECTION('',(9.797706505734E-1,2.001236424690E-1,0.E0)); +#2270=VECTOR('',#2269,2.126347766625E-1); +#2271=CARTESIAN_POINT('',(-1.700666668653E1,-2.765957713127E-1,-1.98E1)); +#2272=LINE('',#2271,#2270); +#2273=DIRECTION('',(1.E0,0.E0,0.E0)); +#2274=VECTOR('',#2273,2.083333134651E-1); +#2275=CARTESIAN_POINT('',(-1.7215E1,-2.765957713127E-1,-1.98E1)); +#2276=LINE('',#2275,#2274); +#2277=DIRECTION('',(9.797706561866E-1,-2.001236149876E-1,0.E0)); +#2278=VECTOR('',#2277,2.126348058619E-1); +#2279=CARTESIAN_POINT('',(-1.742333334327E1,-2.340425252914E-1,-1.98E1)); +#2280=LINE('',#2279,#2278); +#2281=DIRECTION('',(8.526534170609E-1,-5.224769376483E-1,0.E0)); +#2282=VECTOR('',#2281,2.443352998976E-1); +#2283=CARTESIAN_POINT('',(-1.763166667163E1,-1.063829660416E-1,-1.98E1)); +#2284=LINE('',#2283,#2282); +#2285=DIRECTION('',(5.919095258298E-1,-8.060044126628E-1,0.E0)); +#2286=VECTOR('',#2285,2.111809104173E-1); +#2287=CARTESIAN_POINT('',(-1.775666666418E1,6.382977962494E-2,-1.98E1)); +#2288=LINE('',#2287,#2286); +#2289=DIRECTION('',(3.646919453324E-1,-9.311282323126E-1,0.E0)); +#2290=VECTOR('',#2289,2.285033625870E-1); +#2291=CARTESIAN_POINT('',(-1.784E1,2.765957117081E-1,-1.98E1)); +#2292=LINE('',#2291,#2290); +#2293=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2294=VECTOR('',#2293,1.702127456665E-1); +#2295=CARTESIAN_POINT('',(-1.784E1,4.468084573746E-1,-1.98E1)); +#2296=LINE('',#2295,#2294); +#2297=DIRECTION('',(-3.646917681776E-1,-9.311283016983E-1,0.E0)); +#2298=VECTOR('',#2297,2.285034735861E-1); +#2299=CARTESIAN_POINT('',(-1.775666666418E1,6.595745086670E-1,-1.98E1)); +#2300=LINE('',#2299,#2298); +#2301=DIRECTION('',(-5.919095258298E-1,-8.060044126628E-1,0.E0)); +#2302=VECTOR('',#2301,2.111809104173E-1); +#2303=CARTESIAN_POINT('',(-1.763166667163E1,8.297872543335E-1,-1.98E1)); +#2304=LINE('',#2303,#2302); +#2305=DIRECTION('',(-8.526534170609E-1,-5.224769376483E-1,0.E0)); +#2306=VECTOR('',#2305,2.443352998976E-1); +#2307=CARTESIAN_POINT('',(-1.742333334327E1,9.574468135834E-1,-1.98E1)); +#2308=LINE('',#2307,#2306); +#2309=DIRECTION('',(-9.797707111493E-1,-2.001233458994E-1,0.E0)); +#2310=VECTOR('',#2309,2.126347939336E-1); +#2311=CARTESIAN_POINT('',(-1.7215E1,1.E0,-1.98E1)); +#2312=LINE('',#2311,#2310); +#2313=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2314=VECTOR('',#2313,2.083333134651E-1); +#2315=CARTESIAN_POINT('',(-1.700666668653E1,1.E0,-1.98E1)); +#2316=LINE('',#2315,#2314); +#2317=DIRECTION('',(-9.797707055361E-1,2.001233733807E-1,0.E0)); +#2318=VECTOR('',#2317,2.126347647342E-1); +#2319=CARTESIAN_POINT('',(-1.679833337307E1,9.574468135834E-1,-1.98E1)); +#2320=LINE('',#2319,#2318); +#2321=DIRECTION('',(-8.526534670056E-1,5.224768561414E-1,0.E0)); +#2322=VECTOR('',#2321,2.443353380142E-1); +#2323=CARTESIAN_POINT('',(-1.659E1,8.297872543335E-1,-1.98E1)); +#2324=LINE('',#2323,#2322); +#2325=DIRECTION('',(-5.919095487496E-1,8.060043958311E-1,0.E0)); +#2326=VECTOR('',#2325,2.111809148274E-1); +#2327=CARTESIAN_POINT('',(-1.6465E1,6.595745086670E-1,-1.98E1)); +#2328=LINE('',#2327,#2326); +#2329=DIRECTION('',(-3.646919095245E-1,9.311282463374E-1,0.E0)); +#2330=VECTOR('',#2329,2.285034871720E-1); +#2331=CARTESIAN_POINT('',(-1.638166662693E1,4.468084573746E-1,-1.98E1)); +#2332=LINE('',#2331,#2330); +#2333=DIRECTION('',(-1.385320968676E-1,9.903579444511E-1,0.E0)); +#2334=VECTOR('',#2333,3.007723688040E-1); +#2335=CARTESIAN_POINT('',(-1.634E1,1.489361524582E-1,-1.98E1)); +#2336=LINE('',#2335,#2334); +#2337=DIRECTION('',(0.E0,1.E0,0.E0)); +#2338=VECTOR('',#2337,2.978723049164E-1); +#2339=CARTESIAN_POINT('',(-1.634E1,-1.489361524582E-1,-1.98E1)); +#2340=LINE('',#2339,#2338); +#2341=DIRECTION('',(1.385320696791E-1,9.903579482543E-1,0.E0)); +#2342=VECTOR('',#2341,3.007724278339E-1); +#2343=CARTESIAN_POINT('',(-1.638166662693E1,-4.468085169792E-1,-1.98E1)); +#2344=LINE('',#2343,#2342); +#2345=DIRECTION('',(3.646920423907E-1,9.311281942981E-1,0.E0)); +#2346=VECTOR('',#2345,2.285034039226E-1); +#2347=CARTESIAN_POINT('',(-1.6465E1,-6.595744788647E-1,-1.98E1)); +#2348=LINE('',#2347,#2346); +#2349=DIRECTION('',(5.919095150862E-1,8.060044205527E-1,0.E0)); +#2350=VECTOR('',#2349,2.111809268378E-1); +#2351=CARTESIAN_POINT('',(-1.659E1,-8.297872394323E-1,-1.98E1)); +#2352=LINE('',#2351,#2350); +#2353=DIRECTION('',(8.526534466288E-1,5.224768893951E-1,0.E0)); +#2354=VECTOR('',#2353,2.443353438533E-1); +#2355=CARTESIAN_POINT('',(-1.679833337307E1,-9.574468098581E-1,-1.98E1)); +#2356=LINE('',#2355,#2354); +#2357=DIRECTION('',(9.797707021009E-1,2.001233901988E-1,0.E0)); +#2358=VECTOR('',#2357,2.126347654797E-1); +#2359=CARTESIAN_POINT('',(-1.700666668653E1,-1.E0,-1.98E1)); +#2360=LINE('',#2359,#2358); +#2361=DIRECTION('',(1.E0,0.E0,0.E0)); +#2362=VECTOR('',#2361,1.666666269302E-1); +#2363=CARTESIAN_POINT('',(-1.717333331347E1,-1.E0,-1.98E1)); +#2364=LINE('',#2363,#2362); +#2365=DIRECTION('',(9.797707077141E-1,-2.001233627174E-1,0.E0)); +#2366=VECTOR('',#2365,2.126347946791E-1); +#2367=CARTESIAN_POINT('',(-1.738166665673E1,-9.574468098581E-1,-1.98E1)); +#2368=LINE('',#2367,#2366); +#2369=DIRECTION('',(8.526534133324E-1,-5.224769437331E-1,0.E0)); +#2370=VECTOR('',#2369,2.443353184423E-1); +#2371=CARTESIAN_POINT('',(-1.759E1,-8.297872394323E-1,-1.98E1)); +#2372=LINE('',#2371,#2370); +#2373=DIRECTION('',(5.919095150862E-1,-8.060044205527E-1,0.E0)); +#2374=VECTOR('',#2373,2.111809268378E-1); +#2375=CARTESIAN_POINT('',(-1.7715E1,-6.595744788647E-1,-1.98E1)); +#2376=LINE('',#2375,#2374); +#2377=DIRECTION('',(-3.102797975506E-1,-9.506452793929E-1,0.E0)); +#2378=VECTOR('',#2377,1.342872699388E-1); +#2379=CARTESIAN_POINT('',(-1.659E1,3.191488981247E-1,-1.98E1)); +#2380=LINE('',#2379,#2378); +#2381=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2382=VECTOR('',#2381,8.510637283325E-2); +#2383=CARTESIAN_POINT('',(-1.659E1,4.042552709579E-1,-1.98E1)); +#2384=LINE('',#2383,#2382); +#2385=DIRECTION('',(3.102795357040E-1,-9.506453648566E-1,0.E0)); +#2386=VECTOR('',#2385,1.342873832646E-1); +#2387=CARTESIAN_POINT('',(-1.663166662693E1,5.319149494171E-1,-1.98E1)); +#2388=LINE('',#2387,#2386); +#2389=DIRECTION('',(5.466227293958E-1,-8.373790012341E-1,0.E0)); +#2390=VECTOR('',#2389,1.524513500598E-1); +#2391=CARTESIAN_POINT('',(-1.6715E1,6.595745086670E-1,-1.98E1)); +#2392=LINE('',#2391,#2390); +#2393=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#2394=VECTOR('',#2393,1.512220046714E-1); +#2395=CARTESIAN_POINT('',(-1.684E1,7.446808815002E-1,-1.98E1)); +#2396=LINE('',#2395,#2394); +#2397=DIRECTION('',(9.466499177700E-1,-3.222637633772E-1,0.E0)); +#2398=VECTOR('',#2397,1.320445897196E-1); +#2399=CARTESIAN_POINT('',(-1.6965E1,7.872340679169E-1,-1.98E1)); +#2400=LINE('',#2399,#2398); +#2401=DIRECTION('',(1.E0,0.E0,0.E0)); +#2402=VECTOR('',#2401,2.916666865349E-1); +#2403=CARTESIAN_POINT('',(-1.725666668653E1,7.872340679169E-1,-1.98E1)); +#2404=LINE('',#2403,#2402); +#2405=DIRECTION('',(9.466498943303E-1,3.222638322314E-1,0.E0)); +#2406=VECTOR('',#2405,1.320445615072E-1); +#2407=CARTESIAN_POINT('',(-1.738166665673E1,7.446808815002E-1,-1.98E1)); +#2408=LINE('',#2407,#2406); +#2409=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#2410=VECTOR('',#2409,1.512220046714E-1); +#2411=CARTESIAN_POINT('',(-1.750666665673E1,6.595745086670E-1,-1.98E1)); +#2412=LINE('',#2411,#2410); +#2413=DIRECTION('',(5.466225923193E-1,8.373790907147E-1,0.E0)); +#2414=VECTOR('',#2413,1.524513337692E-1); +#2415=CARTESIAN_POINT('',(-1.759E1,5.319149494171E-1,-1.98E1)); +#2416=LINE('',#2415,#2414); +#2417=DIRECTION('',(3.102798365493E-1,9.506452666641E-1,0.E0)); +#2418=VECTOR('',#2417,1.342873971351E-1); +#2419=CARTESIAN_POINT('',(-1.763166667163E1,4.042552709579E-1,-1.98E1)); +#2420=LINE('',#2419,#2418); +#2421=DIRECTION('',(0.E0,1.E0,0.E0)); +#2422=VECTOR('',#2421,8.510637283325E-2); +#2423=CARTESIAN_POINT('',(-1.763166667163E1,3.191488981247E-1,-1.98E1)); +#2424=LINE('',#2423,#2422); +#2425=DIRECTION('',(-3.102800983961E-1,9.506451812003E-1,0.E0)); +#2426=VECTOR('',#2425,1.342872838094E-1); +#2427=CARTESIAN_POINT('',(-1.759E1,1.914893388748E-1,-1.98E1)); +#2428=LINE('',#2427,#2426); +#2429=DIRECTION('',(-5.466225923193E-1,8.373790907147E-1,0.E0)); +#2430=VECTOR('',#2429,1.524513337692E-1); +#2431=CARTESIAN_POINT('',(-1.750666665673E1,6.382977962494E-2,-1.98E1)); +#2432=LINE('',#2431,#2430); +#2433=DIRECTION('',(-8.265992787996E-1,5.627909312417E-1,0.E0)); +#2434=VECTOR('',#2433,1.512220046714E-1); +#2435=CARTESIAN_POINT('',(-1.738166665673E1,-2.127659320831E-2,-1.98E1)); +#2436=LINE('',#2435,#2434); +#2437=DIRECTION('',(-9.466498943303E-1,3.222638322314E-1,0.E0)); +#2438=VECTOR('',#2437,1.320445615072E-1); +#2439=CARTESIAN_POINT('',(-1.725666668653E1,-6.382977962494E-2,-1.98E1)); +#2440=LINE('',#2439,#2438); +#2441=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2442=VECTOR('',#2441,2.916666865349E-1); +#2443=CARTESIAN_POINT('',(-1.6965E1,-6.382977962494E-2,-1.98E1)); +#2444=LINE('',#2443,#2442); +#2445=DIRECTION('',(-9.466499177700E-1,-3.222637633772E-1,0.E0)); +#2446=VECTOR('',#2445,1.320445897196E-1); +#2447=CARTESIAN_POINT('',(-1.684E1,-2.127659320831E-2,-1.98E1)); +#2448=LINE('',#2447,#2446); +#2449=DIRECTION('',(-8.265992787996E-1,-5.627909312417E-1,0.E0)); +#2450=VECTOR('',#2449,1.512220046714E-1); +#2451=CARTESIAN_POINT('',(-1.6715E1,6.382977962494E-2,-1.98E1)); +#2452=LINE('',#2451,#2450); +#2453=DIRECTION('',(-5.466227293958E-1,-8.373790012342E-1,0.E0)); +#2454=VECTOR('',#2453,1.524513500598E-1); +#2455=CARTESIAN_POINT('',(-1.663166662693E1,1.914893388748E-1,-1.98E1)); +#2456=LINE('',#2455,#2454); +#2457=DIRECTION('',(0.E0,1.E0,0.E0)); +#2458=VECTOR('',#2457,2.E0); +#2459=CARTESIAN_POINT('',(-1.75E1,-5.000000000001E0,-1.98E1)); +#2460=LINE('',#2459,#2458); +#2461=DIRECTION('',(1.E0,0.E0,0.E0)); +#2462=VECTOR('',#2461,2.5E-1); +#2463=CARTESIAN_POINT('',(-1.775E1,-5.000000000001E0,-1.98E1)); +#2464=LINE('',#2463,#2462); +#2465=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2466=VECTOR('',#2465,1.659574508667E0); +#2467=CARTESIAN_POINT('',(-1.775E1,-3.340425491334E0,-1.98E1)); +#2468=LINE('',#2467,#2466); +#2469=DIRECTION('',(6.428711427642E-1,7.659743427825E-1,0.E0)); +#2470=VECTOR('',#2469,3.888804199937E-1); +#2471=CARTESIAN_POINT('',(-1.8E1,-3.638297915459E0,-1.98E1)); +#2472=LINE('',#2471,#2470); +#2473=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2474=VECTOR('',#2473,3.404256105423E-1); +#2475=CARTESIAN_POINT('',(-1.8E1,-3.297872304917E0,-1.98E1)); +#2476=LINE('',#2475,#2474); +#2477=DIRECTION('',(-6.428712937139E-1,-7.659742160926E-1,0.E0)); +#2478=VECTOR('',#2477,3.888803286825E-1); +#2479=CARTESIAN_POINT('',(-1.775E1,-3.000000000001E0,-1.98E1)); +#2480=LINE('',#2479,#2478); +#2481=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2482=VECTOR('',#2481,2.5E-1); +#2483=CARTESIAN_POINT('',(-1.75E1,-3.000000000001E0,-1.98E1)); +#2484=LINE('',#2483,#2482); +#2485=DIRECTION('',(-6.996248351869E-1,7.145103848018E-1,0.E0)); +#2486=VECTOR('',#2485,1.786671852016E-1); +#2487=CARTESIAN_POINT('',(-1.575E1,-4.021276593209E0,-1.98E1)); +#2488=LINE('',#2487,#2486); +#2489=DIRECTION('',(-3.646916343690E-1,9.311283541066E-1,0.E0)); +#2490=VECTOR('',#2489,2.285033326982E-1); +#2491=CARTESIAN_POINT('',(-1.566666674614E1,-4.234042525292E0,-1.98E1)); +#2492=LINE('',#2491,#2490); +#2493=DIRECTION('',(0.E0,1.E0,0.E0)); +#2494=VECTOR('',#2493,2.127659916878E-1); +#2495=CARTESIAN_POINT('',(-1.566666674614E1,-4.446808516980E0,-1.98E1)); +#2496=LINE('',#2495,#2494); +#2497=DIRECTION('',(3.646915900803E-1,9.311283714530E-1,0.E0)); +#2498=VECTOR('',#2497,2.285033604480E-1); +#2499=CARTESIAN_POINT('',(-1.575E1,-4.659574478865E0,-1.98E1)); +#2500=LINE('',#2499,#2498); +#2501=DIRECTION('',(5.919095150862E-1,8.060044205527E-1,0.E0)); +#2502=VECTOR('',#2501,2.111809268378E-1); +#2503=CARTESIAN_POINT('',(-1.5875E1,-4.829787239433E0,-1.98E1)); +#2504=LINE('',#2503,#2502); +#2505=DIRECTION('',(8.526534466288E-1,5.224768893951E-1,0.E0)); +#2506=VECTOR('',#2505,2.443353438533E-1); +#2507=CARTESIAN_POINT('',(-1.608333337307E1,-4.957446809859E0,-1.98E1)); +#2508=LINE('',#2507,#2506); +#2509=DIRECTION('',(9.797706908745E-1,2.001234451615E-1,0.E0)); +#2510=VECTOR('',#2509,2.126347070808E-1); +#2511=CARTESIAN_POINT('',(-1.629166662693E1,-5.000000000001E0,-1.98E1)); +#2512=LINE('',#2511,#2510); +#2513=DIRECTION('',(1.E0,0.E0,0.E0)); +#2514=VECTOR('',#2513,2.083333730698E-1); +#2515=CARTESIAN_POINT('',(-1.65E1,-5.000000000001E0,-1.98E1)); +#2516=LINE('',#2515,#2514); +#2517=DIRECTION('',(9.797707133274E-1,-2.001233352361E-1,0.E0)); +#2518=VECTOR('',#2517,2.126348238786E-1); +#2519=CARTESIAN_POINT('',(-1.670833337307E1,-4.957446809859E0,-1.98E1)); +#2520=LINE('',#2519,#2518); +#2521=DIRECTION('',(8.526533134429E-1,-5.224771067470E-1,0.E0)); +#2522=VECTOR('',#2521,2.443352422091E-1); +#2523=CARTESIAN_POINT('',(-1.691666662693E1,-4.829787239433E0,-1.98E1)); +#2524=LINE('',#2523,#2522); +#2525=DIRECTION('',(5.919096984443E-1,-8.060042858990E-1,0.E0)); +#2526=VECTOR('',#2525,2.111809621183E-1); +#2527=CARTESIAN_POINT('',(-1.704166668653E1,-4.659574478865E0,-1.98E1)); +#2528=LINE('',#2527,#2526); +#2529=DIRECTION('',(3.646918162355E-1,-9.311282828756E-1,0.E0)); +#2530=VECTOR('',#2529,2.285033821853E-1); +#2531=CARTESIAN_POINT('',(-1.7125E1,-4.446808516980E0,-1.98E1)); +#2532=LINE('',#2531,#2530); +#2533=DIRECTION('',(1.385322640479E-1,-9.903579210658E-1,0.E0)); +#2534=VECTOR('',#2533,3.007724360910E-1); +#2535=CARTESIAN_POINT('',(-1.716666668653E1,-4.148936152459E0,-1.98E1)); +#2536=LINE('',#2535,#2534); +#2537=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2538=VECTOR('',#2537,2.978723049164E-1); +#2539=CARTESIAN_POINT('',(-1.716666668653E1,-3.851063847542E0,-1.98E1)); +#2540=LINE('',#2539,#2538); +#2541=DIRECTION('',(-1.385322912364E-1,-9.903579172626E-1,0.E0)); +#2542=VECTOR('',#2541,3.007723770611E-1); +#2543=CARTESIAN_POINT('',(-1.7125E1,-3.553191542626E0,-1.98E1)); +#2544=LINE('',#2543,#2542); +#2545=DIRECTION('',(-3.646916833695E-1,-9.311283349148E-1,0.E0)); +#2546=VECTOR('',#2545,2.285034654346E-1); +#2547=CARTESIAN_POINT('',(-1.704166668653E1,-3.340425491334E0,-1.98E1)); +#2548=LINE('',#2547,#2546); +#2549=DIRECTION('',(-5.919097321077E-1,-8.060042611774E-1,0.E0)); +#2550=VECTOR('',#2549,2.111809501079E-1); +#2551=CARTESIAN_POINT('',(-1.691666662693E1,-3.170212745667E0,-1.98E1)); +#2552=LINE('',#2551,#2550); +#2553=DIRECTION('',(-8.526533338197E-1,-5.224770734933E-1,0.E0)); +#2554=VECTOR('',#2553,2.443352363700E-1); +#2555=CARTESIAN_POINT('',(-1.670833337307E1,-3.042553186417E0,-1.98E1)); +#2556=LINE('',#2555,#2554); +#2557=DIRECTION('',(-9.797707167625E-1,-2.001233184181E-1,0.E0)); +#2558=VECTOR('',#2557,2.126348231331E-1); +#2559=CARTESIAN_POINT('',(-1.65E1,-3.000000000001E0,-1.98E1)); +#2560=LINE('',#2559,#2558); +#2561=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2562=VECTOR('',#2561,1.666666269302E-1); +#2563=CARTESIAN_POINT('',(-1.633333337307E1,-3.000000000001E0,-1.98E1)); +#2564=LINE('',#2563,#2562); +#2565=DIRECTION('',(-9.797707167625E-1,2.001233184181E-1,0.E0)); +#2566=VECTOR('',#2565,2.126348231331E-1); +#2567=CARTESIAN_POINT('',(-1.6125E1,-3.042553186417E0,-1.98E1)); +#2568=LINE('',#2567,#2566); +#2569=DIRECTION('',(-8.526533338197E-1,5.224770734933E-1,0.E0)); +#2570=VECTOR('',#2569,2.443352363700E-1); +#2571=CARTESIAN_POINT('',(-1.591666674614E1,-3.170212745667E0,-1.98E1)); +#2572=LINE('',#2571,#2570); +#2573=DIRECTION('',(-5.919095487496E-1,8.060043958311E-1,0.E0)); +#2574=VECTOR('',#2573,2.111809148274E-1); +#2575=CARTESIAN_POINT('',(-1.579166674614E1,-3.340425491334E0,-1.98E1)); +#2576=LINE('',#2575,#2574); +#2577=DIRECTION('',(-3.102805998049E-1,9.506450175458E-1,0.E0)); +#2578=VECTOR('',#2577,1.342873069271E-1); +#2579=CARTESIAN_POINT('',(-1.575E1,-3.468085050583E0,-1.98E1)); +#2580=LINE('',#2579,#2578); +#2581=DIRECTION('',(1.E0,0.E0,0.E0)); +#2582=VECTOR('',#2581,2.083332538605E-1); +#2583=CARTESIAN_POINT('',(-1.595833325386E1,-3.468085050583E0,-1.98E1)); +#2584=LINE('',#2583,#2582); +#2585=DIRECTION('',(5.466227293958E-1,-8.373790012342E-1,0.E0)); +#2586=VECTOR('',#2585,1.524513500598E-1); +#2587=CARTESIAN_POINT('',(-1.604166662693E1,-3.340425491334E0,-1.98E1)); +#2588=LINE('',#2587,#2586); +#2589=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#2590=VECTOR('',#2589,1.512220046714E-1); +#2591=CARTESIAN_POINT('',(-1.616666662693E1,-3.255319118500E0,-1.98E1)); +#2592=LINE('',#2591,#2590); +#2593=DIRECTION('',(9.466499177700E-1,-3.222637633772E-1,0.E0)); +#2594=VECTOR('',#2593,1.320445897196E-1); +#2595=CARTESIAN_POINT('',(-1.629166662693E1,-3.212765932084E0,-1.98E1)); +#2596=LINE('',#2595,#2594); +#2597=DIRECTION('',(1.E0,0.E0,0.E0)); +#2598=VECTOR('',#2597,2.5E-1); +#2599=CARTESIAN_POINT('',(-1.654166662693E1,-3.212765932084E0,-1.98E1)); +#2600=LINE('',#2599,#2598); +#2601=DIRECTION('',(9.466499177700E-1,3.222637633772E-1,0.E0)); +#2602=VECTOR('',#2601,1.320445897196E-1); +#2603=CARTESIAN_POINT('',(-1.666666662693E1,-3.255319118500E0,-1.98E1)); +#2604=LINE('',#2603,#2602); +#2605=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#2606=VECTOR('',#2605,1.512220046714E-1); +#2607=CARTESIAN_POINT('',(-1.679166662693E1,-3.340425491334E0,-1.98E1)); +#2608=LINE('',#2607,#2606); +#2609=DIRECTION('',(5.466227293958E-1,8.373790012342E-1,0.E0)); +#2610=VECTOR('',#2609,1.524513500598E-1); +#2611=CARTESIAN_POINT('',(-1.6875E1,-3.468085050583E0,-1.98E1)); +#2612=LINE('',#2611,#2610); +#2613=DIRECTION('',(3.102795357040E-1,9.506453648566E-1,0.E0)); +#2614=VECTOR('',#2613,1.342873832646E-1); +#2615=CARTESIAN_POINT('',(-1.691666662693E1,-3.595744729043E0,-1.98E1)); +#2616=LINE('',#2615,#2614); +#2617=DIRECTION('',(1.610640957438E-1,9.869439482880E-1,0.E0)); +#2618=VECTOR('',#2617,2.586966756751E-1); +#2619=CARTESIAN_POINT('',(-1.695833337307E1,-3.851063847542E0,-1.98E1)); +#2620=LINE('',#2619,#2618); +#2621=DIRECTION('',(0.E0,1.E0,0.E0)); +#2622=VECTOR('',#2621,8.510637283325E-2); +#2623=CARTESIAN_POINT('',(-1.695833337307E1,-3.936170220376E0,-1.98E1)); +#2624=LINE('',#2623,#2622); +#2625=DIRECTION('',(-8.265992787996E-1,-5.627909312417E-1,0.E0)); +#2626=VECTOR('',#2625,3.024440093428E-1); +#2627=CARTESIAN_POINT('',(-1.670833337307E1,-3.765957474709E0,-1.98E1)); +#2628=LINE('',#2627,#2626); +#2629=DIRECTION('',(-9.797707167625E-1,-2.001233184181E-1,0.E0)); +#2630=VECTOR('',#2629,2.126348231331E-1); +#2631=CARTESIAN_POINT('',(-1.65E1,-3.723404288292E0,-1.98E1)); +#2632=LINE('',#2631,#2630); +#2633=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2634=VECTOR('',#2633,2.083333730698E-1); +#2635=CARTESIAN_POINT('',(-1.629166662693E1,-3.723404288292E0,-1.98E1)); +#2636=LINE('',#2635,#2634); +#2637=DIRECTION('',(-9.797706943097E-1,2.001234283435E-1,0.E0)); +#2638=VECTOR('',#2637,2.126347063353E-1); +#2639=CARTESIAN_POINT('',(-1.608333337307E1,-3.765957474709E0,-1.98E1)); +#2640=LINE('',#2639,#2638); +#2641=DIRECTION('',(-8.526534670056E-1,5.224768561414E-1,0.E0)); +#2642=VECTOR('',#2641,2.443353380142E-1); +#2643=CARTESIAN_POINT('',(-1.5875E1,-3.893617033959E0,-1.98E1)); +#2644=LINE('',#2643,#2642); +#2645=DIRECTION('',(3.102804688813E-1,-9.506450602779E-1,0.E0)); +#2646=VECTOR('',#2645,1.342873635899E-1); +#2647=CARTESIAN_POINT('',(-1.591666674614E1,-4.148936152459E0,-1.98E1)); +#2648=LINE('',#2647,#2646); +#2649=DIRECTION('',(6.996244945571E-1,-7.145107183351E-1,0.E0)); +#2650=VECTOR('',#2649,1.191114011999E-1); +#2651=CARTESIAN_POINT('',(-1.6E1,-4.063829779625E0,-1.98E1)); +#2652=LINE('',#2651,#2650); +#2653=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#2654=VECTOR('',#2653,1.512220046714E-1); +#2655=CARTESIAN_POINT('',(-1.6125E1,-3.978723406792E0,-1.98E1)); +#2656=LINE('',#2655,#2654); +#2657=DIRECTION('',(9.466499177700E-1,-3.222637633772E-1,0.E0)); +#2658=VECTOR('',#2657,1.320445897196E-1); +#2659=CARTESIAN_POINT('',(-1.625E1,-3.936170220376E0,-1.98E1)); +#2660=LINE('',#2659,#2658); +#2661=DIRECTION('',(1.E0,0.E0,0.E0)); +#2662=VECTOR('',#2661,2.916666269302E-1); +#2663=CARTESIAN_POINT('',(-1.654166662693E1,-3.936170220376E0,-1.98E1)); +#2664=LINE('',#2663,#2662); +#2665=DIRECTION('',(9.466499177700E-1,3.222637633772E-1,0.E0)); +#2666=VECTOR('',#2665,1.320445897196E-1); +#2667=CARTESIAN_POINT('',(-1.666666662693E1,-3.978723406792E0,-1.98E1)); +#2668=LINE('',#2667,#2666); +#2669=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#2670=VECTOR('',#2669,1.512220046714E-1); +#2671=CARTESIAN_POINT('',(-1.679166662693E1,-4.063829779625E0,-1.98E1)); +#2672=LINE('',#2671,#2670); +#2673=DIRECTION('',(6.996250055017E-1,7.145102180353E-1,0.E0)); +#2674=VECTOR('',#2673,1.191114846017E-1); +#2675=CARTESIAN_POINT('',(-1.6875E1,-4.148936152459E0,-1.98E1)); +#2676=LINE('',#2675,#2674); +#2677=DIRECTION('',(3.102796666273E-1,9.506453221247E-1,0.E0)); +#2678=VECTOR('',#2677,1.342873266017E-1); +#2679=CARTESIAN_POINT('',(-1.691666662693E1,-4.276595771313E0,-1.98E1)); +#2680=LINE('',#2679,#2678); +#2681=DIRECTION('',(0.E0,1.E0,0.E0)); +#2682=VECTOR('',#2681,1.276595592499E-1); +#2683=CARTESIAN_POINT('',(-1.691666662693E1,-4.404255330563E0,-1.98E1)); +#2684=LINE('',#2683,#2682); +#2685=DIRECTION('',(-3.102797975506E-1,9.506452793929E-1,0.E0)); +#2686=VECTOR('',#2685,1.342872699388E-1); +#2687=CARTESIAN_POINT('',(-1.6875E1,-4.531914889813E0,-1.98E1)); +#2688=LINE('',#2687,#2686); +#2689=DIRECTION('',(-5.466226399153E-1,8.373790596451E-1,0.E0)); +#2690=VECTOR('',#2689,1.524513750156E-1); +#2691=CARTESIAN_POINT('',(-1.679166662693E1,-4.659574478865E0,-1.98E1)); +#2692=LINE('',#2691,#2690); +#2693=DIRECTION('',(-8.265992787996E-1,5.627909312417E-1,0.E0)); +#2694=VECTOR('',#2693,1.512220046714E-1); +#2695=CARTESIAN_POINT('',(-1.666666662693E1,-4.744680851698E0,-1.98E1)); +#2696=LINE('',#2695,#2694); +#2697=DIRECTION('',(-9.466499177700E-1,3.222637633772E-1,0.E0)); +#2698=VECTOR('',#2697,1.320445897196E-1); +#2699=CARTESIAN_POINT('',(-1.654166662693E1,-4.787234038115E0,-1.98E1)); +#2700=LINE('',#2699,#2698); +#2701=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2702=VECTOR('',#2701,2.916666269302E-1); +#2703=CARTESIAN_POINT('',(-1.625E1,-4.787234038115E0,-1.98E1)); +#2704=LINE('',#2703,#2702); +#2705=DIRECTION('',(-9.466499177700E-1,-3.222637633772E-1,0.E0)); +#2706=VECTOR('',#2705,1.320445897196E-1); +#2707=CARTESIAN_POINT('',(-1.6125E1,-4.744680851698E0,-1.98E1)); +#2708=LINE('',#2707,#2706); +#2709=DIRECTION('',(-8.265992787996E-1,-5.627909312417E-1,0.E0)); +#2710=VECTOR('',#2709,1.512220046714E-1); +#2711=CARTESIAN_POINT('',(-1.6E1,-4.659574478865E0,-1.98E1)); +#2712=LINE('',#2711,#2710); +#2713=DIRECTION('',(-5.466220916090E-1,-8.373794175671E-1,0.E0)); +#2714=VECTOR('',#2713,1.524513098532E-1); +#2715=CARTESIAN_POINT('',(-1.591666674614E1,-4.531914889813E0,-1.98E1)); +#2716=LINE('',#2715,#2714); +#2717=DIRECTION('',(-3.102805998049E-1,-9.506450175458E-1,0.E0)); +#2718=VECTOR('',#2717,1.342873069271E-1); +#2719=CARTESIAN_POINT('',(-1.5875E1,-4.404255330563E0,-1.98E1)); +#2720=LINE('',#2719,#2718); +#2721=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2722=VECTOR('',#2721,1.276595592499E-1); +#2723=CARTESIAN_POINT('',(-1.5875E1,-4.276595771313E0,-1.98E1)); +#2724=LINE('',#2723,#2722); +#2725=DIRECTION('',(-8.906053088238E-1,-4.547770705465E-1,0.E0)); +#2726=VECTOR('',#2725,9.356933137699E-2); +#2727=CARTESIAN_POINT('',(1.583333334327E1,4.021276593209E0,-1.98E1)); +#2728=LINE('',#2727,#2726); +#2729=DIRECTION('',(8.906053088238E-1,-4.547770705465E-1,0.E0)); +#2730=VECTOR('',#2729,9.356933137699E-2); +#2731=CARTESIAN_POINT('',(1.575E1,4.063829779625E0,-1.98E1)); +#2732=LINE('',#2731,#2730); +#2733=DIRECTION('',(6.996248351869E-1,-7.145103848018E-1,0.E0)); +#2734=VECTOR('',#2733,1.786671852016E-1); +#2735=CARTESIAN_POINT('',(1.5625E1,4.191489338875E0,-1.98E1)); +#2736=LINE('',#2735,#2734); +#2737=DIRECTION('',(4.397134905391E-1,-8.981380997585E-1,0.E0)); +#2738=VECTOR('',#2737,1.895173422798E-1); +#2739=CARTESIAN_POINT('',(1.554166666791E1,4.361702084542E0,-1.98E1)); +#2740=LINE('',#2739,#2738); +#2741=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2742=VECTOR('',#2741,1.276595592499E-1); +#2743=CARTESIAN_POINT('',(1.554166666791E1,4.489361643792E0,-1.98E1)); +#2744=LINE('',#2743,#2742); +#2745=DIRECTION('',(-3.646917540429E-1,-9.311283072343E-1,0.E0)); +#2746=VECTOR('',#2745,2.285034722276E-1); +#2747=CARTESIAN_POINT('',(1.5625E1,4.702127695084E0,-1.98E1)); +#2748=LINE('',#2747,#2746); +#2749=DIRECTION('',(-6.996248351869E-1,-7.145103848018E-1,0.E0)); +#2750=VECTOR('',#2749,1.786671852016E-1); +#2751=CARTESIAN_POINT('',(1.575E1,4.829787254334E0,-1.98E1)); +#2752=LINE('',#2751,#2750); +#2753=DIRECTION('',(-8.526534337091E-1,-5.224769104793E-1,0.E0)); +#2754=VECTOR('',#2753,2.443353126031E-1); +#2755=CARTESIAN_POINT('',(1.595833334327E1,4.957446813584E0,-1.98E1)); +#2756=LINE('',#2755,#2754); +#2757=DIRECTION('',(-9.797707111493E-1,-2.001233458994E-1,0.E0)); +#2758=VECTOR('',#2757,2.126347939336E-1); +#2759=CARTESIAN_POINT('',(1.616666668653E1,5.000000000001E0,-1.98E1)); +#2760=LINE('',#2759,#2758); +#2761=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2762=VECTOR('',#2761,1.666666269302E-1); +#2763=CARTESIAN_POINT('',(1.633333331347E1,5.000000000001E0,-1.98E1)); +#2764=LINE('',#2763,#2762); +#2765=DIRECTION('',(-9.797707055361E-1,2.001233733807E-1,0.E0)); +#2766=VECTOR('',#2765,2.126347647342E-1); +#2767=CARTESIAN_POINT('',(1.654166662693E1,4.957446813584E0,-1.98E1)); +#2768=LINE('',#2767,#2766); +#2769=DIRECTION('',(-8.526534670056E-1,5.224768561414E-1,0.E0)); +#2770=VECTOR('',#2769,2.443353380142E-1); +#2771=CARTESIAN_POINT('',(1.675E1,4.829787254334E0,-1.98E1)); +#2772=LINE('',#2771,#2770); +#2773=DIRECTION('',(-6.996248351869E-1,7.145103848018E-1,0.E0)); +#2774=VECTOR('',#2773,1.786671852016E-1); +#2775=CARTESIAN_POINT('',(1.6875E1,4.702127695084E0,-1.98E1)); +#2776=LINE('',#2775,#2774); +#2777=DIRECTION('',(-3.646919095245E-1,9.311282463374E-1,0.E0)); +#2778=VECTOR('',#2777,2.285034871720E-1); +#2779=CARTESIAN_POINT('',(1.695833337307E1,4.489361643792E0,-1.98E1)); +#2780=LINE('',#2779,#2778); +#2781=DIRECTION('',(0.E0,1.E0,0.E0)); +#2782=VECTOR('',#2781,1.276595592499E-1); +#2783=CARTESIAN_POINT('',(1.695833337307E1,4.361702084542E0,-1.98E1)); +#2784=LINE('',#2783,#2782); +#2785=DIRECTION('',(4.397136649566E-1,8.981380143666E-1,0.E0)); +#2786=VECTOR('',#2785,1.895173602985E-1); +#2787=CARTESIAN_POINT('',(1.6875E1,4.191489338875E0,-1.98E1)); +#2788=LINE('',#2787,#2786); +#2789=DIRECTION('',(6.996248351869E-1,7.145103848018E-1,0.E0)); +#2790=VECTOR('',#2789,1.786671852016E-1); +#2791=CARTESIAN_POINT('',(1.675E1,4.063829779625E0,-1.98E1)); +#2792=LINE('',#2791,#2790); +#2793=DIRECTION('',(8.906053746977E-1,4.547769415434E-1,0.E0)); +#2794=VECTOR('',#2793,9.356935791910E-2); +#2795=CARTESIAN_POINT('',(1.666666662693E1,4.021276593209E0,-1.98E1)); +#2796=LINE('',#2795,#2794); +#2797=DIRECTION('',(-8.906053746977E-1,4.547769415434E-1,0.E0)); +#2798=VECTOR('',#2797,9.356935791910E-2); +#2799=CARTESIAN_POINT('',(1.675E1,3.978723406792E0,-1.98E1)); +#2800=LINE('',#2799,#2798); +#2801=DIRECTION('',(-7.938781110087E-1,6.080769234738E-1,0.E0)); +#2802=VECTOR('',#2801,2.099398189962E-1); +#2803=CARTESIAN_POINT('',(1.691666662693E1,3.851063847542E0,-1.98E1)); +#2804=LINE('',#2803,#2802); +#2805=DIRECTION('',(-3.646919981020E-1,9.311282116446E-1,0.E0)); +#2806=VECTOR('',#2805,2.285034316724E-1); +#2807=CARTESIAN_POINT('',(1.7E1,3.638297855855E0,-1.98E1)); +#2808=LINE('',#2807,#2806); +#2809=DIRECTION('',(0.E0,1.E0,0.E0)); +#2810=VECTOR('',#2809,1.276595592499E-1); +#2811=CARTESIAN_POINT('',(1.7E1,3.510638296605E0,-1.98E1)); +#2812=LINE('',#2811,#2810); +#2813=DIRECTION('',(3.646920423907E-1,9.311281942981E-1,0.E0)); +#2814=VECTOR('',#2813,2.285034039226E-1); +#2815=CARTESIAN_POINT('',(1.691666662693E1,3.297872334719E0,-1.98E1)); +#2816=LINE('',#2815,#2814); +#2817=DIRECTION('',(6.996247934953E-1,7.145104256249E-1,0.E0)); +#2818=VECTOR('',#2817,1.786671958487E-1); +#2819=CARTESIAN_POINT('',(1.679166662693E1,3.170212760568E0,-1.98E1)); +#2820=LINE('',#2819,#2818); +#2821=DIRECTION('',(8.526533134429E-1,5.224771067470E-1,0.E0)); +#2822=VECTOR('',#2821,2.443352422091E-1); +#2823=CARTESIAN_POINT('',(1.658333337307E1,3.042553190142E0,-1.98E1)); +#2824=LINE('',#2823,#2822); +#2825=DIRECTION('',(9.797707133274E-1,2.001233352361E-1,0.E0)); +#2826=VECTOR('',#2825,2.126348238786E-1); +#2827=CARTESIAN_POINT('',(1.6375E1,3.000000000001E0,-1.98E1)); +#2828=LINE('',#2827,#2826); +#2829=DIRECTION('',(1.E0,0.E0,0.E0)); +#2830=VECTOR('',#2829,2.5E-1); +#2831=CARTESIAN_POINT('',(1.6125E1,3.000000000001E0,-1.98E1)); +#2832=LINE('',#2831,#2830); +#2833=DIRECTION('',(9.797707077141E-1,-2.001233627174E-1,0.E0)); +#2834=VECTOR('',#2833,2.126347946791E-1); +#2835=CARTESIAN_POINT('',(1.591666665673E1,3.042553190142E0,-1.98E1)); +#2836=LINE('',#2835,#2834); +#2837=DIRECTION('',(8.526533966842E-1,-5.224769709020E-1,0.E0)); +#2838=VECTOR('',#2837,2.443353057367E-1); +#2839=CARTESIAN_POINT('',(1.570833332837E1,3.170212760568E0,-1.98E1)); +#2840=LINE('',#2839,#2838); +#2841=DIRECTION('',(6.996247722059E-1,-7.145104464707E-1,0.E0)); +#2842=VECTOR('',#2841,1.786671906361E-1); +#2843=CARTESIAN_POINT('',(1.558333333582E1,3.297872334719E0,-1.98E1)); +#2844=LINE('',#2843,#2842); +#2845=DIRECTION('',(3.646919010437E-1,-9.311282496590E-1,0.E0)); +#2846=VECTOR('',#2845,2.285033903368E-1); +#2847=CARTESIAN_POINT('',(1.55E1,3.510638296605E0,-1.98E1)); +#2848=LINE('',#2847,#2846); +#2849=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2850=VECTOR('',#2849,1.276595592499E-1); +#2851=CARTESIAN_POINT('',(1.55E1,3.638297855855E0,-1.98E1)); +#2852=LINE('',#2851,#2850); +#2853=DIRECTION('',(-3.646918567550E-1,-9.311282670055E-1,0.E0)); +#2854=VECTOR('',#2853,2.285034180866E-1); +#2855=CARTESIAN_POINT('',(1.558333333582E1,3.851063847542E0,-1.98E1)); +#2856=LINE('',#2855,#2854); +#2857=DIRECTION('',(-7.938781766205E-1,-6.080768378139E-1,0.E0)); +#2858=VECTOR('',#2857,2.099398485705E-1); +#2859=CARTESIAN_POINT('',(1.575E1,3.978723406792E0,-1.98E1)); +#2860=LINE('',#2859,#2858); +#2861=DIRECTION('',(-9.689177247618E-1,2.473831898946E-1,0.E0)); +#2862=VECTOR('',#2861,1.720132497069E-1); +#2863=CARTESIAN_POINT('',(1.616666668653E1,3.212765961886E0,-1.98E1)); +#2864=LINE('',#2863,#2862); +#2865=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2866=VECTOR('',#2865,1.666666269302E-1); +#2867=CARTESIAN_POINT('',(1.633333331347E1,3.212765961886E0,-1.98E1)); +#2868=LINE('',#2867,#2866); +#2869=DIRECTION('',(-9.689177247618E-1,-2.473831898946E-1,0.E0)); +#2870=VECTOR('',#2869,1.720132497069E-1); +#2871=CARTESIAN_POINT('',(1.65E1,3.255319148303E0,-1.98E1)); +#2872=LINE('',#2871,#2870); +#2873=DIRECTION('',(-8.906052429498E-1,-4.547771995497E-1,0.E0)); +#2874=VECTOR('',#2873,1.871386096698E-1); +#2875=CARTESIAN_POINT('',(1.666666662693E1,3.340425521136E0,-1.98E1)); +#2876=LINE('',#2875,#2874); +#2877=DIRECTION('',(-5.919094814228E-1,-8.060044452742E-1,0.E0)); +#2878=VECTOR('',#2877,2.111809388482E-1); +#2879=CARTESIAN_POINT('',(1.679166662693E1,3.510638296605E0,-1.98E1)); +#2880=LINE('',#2879,#2878); +#2881=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2882=VECTOR('',#2881,1.276595592499E-1); +#2883=CARTESIAN_POINT('',(1.679166662693E1,3.638297855855E0,-1.98E1)); +#2884=LINE('',#2883,#2882); +#2885=DIRECTION('',(5.919094140959E-1,-8.060044947174E-1,0.E0)); +#2886=VECTOR('',#2885,2.111809628690E-1); +#2887=CARTESIAN_POINT('',(1.666666662693E1,3.808510661126E0,-1.98E1)); +#2888=LINE('',#2887,#2886); +#2889=DIRECTION('',(8.906052429498E-1,-4.547771995497E-1,0.E0)); +#2890=VECTOR('',#2889,1.871386096698E-1); +#2891=CARTESIAN_POINT('',(1.65E1,3.893617033959E0,-1.98E1)); +#2892=LINE('',#2891,#2890); +#2893=DIRECTION('',(9.689177247618E-1,-2.473831898946E-1,0.E0)); +#2894=VECTOR('',#2893,1.720132497069E-1); +#2895=CARTESIAN_POINT('',(1.633333331347E1,3.936170220376E0,-1.98E1)); +#2896=LINE('',#2895,#2894); +#2897=DIRECTION('',(1.E0,0.E0,0.E0)); +#2898=VECTOR('',#2897,1.666666269302E-1); +#2899=CARTESIAN_POINT('',(1.616666668653E1,3.936170220376E0,-1.98E1)); +#2900=LINE('',#2899,#2898); +#2901=DIRECTION('',(9.689177247618E-1,2.473831898946E-1,0.E0)); +#2902=VECTOR('',#2901,1.720132497069E-1); +#2903=CARTESIAN_POINT('',(1.6E1,3.893617033959E0,-1.98E1)); +#2904=LINE('',#2903,#2902); +#2905=DIRECTION('',(8.906052758868E-1,4.547771350481E-1,0.E0)); +#2906=VECTOR('',#2905,1.871386362119E-1); +#2907=CARTESIAN_POINT('',(1.583333334327E1,3.808510661126E0,-1.98E1)); +#2908=LINE('',#2907,#2906); +#2909=DIRECTION('',(5.919094599355E-1,8.060044610540E-1,0.E0)); +#2910=VECTOR('',#2909,2.111809716891E-1); +#2911=CARTESIAN_POINT('',(1.570833332837E1,3.638297855855E0,-1.98E1)); +#2912=LINE('',#2911,#2910); +#2913=DIRECTION('',(0.E0,1.E0,0.E0)); +#2914=VECTOR('',#2913,1.276595592499E-1); +#2915=CARTESIAN_POINT('',(1.570833332837E1,3.510638296605E0,-1.98E1)); +#2916=LINE('',#2915,#2914); +#2917=DIRECTION('',(-5.919095272623E-1,8.060044116108E-1,0.E0)); +#2918=VECTOR('',#2917,2.111809476683E-1); +#2919=CARTESIAN_POINT('',(1.583333334327E1,3.340425521136E0,-1.98E1)); +#2920=LINE('',#2919,#2918); +#2921=DIRECTION('',(-8.906052758868E-1,4.547771350481E-1,0.E0)); +#2922=VECTOR('',#2921,1.871386362119E-1); +#2923=CARTESIAN_POINT('',(1.6E1,3.255319148303E0,-1.98E1)); +#2924=LINE('',#2923,#2922); +#2925=DIRECTION('',(9.689177247618E-1,-2.473831898946E-1,0.E0)); +#2926=VECTOR('',#2925,1.720132497069E-1); +#2927=CARTESIAN_POINT('',(1.633333331347E1,4.787234067917E0,-1.98E1)); +#2928=LINE('',#2927,#2926); +#2929=DIRECTION('',(1.E0,0.E0,0.E0)); +#2930=VECTOR('',#2929,1.666666269302E-1); +#2931=CARTESIAN_POINT('',(1.616666668653E1,4.787234067917E0,-1.98E1)); +#2932=LINE('',#2931,#2930); +#2933=DIRECTION('',(9.689177247618E-1,2.473831898946E-1,0.E0)); +#2934=VECTOR('',#2933,1.720132497069E-1); +#2935=CARTESIAN_POINT('',(1.6E1,4.744680881501E0,-1.98E1)); +#2936=LINE('',#2935,#2934); +#2937=DIRECTION('',(7.938781634981E-1,6.080768549458E-1,0.E0)); +#2938=VECTOR('',#2937,2.099398426557E-1); +#2939=CARTESIAN_POINT('',(1.583333334327E1,4.617021322251E0,-1.98E1)); +#2940=LINE('',#2939,#2938); +#2941=DIRECTION('',(5.466222343973E-1,8.373793243581E-1,0.E0)); +#2942=VECTOR('',#2941,1.524514335926E-1); +#2943=CARTESIAN_POINT('',(1.575E1,4.489361643792E0,-1.98E1)); +#2944=LINE('',#2943,#2942); +#2945=DIRECTION('',(0.E0,1.E0,0.E0)); +#2946=VECTOR('',#2945,8.510637283325E-2); +#2947=CARTESIAN_POINT('',(1.575E1,4.404255270958E0,-1.98E1)); +#2948=LINE('',#2947,#2946); +#2949=DIRECTION('',(-5.466225923193E-1,8.373790907147E-1,0.E0)); +#2950=VECTOR('',#2949,1.524513337692E-1); +#2951=CARTESIAN_POINT('',(1.583333334327E1,4.276595711709E0,-1.98E1)); +#2952=LINE('',#2951,#2950); +#2953=DIRECTION('',(-7.938781634981E-1,6.080768549458E-1,0.E0)); +#2954=VECTOR('',#2953,2.099398426557E-1); +#2955=CARTESIAN_POINT('',(1.6E1,4.148936152459E0,-1.98E1)); +#2956=LINE('',#2955,#2954); +#2957=DIRECTION('',(-9.689177247618E-1,2.473831898946E-1,0.E0)); +#2958=VECTOR('',#2957,1.720132497069E-1); +#2959=CARTESIAN_POINT('',(1.616666668653E1,4.106382966042E0,-1.98E1)); +#2960=LINE('',#2959,#2958); +#2961=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2962=VECTOR('',#2961,1.666666269302E-1); +#2963=CARTESIAN_POINT('',(1.633333331347E1,4.106382966042E0,-1.98E1)); +#2964=LINE('',#2963,#2962); +#2965=DIRECTION('',(-9.689177247618E-1,-2.473831898946E-1,0.E0)); +#2966=VECTOR('',#2965,1.720132497069E-1); +#2967=CARTESIAN_POINT('',(1.65E1,4.148936152459E0,-1.98E1)); +#2968=LINE('',#2967,#2966); +#2969=DIRECTION('',(-7.938781110087E-1,-6.080769234738E-1,0.E0)); +#2970=VECTOR('',#2969,2.099398189962E-1); +#2971=CARTESIAN_POINT('',(1.666666662693E1,4.276595711709E0,-1.98E1)); +#2972=LINE('',#2971,#2970); +#2973=DIRECTION('',(-5.466227293958E-1,-8.373790012342E-1,0.E0)); +#2974=VECTOR('',#2973,1.524513500598E-1); +#2975=CARTESIAN_POINT('',(1.675E1,4.404255270958E0,-1.98E1)); +#2976=LINE('',#2975,#2974); +#2977=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2978=VECTOR('',#2977,8.510637283325E-2); +#2979=CARTESIAN_POINT('',(1.675E1,4.489361643792E0,-1.98E1)); +#2980=LINE('',#2979,#2978); +#2981=DIRECTION('',(5.466223714738E-1,-8.373792348777E-1,0.E0)); +#2982=VECTOR('',#2981,1.524514498832E-1); +#2983=CARTESIAN_POINT('',(1.666666662693E1,4.617021322251E0,-1.98E1)); +#2984=LINE('',#2983,#2982); +#2985=DIRECTION('',(7.938781110087E-1,-6.080769234738E-1,0.E0)); +#2986=VECTOR('',#2985,2.099398189962E-1); +#2987=CARTESIAN_POINT('',(1.65E1,4.744680881501E0,-1.98E1)); +#2988=LINE('',#2987,#2986); +#2989=DIRECTION('',(0.E0,1.E0,0.E0)); +#2990=VECTOR('',#2989,2.E0); +#2991=CARTESIAN_POINT('',(1.545E1,-1.E0,-1.98E1)); +#2992=LINE('',#2991,#2990); +#2993=DIRECTION('',(1.E0,0.E0,0.E0)); +#2994=VECTOR('',#2993,2.5E-1); +#2995=CARTESIAN_POINT('',(1.52E1,-1.E0,-1.98E1)); +#2996=LINE('',#2995,#2994); +#2997=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2998=VECTOR('',#2997,1.659574508667E0); +#2999=CARTESIAN_POINT('',(1.52E1,6.595745086670E-1,-1.98E1)); +#3000=LINE('',#2999,#2998); +#3001=DIRECTION('',(6.428711427642E-1,7.659743427825E-1,0.E0)); +#3002=VECTOR('',#3001,3.888804199937E-1); +#3003=CARTESIAN_POINT('',(1.495E1,3.617020845413E-1,-1.98E1)); +#3004=LINE('',#3003,#3002); +#3005=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3006=VECTOR('',#3005,3.404256105423E-1); +#3007=CARTESIAN_POINT('',(1.495E1,7.021276950836E-1,-1.98E1)); +#3008=LINE('',#3007,#3006); +#3009=DIRECTION('',(-6.428712937139E-1,-7.659742160926E-1,0.E0)); +#3010=VECTOR('',#3009,3.888803286825E-1); +#3011=CARTESIAN_POINT('',(1.52E1,1.E0,-1.98E1)); +#3012=LINE('',#3011,#3010); +#3013=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3014=VECTOR('',#3013,2.5E-1); +#3015=CARTESIAN_POINT('',(1.545E1,1.E0,-1.98E1)); +#3016=LINE('',#3015,#3014); +#3017=DIRECTION('',(7.938781110087E-1,6.080769234738E-1,0.E0)); +#3018=VECTOR('',#3017,2.099398189962E-1); +#3019=CARTESIAN_POINT('',(1.6075E1,-1.063829660416E-1,-1.98E1)); +#3020=LINE('',#3019,#3018); +#3021=DIRECTION('',(1.E0,0.E0,0.E0)); +#3022=VECTOR('',#3021,2.916666865349E-1); +#3023=CARTESIAN_POINT('',(1.578333331347E1,-1.063829660416E-1,-1.98E1)); +#3024=LINE('',#3023,#3022); +#3025=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3026=VECTOR('',#3025,1.106382966042E0); +#3027=CARTESIAN_POINT('',(1.578333331347E1,1.E0,-1.98E1)); +#3028=LINE('',#3027,#3026); +#3029=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3030=VECTOR('',#3029,1.416666686535E0); +#3031=CARTESIAN_POINT('',(1.72E1,1.E0,-1.98E1)); +#3032=LINE('',#3031,#3030); +#3033=DIRECTION('',(0.E0,1.E0,0.E0)); +#3034=VECTOR('',#3033,2.127659320831E-1); +#3035=CARTESIAN_POINT('',(1.72E1,7.872340679169E-1,-1.98E1)); +#3036=LINE('',#3035,#3034); +#3037=DIRECTION('',(1.E0,0.E0,0.E0)); +#3038=VECTOR('',#3037,1.208333373070E0); +#3039=CARTESIAN_POINT('',(1.599166662693E1,7.872340679169E-1,-1.98E1)); +#3040=LINE('',#3039,#3038); +#3041=DIRECTION('',(0.E0,1.E0,0.E0)); +#3042=VECTOR('',#3041,6.808511018753E-1); +#3043=CARTESIAN_POINT('',(1.599166662693E1,1.063829660416E-1,-1.98E1)); +#3044=LINE('',#3043,#3042); +#3045=DIRECTION('',(-8.526534670056E-1,-5.224768561413E-1,0.E0)); +#3046=VECTOR('',#3045,2.443353380142E-1); +#3047=CARTESIAN_POINT('',(1.62E1,2.340425252914E-1,-1.98E1)); +#3048=LINE('',#3047,#3046); +#3049=DIRECTION('',(-9.797707167625E-1,-2.001233184181E-1,0.E0)); +#3050=VECTOR('',#3049,2.126348231331E-1); +#3051=CARTESIAN_POINT('',(1.640833337307E1,2.765957117081E-1,-1.98E1)); +#3052=LINE('',#3051,#3050); +#3053=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3054=VECTOR('',#3053,2.5E-1); +#3055=CARTESIAN_POINT('',(1.665833337307E1,2.765957117081E-1,-1.98E1)); +#3056=LINE('',#3055,#3054); +#3057=DIRECTION('',(-9.797706943097E-1,2.001234283435E-1,0.E0)); +#3058=VECTOR('',#3057,2.126347063353E-1); +#3059=CARTESIAN_POINT('',(1.686666662693E1,2.340425252914E-1,-1.98E1)); +#3060=LINE('',#3059,#3058); +#3061=DIRECTION('',(-8.526534670056E-1,5.224768561414E-1,0.E0)); +#3062=VECTOR('',#3061,2.443353380142E-1); +#3063=CARTESIAN_POINT('',(1.7075E1,1.063829660416E-1,-1.98E1)); +#3064=LINE('',#3063,#3062); +#3065=DIRECTION('',(-5.919095487496E-1,8.060043958311E-1,0.E0)); +#3066=VECTOR('',#3065,2.111809148274E-1); +#3067=CARTESIAN_POINT('',(1.72E1,-6.382977962494E-2,-1.98E1)); +#3068=LINE('',#3067,#3066); +#3069=DIRECTION('',(-3.646915457917E-1,9.311283887994E-1,0.E0)); +#3070=VECTOR('',#3069,2.285033881978E-1); +#3071=CARTESIAN_POINT('',(1.728333325386E1,-2.765957713127E-1,-1.98E1)); +#3072=LINE('',#3071,#3070); +#3073=DIRECTION('',(0.E0,1.E0,0.E0)); +#3074=VECTOR('',#3073,1.702127456665E-1); +#3075=CARTESIAN_POINT('',(1.728333325386E1,-4.468085169792E-1,-1.98E1)); +#3076=LINE('',#3075,#3074); +#3077=DIRECTION('',(3.646915900803E-1,9.311283714530E-1,0.E0)); +#3078=VECTOR('',#3077,2.285033604480E-1); +#3079=CARTESIAN_POINT('',(1.72E1,-6.595744788647E-1,-1.98E1)); +#3080=LINE('',#3079,#3078); +#3081=DIRECTION('',(5.919095150862E-1,8.060044205527E-1,0.E0)); +#3082=VECTOR('',#3081,2.111809268378E-1); +#3083=CARTESIAN_POINT('',(1.7075E1,-8.297872394323E-1,-1.98E1)); +#3084=LINE('',#3083,#3082); +#3085=DIRECTION('',(8.526534466288E-1,5.224768893951E-1,0.E0)); +#3086=VECTOR('',#3085,2.443353438533E-1); +#3087=CARTESIAN_POINT('',(1.686666662693E1,-9.574468098581E-1,-1.98E1)); +#3088=LINE('',#3087,#3086); +#3089=DIRECTION('',(9.797706908745E-1,2.001234451615E-1,0.E0)); +#3090=VECTOR('',#3089,2.126347070808E-1); +#3091=CARTESIAN_POINT('',(1.665833337307E1,-1.E0,-1.98E1)); +#3092=LINE('',#3091,#3090); +#3093=DIRECTION('',(1.E0,0.E0,0.E0)); +#3094=VECTOR('',#3093,2.5E-1); +#3095=CARTESIAN_POINT('',(1.640833337307E1,-1.E0,-1.98E1)); +#3096=LINE('',#3095,#3094); +#3097=DIRECTION('',(9.797707133274E-1,-2.001233352361E-1,0.E0)); +#3098=VECTOR('',#3097,2.126348238786E-1); +#3099=CARTESIAN_POINT('',(1.62E1,-9.574468098581E-1,-1.98E1)); +#3100=LINE('',#3099,#3098); +#3101=DIRECTION('',(8.526534466289E-1,-5.224768893951E-1,0.E0)); +#3102=VECTOR('',#3101,2.443353438533E-1); +#3103=CARTESIAN_POINT('',(1.599166662693E1,-8.297872394323E-1,-1.98E1)); +#3104=LINE('',#3103,#3102); +#3105=DIRECTION('',(5.919093317280E-1,-8.060045552063E-1,0.E0)); +#3106=VECTOR('',#3105,2.111808915572E-1); +#3107=CARTESIAN_POINT('',(1.586666668653E1,-6.595744788647E-1,-1.98E1)); +#3108=LINE('',#3107,#3106); +#3109=DIRECTION('',(4.397136028534E-1,-8.981380447713E-1,0.E0)); +#3110=VECTOR('',#3109,1.895173870651E-1); +#3111=CARTESIAN_POINT('',(1.578333331347E1,-4.893617033958E-1,-1.98E1)); +#3112=LINE('',#3111,#3110); +#3113=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3114=VECTOR('',#3113,2.500000596046E-1); +#3115=CARTESIAN_POINT('',(1.603333337307E1,-4.893617033958E-1,-1.98E1)); +#3116=LINE('',#3115,#3114); +#3117=DIRECTION('',(-4.397130954570E-1,8.981382931841E-1,0.E0)); +#3118=VECTOR('',#3117,1.895173346472E-1); +#3119=CARTESIAN_POINT('',(1.611666662693E1,-6.595744788647E-1,-1.98E1)); +#3120=LINE('',#3119,#3118); +#3121=DIRECTION('',(-8.265992787996E-1,5.627909312417E-1,0.E0)); +#3122=VECTOR('',#3121,1.512220046714E-1); +#3123=CARTESIAN_POINT('',(1.624166662693E1,-7.446808516979E-1,-1.98E1)); +#3124=LINE('',#3123,#3122); +#3125=DIRECTION('',(-9.689177459678E-1,2.473831068378E-1,0.E0)); +#3126=VECTOR('',#3125,1.720133074589E-1); +#3127=CARTESIAN_POINT('',(1.640833337307E1,-7.872340381145E-1,-1.98E1)); +#3128=LINE('',#3127,#3126); +#3129=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3130=VECTOR('',#3129,2.5E-1); +#3131=CARTESIAN_POINT('',(1.665833337307E1,-7.872340381145E-1,-1.98E1)); +#3132=LINE('',#3131,#3130); +#3133=DIRECTION('',(-9.689177035558E-1,-2.473832729514E-1,0.E0)); +#3134=VECTOR('',#3133,1.720131919549E-1); +#3135=CARTESIAN_POINT('',(1.6825E1,-7.446808516979E-1,-1.98E1)); +#3136=LINE('',#3135,#3134); +#3137=DIRECTION('',(-8.265992787996E-1,-5.627909312417E-1,0.E0)); +#3138=VECTOR('',#3137,1.512220046714E-1); +#3139=CARTESIAN_POINT('',(1.695E1,-6.595744788647E-1,-1.98E1)); +#3140=LINE('',#3139,#3138); +#3141=DIRECTION('',(-5.466220916090E-1,-8.373794175671E-1,0.E0)); +#3142=VECTOR('',#3141,1.524513098532E-1); +#3143=CARTESIAN_POINT('',(1.703333325386E1,-5.319148898125E-1,-1.98E1)); +#3144=LINE('',#3143,#3142); +#3145=DIRECTION('',(-3.102805998049E-1,-9.506450175458E-1,0.E0)); +#3146=VECTOR('',#3145,1.342873069271E-1); +#3147=CARTESIAN_POINT('',(1.7075E1,-4.042553305626E-1,-1.98E1)); +#3148=LINE('',#3147,#3146); +#3149=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3150=VECTOR('',#3149,8.510637283325E-2); +#3151=CARTESIAN_POINT('',(1.7075E1,-3.191489577293E-1,-1.98E1)); +#3152=LINE('',#3151,#3150); +#3153=DIRECTION('',(3.102804688813E-1,-9.506450602779E-1,0.E0)); +#3154=VECTOR('',#3153,1.342873635899E-1); +#3155=CARTESIAN_POINT('',(1.703333325386E1,-1.914893388748E-1,-1.98E1)); +#3156=LINE('',#3155,#3154); +#3157=DIRECTION('',(5.466221810895E-1,-8.373793591563E-1,0.E0)); +#3158=VECTOR('',#3157,1.524512848973E-1); +#3159=CARTESIAN_POINT('',(1.695E1,-6.382977962494E-2,-1.98E1)); +#3160=LINE('',#3159,#3158); +#3161=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#3162=VECTOR('',#3161,1.512220046714E-1); +#3163=CARTESIAN_POINT('',(1.6825E1,2.127659320831E-2,-1.98E1)); +#3164=LINE('',#3163,#3162); +#3165=DIRECTION('',(9.689177035558E-1,-2.473832729514E-1,0.E0)); +#3166=VECTOR('',#3165,1.720131919549E-1); +#3167=CARTESIAN_POINT('',(1.665833337307E1,6.382977962494E-2,-1.98E1)); +#3168=LINE('',#3167,#3166); +#3169=DIRECTION('',(1.E0,0.E0,0.E0)); +#3170=VECTOR('',#3169,2.5E-1); +#3171=CARTESIAN_POINT('',(1.640833337307E1,6.382977962494E-2,-1.98E1)); +#3172=LINE('',#3171,#3170); +#3173=DIRECTION('',(9.689177459678E-1,2.473831068378E-1,0.E0)); +#3174=VECTOR('',#3173,1.720133074589E-1); +#3175=CARTESIAN_POINT('',(1.624166662693E1,2.127659320831E-2,-1.98E1)); +#3176=LINE('',#3175,#3174); +#3177=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3178=VECTOR('',#3177,2.127659618855E-1); +#3179=CARTESIAN_POINT('',(1.495E1,-4.787234038115E0,-1.98E1)); +#3180=LINE('',#3179,#3178); +#3181=DIRECTION('',(-7.389229549020E-1,-6.737899277363E-1,0.E0)); +#3182=VECTOR('',#3181,1.578874521614E0); +#3183=CARTESIAN_POINT('',(1.611666662693E1,-3.723404288292E0,-1.98E1)); +#3184=LINE('',#3183,#3182); +#3185=DIRECTION('',(-4.397136649566E-1,-8.981380143666E-1,0.E0)); +#3186=VECTOR('',#3185,1.895173602985E-1); +#3187=CARTESIAN_POINT('',(1.62E1,-3.553191542626E0,-1.98E1)); +#3188=LINE('',#3187,#3186); +#3189=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3190=VECTOR('',#3189,8.510649204254E-2); +#3191=CARTESIAN_POINT('',(1.62E1,-3.468085050583E0,-1.98E1)); +#3192=LINE('',#3191,#3190); +#3193=DIRECTION('',(5.466227293958E-1,-8.373790012342E-1,0.E0)); +#3194=VECTOR('',#3193,1.524513500598E-1); +#3195=CARTESIAN_POINT('',(1.611666662693E1,-3.340425491334E0,-1.98E1)); +#3196=LINE('',#3195,#3194); +#3197=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#3198=VECTOR('',#3197,1.512220046714E-1); +#3199=CARTESIAN_POINT('',(1.599166662693E1,-3.255319118500E0,-1.98E1)); +#3200=LINE('',#3199,#3198); +#3201=DIRECTION('',(9.797707055361E-1,-2.001233733807E-1,0.E0)); +#3202=VECTOR('',#3201,2.126347647342E-1); +#3203=CARTESIAN_POINT('',(1.578333331347E1,-3.212765932084E0,-1.98E1)); +#3204=LINE('',#3203,#3202); +#3205=DIRECTION('',(1.E0,0.E0,0.E0)); +#3206=VECTOR('',#3205,1.666666269302E-1); +#3207=CARTESIAN_POINT('',(1.561666668653E1,-3.212765932084E0,-1.98E1)); +#3208=LINE('',#3207,#3206); +#3209=DIRECTION('',(9.797707111493E-1,2.001233458994E-1,0.E0)); +#3210=VECTOR('',#3209,2.126347939336E-1); +#3211=CARTESIAN_POINT('',(1.540833334327E1,-3.255319118500E0,-1.98E1)); +#3212=LINE('',#3211,#3210); +#3213=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#3214=VECTOR('',#3213,1.512220046714E-1); +#3215=CARTESIAN_POINT('',(1.528333334327E1,-3.340425491334E0,-1.98E1)); +#3216=LINE('',#3215,#3214); +#3217=DIRECTION('',(5.466225923193E-1,8.373790907147E-1,0.E0)); +#3218=VECTOR('',#3217,1.524513337692E-1); +#3219=CARTESIAN_POINT('',(1.52E1,-3.468085050583E0,-1.98E1)); +#3220=LINE('',#3219,#3218); +#3221=DIRECTION('',(1.E0,0.E0,0.E0)); +#3222=VECTOR('',#3221,2.083333320916E-1); +#3223=CARTESIAN_POINT('',(1.499166666791E1,-3.468085050583E0,-1.98E1)); +#3224=LINE('',#3223,#3222); +#3225=DIRECTION('',(-2.377713591533E-1,-9.713211522284E-1,0.E0)); +#3226=VECTOR('',#3225,1.752383805047E-1); +#3227=CARTESIAN_POINT('',(1.503333333582E1,-3.297872304917E0,-1.98E1)); +#3228=LINE('',#3227,#3226); +#3229=DIRECTION('',(-6.996248298646E-1,-7.145103900133E-1,0.E0)); +#3230=VECTOR('',#3229,2.382229118646E-1); +#3231=CARTESIAN_POINT('',(1.52E1,-3.127659559250E0,-1.98E1)); +#3232=LINE('',#3231,#3230); +#3233=DIRECTION('',(-8.906052758868E-1,-4.547771350481E-1,0.E0)); +#3234=VECTOR('',#3233,1.871386362119E-1); +#3235=CARTESIAN_POINT('',(1.536666665673E1,-3.042553186417E0,-1.98E1)); +#3236=LINE('',#3235,#3234); +#3237=DIRECTION('',(-9.895240333173E-1,-1.443682357291E-1,0.E0)); +#3238=VECTOR('',#3237,2.947544950018E-1); +#3239=CARTESIAN_POINT('',(1.565833331347E1,-3.000000000001E0,-1.98E1)); +#3240=LINE('',#3239,#3238); +#3241=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3242=VECTOR('',#3241,1.666666865349E-1); +#3243=CARTESIAN_POINT('',(1.5825E1,-3.000000000001E0,-1.98E1)); +#3244=LINE('',#3243,#3242); +#3245=DIRECTION('',(-9.858211730931E-1,1.677993286083E-1,0.E0)); +#3246=VECTOR('',#3245,2.535956893841E-1); +#3247=CARTESIAN_POINT('',(1.6075E1,-3.042553186417E0,-1.98E1)); +#3248=LINE('',#3247,#3246); +#3249=DIRECTION('',(-8.906052429498E-1,4.547771995497E-1,0.E0)); +#3250=VECTOR('',#3249,1.871386096698E-1); +#3251=CARTESIAN_POINT('',(1.624166662693E1,-3.127659559250E0,-1.98E1)); +#3252=LINE('',#3251,#3250); +#3253=DIRECTION('',(-6.996250055017E-1,7.145102180353E-1,0.E0)); +#3254=VECTOR('',#3253,2.382229692034E-1); +#3255=CARTESIAN_POINT('',(1.640833337307E1,-3.297872304917E0,-1.98E1)); +#3256=LINE('',#3255,#3254); +#3257=DIRECTION('',(-2.377711385310E-1,9.713212062349E-1,0.E0)); +#3258=VECTOR('',#3257,1.752383707613E-1); +#3259=CARTESIAN_POINT('',(1.645E1,-3.468085050583E0,-1.98E1)); +#3260=LINE('',#3259,#3258); +#3261=DIRECTION('',(0.E0,1.E0,0.E0)); +#3262=VECTOR('',#3261,8.510649204254E-2); +#3263=CARTESIAN_POINT('',(1.645E1,-3.553191542626E0,-1.98E1)); +#3264=LINE('',#3263,#3262); +#3265=DIRECTION('',(2.377711385310E-1,9.713212062349E-1,0.E0)); +#3266=VECTOR('',#3265,1.752383707613E-1); +#3267=CARTESIAN_POINT('',(1.640833337307E1,-3.723404288292E0,-1.98E1)); +#3268=LINE('',#3267,#3266); +#3269=DIRECTION('',(5.466227293958E-1,8.373790012342E-1,0.E0)); +#3270=VECTOR('',#3269,1.524513500598E-1); +#3271=CARTESIAN_POINT('',(1.6325E1,-3.851063847542E0,-1.98E1)); +#3272=LINE('',#3271,#3270); +#3273=DIRECTION('',(7.437660872566E-1,6.684399804373E-1,0.E0)); +#3274=VECTOR('',#3273,1.400529917376E0); +#3275=CARTESIAN_POINT('',(1.528333334327E1,-4.787234038115E0,-1.98E1)); +#3276=LINE('',#3275,#3274); +#3277=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3278=VECTOR('',#3277,1.166666656733E0); +#3279=CARTESIAN_POINT('',(1.645E1,-4.787234038115E0,-1.98E1)); +#3280=LINE('',#3279,#3278); +#3281=DIRECTION('',(0.E0,1.E0,0.E0)); +#3282=VECTOR('',#3281,2.127659618855E-1); +#3283=CARTESIAN_POINT('',(1.645E1,-5.000000000001E0,-1.98E1)); +#3284=LINE('',#3283,#3282); +#3285=DIRECTION('',(1.E0,0.E0,0.E0)); +#3286=VECTOR('',#3285,1.5E0); +#3287=CARTESIAN_POINT('',(1.495E1,-5.000000000001E0,-1.98E1)); +#3288=LINE('',#3287,#3286); +#3289=DIRECTION('',(5.466232777014E-1,8.373786433120E-1,0.E0)); +#3290=VECTOR('',#3289,1.524514152223E-1); +#3291=CARTESIAN_POINT('',(1.815833325386E1,-4.787234038115E0,-1.98E1)); +#3292=LINE('',#3291,#3290); +#3293=DIRECTION('',(8.265992329593E-1,5.627909985697E-1,0.E0)); +#3294=VECTOR('',#3293,1.512220130576E-1); +#3295=CARTESIAN_POINT('',(1.803333325386E1,-4.872340425850E0,-1.98E1)); +#3296=LINE('',#3295,#3294); +#3297=DIRECTION('',(8.906050870136E-1,4.547775049247E-1,0.E0)); +#3298=VECTOR('',#3297,1.871385085839E-1); +#3299=CARTESIAN_POINT('',(1.786666674614E1,-4.957446809859E0,-1.98E1)); +#3300=LINE('',#3299,#3298); +#3301=DIRECTION('',(9.689177407767E-1,2.473831271694E-1,0.E0)); +#3302=VECTOR('',#3301,1.720133083805E-1); +#3303=CARTESIAN_POINT('',(1.77E1,-5.000000000001E0,-1.98E1)); +#3304=LINE('',#3303,#3302); +#3305=DIRECTION('',(1.E0,0.E0,0.E0)); +#3306=VECTOR('',#3305,3.333332538605E-1); +#3307=CARTESIAN_POINT('',(1.736666674614E1,-5.000000000001E0,-1.98E1)); +#3308=LINE('',#3307,#3306); +#3309=DIRECTION('',(9.689177407767E-1,-2.473831271694E-1,0.E0)); +#3310=VECTOR('',#3309,1.720133083805E-1); +#3311=CARTESIAN_POINT('',(1.72E1,-4.957446809859E0,-1.98E1)); +#3312=LINE('',#3311,#3310); +#3313=DIRECTION('',(8.906053505096E-1,-4.547769889117E-1,0.E0)); +#3314=VECTOR('',#3313,1.871387209207E-1); +#3315=CARTESIAN_POINT('',(1.703333325386E1,-4.872340425850E0,-1.98E1)); +#3316=LINE('',#3315,#3314); +#3317=DIRECTION('',(8.265989832759E-1,-5.627913652921E-1,0.E0)); +#3318=VECTOR('',#3317,1.512219145193E-1); +#3319=CARTESIAN_POINT('',(1.690833337307E1,-4.787234038115E0,-1.98E1)); +#3320=LINE('',#3319,#3318); +#3321=DIRECTION('',(5.466227293958E-1,-8.373790012342E-1,0.E0)); +#3322=VECTOR('',#3321,1.524513500598E-1); +#3323=CARTESIAN_POINT('',(1.6825E1,-4.659574478865E0,-1.98E1)); +#3324=LINE('',#3323,#3322); +#3325=DIRECTION('',(3.102797320889E-1,-9.506453007588E-1,0.E0)); +#3326=VECTOR('',#3325,1.342872982702E-1); +#3327=CARTESIAN_POINT('',(1.678333337307E1,-4.531914889813E0,-1.98E1)); +#3328=LINE('',#3327,#3326); +#3329=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3330=VECTOR('',#3329,2.083333730698E-1); +#3331=CARTESIAN_POINT('',(1.699166674614E1,-4.531914889813E0,-1.98E1)); +#3332=LINE('',#3331,#3330); +#3333=DIRECTION('',(-4.397121427660E-1,8.981387596046E-1,0.E0)); +#3334=VECTOR('',#3333,9.475860152246E-2); +#3335=CARTESIAN_POINT('',(1.703333325386E1,-4.617021262646E0,-1.98E1)); +#3336=LINE('',#3335,#3334); +#3337=DIRECTION('',(-6.996253913704E-1,7.145098402051E-1,0.E0)); +#3338=VECTOR('',#3337,1.191115892976E-1); +#3339=CARTESIAN_POINT('',(1.711666674614E1,-4.702127665282E0,-1.98E1)); +#3340=LINE('',#3339,#3338); +#3341=DIRECTION('',(-8.906051112017E-1,4.547774575564E-1,0.E0)); +#3342=VECTOR('',#3341,9.356925175068E-2); +#3343=CARTESIAN_POINT('',(1.72E1,-4.744680851698E0,-1.98E1)); +#3344=LINE('',#3343,#3342); +#3345=DIRECTION('',(-9.797706943097E-1,2.001234283435E-1,0.E0)); +#3346=VECTOR('',#3345,2.126347063353E-1); +#3347=CARTESIAN_POINT('',(1.740833325386E1,-4.787234038115E0,-1.98E1)); +#3348=LINE('',#3347,#3346); +#3349=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3350=VECTOR('',#3349,2.5E-1); +#3351=CARTESIAN_POINT('',(1.765833325386E1,-4.787234038115E0,-1.98E1)); +#3352=LINE('',#3351,#3350); +#3353=DIRECTION('',(-9.797707392153E-1,-2.001232084928E-1,0.E0)); +#3354=VECTOR('',#3353,2.126349399308E-1); +#3355=CARTESIAN_POINT('',(1.786666674614E1,-4.744680851698E0,-1.98E1)); +#3356=LINE('',#3355,#3354); +#3357=DIRECTION('',(-8.906051112017E-1,-4.547774575564E-1,0.E0)); +#3358=VECTOR('',#3357,9.356925175068E-2); +#3359=CARTESIAN_POINT('',(1.795E1,-4.702127665282E0,-1.98E1)); +#3360=LINE('',#3359,#3358); +#3361=DIRECTION('',(-6.996243694821E-1,-7.145108408043E-1,0.E0)); +#3362=VECTOR('',#3361,1.191114224940E-1); +#3363=CARTESIAN_POINT('',(1.803333325386E1,-4.617021262646E0,-1.98E1)); +#3364=LINE('',#3363,#3362); +#3365=DIRECTION('',(-4.397141723527E-1,-8.981377659536E-1,0.E0)); +#3366=VECTOR('',#3365,9.475870635825E-2); +#3367=CARTESIAN_POINT('',(1.8075E1,-4.531914889813E0,-1.98E1)); +#3368=LINE('',#3367,#3366); +#3369=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3370=VECTOR('',#3369,1.702127456665E-1); +#3371=CARTESIAN_POINT('',(1.8075E1,-4.361702144147E0,-1.98E1)); +#3372=LINE('',#3371,#3370); +#3373=DIRECTION('',(4.397130333538E-1,-8.981383235887E-1,0.E0)); +#3374=VECTOR('',#3373,1.895173614138E-1); +#3375=CARTESIAN_POINT('',(1.799166674614E1,-4.191489338875E0,-1.98E1)); +#3376=LINE('',#3375,#3374); +#3377=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#3378=VECTOR('',#3377,1.512220046714E-1); +#3379=CARTESIAN_POINT('',(1.786666674614E1,-4.106382966042E0,-1.98E1)); +#3380=LINE('',#3379,#3378); +#3381=DIRECTION('',(9.858211730931E-1,-1.677993286083E-1,0.E0)); +#3382=VECTOR('',#3381,2.535956893841E-1); +#3383=CARTESIAN_POINT('',(1.761666674614E1,-4.063829779625E0,-1.98E1)); +#3384=LINE('',#3383,#3382); +#3385=DIRECTION('',(1.E0,0.E0,0.E0)); +#3386=VECTOR('',#3385,1.666667461395E-1); +#3387=CARTESIAN_POINT('',(1.745E1,-4.063829779625E0,-1.98E1)); +#3388=LINE('',#3387,#3386); +#3389=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3390=VECTOR('',#3389,1.702127456665E-1); +#3391=CARTESIAN_POINT('',(1.745E1,-3.893617033959E0,-1.98E1)); +#3392=LINE('',#3391,#3390); +#3393=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3394=VECTOR('',#3393,1.666667461395E-1); +#3395=CARTESIAN_POINT('',(1.761666674614E1,-3.893617033959E0,-1.98E1)); +#3396=LINE('',#3395,#3394); +#3397=DIRECTION('',(-9.466499177700E-1,-3.222637633772E-1,0.E0)); +#3398=VECTOR('',#3397,2.640891794391E-1); +#3399=CARTESIAN_POINT('',(1.786666674614E1,-3.808510661126E0,-1.98E1)); +#3400=LINE('',#3399,#3398); +#3401=DIRECTION('',(-6.996244945571E-1,-7.145107183351E-1,0.E0)); +#3402=VECTOR('',#3401,1.191114011999E-1); +#3403=CARTESIAN_POINT('',(1.795E1,-3.723404288292E0,-1.98E1)); +#3404=LINE('',#3403,#3402); +#3405=DIRECTION('',(-4.397131575602E-1,-8.981382627794E-1,0.E0)); +#3406=VECTOR('',#3405,1.895173078806E-1); +#3407=CARTESIAN_POINT('',(1.803333325386E1,-3.553191542626E0,-1.98E1)); +#3408=LINE('',#3407,#3406); +#3409=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3410=VECTOR('',#3409,8.510649204254E-2); +#3411=CARTESIAN_POINT('',(1.803333325386E1,-3.468085050583E0,-1.98E1)); +#3412=LINE('',#3411,#3410); +#3413=DIRECTION('',(5.466221810895E-1,-8.373793591563E-1,0.E0)); +#3414=VECTOR('',#3413,1.524512848973E-1); +#3415=CARTESIAN_POINT('',(1.795E1,-3.340425491334E0,-1.98E1)); +#3416=LINE('',#3415,#3414); +#3417=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#3418=VECTOR('',#3417,1.512220046714E-1); +#3419=CARTESIAN_POINT('',(1.7825E1,-3.255319118500E0,-1.98E1)); +#3420=LINE('',#3419,#3418); +#3421=DIRECTION('',(9.797706943097E-1,-2.001234283435E-1,0.E0)); +#3422=VECTOR('',#3421,2.126347063353E-1); +#3423=CARTESIAN_POINT('',(1.761666674614E1,-3.212765932084E0,-1.98E1)); +#3424=LINE('',#3423,#3422); +#3425=DIRECTION('',(1.E0,0.E0,0.E0)); +#3426=VECTOR('',#3425,1.666667461395E-1); +#3427=CARTESIAN_POINT('',(1.745E1,-3.212765932084E0,-1.98E1)); +#3428=LINE('',#3427,#3426); +#3429=DIRECTION('',(9.797706943097E-1,2.001234283435E-1,0.E0)); +#3430=VECTOR('',#3429,2.126347063353E-1); +#3431=CARTESIAN_POINT('',(1.724166674614E1,-3.255319118500E0,-1.98E1)); +#3432=LINE('',#3431,#3430); +#3433=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#3434=VECTOR('',#3433,1.512220046714E-1); +#3435=CARTESIAN_POINT('',(1.711666674614E1,-3.340425491334E0,-1.98E1)); +#3436=LINE('',#3435,#3434); +#3437=DIRECTION('',(5.466232777014E-1,8.373786433120E-1,0.E0)); +#3438=VECTOR('',#3437,1.524514152223E-1); +#3439=CARTESIAN_POINT('',(1.703333325386E1,-3.468085050583E0,-1.98E1)); +#3440=LINE('',#3439,#3438); +#3441=DIRECTION('',(1.E0,0.E0,0.E0)); +#3442=VECTOR('',#3441,2.083332538605E-1); +#3443=CARTESIAN_POINT('',(1.6825E1,-3.468085050583E0,-1.98E1)); +#3444=LINE('',#3443,#3442); +#3445=DIRECTION('',(-2.377711385310E-1,-9.713212062349E-1,0.E0)); +#3446=VECTOR('',#3445,1.752383707613E-1); +#3447=CARTESIAN_POINT('',(1.686666662693E1,-3.297872304917E0,-1.98E1)); +#3448=LINE('',#3447,#3446); +#3449=DIRECTION('',(-6.996247500295E-1,-7.145104681851E-1,0.E0)); +#3450=VECTOR('',#3449,2.382228858016E-1); +#3451=CARTESIAN_POINT('',(1.703333325386E1,-3.127659559250E0,-1.98E1)); +#3452=LINE('',#3451,#3450); +#3453=DIRECTION('',(-8.906053746977E-1,-4.547769415434E-1,0.E0)); +#3454=VECTOR('',#3453,1.871387158382E-1); +#3455=CARTESIAN_POINT('',(1.72E1,-3.042553186417E0,-1.98E1)); +#3456=LINE('',#3455,#3454); +#3457=DIRECTION('',(-9.895240396393E-1,-1.443681923971E-1,0.E0)); +#3458=VECTOR('',#3457,2.947545834721E-1); +#3459=CARTESIAN_POINT('',(1.749166674614E1,-3.000000000001E0,-1.98E1)); +#3460=LINE('',#3459,#3458); +#3461=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3462=VECTOR('',#3461,8.333325386047E-2); +#3463=CARTESIAN_POINT('',(1.7575E1,-3.000000000001E0,-1.98E1)); +#3464=LINE('',#3463,#3462); +#3465=DIRECTION('',(-9.895240396393E-1,1.443681923971E-1,0.E0)); +#3466=VECTOR('',#3465,2.947545834721E-1); +#3467=CARTESIAN_POINT('',(1.786666674614E1,-3.042553186417E0,-1.98E1)); +#3468=LINE('',#3467,#3466); +#3469=DIRECTION('',(-8.906051112017E-1,4.547774575564E-1,0.E0)); +#3470=VECTOR('',#3469,1.871385035014E-1); +#3471=CARTESIAN_POINT('',(1.803333325386E1,-3.127659559250E0,-1.98E1)); +#3472=LINE('',#3471,#3470); +#3473=DIRECTION('',(-6.996250055017E-1,7.145102180353E-1,0.E0)); +#3474=VECTOR('',#3473,2.382229692034E-1); +#3475=CARTESIAN_POINT('',(1.82E1,-3.297872304917E0,-1.98E1)); +#3476=LINE('',#3475,#3474); +#3477=DIRECTION('',(-2.377717803411E-1,9.713210491251E-1,0.E0)); +#3478=VECTOR('',#3477,1.752383991059E-1); +#3479=CARTESIAN_POINT('',(1.824166674614E1,-3.468085050583E0,-1.98E1)); +#3480=LINE('',#3479,#3478); +#3481=DIRECTION('',(0.E0,1.E0,0.E0)); +#3482=VECTOR('',#3481,8.510649204254E-2); +#3483=CARTESIAN_POINT('',(1.824166674614E1,-3.553191542626E0,-1.98E1)); +#3484=LINE('',#3483,#3482); +#3485=DIRECTION('',(2.377717803411E-1,9.713210491251E-1,0.E0)); +#3486=VECTOR('',#3485,1.752383991059E-1); +#3487=CARTESIAN_POINT('',(1.82E1,-3.723404288292E0,-1.98E1)); +#3488=LINE('',#3487,#3486); +#3489=DIRECTION('',(5.466221810895E-1,8.373793591563E-1,0.E0)); +#3490=VECTOR('',#3489,1.524512848973E-1); +#3491=CARTESIAN_POINT('',(1.811666674614E1,-3.851063847542E0,-1.98E1)); +#3492=LINE('',#3491,#3490); +#3493=DIRECTION('',(7.938783209665E-1,6.080766493621E-1,0.E0)); +#3494=VECTOR('',#3493,2.099399136339E-1); +#3495=CARTESIAN_POINT('',(1.795E1,-3.978723406792E0,-1.98E1)); +#3496=LINE('',#3495,#3494); +#3497=DIRECTION('',(-9.257350567423E-1,3.781727181043E-1,0.E0)); +#3498=VECTOR('',#3497,2.250463049262E-1); +#3499=CARTESIAN_POINT('',(1.815833325386E1,-4.063829779625E0,-1.98E1)); +#3500=LINE('',#3499,#3498); +#3501=DIRECTION('',(-5.466232777014E-1,8.373786433120E-1,0.E0)); +#3502=VECTOR('',#3501,1.524514152223E-1); +#3503=CARTESIAN_POINT('',(1.824166674614E1,-4.191489338875E0,-1.98E1)); +#3504=LINE('',#3503,#3502); +#3505=DIRECTION('',(-2.377704181660E-1,9.713213825738E-1,0.E0)); +#3506=VECTOR('',#3505,1.752384003121E-1); +#3507=CARTESIAN_POINT('',(1.828333325386E1,-4.361702144147E0,-1.98E1)); +#3508=LINE('',#3507,#3506); +#3509=DIRECTION('',(0.E0,1.E0,0.E0)); +#3510=VECTOR('',#3509,1.702127456665E-1); +#3511=CARTESIAN_POINT('',(1.828333325386E1,-4.531914889813E0,-1.98E1)); +#3512=LINE('',#3511,#3510); +#3513=DIRECTION('',(3.102789298341E-1,9.506455626052E-1,0.E0)); +#3514=VECTOR('',#3513,1.342872612821E-1); +#3515=CARTESIAN_POINT('',(1.824166674614E1,-4.659574478865E0,-1.98E1)); +#3516=LINE('',#3515,#3514); +#3517=DIRECTION('',(0.E0,0.E0,1.E0)); +#3518=VECTOR('',#3517,2.E-1); +#3519=CARTESIAN_POINT('',(-1.5E1,3.000000000001E0,-2.E1)); +#3520=LINE('',#3519,#3518); +#3521=DIRECTION('',(0.E0,0.E0,1.E0)); +#3522=VECTOR('',#3521,2.E-1); +#3523=CARTESIAN_POINT('',(-1.525E1,3.000000000001E0,-2.E1)); +#3524=LINE('',#3523,#3522); +#3525=DIRECTION('',(0.E0,0.E0,1.E0)); +#3526=VECTOR('',#3525,2.E-1); +#3527=CARTESIAN_POINT('',(-1.525E1,4.659574508668E0,-2.E1)); +#3528=LINE('',#3527,#3526); +#3529=DIRECTION('',(0.E0,0.E0,1.E0)); +#3530=VECTOR('',#3529,2.E-1); +#3531=CARTESIAN_POINT('',(-1.55E1,4.361702084542E0,-2.E1)); +#3532=LINE('',#3531,#3530); +#3533=DIRECTION('',(0.E0,0.E0,1.E0)); +#3534=VECTOR('',#3533,2.E-1); +#3535=CARTESIAN_POINT('',(-1.55E1,4.702127695084E0,-2.E1)); +#3536=LINE('',#3535,#3534); +#3537=DIRECTION('',(0.E0,0.E0,1.E0)); +#3538=VECTOR('',#3537,2.E-1); +#3539=CARTESIAN_POINT('',(-1.525E1,5.000000000001E0,-2.E1)); +#3540=LINE('',#3539,#3538); +#3541=DIRECTION('',(0.E0,0.E0,1.E0)); +#3542=VECTOR('',#3541,2.E-1); +#3543=CARTESIAN_POINT('',(-1.5E1,5.000000000001E0,-2.E1)); +#3544=LINE('',#3543,#3542); +#3545=DIRECTION('',(0.E0,0.E0,1.E0)); +#3546=VECTOR('',#3545,2.E-1); +#3547=CARTESIAN_POINT('',(-1.775666666418E1,-5.319148898125E-1,-2.E1)); +#3548=LINE('',#3547,#3546); +#3549=DIRECTION('',(0.E0,0.E0,1.E0)); +#3550=VECTOR('',#3549,2.E-1); +#3551=CARTESIAN_POINT('',(-1.754833334327E1,-5.319148898125E-1,-2.E1)); +#3552=LINE('',#3551,#3550); +#3553=DIRECTION('',(-1.776356839400E-14,0.E0,1.E0)); +#3554=VECTOR('',#3553,2.E-1); +#3555=CARTESIAN_POINT('',(-1.7465E1,-6.595744788647E-1,-2.E1)); +#3556=LINE('',#3555,#3554); +#3557=DIRECTION('',(0.E0,0.E0,1.E0)); +#3558=VECTOR('',#3557,2.E-1); +#3559=CARTESIAN_POINT('',(-1.734E1,-7.446808516979E-1,-2.E1)); +#3560=LINE('',#3559,#3558); +#3561=DIRECTION('',(0.E0,0.E0,1.E0)); +#3562=VECTOR('',#3561,2.E-1); +#3563=CARTESIAN_POINT('',(-1.7215E1,-7.872340381145E-1,-2.E1)); +#3564=LINE('',#3563,#3562); +#3565=DIRECTION('',(0.E0,0.E0,1.E0)); +#3566=VECTOR('',#3565,2.E-1); +#3567=CARTESIAN_POINT('',(-1.6965E1,-7.872340381145E-1,-2.E1)); +#3568=LINE('',#3567,#3566); +#3569=DIRECTION('',(0.E0,0.E0,1.E0)); +#3570=VECTOR('',#3569,2.E-1); +#3571=CARTESIAN_POINT('',(-1.684E1,-7.446808516979E-1,-2.E1)); +#3572=LINE('',#3571,#3570); +#3573=DIRECTION('',(0.E0,0.E0,1.E0)); +#3574=VECTOR('',#3573,2.E-1); +#3575=CARTESIAN_POINT('',(-1.6715E1,-6.595744788647E-1,-2.E1)); +#3576=LINE('',#3575,#3574); +#3577=DIRECTION('',(0.E0,0.E0,1.E0)); +#3578=VECTOR('',#3577,2.E-1); +#3579=CARTESIAN_POINT('',(-1.663166662693E1,-5.319148898125E-1,-2.E1)); +#3580=LINE('',#3579,#3578); +#3581=DIRECTION('',(0.E0,0.E0,1.E0)); +#3582=VECTOR('',#3581,2.E-1); +#3583=CARTESIAN_POINT('',(-1.659E1,-4.042553305626E-1,-2.E1)); +#3584=LINE('',#3583,#3582); +#3585=DIRECTION('',(0.E0,0.E0,1.E0)); +#3586=VECTOR('',#3585,2.E-1); +#3587=CARTESIAN_POINT('',(-1.654833337307E1,-1.489361524582E-1,-2.E1)); +#3588=LINE('',#3587,#3586); +#3589=DIRECTION('',(0.E0,0.E0,1.E0)); +#3590=VECTOR('',#3589,2.E-1); +#3591=CARTESIAN_POINT('',(-1.654833337307E1,-6.382977962494E-2,-2.E1)); +#3592=LINE('',#3591,#3590); +#3593=DIRECTION('',(0.E0,0.E0,1.E0)); +#3594=VECTOR('',#3593,2.E-1); +#3595=CARTESIAN_POINT('',(-1.679833337307E1,-2.340425252914E-1,-2.E1)); +#3596=LINE('',#3595,#3594); +#3597=DIRECTION('',(0.E0,0.E0,1.E0)); +#3598=VECTOR('',#3597,2.E-1); +#3599=CARTESIAN_POINT('',(-1.700666668653E1,-2.765957713127E-1,-2.E1)); +#3600=LINE('',#3599,#3598); +#3601=DIRECTION('',(0.E0,0.E0,1.E0)); +#3602=VECTOR('',#3601,2.E-1); +#3603=CARTESIAN_POINT('',(-1.7215E1,-2.765957713127E-1,-2.E1)); +#3604=LINE('',#3603,#3602); +#3605=DIRECTION('',(0.E0,0.E0,1.E0)); +#3606=VECTOR('',#3605,2.E-1); +#3607=CARTESIAN_POINT('',(-1.742333334327E1,-2.340425252914E-1,-2.E1)); +#3608=LINE('',#3607,#3606); +#3609=DIRECTION('',(0.E0,0.E0,1.E0)); +#3610=VECTOR('',#3609,2.E-1); +#3611=CARTESIAN_POINT('',(-1.763166667163E1,-1.063829660416E-1,-2.E1)); +#3612=LINE('',#3611,#3610); +#3613=DIRECTION('',(0.E0,0.E0,1.E0)); +#3614=VECTOR('',#3613,2.E-1); +#3615=CARTESIAN_POINT('',(-1.775666666418E1,6.382977962494E-2,-2.E1)); +#3616=LINE('',#3615,#3614); +#3617=DIRECTION('',(0.E0,0.E0,1.E0)); +#3618=VECTOR('',#3617,2.E-1); +#3619=CARTESIAN_POINT('',(-1.784E1,2.765957117081E-1,-2.E1)); +#3620=LINE('',#3619,#3618); +#3621=DIRECTION('',(0.E0,0.E0,1.E0)); +#3622=VECTOR('',#3621,2.E-1); +#3623=CARTESIAN_POINT('',(-1.784E1,4.468084573746E-1,-2.E1)); +#3624=LINE('',#3623,#3622); +#3625=DIRECTION('',(0.E0,0.E0,1.E0)); +#3626=VECTOR('',#3625,2.E-1); +#3627=CARTESIAN_POINT('',(-1.775666666418E1,6.595745086670E-1,-2.E1)); +#3628=LINE('',#3627,#3626); +#3629=DIRECTION('',(0.E0,0.E0,1.E0)); +#3630=VECTOR('',#3629,2.E-1); +#3631=CARTESIAN_POINT('',(-1.763166667163E1,8.297872543335E-1,-2.E1)); +#3632=LINE('',#3631,#3630); +#3633=DIRECTION('',(0.E0,0.E0,1.E0)); +#3634=VECTOR('',#3633,2.E-1); +#3635=CARTESIAN_POINT('',(-1.742333334327E1,9.574468135834E-1,-2.E1)); +#3636=LINE('',#3635,#3634); +#3637=DIRECTION('',(0.E0,0.E0,1.E0)); +#3638=VECTOR('',#3637,2.E-1); +#3639=CARTESIAN_POINT('',(-1.7215E1,1.E0,-2.E1)); +#3640=LINE('',#3639,#3638); +#3641=DIRECTION('',(0.E0,0.E0,1.E0)); +#3642=VECTOR('',#3641,2.E-1); +#3643=CARTESIAN_POINT('',(-1.700666668653E1,1.E0,-2.E1)); +#3644=LINE('',#3643,#3642); +#3645=DIRECTION('',(0.E0,0.E0,1.E0)); +#3646=VECTOR('',#3645,2.E-1); +#3647=CARTESIAN_POINT('',(-1.679833337307E1,9.574468135834E-1,-2.E1)); +#3648=LINE('',#3647,#3646); +#3649=DIRECTION('',(0.E0,0.E0,1.E0)); +#3650=VECTOR('',#3649,2.E-1); +#3651=CARTESIAN_POINT('',(-1.659E1,8.297872543335E-1,-2.E1)); +#3652=LINE('',#3651,#3650); +#3653=DIRECTION('',(0.E0,0.E0,1.E0)); +#3654=VECTOR('',#3653,2.E-1); +#3655=CARTESIAN_POINT('',(-1.6465E1,6.595745086670E-1,-2.E1)); +#3656=LINE('',#3655,#3654); +#3657=DIRECTION('',(0.E0,0.E0,1.E0)); +#3658=VECTOR('',#3657,2.E-1); +#3659=CARTESIAN_POINT('',(-1.638166662693E1,4.468084573746E-1,-2.E1)); +#3660=LINE('',#3659,#3658); +#3661=DIRECTION('',(0.E0,0.E0,1.E0)); +#3662=VECTOR('',#3661,2.E-1); +#3663=CARTESIAN_POINT('',(-1.634E1,1.489361524582E-1,-2.E1)); +#3664=LINE('',#3663,#3662); +#3665=DIRECTION('',(0.E0,0.E0,1.E0)); +#3666=VECTOR('',#3665,2.E-1); +#3667=CARTESIAN_POINT('',(-1.634E1,-1.489361524582E-1,-2.E1)); +#3668=LINE('',#3667,#3666); +#3669=DIRECTION('',(0.E0,0.E0,1.E0)); +#3670=VECTOR('',#3669,2.E-1); +#3671=CARTESIAN_POINT('',(-1.638166662693E1,-4.468085169792E-1,-2.E1)); +#3672=LINE('',#3671,#3670); +#3673=DIRECTION('',(0.E0,0.E0,1.E0)); +#3674=VECTOR('',#3673,2.E-1); +#3675=CARTESIAN_POINT('',(-1.6465E1,-6.595744788647E-1,-2.E1)); +#3676=LINE('',#3675,#3674); +#3677=DIRECTION('',(-1.776356839400E-14,0.E0,1.E0)); +#3678=VECTOR('',#3677,2.E-1); +#3679=CARTESIAN_POINT('',(-1.659E1,-8.297872394323E-1,-2.E1)); +#3680=LINE('',#3679,#3678); +#3681=DIRECTION('',(0.E0,0.E0,1.E0)); +#3682=VECTOR('',#3681,2.E-1); +#3683=CARTESIAN_POINT('',(-1.679833337307E1,-9.574468098581E-1,-2.E1)); +#3684=LINE('',#3683,#3682); +#3685=DIRECTION('',(0.E0,0.E0,1.E0)); +#3686=VECTOR('',#3685,2.E-1); +#3687=CARTESIAN_POINT('',(-1.700666668653E1,-1.E0,-2.E1)); +#3688=LINE('',#3687,#3686); +#3689=DIRECTION('',(0.E0,0.E0,1.E0)); +#3690=VECTOR('',#3689,2.E-1); +#3691=CARTESIAN_POINT('',(-1.717333331347E1,-1.E0,-2.E1)); +#3692=LINE('',#3691,#3690); +#3693=DIRECTION('',(0.E0,0.E0,1.E0)); +#3694=VECTOR('',#3693,2.E-1); +#3695=CARTESIAN_POINT('',(-1.738166665673E1,-9.574468098581E-1,-2.E1)); +#3696=LINE('',#3695,#3694); +#3697=DIRECTION('',(-1.776356839400E-14,0.E0,1.E0)); +#3698=VECTOR('',#3697,2.E-1); +#3699=CARTESIAN_POINT('',(-1.759E1,-8.297872394323E-1,-2.E1)); +#3700=LINE('',#3699,#3698); +#3701=DIRECTION('',(0.E0,0.E0,1.E0)); +#3702=VECTOR('',#3701,2.E-1); +#3703=CARTESIAN_POINT('',(-1.7715E1,-6.595744788647E-1,-2.E1)); +#3704=LINE('',#3703,#3702); +#3705=DIRECTION('',(0.E0,0.E0,1.E0)); +#3706=VECTOR('',#3705,2.E-1); +#3707=CARTESIAN_POINT('',(-1.75E1,-5.000000000001E0,-2.E1)); +#3708=LINE('',#3707,#3706); +#3709=DIRECTION('',(0.E0,0.E0,1.E0)); +#3710=VECTOR('',#3709,2.E-1); +#3711=CARTESIAN_POINT('',(-1.775E1,-5.000000000001E0,-2.E1)); +#3712=LINE('',#3711,#3710); +#3713=DIRECTION('',(0.E0,0.E0,1.E0)); +#3714=VECTOR('',#3713,2.E-1); +#3715=CARTESIAN_POINT('',(-1.775E1,-3.340425491334E0,-2.E1)); +#3716=LINE('',#3715,#3714); +#3717=DIRECTION('',(0.E0,0.E0,1.E0)); +#3718=VECTOR('',#3717,2.E-1); +#3719=CARTESIAN_POINT('',(-1.8E1,-3.638297915459E0,-2.E1)); +#3720=LINE('',#3719,#3718); +#3721=DIRECTION('',(0.E0,0.E0,1.E0)); +#3722=VECTOR('',#3721,2.E-1); +#3723=CARTESIAN_POINT('',(-1.8E1,-3.297872304917E0,-2.E1)); +#3724=LINE('',#3723,#3722); +#3725=DIRECTION('',(0.E0,0.E0,1.E0)); +#3726=VECTOR('',#3725,2.E-1); +#3727=CARTESIAN_POINT('',(-1.775E1,-3.000000000001E0,-2.E1)); +#3728=LINE('',#3727,#3726); +#3729=DIRECTION('',(0.E0,0.E0,1.E0)); +#3730=VECTOR('',#3729,2.E-1); +#3731=CARTESIAN_POINT('',(-1.75E1,-3.000000000001E0,-2.E1)); +#3732=LINE('',#3731,#3730); +#3733=DIRECTION('',(0.E0,0.E0,1.E0)); +#3734=VECTOR('',#3733,2.E-1); +#3735=CARTESIAN_POINT('',(-1.575E1,-4.021276593209E0,-2.E1)); +#3736=LINE('',#3735,#3734); +#3737=DIRECTION('',(0.E0,0.E0,1.E0)); +#3738=VECTOR('',#3737,2.E-1); +#3739=CARTESIAN_POINT('',(-1.566666674614E1,-4.234042525292E0,-2.E1)); +#3740=LINE('',#3739,#3738); +#3741=DIRECTION('',(0.E0,0.E0,1.E0)); +#3742=VECTOR('',#3741,2.E-1); +#3743=CARTESIAN_POINT('',(-1.566666674614E1,-4.446808516980E0,-2.E1)); +#3744=LINE('',#3743,#3742); +#3745=DIRECTION('',(0.E0,0.E0,1.E0)); +#3746=VECTOR('',#3745,2.E-1); +#3747=CARTESIAN_POINT('',(-1.575E1,-4.659574478865E0,-2.E1)); +#3748=LINE('',#3747,#3746); +#3749=DIRECTION('',(0.E0,0.E0,1.E0)); +#3750=VECTOR('',#3749,2.E-1); +#3751=CARTESIAN_POINT('',(-1.5875E1,-4.829787239433E0,-2.E1)); +#3752=LINE('',#3751,#3750); +#3753=DIRECTION('',(0.E0,0.E0,1.E0)); +#3754=VECTOR('',#3753,2.E-1); +#3755=CARTESIAN_POINT('',(-1.608333337307E1,-4.957446809859E0,-2.E1)); +#3756=LINE('',#3755,#3754); +#3757=DIRECTION('',(0.E0,0.E0,1.E0)); +#3758=VECTOR('',#3757,2.E-1); +#3759=CARTESIAN_POINT('',(-1.629166662693E1,-5.000000000001E0,-2.E1)); +#3760=LINE('',#3759,#3758); +#3761=DIRECTION('',(0.E0,0.E0,1.E0)); +#3762=VECTOR('',#3761,2.E-1); +#3763=CARTESIAN_POINT('',(-1.65E1,-5.000000000001E0,-2.E1)); +#3764=LINE('',#3763,#3762); +#3765=DIRECTION('',(0.E0,0.E0,1.E0)); +#3766=VECTOR('',#3765,2.E-1); +#3767=CARTESIAN_POINT('',(-1.670833337307E1,-4.957446809859E0,-2.E1)); +#3768=LINE('',#3767,#3766); +#3769=DIRECTION('',(0.E0,0.E0,1.E0)); +#3770=VECTOR('',#3769,2.E-1); +#3771=CARTESIAN_POINT('',(-1.691666662693E1,-4.829787239433E0,-2.E1)); +#3772=LINE('',#3771,#3770); +#3773=DIRECTION('',(0.E0,0.E0,1.E0)); +#3774=VECTOR('',#3773,2.E-1); +#3775=CARTESIAN_POINT('',(-1.704166668653E1,-4.659574478865E0,-2.E1)); +#3776=LINE('',#3775,#3774); +#3777=DIRECTION('',(0.E0,0.E0,1.E0)); +#3778=VECTOR('',#3777,2.E-1); +#3779=CARTESIAN_POINT('',(-1.7125E1,-4.446808516980E0,-2.E1)); +#3780=LINE('',#3779,#3778); +#3781=DIRECTION('',(0.E0,0.E0,1.E0)); +#3782=VECTOR('',#3781,2.E-1); +#3783=CARTESIAN_POINT('',(-1.716666668653E1,-4.148936152459E0,-2.E1)); +#3784=LINE('',#3783,#3782); +#3785=DIRECTION('',(0.E0,0.E0,1.E0)); +#3786=VECTOR('',#3785,2.E-1); +#3787=CARTESIAN_POINT('',(-1.716666668653E1,-3.851063847542E0,-2.E1)); +#3788=LINE('',#3787,#3786); +#3789=DIRECTION('',(0.E0,0.E0,1.E0)); +#3790=VECTOR('',#3789,2.E-1); +#3791=CARTESIAN_POINT('',(-1.7125E1,-3.553191542626E0,-2.E1)); +#3792=LINE('',#3791,#3790); +#3793=DIRECTION('',(0.E0,0.E0,1.E0)); +#3794=VECTOR('',#3793,2.E-1); +#3795=CARTESIAN_POINT('',(-1.704166668653E1,-3.340425491334E0,-2.E1)); +#3796=LINE('',#3795,#3794); +#3797=DIRECTION('',(0.E0,0.E0,1.E0)); +#3798=VECTOR('',#3797,2.E-1); +#3799=CARTESIAN_POINT('',(-1.691666662693E1,-3.170212745667E0,-2.E1)); +#3800=LINE('',#3799,#3798); +#3801=DIRECTION('',(0.E0,0.E0,1.E0)); +#3802=VECTOR('',#3801,2.E-1); +#3803=CARTESIAN_POINT('',(-1.670833337307E1,-3.042553186417E0,-2.E1)); +#3804=LINE('',#3803,#3802); +#3805=DIRECTION('',(0.E0,0.E0,1.E0)); +#3806=VECTOR('',#3805,2.E-1); +#3807=CARTESIAN_POINT('',(-1.65E1,-3.000000000001E0,-2.E1)); +#3808=LINE('',#3807,#3806); +#3809=DIRECTION('',(0.E0,0.E0,1.E0)); +#3810=VECTOR('',#3809,2.E-1); +#3811=CARTESIAN_POINT('',(-1.633333337307E1,-3.000000000001E0,-2.E1)); +#3812=LINE('',#3811,#3810); +#3813=DIRECTION('',(0.E0,0.E0,1.E0)); +#3814=VECTOR('',#3813,2.E-1); +#3815=CARTESIAN_POINT('',(-1.6125E1,-3.042553186417E0,-2.E1)); +#3816=LINE('',#3815,#3814); +#3817=DIRECTION('',(0.E0,0.E0,1.E0)); +#3818=VECTOR('',#3817,2.E-1); +#3819=CARTESIAN_POINT('',(-1.591666674614E1,-3.170212745667E0,-2.E1)); +#3820=LINE('',#3819,#3818); +#3821=DIRECTION('',(0.E0,0.E0,1.E0)); +#3822=VECTOR('',#3821,2.E-1); +#3823=CARTESIAN_POINT('',(-1.579166674614E1,-3.340425491334E0,-2.E1)); +#3824=LINE('',#3823,#3822); +#3825=DIRECTION('',(0.E0,0.E0,1.E0)); +#3826=VECTOR('',#3825,2.E-1); +#3827=CARTESIAN_POINT('',(-1.575E1,-3.468085050583E0,-2.E1)); +#3828=LINE('',#3827,#3826); +#3829=DIRECTION('',(0.E0,0.E0,1.E0)); +#3830=VECTOR('',#3829,2.E-1); +#3831=CARTESIAN_POINT('',(-1.595833325386E1,-3.468085050583E0,-2.E1)); +#3832=LINE('',#3831,#3830); +#3833=DIRECTION('',(0.E0,0.E0,1.E0)); +#3834=VECTOR('',#3833,2.E-1); +#3835=CARTESIAN_POINT('',(-1.604166662693E1,-3.340425491334E0,-2.E1)); +#3836=LINE('',#3835,#3834); +#3837=DIRECTION('',(0.E0,0.E0,1.E0)); +#3838=VECTOR('',#3837,2.E-1); +#3839=CARTESIAN_POINT('',(-1.616666662693E1,-3.255319118500E0,-2.E1)); +#3840=LINE('',#3839,#3838); +#3841=DIRECTION('',(0.E0,0.E0,1.E0)); +#3842=VECTOR('',#3841,2.E-1); +#3843=CARTESIAN_POINT('',(-1.629166662693E1,-3.212765932084E0,-2.E1)); +#3844=LINE('',#3843,#3842); +#3845=DIRECTION('',(0.E0,0.E0,1.E0)); +#3846=VECTOR('',#3845,2.E-1); +#3847=CARTESIAN_POINT('',(-1.654166662693E1,-3.212765932084E0,-2.E1)); +#3848=LINE('',#3847,#3846); +#3849=DIRECTION('',(0.E0,0.E0,1.E0)); +#3850=VECTOR('',#3849,2.E-1); +#3851=CARTESIAN_POINT('',(-1.666666662693E1,-3.255319118500E0,-2.E1)); +#3852=LINE('',#3851,#3850); +#3853=DIRECTION('',(0.E0,0.E0,1.E0)); +#3854=VECTOR('',#3853,2.E-1); +#3855=CARTESIAN_POINT('',(-1.679166662693E1,-3.340425491334E0,-2.E1)); +#3856=LINE('',#3855,#3854); +#3857=DIRECTION('',(0.E0,0.E0,1.E0)); +#3858=VECTOR('',#3857,2.E-1); +#3859=CARTESIAN_POINT('',(-1.6875E1,-3.468085050583E0,-2.E1)); +#3860=LINE('',#3859,#3858); +#3861=DIRECTION('',(0.E0,0.E0,1.E0)); +#3862=VECTOR('',#3861,2.E-1); +#3863=CARTESIAN_POINT('',(-1.691666662693E1,-3.595744729043E0,-2.E1)); +#3864=LINE('',#3863,#3862); +#3865=DIRECTION('',(0.E0,0.E0,1.E0)); +#3866=VECTOR('',#3865,2.E-1); +#3867=CARTESIAN_POINT('',(-1.695833337307E1,-3.851063847542E0,-2.E1)); +#3868=LINE('',#3867,#3866); +#3869=DIRECTION('',(0.E0,0.E0,1.E0)); +#3870=VECTOR('',#3869,2.E-1); +#3871=CARTESIAN_POINT('',(-1.695833337307E1,-3.936170220376E0,-2.E1)); +#3872=LINE('',#3871,#3870); +#3873=DIRECTION('',(0.E0,0.E0,1.E0)); +#3874=VECTOR('',#3873,2.E-1); +#3875=CARTESIAN_POINT('',(-1.670833337307E1,-3.765957474709E0,-2.E1)); +#3876=LINE('',#3875,#3874); +#3877=DIRECTION('',(0.E0,0.E0,1.E0)); +#3878=VECTOR('',#3877,2.E-1); +#3879=CARTESIAN_POINT('',(-1.65E1,-3.723404288292E0,-2.E1)); +#3880=LINE('',#3879,#3878); +#3881=DIRECTION('',(0.E0,0.E0,1.E0)); +#3882=VECTOR('',#3881,2.E-1); +#3883=CARTESIAN_POINT('',(-1.629166662693E1,-3.723404288292E0,-2.E1)); +#3884=LINE('',#3883,#3882); +#3885=DIRECTION('',(0.E0,0.E0,1.E0)); +#3886=VECTOR('',#3885,2.E-1); +#3887=CARTESIAN_POINT('',(-1.608333337307E1,-3.765957474709E0,-2.E1)); +#3888=LINE('',#3887,#3886); +#3889=DIRECTION('',(0.E0,0.E0,1.E0)); +#3890=VECTOR('',#3889,2.E-1); +#3891=CARTESIAN_POINT('',(-1.5875E1,-3.893617033959E0,-2.E1)); +#3892=LINE('',#3891,#3890); +#3893=DIRECTION('',(0.E0,0.E0,1.E0)); +#3894=VECTOR('',#3893,2.E-1); +#3895=CARTESIAN_POINT('',(-1.591666674614E1,-4.148936152459E0,-2.E1)); +#3896=LINE('',#3895,#3894); +#3897=DIRECTION('',(0.E0,0.E0,1.E0)); +#3898=VECTOR('',#3897,2.E-1); +#3899=CARTESIAN_POINT('',(-1.6E1,-4.063829779625E0,-2.E1)); +#3900=LINE('',#3899,#3898); +#3901=DIRECTION('',(0.E0,0.E0,1.E0)); +#3902=VECTOR('',#3901,2.E-1); +#3903=CARTESIAN_POINT('',(-1.6125E1,-3.978723406792E0,-2.E1)); +#3904=LINE('',#3903,#3902); +#3905=DIRECTION('',(0.E0,0.E0,1.E0)); +#3906=VECTOR('',#3905,2.E-1); +#3907=CARTESIAN_POINT('',(-1.625E1,-3.936170220376E0,-2.E1)); +#3908=LINE('',#3907,#3906); +#3909=DIRECTION('',(0.E0,0.E0,1.E0)); +#3910=VECTOR('',#3909,2.E-1); +#3911=CARTESIAN_POINT('',(-1.654166662693E1,-3.936170220376E0,-2.E1)); +#3912=LINE('',#3911,#3910); +#3913=DIRECTION('',(0.E0,0.E0,1.E0)); +#3914=VECTOR('',#3913,2.E-1); +#3915=CARTESIAN_POINT('',(-1.666666662693E1,-3.978723406792E0,-2.E1)); +#3916=LINE('',#3915,#3914); +#3917=DIRECTION('',(0.E0,0.E0,1.E0)); +#3918=VECTOR('',#3917,2.E-1); +#3919=CARTESIAN_POINT('',(-1.679166662693E1,-4.063829779625E0,-2.E1)); +#3920=LINE('',#3919,#3918); +#3921=DIRECTION('',(0.E0,0.E0,1.E0)); +#3922=VECTOR('',#3921,2.E-1); +#3923=CARTESIAN_POINT('',(-1.6875E1,-4.148936152459E0,-2.E1)); +#3924=LINE('',#3923,#3922); +#3925=DIRECTION('',(0.E0,0.E0,1.E0)); +#3926=VECTOR('',#3925,2.E-1); +#3927=CARTESIAN_POINT('',(-1.691666662693E1,-4.276595771313E0,-2.E1)); +#3928=LINE('',#3927,#3926); +#3929=DIRECTION('',(0.E0,0.E0,1.E0)); +#3930=VECTOR('',#3929,2.E-1); +#3931=CARTESIAN_POINT('',(-1.691666662693E1,-4.404255330563E0,-2.E1)); +#3932=LINE('',#3931,#3930); +#3933=DIRECTION('',(0.E0,0.E0,1.E0)); +#3934=VECTOR('',#3933,2.E-1); +#3935=CARTESIAN_POINT('',(-1.6875E1,-4.531914889813E0,-2.E1)); +#3936=LINE('',#3935,#3934); +#3937=DIRECTION('',(0.E0,0.E0,1.E0)); +#3938=VECTOR('',#3937,2.E-1); +#3939=CARTESIAN_POINT('',(-1.679166662693E1,-4.659574478865E0,-2.E1)); +#3940=LINE('',#3939,#3938); +#3941=DIRECTION('',(0.E0,0.E0,1.E0)); +#3942=VECTOR('',#3941,2.E-1); +#3943=CARTESIAN_POINT('',(-1.666666662693E1,-4.744680851698E0,-2.E1)); +#3944=LINE('',#3943,#3942); +#3945=DIRECTION('',(0.E0,0.E0,1.E0)); +#3946=VECTOR('',#3945,2.E-1); +#3947=CARTESIAN_POINT('',(-1.654166662693E1,-4.787234038115E0,-2.E1)); +#3948=LINE('',#3947,#3946); +#3949=DIRECTION('',(0.E0,0.E0,1.E0)); +#3950=VECTOR('',#3949,2.E-1); +#3951=CARTESIAN_POINT('',(-1.625E1,-4.787234038115E0,-2.E1)); +#3952=LINE('',#3951,#3950); +#3953=DIRECTION('',(0.E0,0.E0,1.E0)); +#3954=VECTOR('',#3953,2.E-1); +#3955=CARTESIAN_POINT('',(-1.6125E1,-4.744680851698E0,-2.E1)); +#3956=LINE('',#3955,#3954); +#3957=DIRECTION('',(0.E0,0.E0,1.E0)); +#3958=VECTOR('',#3957,2.E-1); +#3959=CARTESIAN_POINT('',(-1.6E1,-4.659574478865E0,-2.E1)); +#3960=LINE('',#3959,#3958); +#3961=DIRECTION('',(0.E0,0.E0,1.E0)); +#3962=VECTOR('',#3961,2.E-1); +#3963=CARTESIAN_POINT('',(-1.591666674614E1,-4.531914889813E0,-2.E1)); +#3964=LINE('',#3963,#3962); +#3965=DIRECTION('',(0.E0,0.E0,1.E0)); +#3966=VECTOR('',#3965,2.E-1); +#3967=CARTESIAN_POINT('',(-1.5875E1,-4.404255330563E0,-2.E1)); +#3968=LINE('',#3967,#3966); +#3969=DIRECTION('',(0.E0,0.E0,1.E0)); +#3970=VECTOR('',#3969,2.E-1); +#3971=CARTESIAN_POINT('',(-1.5875E1,-4.276595771313E0,-2.E1)); +#3972=LINE('',#3971,#3970); +#3973=DIRECTION('',(0.E0,0.E0,1.E0)); +#3974=VECTOR('',#3973,2.E-1); +#3975=CARTESIAN_POINT('',(1.583333334327E1,4.021276593209E0,-2.E1)); +#3976=LINE('',#3975,#3974); +#3977=DIRECTION('',(0.E0,0.E0,1.E0)); +#3978=VECTOR('',#3977,2.E-1); +#3979=CARTESIAN_POINT('',(1.575E1,4.063829779625E0,-2.E1)); +#3980=LINE('',#3979,#3978); +#3981=DIRECTION('',(0.E0,0.E0,1.E0)); +#3982=VECTOR('',#3981,2.E-1); +#3983=CARTESIAN_POINT('',(1.5625E1,4.191489338875E0,-2.E1)); +#3984=LINE('',#3983,#3982); +#3985=DIRECTION('',(0.E0,0.E0,1.E0)); +#3986=VECTOR('',#3985,2.E-1); +#3987=CARTESIAN_POINT('',(1.554166666791E1,4.361702084542E0,-2.E1)); +#3988=LINE('',#3987,#3986); +#3989=DIRECTION('',(0.E0,0.E0,1.E0)); +#3990=VECTOR('',#3989,2.E-1); +#3991=CARTESIAN_POINT('',(1.554166666791E1,4.489361643792E0,-2.E1)); +#3992=LINE('',#3991,#3990); +#3993=DIRECTION('',(0.E0,0.E0,1.E0)); +#3994=VECTOR('',#3993,2.E-1); +#3995=CARTESIAN_POINT('',(1.5625E1,4.702127695084E0,-2.E1)); +#3996=LINE('',#3995,#3994); +#3997=DIRECTION('',(0.E0,0.E0,1.E0)); +#3998=VECTOR('',#3997,2.E-1); +#3999=CARTESIAN_POINT('',(1.575E1,4.829787254334E0,-2.E1)); +#4000=LINE('',#3999,#3998); +#4001=DIRECTION('',(0.E0,0.E0,1.E0)); +#4002=VECTOR('',#4001,2.E-1); +#4003=CARTESIAN_POINT('',(1.595833334327E1,4.957446813584E0,-2.E1)); +#4004=LINE('',#4003,#4002); +#4005=DIRECTION('',(0.E0,0.E0,1.E0)); +#4006=VECTOR('',#4005,2.E-1); +#4007=CARTESIAN_POINT('',(1.616666668653E1,5.000000000001E0,-2.E1)); +#4008=LINE('',#4007,#4006); +#4009=DIRECTION('',(0.E0,0.E0,1.E0)); +#4010=VECTOR('',#4009,2.E-1); +#4011=CARTESIAN_POINT('',(1.633333331347E1,5.000000000001E0,-2.E1)); +#4012=LINE('',#4011,#4010); +#4013=DIRECTION('',(0.E0,0.E0,1.E0)); +#4014=VECTOR('',#4013,2.E-1); +#4015=CARTESIAN_POINT('',(1.654166662693E1,4.957446813584E0,-2.E1)); +#4016=LINE('',#4015,#4014); +#4017=DIRECTION('',(0.E0,0.E0,1.E0)); +#4018=VECTOR('',#4017,2.E-1); +#4019=CARTESIAN_POINT('',(1.675E1,4.829787254334E0,-2.E1)); +#4020=LINE('',#4019,#4018); +#4021=DIRECTION('',(0.E0,0.E0,1.E0)); +#4022=VECTOR('',#4021,2.E-1); +#4023=CARTESIAN_POINT('',(1.6875E1,4.702127695084E0,-2.E1)); +#4024=LINE('',#4023,#4022); +#4025=DIRECTION('',(0.E0,0.E0,1.E0)); +#4026=VECTOR('',#4025,2.E-1); +#4027=CARTESIAN_POINT('',(1.695833337307E1,4.489361643792E0,-2.E1)); +#4028=LINE('',#4027,#4026); +#4029=DIRECTION('',(0.E0,0.E0,1.E0)); +#4030=VECTOR('',#4029,2.E-1); +#4031=CARTESIAN_POINT('',(1.695833337307E1,4.361702084542E0,-2.E1)); +#4032=LINE('',#4031,#4030); +#4033=DIRECTION('',(0.E0,0.E0,1.E0)); +#4034=VECTOR('',#4033,2.E-1); +#4035=CARTESIAN_POINT('',(1.6875E1,4.191489338875E0,-2.E1)); +#4036=LINE('',#4035,#4034); +#4037=DIRECTION('',(0.E0,0.E0,1.E0)); +#4038=VECTOR('',#4037,2.E-1); +#4039=CARTESIAN_POINT('',(1.675E1,4.063829779625E0,-2.E1)); +#4040=LINE('',#4039,#4038); +#4041=DIRECTION('',(0.E0,0.E0,1.E0)); +#4042=VECTOR('',#4041,2.E-1); +#4043=CARTESIAN_POINT('',(1.666666662693E1,4.021276593209E0,-2.E1)); +#4044=LINE('',#4043,#4042); +#4045=DIRECTION('',(0.E0,0.E0,1.E0)); +#4046=VECTOR('',#4045,2.E-1); +#4047=CARTESIAN_POINT('',(1.675E1,3.978723406792E0,-2.E1)); +#4048=LINE('',#4047,#4046); +#4049=DIRECTION('',(0.E0,0.E0,1.E0)); +#4050=VECTOR('',#4049,2.E-1); +#4051=CARTESIAN_POINT('',(1.691666662693E1,3.851063847542E0,-2.E1)); +#4052=LINE('',#4051,#4050); +#4053=DIRECTION('',(0.E0,0.E0,1.E0)); +#4054=VECTOR('',#4053,2.E-1); +#4055=CARTESIAN_POINT('',(1.7E1,3.638297855855E0,-2.E1)); +#4056=LINE('',#4055,#4054); +#4057=DIRECTION('',(0.E0,0.E0,1.E0)); +#4058=VECTOR('',#4057,2.E-1); +#4059=CARTESIAN_POINT('',(1.7E1,3.510638296605E0,-2.E1)); +#4060=LINE('',#4059,#4058); +#4061=DIRECTION('',(0.E0,0.E0,1.E0)); +#4062=VECTOR('',#4061,2.E-1); +#4063=CARTESIAN_POINT('',(1.691666662693E1,3.297872334719E0,-2.E1)); +#4064=LINE('',#4063,#4062); +#4065=DIRECTION('',(0.E0,0.E0,1.E0)); +#4066=VECTOR('',#4065,2.E-1); +#4067=CARTESIAN_POINT('',(1.679166662693E1,3.170212760568E0,-2.E1)); +#4068=LINE('',#4067,#4066); +#4069=DIRECTION('',(0.E0,0.E0,1.E0)); +#4070=VECTOR('',#4069,2.E-1); +#4071=CARTESIAN_POINT('',(1.658333337307E1,3.042553190142E0,-2.E1)); +#4072=LINE('',#4071,#4070); +#4073=DIRECTION('',(0.E0,0.E0,1.E0)); +#4074=VECTOR('',#4073,2.E-1); +#4075=CARTESIAN_POINT('',(1.6375E1,3.000000000001E0,-2.E1)); +#4076=LINE('',#4075,#4074); +#4077=DIRECTION('',(0.E0,0.E0,1.E0)); +#4078=VECTOR('',#4077,2.E-1); +#4079=CARTESIAN_POINT('',(1.6125E1,3.000000000001E0,-2.E1)); +#4080=LINE('',#4079,#4078); +#4081=DIRECTION('',(0.E0,0.E0,1.E0)); +#4082=VECTOR('',#4081,2.E-1); +#4083=CARTESIAN_POINT('',(1.591666665673E1,3.042553190142E0,-2.E1)); +#4084=LINE('',#4083,#4082); +#4085=DIRECTION('',(0.E0,0.E0,1.E0)); +#4086=VECTOR('',#4085,2.E-1); +#4087=CARTESIAN_POINT('',(1.570833332837E1,3.170212760568E0,-2.E1)); +#4088=LINE('',#4087,#4086); +#4089=DIRECTION('',(0.E0,0.E0,1.E0)); +#4090=VECTOR('',#4089,2.E-1); +#4091=CARTESIAN_POINT('',(1.558333333582E1,3.297872334719E0,-2.E1)); +#4092=LINE('',#4091,#4090); +#4093=DIRECTION('',(0.E0,0.E0,1.E0)); +#4094=VECTOR('',#4093,2.E-1); +#4095=CARTESIAN_POINT('',(1.55E1,3.510638296605E0,-2.E1)); +#4096=LINE('',#4095,#4094); +#4097=DIRECTION('',(0.E0,0.E0,1.E0)); +#4098=VECTOR('',#4097,2.E-1); +#4099=CARTESIAN_POINT('',(1.55E1,3.638297855855E0,-2.E1)); +#4100=LINE('',#4099,#4098); +#4101=DIRECTION('',(0.E0,0.E0,1.E0)); +#4102=VECTOR('',#4101,2.E-1); +#4103=CARTESIAN_POINT('',(1.558333333582E1,3.851063847542E0,-2.E1)); +#4104=LINE('',#4103,#4102); +#4105=DIRECTION('',(0.E0,0.E0,1.E0)); +#4106=VECTOR('',#4105,2.E-1); +#4107=CARTESIAN_POINT('',(1.575E1,3.978723406792E0,-2.E1)); +#4108=LINE('',#4107,#4106); +#4109=DIRECTION('',(0.E0,0.E0,1.E0)); +#4110=VECTOR('',#4109,2.E-1); +#4111=CARTESIAN_POINT('',(1.616666668653E1,3.212765961886E0,-2.E1)); +#4112=LINE('',#4111,#4110); +#4113=DIRECTION('',(0.E0,0.E0,1.E0)); +#4114=VECTOR('',#4113,2.E-1); +#4115=CARTESIAN_POINT('',(1.633333331347E1,3.212765961886E0,-2.E1)); +#4116=LINE('',#4115,#4114); +#4117=DIRECTION('',(0.E0,0.E0,1.E0)); +#4118=VECTOR('',#4117,2.E-1); +#4119=CARTESIAN_POINT('',(1.65E1,3.255319148303E0,-2.E1)); +#4120=LINE('',#4119,#4118); +#4121=DIRECTION('',(0.E0,0.E0,1.E0)); +#4122=VECTOR('',#4121,2.E-1); +#4123=CARTESIAN_POINT('',(1.666666662693E1,3.340425521136E0,-2.E1)); +#4124=LINE('',#4123,#4122); +#4125=DIRECTION('',(0.E0,0.E0,1.E0)); +#4126=VECTOR('',#4125,2.E-1); +#4127=CARTESIAN_POINT('',(1.679166662693E1,3.510638296605E0,-2.E1)); +#4128=LINE('',#4127,#4126); +#4129=DIRECTION('',(0.E0,0.E0,1.E0)); +#4130=VECTOR('',#4129,2.E-1); +#4131=CARTESIAN_POINT('',(1.679166662693E1,3.638297855855E0,-2.E1)); +#4132=LINE('',#4131,#4130); +#4133=DIRECTION('',(0.E0,0.E0,1.E0)); +#4134=VECTOR('',#4133,2.E-1); +#4135=CARTESIAN_POINT('',(1.666666662693E1,3.808510661126E0,-2.E1)); +#4136=LINE('',#4135,#4134); +#4137=DIRECTION('',(0.E0,0.E0,1.E0)); +#4138=VECTOR('',#4137,2.E-1); +#4139=CARTESIAN_POINT('',(1.65E1,3.893617033959E0,-2.E1)); +#4140=LINE('',#4139,#4138); +#4141=DIRECTION('',(0.E0,0.E0,1.E0)); +#4142=VECTOR('',#4141,2.E-1); +#4143=CARTESIAN_POINT('',(1.633333331347E1,3.936170220376E0,-2.E1)); +#4144=LINE('',#4143,#4142); +#4145=DIRECTION('',(0.E0,0.E0,1.E0)); +#4146=VECTOR('',#4145,2.E-1); +#4147=CARTESIAN_POINT('',(1.616666668653E1,3.936170220376E0,-2.E1)); +#4148=LINE('',#4147,#4146); +#4149=DIRECTION('',(0.E0,0.E0,1.E0)); +#4150=VECTOR('',#4149,2.E-1); +#4151=CARTESIAN_POINT('',(1.6E1,3.893617033959E0,-2.E1)); +#4152=LINE('',#4151,#4150); +#4153=DIRECTION('',(0.E0,0.E0,1.E0)); +#4154=VECTOR('',#4153,2.E-1); +#4155=CARTESIAN_POINT('',(1.583333334327E1,3.808510661126E0,-2.E1)); +#4156=LINE('',#4155,#4154); +#4157=DIRECTION('',(0.E0,0.E0,1.E0)); +#4158=VECTOR('',#4157,2.E-1); +#4159=CARTESIAN_POINT('',(1.570833332837E1,3.638297855855E0,-2.E1)); +#4160=LINE('',#4159,#4158); +#4161=DIRECTION('',(0.E0,0.E0,1.E0)); +#4162=VECTOR('',#4161,2.E-1); +#4163=CARTESIAN_POINT('',(1.570833332837E1,3.510638296605E0,-2.E1)); +#4164=LINE('',#4163,#4162); +#4165=DIRECTION('',(0.E0,0.E0,1.E0)); +#4166=VECTOR('',#4165,2.E-1); +#4167=CARTESIAN_POINT('',(1.583333334327E1,3.340425521136E0,-2.E1)); +#4168=LINE('',#4167,#4166); +#4169=DIRECTION('',(0.E0,0.E0,1.E0)); +#4170=VECTOR('',#4169,2.E-1); +#4171=CARTESIAN_POINT('',(1.6E1,3.255319148303E0,-2.E1)); +#4172=LINE('',#4171,#4170); +#4173=DIRECTION('',(0.E0,0.E0,1.E0)); +#4174=VECTOR('',#4173,2.E-1); +#4175=CARTESIAN_POINT('',(1.633333331347E1,4.787234067917E0,-2.E1)); +#4176=LINE('',#4175,#4174); +#4177=DIRECTION('',(0.E0,0.E0,1.E0)); +#4178=VECTOR('',#4177,2.E-1); +#4179=CARTESIAN_POINT('',(1.616666668653E1,4.787234067917E0,-2.E1)); +#4180=LINE('',#4179,#4178); +#4181=DIRECTION('',(0.E0,0.E0,1.E0)); +#4182=VECTOR('',#4181,2.E-1); +#4183=CARTESIAN_POINT('',(1.6E1,4.744680881501E0,-2.E1)); +#4184=LINE('',#4183,#4182); +#4185=DIRECTION('',(0.E0,0.E0,1.E0)); +#4186=VECTOR('',#4185,2.E-1); +#4187=CARTESIAN_POINT('',(1.583333334327E1,4.617021322251E0,-2.E1)); +#4188=LINE('',#4187,#4186); +#4189=DIRECTION('',(0.E0,0.E0,1.E0)); +#4190=VECTOR('',#4189,2.E-1); +#4191=CARTESIAN_POINT('',(1.575E1,4.489361643792E0,-2.E1)); +#4192=LINE('',#4191,#4190); +#4193=DIRECTION('',(0.E0,0.E0,1.E0)); +#4194=VECTOR('',#4193,2.E-1); +#4195=CARTESIAN_POINT('',(1.575E1,4.404255270958E0,-2.E1)); +#4196=LINE('',#4195,#4194); +#4197=DIRECTION('',(0.E0,0.E0,1.E0)); +#4198=VECTOR('',#4197,2.E-1); +#4199=CARTESIAN_POINT('',(1.583333334327E1,4.276595711709E0,-2.E1)); +#4200=LINE('',#4199,#4198); +#4201=DIRECTION('',(0.E0,0.E0,1.E0)); +#4202=VECTOR('',#4201,2.E-1); +#4203=CARTESIAN_POINT('',(1.6E1,4.148936152459E0,-2.E1)); +#4204=LINE('',#4203,#4202); +#4205=DIRECTION('',(0.E0,0.E0,1.E0)); +#4206=VECTOR('',#4205,2.E-1); +#4207=CARTESIAN_POINT('',(1.616666668653E1,4.106382966042E0,-2.E1)); +#4208=LINE('',#4207,#4206); +#4209=DIRECTION('',(0.E0,0.E0,1.E0)); +#4210=VECTOR('',#4209,2.E-1); +#4211=CARTESIAN_POINT('',(1.633333331347E1,4.106382966042E0,-2.E1)); +#4212=LINE('',#4211,#4210); +#4213=DIRECTION('',(0.E0,0.E0,1.E0)); +#4214=VECTOR('',#4213,2.E-1); +#4215=CARTESIAN_POINT('',(1.65E1,4.148936152459E0,-2.E1)); +#4216=LINE('',#4215,#4214); +#4217=DIRECTION('',(0.E0,0.E0,1.E0)); +#4218=VECTOR('',#4217,2.E-1); +#4219=CARTESIAN_POINT('',(1.666666662693E1,4.276595711709E0,-2.E1)); +#4220=LINE('',#4219,#4218); +#4221=DIRECTION('',(0.E0,0.E0,1.E0)); +#4222=VECTOR('',#4221,2.E-1); +#4223=CARTESIAN_POINT('',(1.675E1,4.404255270958E0,-2.E1)); +#4224=LINE('',#4223,#4222); +#4225=DIRECTION('',(0.E0,0.E0,1.E0)); +#4226=VECTOR('',#4225,2.E-1); +#4227=CARTESIAN_POINT('',(1.675E1,4.489361643792E0,-2.E1)); +#4228=LINE('',#4227,#4226); +#4229=DIRECTION('',(0.E0,0.E0,1.E0)); +#4230=VECTOR('',#4229,2.E-1); +#4231=CARTESIAN_POINT('',(1.666666662693E1,4.617021322251E0,-2.E1)); +#4232=LINE('',#4231,#4230); +#4233=DIRECTION('',(0.E0,0.E0,1.E0)); +#4234=VECTOR('',#4233,2.E-1); +#4235=CARTESIAN_POINT('',(1.65E1,4.744680881501E0,-2.E1)); +#4236=LINE('',#4235,#4234); +#4237=DIRECTION('',(0.E0,0.E0,1.E0)); +#4238=VECTOR('',#4237,2.E-1); +#4239=CARTESIAN_POINT('',(1.545E1,-1.E0,-2.E1)); +#4240=LINE('',#4239,#4238); +#4241=DIRECTION('',(0.E0,0.E0,1.E0)); +#4242=VECTOR('',#4241,2.E-1); +#4243=CARTESIAN_POINT('',(1.52E1,-1.E0,-2.E1)); +#4244=LINE('',#4243,#4242); +#4245=DIRECTION('',(0.E0,0.E0,1.E0)); +#4246=VECTOR('',#4245,2.E-1); +#4247=CARTESIAN_POINT('',(1.52E1,6.595745086670E-1,-2.E1)); +#4248=LINE('',#4247,#4246); +#4249=DIRECTION('',(0.E0,0.E0,1.E0)); +#4250=VECTOR('',#4249,2.E-1); +#4251=CARTESIAN_POINT('',(1.495E1,3.617020845413E-1,-2.E1)); +#4252=LINE('',#4251,#4250); +#4253=DIRECTION('',(0.E0,0.E0,1.E0)); +#4254=VECTOR('',#4253,2.E-1); +#4255=CARTESIAN_POINT('',(1.495E1,7.021276950836E-1,-2.E1)); +#4256=LINE('',#4255,#4254); +#4257=DIRECTION('',(0.E0,0.E0,1.E0)); +#4258=VECTOR('',#4257,2.E-1); +#4259=CARTESIAN_POINT('',(1.52E1,1.E0,-2.E1)); +#4260=LINE('',#4259,#4258); +#4261=DIRECTION('',(0.E0,0.E0,1.E0)); +#4262=VECTOR('',#4261,2.E-1); +#4263=CARTESIAN_POINT('',(1.545E1,1.E0,-2.E1)); +#4264=LINE('',#4263,#4262); +#4265=DIRECTION('',(0.E0,0.E0,1.E0)); +#4266=VECTOR('',#4265,2.E-1); +#4267=CARTESIAN_POINT('',(1.6075E1,-1.063829660416E-1,-2.E1)); +#4268=LINE('',#4267,#4266); +#4269=DIRECTION('',(0.E0,0.E0,1.E0)); +#4270=VECTOR('',#4269,2.E-1); +#4271=CARTESIAN_POINT('',(1.578333331347E1,-1.063829660416E-1,-2.E1)); +#4272=LINE('',#4271,#4270); +#4273=DIRECTION('',(0.E0,0.E0,1.E0)); +#4274=VECTOR('',#4273,2.E-1); +#4275=CARTESIAN_POINT('',(1.578333331347E1,1.E0,-2.E1)); +#4276=LINE('',#4275,#4274); +#4277=DIRECTION('',(0.E0,0.E0,1.E0)); +#4278=VECTOR('',#4277,2.E-1); +#4279=CARTESIAN_POINT('',(1.72E1,1.E0,-2.E1)); +#4280=LINE('',#4279,#4278); +#4281=DIRECTION('',(0.E0,0.E0,1.E0)); +#4282=VECTOR('',#4281,2.E-1); +#4283=CARTESIAN_POINT('',(1.72E1,7.872340679169E-1,-2.E1)); +#4284=LINE('',#4283,#4282); +#4285=DIRECTION('',(0.E0,0.E0,1.E0)); +#4286=VECTOR('',#4285,2.E-1); +#4287=CARTESIAN_POINT('',(1.599166662693E1,7.872340679169E-1,-2.E1)); +#4288=LINE('',#4287,#4286); +#4289=DIRECTION('',(0.E0,0.E0,1.E0)); +#4290=VECTOR('',#4289,2.E-1); +#4291=CARTESIAN_POINT('',(1.599166662693E1,1.063829660416E-1,-2.E1)); +#4292=LINE('',#4291,#4290); +#4293=DIRECTION('',(0.E0,0.E0,1.E0)); +#4294=VECTOR('',#4293,2.E-1); +#4295=CARTESIAN_POINT('',(1.62E1,2.340425252914E-1,-2.E1)); +#4296=LINE('',#4295,#4294); +#4297=DIRECTION('',(0.E0,0.E0,1.E0)); +#4298=VECTOR('',#4297,2.E-1); +#4299=CARTESIAN_POINT('',(1.640833337307E1,2.765957117081E-1,-2.E1)); +#4300=LINE('',#4299,#4298); +#4301=DIRECTION('',(0.E0,0.E0,1.E0)); +#4302=VECTOR('',#4301,2.E-1); +#4303=CARTESIAN_POINT('',(1.665833337307E1,2.765957117081E-1,-2.E1)); +#4304=LINE('',#4303,#4302); +#4305=DIRECTION('',(0.E0,0.E0,1.E0)); +#4306=VECTOR('',#4305,2.E-1); +#4307=CARTESIAN_POINT('',(1.686666662693E1,2.340425252914E-1,-2.E1)); +#4308=LINE('',#4307,#4306); +#4309=DIRECTION('',(0.E0,0.E0,1.E0)); +#4310=VECTOR('',#4309,2.E-1); +#4311=CARTESIAN_POINT('',(1.7075E1,1.063829660416E-1,-2.E1)); +#4312=LINE('',#4311,#4310); +#4313=DIRECTION('',(0.E0,0.E0,1.E0)); +#4314=VECTOR('',#4313,2.E-1); +#4315=CARTESIAN_POINT('',(1.72E1,-6.382977962494E-2,-2.E1)); +#4316=LINE('',#4315,#4314); +#4317=DIRECTION('',(0.E0,0.E0,1.E0)); +#4318=VECTOR('',#4317,2.E-1); +#4319=CARTESIAN_POINT('',(1.728333325386E1,-2.765957713127E-1,-2.E1)); +#4320=LINE('',#4319,#4318); +#4321=DIRECTION('',(0.E0,0.E0,1.E0)); +#4322=VECTOR('',#4321,2.E-1); +#4323=CARTESIAN_POINT('',(1.728333325386E1,-4.468085169792E-1,-2.E1)); +#4324=LINE('',#4323,#4322); +#4325=DIRECTION('',(0.E0,0.E0,1.E0)); +#4326=VECTOR('',#4325,2.E-1); +#4327=CARTESIAN_POINT('',(1.72E1,-6.595744788647E-1,-2.E1)); +#4328=LINE('',#4327,#4326); +#4329=DIRECTION('',(0.E0,0.E0,1.E0)); +#4330=VECTOR('',#4329,2.E-1); +#4331=CARTESIAN_POINT('',(1.7075E1,-8.297872394323E-1,-2.E1)); +#4332=LINE('',#4331,#4330); +#4333=DIRECTION('',(0.E0,0.E0,1.E0)); +#4334=VECTOR('',#4333,2.E-1); +#4335=CARTESIAN_POINT('',(1.686666662693E1,-9.574468098581E-1,-2.E1)); +#4336=LINE('',#4335,#4334); +#4337=DIRECTION('',(0.E0,0.E0,1.E0)); +#4338=VECTOR('',#4337,2.E-1); +#4339=CARTESIAN_POINT('',(1.665833337307E1,-1.E0,-2.E1)); +#4340=LINE('',#4339,#4338); +#4341=DIRECTION('',(0.E0,0.E0,1.E0)); +#4342=VECTOR('',#4341,2.E-1); +#4343=CARTESIAN_POINT('',(1.640833337307E1,-1.E0,-2.E1)); +#4344=LINE('',#4343,#4342); +#4345=DIRECTION('',(0.E0,0.E0,1.E0)); +#4346=VECTOR('',#4345,2.E-1); +#4347=CARTESIAN_POINT('',(1.62E1,-9.574468098581E-1,-2.E1)); +#4348=LINE('',#4347,#4346); +#4349=DIRECTION('',(0.E0,0.E0,1.E0)); +#4350=VECTOR('',#4349,2.E-1); +#4351=CARTESIAN_POINT('',(1.599166662693E1,-8.297872394323E-1,-2.E1)); +#4352=LINE('',#4351,#4350); +#4353=DIRECTION('',(0.E0,0.E0,1.E0)); +#4354=VECTOR('',#4353,2.E-1); +#4355=CARTESIAN_POINT('',(1.586666668653E1,-6.595744788647E-1,-2.E1)); +#4356=LINE('',#4355,#4354); +#4357=DIRECTION('',(0.E0,0.E0,1.E0)); +#4358=VECTOR('',#4357,2.E-1); +#4359=CARTESIAN_POINT('',(1.578333331347E1,-4.893617033958E-1,-2.E1)); +#4360=LINE('',#4359,#4358); +#4361=DIRECTION('',(0.E0,0.E0,1.E0)); +#4362=VECTOR('',#4361,2.E-1); +#4363=CARTESIAN_POINT('',(1.603333337307E1,-4.893617033958E-1,-2.E1)); +#4364=LINE('',#4363,#4362); +#4365=DIRECTION('',(0.E0,0.E0,1.E0)); +#4366=VECTOR('',#4365,2.E-1); +#4367=CARTESIAN_POINT('',(1.611666662693E1,-6.595744788647E-1,-2.E1)); +#4368=LINE('',#4367,#4366); +#4369=DIRECTION('',(0.E0,0.E0,1.E0)); +#4370=VECTOR('',#4369,2.E-1); +#4371=CARTESIAN_POINT('',(1.624166662693E1,-7.446808516979E-1,-2.E1)); +#4372=LINE('',#4371,#4370); +#4373=DIRECTION('',(0.E0,0.E0,1.E0)); +#4374=VECTOR('',#4373,2.E-1); +#4375=CARTESIAN_POINT('',(1.640833337307E1,-7.872340381145E-1,-2.E1)); +#4376=LINE('',#4375,#4374); +#4377=DIRECTION('',(0.E0,0.E0,1.E0)); +#4378=VECTOR('',#4377,2.E-1); +#4379=CARTESIAN_POINT('',(1.665833337307E1,-7.872340381145E-1,-2.E1)); +#4380=LINE('',#4379,#4378); +#4381=DIRECTION('',(0.E0,0.E0,1.E0)); +#4382=VECTOR('',#4381,2.E-1); +#4383=CARTESIAN_POINT('',(1.6825E1,-7.446808516979E-1,-2.E1)); +#4384=LINE('',#4383,#4382); +#4385=DIRECTION('',(0.E0,0.E0,1.E0)); +#4386=VECTOR('',#4385,2.E-1); +#4387=CARTESIAN_POINT('',(1.695E1,-6.595744788647E-1,-2.E1)); +#4388=LINE('',#4387,#4386); +#4389=DIRECTION('',(0.E0,0.E0,1.E0)); +#4390=VECTOR('',#4389,2.E-1); +#4391=CARTESIAN_POINT('',(1.703333325386E1,-5.319148898125E-1,-2.E1)); +#4392=LINE('',#4391,#4390); +#4393=DIRECTION('',(0.E0,0.E0,1.E0)); +#4394=VECTOR('',#4393,2.E-1); +#4395=CARTESIAN_POINT('',(1.7075E1,-4.042553305626E-1,-2.E1)); +#4396=LINE('',#4395,#4394); +#4397=DIRECTION('',(0.E0,0.E0,1.E0)); +#4398=VECTOR('',#4397,2.E-1); +#4399=CARTESIAN_POINT('',(1.7075E1,-3.191489577293E-1,-2.E1)); +#4400=LINE('',#4399,#4398); +#4401=DIRECTION('',(0.E0,0.E0,1.E0)); +#4402=VECTOR('',#4401,2.E-1); +#4403=CARTESIAN_POINT('',(1.703333325386E1,-1.914893388748E-1,-2.E1)); +#4404=LINE('',#4403,#4402); +#4405=DIRECTION('',(0.E0,0.E0,1.E0)); +#4406=VECTOR('',#4405,2.E-1); +#4407=CARTESIAN_POINT('',(1.695E1,-6.382977962494E-2,-2.E1)); +#4408=LINE('',#4407,#4406); +#4409=DIRECTION('',(0.E0,0.E0,1.E0)); +#4410=VECTOR('',#4409,2.E-1); +#4411=CARTESIAN_POINT('',(1.6825E1,2.127659320831E-2,-2.E1)); +#4412=LINE('',#4411,#4410); +#4413=DIRECTION('',(0.E0,0.E0,1.E0)); +#4414=VECTOR('',#4413,2.E-1); +#4415=CARTESIAN_POINT('',(1.665833337307E1,6.382977962494E-2,-2.E1)); +#4416=LINE('',#4415,#4414); +#4417=DIRECTION('',(0.E0,0.E0,1.E0)); +#4418=VECTOR('',#4417,2.E-1); +#4419=CARTESIAN_POINT('',(1.640833337307E1,6.382977962494E-2,-2.E1)); +#4420=LINE('',#4419,#4418); +#4421=DIRECTION('',(0.E0,0.E0,1.E0)); +#4422=VECTOR('',#4421,2.E-1); +#4423=CARTESIAN_POINT('',(1.624166662693E1,2.127659320831E-2,-2.E1)); +#4424=LINE('',#4423,#4422); +#4425=DIRECTION('',(0.E0,0.E0,1.E0)); +#4426=VECTOR('',#4425,2.E-1); +#4427=CARTESIAN_POINT('',(1.495E1,-4.787234038115E0,-2.E1)); +#4428=LINE('',#4427,#4426); +#4429=DIRECTION('',(0.E0,0.E0,1.E0)); +#4430=VECTOR('',#4429,2.E-1); +#4431=CARTESIAN_POINT('',(1.611666662693E1,-3.723404288292E0,-2.E1)); +#4432=LINE('',#4431,#4430); +#4433=DIRECTION('',(0.E0,0.E0,1.E0)); +#4434=VECTOR('',#4433,2.E-1); +#4435=CARTESIAN_POINT('',(1.62E1,-3.553191542626E0,-2.E1)); +#4436=LINE('',#4435,#4434); +#4437=DIRECTION('',(0.E0,0.E0,1.E0)); +#4438=VECTOR('',#4437,2.E-1); +#4439=CARTESIAN_POINT('',(1.62E1,-3.468085050583E0,-2.E1)); +#4440=LINE('',#4439,#4438); +#4441=DIRECTION('',(0.E0,0.E0,1.E0)); +#4442=VECTOR('',#4441,2.E-1); +#4443=CARTESIAN_POINT('',(1.611666662693E1,-3.340425491334E0,-2.E1)); +#4444=LINE('',#4443,#4442); +#4445=DIRECTION('',(0.E0,0.E0,1.E0)); +#4446=VECTOR('',#4445,2.E-1); +#4447=CARTESIAN_POINT('',(1.599166662693E1,-3.255319118500E0,-2.E1)); +#4448=LINE('',#4447,#4446); +#4449=DIRECTION('',(0.E0,0.E0,1.E0)); +#4450=VECTOR('',#4449,2.E-1); +#4451=CARTESIAN_POINT('',(1.578333331347E1,-3.212765932084E0,-2.E1)); +#4452=LINE('',#4451,#4450); +#4453=DIRECTION('',(0.E0,0.E0,1.E0)); +#4454=VECTOR('',#4453,2.E-1); +#4455=CARTESIAN_POINT('',(1.561666668653E1,-3.212765932084E0,-2.E1)); +#4456=LINE('',#4455,#4454); +#4457=DIRECTION('',(0.E0,0.E0,1.E0)); +#4458=VECTOR('',#4457,2.E-1); +#4459=CARTESIAN_POINT('',(1.540833334327E1,-3.255319118500E0,-2.E1)); +#4460=LINE('',#4459,#4458); +#4461=DIRECTION('',(0.E0,0.E0,1.E0)); +#4462=VECTOR('',#4461,2.E-1); +#4463=CARTESIAN_POINT('',(1.528333334327E1,-3.340425491334E0,-2.E1)); +#4464=LINE('',#4463,#4462); +#4465=DIRECTION('',(0.E0,0.E0,1.E0)); +#4466=VECTOR('',#4465,2.E-1); +#4467=CARTESIAN_POINT('',(1.52E1,-3.468085050583E0,-2.E1)); +#4468=LINE('',#4467,#4466); +#4469=DIRECTION('',(0.E0,0.E0,1.E0)); +#4470=VECTOR('',#4469,2.E-1); +#4471=CARTESIAN_POINT('',(1.499166666791E1,-3.468085050583E0,-2.E1)); +#4472=LINE('',#4471,#4470); +#4473=DIRECTION('',(0.E0,0.E0,1.E0)); +#4474=VECTOR('',#4473,2.E-1); +#4475=CARTESIAN_POINT('',(1.503333333582E1,-3.297872304917E0,-2.E1)); +#4476=LINE('',#4475,#4474); +#4477=DIRECTION('',(0.E0,0.E0,1.E0)); +#4478=VECTOR('',#4477,2.E-1); +#4479=CARTESIAN_POINT('',(1.52E1,-3.127659559250E0,-2.E1)); +#4480=LINE('',#4479,#4478); +#4481=DIRECTION('',(0.E0,0.E0,1.E0)); +#4482=VECTOR('',#4481,2.E-1); +#4483=CARTESIAN_POINT('',(1.536666665673E1,-3.042553186417E0,-2.E1)); +#4484=LINE('',#4483,#4482); +#4485=DIRECTION('',(0.E0,0.E0,1.E0)); +#4486=VECTOR('',#4485,2.E-1); +#4487=CARTESIAN_POINT('',(1.565833331347E1,-3.000000000001E0,-2.E1)); +#4488=LINE('',#4487,#4486); +#4489=DIRECTION('',(0.E0,0.E0,1.E0)); +#4490=VECTOR('',#4489,2.E-1); +#4491=CARTESIAN_POINT('',(1.5825E1,-3.000000000001E0,-2.E1)); +#4492=LINE('',#4491,#4490); +#4493=DIRECTION('',(0.E0,0.E0,1.E0)); +#4494=VECTOR('',#4493,2.E-1); +#4495=CARTESIAN_POINT('',(1.6075E1,-3.042553186417E0,-2.E1)); +#4496=LINE('',#4495,#4494); +#4497=DIRECTION('',(0.E0,0.E0,1.E0)); +#4498=VECTOR('',#4497,2.E-1); +#4499=CARTESIAN_POINT('',(1.624166662693E1,-3.127659559250E0,-2.E1)); +#4500=LINE('',#4499,#4498); +#4501=DIRECTION('',(0.E0,0.E0,1.E0)); +#4502=VECTOR('',#4501,2.E-1); +#4503=CARTESIAN_POINT('',(1.640833337307E1,-3.297872304917E0,-2.E1)); +#4504=LINE('',#4503,#4502); +#4505=DIRECTION('',(0.E0,0.E0,1.E0)); +#4506=VECTOR('',#4505,2.E-1); +#4507=CARTESIAN_POINT('',(1.645E1,-3.468085050583E0,-2.E1)); +#4508=LINE('',#4507,#4506); +#4509=DIRECTION('',(0.E0,0.E0,1.E0)); +#4510=VECTOR('',#4509,2.E-1); +#4511=CARTESIAN_POINT('',(1.645E1,-3.553191542626E0,-2.E1)); +#4512=LINE('',#4511,#4510); +#4513=DIRECTION('',(0.E0,0.E0,1.E0)); +#4514=VECTOR('',#4513,2.E-1); +#4515=CARTESIAN_POINT('',(1.640833337307E1,-3.723404288292E0,-2.E1)); +#4516=LINE('',#4515,#4514); +#4517=DIRECTION('',(0.E0,0.E0,1.E0)); +#4518=VECTOR('',#4517,2.E-1); +#4519=CARTESIAN_POINT('',(1.6325E1,-3.851063847542E0,-2.E1)); +#4520=LINE('',#4519,#4518); +#4521=DIRECTION('',(0.E0,0.E0,1.E0)); +#4522=VECTOR('',#4521,2.E-1); +#4523=CARTESIAN_POINT('',(1.528333334327E1,-4.787234038115E0,-2.E1)); +#4524=LINE('',#4523,#4522); +#4525=DIRECTION('',(0.E0,0.E0,1.E0)); +#4526=VECTOR('',#4525,2.E-1); +#4527=CARTESIAN_POINT('',(1.645E1,-4.787234038115E0,-2.E1)); +#4528=LINE('',#4527,#4526); +#4529=DIRECTION('',(0.E0,0.E0,1.E0)); +#4530=VECTOR('',#4529,2.E-1); +#4531=CARTESIAN_POINT('',(1.645E1,-5.000000000001E0,-2.E1)); +#4532=LINE('',#4531,#4530); +#4533=DIRECTION('',(0.E0,0.E0,1.E0)); +#4534=VECTOR('',#4533,2.E-1); +#4535=CARTESIAN_POINT('',(1.495E1,-5.000000000001E0,-2.E1)); +#4536=LINE('',#4535,#4534); +#4537=DIRECTION('',(0.E0,0.E0,1.E0)); +#4538=VECTOR('',#4537,2.E-1); +#4539=CARTESIAN_POINT('',(1.815833325386E1,-4.787234038115E0,-2.E1)); +#4540=LINE('',#4539,#4538); +#4541=DIRECTION('',(0.E0,0.E0,1.E0)); +#4542=VECTOR('',#4541,2.E-1); +#4543=CARTESIAN_POINT('',(1.803333325386E1,-4.872340425850E0,-2.E1)); +#4544=LINE('',#4543,#4542); +#4545=DIRECTION('',(0.E0,0.E0,1.E0)); +#4546=VECTOR('',#4545,2.E-1); +#4547=CARTESIAN_POINT('',(1.786666674614E1,-4.957446809859E0,-2.E1)); +#4548=LINE('',#4547,#4546); +#4549=DIRECTION('',(0.E0,0.E0,1.E0)); +#4550=VECTOR('',#4549,2.E-1); +#4551=CARTESIAN_POINT('',(1.77E1,-5.000000000001E0,-2.E1)); +#4552=LINE('',#4551,#4550); +#4553=DIRECTION('',(0.E0,0.E0,1.E0)); +#4554=VECTOR('',#4553,2.E-1); +#4555=CARTESIAN_POINT('',(1.736666674614E1,-5.000000000001E0,-2.E1)); +#4556=LINE('',#4555,#4554); +#4557=DIRECTION('',(0.E0,0.E0,1.E0)); +#4558=VECTOR('',#4557,2.E-1); +#4559=CARTESIAN_POINT('',(1.72E1,-4.957446809859E0,-2.E1)); +#4560=LINE('',#4559,#4558); +#4561=DIRECTION('',(0.E0,0.E0,1.E0)); +#4562=VECTOR('',#4561,2.E-1); +#4563=CARTESIAN_POINT('',(1.703333325386E1,-4.872340425850E0,-2.E1)); +#4564=LINE('',#4563,#4562); +#4565=DIRECTION('',(0.E0,0.E0,1.E0)); +#4566=VECTOR('',#4565,2.E-1); +#4567=CARTESIAN_POINT('',(1.690833337307E1,-4.787234038115E0,-2.E1)); +#4568=LINE('',#4567,#4566); +#4569=DIRECTION('',(0.E0,0.E0,1.E0)); +#4570=VECTOR('',#4569,2.E-1); +#4571=CARTESIAN_POINT('',(1.6825E1,-4.659574478865E0,-2.E1)); +#4572=LINE('',#4571,#4570); +#4573=DIRECTION('',(0.E0,0.E0,1.E0)); +#4574=VECTOR('',#4573,2.E-1); +#4575=CARTESIAN_POINT('',(1.678333337307E1,-4.531914889813E0,-2.E1)); +#4576=LINE('',#4575,#4574); +#4577=DIRECTION('',(0.E0,0.E0,1.E0)); +#4578=VECTOR('',#4577,2.E-1); +#4579=CARTESIAN_POINT('',(1.699166674614E1,-4.531914889813E0,-2.E1)); +#4580=LINE('',#4579,#4578); +#4581=DIRECTION('',(0.E0,0.E0,1.E0)); +#4582=VECTOR('',#4581,2.E-1); +#4583=CARTESIAN_POINT('',(1.703333325386E1,-4.617021262646E0,-2.E1)); +#4584=LINE('',#4583,#4582); +#4585=DIRECTION('',(0.E0,0.E0,1.E0)); +#4586=VECTOR('',#4585,2.E-1); +#4587=CARTESIAN_POINT('',(1.711666674614E1,-4.702127665282E0,-2.E1)); +#4588=LINE('',#4587,#4586); +#4589=DIRECTION('',(0.E0,0.E0,1.E0)); +#4590=VECTOR('',#4589,2.E-1); +#4591=CARTESIAN_POINT('',(1.72E1,-4.744680851698E0,-2.E1)); +#4592=LINE('',#4591,#4590); +#4593=DIRECTION('',(0.E0,0.E0,1.E0)); +#4594=VECTOR('',#4593,2.E-1); +#4595=CARTESIAN_POINT('',(1.740833325386E1,-4.787234038115E0,-2.E1)); +#4596=LINE('',#4595,#4594); +#4597=DIRECTION('',(0.E0,0.E0,1.E0)); +#4598=VECTOR('',#4597,2.E-1); +#4599=CARTESIAN_POINT('',(1.765833325386E1,-4.787234038115E0,-2.E1)); +#4600=LINE('',#4599,#4598); +#4601=DIRECTION('',(0.E0,0.E0,1.E0)); +#4602=VECTOR('',#4601,2.E-1); +#4603=CARTESIAN_POINT('',(1.786666674614E1,-4.744680851698E0,-2.E1)); +#4604=LINE('',#4603,#4602); +#4605=DIRECTION('',(0.E0,0.E0,1.E0)); +#4606=VECTOR('',#4605,2.E-1); +#4607=CARTESIAN_POINT('',(1.795E1,-4.702127665282E0,-2.E1)); +#4608=LINE('',#4607,#4606); +#4609=DIRECTION('',(0.E0,0.E0,1.E0)); +#4610=VECTOR('',#4609,2.E-1); +#4611=CARTESIAN_POINT('',(1.803333325386E1,-4.617021262646E0,-2.E1)); +#4612=LINE('',#4611,#4610); +#4613=DIRECTION('',(0.E0,0.E0,1.E0)); +#4614=VECTOR('',#4613,2.E-1); +#4615=CARTESIAN_POINT('',(1.8075E1,-4.531914889813E0,-2.E1)); +#4616=LINE('',#4615,#4614); +#4617=DIRECTION('',(0.E0,0.E0,1.E0)); +#4618=VECTOR('',#4617,2.E-1); +#4619=CARTESIAN_POINT('',(1.8075E1,-4.361702144147E0,-2.E1)); +#4620=LINE('',#4619,#4618); +#4621=DIRECTION('',(0.E0,0.E0,1.E0)); +#4622=VECTOR('',#4621,2.E-1); +#4623=CARTESIAN_POINT('',(1.799166674614E1,-4.191489338875E0,-2.E1)); +#4624=LINE('',#4623,#4622); +#4625=DIRECTION('',(0.E0,0.E0,1.E0)); +#4626=VECTOR('',#4625,2.E-1); +#4627=CARTESIAN_POINT('',(1.786666674614E1,-4.106382966042E0,-2.E1)); +#4628=LINE('',#4627,#4626); +#4629=DIRECTION('',(0.E0,0.E0,1.E0)); +#4630=VECTOR('',#4629,2.E-1); +#4631=CARTESIAN_POINT('',(1.761666674614E1,-4.063829779625E0,-2.E1)); +#4632=LINE('',#4631,#4630); +#4633=DIRECTION('',(0.E0,0.E0,1.E0)); +#4634=VECTOR('',#4633,2.E-1); +#4635=CARTESIAN_POINT('',(1.745E1,-4.063829779625E0,-2.E1)); +#4636=LINE('',#4635,#4634); +#4637=DIRECTION('',(0.E0,0.E0,1.E0)); +#4638=VECTOR('',#4637,2.E-1); +#4639=CARTESIAN_POINT('',(1.745E1,-3.893617033959E0,-2.E1)); +#4640=LINE('',#4639,#4638); +#4641=DIRECTION('',(0.E0,0.E0,1.E0)); +#4642=VECTOR('',#4641,2.E-1); +#4643=CARTESIAN_POINT('',(1.761666674614E1,-3.893617033959E0,-2.E1)); +#4644=LINE('',#4643,#4642); +#4645=DIRECTION('',(0.E0,0.E0,1.E0)); +#4646=VECTOR('',#4645,2.E-1); +#4647=CARTESIAN_POINT('',(1.786666674614E1,-3.808510661126E0,-2.E1)); +#4648=LINE('',#4647,#4646); +#4649=DIRECTION('',(0.E0,0.E0,1.E0)); +#4650=VECTOR('',#4649,2.E-1); +#4651=CARTESIAN_POINT('',(1.795E1,-3.723404288292E0,-2.E1)); +#4652=LINE('',#4651,#4650); +#4653=DIRECTION('',(0.E0,0.E0,1.E0)); +#4654=VECTOR('',#4653,2.E-1); +#4655=CARTESIAN_POINT('',(1.803333325386E1,-3.553191542626E0,-2.E1)); +#4656=LINE('',#4655,#4654); +#4657=DIRECTION('',(0.E0,0.E0,1.E0)); +#4658=VECTOR('',#4657,2.E-1); +#4659=CARTESIAN_POINT('',(1.803333325386E1,-3.468085050583E0,-2.E1)); +#4660=LINE('',#4659,#4658); +#4661=DIRECTION('',(0.E0,0.E0,1.E0)); +#4662=VECTOR('',#4661,2.E-1); +#4663=CARTESIAN_POINT('',(1.795E1,-3.340425491334E0,-2.E1)); +#4664=LINE('',#4663,#4662); +#4665=DIRECTION('',(0.E0,0.E0,1.E0)); +#4666=VECTOR('',#4665,2.E-1); +#4667=CARTESIAN_POINT('',(1.7825E1,-3.255319118500E0,-2.E1)); +#4668=LINE('',#4667,#4666); +#4669=DIRECTION('',(0.E0,0.E0,1.E0)); +#4670=VECTOR('',#4669,2.E-1); +#4671=CARTESIAN_POINT('',(1.761666674614E1,-3.212765932084E0,-2.E1)); +#4672=LINE('',#4671,#4670); +#4673=DIRECTION('',(0.E0,0.E0,1.E0)); +#4674=VECTOR('',#4673,2.E-1); +#4675=CARTESIAN_POINT('',(1.745E1,-3.212765932084E0,-2.E1)); +#4676=LINE('',#4675,#4674); +#4677=DIRECTION('',(0.E0,0.E0,1.E0)); +#4678=VECTOR('',#4677,2.E-1); +#4679=CARTESIAN_POINT('',(1.724166674614E1,-3.255319118500E0,-2.E1)); +#4680=LINE('',#4679,#4678); +#4681=DIRECTION('',(0.E0,0.E0,1.E0)); +#4682=VECTOR('',#4681,2.E-1); +#4683=CARTESIAN_POINT('',(1.711666674614E1,-3.340425491334E0,-2.E1)); +#4684=LINE('',#4683,#4682); +#4685=DIRECTION('',(0.E0,0.E0,1.E0)); +#4686=VECTOR('',#4685,2.E-1); +#4687=CARTESIAN_POINT('',(1.703333325386E1,-3.468085050583E0,-2.E1)); +#4688=LINE('',#4687,#4686); +#4689=DIRECTION('',(0.E0,0.E0,1.E0)); +#4690=VECTOR('',#4689,2.E-1); +#4691=CARTESIAN_POINT('',(1.6825E1,-3.468085050583E0,-2.E1)); +#4692=LINE('',#4691,#4690); +#4693=DIRECTION('',(0.E0,0.E0,1.E0)); +#4694=VECTOR('',#4693,2.E-1); +#4695=CARTESIAN_POINT('',(1.686666662693E1,-3.297872304917E0,-2.E1)); +#4696=LINE('',#4695,#4694); +#4697=DIRECTION('',(0.E0,0.E0,1.E0)); +#4698=VECTOR('',#4697,2.E-1); +#4699=CARTESIAN_POINT('',(1.703333325386E1,-3.127659559250E0,-2.E1)); +#4700=LINE('',#4699,#4698); +#4701=DIRECTION('',(0.E0,0.E0,1.E0)); +#4702=VECTOR('',#4701,2.E-1); +#4703=CARTESIAN_POINT('',(1.72E1,-3.042553186417E0,-2.E1)); +#4704=LINE('',#4703,#4702); +#4705=DIRECTION('',(0.E0,0.E0,1.E0)); +#4706=VECTOR('',#4705,2.E-1); +#4707=CARTESIAN_POINT('',(1.749166674614E1,-3.000000000001E0,-2.E1)); +#4708=LINE('',#4707,#4706); +#4709=DIRECTION('',(0.E0,0.E0,1.E0)); +#4710=VECTOR('',#4709,2.E-1); +#4711=CARTESIAN_POINT('',(1.7575E1,-3.000000000001E0,-2.E1)); +#4712=LINE('',#4711,#4710); +#4713=DIRECTION('',(0.E0,0.E0,1.E0)); +#4714=VECTOR('',#4713,2.E-1); +#4715=CARTESIAN_POINT('',(1.786666674614E1,-3.042553186417E0,-2.E1)); +#4716=LINE('',#4715,#4714); +#4717=DIRECTION('',(0.E0,0.E0,1.E0)); +#4718=VECTOR('',#4717,2.E-1); +#4719=CARTESIAN_POINT('',(1.803333325386E1,-3.127659559250E0,-2.E1)); +#4720=LINE('',#4719,#4718); +#4721=DIRECTION('',(0.E0,0.E0,1.E0)); +#4722=VECTOR('',#4721,2.E-1); +#4723=CARTESIAN_POINT('',(1.82E1,-3.297872304917E0,-2.E1)); +#4724=LINE('',#4723,#4722); +#4725=DIRECTION('',(0.E0,0.E0,1.E0)); +#4726=VECTOR('',#4725,2.E-1); +#4727=CARTESIAN_POINT('',(1.824166674614E1,-3.468085050583E0,-2.E1)); +#4728=LINE('',#4727,#4726); +#4729=DIRECTION('',(0.E0,0.E0,1.E0)); +#4730=VECTOR('',#4729,2.E-1); +#4731=CARTESIAN_POINT('',(1.824166674614E1,-3.553191542626E0,-2.E1)); +#4732=LINE('',#4731,#4730); +#4733=DIRECTION('',(0.E0,0.E0,1.E0)); +#4734=VECTOR('',#4733,2.E-1); +#4735=CARTESIAN_POINT('',(1.82E1,-3.723404288292E0,-2.E1)); +#4736=LINE('',#4735,#4734); +#4737=DIRECTION('',(0.E0,0.E0,1.E0)); +#4738=VECTOR('',#4737,2.E-1); +#4739=CARTESIAN_POINT('',(1.811666674614E1,-3.851063847542E0,-2.E1)); +#4740=LINE('',#4739,#4738); +#4741=DIRECTION('',(0.E0,0.E0,1.E0)); +#4742=VECTOR('',#4741,2.E-1); +#4743=CARTESIAN_POINT('',(1.795E1,-3.978723406792E0,-2.E1)); +#4744=LINE('',#4743,#4742); +#4745=DIRECTION('',(0.E0,0.E0,1.E0)); +#4746=VECTOR('',#4745,2.E-1); +#4747=CARTESIAN_POINT('',(1.815833325386E1,-4.063829779625E0,-2.E1)); +#4748=LINE('',#4747,#4746); +#4749=DIRECTION('',(0.E0,0.E0,1.E0)); +#4750=VECTOR('',#4749,2.E-1); +#4751=CARTESIAN_POINT('',(1.824166674614E1,-4.191489338875E0,-2.E1)); +#4752=LINE('',#4751,#4750); +#4753=DIRECTION('',(0.E0,0.E0,1.E0)); +#4754=VECTOR('',#4753,2.E-1); +#4755=CARTESIAN_POINT('',(1.828333325386E1,-4.361702144147E0,-2.E1)); +#4756=LINE('',#4755,#4754); +#4757=DIRECTION('',(0.E0,0.E0,1.E0)); +#4758=VECTOR('',#4757,2.E-1); +#4759=CARTESIAN_POINT('',(1.828333325386E1,-4.531914889813E0,-2.E1)); +#4760=LINE('',#4759,#4758); +#4761=DIRECTION('',(0.E0,0.E0,1.E0)); +#4762=VECTOR('',#4761,2.E-1); +#4763=CARTESIAN_POINT('',(1.824166674614E1,-4.659574478865E0,-2.E1)); +#4764=LINE('',#4763,#4762); +#4765=DIRECTION('',(0.E0,0.E0,1.E0)); +#4766=VECTOR('',#4765,7.525E0); +#4767=CARTESIAN_POINT('',(-1.335E1,4.000000000001E0,-2.E1)); +#4768=LINE('',#4767,#4766); +#4769=DIRECTION('',(0.E0,0.E0,1.E0)); +#4770=VECTOR('',#4769,7.525E0); +#4771=CARTESIAN_POINT('',(-1.465E1,4.000000000001E0,-2.E1)); +#4772=LINE('',#4771,#4770); +#4773=CARTESIAN_POINT('',(-1.4E1,4.000000000001E0,-1.2475E1)); +#4774=DIRECTION('',(0.E0,0.E0,1.E0)); +#4775=DIRECTION('',(1.E0,0.E0,0.E0)); +#4776=AXIS2_PLACEMENT_3D('',#4773,#4774,#4775); +#4778=CARTESIAN_POINT('',(-1.4E1,4.000000000001E0,-1.2475E1)); +#4779=DIRECTION('',(0.E0,0.E0,1.E0)); +#4780=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4781=AXIS2_PLACEMENT_3D('',#4778,#4779,#4780); +#4783=CARTESIAN_POINT('',(-1.2E1,1.077689183250E-14,-1.2475E1)); +#4784=DIRECTION('',(0.E0,0.E0,1.E0)); +#4785=DIRECTION('',(1.E0,0.E0,0.E0)); +#4786=AXIS2_PLACEMENT_3D('',#4783,#4784,#4785); +#4788=CARTESIAN_POINT('',(-1.2E1,1.077689183250E-14,-1.2475E1)); +#4789=DIRECTION('',(0.E0,0.E0,1.E0)); +#4790=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4791=AXIS2_PLACEMENT_3D('',#4788,#4789,#4790); +#4793=CARTESIAN_POINT('',(-1.4E1,-4.000000000001E0,-1.2475E1)); +#4794=DIRECTION('',(0.E0,0.E0,1.E0)); +#4795=DIRECTION('',(1.E0,0.E0,0.E0)); +#4796=AXIS2_PLACEMENT_3D('',#4793,#4794,#4795); +#4798=CARTESIAN_POINT('',(-1.4E1,-4.000000000001E0,-1.2475E1)); +#4799=DIRECTION('',(0.E0,0.E0,1.E0)); +#4800=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4801=AXIS2_PLACEMENT_3D('',#4798,#4799,#4800); +#4803=CARTESIAN_POINT('',(-1.E1,-4.000000000001E0,-1.2475E1)); +#4804=DIRECTION('',(0.E0,0.E0,1.E0)); +#4805=DIRECTION('',(1.E0,0.E0,0.E0)); +#4806=AXIS2_PLACEMENT_3D('',#4803,#4804,#4805); +#4808=CARTESIAN_POINT('',(-1.E1,-4.000000000001E0,-1.2475E1)); +#4809=DIRECTION('',(0.E0,0.E0,1.E0)); +#4810=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4811=AXIS2_PLACEMENT_3D('',#4808,#4809,#4810); +#4813=CARTESIAN_POINT('',(-8.E0,1.126675055216E-14,-1.2475E1)); +#4814=DIRECTION('',(0.E0,0.E0,1.E0)); +#4815=DIRECTION('',(1.E0,0.E0,0.E0)); +#4816=AXIS2_PLACEMENT_3D('',#4813,#4814,#4815); +#4818=CARTESIAN_POINT('',(-8.E0,1.126675055216E-14,-1.2475E1)); +#4819=DIRECTION('',(0.E0,0.E0,1.E0)); +#4820=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4821=AXIS2_PLACEMENT_3D('',#4818,#4819,#4820); +#4823=CARTESIAN_POINT('',(-1.E1,4.000000000001E0,-1.2475E1)); +#4824=DIRECTION('',(0.E0,0.E0,1.E0)); +#4825=DIRECTION('',(1.E0,0.E0,0.E0)); +#4826=AXIS2_PLACEMENT_3D('',#4823,#4824,#4825); +#4828=CARTESIAN_POINT('',(-1.E1,4.000000000001E0,-1.2475E1)); +#4829=DIRECTION('',(0.E0,0.E0,1.E0)); +#4830=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4831=AXIS2_PLACEMENT_3D('',#4828,#4829,#4830); +#4833=CARTESIAN_POINT('',(-6.E0,4.000000000001E0,-1.2475E1)); +#4834=DIRECTION('',(0.E0,0.E0,1.E0)); +#4835=DIRECTION('',(1.E0,0.E0,0.E0)); +#4836=AXIS2_PLACEMENT_3D('',#4833,#4834,#4835); +#4838=CARTESIAN_POINT('',(-6.E0,4.000000000001E0,-1.2475E1)); +#4839=DIRECTION('',(0.E0,0.E0,1.E0)); +#4840=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4841=AXIS2_PLACEMENT_3D('',#4838,#4839,#4840); +#4843=CARTESIAN_POINT('',(-4.E0,1.175660927181E-14,-1.2475E1)); +#4844=DIRECTION('',(0.E0,0.E0,1.E0)); +#4845=DIRECTION('',(1.E0,0.E0,0.E0)); +#4846=AXIS2_PLACEMENT_3D('',#4843,#4844,#4845); +#4848=CARTESIAN_POINT('',(-4.E0,1.175660927181E-14,-1.2475E1)); +#4849=DIRECTION('',(0.E0,0.E0,1.E0)); +#4850=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4851=AXIS2_PLACEMENT_3D('',#4848,#4849,#4850); +#4853=CARTESIAN_POINT('',(-6.E0,-4.000000000001E0,-1.2475E1)); +#4854=DIRECTION('',(0.E0,0.E0,1.E0)); +#4855=DIRECTION('',(1.E0,0.E0,0.E0)); +#4856=AXIS2_PLACEMENT_3D('',#4853,#4854,#4855); +#4858=CARTESIAN_POINT('',(-6.E0,-4.000000000001E0,-1.2475E1)); +#4859=DIRECTION('',(0.E0,0.E0,1.E0)); +#4860=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4861=AXIS2_PLACEMENT_3D('',#4858,#4859,#4860); +#4863=CARTESIAN_POINT('',(-2.E0,-4.000000000001E0,-1.2475E1)); +#4864=DIRECTION('',(0.E0,0.E0,1.E0)); +#4865=DIRECTION('',(1.E0,0.E0,0.E0)); +#4866=AXIS2_PLACEMENT_3D('',#4863,#4864,#4865); +#4868=CARTESIAN_POINT('',(-2.E0,-4.000000000001E0,-1.2475E1)); +#4869=DIRECTION('',(0.E0,0.E0,1.E0)); +#4870=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4871=AXIS2_PLACEMENT_3D('',#4868,#4869,#4870); +#4873=CARTESIAN_POINT('',(0.E0,1.224646799147E-14,-1.2475E1)); +#4874=DIRECTION('',(0.E0,0.E0,1.E0)); +#4875=DIRECTION('',(1.E0,0.E0,0.E0)); +#4876=AXIS2_PLACEMENT_3D('',#4873,#4874,#4875); +#4878=CARTESIAN_POINT('',(0.E0,1.224646799147E-14,-1.2475E1)); +#4879=DIRECTION('',(0.E0,0.E0,1.E0)); +#4880=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4881=AXIS2_PLACEMENT_3D('',#4878,#4879,#4880); +#4883=CARTESIAN_POINT('',(-2.E0,4.000000000001E0,-1.2475E1)); +#4884=DIRECTION('',(0.E0,0.E0,1.E0)); +#4885=DIRECTION('',(1.E0,0.E0,0.E0)); +#4886=AXIS2_PLACEMENT_3D('',#4883,#4884,#4885); +#4888=CARTESIAN_POINT('',(-2.E0,4.000000000001E0,-1.2475E1)); +#4889=DIRECTION('',(0.E0,0.E0,1.E0)); +#4890=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4891=AXIS2_PLACEMENT_3D('',#4888,#4889,#4890); +#4893=CARTESIAN_POINT('',(2.E0,4.000000000001E0,-1.2475E1)); +#4894=DIRECTION('',(0.E0,0.E0,1.E0)); +#4895=DIRECTION('',(1.E0,0.E0,0.E0)); +#4896=AXIS2_PLACEMENT_3D('',#4893,#4894,#4895); +#4898=CARTESIAN_POINT('',(2.E0,4.000000000001E0,-1.2475E1)); +#4899=DIRECTION('',(0.E0,0.E0,1.E0)); +#4900=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4901=AXIS2_PLACEMENT_3D('',#4898,#4899,#4900); +#4903=CARTESIAN_POINT('',(4.E0,1.175660927181E-14,-1.2475E1)); +#4904=DIRECTION('',(0.E0,0.E0,1.E0)); +#4905=DIRECTION('',(1.E0,0.E0,0.E0)); +#4906=AXIS2_PLACEMENT_3D('',#4903,#4904,#4905); +#4908=CARTESIAN_POINT('',(4.E0,1.175660927181E-14,-1.2475E1)); +#4909=DIRECTION('',(0.E0,0.E0,1.E0)); +#4910=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4911=AXIS2_PLACEMENT_3D('',#4908,#4909,#4910); +#4913=CARTESIAN_POINT('',(2.E0,-4.000000000001E0,-1.2475E1)); +#4914=DIRECTION('',(0.E0,0.E0,1.E0)); +#4915=DIRECTION('',(1.E0,0.E0,0.E0)); +#4916=AXIS2_PLACEMENT_3D('',#4913,#4914,#4915); +#4918=CARTESIAN_POINT('',(2.E0,-4.000000000001E0,-1.2475E1)); +#4919=DIRECTION('',(0.E0,0.E0,1.E0)); +#4920=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4921=AXIS2_PLACEMENT_3D('',#4918,#4919,#4920); +#4923=CARTESIAN_POINT('',(6.E0,-4.000000000001E0,-1.2475E1)); +#4924=DIRECTION('',(0.E0,0.E0,1.E0)); +#4925=DIRECTION('',(1.E0,0.E0,0.E0)); +#4926=AXIS2_PLACEMENT_3D('',#4923,#4924,#4925); +#4928=CARTESIAN_POINT('',(6.E0,-4.000000000001E0,-1.2475E1)); +#4929=DIRECTION('',(0.E0,0.E0,1.E0)); +#4930=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4931=AXIS2_PLACEMENT_3D('',#4928,#4929,#4930); +#4933=CARTESIAN_POINT('',(8.E0,1.126675055216E-14,-1.2475E1)); +#4934=DIRECTION('',(0.E0,0.E0,1.E0)); +#4935=DIRECTION('',(1.E0,0.E0,0.E0)); +#4936=AXIS2_PLACEMENT_3D('',#4933,#4934,#4935); +#4938=CARTESIAN_POINT('',(8.E0,1.126675055216E-14,-1.2475E1)); +#4939=DIRECTION('',(0.E0,0.E0,1.E0)); +#4940=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4941=AXIS2_PLACEMENT_3D('',#4938,#4939,#4940); +#4943=CARTESIAN_POINT('',(6.E0,4.000000000001E0,-1.2475E1)); +#4944=DIRECTION('',(0.E0,0.E0,1.E0)); +#4945=DIRECTION('',(1.E0,0.E0,0.E0)); +#4946=AXIS2_PLACEMENT_3D('',#4943,#4944,#4945); +#4948=CARTESIAN_POINT('',(6.E0,4.000000000001E0,-1.2475E1)); +#4949=DIRECTION('',(0.E0,0.E0,1.E0)); +#4950=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4951=AXIS2_PLACEMENT_3D('',#4948,#4949,#4950); +#4953=CARTESIAN_POINT('',(1.E1,4.000000000001E0,-1.2475E1)); +#4954=DIRECTION('',(0.E0,0.E0,1.E0)); +#4955=DIRECTION('',(1.E0,0.E0,0.E0)); +#4956=AXIS2_PLACEMENT_3D('',#4953,#4954,#4955); +#4958=CARTESIAN_POINT('',(1.E1,4.000000000001E0,-1.2475E1)); +#4959=DIRECTION('',(0.E0,0.E0,1.E0)); +#4960=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4961=AXIS2_PLACEMENT_3D('',#4958,#4959,#4960); +#4963=CARTESIAN_POINT('',(1.4E1,4.000000000001E0,-1.2475E1)); +#4964=DIRECTION('',(0.E0,0.E0,1.E0)); +#4965=DIRECTION('',(1.E0,0.E0,0.E0)); +#4966=AXIS2_PLACEMENT_3D('',#4963,#4964,#4965); +#4968=CARTESIAN_POINT('',(1.4E1,4.000000000001E0,-1.2475E1)); +#4969=DIRECTION('',(0.E0,0.E0,1.E0)); +#4970=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4971=AXIS2_PLACEMENT_3D('',#4968,#4969,#4970); +#4973=CARTESIAN_POINT('',(1.2E1,1.077689183250E-14,-1.2475E1)); +#4974=DIRECTION('',(0.E0,0.E0,1.E0)); +#4975=DIRECTION('',(1.E0,0.E0,0.E0)); +#4976=AXIS2_PLACEMENT_3D('',#4973,#4974,#4975); +#4978=CARTESIAN_POINT('',(1.2E1,1.077689183250E-14,-1.2475E1)); +#4979=DIRECTION('',(0.E0,0.E0,1.E0)); +#4980=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4981=AXIS2_PLACEMENT_3D('',#4978,#4979,#4980); +#4983=CARTESIAN_POINT('',(1.E1,-4.000000000001E0,-1.2475E1)); +#4984=DIRECTION('',(0.E0,0.E0,1.E0)); +#4985=DIRECTION('',(1.E0,0.E0,0.E0)); +#4986=AXIS2_PLACEMENT_3D('',#4983,#4984,#4985); +#4988=CARTESIAN_POINT('',(1.E1,-4.000000000001E0,-1.2475E1)); +#4989=DIRECTION('',(0.E0,0.E0,1.E0)); +#4990=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4991=AXIS2_PLACEMENT_3D('',#4988,#4989,#4990); +#4993=CARTESIAN_POINT('',(1.4E1,-4.000000000001E0,-1.2475E1)); +#4994=DIRECTION('',(0.E0,0.E0,1.E0)); +#4995=DIRECTION('',(1.E0,0.E0,0.E0)); +#4996=AXIS2_PLACEMENT_3D('',#4993,#4994,#4995); +#4998=CARTESIAN_POINT('',(1.4E1,-4.000000000001E0,-1.2475E1)); +#4999=DIRECTION('',(0.E0,0.E0,1.E0)); +#5000=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5001=AXIS2_PLACEMENT_3D('',#4998,#4999,#5000); +#5003=DIRECTION('',(0.E0,0.E0,1.E0)); +#5004=VECTOR('',#5003,7.525E0); +#5005=CARTESIAN_POINT('',(-1.135E1,1.069728979055E-14,-2.E1)); +#5006=LINE('',#5005,#5004); +#5007=DIRECTION('',(0.E0,0.E0,1.E0)); +#5008=VECTOR('',#5007,7.525E0); +#5009=CARTESIAN_POINT('',(-1.265E1,1.085649387444E-14,-2.E1)); +#5010=LINE('',#5009,#5008); +#5011=DIRECTION('',(0.E0,0.E0,1.E0)); +#5012=VECTOR('',#5011,7.525E0); +#5013=CARTESIAN_POINT('',(-1.335E1,-4.000000000001E0,-2.E1)); +#5014=LINE('',#5013,#5012); +#5015=DIRECTION('',(0.E0,0.E0,1.E0)); +#5016=VECTOR('',#5015,7.525E0); +#5017=CARTESIAN_POINT('',(-1.465E1,-4.000000000001E0,-2.E1)); +#5018=LINE('',#5017,#5016); +#5019=DIRECTION('',(0.E0,0.E0,1.E0)); +#5020=VECTOR('',#5019,7.525E0); +#5021=CARTESIAN_POINT('',(-9.35E0,-4.000000000001E0,-2.E1)); +#5022=LINE('',#5021,#5020); +#5023=DIRECTION('',(0.E0,0.E0,1.E0)); +#5024=VECTOR('',#5023,7.525E0); +#5025=CARTESIAN_POINT('',(-1.065E1,-4.000000000001E0,-2.E1)); +#5026=LINE('',#5025,#5024); +#5027=DIRECTION('',(0.E0,0.E0,1.E0)); +#5028=VECTOR('',#5027,7.525E0); +#5029=CARTESIAN_POINT('',(-7.35E0,1.118714851021E-14,-2.E1)); +#5030=LINE('',#5029,#5028); +#5031=DIRECTION('',(0.E0,0.E0,1.E0)); +#5032=VECTOR('',#5031,7.525E0); +#5033=CARTESIAN_POINT('',(-8.65E0,1.134635259410E-14,-2.E1)); +#5034=LINE('',#5033,#5032); +#5035=DIRECTION('',(0.E0,0.E0,1.E0)); +#5036=VECTOR('',#5035,7.525E0); +#5037=CARTESIAN_POINT('',(-9.35E0,4.000000000001E0,-2.E1)); +#5038=LINE('',#5037,#5036); +#5039=DIRECTION('',(0.E0,0.E0,1.E0)); +#5040=VECTOR('',#5039,7.525E0); +#5041=CARTESIAN_POINT('',(-1.065E1,4.000000000001E0,-2.E1)); +#5042=LINE('',#5041,#5040); +#5043=DIRECTION('',(0.E0,0.E0,1.E0)); +#5044=VECTOR('',#5043,7.525E0); +#5045=CARTESIAN_POINT('',(-5.35E0,4.000000000001E0,-2.E1)); +#5046=LINE('',#5045,#5044); +#5047=DIRECTION('',(0.E0,0.E0,1.E0)); +#5048=VECTOR('',#5047,7.525E0); +#5049=CARTESIAN_POINT('',(-6.65E0,4.000000000001E0,-2.E1)); +#5050=LINE('',#5049,#5048); +#5051=DIRECTION('',(0.E0,0.E0,1.E0)); +#5052=VECTOR('',#5051,7.525E0); +#5053=CARTESIAN_POINT('',(-3.35E0,1.167700722987E-14,-2.E1)); +#5054=LINE('',#5053,#5052); +#5055=DIRECTION('',(0.E0,0.E0,1.E0)); +#5056=VECTOR('',#5055,7.525E0); +#5057=CARTESIAN_POINT('',(-4.65E0,1.183621131376E-14,-2.E1)); +#5058=LINE('',#5057,#5056); +#5059=DIRECTION('',(0.E0,0.E0,1.E0)); +#5060=VECTOR('',#5059,7.525E0); +#5061=CARTESIAN_POINT('',(-5.35E0,-4.000000000001E0,-2.E1)); +#5062=LINE('',#5061,#5060); +#5063=DIRECTION('',(0.E0,0.E0,1.E0)); +#5064=VECTOR('',#5063,7.525E0); +#5065=CARTESIAN_POINT('',(-6.65E0,-4.000000000001E0,-2.E1)); +#5066=LINE('',#5065,#5064); +#5067=DIRECTION('',(0.E0,0.E0,1.E0)); +#5068=VECTOR('',#5067,7.525E0); +#5069=CARTESIAN_POINT('',(-1.35E0,-4.000000000001E0,-2.E1)); +#5070=LINE('',#5069,#5068); +#5071=DIRECTION('',(0.E0,0.E0,1.E0)); +#5072=VECTOR('',#5071,7.525E0); +#5073=CARTESIAN_POINT('',(-2.65E0,-4.000000000001E0,-2.E1)); +#5074=LINE('',#5073,#5072); +#5075=DIRECTION('',(0.E0,0.E0,1.E0)); +#5076=VECTOR('',#5075,7.525E0); +#5077=CARTESIAN_POINT('',(6.5E-1,1.216686594953E-14,-2.E1)); +#5078=LINE('',#5077,#5076); +#5079=DIRECTION('',(0.E0,0.E0,1.E0)); +#5080=VECTOR('',#5079,7.525E0); +#5081=CARTESIAN_POINT('',(-6.5E-1,1.232607003342E-14,-2.E1)); +#5082=LINE('',#5081,#5080); +#5083=DIRECTION('',(0.E0,0.E0,1.E0)); +#5084=VECTOR('',#5083,7.525E0); +#5085=CARTESIAN_POINT('',(-1.35E0,4.000000000001E0,-2.E1)); +#5086=LINE('',#5085,#5084); +#5087=DIRECTION('',(0.E0,0.E0,1.E0)); +#5088=VECTOR('',#5087,7.525E0); +#5089=CARTESIAN_POINT('',(-2.65E0,4.000000000001E0,-2.E1)); +#5090=LINE('',#5089,#5088); +#5091=DIRECTION('',(0.E0,0.E0,1.E0)); +#5092=VECTOR('',#5091,7.525E0); +#5093=CARTESIAN_POINT('',(2.65E0,4.000000000001E0,-2.E1)); +#5094=LINE('',#5093,#5092); +#5095=DIRECTION('',(0.E0,0.E0,1.E0)); +#5096=VECTOR('',#5095,7.525E0); +#5097=CARTESIAN_POINT('',(1.35E0,4.000000000001E0,-2.E1)); +#5098=LINE('',#5097,#5096); +#5099=DIRECTION('',(0.E0,0.E0,1.E0)); +#5100=VECTOR('',#5099,7.525E0); +#5101=CARTESIAN_POINT('',(4.65E0,1.167700722987E-14,-2.E1)); +#5102=LINE('',#5101,#5100); +#5103=DIRECTION('',(0.E0,0.E0,1.E0)); +#5104=VECTOR('',#5103,7.525E0); +#5105=CARTESIAN_POINT('',(3.35E0,1.183621131376E-14,-2.E1)); +#5106=LINE('',#5105,#5104); +#5107=DIRECTION('',(0.E0,0.E0,1.E0)); +#5108=VECTOR('',#5107,7.525E0); +#5109=CARTESIAN_POINT('',(2.65E0,-4.000000000001E0,-2.E1)); +#5110=LINE('',#5109,#5108); +#5111=DIRECTION('',(0.E0,0.E0,1.E0)); +#5112=VECTOR('',#5111,7.525E0); +#5113=CARTESIAN_POINT('',(1.35E0,-4.000000000001E0,-2.E1)); +#5114=LINE('',#5113,#5112); +#5115=DIRECTION('',(0.E0,0.E0,1.E0)); +#5116=VECTOR('',#5115,7.525E0); +#5117=CARTESIAN_POINT('',(6.65E0,-4.000000000001E0,-2.E1)); +#5118=LINE('',#5117,#5116); +#5119=DIRECTION('',(0.E0,0.E0,1.E0)); +#5120=VECTOR('',#5119,7.525E0); +#5121=CARTESIAN_POINT('',(5.35E0,-4.000000000001E0,-2.E1)); +#5122=LINE('',#5121,#5120); +#5123=DIRECTION('',(0.E0,0.E0,1.E0)); +#5124=VECTOR('',#5123,7.525E0); +#5125=CARTESIAN_POINT('',(8.65E0,1.118714851021E-14,-2.E1)); +#5126=LINE('',#5125,#5124); +#5127=DIRECTION('',(0.E0,0.E0,1.E0)); +#5128=VECTOR('',#5127,7.525E0); +#5129=CARTESIAN_POINT('',(7.35E0,1.134635259410E-14,-2.E1)); +#5130=LINE('',#5129,#5128); +#5131=DIRECTION('',(0.E0,0.E0,1.E0)); +#5132=VECTOR('',#5131,7.525E0); +#5133=CARTESIAN_POINT('',(6.65E0,4.000000000001E0,-2.E1)); +#5134=LINE('',#5133,#5132); +#5135=DIRECTION('',(0.E0,0.E0,1.E0)); +#5136=VECTOR('',#5135,7.525E0); +#5137=CARTESIAN_POINT('',(5.35E0,4.000000000001E0,-2.E1)); +#5138=LINE('',#5137,#5136); +#5139=DIRECTION('',(0.E0,0.E0,1.E0)); +#5140=VECTOR('',#5139,7.525E0); +#5141=CARTESIAN_POINT('',(1.065E1,4.000000000001E0,-2.E1)); +#5142=LINE('',#5141,#5140); +#5143=DIRECTION('',(0.E0,0.E0,1.E0)); +#5144=VECTOR('',#5143,7.525E0); +#5145=CARTESIAN_POINT('',(9.35E0,4.000000000001E0,-2.E1)); +#5146=LINE('',#5145,#5144); +#5147=DIRECTION('',(0.E0,0.E0,1.E0)); +#5148=VECTOR('',#5147,7.525E0); +#5149=CARTESIAN_POINT('',(1.465E1,4.000000000001E0,-2.E1)); +#5150=LINE('',#5149,#5148); +#5151=DIRECTION('',(0.E0,0.E0,1.E0)); +#5152=VECTOR('',#5151,7.525E0); +#5153=CARTESIAN_POINT('',(1.335E1,4.000000000001E0,-2.E1)); +#5154=LINE('',#5153,#5152); +#5155=DIRECTION('',(0.E0,0.E0,1.E0)); +#5156=VECTOR('',#5155,7.525E0); +#5157=CARTESIAN_POINT('',(1.265E1,1.069728979055E-14,-2.E1)); +#5158=LINE('',#5157,#5156); +#5159=DIRECTION('',(0.E0,0.E0,1.E0)); +#5160=VECTOR('',#5159,7.525E0); +#5161=CARTESIAN_POINT('',(1.135E1,1.085649387444E-14,-2.E1)); +#5162=LINE('',#5161,#5160); +#5163=DIRECTION('',(0.E0,0.E0,1.E0)); +#5164=VECTOR('',#5163,7.525E0); +#5165=CARTESIAN_POINT('',(1.065E1,-4.000000000001E0,-2.E1)); +#5166=LINE('',#5165,#5164); +#5167=DIRECTION('',(0.E0,0.E0,1.E0)); +#5168=VECTOR('',#5167,7.525E0); +#5169=CARTESIAN_POINT('',(9.35E0,-4.000000000001E0,-2.E1)); +#5170=LINE('',#5169,#5168); +#5171=DIRECTION('',(0.E0,0.E0,1.E0)); +#5172=VECTOR('',#5171,7.525E0); +#5173=CARTESIAN_POINT('',(1.465E1,-4.000000000001E0,-2.E1)); +#5174=LINE('',#5173,#5172); +#5175=DIRECTION('',(0.E0,0.E0,1.E0)); +#5176=VECTOR('',#5175,7.525E0); +#5177=CARTESIAN_POINT('',(1.335E1,-4.000000000001E0,-2.E1)); +#5178=LINE('',#5177,#5176); +#5179=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5180=VECTOR('',#5179,1.E1); +#5181=CARTESIAN_POINT('',(-9.25E0,9.1E0,-1.E1)); +#5182=LINE('',#5181,#5180); +#5183=CARTESIAN_POINT('',(1.225E1,9.1E0,-1.E1)); +#5184=DIRECTION('',(0.E0,0.E0,1.E0)); +#5185=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5186=AXIS2_PLACEMENT_3D('',#5183,#5184,#5185); +#5188=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5189=VECTOR('',#5188,1.2E0); +#5190=CARTESIAN_POINT('',(1.175E1,1.03E1,-1.E1)); +#5191=LINE('',#5190,#5189); +#5192=DIRECTION('',(0.E0,1.E0,0.E0)); +#5193=VECTOR('',#5192,1.2E0); +#5194=CARTESIAN_POINT('',(1.275E1,9.1E0,-1.E1)); +#5195=LINE('',#5194,#5193); +#5196=CARTESIAN_POINT('',(8.75E0,9.1E0,-1.E1)); +#5197=DIRECTION('',(0.E0,0.E0,1.E0)); +#5198=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5199=AXIS2_PLACEMENT_3D('',#5196,#5197,#5198); +#5201=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5202=VECTOR('',#5201,1.2E0); +#5203=CARTESIAN_POINT('',(8.25E0,1.03E1,-1.E1)); +#5204=LINE('',#5203,#5202); +#5205=DIRECTION('',(0.E0,1.E0,0.E0)); +#5206=VECTOR('',#5205,1.2E0); +#5207=CARTESIAN_POINT('',(9.25E0,9.1E0,-1.E1)); +#5208=LINE('',#5207,#5206); +#5209=CARTESIAN_POINT('',(5.25E0,9.1E0,-1.E1)); +#5210=DIRECTION('',(0.E0,0.E0,1.E0)); +#5211=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5212=AXIS2_PLACEMENT_3D('',#5209,#5210,#5211); +#5214=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5215=VECTOR('',#5214,1.2E0); +#5216=CARTESIAN_POINT('',(4.75E0,1.03E1,-1.E1)); +#5217=LINE('',#5216,#5215); +#5218=DIRECTION('',(0.E0,1.E0,0.E0)); +#5219=VECTOR('',#5218,1.2E0); +#5220=CARTESIAN_POINT('',(5.75E0,9.1E0,-1.E1)); +#5221=LINE('',#5220,#5219); +#5222=CARTESIAN_POINT('',(-1.75E0,8.075E0,-1.E1)); +#5223=DIRECTION('',(0.E0,0.E0,1.E0)); +#5224=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5225=AXIS2_PLACEMENT_3D('',#5222,#5223,#5224); +#5227=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5228=VECTOR('',#5227,2.225E0); +#5229=CARTESIAN_POINT('',(-2.425E0,1.03E1,-1.E1)); +#5230=LINE('',#5229,#5228); +#5231=DIRECTION('',(0.E0,1.E0,0.E0)); +#5232=VECTOR('',#5231,2.225E0); +#5233=CARTESIAN_POINT('',(-1.075E0,8.075E0,-1.E1)); +#5234=LINE('',#5233,#5232); +#5235=CARTESIAN_POINT('',(-5.25E0,9.1E0,-1.E1)); +#5236=DIRECTION('',(0.E0,0.E0,1.E0)); +#5237=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5238=AXIS2_PLACEMENT_3D('',#5235,#5236,#5237); +#5240=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5241=VECTOR('',#5240,1.2E0); +#5242=CARTESIAN_POINT('',(-5.75E0,1.03E1,-1.E1)); +#5243=LINE('',#5242,#5241); +#5244=DIRECTION('',(0.E0,1.E0,0.E0)); +#5245=VECTOR('',#5244,1.2E0); +#5246=CARTESIAN_POINT('',(-4.75E0,9.1E0,-1.E1)); +#5247=LINE('',#5246,#5245); +#5248=CARTESIAN_POINT('',(-1.225E1,9.1E0,-1.E1)); +#5249=DIRECTION('',(0.E0,0.E0,1.E0)); +#5250=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5251=AXIS2_PLACEMENT_3D('',#5248,#5249,#5250); +#5253=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5254=VECTOR('',#5253,1.2E0); +#5255=CARTESIAN_POINT('',(-1.275E1,1.03E1,-1.E1)); +#5256=LINE('',#5255,#5254); +#5257=DIRECTION('',(0.E0,1.E0,0.E0)); +#5258=VECTOR('',#5257,1.2E0); +#5259=CARTESIAN_POINT('',(-1.175E1,9.1E0,-1.E1)); +#5260=LINE('',#5259,#5258); +#5261=CARTESIAN_POINT('',(5.25E0,-8.075E0,-1.E1)); +#5262=DIRECTION('',(0.E0,0.E0,1.E0)); +#5263=DIRECTION('',(1.E0,0.E0,0.E0)); +#5264=AXIS2_PLACEMENT_3D('',#5261,#5262,#5263); +#5266=DIRECTION('',(0.E0,1.E0,0.E0)); +#5267=VECTOR('',#5266,2.225E0); +#5268=CARTESIAN_POINT('',(5.925E0,-1.03E1,-1.E1)); +#5269=LINE('',#5268,#5267); +#5270=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5271=VECTOR('',#5270,2.225E0); +#5272=CARTESIAN_POINT('',(4.575E0,-8.075E0,-1.E1)); +#5273=LINE('',#5272,#5271); +#5274=CARTESIAN_POINT('',(1.75E0,-9.1E0,-1.E1)); +#5275=DIRECTION('',(0.E0,0.E0,1.E0)); +#5276=DIRECTION('',(1.E0,0.E0,0.E0)); +#5277=AXIS2_PLACEMENT_3D('',#5274,#5275,#5276); +#5279=DIRECTION('',(0.E0,1.E0,0.E0)); +#5280=VECTOR('',#5279,1.2E0); +#5281=CARTESIAN_POINT('',(2.25E0,-1.03E1,-1.E1)); +#5282=LINE('',#5281,#5280); +#5283=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5284=VECTOR('',#5283,1.2E0); +#5285=CARTESIAN_POINT('',(1.25E0,-9.1E0,-1.E1)); +#5286=LINE('',#5285,#5284); +#5287=CARTESIAN_POINT('',(-5.25E0,-9.1E0,-1.E1)); +#5288=DIRECTION('',(0.E0,0.E0,1.E0)); +#5289=DIRECTION('',(1.E0,0.E0,0.E0)); +#5290=AXIS2_PLACEMENT_3D('',#5287,#5288,#5289); +#5292=DIRECTION('',(0.E0,1.E0,0.E0)); +#5293=VECTOR('',#5292,1.2E0); +#5294=CARTESIAN_POINT('',(-4.75E0,-1.03E1,-1.E1)); +#5295=LINE('',#5294,#5293); +#5296=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5297=VECTOR('',#5296,1.2E0); +#5298=CARTESIAN_POINT('',(-5.75E0,-9.1E0,-1.E1)); +#5299=LINE('',#5298,#5297); +#5300=CARTESIAN_POINT('',(-8.75E0,-9.1E0,-1.E1)); +#5301=DIRECTION('',(0.E0,0.E0,1.E0)); +#5302=DIRECTION('',(1.E0,0.E0,0.E0)); +#5303=AXIS2_PLACEMENT_3D('',#5300,#5301,#5302); +#5305=DIRECTION('',(0.E0,1.E0,0.E0)); +#5306=VECTOR('',#5305,1.2E0); +#5307=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-1.E1)); +#5308=LINE('',#5307,#5306); +#5309=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5310=VECTOR('',#5309,1.2E0); +#5311=CARTESIAN_POINT('',(-9.25E0,-9.1E0,-1.E1)); +#5312=LINE('',#5311,#5310); +#5313=CARTESIAN_POINT('',(-1.225E1,-9.1E0,-1.E1)); +#5314=DIRECTION('',(0.E0,0.E0,1.E0)); +#5315=DIRECTION('',(1.E0,0.E0,0.E0)); +#5316=AXIS2_PLACEMENT_3D('',#5313,#5314,#5315); +#5318=DIRECTION('',(0.E0,1.E0,0.E0)); +#5319=VECTOR('',#5318,1.2E0); +#5320=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-1.E1)); +#5321=LINE('',#5320,#5319); +#5322=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5323=VECTOR('',#5322,1.2E0); +#5324=CARTESIAN_POINT('',(-1.275E1,-9.1E0,-1.E1)); +#5325=LINE('',#5324,#5323); +#5326=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5327=VECTOR('',#5326,1.2E0); +#5328=CARTESIAN_POINT('',(1.25E0,1.03E1,-1.E1)); +#5329=LINE('',#5328,#5327); +#5330=DIRECTION('',(0.E0,1.E0,0.E0)); +#5331=VECTOR('',#5330,1.2E0); +#5332=CARTESIAN_POINT('',(2.25E0,9.1E0,-1.E1)); +#5333=LINE('',#5332,#5331); +#5334=CARTESIAN_POINT('',(1.75E0,9.1E0,-1.E1)); +#5335=DIRECTION('',(0.E0,0.E0,1.E0)); +#5336=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5337=AXIS2_PLACEMENT_3D('',#5334,#5335,#5336); +#5339=DIRECTION('',(0.E0,1.E0,0.E0)); +#5340=VECTOR('',#5339,1.2E0); +#5341=CARTESIAN_POINT('',(9.25E0,-1.03E1,-1.E1)); +#5342=LINE('',#5341,#5340); +#5343=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5344=VECTOR('',#5343,1.2E0); +#5345=CARTESIAN_POINT('',(8.25E0,-9.1E0,-1.E1)); +#5346=LINE('',#5345,#5344); +#5347=CARTESIAN_POINT('',(8.75E0,-9.1E0,-1.E1)); +#5348=DIRECTION('',(0.E0,0.E0,1.E0)); +#5349=DIRECTION('',(1.E0,0.E0,0.E0)); +#5350=AXIS2_PLACEMENT_3D('',#5347,#5348,#5349); +#5352=DIRECTION('',(0.E0,1.E0,0.E0)); +#5353=VECTOR('',#5352,1.2E0); +#5354=CARTESIAN_POINT('',(1.275E1,-1.03E1,-1.E1)); +#5355=LINE('',#5354,#5353); +#5356=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5357=VECTOR('',#5356,1.2E0); +#5358=CARTESIAN_POINT('',(1.175E1,-9.1E0,-1.E1)); +#5359=LINE('',#5358,#5357); +#5360=CARTESIAN_POINT('',(1.225E1,-9.1E0,-1.E1)); +#5361=DIRECTION('',(0.E0,0.E0,1.E0)); +#5362=DIRECTION('',(1.E0,0.E0,0.E0)); +#5363=AXIS2_PLACEMENT_3D('',#5360,#5361,#5362); +#5365=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5366=VECTOR('',#5365,1.2E0); +#5367=CARTESIAN_POINT('',(-9.25E0,1.03E1,-1.E1)); +#5368=LINE('',#5367,#5366); +#5369=DIRECTION('',(0.E0,1.E0,0.E0)); +#5370=VECTOR('',#5369,1.2E0); +#5371=CARTESIAN_POINT('',(-8.25E0,9.1E0,-1.E1)); +#5372=LINE('',#5371,#5370); +#5373=CARTESIAN_POINT('',(-8.75E0,9.1E0,-1.E1)); +#5374=DIRECTION('',(0.E0,0.E0,1.E0)); +#5375=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5376=AXIS2_PLACEMENT_3D('',#5373,#5374,#5375); +#5378=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5379=VECTOR('',#5378,1.2E0); +#5380=CARTESIAN_POINT('',(-2.25E0,-9.1E0,-1.E1)); +#5381=LINE('',#5380,#5379); +#5382=CARTESIAN_POINT('',(-1.75E0,-9.1E0,-1.E1)); +#5383=DIRECTION('',(0.E0,0.E0,1.E0)); +#5384=DIRECTION('',(1.E0,0.E0,0.E0)); +#5385=AXIS2_PLACEMENT_3D('',#5382,#5383,#5384); +#5387=DIRECTION('',(0.E0,1.E0,0.E0)); +#5388=VECTOR('',#5387,1.2E0); +#5389=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-1.E1)); +#5390=LINE('',#5389,#5388); +#5391=CARTESIAN_POINT('',(1.225E1,9.1E0,-2.E1)); +#5392=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5393=DIRECTION('',(1.E0,0.E0,0.E0)); +#5394=AXIS2_PLACEMENT_3D('',#5391,#5392,#5393); +#5396=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5397=VECTOR('',#5396,1.E1); +#5398=CARTESIAN_POINT('',(1.175E1,9.1E0,-1.E1)); +#5399=LINE('',#5398,#5397); +#5400=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5401=VECTOR('',#5400,1.E1); +#5402=CARTESIAN_POINT('',(1.275E1,9.1E0,-1.E1)); +#5403=LINE('',#5402,#5401); +#5404=CARTESIAN_POINT('',(8.75E0,9.1E0,-2.E1)); +#5405=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5406=DIRECTION('',(1.E0,0.E0,0.E0)); +#5407=AXIS2_PLACEMENT_3D('',#5404,#5405,#5406); +#5409=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5410=VECTOR('',#5409,1.E1); +#5411=CARTESIAN_POINT('',(8.25E0,9.1E0,-1.E1)); +#5412=LINE('',#5411,#5410); +#5413=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5414=VECTOR('',#5413,1.E1); +#5415=CARTESIAN_POINT('',(9.25E0,9.1E0,-1.E1)); +#5416=LINE('',#5415,#5414); +#5417=CARTESIAN_POINT('',(5.25E0,9.1E0,-2.E1)); +#5418=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5419=DIRECTION('',(1.E0,0.E0,0.E0)); +#5420=AXIS2_PLACEMENT_3D('',#5417,#5418,#5419); +#5422=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5423=VECTOR('',#5422,1.E1); +#5424=CARTESIAN_POINT('',(4.75E0,9.1E0,-1.E1)); +#5425=LINE('',#5424,#5423); +#5426=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5427=VECTOR('',#5426,1.E1); +#5428=CARTESIAN_POINT('',(5.75E0,9.1E0,-1.E1)); +#5429=LINE('',#5428,#5427); +#5430=CARTESIAN_POINT('',(-1.75E0,8.075E0,-2.E1)); +#5431=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5432=DIRECTION('',(1.E0,0.E0,0.E0)); +#5433=AXIS2_PLACEMENT_3D('',#5430,#5431,#5432); +#5435=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5436=VECTOR('',#5435,1.E1); +#5437=CARTESIAN_POINT('',(-2.425E0,8.075E0,-1.E1)); +#5438=LINE('',#5437,#5436); +#5439=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5440=VECTOR('',#5439,1.E1); +#5441=CARTESIAN_POINT('',(-1.075E0,8.075E0,-1.E1)); +#5442=LINE('',#5441,#5440); +#5443=CARTESIAN_POINT('',(-5.25E0,9.1E0,-2.E1)); +#5444=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5445=DIRECTION('',(1.E0,0.E0,0.E0)); +#5446=AXIS2_PLACEMENT_3D('',#5443,#5444,#5445); +#5448=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5449=VECTOR('',#5448,1.E1); +#5450=CARTESIAN_POINT('',(-5.75E0,9.1E0,-1.E1)); +#5451=LINE('',#5450,#5449); +#5452=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5453=VECTOR('',#5452,1.E1); +#5454=CARTESIAN_POINT('',(-4.75E0,9.1E0,-1.E1)); +#5455=LINE('',#5454,#5453); +#5456=CARTESIAN_POINT('',(-1.225E1,9.1E0,-2.E1)); +#5457=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5458=DIRECTION('',(1.E0,0.E0,0.E0)); +#5459=AXIS2_PLACEMENT_3D('',#5456,#5457,#5458); +#5461=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5462=VECTOR('',#5461,1.E1); +#5463=CARTESIAN_POINT('',(-1.275E1,9.1E0,-1.E1)); +#5464=LINE('',#5463,#5462); +#5465=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5466=VECTOR('',#5465,1.E1); +#5467=CARTESIAN_POINT('',(-1.175E1,9.1E0,-1.E1)); +#5468=LINE('',#5467,#5466); +#5469=CARTESIAN_POINT('',(5.25E0,-8.075E0,-2.E1)); +#5470=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5471=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5472=AXIS2_PLACEMENT_3D('',#5469,#5470,#5471); +#5474=DIRECTION('',(0.E0,0.E0,1.E0)); +#5475=VECTOR('',#5474,1.E1); +#5476=CARTESIAN_POINT('',(4.575E0,-8.075E0,-2.E1)); +#5477=LINE('',#5476,#5475); +#5478=DIRECTION('',(0.E0,0.E0,1.E0)); +#5479=VECTOR('',#5478,1.E1); +#5480=CARTESIAN_POINT('',(1.25E0,-1.03E1,-2.E1)); +#5481=LINE('',#5480,#5479); +#5482=DIRECTION('',(1.E0,0.E0,0.E0)); +#5483=VECTOR('',#5482,1.E0); +#5484=CARTESIAN_POINT('',(1.25E0,-1.03E1,-1.E1)); +#5485=LINE('',#5484,#5483); +#5486=DIRECTION('',(0.E0,0.E0,1.E0)); +#5487=VECTOR('',#5486,1.E1); +#5488=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#5489=LINE('',#5488,#5487); +#5490=DIRECTION('',(0.E0,0.E0,1.E0)); +#5491=VECTOR('',#5490,1.E1); +#5492=CARTESIAN_POINT('',(4.575E0,-1.03E1,-2.E1)); +#5493=LINE('',#5492,#5491); +#5494=DIRECTION('',(1.E0,0.E0,0.E0)); +#5495=VECTOR('',#5494,1.35E0); +#5496=CARTESIAN_POINT('',(4.575E0,-1.03E1,-1.E1)); +#5497=LINE('',#5496,#5495); +#5498=DIRECTION('',(0.E0,0.E0,1.E0)); +#5499=VECTOR('',#5498,1.E1); +#5500=CARTESIAN_POINT('',(5.925E0,-1.03E1,-2.E1)); +#5501=LINE('',#5500,#5499); +#5502=DIRECTION('',(0.E0,0.E0,1.E0)); +#5503=VECTOR('',#5502,1.E1); +#5504=CARTESIAN_POINT('',(8.25E0,-1.03E1,-2.E1)); +#5505=LINE('',#5504,#5503); +#5506=DIRECTION('',(1.E0,0.E0,0.E0)); +#5507=VECTOR('',#5506,1.E0); +#5508=CARTESIAN_POINT('',(8.25E0,-1.03E1,-1.E1)); +#5509=LINE('',#5508,#5507); +#5510=DIRECTION('',(0.E0,0.E0,1.E0)); +#5511=VECTOR('',#5510,1.E1); +#5512=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#5513=LINE('',#5512,#5511); +#5514=DIRECTION('',(0.E0,0.E0,1.E0)); +#5515=VECTOR('',#5514,1.E1); +#5516=CARTESIAN_POINT('',(1.175E1,-1.03E1,-2.E1)); +#5517=LINE('',#5516,#5515); +#5518=DIRECTION('',(1.E0,0.E0,0.E0)); +#5519=VECTOR('',#5518,1.E0); +#5520=CARTESIAN_POINT('',(1.175E1,-1.03E1,-1.E1)); +#5521=LINE('',#5520,#5519); +#5522=DIRECTION('',(0.E0,0.E0,1.E0)); +#5523=VECTOR('',#5522,1.E1); +#5524=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#5525=LINE('',#5524,#5523); +#5526=DIRECTION('',(1.E0,0.E0,0.E0)); +#5527=VECTOR('',#5526,3.1E1); +#5528=CARTESIAN_POINT('',(-1.55E1,-1.03E1,-2.E0)); +#5529=LINE('',#5528,#5527); +#5530=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5531=VECTOR('',#5530,1.8E1); +#5532=CARTESIAN_POINT('',(-1.55E1,-1.03E1,-2.E0)); +#5533=LINE('',#5532,#5531); +#5534=DIRECTION('',(0.E0,0.E0,1.E0)); +#5535=VECTOR('',#5534,1.E1); +#5536=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-2.E1)); +#5537=LINE('',#5536,#5535); +#5538=DIRECTION('',(1.E0,0.E0,0.E0)); +#5539=VECTOR('',#5538,1.E0); +#5540=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-1.E1)); +#5541=LINE('',#5540,#5539); +#5542=DIRECTION('',(0.E0,0.E0,1.E0)); +#5543=VECTOR('',#5542,1.E1); +#5544=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#5545=LINE('',#5544,#5543); +#5546=DIRECTION('',(0.E0,0.E0,1.E0)); +#5547=VECTOR('',#5546,1.E1); +#5548=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-2.E1)); +#5549=LINE('',#5548,#5547); +#5550=DIRECTION('',(1.E0,0.E0,0.E0)); +#5551=VECTOR('',#5550,1.E0); +#5552=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-1.E1)); +#5553=LINE('',#5552,#5551); +#5554=DIRECTION('',(0.E0,0.E0,1.E0)); +#5555=VECTOR('',#5554,1.E1); +#5556=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#5557=LINE('',#5556,#5555); +#5558=DIRECTION('',(0.E0,0.E0,1.E0)); +#5559=VECTOR('',#5558,1.E1); +#5560=CARTESIAN_POINT('',(-5.75E0,-1.03E1,-2.E1)); +#5561=LINE('',#5560,#5559); +#5562=DIRECTION('',(1.E0,0.E0,0.E0)); +#5563=VECTOR('',#5562,1.E0); +#5564=CARTESIAN_POINT('',(-5.75E0,-1.03E1,-1.E1)); +#5565=LINE('',#5564,#5563); +#5566=DIRECTION('',(0.E0,0.E0,1.E0)); +#5567=VECTOR('',#5566,1.E1); +#5568=CARTESIAN_POINT('',(-4.75E0,-1.03E1,-2.E1)); +#5569=LINE('',#5568,#5567); +#5570=DIRECTION('',(0.E0,0.E0,1.E0)); +#5571=VECTOR('',#5570,1.E1); +#5572=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-2.E1)); +#5573=LINE('',#5572,#5571); +#5574=DIRECTION('',(1.E0,0.E0,0.E0)); +#5575=VECTOR('',#5574,1.E0); +#5576=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-1.E1)); +#5577=LINE('',#5576,#5575); +#5578=DIRECTION('',(0.E0,0.E0,1.E0)); +#5579=VECTOR('',#5578,1.E1); +#5580=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#5581=LINE('',#5580,#5579); +#5582=DIRECTION('',(0.E0,0.E0,1.E0)); +#5583=VECTOR('',#5582,1.E1); +#5584=CARTESIAN_POINT('',(1.25E0,-9.1E0,-2.E1)); +#5585=LINE('',#5584,#5583); +#5586=CARTESIAN_POINT('',(1.75E0,-9.1E0,-2.E1)); +#5587=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5588=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5589=AXIS2_PLACEMENT_3D('',#5586,#5587,#5588); +#5591=DIRECTION('',(0.E0,0.E0,1.E0)); +#5592=VECTOR('',#5591,1.E1); +#5593=CARTESIAN_POINT('',(2.25E0,-9.1E0,-2.E1)); +#5594=LINE('',#5593,#5592); +#5595=DIRECTION('',(0.E0,0.E0,1.E0)); +#5596=VECTOR('',#5595,1.E1); +#5597=CARTESIAN_POINT('',(5.925E0,-8.075E0,-2.E1)); +#5598=LINE('',#5597,#5596); +#5599=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5600=VECTOR('',#5599,1.E1); +#5601=CARTESIAN_POINT('',(8.25E0,-9.1E0,-1.E1)); +#5602=LINE('',#5601,#5600); +#5603=CARTESIAN_POINT('',(8.75E0,-9.1E0,-2.E1)); +#5604=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5605=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5606=AXIS2_PLACEMENT_3D('',#5603,#5604,#5605); +#5608=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5609=VECTOR('',#5608,1.E1); +#5610=CARTESIAN_POINT('',(9.25E0,-9.1E0,-1.E1)); +#5611=LINE('',#5610,#5609); +#5612=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5613=VECTOR('',#5612,1.E1); +#5614=CARTESIAN_POINT('',(1.175E1,-9.1E0,-1.E1)); +#5615=LINE('',#5614,#5613); +#5616=CARTESIAN_POINT('',(1.225E1,-9.1E0,-2.E1)); +#5617=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5618=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5619=AXIS2_PLACEMENT_3D('',#5616,#5617,#5618); +#5621=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5622=VECTOR('',#5621,1.E1); +#5623=CARTESIAN_POINT('',(1.275E1,-9.1E0,-1.E1)); +#5624=LINE('',#5623,#5622); +#5625=CARTESIAN_POINT('',(1.55E1,-5.6E0,-2.E0)); +#5626=DIRECTION('',(0.E0,0.E0,1.E0)); +#5627=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5628=AXIS2_PLACEMENT_3D('',#5625,#5626,#5627); +#5630=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5631=VECTOR('',#5630,1.8E1); +#5632=CARTESIAN_POINT('',(1.55E1,-1.03E1,-2.E0)); +#5633=LINE('',#5632,#5631); +#5634=DIRECTION('',(0.E0,1.E0,0.E0)); +#5635=VECTOR('',#5634,1.12E1); +#5636=CARTESIAN_POINT('',(2.02E1,-5.6E0,-2.E0)); +#5637=LINE('',#5636,#5635); +#5638=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5639=VECTOR('',#5638,1.8E1); +#5640=CARTESIAN_POINT('',(2.02E1,-5.6E0,-2.E0)); +#5641=LINE('',#5640,#5639); +#5642=DIRECTION('',(0.E0,0.E0,1.E0)); +#5643=VECTOR('',#5642,1.E1); +#5644=CARTESIAN_POINT('',(2.02E1,-5.2E0,-2.E1)); +#5645=LINE('',#5644,#5643); +#5646=DIRECTION('',(0.E0,1.E0,0.E0)); +#5647=VECTOR('',#5646,1.E0); +#5648=CARTESIAN_POINT('',(2.02E1,-5.2E0,-1.E1)); +#5649=LINE('',#5648,#5647); +#5650=DIRECTION('',(0.E0,0.E0,1.E0)); +#5651=VECTOR('',#5650,1.E1); +#5652=CARTESIAN_POINT('',(2.02E1,-4.2E0,-2.E1)); +#5653=LINE('',#5652,#5651); +#5654=DIRECTION('',(0.E0,0.E0,1.E0)); +#5655=VECTOR('',#5654,1.E1); +#5656=CARTESIAN_POINT('',(2.02E1,4.2E0,-2.E1)); +#5657=LINE('',#5656,#5655); +#5658=DIRECTION('',(0.E0,1.E0,0.E0)); +#5659=VECTOR('',#5658,1.E0); +#5660=CARTESIAN_POINT('',(2.02E1,4.2E0,-1.E1)); +#5661=LINE('',#5660,#5659); +#5662=DIRECTION('',(0.E0,0.E0,1.E0)); +#5663=VECTOR('',#5662,1.E1); +#5664=CARTESIAN_POINT('',(2.02E1,5.2E0,-2.E1)); +#5665=LINE('',#5664,#5663); +#5666=DIRECTION('',(0.E0,0.E0,1.E0)); +#5667=VECTOR('',#5666,1.E1); +#5668=CARTESIAN_POINT('',(1.9E1,-5.2E0,-2.E1)); +#5669=LINE('',#5668,#5667); +#5670=DIRECTION('',(0.E0,0.E0,1.E0)); +#5671=VECTOR('',#5670,1.E1); +#5672=CARTESIAN_POINT('',(1.9E1,-4.2E0,-2.E1)); +#5673=LINE('',#5672,#5671); +#5674=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5675=VECTOR('',#5674,1.2E0); +#5676=CARTESIAN_POINT('',(-1.9E1,-4.2E0,-1.E1)); +#5677=LINE('',#5676,#5675); +#5678=CARTESIAN_POINT('',(-1.9E1,-4.7E0,-1.E1)); +#5679=DIRECTION('',(0.E0,0.E0,1.E0)); +#5680=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5681=AXIS2_PLACEMENT_3D('',#5678,#5679,#5680); +#5683=DIRECTION('',(1.E0,0.E0,0.E0)); +#5684=VECTOR('',#5683,1.2E0); +#5685=CARTESIAN_POINT('',(-2.02E1,-5.2E0,-1.E1)); +#5686=LINE('',#5685,#5684); +#5687=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5688=VECTOR('',#5687,1.2E0); +#5689=CARTESIAN_POINT('',(-1.9E1,5.2E0,-1.E1)); +#5690=LINE('',#5689,#5688); +#5691=CARTESIAN_POINT('',(-1.9E1,4.7E0,-1.E1)); +#5692=DIRECTION('',(0.E0,0.E0,1.E0)); +#5693=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5694=AXIS2_PLACEMENT_3D('',#5691,#5692,#5693); +#5696=DIRECTION('',(1.E0,0.E0,0.E0)); +#5697=VECTOR('',#5696,1.2E0); +#5698=CARTESIAN_POINT('',(-2.02E1,4.2E0,-1.E1)); +#5699=LINE('',#5698,#5697); +#5700=DIRECTION('',(1.E0,0.E0,0.E0)); +#5701=VECTOR('',#5700,1.2E0); +#5702=CARTESIAN_POINT('',(1.9E1,-5.2E0,-1.E1)); +#5703=LINE('',#5702,#5701); +#5704=CARTESIAN_POINT('',(1.9E1,-4.7E0,-1.E1)); +#5705=DIRECTION('',(0.E0,0.E0,1.E0)); +#5706=DIRECTION('',(0.E0,1.E0,0.E0)); +#5707=AXIS2_PLACEMENT_3D('',#5704,#5705,#5706); +#5709=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5710=VECTOR('',#5709,1.2E0); +#5711=CARTESIAN_POINT('',(2.02E1,-4.2E0,-1.E1)); +#5712=LINE('',#5711,#5710); +#5713=DIRECTION('',(1.E0,0.E0,0.E0)); +#5714=VECTOR('',#5713,1.2E0); +#5715=CARTESIAN_POINT('',(1.9E1,4.2E0,-1.E1)); +#5716=LINE('',#5715,#5714); +#5717=CARTESIAN_POINT('',(1.9E1,4.7E0,-1.E1)); +#5718=DIRECTION('',(0.E0,0.E0,1.E0)); +#5719=DIRECTION('',(0.E0,1.E0,0.E0)); +#5720=AXIS2_PLACEMENT_3D('',#5717,#5718,#5719); +#5722=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5723=VECTOR('',#5722,1.2E0); +#5724=CARTESIAN_POINT('',(2.02E1,5.2E0,-1.E1)); +#5725=LINE('',#5724,#5723); +#5726=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5727=VECTOR('',#5726,1.12E1); +#5728=CARTESIAN_POINT('',(-2.02E1,5.6E0,-2.E0)); +#5729=LINE('',#5728,#5727); +#5730=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5731=VECTOR('',#5730,1.8E1); +#5732=CARTESIAN_POINT('',(-2.02E1,5.6E0,-2.E0)); +#5733=LINE('',#5732,#5731); +#5734=DIRECTION('',(0.E0,0.E0,1.E0)); +#5735=VECTOR('',#5734,1.E1); +#5736=CARTESIAN_POINT('',(-2.02E1,5.2E0,-2.E1)); +#5737=LINE('',#5736,#5735); +#5738=DIRECTION('',(0.E0,1.E0,0.E0)); +#5739=VECTOR('',#5738,1.E0); +#5740=CARTESIAN_POINT('',(-2.02E1,4.2E0,-1.E1)); +#5741=LINE('',#5740,#5739); +#5742=DIRECTION('',(0.E0,0.E0,1.E0)); +#5743=VECTOR('',#5742,1.E1); +#5744=CARTESIAN_POINT('',(-2.02E1,4.2E0,-2.E1)); +#5745=LINE('',#5744,#5743); +#5746=DIRECTION('',(0.E0,0.E0,1.E0)); +#5747=VECTOR('',#5746,1.E1); +#5748=CARTESIAN_POINT('',(-2.02E1,-4.2E0,-2.E1)); +#5749=LINE('',#5748,#5747); +#5750=DIRECTION('',(0.E0,1.E0,0.E0)); +#5751=VECTOR('',#5750,1.E0); +#5752=CARTESIAN_POINT('',(-2.02E1,-5.2E0,-1.E1)); +#5753=LINE('',#5752,#5751); +#5754=DIRECTION('',(0.E0,0.E0,1.E0)); +#5755=VECTOR('',#5754,1.E1); +#5756=CARTESIAN_POINT('',(-2.02E1,-5.2E0,-2.E1)); +#5757=LINE('',#5756,#5755); +#5758=DIRECTION('',(0.E0,0.E0,1.E0)); +#5759=VECTOR('',#5758,1.E1); +#5760=CARTESIAN_POINT('',(-1.9E1,5.2E0,-2.E1)); +#5761=LINE('',#5760,#5759); +#5762=DIRECTION('',(0.E0,0.E0,1.E0)); +#5763=VECTOR('',#5762,1.E1); +#5764=CARTESIAN_POINT('',(-1.9E1,4.2E0,-2.E1)); +#5765=LINE('',#5764,#5763); +#5766=DIRECTION('',(0.E0,0.E0,1.E0)); +#5767=VECTOR('',#5766,1.E1); +#5768=CARTESIAN_POINT('',(-1.9E1,-4.2E0,-2.E1)); +#5769=LINE('',#5768,#5767); +#5770=DIRECTION('',(0.E0,0.E0,1.E0)); +#5771=VECTOR('',#5770,1.E1); +#5772=CARTESIAN_POINT('',(-1.9E1,-5.2E0,-2.E1)); +#5773=LINE('',#5772,#5771); +#5774=CARTESIAN_POINT('',(-1.55E1,-5.6E0,-2.E0)); +#5775=DIRECTION('',(0.E0,0.E0,1.E0)); +#5776=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5777=AXIS2_PLACEMENT_3D('',#5774,#5775,#5776); +#5779=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5780=VECTOR('',#5779,1.8E1); +#5781=CARTESIAN_POINT('',(-2.02E1,-5.6E0,-2.E0)); +#5782=LINE('',#5781,#5780); +#5783=DIRECTION('',(0.E0,0.E0,1.E0)); +#5784=VECTOR('',#5783,1.E1); +#5785=CARTESIAN_POINT('',(1.9E1,4.2E0,-2.E1)); +#5786=LINE('',#5785,#5784); +#5787=DIRECTION('',(0.E0,0.E0,1.E0)); +#5788=VECTOR('',#5787,1.E1); +#5789=CARTESIAN_POINT('',(1.9E1,5.2E0,-2.E1)); +#5790=LINE('',#5789,#5788); +#5791=CARTESIAN_POINT('',(1.55E1,5.6E0,-2.E0)); +#5792=DIRECTION('',(0.E0,0.E0,1.E0)); +#5793=DIRECTION('',(1.E0,0.E0,0.E0)); +#5794=AXIS2_PLACEMENT_3D('',#5791,#5792,#5793); +#5796=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5797=VECTOR('',#5796,1.8E1); +#5798=CARTESIAN_POINT('',(2.02E1,5.6E0,-2.E0)); +#5799=LINE('',#5798,#5797); +#5800=DIRECTION('',(0.E0,0.E0,1.E0)); +#5801=VECTOR('',#5800,1.E1); +#5802=CARTESIAN_POINT('',(-1.275E1,-9.1E0,-2.E1)); +#5803=LINE('',#5802,#5801); +#5804=CARTESIAN_POINT('',(-1.225E1,-9.1E0,-2.E1)); +#5805=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5806=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5807=AXIS2_PLACEMENT_3D('',#5804,#5805,#5806); +#5809=DIRECTION('',(0.E0,0.E0,1.E0)); +#5810=VECTOR('',#5809,1.E1); +#5811=CARTESIAN_POINT('',(-1.175E1,-9.1E0,-2.E1)); +#5812=LINE('',#5811,#5810); +#5813=DIRECTION('',(0.E0,0.E0,1.E0)); +#5814=VECTOR('',#5813,1.E1); +#5815=CARTESIAN_POINT('',(-9.25E0,-9.1E0,-2.E1)); +#5816=LINE('',#5815,#5814); +#5817=CARTESIAN_POINT('',(-8.75E0,-9.1E0,-2.E1)); +#5818=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5819=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5820=AXIS2_PLACEMENT_3D('',#5817,#5818,#5819); +#5822=DIRECTION('',(0.E0,0.E0,1.E0)); +#5823=VECTOR('',#5822,1.E1); +#5824=CARTESIAN_POINT('',(-8.25E0,-9.1E0,-2.E1)); +#5825=LINE('',#5824,#5823); +#5826=DIRECTION('',(0.E0,0.E0,1.E0)); +#5827=VECTOR('',#5826,1.E1); +#5828=CARTESIAN_POINT('',(-5.75E0,-9.1E0,-2.E1)); +#5829=LINE('',#5828,#5827); +#5830=CARTESIAN_POINT('',(-5.25E0,-9.1E0,-2.E1)); +#5831=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5832=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5833=AXIS2_PLACEMENT_3D('',#5830,#5831,#5832); +#5835=DIRECTION('',(0.E0,0.E0,1.E0)); +#5836=VECTOR('',#5835,1.E1); +#5837=CARTESIAN_POINT('',(-4.75E0,-9.1E0,-2.E1)); +#5838=LINE('',#5837,#5836); +#5839=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5840=VECTOR('',#5839,1.E1); +#5841=CARTESIAN_POINT('',(-2.25E0,-9.1E0,-1.E1)); +#5842=LINE('',#5841,#5840); +#5843=CARTESIAN_POINT('',(-1.75E0,-9.1E0,-2.E1)); +#5844=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5845=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5846=AXIS2_PLACEMENT_3D('',#5843,#5844,#5845); +#5848=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5849=VECTOR('',#5848,1.E1); +#5850=CARTESIAN_POINT('',(-1.25E0,-9.1E0,-1.E1)); +#5851=LINE('',#5850,#5849); +#5852=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5853=VECTOR('',#5852,1.E1); +#5854=CARTESIAN_POINT('',(2.25E0,9.1E0,-1.E1)); +#5855=LINE('',#5854,#5853); +#5856=CARTESIAN_POINT('',(1.75E0,9.1E0,-2.E1)); +#5857=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5858=DIRECTION('',(1.E0,0.E0,0.E0)); +#5859=AXIS2_PLACEMENT_3D('',#5856,#5857,#5858); +#5861=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5862=VECTOR('',#5861,1.E1); +#5863=CARTESIAN_POINT('',(-8.25E0,9.1E0,-1.E1)); +#5864=LINE('',#5863,#5862); +#5865=CARTESIAN_POINT('',(-8.75E0,9.1E0,-2.E1)); +#5866=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5867=DIRECTION('',(1.E0,0.E0,0.E0)); +#5868=AXIS2_PLACEMENT_3D('',#5865,#5866,#5867); +#5870=CARTESIAN_POINT('',(1.55E1,1.13E1,-5.E-1)); +#5871=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5872=DIRECTION('',(0.E0,0.E0,1.E0)); +#5873=AXIS2_PLACEMENT_3D('',#5870,#5871,#5872); +#5875=CARTESIAN_POINT('',(4.543744331763E0,1.13E1,0.E0)); +#5876=CARTESIAN_POINT('',(4.529190631240E0,1.146634955817E1,0.E0)); +#5877=CARTESIAN_POINT('',(4.511064071068E0,1.167353708901E1, +-1.259910193835E-1)); +#5878=CARTESIAN_POINT('',(4.5E0,1.18E1,-3.330150135381E-1)); +#5879=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.E-1)); +#5881=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5882=VECTOR('',#5881,1.099999999997E1); +#5883=CARTESIAN_POINT('',(1.549999999997E1,1.18E1,-5.E-1)); +#5884=LINE('',#5883,#5882); +#5885=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5886=VECTOR('',#5885,4.9E0); +#5887=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.E-1)); +#5888=LINE('',#5887,#5886); +#5889=DIRECTION('',(1.E0,0.E0,0.E0)); +#5890=VECTOR('',#5889,1.8E0); +#5891=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.4E0)); +#5892=LINE('',#5891,#5890); +#5893=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5894=VECTOR('',#5893,4.9E0); +#5895=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#5896=LINE('',#5895,#5894); +#5897=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5898=VECTOR('',#5897,5.4E0); +#5899=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#5900=LINE('',#5899,#5898); +#5901=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5902=VECTOR('',#5901,4.9E0); +#5903=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.E-1)); +#5904=LINE('',#5903,#5902); +#5905=DIRECTION('',(1.E0,0.E0,0.E0)); +#5906=VECTOR('',#5905,1.8E0); +#5907=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#5908=LINE('',#5907,#5906); +#5909=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5910=VECTOR('',#5909,4.9E0); +#5911=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#5912=LINE('',#5911,#5910); +#5913=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5914=VECTOR('',#5913,1.099999999996E1); +#5915=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#5916=LINE('',#5915,#5914); +#5917=DIRECTION('',(1.E0,0.E0,0.E0)); +#5918=VECTOR('',#5917,3.99E1); +#5919=CARTESIAN_POINT('',(-1.995E1,1.18E1,-2.E1)); +#5920=LINE('',#5919,#5918); +#5921=DIRECTION('',(0.E0,0.E0,1.E0)); +#5922=VECTOR('',#5921,7.5E-1); +#5923=CARTESIAN_POINT('',(1.995E1,1.18E1,-2.E1)); +#5924=LINE('',#5923,#5922); +#5925=DIRECTION('',(1.534677570210E-12,0.E0,-1.E0)); +#5926=VECTOR('',#5925,1.875E1); +#5927=CARTESIAN_POINT('',(1.549999999997E1,1.18E1,-5.E-1)); +#5928=LINE('',#5927,#5926); +#5929=DIRECTION('',(3.989075718142E-2,-4.559534409803E-1,8.891092099116E-1)); +#5930=VECTOR('',#5929,4.386412778682E0); +#5931=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-3.9E0)); +#5932=LINE('',#5931,#5930); +#5933=DIRECTION('',(-3.989075718141E-2,-4.559534409803E-1,8.891092099116E-1)); +#5934=VECTOR('',#5933,4.386412778682E0); +#5935=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-3.9E0)); +#5936=LINE('',#5935,#5934); +#5937=DIRECTION('',(1.E0,0.E0,0.E0)); +#5938=VECTOR('',#5937,1.450045345896E0); +#5939=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-3.9E0)); +#5940=LINE('',#5939,#5938); +#5941=DIRECTION('',(-3.989075718142E-2,-4.559534409803E-1,8.891092099116E-1)); +#5942=VECTOR('',#5941,4.386412778682E0); +#5943=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-3.9E0)); +#5944=LINE('',#5943,#5942); +#5945=DIRECTION('',(1.E0,0.E0,0.E0)); +#5946=VECTOR('',#5945,1.450045345896E0); +#5947=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-3.9E0)); +#5948=LINE('',#5947,#5946); +#5949=DIRECTION('',(3.989075718141E-2,-4.559534409803E-1,8.891092099116E-1)); +#5950=VECTOR('',#5949,4.386412778682E0); +#5951=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-3.9E0)); +#5952=LINE('',#5951,#5950); +#5953=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#5954=CARTESIAN_POINT('',(2.7E0,1.18E1,-3.330150135381E-1)); +#5955=CARTESIAN_POINT('',(2.688935928932E0,1.167353708901E1, +-1.259910193835E-1)); +#5956=CARTESIAN_POINT('',(2.670809368760E0,1.146634955817E1,0.E0)); +#5957=CARTESIAN_POINT('',(2.656255668237E0,1.13E1,0.E0)); +#5959=CARTESIAN_POINT('',(-2.656255668237E0,1.13E1,0.E0)); +#5960=CARTESIAN_POINT('',(-2.670809368760E0,1.146634955817E1,0.E0)); +#5961=CARTESIAN_POINT('',(-2.688935928932E0,1.167353708901E1, +-1.259910193835E-1)); +#5962=CARTESIAN_POINT('',(-2.7E0,1.18E1,-3.330150135381E-1)); +#5963=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.E-1)); +#5965=DIRECTION('',(0.E0,0.E0,1.E0)); +#5966=VECTOR('',#5965,1.5E0); +#5967=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-5.4E0)); +#5968=LINE('',#5967,#5966); +#5969=DIRECTION('',(0.E0,0.E0,1.E0)); +#5970=VECTOR('',#5969,1.5E0); +#5971=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-5.4E0)); +#5972=LINE('',#5971,#5970); +#5973=DIRECTION('',(1.E0,0.E0,0.E0)); +#5974=VECTOR('',#5973,1.450045345896E0); +#5975=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-5.4E0)); +#5976=LINE('',#5975,#5974); +#5977=DIRECTION('',(0.E0,0.E0,1.E0)); +#5978=VECTOR('',#5977,1.5E0); +#5979=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-5.4E0)); +#5980=LINE('',#5979,#5978); +#5981=DIRECTION('',(1.E0,0.E0,0.E0)); +#5982=VECTOR('',#5981,1.450045345896E0); +#5983=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-5.4E0)); +#5984=LINE('',#5983,#5982); +#5985=DIRECTION('',(0.E0,0.E0,1.E0)); +#5986=VECTOR('',#5985,1.5E0); +#5987=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-5.4E0)); +#5988=LINE('',#5987,#5986); +#5989=DIRECTION('',(8.715574274766E-2,-9.961946980917E-1,0.E0)); +#5990=VECTOR('',#5989,2.007639675087E0); +#5991=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-5.4E0)); +#5992=LINE('',#5991,#5990); +#5993=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#5994=VECTOR('',#5993,2.007639675087E0); +#5995=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.4E0)); +#5996=LINE('',#5995,#5994); +#5997=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#5998=VECTOR('',#5997,2.007639675087E0); +#5999=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#6000=LINE('',#5999,#5998); +#6001=DIRECTION('',(8.715574274766E-2,-9.961946980917E-1,0.E0)); +#6002=VECTOR('',#6001,2.007639675087E0); +#6003=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-5.4E0)); +#6004=LINE('',#6003,#6002); +#6005=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#6006=CARTESIAN_POINT('',(-4.5E0,1.18E1,-3.330150135381E-1)); +#6007=CARTESIAN_POINT('',(-4.511064071068E0,1.167353708901E1, +-1.259910193835E-1)); +#6008=CARTESIAN_POINT('',(-4.529190631240E0,1.146634955817E1,0.E0)); +#6009=CARTESIAN_POINT('',(-4.543744331763E0,1.13E1,0.E0)); +#6011=CARTESIAN_POINT('',(-1.55E1,1.13E1,-5.E-1)); +#6012=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6013=DIRECTION('',(0.E0,0.E0,1.E0)); +#6014=AXIS2_PLACEMENT_3D('',#6011,#6012,#6013); +#6016=CARTESIAN_POINT('',(-2.12E1,5.6E0,-5.E-1)); +#6017=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6018=DIRECTION('',(0.E0,0.E0,1.E0)); +#6019=AXIS2_PLACEMENT_3D('',#6016,#6017,#6018); +#6021=CARTESIAN_POINT('',(-2.12E1,-5.6E0,-5.E-1)); +#6022=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6023=DIRECTION('',(0.E0,0.E0,1.E0)); +#6024=AXIS2_PLACEMENT_3D('',#6021,#6022,#6023); +#6026=CARTESIAN_POINT('',(-1.55E1,-1.13E1,-5.E-1)); +#6027=DIRECTION('',(1.E0,0.E0,0.E0)); +#6028=DIRECTION('',(0.E0,0.E0,1.E0)); +#6029=AXIS2_PLACEMENT_3D('',#6026,#6027,#6028); +#6031=CARTESIAN_POINT('',(1.55E1,-1.13E1,-5.E-1)); +#6032=DIRECTION('',(1.E0,0.E0,0.E0)); +#6033=DIRECTION('',(0.E0,0.E0,1.E0)); +#6034=AXIS2_PLACEMENT_3D('',#6031,#6032,#6033); +#6036=CARTESIAN_POINT('',(2.12E1,-5.6E0,-5.E-1)); +#6037=DIRECTION('',(0.E0,1.E0,0.E0)); +#6038=DIRECTION('',(0.E0,0.E0,1.E0)); +#6039=AXIS2_PLACEMENT_3D('',#6036,#6037,#6038); +#6041=CARTESIAN_POINT('',(2.12E1,5.6E0,-5.E-1)); +#6042=DIRECTION('',(0.E0,1.E0,0.E0)); +#6043=DIRECTION('',(0.E0,0.E0,1.E0)); +#6044=AXIS2_PLACEMENT_3D('',#6041,#6042,#6043); +#6046=CARTESIAN_POINT('',(1.55E1,5.6E0,-5.E-1)); +#6047=DIRECTION('',(0.E0,0.E0,1.E0)); +#6048=DIRECTION('',(1.E0,0.E0,0.E0)); +#6049=AXIS2_PLACEMENT_3D('',#6046,#6047,#6048); +#6051=CARTESIAN_POINT('',(1.55E1,5.6E0,-1.925E1)); +#6052=DIRECTION('',(0.E0,0.E0,1.E0)); +#6053=DIRECTION('',(1.E0,0.E0,0.E0)); +#6054=AXIS2_PLACEMENT_3D('',#6051,#6052,#6053); +#6056=DIRECTION('',(0.E0,1.937650040418E-12,-1.E0)); +#6057=VECTOR('',#6056,1.875E1); +#6058=CARTESIAN_POINT('',(2.17E1,5.599999999964E0,-5.E-1)); +#6059=LINE('',#6058,#6057); +#6060=CARTESIAN_POINT('',(2.37E1,1.08E1,-1.925E1)); +#6061=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6062=DIRECTION('',(1.E0,0.E0,0.E0)); +#6063=AXIS2_PLACEMENT_3D('',#6060,#6061,#6062); +#6065=CARTESIAN_POINT('',(2.37E1,1.08E1,-1.925E1)); +#6066=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6067=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6068=AXIS2_PLACEMENT_3D('',#6065,#6066,#6067); +#6070=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-1.925E1)); +#6071=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6072=DIRECTION('',(1.E0,0.E0,0.E0)); +#6073=AXIS2_PLACEMENT_3D('',#6070,#6071,#6072); +#6075=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-1.925E1)); +#6076=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6077=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6078=AXIS2_PLACEMENT_3D('',#6075,#6076,#6077); +#6080=CARTESIAN_POINT('',(-2.37E1,1.08E1,-1.925E1)); +#6081=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6082=DIRECTION('',(1.E0,0.E0,0.E0)); +#6083=AXIS2_PLACEMENT_3D('',#6080,#6081,#6082); +#6085=CARTESIAN_POINT('',(-2.37E1,1.08E1,-1.925E1)); +#6086=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6087=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6088=AXIS2_PLACEMENT_3D('',#6085,#6086,#6087); +#6090=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-1.925E1)); +#6091=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6092=DIRECTION('',(1.E0,0.E0,0.E0)); +#6093=AXIS2_PLACEMENT_3D('',#6090,#6091,#6092); +#6095=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-1.925E1)); +#6096=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6097=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6098=AXIS2_PLACEMENT_3D('',#6095,#6096,#6097); +#6100=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6101=VECTOR('',#6100,1.069322089535E0); +#6102=CARTESIAN_POINT('',(-2.17E1,6.669322089535E0,-1.925E1)); +#6103=LINE('',#6102,#6101); +#6104=CARTESIAN_POINT('',(-2.27E1,6.669322089535E0,-1.925E1)); +#6105=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6106=DIRECTION('',(-2.352941176471E-1,9.719242142270E-1,0.E0)); +#6107=AXIS2_PLACEMENT_3D('',#6104,#6105,#6106); +#6109=CARTESIAN_POINT('',(-2.37E1,1.08E1,-1.925E1)); +#6110=DIRECTION('',(0.E0,0.E0,1.E0)); +#6111=DIRECTION('',(-7.216024245880E-1,6.923076923079E-1,0.E0)); +#6112=AXIS2_PLACEMENT_3D('',#6109,#6110,#6111); +#6114=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6115=VECTOR('',#6114,4.690415759822E0); +#6116=CARTESIAN_POINT('',(-2.135479212009E1,1.305E1,-1.925E1)); +#6117=LINE('',#6116,#6115); +#6118=CARTESIAN_POINT('',(-2.37E1,1.08E1,-1.925E1)); +#6119=DIRECTION('',(0.E0,0.E0,1.E0)); +#6120=DIRECTION('',(8.823529411764E-1,4.705882352943E-1,0.E0)); +#6121=AXIS2_PLACEMENT_3D('',#6118,#6119,#6120); +#6123=CARTESIAN_POINT('',(-1.995E1,1.28E1,-1.925E1)); +#6124=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6125=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6126=AXIS2_PLACEMENT_3D('',#6123,#6124,#6125); +#6128=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6129=VECTOR('',#6128,4.45E0); +#6130=CARTESIAN_POINT('',(-1.55E1,1.18E1,-1.925E1)); +#6131=LINE('',#6130,#6129); +#6132=DIRECTION('',(1.E0,0.E0,0.E0)); +#6133=VECTOR('',#6132,5.966703869234E0); +#6134=CARTESIAN_POINT('',(-2.52E1,-1.055E1,-1.925E1)); +#6135=LINE('',#6134,#6133); +#6136=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-1.925E1)); +#6137=DIRECTION('',(0.E0,0.E0,1.E0)); +#6138=DIRECTION('',(-6.769230769231E-1,-7.360537670096E-1,0.E0)); +#6139=AXIS2_PLACEMENT_3D('',#6136,#6137,#6138); +#6141=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6142=VECTOR('',#6141,4.784349485562E0); +#6143=CARTESIAN_POINT('',(-2.74E1,-4.907825257220E0,-1.925E1)); +#6144=LINE('',#6143,#6142); +#6145=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-1.925E1)); +#6146=DIRECTION('',(0.E0,0.E0,1.E0)); +#6147=DIRECTION('',(0.E0,1.E0,0.E0)); +#6148=AXIS2_PLACEMENT_3D('',#6145,#6146,#6147); +#6150=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6151=VECTOR('',#6150,2.5E0); +#6152=CARTESIAN_POINT('',(-2.27E1,-4.050000000001E0,-1.925E1)); +#6153=LINE('',#6152,#6151); +#6154=CARTESIAN_POINT('',(-2.27E1,-3.050000000001E0,-1.925E1)); +#6155=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6156=DIRECTION('',(1.E0,0.E0,0.E0)); +#6157=AXIS2_PLACEMENT_3D('',#6154,#6155,#6156); +#6159=CARTESIAN_POINT('',(2.27E1,-3.050000000001E0,-1.925E1)); +#6160=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6161=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6162=AXIS2_PLACEMENT_3D('',#6159,#6160,#6161); +#6164=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6165=VECTOR('',#6164,2.5E0); +#6166=CARTESIAN_POINT('',(2.52E1,-4.050000000001E0,-1.925E1)); +#6167=LINE('',#6166,#6165); +#6168=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-1.925E1)); +#6169=DIRECTION('',(0.E0,0.E0,1.E0)); +#6170=DIRECTION('',(6.769230769231E-1,7.360537670096E-1,0.E0)); +#6171=AXIS2_PLACEMENT_3D('',#6168,#6169,#6170); +#6173=DIRECTION('',(0.E0,1.E0,0.E0)); +#6174=VECTOR('',#6173,4.784349485562E0); +#6175=CARTESIAN_POINT('',(2.74E1,-9.692174742782E0,-1.925E1)); +#6176=LINE('',#6175,#6174); +#6177=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-1.925E1)); +#6178=DIRECTION('',(0.E0,0.E0,1.E0)); +#6179=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6180=AXIS2_PLACEMENT_3D('',#6177,#6178,#6179); +#6182=DIRECTION('',(1.E0,0.E0,0.E0)); +#6183=VECTOR('',#6182,5.966703869234E0); +#6184=CARTESIAN_POINT('',(1.923329613077E1,-1.055E1,-1.925E1)); +#6185=LINE('',#6184,#6183); +#6186=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6187=VECTOR('',#6186,4.45E0); +#6188=CARTESIAN_POINT('',(1.995E1,1.18E1,-1.925E1)); +#6189=LINE('',#6188,#6187); +#6190=CARTESIAN_POINT('',(1.995E1,1.28E1,-1.925E1)); +#6191=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6192=DIRECTION('',(8.823529411764E-1,-4.705882352943E-1,0.E0)); +#6193=AXIS2_PLACEMENT_3D('',#6190,#6191,#6192); +#6195=CARTESIAN_POINT('',(2.37E1,1.08E1,-1.925E1)); +#6196=DIRECTION('',(0.E0,0.E0,1.E0)); +#6197=DIRECTION('',(-7.216024245880E-1,6.923076923079E-1,0.E0)); +#6198=AXIS2_PLACEMENT_3D('',#6195,#6196,#6197); +#6200=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6201=VECTOR('',#6200,4.690415759822E0); +#6202=CARTESIAN_POINT('',(2.604520787991E1,1.305E1,-1.925E1)); +#6203=LINE('',#6202,#6201); +#6204=CARTESIAN_POINT('',(2.37E1,1.08E1,-1.925E1)); +#6205=DIRECTION('',(0.E0,0.E0,1.E0)); +#6206=DIRECTION('',(-2.352941176470E-1,-9.719242142270E-1,0.E0)); +#6207=AXIS2_PLACEMENT_3D('',#6204,#6205,#6206); +#6209=CARTESIAN_POINT('',(2.27E1,6.669322089535E0,-1.925E1)); +#6210=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6211=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6212=AXIS2_PLACEMENT_3D('',#6209,#6210,#6211); +#6214=DIRECTION('',(0.E0,1.E0,0.E0)); +#6215=VECTOR('',#6214,1.069322089535E0); +#6216=CARTESIAN_POINT('',(2.17E1,5.6E0,-1.925E1)); +#6217=LINE('',#6216,#6215); +#6218=DIRECTION('',(0.E0,0.E0,1.E0)); +#6219=VECTOR('',#6218,7.5E-1); +#6220=CARTESIAN_POINT('',(2.4785E1,1.08E1,-2.E1)); +#6221=LINE('',#6220,#6219); +#6222=CARTESIAN_POINT('',(-2.54E1,1.105E1,-2.E1)); +#6223=DIRECTION('',(0.E0,0.E0,1.E0)); +#6224=DIRECTION('',(0.E0,1.E0,0.E0)); +#6225=AXIS2_PLACEMENT_3D('',#6222,#6223,#6224); +#6227=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6228=VECTOR('',#6227,5.08E1); +#6229=CARTESIAN_POINT('',(2.54E1,1.605E1,-2.E1)); +#6230=LINE('',#6229,#6228); +#6231=CARTESIAN_POINT('',(2.54E1,1.105E1,-2.E1)); +#6232=DIRECTION('',(0.E0,0.E0,1.E0)); +#6233=DIRECTION('',(1.E0,0.E0,0.E0)); +#6234=AXIS2_PLACEMENT_3D('',#6231,#6232,#6233); +#6236=DIRECTION('',(0.E0,1.E0,0.E0)); +#6237=VECTOR('',#6236,2.21E1); +#6238=CARTESIAN_POINT('',(3.04E1,-1.105E1,-2.E1)); +#6239=LINE('',#6238,#6237); +#6240=CARTESIAN_POINT('',(2.54E1,-1.105E1,-2.E1)); +#6241=DIRECTION('',(0.E0,0.E0,1.E0)); +#6242=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6243=AXIS2_PLACEMENT_3D('',#6240,#6241,#6242); +#6245=DIRECTION('',(1.E0,0.E0,0.E0)); +#6246=VECTOR('',#6245,5.08E1); +#6247=CARTESIAN_POINT('',(-2.54E1,-1.605E1,-2.E1)); +#6248=LINE('',#6247,#6246); +#6249=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-2.E1)); +#6250=DIRECTION('',(0.E0,0.E0,1.E0)); +#6251=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6252=AXIS2_PLACEMENT_3D('',#6249,#6250,#6251); +#6254=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6255=VECTOR('',#6254,2.21E1); +#6256=CARTESIAN_POINT('',(-3.04E1,1.105E1,-2.E1)); +#6257=LINE('',#6256,#6255); +#6258=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.E1)); +#6259=DIRECTION('',(0.E0,0.E0,1.E0)); +#6260=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6261=AXIS2_PLACEMENT_3D('',#6258,#6259,#6260); +#6263=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.E1)); +#6264=DIRECTION('',(0.E0,0.E0,1.E0)); +#6265=DIRECTION('',(1.E0,0.E0,0.E0)); +#6266=AXIS2_PLACEMENT_3D('',#6263,#6264,#6265); +#6268=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-2.E1)); +#6269=DIRECTION('',(0.E0,0.E0,1.E0)); +#6270=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6271=AXIS2_PLACEMENT_3D('',#6268,#6269,#6270); +#6273=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-2.E1)); +#6274=DIRECTION('',(0.E0,0.E0,1.E0)); +#6275=DIRECTION('',(1.E0,0.E0,0.E0)); +#6276=AXIS2_PLACEMENT_3D('',#6273,#6274,#6275); +#6278=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.E1)); +#6279=DIRECTION('',(0.E0,0.E0,1.E0)); +#6280=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6281=AXIS2_PLACEMENT_3D('',#6278,#6279,#6280); +#6283=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.E1)); +#6284=DIRECTION('',(0.E0,0.E0,1.E0)); +#6285=DIRECTION('',(1.E0,0.E0,0.E0)); +#6286=AXIS2_PLACEMENT_3D('',#6283,#6284,#6285); +#6288=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-2.E1)); +#6289=DIRECTION('',(0.E0,0.E0,1.E0)); +#6290=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6291=AXIS2_PLACEMENT_3D('',#6288,#6289,#6290); +#6293=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-2.E1)); +#6294=DIRECTION('',(0.E0,0.E0,1.E0)); +#6295=DIRECTION('',(1.E0,0.E0,0.E0)); +#6296=AXIS2_PLACEMENT_3D('',#6293,#6294,#6295); +#6298=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.E1)); +#6299=DIRECTION('',(0.E0,0.E0,1.E0)); +#6300=DIRECTION('',(-2.352941176470E-1,-9.719242142270E-1,0.E0)); +#6301=AXIS2_PLACEMENT_3D('',#6298,#6299,#6300); +#6303=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6304=VECTOR('',#6303,4.690415759822E0); +#6305=CARTESIAN_POINT('',(2.604520787991E1,1.305E1,-2.E1)); +#6306=LINE('',#6305,#6304); +#6307=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.E1)); +#6308=DIRECTION('',(0.E0,0.E0,1.E0)); +#6309=DIRECTION('',(-7.216024245880E-1,6.923076923079E-1,0.E0)); +#6310=AXIS2_PLACEMENT_3D('',#6307,#6308,#6309); +#6312=CARTESIAN_POINT('',(1.995E1,1.28E1,-2.E1)); +#6313=DIRECTION('',(0.E0,0.E0,1.E0)); +#6314=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6315=AXIS2_PLACEMENT_3D('',#6312,#6313,#6314); +#6317=CARTESIAN_POINT('',(-1.995E1,1.28E1,-2.E1)); +#6318=DIRECTION('',(0.E0,0.E0,1.E0)); +#6319=DIRECTION('',(-8.823529411764E-1,-4.705882352943E-1,0.E0)); +#6320=AXIS2_PLACEMENT_3D('',#6317,#6318,#6319); +#6322=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.E1)); +#6323=DIRECTION('',(0.E0,0.E0,1.E0)); +#6324=DIRECTION('',(8.823529411764E-1,4.705882352943E-1,0.E0)); +#6325=AXIS2_PLACEMENT_3D('',#6322,#6323,#6324); +#6327=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6328=VECTOR('',#6327,4.690415759822E0); +#6329=CARTESIAN_POINT('',(-2.135479212009E1,1.305E1,-2.E1)); +#6330=LINE('',#6329,#6328); +#6331=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.E1)); +#6332=DIRECTION('',(0.E0,0.E0,1.E0)); +#6333=DIRECTION('',(-7.216024245880E-1,6.923076923079E-1,0.E0)); +#6334=AXIS2_PLACEMENT_3D('',#6331,#6332,#6333); +#6336=CARTESIAN_POINT('',(-2.27E1,6.669322089535E0,-2.E1)); +#6337=DIRECTION('',(0.E0,0.E0,1.E0)); +#6338=DIRECTION('',(1.E0,0.E0,0.E0)); +#6339=AXIS2_PLACEMENT_3D('',#6336,#6337,#6338); +#6341=CARTESIAN_POINT('',(-2.27E1,-3.050000000001E0,-2.E1)); +#6342=DIRECTION('',(0.E0,0.E0,1.E0)); +#6343=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6344=AXIS2_PLACEMENT_3D('',#6341,#6342,#6343); +#6346=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6347=VECTOR('',#6346,2.5E0); +#6348=CARTESIAN_POINT('',(-2.27E1,-4.050000000001E0,-2.E1)); +#6349=LINE('',#6348,#6347); +#6350=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-2.E1)); +#6351=DIRECTION('',(0.E0,0.E0,1.E0)); +#6352=DIRECTION('',(0.E0,1.E0,0.E0)); +#6353=AXIS2_PLACEMENT_3D('',#6350,#6351,#6352); +#6355=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6356=VECTOR('',#6355,4.784349485562E0); +#6357=CARTESIAN_POINT('',(-2.74E1,-4.907825257220E0,-2.E1)); +#6358=LINE('',#6357,#6356); +#6359=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-2.E1)); +#6360=DIRECTION('',(0.E0,0.E0,1.E0)); +#6361=DIRECTION('',(-6.769230769231E-1,-7.360537670096E-1,0.E0)); +#6362=AXIS2_PLACEMENT_3D('',#6359,#6360,#6361); +#6364=DIRECTION('',(1.E0,0.E0,0.E0)); +#6365=VECTOR('',#6364,5.966703869234E0); +#6366=CARTESIAN_POINT('',(-2.52E1,-1.055E1,-2.E1)); +#6367=LINE('',#6366,#6365); +#6368=DIRECTION('',(1.E0,0.E0,0.E0)); +#6369=VECTOR('',#6368,5.966703869234E0); +#6370=CARTESIAN_POINT('',(1.923329613077E1,-1.055E1,-2.E1)); +#6371=LINE('',#6370,#6369); +#6372=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-2.E1)); +#6373=DIRECTION('',(0.E0,0.E0,1.E0)); +#6374=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6375=AXIS2_PLACEMENT_3D('',#6372,#6373,#6374); +#6377=DIRECTION('',(0.E0,1.E0,0.E0)); +#6378=VECTOR('',#6377,4.784349485562E0); +#6379=CARTESIAN_POINT('',(2.74E1,-9.692174742782E0,-2.E1)); +#6380=LINE('',#6379,#6378); +#6381=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-2.E1)); +#6382=DIRECTION('',(0.E0,0.E0,1.E0)); +#6383=DIRECTION('',(6.769230769231E-1,7.360537670096E-1,0.E0)); +#6384=AXIS2_PLACEMENT_3D('',#6381,#6382,#6383); +#6386=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6387=VECTOR('',#6386,2.5E0); +#6388=CARTESIAN_POINT('',(2.52E1,-4.050000000001E0,-2.E1)); +#6389=LINE('',#6388,#6387); +#6390=CARTESIAN_POINT('',(2.27E1,-3.050000000001E0,-2.E1)); +#6391=DIRECTION('',(0.E0,0.E0,1.E0)); +#6392=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6393=AXIS2_PLACEMENT_3D('',#6390,#6391,#6392); +#6395=CARTESIAN_POINT('',(2.27E1,6.669322089535E0,-2.E1)); +#6396=DIRECTION('',(0.E0,0.E0,1.E0)); +#6397=DIRECTION('',(2.352941176471E-1,9.719242142270E-1,0.E0)); +#6398=AXIS2_PLACEMENT_3D('',#6395,#6396,#6397); +#6400=CARTESIAN_POINT('',(-2.54E1,1.105E1,-2.E1)); +#6401=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6402=DIRECTION('',(-1.E0,1.390192309096E-14,0.E0)); +#6403=AXIS2_PLACEMENT_3D('',#6400,#6401,#6402); +#6405=DIRECTION('',(1.E0,0.E0,0.E0)); +#6406=VECTOR('',#6405,5.08E1); +#6407=CARTESIAN_POINT('',(-2.54E1,1.335E1,-2.E1)); +#6408=LINE('',#6407,#6406); +#6409=CARTESIAN_POINT('',(2.54E1,1.105E1,-2.E1)); +#6410=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6411=DIRECTION('',(0.E0,1.E0,0.E0)); +#6412=AXIS2_PLACEMENT_3D('',#6409,#6410,#6411); +#6414=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6415=VECTOR('',#6414,2.21E1); +#6416=CARTESIAN_POINT('',(2.77E1,1.105E1,-2.E1)); +#6417=LINE('',#6416,#6415); +#6418=CARTESIAN_POINT('',(2.54E1,-1.105E1,-2.E1)); +#6419=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6420=DIRECTION('',(1.E0,-1.390192309096E-14,0.E0)); +#6421=AXIS2_PLACEMENT_3D('',#6418,#6419,#6420); +#6423=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6424=VECTOR('',#6423,5.08E1); +#6425=CARTESIAN_POINT('',(2.54E1,-1.335E1,-2.E1)); +#6426=LINE('',#6425,#6424); +#6427=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-2.E1)); +#6428=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6429=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6430=AXIS2_PLACEMENT_3D('',#6427,#6428,#6429); +#6432=DIRECTION('',(0.E0,1.E0,0.E0)); +#6433=VECTOR('',#6432,2.21E1); +#6434=CARTESIAN_POINT('',(-2.77E1,-1.105E1,-2.E1)); +#6435=LINE('',#6434,#6433); +#6436=CARTESIAN_POINT('',(-2.54E1,1.105E1,-2.E1)); +#6437=DIRECTION('',(0.E0,0.E0,1.E0)); +#6438=DIRECTION('',(0.E0,1.E0,0.E0)); +#6439=AXIS2_PLACEMENT_3D('',#6436,#6437,#6438); +#6441=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6442=VECTOR('',#6441,2.21E1); +#6443=CARTESIAN_POINT('',(-3.03E1,1.105E1,-2.E1)); +#6444=LINE('',#6443,#6442); +#6445=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-2.E1)); +#6446=DIRECTION('',(0.E0,0.E0,1.E0)); +#6447=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6448=AXIS2_PLACEMENT_3D('',#6445,#6446,#6447); +#6450=DIRECTION('',(1.E0,0.E0,0.E0)); +#6451=VECTOR('',#6450,5.08E1); +#6452=CARTESIAN_POINT('',(-2.54E1,-1.595E1,-2.E1)); +#6453=LINE('',#6452,#6451); +#6454=CARTESIAN_POINT('',(2.54E1,-1.105E1,-2.E1)); +#6455=DIRECTION('',(0.E0,0.E0,1.E0)); +#6456=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6457=AXIS2_PLACEMENT_3D('',#6454,#6455,#6456); +#6459=DIRECTION('',(0.E0,1.E0,0.E0)); +#6460=VECTOR('',#6459,2.21E1); +#6461=CARTESIAN_POINT('',(3.03E1,-1.105E1,-2.E1)); +#6462=LINE('',#6461,#6460); +#6463=CARTESIAN_POINT('',(2.54E1,1.105E1,-2.E1)); +#6464=DIRECTION('',(0.E0,0.E0,1.E0)); +#6465=DIRECTION('',(1.E0,0.E0,0.E0)); +#6466=AXIS2_PLACEMENT_3D('',#6463,#6464,#6465); +#6468=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6469=VECTOR('',#6468,5.08E1); +#6470=CARTESIAN_POINT('',(2.54E1,1.595E1,-2.E1)); +#6471=LINE('',#6470,#6469); +#6472=CARTESIAN_POINT('',(-2.54E1,1.105E1,-2.15E1)); +#6473=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6474=DIRECTION('',(-9.854440623394E-1,1.699999999999E-1,0.E0)); +#6475=AXIS2_PLACEMENT_3D('',#6472,#6473,#6474); +#6477=DIRECTION('',(2.141836337917E-10,0.E0,-1.E0)); +#6478=VECTOR('',#6477,1.5E0); +#6479=CARTESIAN_POINT('',(-2.54E1,1.605E1,-2.E1)); +#6480=LINE('',#6479,#6478); +#6481=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6482=VECTOR('',#6481,8.5E0); +#6483=CARTESIAN_POINT('',(-3.04E1,1.105E1,-2.E1)); +#6484=LINE('',#6483,#6482); +#6485=CARTESIAN_POINT('',(-3.032722031170E1,1.19E1,-2.15E1)); +#6486=CARTESIAN_POINT('',(-3.032722031170E1,1.19E1,-2.155341247313E1)); +#6487=CARTESIAN_POINT('',(-3.031092134132E1,1.19E1,-2.165479505251E1)); +#6488=CARTESIAN_POINT('',(-3.023751122442E1,1.19E1,-2.179828426320E1)); +#6489=CARTESIAN_POINT('',(-3.012477798751E1,1.19E1,-2.190998468213E1)); +#6490=CARTESIAN_POINT('',(-2.997842869176E1,1.19E1,-2.198348798573E1)); +#6491=CARTESIAN_POINT('',(-2.987413265707E1,1.19E1,-2.2E1)); +#6492=CARTESIAN_POINT('',(-2.981899309798E1,1.19E1,-2.2E1)); +#6494=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6495=VECTOR('',#6494,1.4E1); +#6496=CARTESIAN_POINT('',(-1.67E1,7.E0,-2.2E1)); +#6497=LINE('',#6496,#6495); +#6498=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6499=VECTOR('',#6498,3.34E1); +#6500=CARTESIAN_POINT('',(1.67E1,7.E0,-2.2E1)); +#6501=LINE('',#6500,#6499); +#6502=DIRECTION('',(0.E0,1.E0,0.E0)); +#6503=VECTOR('',#6502,1.4E1); +#6504=CARTESIAN_POINT('',(1.67E1,-7.E0,-2.2E1)); +#6505=LINE('',#6504,#6503); +#6506=DIRECTION('',(1.E0,0.E0,0.E0)); +#6507=VECTOR('',#6506,3.34E1); +#6508=CARTESIAN_POINT('',(-1.67E1,-7.E0,-2.2E1)); +#6509=LINE('',#6508,#6507); +#6510=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6511=VECTOR('',#6510,1.59E1); +#6512=CARTESIAN_POINT('',(2.99E1,9.699999999999E0,-2.2E1)); +#6513=LINE('',#6512,#6511); +#6514=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6515=VECTOR('',#6514,2.795591093890E0); +#6516=CARTESIAN_POINT('',(2.99E1,9.699999999999E0,-2.2E1)); +#6517=LINE('',#6516,#6515); +#6518=CARTESIAN_POINT('',(2.710440890611E1,8.699999999999E0,-2.2E1)); +#6519=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6520=DIRECTION('',(-8.511022265275E-1,5.25E-1,0.E0)); +#6521=AXIS2_PLACEMENT_3D('',#6518,#6519,#6520); +#6523=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.2E1)); +#6524=DIRECTION('',(0.E0,0.E0,1.E0)); +#6525=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6526=AXIS2_PLACEMENT_3D('',#6523,#6524,#6525); +#6528=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.2E1)); +#6529=DIRECTION('',(0.E0,0.E0,1.E0)); +#6530=DIRECTION('',(8.511022265275E-1,5.25E-1,0.E0)); +#6531=AXIS2_PLACEMENT_3D('',#6528,#6529,#6530); +#6533=CARTESIAN_POINT('',(2.710440890611E1,1.29E1,-2.2E1)); +#6534=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6535=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6536=AXIS2_PLACEMENT_3D('',#6533,#6534,#6535); +#6538=DIRECTION('',(1.E0,0.E0,0.E0)); +#6539=VECTOR('',#6538,2.714584191871E0); +#6540=CARTESIAN_POINT('',(2.710440890611E1,1.19E1,-2.2E1)); +#6541=LINE('',#6540,#6539); +#6542=CARTESIAN_POINT('',(2.54E1,1.105E1,-2.2E1)); +#6543=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6544=DIRECTION('',(0.E0,1.E0,0.E0)); +#6545=AXIS2_PLACEMENT_3D('',#6542,#6543,#6544); +#6547=DIRECTION('',(1.E0,0.E0,0.E0)); +#6548=VECTOR('',#6547,5.08E1); +#6549=CARTESIAN_POINT('',(-2.54E1,1.555E1,-2.2E1)); +#6550=LINE('',#6549,#6548); +#6551=CARTESIAN_POINT('',(-2.54E1,1.105E1,-2.2E1)); +#6552=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6553=DIRECTION('',(-9.819984662179E-1,1.888888888887E-1,0.E0)); +#6554=AXIS2_PLACEMENT_3D('',#6551,#6552,#6553); +#6556=DIRECTION('',(1.E0,0.E0,0.E0)); +#6557=VECTOR('',#6556,2.714584191871E0); +#6558=CARTESIAN_POINT('',(-2.981899309798E1,1.19E1,-2.2E1)); +#6559=LINE('',#6558,#6557); +#6560=CARTESIAN_POINT('',(-2.710440890611E1,1.29E1,-2.2E1)); +#6561=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6562=DIRECTION('',(8.511022265275E-1,-5.25E-1,0.E0)); +#6563=AXIS2_PLACEMENT_3D('',#6560,#6561,#6562); +#6565=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.2E1)); +#6566=DIRECTION('',(0.E0,0.E0,1.E0)); +#6567=DIRECTION('',(1.E0,0.E0,0.E0)); +#6568=AXIS2_PLACEMENT_3D('',#6565,#6566,#6567); +#6570=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.2E1)); +#6571=DIRECTION('',(0.E0,0.E0,1.E0)); +#6572=DIRECTION('',(-8.511022265275E-1,-5.25E-1,0.E0)); +#6573=AXIS2_PLACEMENT_3D('',#6570,#6571,#6572); +#6575=CARTESIAN_POINT('',(-2.710440890611E1,8.699999999999E0,-2.2E1)); +#6576=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6577=DIRECTION('',(0.E0,1.E0,0.E0)); +#6578=AXIS2_PLACEMENT_3D('',#6575,#6576,#6577); +#6580=DIRECTION('',(1.E0,0.E0,0.E0)); +#6581=VECTOR('',#6580,2.795591093890E0); +#6582=CARTESIAN_POINT('',(-2.99E1,9.699999999999E0,-2.2E1)); +#6583=LINE('',#6582,#6581); +#6584=DIRECTION('',(0.E0,1.E0,0.E0)); +#6585=VECTOR('',#6584,1.59E1); +#6586=CARTESIAN_POINT('',(-2.99E1,-6.200000000001E0,-2.2E1)); +#6587=LINE('',#6586,#6585); +#6588=DIRECTION('',(1.E0,0.E0,0.E0)); +#6589=VECTOR('',#6588,1.295591093890E0); +#6590=CARTESIAN_POINT('',(-2.99E1,-6.200000000001E0,-2.2E1)); +#6591=LINE('',#6590,#6589); +#6592=CARTESIAN_POINT('',(-2.860440890611E1,-5.200000000001E0,-2.2E1)); +#6593=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6594=DIRECTION('',(8.511022265275E-1,-5.25E-1,0.E0)); +#6595=AXIS2_PLACEMENT_3D('',#6592,#6593,#6594); +#6597=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-2.2E1)); +#6598=DIRECTION('',(0.E0,0.E0,1.E0)); +#6599=DIRECTION('',(1.E0,0.E0,0.E0)); +#6600=AXIS2_PLACEMENT_3D('',#6597,#6598,#6599); +#6602=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-2.2E1)); +#6603=DIRECTION('',(0.E0,0.E0,1.E0)); +#6604=DIRECTION('',(-8.511022265274E-1,-5.25E-1,0.E0)); +#6605=AXIS2_PLACEMENT_3D('',#6602,#6603,#6604); +#6607=CARTESIAN_POINT('',(-2.860440890611E1,-9.400000000001E0,-2.2E1)); +#6608=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6609=DIRECTION('',(0.E0,1.E0,0.E0)); +#6610=AXIS2_PLACEMENT_3D('',#6607,#6608,#6609); +#6612=DIRECTION('',(1.E0,0.E0,0.E0)); +#6613=VECTOR('',#6612,1.295591093890E0); +#6614=CARTESIAN_POINT('',(-2.99E1,-8.400000000001E0,-2.2E1)); +#6615=LINE('',#6614,#6613); +#6616=DIRECTION('',(0.E0,1.E0,0.E0)); +#6617=VECTOR('',#6616,2.649999999999E0); +#6618=CARTESIAN_POINT('',(-2.99E1,-1.105E1,-2.2E1)); +#6619=LINE('',#6618,#6617); +#6620=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-2.2E1)); +#6621=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6622=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6623=AXIS2_PLACEMENT_3D('',#6620,#6621,#6622); +#6625=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6626=VECTOR('',#6625,5.08E1); +#6627=CARTESIAN_POINT('',(2.54E1,-1.555E1,-2.2E1)); +#6628=LINE('',#6627,#6626); +#6629=CARTESIAN_POINT('',(2.54E1,-1.105E1,-2.2E1)); +#6630=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6631=DIRECTION('',(1.E0,0.E0,0.E0)); +#6632=AXIS2_PLACEMENT_3D('',#6629,#6630,#6631); +#6634=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6635=VECTOR('',#6634,2.649999999999E0); +#6636=CARTESIAN_POINT('',(2.99E1,-8.400000000001E0,-2.2E1)); +#6637=LINE('',#6636,#6635); +#6638=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6639=VECTOR('',#6638,1.295591093890E0); +#6640=CARTESIAN_POINT('',(2.99E1,-8.400000000001E0,-2.2E1)); +#6641=LINE('',#6640,#6639); +#6642=CARTESIAN_POINT('',(2.860440890611E1,-9.400000000001E0,-2.2E1)); +#6643=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6644=DIRECTION('',(-8.511022265275E-1,5.25E-1,0.E0)); +#6645=AXIS2_PLACEMENT_3D('',#6642,#6643,#6644); +#6647=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-2.2E1)); +#6648=DIRECTION('',(0.E0,0.E0,1.E0)); +#6649=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6650=AXIS2_PLACEMENT_3D('',#6647,#6648,#6649); +#6652=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-2.2E1)); +#6653=DIRECTION('',(0.E0,0.E0,1.E0)); +#6654=DIRECTION('',(8.511022265275E-1,5.25E-1,0.E0)); +#6655=AXIS2_PLACEMENT_3D('',#6652,#6653,#6654); +#6657=CARTESIAN_POINT('',(2.860440890611E1,-5.200000000001E0,-2.2E1)); +#6658=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6659=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6660=AXIS2_PLACEMENT_3D('',#6657,#6658,#6659); +#6662=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6663=VECTOR('',#6662,1.295591093890E0); +#6664=CARTESIAN_POINT('',(2.99E1,-6.200000000001E0,-2.2E1)); +#6665=LINE('',#6664,#6663); +#6666=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6667=VECTOR('',#6666,3.E0); +#6668=CARTESIAN_POINT('',(-1.67E1,-5.E-1,-2.3E1)); +#6669=LINE('',#6668,#6667); +#6670=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6671=VECTOR('',#6670,3.E0); +#6672=CARTESIAN_POINT('',(-1.67E1,3.500000000001E0,-2.3E1)); +#6673=LINE('',#6672,#6671); +#6674=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6675=VECTOR('',#6674,1.E0); +#6676=CARTESIAN_POINT('',(-1.67E1,7.E0,-2.2E1)); +#6677=LINE('',#6676,#6675); +#6678=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6679=VECTOR('',#6678,3.E0); +#6680=CARTESIAN_POINT('',(-1.67E1,-4.500000000001E0,-2.3E1)); +#6681=LINE('',#6680,#6679); +#6682=CARTESIAN_POINT('',(2.E0,4.000000000001E0,-2.3E1)); +#6683=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6684=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6685=AXIS2_PLACEMENT_3D('',#6682,#6683,#6684); +#6687=DIRECTION('',(1.E0,0.E0,0.E0)); +#6688=VECTOR('',#6687,1.171572875254E0); +#6689=CARTESIAN_POINT('',(3.414213562373E0,4.500000000001E0,-2.3E1)); +#6690=LINE('',#6689,#6688); +#6691=CARTESIAN_POINT('',(6.E0,4.000000000001E0,-2.3E1)); +#6692=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6693=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6694=AXIS2_PLACEMENT_3D('',#6691,#6692,#6693); +#6696=DIRECTION('',(1.E0,0.E0,0.E0)); +#6697=VECTOR('',#6696,1.171572875254E0); +#6698=CARTESIAN_POINT('',(7.414213562373E0,4.500000000001E0,-2.3E1)); +#6699=LINE('',#6698,#6697); +#6700=CARTESIAN_POINT('',(1.E1,4.000000000001E0,-2.3E1)); +#6701=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6702=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6703=AXIS2_PLACEMENT_3D('',#6700,#6701,#6702); +#6705=DIRECTION('',(1.E0,0.E0,0.E0)); +#6706=VECTOR('',#6705,1.171572875254E0); +#6707=CARTESIAN_POINT('',(1.141421356237E1,4.500000000001E0,-2.3E1)); +#6708=LINE('',#6707,#6706); +#6709=CARTESIAN_POINT('',(1.4E1,4.000000000001E0,-2.3E1)); +#6710=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6711=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6712=AXIS2_PLACEMENT_3D('',#6709,#6710,#6711); +#6714=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6715=VECTOR('',#6714,1.285786437627E0); +#6716=CARTESIAN_POINT('',(1.67E1,4.500000000001E0,-2.3E1)); +#6717=LINE('',#6716,#6715); +#6718=DIRECTION('',(0.E0,1.E0,0.E0)); +#6719=VECTOR('',#6718,2.499999999999E0); +#6720=CARTESIAN_POINT('',(1.67E1,4.500000000001E0,-2.3E1)); +#6721=LINE('',#6720,#6719); +#6722=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6723=VECTOR('',#6722,3.34E1); +#6724=CARTESIAN_POINT('',(1.67E1,7.E0,-2.3E1)); +#6725=LINE('',#6724,#6723); +#6726=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6727=VECTOR('',#6726,2.499999999999E0); +#6728=CARTESIAN_POINT('',(-1.67E1,7.E0,-2.3E1)); +#6729=LINE('',#6728,#6727); +#6730=DIRECTION('',(1.E0,0.E0,0.E0)); +#6731=VECTOR('',#6730,1.285786437627E0); +#6732=CARTESIAN_POINT('',(-1.67E1,4.500000000001E0,-2.3E1)); +#6733=LINE('',#6732,#6731); +#6734=CARTESIAN_POINT('',(-1.4E1,4.000000000001E0,-2.3E1)); +#6735=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6736=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6737=AXIS2_PLACEMENT_3D('',#6734,#6735,#6736); +#6739=DIRECTION('',(1.E0,0.E0,0.E0)); +#6740=VECTOR('',#6739,1.171572875254E0); +#6741=CARTESIAN_POINT('',(-1.258578643763E1,4.500000000001E0,-2.3E1)); +#6742=LINE('',#6741,#6740); +#6743=CARTESIAN_POINT('',(-1.E1,4.000000000001E0,-2.3E1)); +#6744=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6745=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6746=AXIS2_PLACEMENT_3D('',#6743,#6744,#6745); +#6748=DIRECTION('',(1.E0,0.E0,0.E0)); +#6749=VECTOR('',#6748,1.171572875254E0); +#6750=CARTESIAN_POINT('',(-8.585786437627E0,4.500000000001E0,-2.3E1)); +#6751=LINE('',#6750,#6749); +#6752=CARTESIAN_POINT('',(-6.E0,4.000000000001E0,-2.3E1)); +#6753=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6754=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6755=AXIS2_PLACEMENT_3D('',#6752,#6753,#6754); +#6757=DIRECTION('',(1.E0,0.E0,0.E0)); +#6758=VECTOR('',#6757,1.171572875254E0); +#6759=CARTESIAN_POINT('',(-4.585786437627E0,4.500000000001E0,-2.3E1)); +#6760=LINE('',#6759,#6758); +#6761=CARTESIAN_POINT('',(-2.E0,4.000000000001E0,-2.3E1)); +#6762=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6763=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6764=AXIS2_PLACEMENT_3D('',#6761,#6762,#6763); +#6766=DIRECTION('',(1.E0,0.E0,0.E0)); +#6767=VECTOR('',#6766,1.171572875254E0); +#6768=CARTESIAN_POINT('',(-5.857864376269E-1,4.500000000001E0,-2.3E1)); +#6769=LINE('',#6768,#6767); +#6770=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6771=VECTOR('',#6770,1.285786437627E0); +#6772=CARTESIAN_POINT('',(1.67E1,3.500000000001E0,-2.3E1)); +#6773=LINE('',#6772,#6771); +#6774=CARTESIAN_POINT('',(1.4E1,4.000000000001E0,-2.3E1)); +#6775=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6776=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6777=AXIS2_PLACEMENT_3D('',#6774,#6775,#6776); +#6779=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6780=VECTOR('',#6779,1.171572875254E0); +#6781=CARTESIAN_POINT('',(1.258578643763E1,3.500000000001E0,-2.3E1)); +#6782=LINE('',#6781,#6780); +#6783=CARTESIAN_POINT('',(1.E1,4.000000000001E0,-2.3E1)); +#6784=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6785=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6786=AXIS2_PLACEMENT_3D('',#6783,#6784,#6785); +#6788=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6789=VECTOR('',#6788,1.171572875254E0); +#6790=CARTESIAN_POINT('',(8.585786437627E0,3.500000000001E0,-2.3E1)); +#6791=LINE('',#6790,#6789); +#6792=CARTESIAN_POINT('',(6.E0,4.000000000001E0,-2.3E1)); +#6793=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6794=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6795=AXIS2_PLACEMENT_3D('',#6792,#6793,#6794); +#6797=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6798=VECTOR('',#6797,1.171572875254E0); +#6799=CARTESIAN_POINT('',(4.585786437627E0,3.500000000001E0,-2.3E1)); +#6800=LINE('',#6799,#6798); +#6801=CARTESIAN_POINT('',(2.E0,4.000000000001E0,-2.3E1)); +#6802=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6803=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6804=AXIS2_PLACEMENT_3D('',#6801,#6802,#6803); +#6806=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6807=VECTOR('',#6806,1.171572875254E0); +#6808=CARTESIAN_POINT('',(5.857864376269E-1,3.500000000001E0,-2.3E1)); +#6809=LINE('',#6808,#6807); +#6810=CARTESIAN_POINT('',(-2.E0,4.000000000001E0,-2.3E1)); +#6811=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6812=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6813=AXIS2_PLACEMENT_3D('',#6810,#6811,#6812); +#6815=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6816=VECTOR('',#6815,1.171572875254E0); +#6817=CARTESIAN_POINT('',(-3.414213562373E0,3.500000000001E0,-2.3E1)); +#6818=LINE('',#6817,#6816); +#6819=CARTESIAN_POINT('',(-6.E0,4.000000000001E0,-2.3E1)); +#6820=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6821=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6822=AXIS2_PLACEMENT_3D('',#6819,#6820,#6821); +#6824=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6825=VECTOR('',#6824,1.171572875254E0); +#6826=CARTESIAN_POINT('',(-7.414213562373E0,3.500000000001E0,-2.3E1)); +#6827=LINE('',#6826,#6825); +#6828=CARTESIAN_POINT('',(-1.E1,4.000000000001E0,-2.3E1)); +#6829=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6830=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6831=AXIS2_PLACEMENT_3D('',#6828,#6829,#6830); +#6833=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6834=VECTOR('',#6833,1.171572875254E0); +#6835=CARTESIAN_POINT('',(-1.141421356237E1,3.500000000001E0,-2.3E1)); +#6836=LINE('',#6835,#6834); +#6837=CARTESIAN_POINT('',(-1.4E1,4.000000000001E0,-2.3E1)); +#6838=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6839=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6840=AXIS2_PLACEMENT_3D('',#6837,#6838,#6839); +#6842=DIRECTION('',(1.E0,0.E0,0.E0)); +#6843=VECTOR('',#6842,1.285786437627E0); +#6844=CARTESIAN_POINT('',(-1.67E1,3.500000000001E0,-2.3E1)); +#6845=LINE('',#6844,#6843); +#6846=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6847=VECTOR('',#6846,3.000000000001E0); +#6848=CARTESIAN_POINT('',(-1.67E1,3.500000000001E0,-2.3E1)); +#6849=LINE('',#6848,#6847); +#6850=DIRECTION('',(1.E0,0.E0,0.E0)); +#6851=VECTOR('',#6850,3.285786437627E0); +#6852=CARTESIAN_POINT('',(-1.67E1,5.E-1,-2.3E1)); +#6853=LINE('',#6852,#6851); +#6854=CARTESIAN_POINT('',(-1.2E1,1.077689183250E-14,-2.3E1)); +#6855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6856=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6857=AXIS2_PLACEMENT_3D('',#6854,#6855,#6856); +#6859=DIRECTION('',(1.E0,0.E0,0.E0)); +#6860=VECTOR('',#6859,1.171572875254E0); +#6861=CARTESIAN_POINT('',(-1.058578643763E1,5.E-1,-2.3E1)); +#6862=LINE('',#6861,#6860); +#6863=CARTESIAN_POINT('',(-8.E0,1.126675055216E-14,-2.3E1)); +#6864=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6865=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6866=AXIS2_PLACEMENT_3D('',#6863,#6864,#6865); +#6868=DIRECTION('',(1.E0,0.E0,0.E0)); +#6869=VECTOR('',#6868,1.171572875254E0); +#6870=CARTESIAN_POINT('',(-6.585786437627E0,5.E-1,-2.3E1)); +#6871=LINE('',#6870,#6869); +#6872=CARTESIAN_POINT('',(-4.E0,1.175660927181E-14,-2.3E1)); +#6873=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6874=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6875=AXIS2_PLACEMENT_3D('',#6872,#6873,#6874); +#6877=DIRECTION('',(1.E0,0.E0,0.E0)); +#6878=VECTOR('',#6877,1.171572875254E0); +#6879=CARTESIAN_POINT('',(-2.585786437627E0,5.E-1,-2.3E1)); +#6880=LINE('',#6879,#6878); +#6881=CARTESIAN_POINT('',(0.E0,1.224646799147E-14,-2.3E1)); +#6882=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6883=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6884=AXIS2_PLACEMENT_3D('',#6881,#6882,#6883); +#6886=DIRECTION('',(1.E0,0.E0,0.E0)); +#6887=VECTOR('',#6886,1.171572875254E0); +#6888=CARTESIAN_POINT('',(1.414213562373E0,5.E-1,-2.3E1)); +#6889=LINE('',#6888,#6887); +#6890=CARTESIAN_POINT('',(4.E0,1.175660927181E-14,-2.3E1)); +#6891=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6892=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6893=AXIS2_PLACEMENT_3D('',#6890,#6891,#6892); +#6895=DIRECTION('',(1.E0,0.E0,0.E0)); +#6896=VECTOR('',#6895,1.171572875254E0); +#6897=CARTESIAN_POINT('',(5.414213562373E0,5.E-1,-2.3E1)); +#6898=LINE('',#6897,#6896); +#6899=CARTESIAN_POINT('',(8.E0,1.126675055216E-14,-2.3E1)); +#6900=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6901=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6902=AXIS2_PLACEMENT_3D('',#6899,#6900,#6901); +#6904=DIRECTION('',(1.E0,0.E0,0.E0)); +#6905=VECTOR('',#6904,1.171572875254E0); +#6906=CARTESIAN_POINT('',(9.414213562373E0,5.E-1,-2.3E1)); +#6907=LINE('',#6906,#6905); +#6908=CARTESIAN_POINT('',(1.2E1,1.077689183250E-14,-2.3E1)); +#6909=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6910=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6911=AXIS2_PLACEMENT_3D('',#6908,#6909,#6910); +#6913=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6914=VECTOR('',#6913,3.285786437627E0); +#6915=CARTESIAN_POINT('',(1.67E1,5.E-1,-2.3E1)); +#6916=LINE('',#6915,#6914); +#6917=DIRECTION('',(0.E0,1.E0,0.E0)); +#6918=VECTOR('',#6917,3.000000000001E0); +#6919=CARTESIAN_POINT('',(1.67E1,5.E-1,-2.3E1)); +#6920=LINE('',#6919,#6918); +#6921=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6922=VECTOR('',#6921,3.285786437627E0); +#6923=CARTESIAN_POINT('',(1.67E1,-5.E-1,-2.3E1)); +#6924=LINE('',#6923,#6922); +#6925=CARTESIAN_POINT('',(1.2E1,1.077689183250E-14,-2.3E1)); +#6926=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6927=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6928=AXIS2_PLACEMENT_3D('',#6925,#6926,#6927); +#6930=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6931=VECTOR('',#6930,1.171572875254E0); +#6932=CARTESIAN_POINT('',(1.058578643763E1,-5.E-1,-2.3E1)); +#6933=LINE('',#6932,#6931); +#6934=CARTESIAN_POINT('',(8.E0,1.126675055216E-14,-2.3E1)); +#6935=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6936=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6937=AXIS2_PLACEMENT_3D('',#6934,#6935,#6936); +#6939=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6940=VECTOR('',#6939,1.171572875254E0); +#6941=CARTESIAN_POINT('',(6.585786437627E0,-5.E-1,-2.3E1)); +#6942=LINE('',#6941,#6940); +#6943=CARTESIAN_POINT('',(4.E0,1.175660927181E-14,-2.3E1)); +#6944=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6945=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6946=AXIS2_PLACEMENT_3D('',#6943,#6944,#6945); +#6948=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6949=VECTOR('',#6948,1.171572875254E0); +#6950=CARTESIAN_POINT('',(2.585786437627E0,-5.E-1,-2.3E1)); +#6951=LINE('',#6950,#6949); +#6952=CARTESIAN_POINT('',(0.E0,1.224646799147E-14,-2.3E1)); +#6953=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6954=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6955=AXIS2_PLACEMENT_3D('',#6952,#6953,#6954); +#6957=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6958=VECTOR('',#6957,1.171572875254E0); +#6959=CARTESIAN_POINT('',(-1.414213562373E0,-5.E-1,-2.3E1)); +#6960=LINE('',#6959,#6958); +#6961=CARTESIAN_POINT('',(-4.E0,1.175660927181E-14,-2.3E1)); +#6962=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6963=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6964=AXIS2_PLACEMENT_3D('',#6961,#6962,#6963); +#6966=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6967=VECTOR('',#6966,1.171572875254E0); +#6968=CARTESIAN_POINT('',(-5.414213562373E0,-5.E-1,-2.3E1)); +#6969=LINE('',#6968,#6967); +#6970=CARTESIAN_POINT('',(-8.E0,1.126675055216E-14,-2.3E1)); +#6971=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6972=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6973=AXIS2_PLACEMENT_3D('',#6970,#6971,#6972); +#6975=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6976=VECTOR('',#6975,1.171572875254E0); +#6977=CARTESIAN_POINT('',(-9.414213562373E0,-5.E-1,-2.3E1)); +#6978=LINE('',#6977,#6976); +#6979=CARTESIAN_POINT('',(-1.2E1,1.077689183250E-14,-2.3E1)); +#6980=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6981=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6982=AXIS2_PLACEMENT_3D('',#6979,#6980,#6981); +#6984=DIRECTION('',(1.E0,0.E0,0.E0)); +#6985=VECTOR('',#6984,3.285786437627E0); +#6986=CARTESIAN_POINT('',(-1.67E1,-5.E-1,-2.3E1)); +#6987=LINE('',#6986,#6985); +#6988=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6989=VECTOR('',#6988,3.000000000001E0); +#6990=CARTESIAN_POINT('',(-1.67E1,-5.E-1,-2.3E1)); +#6991=LINE('',#6990,#6989); +#6992=DIRECTION('',(1.E0,0.E0,0.E0)); +#6993=VECTOR('',#6992,1.285786437627E0); +#6994=CARTESIAN_POINT('',(-1.67E1,-3.500000000001E0,-2.3E1)); +#6995=LINE('',#6994,#6993); +#6996=CARTESIAN_POINT('',(-1.4E1,-4.000000000001E0,-2.3E1)); +#6997=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6998=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6999=AXIS2_PLACEMENT_3D('',#6996,#6997,#6998); +#7001=DIRECTION('',(1.E0,0.E0,0.E0)); +#7002=VECTOR('',#7001,1.171572875254E0); +#7003=CARTESIAN_POINT('',(-1.258578643763E1,-3.500000000001E0,-2.3E1)); +#7004=LINE('',#7003,#7002); +#7005=CARTESIAN_POINT('',(-1.E1,-4.000000000001E0,-2.3E1)); +#7006=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7007=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7008=AXIS2_PLACEMENT_3D('',#7005,#7006,#7007); +#7010=DIRECTION('',(1.E0,0.E0,0.E0)); +#7011=VECTOR('',#7010,1.171572875254E0); +#7012=CARTESIAN_POINT('',(-8.585786437627E0,-3.500000000001E0,-2.3E1)); +#7013=LINE('',#7012,#7011); +#7014=CARTESIAN_POINT('',(-6.E0,-4.000000000001E0,-2.3E1)); +#7015=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7016=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7017=AXIS2_PLACEMENT_3D('',#7014,#7015,#7016); +#7019=DIRECTION('',(1.E0,0.E0,0.E0)); +#7020=VECTOR('',#7019,1.171572875254E0); +#7021=CARTESIAN_POINT('',(-4.585786437627E0,-3.500000000001E0,-2.3E1)); +#7022=LINE('',#7021,#7020); +#7023=CARTESIAN_POINT('',(-2.E0,-4.000000000001E0,-2.3E1)); +#7024=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7025=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7026=AXIS2_PLACEMENT_3D('',#7023,#7024,#7025); +#7028=DIRECTION('',(1.E0,0.E0,0.E0)); +#7029=VECTOR('',#7028,1.171572875254E0); +#7030=CARTESIAN_POINT('',(-5.857864376269E-1,-3.500000000001E0,-2.3E1)); +#7031=LINE('',#7030,#7029); +#7032=CARTESIAN_POINT('',(2.E0,-4.000000000001E0,-2.3E1)); +#7033=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7034=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7035=AXIS2_PLACEMENT_3D('',#7032,#7033,#7034); +#7037=DIRECTION('',(1.E0,0.E0,0.E0)); +#7038=VECTOR('',#7037,1.171572875254E0); +#7039=CARTESIAN_POINT('',(3.414213562373E0,-3.500000000001E0,-2.3E1)); +#7040=LINE('',#7039,#7038); +#7041=CARTESIAN_POINT('',(6.E0,-4.000000000001E0,-2.3E1)); +#7042=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7043=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7044=AXIS2_PLACEMENT_3D('',#7041,#7042,#7043); +#7046=DIRECTION('',(1.E0,0.E0,0.E0)); +#7047=VECTOR('',#7046,1.171572875254E0); +#7048=CARTESIAN_POINT('',(7.414213562373E0,-3.500000000001E0,-2.3E1)); +#7049=LINE('',#7048,#7047); +#7050=CARTESIAN_POINT('',(1.E1,-4.000000000001E0,-2.3E1)); +#7051=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7052=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7053=AXIS2_PLACEMENT_3D('',#7050,#7051,#7052); +#7055=DIRECTION('',(1.E0,0.E0,0.E0)); +#7056=VECTOR('',#7055,1.171572875254E0); +#7057=CARTESIAN_POINT('',(1.141421356237E1,-3.500000000001E0,-2.3E1)); +#7058=LINE('',#7057,#7056); +#7059=CARTESIAN_POINT('',(1.4E1,-4.000000000001E0,-2.3E1)); +#7060=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7061=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7062=AXIS2_PLACEMENT_3D('',#7059,#7060,#7061); +#7064=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7065=VECTOR('',#7064,1.285786437627E0); +#7066=CARTESIAN_POINT('',(1.67E1,-3.500000000001E0,-2.3E1)); +#7067=LINE('',#7066,#7065); +#7068=DIRECTION('',(0.E0,1.E0,0.E0)); +#7069=VECTOR('',#7068,3.000000000001E0); +#7070=CARTESIAN_POINT('',(1.67E1,-3.500000000001E0,-2.3E1)); +#7071=LINE('',#7070,#7069); +#7072=DIRECTION('',(0.E0,1.E0,0.E0)); +#7073=VECTOR('',#7072,2.499999999999E0); +#7074=CARTESIAN_POINT('',(1.67E1,-7.E0,-2.3E1)); +#7075=LINE('',#7074,#7073); +#7076=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7077=VECTOR('',#7076,1.285786437627E0); +#7078=CARTESIAN_POINT('',(1.67E1,-4.500000000001E0,-2.3E1)); +#7079=LINE('',#7078,#7077); +#7080=CARTESIAN_POINT('',(1.4E1,-4.000000000001E0,-2.3E1)); +#7081=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7082=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7083=AXIS2_PLACEMENT_3D('',#7080,#7081,#7082); +#7085=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7086=VECTOR('',#7085,1.171572875254E0); +#7087=CARTESIAN_POINT('',(1.258578643763E1,-4.500000000001E0,-2.3E1)); +#7088=LINE('',#7087,#7086); +#7089=CARTESIAN_POINT('',(1.E1,-4.000000000001E0,-2.3E1)); +#7090=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7091=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7092=AXIS2_PLACEMENT_3D('',#7089,#7090,#7091); +#7094=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7095=VECTOR('',#7094,1.171572875254E0); +#7096=CARTESIAN_POINT('',(8.585786437627E0,-4.500000000001E0,-2.3E1)); +#7097=LINE('',#7096,#7095); +#7098=CARTESIAN_POINT('',(6.E0,-4.000000000001E0,-2.3E1)); +#7099=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7100=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7101=AXIS2_PLACEMENT_3D('',#7098,#7099,#7100); +#7103=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7104=VECTOR('',#7103,1.171572875254E0); +#7105=CARTESIAN_POINT('',(4.585786437627E0,-4.500000000001E0,-2.3E1)); +#7106=LINE('',#7105,#7104); +#7107=CARTESIAN_POINT('',(2.E0,-4.000000000001E0,-2.3E1)); +#7108=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7109=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7110=AXIS2_PLACEMENT_3D('',#7107,#7108,#7109); +#7112=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7113=VECTOR('',#7112,1.171572875254E0); +#7114=CARTESIAN_POINT('',(5.857864376269E-1,-4.500000000001E0,-2.3E1)); +#7115=LINE('',#7114,#7113); +#7116=CARTESIAN_POINT('',(-2.E0,-4.000000000001E0,-2.3E1)); +#7117=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7118=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7119=AXIS2_PLACEMENT_3D('',#7116,#7117,#7118); +#7121=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7122=VECTOR('',#7121,1.171572875254E0); +#7123=CARTESIAN_POINT('',(-3.414213562373E0,-4.500000000001E0,-2.3E1)); +#7124=LINE('',#7123,#7122); +#7125=CARTESIAN_POINT('',(-6.E0,-4.000000000001E0,-2.3E1)); +#7126=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7127=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7128=AXIS2_PLACEMENT_3D('',#7125,#7126,#7127); +#7130=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7131=VECTOR('',#7130,1.171572875254E0); +#7132=CARTESIAN_POINT('',(-7.414213562373E0,-4.500000000001E0,-2.3E1)); +#7133=LINE('',#7132,#7131); +#7134=CARTESIAN_POINT('',(-1.E1,-4.000000000001E0,-2.3E1)); +#7135=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7136=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7137=AXIS2_PLACEMENT_3D('',#7134,#7135,#7136); +#7139=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7140=VECTOR('',#7139,1.171572875254E0); +#7141=CARTESIAN_POINT('',(-1.141421356237E1,-4.500000000001E0,-2.3E1)); +#7142=LINE('',#7141,#7140); +#7143=CARTESIAN_POINT('',(-1.4E1,-4.000000000001E0,-2.3E1)); +#7144=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7145=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7146=AXIS2_PLACEMENT_3D('',#7143,#7144,#7145); +#7148=DIRECTION('',(1.E0,0.E0,0.E0)); +#7149=VECTOR('',#7148,1.285786437627E0); +#7150=CARTESIAN_POINT('',(-1.67E1,-4.500000000001E0,-2.3E1)); +#7151=LINE('',#7150,#7149); +#7152=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7153=VECTOR('',#7152,2.499999999999E0); +#7154=CARTESIAN_POINT('',(-1.67E1,-4.500000000001E0,-2.3E1)); +#7155=LINE('',#7154,#7153); +#7156=DIRECTION('',(1.E0,0.E0,0.E0)); +#7157=VECTOR('',#7156,3.34E1); +#7158=CARTESIAN_POINT('',(-1.67E1,-7.E0,-2.3E1)); +#7159=LINE('',#7158,#7157); +#7160=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7161=VECTOR('',#7160,3.E0); +#7162=CARTESIAN_POINT('',(5.857864376269E-1,4.500000000001E0,-2.3E1)); +#7163=LINE('',#7162,#7161); +#7164=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7165=VECTOR('',#7164,3.E0); +#7166=CARTESIAN_POINT('',(-5.857864376269E-1,4.500000000001E0,-2.3E1)); +#7167=LINE('',#7166,#7165); +#7168=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7169=VECTOR('',#7168,3.E0); +#7170=CARTESIAN_POINT('',(-3.414213562373E0,4.500000000001E0,-2.3E1)); +#7171=LINE('',#7170,#7169); +#7172=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7173=VECTOR('',#7172,3.E0); +#7174=CARTESIAN_POINT('',(-4.585786437627E0,4.500000000001E0,-2.3E1)); +#7175=LINE('',#7174,#7173); +#7176=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7177=VECTOR('',#7176,3.E0); +#7178=CARTESIAN_POINT('',(-7.414213562373E0,4.500000000001E0,-2.3E1)); +#7179=LINE('',#7178,#7177); +#7180=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7181=VECTOR('',#7180,3.E0); +#7182=CARTESIAN_POINT('',(-8.585786437627E0,4.500000000001E0,-2.3E1)); +#7183=LINE('',#7182,#7181); +#7184=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7185=VECTOR('',#7184,3.E0); +#7186=CARTESIAN_POINT('',(-1.141421356237E1,4.500000000001E0,-2.3E1)); +#7187=LINE('',#7186,#7185); +#7188=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7189=VECTOR('',#7188,3.E0); +#7190=CARTESIAN_POINT('',(-1.258578643763E1,4.500000000001E0,-2.3E1)); +#7191=LINE('',#7190,#7189); +#7192=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7193=VECTOR('',#7192,3.E0); +#7194=CARTESIAN_POINT('',(-1.541421356237E1,4.500000000001E0,-2.3E1)); +#7195=LINE('',#7194,#7193); +#7196=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7197=VECTOR('',#7196,3.E0); +#7198=CARTESIAN_POINT('',(-1.67E1,4.500000000001E0,-2.3E1)); +#7199=LINE('',#7198,#7197); +#7200=CARTESIAN_POINT('',(2.E0,4.000000000001E0,-2.6E1)); +#7201=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7202=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7203=AXIS2_PLACEMENT_3D('',#7200,#7201,#7202); +#7205=DIRECTION('',(1.E0,0.E0,0.E0)); +#7206=VECTOR('',#7205,1.171572875254E0); +#7207=CARTESIAN_POINT('',(-5.857864376269E-1,4.500000000001E0,-2.6E1)); +#7208=LINE('',#7207,#7206); +#7209=CARTESIAN_POINT('',(-2.E0,4.000000000001E0,-2.6E1)); +#7210=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7211=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7212=AXIS2_PLACEMENT_3D('',#7209,#7210,#7211); +#7214=DIRECTION('',(1.E0,0.E0,0.E0)); +#7215=VECTOR('',#7214,1.171572875254E0); +#7216=CARTESIAN_POINT('',(-4.585786437627E0,4.500000000001E0,-2.6E1)); +#7217=LINE('',#7216,#7215); +#7218=CARTESIAN_POINT('',(-6.E0,4.000000000001E0,-2.6E1)); +#7219=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7220=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7221=AXIS2_PLACEMENT_3D('',#7218,#7219,#7220); +#7223=DIRECTION('',(1.E0,0.E0,0.E0)); +#7224=VECTOR('',#7223,1.171572875254E0); +#7225=CARTESIAN_POINT('',(-8.585786437627E0,4.500000000001E0,-2.6E1)); +#7226=LINE('',#7225,#7224); +#7227=CARTESIAN_POINT('',(-1.E1,4.000000000001E0,-2.6E1)); +#7228=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7229=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7230=AXIS2_PLACEMENT_3D('',#7227,#7228,#7229); +#7232=DIRECTION('',(1.E0,0.E0,0.E0)); +#7233=VECTOR('',#7232,1.171572875254E0); +#7234=CARTESIAN_POINT('',(-1.258578643763E1,4.500000000001E0,-2.6E1)); +#7235=LINE('',#7234,#7233); +#7236=CARTESIAN_POINT('',(-1.4E1,4.000000000001E0,-2.6E1)); +#7237=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7238=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7239=AXIS2_PLACEMENT_3D('',#7236,#7237,#7238); +#7241=DIRECTION('',(1.E0,0.E0,0.E0)); +#7242=VECTOR('',#7241,1.285786437627E0); +#7243=CARTESIAN_POINT('',(-1.67E1,4.500000000001E0,-2.6E1)); +#7244=LINE('',#7243,#7242); +#7245=DIRECTION('',(0.E0,1.E0,0.E0)); +#7246=VECTOR('',#7245,1.E0); +#7247=CARTESIAN_POINT('',(-1.67E1,3.500000000001E0,-2.6E1)); +#7248=LINE('',#7247,#7246); +#7249=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7250=VECTOR('',#7249,1.285786437627E0); +#7251=CARTESIAN_POINT('',(-1.541421356237E1,3.500000000001E0,-2.6E1)); +#7252=LINE('',#7251,#7250); +#7253=CARTESIAN_POINT('',(-1.4E1,4.000000000001E0,-2.6E1)); +#7254=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7255=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7256=AXIS2_PLACEMENT_3D('',#7253,#7254,#7255); +#7258=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7259=VECTOR('',#7258,1.171572875254E0); +#7260=CARTESIAN_POINT('',(-1.141421356237E1,3.500000000001E0,-2.6E1)); +#7261=LINE('',#7260,#7259); +#7262=CARTESIAN_POINT('',(-1.E1,4.000000000001E0,-2.6E1)); +#7263=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7264=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7265=AXIS2_PLACEMENT_3D('',#7262,#7263,#7264); +#7267=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7268=VECTOR('',#7267,1.171572875254E0); +#7269=CARTESIAN_POINT('',(-7.414213562373E0,3.500000000001E0,-2.6E1)); +#7270=LINE('',#7269,#7268); +#7271=CARTESIAN_POINT('',(-6.E0,4.000000000001E0,-2.6E1)); +#7272=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7273=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7274=AXIS2_PLACEMENT_3D('',#7271,#7272,#7273); +#7276=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7277=VECTOR('',#7276,1.171572875254E0); +#7278=CARTESIAN_POINT('',(-3.414213562373E0,3.500000000001E0,-2.6E1)); +#7279=LINE('',#7278,#7277); +#7280=CARTESIAN_POINT('',(-2.E0,4.000000000001E0,-2.6E1)); +#7281=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7282=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7283=AXIS2_PLACEMENT_3D('',#7280,#7281,#7282); +#7285=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7286=VECTOR('',#7285,1.171572875254E0); +#7287=CARTESIAN_POINT('',(5.857864376269E-1,3.500000000001E0,-2.6E1)); +#7288=LINE('',#7287,#7286); +#7289=CARTESIAN_POINT('',(2.E0,4.000000000001E0,-2.6E1)); +#7290=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7291=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7292=AXIS2_PLACEMENT_3D('',#7289,#7290,#7291); +#7294=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7295=VECTOR('',#7294,1.171572875254E0); +#7296=CARTESIAN_POINT('',(4.585786437627E0,3.500000000001E0,-2.6E1)); +#7297=LINE('',#7296,#7295); +#7298=CARTESIAN_POINT('',(6.E0,4.000000000001E0,-2.6E1)); +#7299=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7300=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7301=AXIS2_PLACEMENT_3D('',#7298,#7299,#7300); +#7303=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7304=VECTOR('',#7303,1.171572875254E0); +#7305=CARTESIAN_POINT('',(8.585786437627E0,3.500000000001E0,-2.6E1)); +#7306=LINE('',#7305,#7304); +#7307=CARTESIAN_POINT('',(1.E1,4.000000000001E0,-2.6E1)); +#7308=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7309=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7310=AXIS2_PLACEMENT_3D('',#7307,#7308,#7309); +#7312=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7313=VECTOR('',#7312,1.171572875254E0); +#7314=CARTESIAN_POINT('',(1.258578643763E1,3.500000000001E0,-2.6E1)); +#7315=LINE('',#7314,#7313); +#7316=CARTESIAN_POINT('',(1.4E1,4.000000000001E0,-2.6E1)); +#7317=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7318=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7319=AXIS2_PLACEMENT_3D('',#7316,#7317,#7318); +#7321=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7322=VECTOR('',#7321,1.285786437627E0); +#7323=CARTESIAN_POINT('',(1.67E1,3.500000000001E0,-2.6E1)); +#7324=LINE('',#7323,#7322); +#7325=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7326=VECTOR('',#7325,1.E0); +#7327=CARTESIAN_POINT('',(1.67E1,4.500000000001E0,-2.6E1)); +#7328=LINE('',#7327,#7326); +#7329=DIRECTION('',(1.E0,0.E0,0.E0)); +#7330=VECTOR('',#7329,1.285786437627E0); +#7331=CARTESIAN_POINT('',(1.541421356237E1,4.500000000001E0,-2.6E1)); +#7332=LINE('',#7331,#7330); +#7333=CARTESIAN_POINT('',(1.4E1,4.000000000001E0,-2.6E1)); +#7334=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7335=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7336=AXIS2_PLACEMENT_3D('',#7333,#7334,#7335); +#7338=DIRECTION('',(1.E0,0.E0,0.E0)); +#7339=VECTOR('',#7338,1.171572875254E0); +#7340=CARTESIAN_POINT('',(1.141421356237E1,4.500000000001E0,-2.6E1)); +#7341=LINE('',#7340,#7339); +#7342=CARTESIAN_POINT('',(1.E1,4.000000000001E0,-2.6E1)); +#7343=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7344=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7345=AXIS2_PLACEMENT_3D('',#7342,#7343,#7344); +#7347=DIRECTION('',(1.E0,0.E0,0.E0)); +#7348=VECTOR('',#7347,1.171572875254E0); +#7349=CARTESIAN_POINT('',(7.414213562373E0,4.500000000001E0,-2.6E1)); +#7350=LINE('',#7349,#7348); +#7351=CARTESIAN_POINT('',(6.E0,4.000000000001E0,-2.6E1)); +#7352=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7353=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7354=AXIS2_PLACEMENT_3D('',#7351,#7352,#7353); +#7356=DIRECTION('',(1.E0,0.E0,0.E0)); +#7357=VECTOR('',#7356,1.171572875254E0); +#7358=CARTESIAN_POINT('',(3.414213562373E0,4.500000000001E0,-2.6E1)); +#7359=LINE('',#7358,#7357); +#7360=CARTESIAN_POINT('',(0.E0,1.224646799147E-14,-2.6E1)); +#7361=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7362=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7363=AXIS2_PLACEMENT_3D('',#7360,#7361,#7362); +#7365=DIRECTION('',(1.E0,0.E0,0.E0)); +#7366=VECTOR('',#7365,1.171572875254E0); +#7367=CARTESIAN_POINT('',(-2.585786437627E0,5.E-1,-2.6E1)); +#7368=LINE('',#7367,#7366); +#7369=CARTESIAN_POINT('',(-4.E0,1.175660927181E-14,-2.6E1)); +#7370=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7371=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7372=AXIS2_PLACEMENT_3D('',#7369,#7370,#7371); +#7374=DIRECTION('',(1.E0,0.E0,0.E0)); +#7375=VECTOR('',#7374,1.171572875254E0); +#7376=CARTESIAN_POINT('',(-6.585786437627E0,5.E-1,-2.6E1)); +#7377=LINE('',#7376,#7375); +#7378=CARTESIAN_POINT('',(-8.E0,1.126675055216E-14,-2.6E1)); +#7379=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7380=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7381=AXIS2_PLACEMENT_3D('',#7378,#7379,#7380); +#7383=DIRECTION('',(1.E0,0.E0,0.E0)); +#7384=VECTOR('',#7383,1.171572875254E0); +#7385=CARTESIAN_POINT('',(-1.058578643763E1,5.E-1,-2.6E1)); +#7386=LINE('',#7385,#7384); +#7387=CARTESIAN_POINT('',(-1.2E1,1.077689183250E-14,-2.6E1)); +#7388=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7389=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7390=AXIS2_PLACEMENT_3D('',#7387,#7388,#7389); +#7392=DIRECTION('',(1.E0,0.E0,0.E0)); +#7393=VECTOR('',#7392,3.285786437627E0); +#7394=CARTESIAN_POINT('',(-1.67E1,5.E-1,-2.6E1)); +#7395=LINE('',#7394,#7393); +#7396=DIRECTION('',(0.E0,1.E0,0.E0)); +#7397=VECTOR('',#7396,1.E0); +#7398=CARTESIAN_POINT('',(-1.67E1,-5.E-1,-2.6E1)); +#7399=LINE('',#7398,#7397); +#7400=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7401=VECTOR('',#7400,3.285786437627E0); +#7402=CARTESIAN_POINT('',(-1.341421356237E1,-5.E-1,-2.6E1)); +#7403=LINE('',#7402,#7401); +#7404=CARTESIAN_POINT('',(-1.2E1,1.077689183250E-14,-2.6E1)); +#7405=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7406=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7407=AXIS2_PLACEMENT_3D('',#7404,#7405,#7406); +#7409=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7410=VECTOR('',#7409,1.171572875254E0); +#7411=CARTESIAN_POINT('',(-9.414213562373E0,-5.E-1,-2.6E1)); +#7412=LINE('',#7411,#7410); +#7413=CARTESIAN_POINT('',(-8.E0,1.126675055216E-14,-2.6E1)); +#7414=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7415=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7416=AXIS2_PLACEMENT_3D('',#7413,#7414,#7415); +#7418=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7419=VECTOR('',#7418,1.171572875254E0); +#7420=CARTESIAN_POINT('',(-5.414213562373E0,-5.E-1,-2.6E1)); +#7421=LINE('',#7420,#7419); +#7422=CARTESIAN_POINT('',(-4.E0,1.175660927181E-14,-2.6E1)); +#7423=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7424=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7425=AXIS2_PLACEMENT_3D('',#7422,#7423,#7424); +#7427=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7428=VECTOR('',#7427,1.171572875254E0); +#7429=CARTESIAN_POINT('',(-1.414213562373E0,-5.E-1,-2.6E1)); +#7430=LINE('',#7429,#7428); +#7431=CARTESIAN_POINT('',(0.E0,1.224646799147E-14,-2.6E1)); +#7432=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7433=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7434=AXIS2_PLACEMENT_3D('',#7431,#7432,#7433); +#7436=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7437=VECTOR('',#7436,1.171572875254E0); +#7438=CARTESIAN_POINT('',(2.585786437627E0,-5.E-1,-2.6E1)); +#7439=LINE('',#7438,#7437); +#7440=CARTESIAN_POINT('',(4.E0,1.175660927181E-14,-2.6E1)); +#7441=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7442=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7443=AXIS2_PLACEMENT_3D('',#7440,#7441,#7442); +#7445=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7446=VECTOR('',#7445,1.171572875254E0); +#7447=CARTESIAN_POINT('',(6.585786437627E0,-5.E-1,-2.6E1)); +#7448=LINE('',#7447,#7446); +#7449=CARTESIAN_POINT('',(8.E0,1.126675055216E-14,-2.6E1)); +#7450=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7451=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7452=AXIS2_PLACEMENT_3D('',#7449,#7450,#7451); +#7454=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7455=VECTOR('',#7454,1.171572875254E0); +#7456=CARTESIAN_POINT('',(1.058578643763E1,-5.E-1,-2.6E1)); +#7457=LINE('',#7456,#7455); +#7458=CARTESIAN_POINT('',(1.2E1,1.077689183250E-14,-2.6E1)); +#7459=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7460=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7461=AXIS2_PLACEMENT_3D('',#7458,#7459,#7460); +#7463=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7464=VECTOR('',#7463,3.285786437627E0); +#7465=CARTESIAN_POINT('',(1.67E1,-5.E-1,-2.6E1)); +#7466=LINE('',#7465,#7464); +#7467=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7468=VECTOR('',#7467,1.E0); +#7469=CARTESIAN_POINT('',(1.67E1,5.E-1,-2.6E1)); +#7470=LINE('',#7469,#7468); +#7471=DIRECTION('',(1.E0,0.E0,0.E0)); +#7472=VECTOR('',#7471,3.285786437627E0); +#7473=CARTESIAN_POINT('',(1.341421356237E1,5.E-1,-2.6E1)); +#7474=LINE('',#7473,#7472); +#7475=CARTESIAN_POINT('',(1.2E1,1.077689183250E-14,-2.6E1)); +#7476=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7477=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7478=AXIS2_PLACEMENT_3D('',#7475,#7476,#7477); +#7480=DIRECTION('',(1.E0,0.E0,0.E0)); +#7481=VECTOR('',#7480,1.171572875254E0); +#7482=CARTESIAN_POINT('',(9.414213562373E0,5.E-1,-2.6E1)); +#7483=LINE('',#7482,#7481); +#7484=CARTESIAN_POINT('',(8.E0,1.126675055216E-14,-2.6E1)); +#7485=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7486=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7487=AXIS2_PLACEMENT_3D('',#7484,#7485,#7486); +#7489=DIRECTION('',(1.E0,0.E0,0.E0)); +#7490=VECTOR('',#7489,1.171572875254E0); +#7491=CARTESIAN_POINT('',(5.414213562373E0,5.E-1,-2.6E1)); +#7492=LINE('',#7491,#7490); +#7493=CARTESIAN_POINT('',(4.E0,1.175660927181E-14,-2.6E1)); +#7494=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7495=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7496=AXIS2_PLACEMENT_3D('',#7493,#7494,#7495); +#7498=DIRECTION('',(1.E0,0.E0,0.E0)); +#7499=VECTOR('',#7498,1.171572875254E0); +#7500=CARTESIAN_POINT('',(1.414213562373E0,5.E-1,-2.6E1)); +#7501=LINE('',#7500,#7499); +#7502=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7503=VECTOR('',#7502,1.171572875254E0); +#7504=CARTESIAN_POINT('',(5.857864376269E-1,-4.500000000001E0,-2.6E1)); +#7505=LINE('',#7504,#7503); +#7506=CARTESIAN_POINT('',(2.E0,-4.000000000001E0,-2.6E1)); +#7507=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7508=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7509=AXIS2_PLACEMENT_3D('',#7506,#7507,#7508); +#7511=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7512=VECTOR('',#7511,1.171572875254E0); +#7513=CARTESIAN_POINT('',(4.585786437627E0,-4.500000000001E0,-2.6E1)); +#7514=LINE('',#7513,#7512); +#7515=CARTESIAN_POINT('',(6.E0,-4.000000000001E0,-2.6E1)); +#7516=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7517=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7518=AXIS2_PLACEMENT_3D('',#7515,#7516,#7517); +#7520=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7521=VECTOR('',#7520,1.171572875254E0); +#7522=CARTESIAN_POINT('',(8.585786437627E0,-4.500000000001E0,-2.6E1)); +#7523=LINE('',#7522,#7521); +#7524=CARTESIAN_POINT('',(1.E1,-4.000000000001E0,-2.6E1)); +#7525=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7526=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7527=AXIS2_PLACEMENT_3D('',#7524,#7525,#7526); +#7529=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7530=VECTOR('',#7529,1.171572875254E0); +#7531=CARTESIAN_POINT('',(1.258578643763E1,-4.500000000001E0,-2.6E1)); +#7532=LINE('',#7531,#7530); +#7533=CARTESIAN_POINT('',(1.4E1,-4.000000000001E0,-2.6E1)); +#7534=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7535=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7536=AXIS2_PLACEMENT_3D('',#7533,#7534,#7535); +#7538=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7539=VECTOR('',#7538,1.285786437627E0); +#7540=CARTESIAN_POINT('',(1.67E1,-4.500000000001E0,-2.6E1)); +#7541=LINE('',#7540,#7539); +#7542=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7543=VECTOR('',#7542,1.E0); +#7544=CARTESIAN_POINT('',(1.67E1,-3.500000000001E0,-2.6E1)); +#7545=LINE('',#7544,#7543); +#7546=DIRECTION('',(1.E0,0.E0,0.E0)); +#7547=VECTOR('',#7546,1.285786437627E0); +#7548=CARTESIAN_POINT('',(1.541421356237E1,-3.500000000001E0,-2.6E1)); +#7549=LINE('',#7548,#7547); +#7550=CARTESIAN_POINT('',(1.4E1,-4.000000000001E0,-2.6E1)); +#7551=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7552=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7553=AXIS2_PLACEMENT_3D('',#7550,#7551,#7552); +#7555=DIRECTION('',(1.E0,0.E0,0.E0)); +#7556=VECTOR('',#7555,1.171572875254E0); +#7557=CARTESIAN_POINT('',(1.141421356237E1,-3.500000000001E0,-2.6E1)); +#7558=LINE('',#7557,#7556); +#7559=CARTESIAN_POINT('',(1.E1,-4.000000000001E0,-2.6E1)); +#7560=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7561=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7562=AXIS2_PLACEMENT_3D('',#7559,#7560,#7561); +#7564=DIRECTION('',(1.E0,0.E0,0.E0)); +#7565=VECTOR('',#7564,1.171572875254E0); +#7566=CARTESIAN_POINT('',(7.414213562373E0,-3.500000000001E0,-2.6E1)); +#7567=LINE('',#7566,#7565); +#7568=CARTESIAN_POINT('',(6.E0,-4.000000000001E0,-2.6E1)); +#7569=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7570=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7571=AXIS2_PLACEMENT_3D('',#7568,#7569,#7570); +#7573=DIRECTION('',(1.E0,0.E0,0.E0)); +#7574=VECTOR('',#7573,1.171572875254E0); +#7575=CARTESIAN_POINT('',(3.414213562373E0,-3.500000000001E0,-2.6E1)); +#7576=LINE('',#7575,#7574); +#7577=CARTESIAN_POINT('',(2.E0,-4.000000000001E0,-2.6E1)); +#7578=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7579=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7580=AXIS2_PLACEMENT_3D('',#7577,#7578,#7579); +#7582=DIRECTION('',(1.E0,0.E0,0.E0)); +#7583=VECTOR('',#7582,1.171572875254E0); +#7584=CARTESIAN_POINT('',(-5.857864376269E-1,-3.500000000001E0,-2.6E1)); +#7585=LINE('',#7584,#7583); +#7586=CARTESIAN_POINT('',(-2.E0,-4.000000000001E0,-2.6E1)); +#7587=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7588=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7589=AXIS2_PLACEMENT_3D('',#7586,#7587,#7588); +#7591=DIRECTION('',(1.E0,0.E0,0.E0)); +#7592=VECTOR('',#7591,1.171572875254E0); +#7593=CARTESIAN_POINT('',(-4.585786437627E0,-3.500000000001E0,-2.6E1)); +#7594=LINE('',#7593,#7592); +#7595=CARTESIAN_POINT('',(-6.E0,-4.000000000001E0,-2.6E1)); +#7596=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7597=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7598=AXIS2_PLACEMENT_3D('',#7595,#7596,#7597); +#7600=DIRECTION('',(1.E0,0.E0,0.E0)); +#7601=VECTOR('',#7600,1.171572875254E0); +#7602=CARTESIAN_POINT('',(-8.585786437627E0,-3.500000000001E0,-2.6E1)); +#7603=LINE('',#7602,#7601); +#7604=CARTESIAN_POINT('',(-1.E1,-4.000000000001E0,-2.6E1)); +#7605=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7606=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7607=AXIS2_PLACEMENT_3D('',#7604,#7605,#7606); +#7609=DIRECTION('',(1.E0,0.E0,0.E0)); +#7610=VECTOR('',#7609,1.171572875254E0); +#7611=CARTESIAN_POINT('',(-1.258578643763E1,-3.500000000001E0,-2.6E1)); +#7612=LINE('',#7611,#7610); +#7613=CARTESIAN_POINT('',(-1.4E1,-4.000000000001E0,-2.6E1)); +#7614=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7615=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#7616=AXIS2_PLACEMENT_3D('',#7613,#7614,#7615); +#7618=DIRECTION('',(1.E0,0.E0,0.E0)); +#7619=VECTOR('',#7618,1.285786437627E0); +#7620=CARTESIAN_POINT('',(-1.67E1,-3.500000000001E0,-2.6E1)); +#7621=LINE('',#7620,#7619); +#7622=DIRECTION('',(0.E0,1.E0,0.E0)); +#7623=VECTOR('',#7622,1.E0); +#7624=CARTESIAN_POINT('',(-1.67E1,-4.500000000001E0,-2.6E1)); +#7625=LINE('',#7624,#7623); +#7626=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7627=VECTOR('',#7626,1.285786437627E0); +#7628=CARTESIAN_POINT('',(-1.541421356237E1,-4.500000000001E0,-2.6E1)); +#7629=LINE('',#7628,#7627); +#7630=CARTESIAN_POINT('',(-1.4E1,-4.000000000001E0,-2.6E1)); +#7631=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7632=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7633=AXIS2_PLACEMENT_3D('',#7630,#7631,#7632); +#7635=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7636=VECTOR('',#7635,1.171572875254E0); +#7637=CARTESIAN_POINT('',(-1.141421356237E1,-4.500000000001E0,-2.6E1)); +#7638=LINE('',#7637,#7636); +#7639=CARTESIAN_POINT('',(-1.E1,-4.000000000001E0,-2.6E1)); +#7640=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7641=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7642=AXIS2_PLACEMENT_3D('',#7639,#7640,#7641); +#7644=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7645=VECTOR('',#7644,1.171572875254E0); +#7646=CARTESIAN_POINT('',(-7.414213562373E0,-4.500000000001E0,-2.6E1)); +#7647=LINE('',#7646,#7645); +#7648=CARTESIAN_POINT('',(-6.E0,-4.000000000001E0,-2.6E1)); +#7649=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7650=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7651=AXIS2_PLACEMENT_3D('',#7648,#7649,#7650); +#7653=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7654=VECTOR('',#7653,1.171572875254E0); +#7655=CARTESIAN_POINT('',(-3.414213562373E0,-4.500000000001E0,-2.6E1)); +#7656=LINE('',#7655,#7654); +#7657=CARTESIAN_POINT('',(-2.E0,-4.000000000001E0,-2.6E1)); +#7658=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7659=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#7660=AXIS2_PLACEMENT_3D('',#7657,#7658,#7659); +#7662=CARTESIAN_POINT('',(-1.4E1,4.000000000001E0,-2.6E1)); +#7663=DIRECTION('',(0.E0,0.E0,1.E0)); +#7664=DIRECTION('',(1.E0,0.E0,0.E0)); +#7665=AXIS2_PLACEMENT_3D('',#7662,#7663,#7664); +#7667=CARTESIAN_POINT('',(-1.4E1,4.000000000001E0,-2.6E1)); +#7668=DIRECTION('',(0.E0,0.E0,1.E0)); +#7669=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7670=AXIS2_PLACEMENT_3D('',#7667,#7668,#7669); +#7672=CARTESIAN_POINT('',(-1.E1,4.000000000001E0,-2.6E1)); +#7673=DIRECTION('',(0.E0,0.E0,1.E0)); +#7674=DIRECTION('',(1.E0,0.E0,0.E0)); +#7675=AXIS2_PLACEMENT_3D('',#7672,#7673,#7674); +#7677=CARTESIAN_POINT('',(-1.E1,4.000000000001E0,-2.6E1)); +#7678=DIRECTION('',(0.E0,0.E0,1.E0)); +#7679=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7680=AXIS2_PLACEMENT_3D('',#7677,#7678,#7679); +#7682=CARTESIAN_POINT('',(-6.E0,4.000000000001E0,-2.6E1)); +#7683=DIRECTION('',(0.E0,0.E0,1.E0)); +#7684=DIRECTION('',(1.E0,0.E0,0.E0)); +#7685=AXIS2_PLACEMENT_3D('',#7682,#7683,#7684); +#7687=CARTESIAN_POINT('',(-6.E0,4.000000000001E0,-2.6E1)); +#7688=DIRECTION('',(0.E0,0.E0,1.E0)); +#7689=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7690=AXIS2_PLACEMENT_3D('',#7687,#7688,#7689); +#7692=CARTESIAN_POINT('',(-2.E0,4.000000000001E0,-2.6E1)); +#7693=DIRECTION('',(0.E0,0.E0,1.E0)); +#7694=DIRECTION('',(1.E0,0.E0,0.E0)); +#7695=AXIS2_PLACEMENT_3D('',#7692,#7693,#7694); +#7697=CARTESIAN_POINT('',(-2.E0,4.000000000001E0,-2.6E1)); +#7698=DIRECTION('',(0.E0,0.E0,1.E0)); +#7699=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7700=AXIS2_PLACEMENT_3D('',#7697,#7698,#7699); +#7702=CARTESIAN_POINT('',(2.E0,4.000000000001E0,-2.6E1)); +#7703=DIRECTION('',(0.E0,0.E0,1.E0)); +#7704=DIRECTION('',(1.E0,0.E0,0.E0)); +#7705=AXIS2_PLACEMENT_3D('',#7702,#7703,#7704); +#7707=CARTESIAN_POINT('',(2.E0,4.000000000001E0,-2.6E1)); +#7708=DIRECTION('',(0.E0,0.E0,1.E0)); +#7709=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7710=AXIS2_PLACEMENT_3D('',#7707,#7708,#7709); +#7712=CARTESIAN_POINT('',(6.E0,4.000000000001E0,-2.6E1)); +#7713=DIRECTION('',(0.E0,0.E0,1.E0)); +#7714=DIRECTION('',(1.E0,0.E0,0.E0)); +#7715=AXIS2_PLACEMENT_3D('',#7712,#7713,#7714); +#7717=CARTESIAN_POINT('',(6.E0,4.000000000001E0,-2.6E1)); +#7718=DIRECTION('',(0.E0,0.E0,1.E0)); +#7719=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7720=AXIS2_PLACEMENT_3D('',#7717,#7718,#7719); +#7722=CARTESIAN_POINT('',(1.E1,4.000000000001E0,-2.6E1)); +#7723=DIRECTION('',(0.E0,0.E0,1.E0)); +#7724=DIRECTION('',(1.E0,0.E0,0.E0)); +#7725=AXIS2_PLACEMENT_3D('',#7722,#7723,#7724); +#7727=CARTESIAN_POINT('',(1.E1,4.000000000001E0,-2.6E1)); +#7728=DIRECTION('',(0.E0,0.E0,1.E0)); +#7729=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7730=AXIS2_PLACEMENT_3D('',#7727,#7728,#7729); +#7732=CARTESIAN_POINT('',(1.4E1,4.000000000001E0,-2.6E1)); +#7733=DIRECTION('',(0.E0,0.E0,1.E0)); +#7734=DIRECTION('',(1.E0,0.E0,0.E0)); +#7735=AXIS2_PLACEMENT_3D('',#7732,#7733,#7734); +#7737=CARTESIAN_POINT('',(1.4E1,4.000000000001E0,-2.6E1)); +#7738=DIRECTION('',(0.E0,0.E0,1.E0)); +#7739=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7740=AXIS2_PLACEMENT_3D('',#7737,#7738,#7739); +#7742=CARTESIAN_POINT('',(-1.2E1,1.077689183250E-14,-2.6E1)); +#7743=DIRECTION('',(0.E0,0.E0,1.E0)); +#7744=DIRECTION('',(1.E0,0.E0,0.E0)); +#7745=AXIS2_PLACEMENT_3D('',#7742,#7743,#7744); +#7747=CARTESIAN_POINT('',(-1.2E1,1.077689183250E-14,-2.6E1)); +#7748=DIRECTION('',(0.E0,0.E0,1.E0)); +#7749=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7750=AXIS2_PLACEMENT_3D('',#7747,#7748,#7749); +#7752=CARTESIAN_POINT('',(-8.E0,1.126675055216E-14,-2.6E1)); +#7753=DIRECTION('',(0.E0,0.E0,1.E0)); +#7754=DIRECTION('',(1.E0,0.E0,0.E0)); +#7755=AXIS2_PLACEMENT_3D('',#7752,#7753,#7754); +#7757=CARTESIAN_POINT('',(-8.E0,1.126675055216E-14,-2.6E1)); +#7758=DIRECTION('',(0.E0,0.E0,1.E0)); +#7759=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7760=AXIS2_PLACEMENT_3D('',#7757,#7758,#7759); +#7762=CARTESIAN_POINT('',(-4.E0,1.175660927181E-14,-2.6E1)); +#7763=DIRECTION('',(0.E0,0.E0,1.E0)); +#7764=DIRECTION('',(1.E0,0.E0,0.E0)); +#7765=AXIS2_PLACEMENT_3D('',#7762,#7763,#7764); +#7767=CARTESIAN_POINT('',(-4.E0,1.175660927181E-14,-2.6E1)); +#7768=DIRECTION('',(0.E0,0.E0,1.E0)); +#7769=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7770=AXIS2_PLACEMENT_3D('',#7767,#7768,#7769); +#7772=CARTESIAN_POINT('',(0.E0,1.224646799147E-14,-2.6E1)); +#7773=DIRECTION('',(0.E0,0.E0,1.E0)); +#7774=DIRECTION('',(1.E0,0.E0,0.E0)); +#7775=AXIS2_PLACEMENT_3D('',#7772,#7773,#7774); +#7777=CARTESIAN_POINT('',(0.E0,1.224646799147E-14,-2.6E1)); +#7778=DIRECTION('',(0.E0,0.E0,1.E0)); +#7779=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7780=AXIS2_PLACEMENT_3D('',#7777,#7778,#7779); +#7782=CARTESIAN_POINT('',(4.E0,1.175660927181E-14,-2.6E1)); +#7783=DIRECTION('',(0.E0,0.E0,1.E0)); +#7784=DIRECTION('',(1.E0,0.E0,0.E0)); +#7785=AXIS2_PLACEMENT_3D('',#7782,#7783,#7784); +#7787=CARTESIAN_POINT('',(4.E0,1.175660927181E-14,-2.6E1)); +#7788=DIRECTION('',(0.E0,0.E0,1.E0)); +#7789=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7790=AXIS2_PLACEMENT_3D('',#7787,#7788,#7789); +#7792=CARTESIAN_POINT('',(8.E0,1.126675055216E-14,-2.6E1)); +#7793=DIRECTION('',(0.E0,0.E0,1.E0)); +#7794=DIRECTION('',(1.E0,0.E0,0.E0)); +#7795=AXIS2_PLACEMENT_3D('',#7792,#7793,#7794); +#7797=CARTESIAN_POINT('',(8.E0,1.126675055216E-14,-2.6E1)); +#7798=DIRECTION('',(0.E0,0.E0,1.E0)); +#7799=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7800=AXIS2_PLACEMENT_3D('',#7797,#7798,#7799); +#7802=CARTESIAN_POINT('',(1.2E1,1.077689183250E-14,-2.6E1)); +#7803=DIRECTION('',(0.E0,0.E0,1.E0)); +#7804=DIRECTION('',(1.E0,0.E0,0.E0)); +#7805=AXIS2_PLACEMENT_3D('',#7802,#7803,#7804); +#7807=CARTESIAN_POINT('',(1.2E1,1.077689183250E-14,-2.6E1)); +#7808=DIRECTION('',(0.E0,0.E0,1.E0)); +#7809=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7810=AXIS2_PLACEMENT_3D('',#7807,#7808,#7809); +#7812=CARTESIAN_POINT('',(-1.4E1,-4.000000000001E0,-2.6E1)); +#7813=DIRECTION('',(0.E0,0.E0,1.E0)); +#7814=DIRECTION('',(1.E0,0.E0,0.E0)); +#7815=AXIS2_PLACEMENT_3D('',#7812,#7813,#7814); +#7817=CARTESIAN_POINT('',(-1.4E1,-4.000000000001E0,-2.6E1)); +#7818=DIRECTION('',(0.E0,0.E0,1.E0)); +#7819=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7820=AXIS2_PLACEMENT_3D('',#7817,#7818,#7819); +#7822=CARTESIAN_POINT('',(-1.E1,-4.000000000001E0,-2.6E1)); +#7823=DIRECTION('',(0.E0,0.E0,1.E0)); +#7824=DIRECTION('',(1.E0,0.E0,0.E0)); +#7825=AXIS2_PLACEMENT_3D('',#7822,#7823,#7824); +#7827=CARTESIAN_POINT('',(-1.E1,-4.000000000001E0,-2.6E1)); +#7828=DIRECTION('',(0.E0,0.E0,1.E0)); +#7829=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7830=AXIS2_PLACEMENT_3D('',#7827,#7828,#7829); +#7832=CARTESIAN_POINT('',(-6.E0,-4.000000000001E0,-2.6E1)); +#7833=DIRECTION('',(0.E0,0.E0,1.E0)); +#7834=DIRECTION('',(1.E0,0.E0,0.E0)); +#7835=AXIS2_PLACEMENT_3D('',#7832,#7833,#7834); +#7837=CARTESIAN_POINT('',(-6.E0,-4.000000000001E0,-2.6E1)); +#7838=DIRECTION('',(0.E0,0.E0,1.E0)); +#7839=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7840=AXIS2_PLACEMENT_3D('',#7837,#7838,#7839); +#7842=CARTESIAN_POINT('',(-2.E0,-4.000000000001E0,-2.6E1)); +#7843=DIRECTION('',(0.E0,0.E0,1.E0)); +#7844=DIRECTION('',(1.E0,0.E0,0.E0)); +#7845=AXIS2_PLACEMENT_3D('',#7842,#7843,#7844); +#7847=CARTESIAN_POINT('',(-2.E0,-4.000000000001E0,-2.6E1)); +#7848=DIRECTION('',(0.E0,0.E0,1.E0)); +#7849=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7850=AXIS2_PLACEMENT_3D('',#7847,#7848,#7849); +#7852=CARTESIAN_POINT('',(2.E0,-4.000000000001E0,-2.6E1)); +#7853=DIRECTION('',(0.E0,0.E0,1.E0)); +#7854=DIRECTION('',(1.E0,0.E0,0.E0)); +#7855=AXIS2_PLACEMENT_3D('',#7852,#7853,#7854); +#7857=CARTESIAN_POINT('',(2.E0,-4.000000000001E0,-2.6E1)); +#7858=DIRECTION('',(0.E0,0.E0,1.E0)); +#7859=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7860=AXIS2_PLACEMENT_3D('',#7857,#7858,#7859); +#7862=CARTESIAN_POINT('',(6.E0,-4.000000000001E0,-2.6E1)); +#7863=DIRECTION('',(0.E0,0.E0,1.E0)); +#7864=DIRECTION('',(1.E0,0.E0,0.E0)); +#7865=AXIS2_PLACEMENT_3D('',#7862,#7863,#7864); +#7867=CARTESIAN_POINT('',(6.E0,-4.000000000001E0,-2.6E1)); +#7868=DIRECTION('',(0.E0,0.E0,1.E0)); +#7869=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7870=AXIS2_PLACEMENT_3D('',#7867,#7868,#7869); +#7872=CARTESIAN_POINT('',(1.E1,-4.000000000001E0,-2.6E1)); +#7873=DIRECTION('',(0.E0,0.E0,1.E0)); +#7874=DIRECTION('',(1.E0,0.E0,0.E0)); +#7875=AXIS2_PLACEMENT_3D('',#7872,#7873,#7874); +#7877=CARTESIAN_POINT('',(1.E1,-4.000000000001E0,-2.6E1)); +#7878=DIRECTION('',(0.E0,0.E0,1.E0)); +#7879=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7880=AXIS2_PLACEMENT_3D('',#7877,#7878,#7879); +#7882=CARTESIAN_POINT('',(1.4E1,-4.000000000001E0,-2.6E1)); +#7883=DIRECTION('',(0.E0,0.E0,1.E0)); +#7884=DIRECTION('',(1.E0,0.E0,0.E0)); +#7885=AXIS2_PLACEMENT_3D('',#7882,#7883,#7884); +#7887=CARTESIAN_POINT('',(1.4E1,-4.000000000001E0,-2.6E1)); +#7888=DIRECTION('',(0.E0,0.E0,1.E0)); +#7889=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7890=AXIS2_PLACEMENT_3D('',#7887,#7888,#7889); +#7892=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7893=VECTOR('',#7892,3.E0); +#7894=CARTESIAN_POINT('',(-1.541421356237E1,3.500000000001E0,-2.3E1)); +#7895=LINE('',#7894,#7893); +#7896=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7897=VECTOR('',#7896,3.E0); +#7898=CARTESIAN_POINT('',(-1.258578643763E1,3.500000000001E0,-2.3E1)); +#7899=LINE('',#7898,#7897); +#7900=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7901=VECTOR('',#7900,3.E0); +#7902=CARTESIAN_POINT('',(-1.141421356237E1,3.500000000001E0,-2.3E1)); +#7903=LINE('',#7902,#7901); +#7904=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7905=VECTOR('',#7904,3.E0); +#7906=CARTESIAN_POINT('',(-8.585786437627E0,3.500000000001E0,-2.3E1)); +#7907=LINE('',#7906,#7905); +#7908=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7909=VECTOR('',#7908,3.E0); +#7910=CARTESIAN_POINT('',(-7.414213562373E0,3.500000000001E0,-2.3E1)); +#7911=LINE('',#7910,#7909); +#7912=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7913=VECTOR('',#7912,3.E0); +#7914=CARTESIAN_POINT('',(-4.585786437627E0,3.500000000001E0,-2.3E1)); +#7915=LINE('',#7914,#7913); +#7916=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7917=VECTOR('',#7916,3.E0); +#7918=CARTESIAN_POINT('',(-3.414213562373E0,3.500000000001E0,-2.3E1)); +#7919=LINE('',#7918,#7917); +#7920=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7921=VECTOR('',#7920,3.E0); +#7922=CARTESIAN_POINT('',(-5.857864376269E-1,3.500000000001E0,-2.3E1)); +#7923=LINE('',#7922,#7921); +#7924=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7925=VECTOR('',#7924,3.E0); +#7926=CARTESIAN_POINT('',(5.857864376269E-1,3.500000000001E0,-2.3E1)); +#7927=LINE('',#7926,#7925); +#7928=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7929=VECTOR('',#7928,3.E0); +#7930=CARTESIAN_POINT('',(3.414213562373E0,3.500000000001E0,-2.3E1)); +#7931=LINE('',#7930,#7929); +#7932=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7933=VECTOR('',#7932,3.E0); +#7934=CARTESIAN_POINT('',(4.585786437627E0,3.500000000001E0,-2.3E1)); +#7935=LINE('',#7934,#7933); +#7936=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7937=VECTOR('',#7936,3.E0); +#7938=CARTESIAN_POINT('',(7.414213562373E0,3.500000000001E0,-2.3E1)); +#7939=LINE('',#7938,#7937); +#7940=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7941=VECTOR('',#7940,3.E0); +#7942=CARTESIAN_POINT('',(8.585786437627E0,3.500000000001E0,-2.3E1)); +#7943=LINE('',#7942,#7941); +#7944=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7945=VECTOR('',#7944,3.E0); +#7946=CARTESIAN_POINT('',(1.141421356237E1,3.500000000001E0,-2.3E1)); +#7947=LINE('',#7946,#7945); +#7948=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7949=VECTOR('',#7948,3.E0); +#7950=CARTESIAN_POINT('',(1.258578643763E1,3.500000000001E0,-2.3E1)); +#7951=LINE('',#7950,#7949); +#7952=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7953=VECTOR('',#7952,3.E0); +#7954=CARTESIAN_POINT('',(1.541421356237E1,3.500000000001E0,-2.3E1)); +#7955=LINE('',#7954,#7953); +#7956=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7957=VECTOR('',#7956,3.E0); +#7958=CARTESIAN_POINT('',(1.67E1,3.500000000001E0,-2.3E1)); +#7959=LINE('',#7958,#7957); +#7960=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7961=VECTOR('',#7960,3.E0); +#7962=CARTESIAN_POINT('',(1.67E1,5.E-1,-2.3E1)); +#7963=LINE('',#7962,#7961); +#7964=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7965=VECTOR('',#7964,3.E0); +#7966=CARTESIAN_POINT('',(1.67E1,-3.500000000001E0,-2.3E1)); +#7967=LINE('',#7966,#7965); +#7968=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7969=VECTOR('',#7968,1.E0); +#7970=CARTESIAN_POINT('',(1.67E1,-7.E0,-2.2E1)); +#7971=LINE('',#7970,#7969); +#7972=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7973=VECTOR('',#7972,3.E0); +#7974=CARTESIAN_POINT('',(1.67E1,4.500000000001E0,-2.3E1)); +#7975=LINE('',#7974,#7973); +#7976=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7977=VECTOR('',#7976,3.E0); +#7978=CARTESIAN_POINT('',(1.341421356237E1,5.E-1,-2.3E1)); +#7979=LINE('',#7978,#7977); +#7980=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7981=VECTOR('',#7980,3.E0); +#7982=CARTESIAN_POINT('',(1.058578643763E1,5.E-1,-2.3E1)); +#7983=LINE('',#7982,#7981); +#7984=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7985=VECTOR('',#7984,3.E0); +#7986=CARTESIAN_POINT('',(9.414213562373E0,5.E-1,-2.3E1)); +#7987=LINE('',#7986,#7985); +#7988=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7989=VECTOR('',#7988,3.E0); +#7990=CARTESIAN_POINT('',(6.585786437627E0,5.E-1,-2.3E1)); +#7991=LINE('',#7990,#7989); +#7992=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7993=VECTOR('',#7992,3.E0); +#7994=CARTESIAN_POINT('',(5.414213562373E0,5.E-1,-2.3E1)); +#7995=LINE('',#7994,#7993); +#7996=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7997=VECTOR('',#7996,3.E0); +#7998=CARTESIAN_POINT('',(2.585786437627E0,5.E-1,-2.3E1)); +#7999=LINE('',#7998,#7997); +#8000=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8001=VECTOR('',#8000,3.E0); +#8002=CARTESIAN_POINT('',(1.414213562373E0,5.E-1,-2.3E1)); +#8003=LINE('',#8002,#8001); +#8004=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8005=VECTOR('',#8004,3.E0); +#8006=CARTESIAN_POINT('',(-1.414213562373E0,5.E-1,-2.3E1)); +#8007=LINE('',#8006,#8005); +#8008=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8009=VECTOR('',#8008,3.E0); +#8010=CARTESIAN_POINT('',(-2.585786437627E0,5.E-1,-2.3E1)); +#8011=LINE('',#8010,#8009); +#8012=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8013=VECTOR('',#8012,3.E0); +#8014=CARTESIAN_POINT('',(-5.414213562373E0,5.E-1,-2.3E1)); +#8015=LINE('',#8014,#8013); +#8016=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8017=VECTOR('',#8016,3.E0); +#8018=CARTESIAN_POINT('',(-6.585786437627E0,5.E-1,-2.3E1)); +#8019=LINE('',#8018,#8017); +#8020=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8021=VECTOR('',#8020,3.E0); +#8022=CARTESIAN_POINT('',(-9.414213562373E0,5.E-1,-2.3E1)); +#8023=LINE('',#8022,#8021); +#8024=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8025=VECTOR('',#8024,3.E0); +#8026=CARTESIAN_POINT('',(-1.058578643763E1,5.E-1,-2.3E1)); +#8027=LINE('',#8026,#8025); +#8028=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8029=VECTOR('',#8028,3.E0); +#8030=CARTESIAN_POINT('',(-1.341421356237E1,5.E-1,-2.3E1)); +#8031=LINE('',#8030,#8029); +#8032=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8033=VECTOR('',#8032,3.E0); +#8034=CARTESIAN_POINT('',(-1.67E1,5.E-1,-2.3E1)); +#8035=LINE('',#8034,#8033); +#8036=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8037=VECTOR('',#8036,3.E0); +#8038=CARTESIAN_POINT('',(1.67E1,-5.E-1,-2.3E1)); +#8039=LINE('',#8038,#8037); +#8040=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8041=VECTOR('',#8040,3.E0); +#8042=CARTESIAN_POINT('',(1.341421356237E1,-5.E-1,-2.3E1)); +#8043=LINE('',#8042,#8041); +#8044=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8045=VECTOR('',#8044,3.E0); +#8046=CARTESIAN_POINT('',(1.058578643763E1,-5.E-1,-2.3E1)); +#8047=LINE('',#8046,#8045); +#8048=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8049=VECTOR('',#8048,3.E0); +#8050=CARTESIAN_POINT('',(9.414213562373E0,-5.E-1,-2.3E1)); +#8051=LINE('',#8050,#8049); +#8052=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8053=VECTOR('',#8052,3.E0); +#8054=CARTESIAN_POINT('',(6.585786437627E0,-5.E-1,-2.3E1)); +#8055=LINE('',#8054,#8053); +#8056=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8057=VECTOR('',#8056,3.E0); +#8058=CARTESIAN_POINT('',(5.414213562373E0,-5.E-1,-2.3E1)); +#8059=LINE('',#8058,#8057); +#8060=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8061=VECTOR('',#8060,3.E0); +#8062=CARTESIAN_POINT('',(2.585786437627E0,-5.E-1,-2.3E1)); +#8063=LINE('',#8062,#8061); +#8064=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8065=VECTOR('',#8064,3.E0); +#8066=CARTESIAN_POINT('',(1.414213562373E0,-5.E-1,-2.3E1)); +#8067=LINE('',#8066,#8065); +#8068=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8069=VECTOR('',#8068,3.E0); +#8070=CARTESIAN_POINT('',(-1.414213562373E0,-5.E-1,-2.3E1)); +#8071=LINE('',#8070,#8069); +#8072=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8073=VECTOR('',#8072,3.E0); +#8074=CARTESIAN_POINT('',(-2.585786437627E0,-5.E-1,-2.3E1)); +#8075=LINE('',#8074,#8073); +#8076=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8077=VECTOR('',#8076,3.E0); +#8078=CARTESIAN_POINT('',(-5.414213562373E0,-5.E-1,-2.3E1)); +#8079=LINE('',#8078,#8077); +#8080=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8081=VECTOR('',#8080,3.E0); +#8082=CARTESIAN_POINT('',(-6.585786437627E0,-5.E-1,-2.3E1)); +#8083=LINE('',#8082,#8081); +#8084=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8085=VECTOR('',#8084,3.E0); +#8086=CARTESIAN_POINT('',(-9.414213562373E0,-5.E-1,-2.3E1)); +#8087=LINE('',#8086,#8085); +#8088=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8089=VECTOR('',#8088,3.E0); +#8090=CARTESIAN_POINT('',(-1.058578643763E1,-5.E-1,-2.3E1)); +#8091=LINE('',#8090,#8089); +#8092=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8093=VECTOR('',#8092,3.E0); +#8094=CARTESIAN_POINT('',(-1.341421356237E1,-5.E-1,-2.3E1)); +#8095=LINE('',#8094,#8093); +#8096=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8097=VECTOR('',#8096,3.E0); +#8098=CARTESIAN_POINT('',(1.541421356237E1,-3.500000000001E0,-2.3E1)); +#8099=LINE('',#8098,#8097); +#8100=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8101=VECTOR('',#8100,3.E0); +#8102=CARTESIAN_POINT('',(1.258578643763E1,-3.500000000001E0,-2.3E1)); +#8103=LINE('',#8102,#8101); +#8104=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8105=VECTOR('',#8104,3.E0); +#8106=CARTESIAN_POINT('',(1.141421356237E1,-3.500000000001E0,-2.3E1)); +#8107=LINE('',#8106,#8105); +#8108=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8109=VECTOR('',#8108,3.E0); +#8110=CARTESIAN_POINT('',(8.585786437627E0,-3.500000000001E0,-2.3E1)); +#8111=LINE('',#8110,#8109); +#8112=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8113=VECTOR('',#8112,3.E0); +#8114=CARTESIAN_POINT('',(7.414213562373E0,-3.500000000001E0,-2.3E1)); +#8115=LINE('',#8114,#8113); +#8116=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8117=VECTOR('',#8116,3.E0); +#8118=CARTESIAN_POINT('',(4.585786437627E0,-3.500000000001E0,-2.3E1)); +#8119=LINE('',#8118,#8117); +#8120=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8121=VECTOR('',#8120,3.E0); +#8122=CARTESIAN_POINT('',(3.414213562373E0,-3.500000000001E0,-2.3E1)); +#8123=LINE('',#8122,#8121); +#8124=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8125=VECTOR('',#8124,3.E0); +#8126=CARTESIAN_POINT('',(5.857864376269E-1,-3.500000000001E0,-2.3E1)); +#8127=LINE('',#8126,#8125); +#8128=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8129=VECTOR('',#8128,3.E0); +#8130=CARTESIAN_POINT('',(-5.857864376269E-1,-3.500000000001E0,-2.3E1)); +#8131=LINE('',#8130,#8129); +#8132=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8133=VECTOR('',#8132,3.E0); +#8134=CARTESIAN_POINT('',(-3.414213562373E0,-3.500000000001E0,-2.3E1)); +#8135=LINE('',#8134,#8133); +#8136=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8137=VECTOR('',#8136,3.E0); +#8138=CARTESIAN_POINT('',(-4.585786437627E0,-3.500000000001E0,-2.3E1)); +#8139=LINE('',#8138,#8137); +#8140=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8141=VECTOR('',#8140,3.E0); +#8142=CARTESIAN_POINT('',(-7.414213562373E0,-3.500000000001E0,-2.3E1)); +#8143=LINE('',#8142,#8141); +#8144=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8145=VECTOR('',#8144,3.E0); +#8146=CARTESIAN_POINT('',(-8.585786437627E0,-3.500000000001E0,-2.3E1)); +#8147=LINE('',#8146,#8145); +#8148=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8149=VECTOR('',#8148,3.E0); +#8150=CARTESIAN_POINT('',(-1.141421356237E1,-3.500000000001E0,-2.3E1)); +#8151=LINE('',#8150,#8149); +#8152=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8153=VECTOR('',#8152,3.E0); +#8154=CARTESIAN_POINT('',(-1.258578643763E1,-3.500000000001E0,-2.3E1)); +#8155=LINE('',#8154,#8153); +#8156=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8157=VECTOR('',#8156,3.E0); +#8158=CARTESIAN_POINT('',(-1.541421356237E1,-3.500000000001E0,-2.3E1)); +#8159=LINE('',#8158,#8157); +#8160=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8161=VECTOR('',#8160,3.E0); +#8162=CARTESIAN_POINT('',(-1.67E1,-3.500000000001E0,-2.3E1)); +#8163=LINE('',#8162,#8161); +#8164=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8165=VECTOR('',#8164,3.E0); +#8166=CARTESIAN_POINT('',(1.67E1,-4.500000000001E0,-2.3E1)); +#8167=LINE('',#8166,#8165); +#8168=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8169=VECTOR('',#8168,3.E0); +#8170=CARTESIAN_POINT('',(1.541421356237E1,-4.500000000001E0,-2.3E1)); +#8171=LINE('',#8170,#8169); +#8172=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8173=VECTOR('',#8172,3.E0); +#8174=CARTESIAN_POINT('',(1.258578643763E1,-4.500000000001E0,-2.3E1)); +#8175=LINE('',#8174,#8173); +#8176=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8177=VECTOR('',#8176,3.E0); +#8178=CARTESIAN_POINT('',(1.141421356237E1,-4.500000000001E0,-2.3E1)); +#8179=LINE('',#8178,#8177); +#8180=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8181=VECTOR('',#8180,3.E0); +#8182=CARTESIAN_POINT('',(8.585786437627E0,-4.500000000001E0,-2.3E1)); +#8183=LINE('',#8182,#8181); +#8184=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8185=VECTOR('',#8184,3.E0); +#8186=CARTESIAN_POINT('',(7.414213562373E0,-4.500000000001E0,-2.3E1)); +#8187=LINE('',#8186,#8185); +#8188=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8189=VECTOR('',#8188,3.E0); +#8190=CARTESIAN_POINT('',(4.585786437627E0,-4.500000000001E0,-2.3E1)); +#8191=LINE('',#8190,#8189); +#8192=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8193=VECTOR('',#8192,3.E0); +#8194=CARTESIAN_POINT('',(3.414213562373E0,-4.500000000001E0,-2.3E1)); +#8195=LINE('',#8194,#8193); +#8196=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8197=VECTOR('',#8196,3.E0); +#8198=CARTESIAN_POINT('',(5.857864376269E-1,-4.500000000001E0,-2.3E1)); +#8199=LINE('',#8198,#8197); +#8200=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8201=VECTOR('',#8200,3.E0); +#8202=CARTESIAN_POINT('',(-5.857864376269E-1,-4.500000000001E0,-2.3E1)); +#8203=LINE('',#8202,#8201); +#8204=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8205=VECTOR('',#8204,3.E0); +#8206=CARTESIAN_POINT('',(-3.414213562373E0,-4.500000000001E0,-2.3E1)); +#8207=LINE('',#8206,#8205); +#8208=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8209=VECTOR('',#8208,3.E0); +#8210=CARTESIAN_POINT('',(-4.585786437627E0,-4.500000000001E0,-2.3E1)); +#8211=LINE('',#8210,#8209); +#8212=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8213=VECTOR('',#8212,3.E0); +#8214=CARTESIAN_POINT('',(-7.414213562373E0,-4.500000000001E0,-2.3E1)); +#8215=LINE('',#8214,#8213); +#8216=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8217=VECTOR('',#8216,3.E0); +#8218=CARTESIAN_POINT('',(-8.585786437627E0,-4.500000000001E0,-2.3E1)); +#8219=LINE('',#8218,#8217); +#8220=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8221=VECTOR('',#8220,3.E0); +#8222=CARTESIAN_POINT('',(-1.141421356237E1,-4.500000000001E0,-2.3E1)); +#8223=LINE('',#8222,#8221); +#8224=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8225=VECTOR('',#8224,3.E0); +#8226=CARTESIAN_POINT('',(-1.258578643763E1,-4.500000000001E0,-2.3E1)); +#8227=LINE('',#8226,#8225); +#8228=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8229=VECTOR('',#8228,3.E0); +#8230=CARTESIAN_POINT('',(-1.541421356237E1,-4.500000000001E0,-2.3E1)); +#8231=LINE('',#8230,#8229); +#8232=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8233=VECTOR('',#8232,1.E0); +#8234=CARTESIAN_POINT('',(-1.67E1,-7.E0,-2.2E1)); +#8235=LINE('',#8234,#8233); +#8236=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8237=VECTOR('',#8236,1.E0); +#8238=CARTESIAN_POINT('',(1.67E1,7.E0,-2.2E1)); +#8239=LINE('',#8238,#8237); +#8240=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8241=VECTOR('',#8240,3.E0); +#8242=CARTESIAN_POINT('',(1.541421356237E1,4.500000000001E0,-2.3E1)); +#8243=LINE('',#8242,#8241); +#8244=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8245=VECTOR('',#8244,3.E0); +#8246=CARTESIAN_POINT('',(1.258578643763E1,4.500000000001E0,-2.3E1)); +#8247=LINE('',#8246,#8245); +#8248=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8249=VECTOR('',#8248,3.E0); +#8250=CARTESIAN_POINT('',(1.141421356237E1,4.500000000001E0,-2.3E1)); +#8251=LINE('',#8250,#8249); +#8252=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8253=VECTOR('',#8252,3.E0); +#8254=CARTESIAN_POINT('',(8.585786437627E0,4.500000000001E0,-2.3E1)); +#8255=LINE('',#8254,#8253); +#8256=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8257=VECTOR('',#8256,3.E0); +#8258=CARTESIAN_POINT('',(7.414213562373E0,4.500000000001E0,-2.3E1)); +#8259=LINE('',#8258,#8257); +#8260=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8261=VECTOR('',#8260,3.E0); +#8262=CARTESIAN_POINT('',(4.585786437627E0,4.500000000001E0,-2.3E1)); +#8263=LINE('',#8262,#8261); +#8264=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8265=VECTOR('',#8264,3.E0); +#8266=CARTESIAN_POINT('',(3.414213562373E0,4.500000000001E0,-2.3E1)); +#8267=LINE('',#8266,#8265); +#8268=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8269=VECTOR('',#8268,1.2075E1); +#8270=CARTESIAN_POINT('',(-1.335E1,4.000000000001E0,-2.6E1)); +#8271=LINE('',#8270,#8269); +#8272=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8273=VECTOR('',#8272,1.2075E1); +#8274=CARTESIAN_POINT('',(-1.465E1,4.000000000001E0,-2.6E1)); +#8275=LINE('',#8274,#8273); +#8276=CARTESIAN_POINT('',(-1.4E1,4.000000000001E0,-3.8075E1)); +#8277=DIRECTION('',(0.E0,0.E0,1.E0)); +#8278=DIRECTION('',(1.E0,0.E0,0.E0)); +#8279=AXIS2_PLACEMENT_3D('',#8276,#8277,#8278); +#8281=CARTESIAN_POINT('',(-1.4E1,4.000000000001E0,-3.8075E1)); +#8282=DIRECTION('',(0.E0,0.E0,1.E0)); +#8283=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8284=AXIS2_PLACEMENT_3D('',#8281,#8282,#8283); +#8286=CARTESIAN_POINT('',(-1.E1,4.000000000001E0,-3.8075E1)); +#8287=DIRECTION('',(0.E0,0.E0,1.E0)); +#8288=DIRECTION('',(1.E0,0.E0,0.E0)); +#8289=AXIS2_PLACEMENT_3D('',#8286,#8287,#8288); +#8291=CARTESIAN_POINT('',(-1.E1,4.000000000001E0,-3.8075E1)); +#8292=DIRECTION('',(0.E0,0.E0,1.E0)); +#8293=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8294=AXIS2_PLACEMENT_3D('',#8291,#8292,#8293); +#8296=CARTESIAN_POINT('',(-6.E0,4.000000000001E0,-3.8075E1)); +#8297=DIRECTION('',(0.E0,0.E0,1.E0)); +#8298=DIRECTION('',(1.E0,0.E0,0.E0)); +#8299=AXIS2_PLACEMENT_3D('',#8296,#8297,#8298); +#8301=CARTESIAN_POINT('',(-6.E0,4.000000000001E0,-3.8075E1)); +#8302=DIRECTION('',(0.E0,0.E0,1.E0)); +#8303=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8304=AXIS2_PLACEMENT_3D('',#8301,#8302,#8303); +#8306=CARTESIAN_POINT('',(-2.E0,4.000000000001E0,-3.8075E1)); +#8307=DIRECTION('',(0.E0,0.E0,1.E0)); +#8308=DIRECTION('',(1.E0,0.E0,0.E0)); +#8309=AXIS2_PLACEMENT_3D('',#8306,#8307,#8308); +#8311=CARTESIAN_POINT('',(-2.E0,4.000000000001E0,-3.8075E1)); +#8312=DIRECTION('',(0.E0,0.E0,1.E0)); +#8313=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8314=AXIS2_PLACEMENT_3D('',#8311,#8312,#8313); +#8316=CARTESIAN_POINT('',(2.E0,4.000000000001E0,-3.8075E1)); +#8317=DIRECTION('',(0.E0,0.E0,1.E0)); +#8318=DIRECTION('',(1.E0,0.E0,0.E0)); +#8319=AXIS2_PLACEMENT_3D('',#8316,#8317,#8318); +#8321=CARTESIAN_POINT('',(2.E0,4.000000000001E0,-3.8075E1)); +#8322=DIRECTION('',(0.E0,0.E0,1.E0)); +#8323=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8324=AXIS2_PLACEMENT_3D('',#8321,#8322,#8323); +#8326=CARTESIAN_POINT('',(6.E0,4.000000000001E0,-3.8075E1)); +#8327=DIRECTION('',(0.E0,0.E0,1.E0)); +#8328=DIRECTION('',(1.E0,0.E0,0.E0)); +#8329=AXIS2_PLACEMENT_3D('',#8326,#8327,#8328); +#8331=CARTESIAN_POINT('',(6.E0,4.000000000001E0,-3.8075E1)); +#8332=DIRECTION('',(0.E0,0.E0,1.E0)); +#8333=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8334=AXIS2_PLACEMENT_3D('',#8331,#8332,#8333); +#8336=CARTESIAN_POINT('',(1.E1,4.000000000001E0,-3.8075E1)); +#8337=DIRECTION('',(0.E0,0.E0,1.E0)); +#8338=DIRECTION('',(1.E0,0.E0,0.E0)); +#8339=AXIS2_PLACEMENT_3D('',#8336,#8337,#8338); +#8341=CARTESIAN_POINT('',(1.E1,4.000000000001E0,-3.8075E1)); +#8342=DIRECTION('',(0.E0,0.E0,1.E0)); +#8343=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8344=AXIS2_PLACEMENT_3D('',#8341,#8342,#8343); +#8346=CARTESIAN_POINT('',(1.4E1,4.000000000001E0,-3.8075E1)); +#8347=DIRECTION('',(0.E0,0.E0,1.E0)); +#8348=DIRECTION('',(1.E0,0.E0,0.E0)); +#8349=AXIS2_PLACEMENT_3D('',#8346,#8347,#8348); +#8351=CARTESIAN_POINT('',(1.4E1,4.000000000001E0,-3.8075E1)); +#8352=DIRECTION('',(0.E0,0.E0,1.E0)); +#8353=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8354=AXIS2_PLACEMENT_3D('',#8351,#8352,#8353); +#8356=CARTESIAN_POINT('',(-1.2E1,1.077689183250E-14,-3.8075E1)); +#8357=DIRECTION('',(0.E0,0.E0,1.E0)); +#8358=DIRECTION('',(1.E0,0.E0,0.E0)); +#8359=AXIS2_PLACEMENT_3D('',#8356,#8357,#8358); +#8361=CARTESIAN_POINT('',(-1.2E1,1.077689183250E-14,-3.8075E1)); +#8362=DIRECTION('',(0.E0,0.E0,1.E0)); +#8363=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8364=AXIS2_PLACEMENT_3D('',#8361,#8362,#8363); +#8366=CARTESIAN_POINT('',(-8.E0,1.126675055216E-14,-3.8075E1)); +#8367=DIRECTION('',(0.E0,0.E0,1.E0)); +#8368=DIRECTION('',(1.E0,0.E0,0.E0)); +#8369=AXIS2_PLACEMENT_3D('',#8366,#8367,#8368); +#8371=CARTESIAN_POINT('',(-8.E0,1.126675055216E-14,-3.8075E1)); +#8372=DIRECTION('',(0.E0,0.E0,1.E0)); +#8373=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8374=AXIS2_PLACEMENT_3D('',#8371,#8372,#8373); +#8376=CARTESIAN_POINT('',(-4.E0,1.175660927181E-14,-3.8075E1)); +#8377=DIRECTION('',(0.E0,0.E0,1.E0)); +#8378=DIRECTION('',(1.E0,0.E0,0.E0)); +#8379=AXIS2_PLACEMENT_3D('',#8376,#8377,#8378); +#8381=CARTESIAN_POINT('',(-4.E0,1.175660927181E-14,-3.8075E1)); +#8382=DIRECTION('',(0.E0,0.E0,1.E0)); +#8383=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8384=AXIS2_PLACEMENT_3D('',#8381,#8382,#8383); +#8386=CARTESIAN_POINT('',(0.E0,1.224646799147E-14,-3.8075E1)); +#8387=DIRECTION('',(0.E0,0.E0,1.E0)); +#8388=DIRECTION('',(1.E0,0.E0,0.E0)); +#8389=AXIS2_PLACEMENT_3D('',#8386,#8387,#8388); +#8391=CARTESIAN_POINT('',(0.E0,1.224646799147E-14,-3.8075E1)); +#8392=DIRECTION('',(0.E0,0.E0,1.E0)); +#8393=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8394=AXIS2_PLACEMENT_3D('',#8391,#8392,#8393); +#8396=CARTESIAN_POINT('',(4.E0,1.175660927181E-14,-3.8075E1)); +#8397=DIRECTION('',(0.E0,0.E0,1.E0)); +#8398=DIRECTION('',(1.E0,0.E0,0.E0)); +#8399=AXIS2_PLACEMENT_3D('',#8396,#8397,#8398); +#8401=CARTESIAN_POINT('',(4.E0,1.175660927181E-14,-3.8075E1)); +#8402=DIRECTION('',(0.E0,0.E0,1.E0)); +#8403=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8404=AXIS2_PLACEMENT_3D('',#8401,#8402,#8403); +#8406=CARTESIAN_POINT('',(8.E0,1.126675055216E-14,-3.8075E1)); +#8407=DIRECTION('',(0.E0,0.E0,1.E0)); +#8408=DIRECTION('',(1.E0,0.E0,0.E0)); +#8409=AXIS2_PLACEMENT_3D('',#8406,#8407,#8408); +#8411=CARTESIAN_POINT('',(8.E0,1.126675055216E-14,-3.8075E1)); +#8412=DIRECTION('',(0.E0,0.E0,1.E0)); +#8413=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8414=AXIS2_PLACEMENT_3D('',#8411,#8412,#8413); +#8416=CARTESIAN_POINT('',(1.2E1,1.077689183250E-14,-3.8075E1)); +#8417=DIRECTION('',(0.E0,0.E0,1.E0)); +#8418=DIRECTION('',(1.E0,0.E0,0.E0)); +#8419=AXIS2_PLACEMENT_3D('',#8416,#8417,#8418); +#8421=CARTESIAN_POINT('',(1.2E1,1.077689183250E-14,-3.8075E1)); +#8422=DIRECTION('',(0.E0,0.E0,1.E0)); +#8423=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8424=AXIS2_PLACEMENT_3D('',#8421,#8422,#8423); +#8426=CARTESIAN_POINT('',(-1.4E1,-4.000000000001E0,-3.8075E1)); +#8427=DIRECTION('',(0.E0,0.E0,1.E0)); +#8428=DIRECTION('',(1.E0,0.E0,0.E0)); +#8429=AXIS2_PLACEMENT_3D('',#8426,#8427,#8428); +#8431=CARTESIAN_POINT('',(-1.4E1,-4.000000000001E0,-3.8075E1)); +#8432=DIRECTION('',(0.E0,0.E0,1.E0)); +#8433=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8434=AXIS2_PLACEMENT_3D('',#8431,#8432,#8433); +#8436=CARTESIAN_POINT('',(-1.E1,-4.000000000001E0,-3.8075E1)); +#8437=DIRECTION('',(0.E0,0.E0,1.E0)); +#8438=DIRECTION('',(1.E0,0.E0,0.E0)); +#8439=AXIS2_PLACEMENT_3D('',#8436,#8437,#8438); +#8441=CARTESIAN_POINT('',(-1.E1,-4.000000000001E0,-3.8075E1)); +#8442=DIRECTION('',(0.E0,0.E0,1.E0)); +#8443=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8444=AXIS2_PLACEMENT_3D('',#8441,#8442,#8443); +#8446=CARTESIAN_POINT('',(-6.E0,-4.000000000001E0,-3.8075E1)); +#8447=DIRECTION('',(0.E0,0.E0,1.E0)); +#8448=DIRECTION('',(1.E0,0.E0,0.E0)); +#8449=AXIS2_PLACEMENT_3D('',#8446,#8447,#8448); +#8451=CARTESIAN_POINT('',(-6.E0,-4.000000000001E0,-3.8075E1)); +#8452=DIRECTION('',(0.E0,0.E0,1.E0)); +#8453=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8454=AXIS2_PLACEMENT_3D('',#8451,#8452,#8453); +#8456=CARTESIAN_POINT('',(-2.E0,-4.000000000001E0,-3.8075E1)); +#8457=DIRECTION('',(0.E0,0.E0,1.E0)); +#8458=DIRECTION('',(1.E0,0.E0,0.E0)); +#8459=AXIS2_PLACEMENT_3D('',#8456,#8457,#8458); +#8461=CARTESIAN_POINT('',(-2.E0,-4.000000000001E0,-3.8075E1)); +#8462=DIRECTION('',(0.E0,0.E0,1.E0)); +#8463=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8464=AXIS2_PLACEMENT_3D('',#8461,#8462,#8463); +#8466=CARTESIAN_POINT('',(2.E0,-4.000000000001E0,-3.8075E1)); +#8467=DIRECTION('',(0.E0,0.E0,1.E0)); +#8468=DIRECTION('',(1.E0,0.E0,0.E0)); +#8469=AXIS2_PLACEMENT_3D('',#8466,#8467,#8468); +#8471=CARTESIAN_POINT('',(2.E0,-4.000000000001E0,-3.8075E1)); +#8472=DIRECTION('',(0.E0,0.E0,1.E0)); +#8473=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8474=AXIS2_PLACEMENT_3D('',#8471,#8472,#8473); +#8476=CARTESIAN_POINT('',(6.E0,-4.000000000001E0,-3.8075E1)); +#8477=DIRECTION('',(0.E0,0.E0,1.E0)); +#8478=DIRECTION('',(1.E0,0.E0,0.E0)); +#8479=AXIS2_PLACEMENT_3D('',#8476,#8477,#8478); +#8481=CARTESIAN_POINT('',(6.E0,-4.000000000001E0,-3.8075E1)); +#8482=DIRECTION('',(0.E0,0.E0,1.E0)); +#8483=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8484=AXIS2_PLACEMENT_3D('',#8481,#8482,#8483); +#8486=CARTESIAN_POINT('',(1.E1,-4.000000000001E0,-3.8075E1)); +#8487=DIRECTION('',(0.E0,0.E0,1.E0)); +#8488=DIRECTION('',(1.E0,0.E0,0.E0)); +#8489=AXIS2_PLACEMENT_3D('',#8486,#8487,#8488); +#8491=CARTESIAN_POINT('',(1.E1,-4.000000000001E0,-3.8075E1)); +#8492=DIRECTION('',(0.E0,0.E0,1.E0)); +#8493=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8494=AXIS2_PLACEMENT_3D('',#8491,#8492,#8493); +#8496=CARTESIAN_POINT('',(1.4E1,-4.000000000001E0,-3.8075E1)); +#8497=DIRECTION('',(0.E0,0.E0,1.E0)); +#8498=DIRECTION('',(1.E0,0.E0,0.E0)); +#8499=AXIS2_PLACEMENT_3D('',#8496,#8497,#8498); +#8501=CARTESIAN_POINT('',(1.4E1,-4.000000000001E0,-3.8075E1)); +#8502=DIRECTION('',(0.E0,0.E0,1.E0)); +#8503=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8504=AXIS2_PLACEMENT_3D('',#8501,#8502,#8503); +#8506=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8507=VECTOR('',#8506,1.2075E1); +#8508=CARTESIAN_POINT('',(-9.35E0,4.000000000001E0,-2.6E1)); +#8509=LINE('',#8508,#8507); +#8510=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8511=VECTOR('',#8510,1.2075E1); +#8512=CARTESIAN_POINT('',(-1.065E1,4.000000000001E0,-2.6E1)); +#8513=LINE('',#8512,#8511); +#8514=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8515=VECTOR('',#8514,1.2075E1); +#8516=CARTESIAN_POINT('',(-5.35E0,4.000000000001E0,-2.6E1)); +#8517=LINE('',#8516,#8515); +#8518=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8519=VECTOR('',#8518,1.2075E1); +#8520=CARTESIAN_POINT('',(-6.65E0,4.000000000001E0,-2.6E1)); +#8521=LINE('',#8520,#8519); +#8522=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8523=VECTOR('',#8522,1.2075E1); +#8524=CARTESIAN_POINT('',(-1.35E0,4.000000000001E0,-2.6E1)); +#8525=LINE('',#8524,#8523); +#8526=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8527=VECTOR('',#8526,1.2075E1); +#8528=CARTESIAN_POINT('',(-2.65E0,4.000000000001E0,-2.6E1)); +#8529=LINE('',#8528,#8527); +#8530=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8531=VECTOR('',#8530,1.2075E1); +#8532=CARTESIAN_POINT('',(2.65E0,4.000000000001E0,-2.6E1)); +#8533=LINE('',#8532,#8531); +#8534=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8535=VECTOR('',#8534,1.2075E1); +#8536=CARTESIAN_POINT('',(1.35E0,4.000000000001E0,-2.6E1)); +#8537=LINE('',#8536,#8535); +#8538=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8539=VECTOR('',#8538,1.2075E1); +#8540=CARTESIAN_POINT('',(6.65E0,4.000000000001E0,-2.6E1)); +#8541=LINE('',#8540,#8539); +#8542=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8543=VECTOR('',#8542,1.2075E1); +#8544=CARTESIAN_POINT('',(5.35E0,4.000000000001E0,-2.6E1)); +#8545=LINE('',#8544,#8543); +#8546=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8547=VECTOR('',#8546,1.2075E1); +#8548=CARTESIAN_POINT('',(1.065E1,4.000000000001E0,-2.6E1)); +#8549=LINE('',#8548,#8547); +#8550=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8551=VECTOR('',#8550,1.2075E1); +#8552=CARTESIAN_POINT('',(9.35E0,4.000000000001E0,-2.6E1)); +#8553=LINE('',#8552,#8551); +#8554=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8555=VECTOR('',#8554,1.2075E1); +#8556=CARTESIAN_POINT('',(1.465E1,4.000000000001E0,-2.6E1)); +#8557=LINE('',#8556,#8555); +#8558=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8559=VECTOR('',#8558,1.2075E1); +#8560=CARTESIAN_POINT('',(1.335E1,4.000000000001E0,-2.6E1)); +#8561=LINE('',#8560,#8559); +#8562=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8563=VECTOR('',#8562,1.2075E1); +#8564=CARTESIAN_POINT('',(-1.135E1,1.069728979055E-14,-2.6E1)); +#8565=LINE('',#8564,#8563); +#8566=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8567=VECTOR('',#8566,1.2075E1); +#8568=CARTESIAN_POINT('',(-1.265E1,1.085649387444E-14,-2.6E1)); +#8569=LINE('',#8568,#8567); +#8570=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8571=VECTOR('',#8570,1.2075E1); +#8572=CARTESIAN_POINT('',(-7.35E0,1.118714851021E-14,-2.6E1)); +#8573=LINE('',#8572,#8571); +#8574=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8575=VECTOR('',#8574,1.2075E1); +#8576=CARTESIAN_POINT('',(-8.65E0,1.134635259410E-14,-2.6E1)); +#8577=LINE('',#8576,#8575); +#8578=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8579=VECTOR('',#8578,1.2075E1); +#8580=CARTESIAN_POINT('',(-3.35E0,1.167700722987E-14,-2.6E1)); +#8581=LINE('',#8580,#8579); +#8582=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8583=VECTOR('',#8582,1.2075E1); +#8584=CARTESIAN_POINT('',(-4.65E0,1.183621131376E-14,-2.6E1)); +#8585=LINE('',#8584,#8583); +#8586=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8587=VECTOR('',#8586,1.2075E1); +#8588=CARTESIAN_POINT('',(6.5E-1,1.216686594953E-14,-2.6E1)); +#8589=LINE('',#8588,#8587); +#8590=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8591=VECTOR('',#8590,1.2075E1); +#8592=CARTESIAN_POINT('',(-6.5E-1,1.232607003342E-14,-2.6E1)); +#8593=LINE('',#8592,#8591); +#8594=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8595=VECTOR('',#8594,1.2075E1); +#8596=CARTESIAN_POINT('',(4.65E0,1.167700722987E-14,-2.6E1)); +#8597=LINE('',#8596,#8595); +#8598=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8599=VECTOR('',#8598,1.2075E1); +#8600=CARTESIAN_POINT('',(3.35E0,1.183621131376E-14,-2.6E1)); +#8601=LINE('',#8600,#8599); +#8602=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8603=VECTOR('',#8602,1.2075E1); +#8604=CARTESIAN_POINT('',(8.65E0,1.118714851021E-14,-2.6E1)); +#8605=LINE('',#8604,#8603); +#8606=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8607=VECTOR('',#8606,1.2075E1); +#8608=CARTESIAN_POINT('',(7.35E0,1.134635259410E-14,-2.6E1)); +#8609=LINE('',#8608,#8607); +#8610=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8611=VECTOR('',#8610,1.2075E1); +#8612=CARTESIAN_POINT('',(1.265E1,1.069728979055E-14,-2.6E1)); +#8613=LINE('',#8612,#8611); +#8614=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8615=VECTOR('',#8614,1.2075E1); +#8616=CARTESIAN_POINT('',(1.135E1,1.085649387444E-14,-2.6E1)); +#8617=LINE('',#8616,#8615); +#8618=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8619=VECTOR('',#8618,1.2075E1); +#8620=CARTESIAN_POINT('',(-1.335E1,-4.000000000001E0,-2.6E1)); +#8621=LINE('',#8620,#8619); +#8622=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8623=VECTOR('',#8622,1.2075E1); +#8624=CARTESIAN_POINT('',(-1.465E1,-4.000000000001E0,-2.6E1)); +#8625=LINE('',#8624,#8623); +#8626=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8627=VECTOR('',#8626,1.2075E1); +#8628=CARTESIAN_POINT('',(-9.35E0,-4.000000000001E0,-2.6E1)); +#8629=LINE('',#8628,#8627); +#8630=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8631=VECTOR('',#8630,1.2075E1); +#8632=CARTESIAN_POINT('',(-1.065E1,-4.000000000001E0,-2.6E1)); +#8633=LINE('',#8632,#8631); +#8634=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8635=VECTOR('',#8634,1.2075E1); +#8636=CARTESIAN_POINT('',(-5.35E0,-4.000000000001E0,-2.6E1)); +#8637=LINE('',#8636,#8635); +#8638=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8639=VECTOR('',#8638,1.2075E1); +#8640=CARTESIAN_POINT('',(-6.65E0,-4.000000000001E0,-2.6E1)); +#8641=LINE('',#8640,#8639); +#8642=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8643=VECTOR('',#8642,1.2075E1); +#8644=CARTESIAN_POINT('',(-1.35E0,-4.000000000001E0,-2.6E1)); +#8645=LINE('',#8644,#8643); +#8646=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8647=VECTOR('',#8646,1.2075E1); +#8648=CARTESIAN_POINT('',(-2.65E0,-4.000000000001E0,-2.6E1)); +#8649=LINE('',#8648,#8647); +#8650=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8651=VECTOR('',#8650,1.2075E1); +#8652=CARTESIAN_POINT('',(2.65E0,-4.000000000001E0,-2.6E1)); +#8653=LINE('',#8652,#8651); +#8654=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8655=VECTOR('',#8654,1.2075E1); +#8656=CARTESIAN_POINT('',(1.35E0,-4.000000000001E0,-2.6E1)); +#8657=LINE('',#8656,#8655); +#8658=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8659=VECTOR('',#8658,1.2075E1); +#8660=CARTESIAN_POINT('',(6.65E0,-4.000000000001E0,-2.6E1)); +#8661=LINE('',#8660,#8659); +#8662=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8663=VECTOR('',#8662,1.2075E1); +#8664=CARTESIAN_POINT('',(5.35E0,-4.000000000001E0,-2.6E1)); +#8665=LINE('',#8664,#8663); +#8666=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8667=VECTOR('',#8666,1.2075E1); +#8668=CARTESIAN_POINT('',(1.065E1,-4.000000000001E0,-2.6E1)); +#8669=LINE('',#8668,#8667); +#8670=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8671=VECTOR('',#8670,1.2075E1); +#8672=CARTESIAN_POINT('',(9.35E0,-4.000000000001E0,-2.6E1)); +#8673=LINE('',#8672,#8671); +#8674=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8675=VECTOR('',#8674,1.2075E1); +#8676=CARTESIAN_POINT('',(1.465E1,-4.000000000001E0,-2.6E1)); +#8677=LINE('',#8676,#8675); +#8678=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8679=VECTOR('',#8678,1.2075E1); +#8680=CARTESIAN_POINT('',(1.335E1,-4.000000000001E0,-2.6E1)); +#8681=LINE('',#8680,#8679); +#8682=CARTESIAN_POINT('',(2.99E1,9.699999999999E0,-2.15E1)); +#8683=DIRECTION('',(0.E0,1.E0,0.E0)); +#8684=DIRECTION('',(1.E0,0.E0,0.E0)); +#8685=AXIS2_PLACEMENT_3D('',#8682,#8683,#8684); +#8687=CARTESIAN_POINT('',(2.99E1,-6.200000000001E0,-2.15E1)); +#8688=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8689=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8690=AXIS2_PLACEMENT_3D('',#8687,#8688,#8689); +#8692=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8693=VECTOR('',#8692,1.59E1); +#8694=CARTESIAN_POINT('',(3.04E1,9.699999999999E0,-2.15E1)); +#8695=LINE('',#8694,#8693); +#8696=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8697=VECTOR('',#8696,2.649999999821E0); +#8698=CARTESIAN_POINT('',(3.04E1,-8.400000000001E0,-2.15E1)); +#8699=LINE('',#8698,#8697); +#8700=DIRECTION('',(0.E0,1.191840700206E-10,-1.E0)); +#8701=VECTOR('',#8700,1.5E0); +#8702=CARTESIAN_POINT('',(3.04E1,-1.105E1,-2.E1)); +#8703=LINE('',#8702,#8701); +#8704=DIRECTION('',(0.E0,0.E0,1.E0)); +#8705=VECTOR('',#8704,7.E0); +#8706=CARTESIAN_POINT('',(3.04E1,-6.200000000001E0,-2.85E1)); +#8707=LINE('',#8706,#8705); +#8708=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8709=VECTOR('',#8708,6.5E0); +#8710=CARTESIAN_POINT('',(2.860440890611E1,-6.200000000001E0,-2.2E1)); +#8711=LINE('',#8710,#8709); +#8712=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-3.375E1)); +#8713=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8714=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8715=AXIS2_PLACEMENT_3D('',#8712,#8713,#8714); +#8717=DIRECTION('',(0.E0,6.314319226216E-10,-1.E0)); +#8718=VECTOR('',#8717,5.25E0); +#8719=CARTESIAN_POINT('',(2.82E1,-7.300000000001E0,-2.85E1)); +#8720=LINE('',#8719,#8718); +#8721=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-2.85E1)); +#8722=DIRECTION('',(0.E0,0.E0,1.E0)); +#8723=DIRECTION('',(1.E0,0.E0,0.E0)); +#8724=AXIS2_PLACEMENT_3D('',#8721,#8722,#8723); +#8726=DIRECTION('',(-3.256925669330E-10,3.695531402260E-10,1.E0)); +#8727=VECTOR('',#8726,6.5E0); +#8728=CARTESIAN_POINT('',(2.775330668170E1,-5.725000002403E0,-2.85E1)); +#8729=LINE('',#8728,#8727); +#8730=CARTESIAN_POINT('',(2.27E1,-7.300000000001E0,-3.375E1)); +#8731=DIRECTION('',(0.E0,1.E0,0.E0)); +#8732=DIRECTION('',(6.394884621841E-14,0.E0,-1.E0)); +#8733=AXIS2_PLACEMENT_3D('',#8730,#8731,#8732); +#8735=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-3.425E1)); +#8736=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8737=DIRECTION('',(1.E0,0.E0,0.E0)); +#8738=AXIS2_PLACEMENT_3D('',#8735,#8736,#8737); +#8740=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-3.425E1)); +#8741=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8742=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8743=AXIS2_PLACEMENT_3D('',#8740,#8741,#8742); +#8745=CARTESIAN_POINT('',(-2.37E1,1.08E1,-3.425E1)); +#8746=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8747=DIRECTION('',(1.E0,0.E0,0.E0)); +#8748=AXIS2_PLACEMENT_3D('',#8745,#8746,#8747); +#8750=CARTESIAN_POINT('',(-2.37E1,1.08E1,-3.425E1)); +#8751=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8752=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8753=AXIS2_PLACEMENT_3D('',#8750,#8751,#8752); +#8755=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-3.425E1)); +#8756=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8757=DIRECTION('',(1.E0,0.E0,0.E0)); +#8758=AXIS2_PLACEMENT_3D('',#8755,#8756,#8757); +#8760=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-3.425E1)); +#8761=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8762=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8763=AXIS2_PLACEMENT_3D('',#8760,#8761,#8762); +#8765=CARTESIAN_POINT('',(2.37E1,1.08E1,-3.425E1)); +#8766=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8767=DIRECTION('',(1.E0,0.E0,0.E0)); +#8768=AXIS2_PLACEMENT_3D('',#8765,#8766,#8767); +#8770=CARTESIAN_POINT('',(2.37E1,1.08E1,-3.425E1)); +#8771=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8772=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8773=AXIS2_PLACEMENT_3D('',#8770,#8771,#8772); +#8775=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-3.425E1)); +#8776=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8777=DIRECTION('',(1.E0,0.E0,0.E0)); +#8778=AXIS2_PLACEMENT_3D('',#8775,#8776,#8777); +#8780=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-3.425E1)); +#8781=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8782=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8783=AXIS2_PLACEMENT_3D('',#8780,#8781,#8782); +#8785=CARTESIAN_POINT('',(2.37E1,1.08E1,-3.425E1)); +#8786=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8787=DIRECTION('',(1.E0,0.E0,0.E0)); +#8788=AXIS2_PLACEMENT_3D('',#8785,#8786,#8787); +#8790=CARTESIAN_POINT('',(2.37E1,1.08E1,-3.425E1)); +#8791=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8792=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8793=AXIS2_PLACEMENT_3D('',#8790,#8791,#8792); +#8795=CARTESIAN_POINT('',(-2.27E1,-7.300000000001E0,-3.375E1)); +#8796=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8797=DIRECTION('',(-7.105427357601E-14,0.E0,-1.E0)); +#8798=AXIS2_PLACEMENT_3D('',#8795,#8796,#8797); +#8800=CARTESIAN_POINT('',(-2.77E1,-7.300000000001E0,-3.375E1)); +#8801=DIRECTION('',(0.E0,1.E0,0.E0)); +#8802=DIRECTION('',(5.684341886081E-14,0.E0,-1.E0)); +#8803=AXIS2_PLACEMENT_3D('',#8800,#8801,#8802); +#8805=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-3.375E1)); +#8806=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8807=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8808=AXIS2_PLACEMENT_3D('',#8805,#8806,#8807); +#8810=DIRECTION('',(0.E0,2.821291569160E-10,-1.E0)); +#8811=VECTOR('',#8810,1.175E1); +#8812=CARTESIAN_POINT('',(-2.22E1,-7.300000000001E0,-2.2E1)); +#8813=LINE('',#8812,#8811); +#8814=DIRECTION('',(3.256925669330E-10,3.695527302975E-10,1.E0)); +#8815=VECTOR('',#8814,6.5E0); +#8816=CARTESIAN_POINT('',(-2.775330668170E1,-5.725000002403E0,-2.85E1)); +#8817=LINE('',#8816,#8815); +#8818=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-2.85E1)); +#8819=DIRECTION('',(0.E0,0.E0,1.E0)); +#8820=DIRECTION('',(-8.511022281843E-1,5.249999973140E-1,0.E0)); +#8821=AXIS2_PLACEMENT_3D('',#8818,#8819,#8820); +#8823=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-3.375E1)); +#8824=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8825=DIRECTION('',(1.E0,0.E0,0.E0)); +#8826=AXIS2_PLACEMENT_3D('',#8823,#8824,#8825); +#8828=DIRECTION('',(0.E0,-6.314322609753E-10,-1.E0)); +#8829=VECTOR('',#8828,5.25E0); +#8830=CARTESIAN_POINT('',(-2.82E1,-7.300000000001E0,-2.85E1)); +#8831=LINE('',#8830,#8829); +#8832=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-2.85E1)); +#8833=DIRECTION('',(0.E0,0.E0,1.E0)); +#8834=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8835=AXIS2_PLACEMENT_3D('',#8832,#8833,#8834); +#8837=DIRECTION('',(-2.584686652741E-10,2.932762408993E-10,1.E0)); +#8838=VECTOR('',#8837,6.5E0); +#8839=CARTESIAN_POINT('',(-2.775330667790E1,-8.875000001907E0,-2.85E1)); +#8840=LINE('',#8839,#8838); +#8841=CARTESIAN_POINT('',(2.710440890611E1,8.699999999999E0,-2.85E1)); +#8842=DIRECTION('',(0.E0,0.E0,1.E0)); +#8843=DIRECTION('',(0.E0,1.E0,0.E0)); +#8844=AXIS2_PLACEMENT_3D('',#8841,#8842,#8843); +#8846=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8847=VECTOR('',#8846,3.295591093890E0); +#8848=CARTESIAN_POINT('',(3.04E1,9.699999999999E0,-2.85E1)); +#8849=LINE('',#8848,#8847); +#8850=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8851=VECTOR('',#8850,1.350000000001E0); +#8852=CARTESIAN_POINT('',(3.04E1,1.105E1,-2.85E1)); +#8853=LINE('',#8852,#8851); +#8854=CARTESIAN_POINT('',(2.54E1,1.105E1,-2.85E1)); +#8855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8856=DIRECTION('',(9.854440623394E-1,1.699999999999E-1,0.E0)); +#8857=AXIS2_PLACEMENT_3D('',#8854,#8855,#8856); +#8859=DIRECTION('',(1.E0,0.E0,0.E0)); +#8860=VECTOR('',#8859,3.222811405587E0); +#8861=CARTESIAN_POINT('',(2.710440890611E1,1.19E1,-2.85E1)); +#8862=LINE('',#8861,#8860); +#8863=CARTESIAN_POINT('',(2.710440890611E1,1.29E1,-2.85E1)); +#8864=DIRECTION('',(0.E0,0.E0,1.E0)); +#8865=DIRECTION('',(-8.511022304720E-1,-5.249999936053E-1,0.E0)); +#8866=AXIS2_PLACEMENT_3D('',#8863,#8864,#8865); +#8868=CARTESIAN_POINT('',(-2.710440890611E1,1.29E1,-2.85E1)); +#8869=DIRECTION('',(0.E0,0.E0,1.E0)); +#8870=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8871=AXIS2_PLACEMENT_3D('',#8868,#8869,#8870); +#8873=DIRECTION('',(1.E0,0.E0,0.E0)); +#8874=VECTOR('',#8873,3.222811405587E0); +#8875=CARTESIAN_POINT('',(-3.032722031170E1,1.19E1,-2.85E1)); +#8876=LINE('',#8875,#8874); +#8877=CARTESIAN_POINT('',(-2.54E1,1.105E1,-2.85E1)); +#8878=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8879=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8880=AXIS2_PLACEMENT_3D('',#8877,#8878,#8879); +#8882=DIRECTION('',(0.E0,1.E0,0.E0)); +#8883=VECTOR('',#8882,1.350000000001E0); +#8884=CARTESIAN_POINT('',(-3.04E1,9.699999999999E0,-2.85E1)); +#8885=LINE('',#8884,#8883); +#8886=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8887=VECTOR('',#8886,3.295591093890E0); +#8888=CARTESIAN_POINT('',(-2.710440890611E1,9.699999999999E0,-2.85E1)); +#8889=LINE('',#8888,#8887); +#8890=CARTESIAN_POINT('',(-2.710440890611E1,8.699999999999E0,-2.85E1)); +#8891=DIRECTION('',(0.E0,0.E0,1.E0)); +#8892=DIRECTION('',(8.511022215570E-1,5.250000080579E-1,0.E0)); +#8893=AXIS2_PLACEMENT_3D('',#8890,#8891,#8892); +#8895=CARTESIAN_POINT('',(-2.860440890611E1,-5.200000000001E0,-2.85E1)); +#8896=DIRECTION('',(0.E0,0.E0,1.E0)); +#8897=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8898=AXIS2_PLACEMENT_3D('',#8895,#8896,#8897); +#8900=DIRECTION('',(1.E0,0.E0,0.E0)); +#8901=VECTOR('',#8900,1.795591093890E0); +#8902=CARTESIAN_POINT('',(-3.04E1,-6.200000000001E0,-2.85E1)); +#8903=LINE('',#8902,#8901); +#8904=DIRECTION('',(0.E0,1.E0,0.E0)); +#8905=VECTOR('',#8904,2.2E0); +#8906=CARTESIAN_POINT('',(-3.04E1,-8.400000000001E0,-2.85E1)); +#8907=LINE('',#8906,#8905); +#8908=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8909=VECTOR('',#8908,1.795591093890E0); +#8910=CARTESIAN_POINT('',(-2.860440890611E1,-8.400000000001E0,-2.85E1)); +#8911=LINE('',#8910,#8909); +#8912=CARTESIAN_POINT('',(-2.860440890611E1,-9.400000000001E0,-2.85E1)); +#8913=DIRECTION('',(0.E0,0.E0,1.E0)); +#8914=DIRECTION('',(8.511022304720E-1,5.249999936053E-1,0.E0)); +#8915=AXIS2_PLACEMENT_3D('',#8912,#8913,#8914); +#8917=CARTESIAN_POINT('',(2.860440890611E1,-9.400000000001E0,-2.85E1)); +#8918=DIRECTION('',(0.E0,0.E0,1.E0)); +#8919=DIRECTION('',(0.E0,1.E0,0.E0)); +#8920=AXIS2_PLACEMENT_3D('',#8917,#8918,#8919); +#8922=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8923=VECTOR('',#8922,1.795591093890E0); +#8924=CARTESIAN_POINT('',(3.04E1,-8.400000000001E0,-2.85E1)); +#8925=LINE('',#8924,#8923); +#8926=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8927=VECTOR('',#8926,2.2E0); +#8928=CARTESIAN_POINT('',(3.04E1,-6.200000000001E0,-2.85E1)); +#8929=LINE('',#8928,#8927); +#8930=DIRECTION('',(1.E0,0.E0,0.E0)); +#8931=VECTOR('',#8930,1.795591093890E0); +#8932=CARTESIAN_POINT('',(2.860440890611E1,-6.200000000001E0,-2.85E1)); +#8933=LINE('',#8932,#8931); +#8934=CARTESIAN_POINT('',(2.860440890611E1,-5.200000000001E0,-2.85E1)); +#8935=DIRECTION('',(0.E0,0.E0,1.E0)); +#8936=DIRECTION('',(-8.511022215570E-1,-5.250000080579E-1,0.E0)); +#8937=AXIS2_PLACEMENT_3D('',#8934,#8935,#8936); +#8939=CARTESIAN_POINT('',(2.37E1,1.08E1,-3.375E1)); +#8940=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8941=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8942=AXIS2_PLACEMENT_3D('',#8939,#8940,#8941); +#8944=DIRECTION('',(0.E0,6.314315842679E-10,-1.E0)); +#8945=VECTOR('',#8944,5.25E0); +#8946=CARTESIAN_POINT('',(2.67E1,1.08E1,-2.85E1)); +#8947=LINE('',#8946,#8945); +#8948=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.85E1)); +#8949=DIRECTION('',(0.E0,0.E0,1.E0)); +#8950=DIRECTION('',(1.E0,0.E0,0.E0)); +#8951=AXIS2_PLACEMENT_3D('',#8948,#8949,#8950); +#8953=DIRECTION('',(2.584697584168E-10,-2.932765141850E-10,1.E0)); +#8954=VECTOR('',#8953,6.5E0); +#8955=CARTESIAN_POINT('',(2.625330667790E1,1.237500000191E1,-2.85E1)); +#8956=LINE('',#8955,#8954); +#8957=CARTESIAN_POINT('',(2.12E1,1.08E1,-3.375E1)); +#8958=DIRECTION('',(0.E0,1.E0,0.E0)); +#8959=DIRECTION('',(7.105427357601E-14,0.E0,-1.E0)); +#8960=AXIS2_PLACEMENT_3D('',#8957,#8958,#8959); +#8962=CARTESIAN_POINT('',(2.62E1,1.08E1,-3.375E1)); +#8963=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8964=DIRECTION('',(-6.394884621841E-14,0.E0,-1.E0)); +#8965=AXIS2_PLACEMENT_3D('',#8962,#8963,#8964); +#8967=CARTESIAN_POINT('',(2.37E1,1.08E1,-3.375E1)); +#8968=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8969=DIRECTION('',(1.E0,0.E0,0.E0)); +#8970=AXIS2_PLACEMENT_3D('',#8967,#8968,#8969); +#8972=DIRECTION('',(0.E0,-2.821290057367E-10,-1.E0)); +#8973=VECTOR('',#8972,1.175E1); +#8974=CARTESIAN_POINT('',(2.07E1,1.08E1,-2.2E1)); +#8975=LINE('',#8974,#8973); +#8976=DIRECTION('',(-3.256920203617E-10,-3.695532768688E-10,1.E0)); +#8977=VECTOR('',#8976,6.5E0); +#8978=CARTESIAN_POINT('',(2.625330668170E1,9.225000002401E0,-2.85E1)); +#8979=LINE('',#8978,#8977); +#8980=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.85E1)); +#8981=DIRECTION('',(0.E0,0.E0,1.E0)); +#8982=DIRECTION('',(8.511022281843E-1,-5.249999973140E-1,0.E0)); +#8983=AXIS2_PLACEMENT_3D('',#8980,#8981,#8982); +#8985=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8986=VECTOR('',#8985,6.5E0); +#8987=CARTESIAN_POINT('',(2.710440890611E1,9.699999999999E0,-2.2E1)); +#8988=LINE('',#8987,#8986); +#8989=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8990=VECTOR('',#8989,7.E0); +#8991=CARTESIAN_POINT('',(3.04E1,9.699999999999E0,-2.15E1)); +#8992=LINE('',#8991,#8990); +#8993=DIRECTION('',(0.E0,0.E0,1.E0)); +#8994=VECTOR('',#8993,7.E0); +#8995=CARTESIAN_POINT('',(3.032722031170E1,1.19E1,-2.85E1)); +#8996=LINE('',#8995,#8994); +#8997=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8998=VECTOR('',#8997,6.5E0); +#8999=CARTESIAN_POINT('',(2.710440890611E1,1.19E1,-2.2E1)); +#9000=LINE('',#8999,#8998); +#9001=CARTESIAN_POINT('',(2.54E1,1.105E1,-2.15E1)); +#9002=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9003=DIRECTION('',(0.E0,1.E0,0.E0)); +#9004=AXIS2_PLACEMENT_3D('',#9001,#9002,#9003); +#9006=DIRECTION('',(0.E0,0.E0,1.E0)); +#9007=VECTOR('',#9006,8.5E0); +#9008=CARTESIAN_POINT('',(3.04E1,1.105E1,-2.85E1)); +#9009=LINE('',#9008,#9007); +#9010=CARTESIAN_POINT('',(2.54E1,1.555E1,-2.15E1)); +#9011=DIRECTION('',(1.E0,0.E0,0.E0)); +#9012=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9013=AXIS2_PLACEMENT_3D('',#9010,#9011,#9012); +#9015=CARTESIAN_POINT('',(2.981899309798E1,1.19E1,-2.2E1)); +#9016=CARTESIAN_POINT('',(2.987413265707E1,1.19E1,-2.2E1)); +#9017=CARTESIAN_POINT('',(2.997842869176E1,1.19E1,-2.198348798573E1)); +#9018=CARTESIAN_POINT('',(3.012477798751E1,1.19E1,-2.190998468213E1)); +#9019=CARTESIAN_POINT('',(3.023751122442E1,1.19E1,-2.179828426320E1)); +#9020=CARTESIAN_POINT('',(3.031092134132E1,1.19E1,-2.165479505251E1)); +#9021=CARTESIAN_POINT('',(3.032722031170E1,1.19E1,-2.155341247313E1)); +#9022=CARTESIAN_POINT('',(3.032722031170E1,1.19E1,-2.15E1)); +#9024=CARTESIAN_POINT('',(-2.54E1,1.555E1,-2.15E1)); +#9025=DIRECTION('',(1.E0,0.E0,0.E0)); +#9026=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9027=AXIS2_PLACEMENT_3D('',#9024,#9025,#9026); +#9029=DIRECTION('',(1.E0,0.E0,0.E0)); +#9030=VECTOR('',#9029,5.079999999927E1); +#9031=CARTESIAN_POINT('',(-2.539999999968E1,1.605E1,-2.15E1)); +#9032=LINE('',#9031,#9030); +#9033=DIRECTION('',(-2.750510930127E-10,0.E0,-1.E0)); +#9034=VECTOR('',#9033,1.5E0); +#9035=CARTESIAN_POINT('',(2.54E1,1.605E1,-2.E1)); +#9036=LINE('',#9035,#9034); +#9037=CARTESIAN_POINT('',(-2.37E1,1.08E1,-3.375E1)); +#9038=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9039=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9040=AXIS2_PLACEMENT_3D('',#9037,#9038,#9039); +#9042=DIRECTION('',(0.E0,2.821290057367E-10,-1.E0)); +#9043=VECTOR('',#9042,1.175E1); +#9044=CARTESIAN_POINT('',(-2.07E1,1.08E1,-2.2E1)); +#9045=LINE('',#9044,#9043); +#9046=DIRECTION('',(-2.584692118454E-10,-2.932765141850E-10,1.E0)); +#9047=VECTOR('',#9046,6.5E0); +#9048=CARTESIAN_POINT('',(-2.625330667790E1,1.237500000191E1,-2.85E1)); +#9049=LINE('',#9048,#9047); +#9050=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.85E1)); +#9051=DIRECTION('',(0.E0,0.E0,1.E0)); +#9052=DIRECTION('',(-8.511022252126E-1,5.250000021316E-1,0.E0)); +#9053=AXIS2_PLACEMENT_3D('',#9050,#9051,#9052); +#9055=CARTESIAN_POINT('',(-2.62E1,1.08E1,-3.375E1)); +#9056=DIRECTION('',(0.E0,1.E0,0.E0)); +#9057=DIRECTION('',(6.394884621841E-14,0.E0,-1.E0)); +#9058=AXIS2_PLACEMENT_3D('',#9055,#9056,#9057); +#9060=CARTESIAN_POINT('',(-2.12E1,1.08E1,-3.375E1)); +#9061=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9062=DIRECTION('',(-6.394884621841E-14,0.E0,-1.E0)); +#9063=AXIS2_PLACEMENT_3D('',#9060,#9061,#9062); +#9065=CARTESIAN_POINT('',(-2.37E1,1.08E1,-3.375E1)); +#9066=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9067=DIRECTION('',(1.E0,0.E0,0.E0)); +#9068=AXIS2_PLACEMENT_3D('',#9065,#9066,#9067); +#9070=DIRECTION('',(0.E0,-6.314319226216E-10,-1.E0)); +#9071=VECTOR('',#9070,5.25E0); +#9072=CARTESIAN_POINT('',(-2.67E1,1.08E1,-2.85E1)); +#9073=LINE('',#9072,#9071); +#9074=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.85E1)); +#9075=DIRECTION('',(0.E0,0.E0,1.E0)); +#9076=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9077=AXIS2_PLACEMENT_3D('',#9074,#9075,#9076); +#9079=DIRECTION('',(3.256936600757E-10,-3.695551898685E-10,1.E0)); +#9080=VECTOR('',#9079,6.5E0); +#9081=CARTESIAN_POINT('',(-2.625330668170E1,9.225000002401E0,-2.85E1)); +#9082=LINE('',#9081,#9080); +#9083=DIRECTION('',(0.E0,0.E0,1.E0)); +#9084=VECTOR('',#9083,7.E0); +#9085=CARTESIAN_POINT('',(-3.04E1,9.699999999999E0,-2.85E1)); +#9086=LINE('',#9085,#9084); +#9087=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9088=VECTOR('',#9087,6.5E0); +#9089=CARTESIAN_POINT('',(-2.710440890611E1,9.699999999999E0,-2.2E1)); +#9090=LINE('',#9089,#9088); +#9091=DIRECTION('',(0.E0,1.E0,0.E0)); +#9092=VECTOR('',#9091,2.649999999937E0); +#9093=CARTESIAN_POINT('',(-3.04E1,-1.104999999994E1,-2.15E1)); +#9094=LINE('',#9093,#9092); +#9095=DIRECTION('',(0.E0,1.E0,0.E0)); +#9096=VECTOR('',#9095,1.59E1); +#9097=CARTESIAN_POINT('',(-3.04E1,-6.200000000001E0,-2.15E1)); +#9098=LINE('',#9097,#9096); +#9099=CARTESIAN_POINT('',(-2.99E1,-1.105E1,-2.15E1)); +#9100=DIRECTION('',(0.E0,1.E0,0.E0)); +#9101=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9102=AXIS2_PLACEMENT_3D('',#9099,#9100,#9101); +#9104=CARTESIAN_POINT('',(-2.99E1,-8.400000000001E0,-2.15E1)); +#9105=DIRECTION('',(0.E0,1.E0,0.E0)); +#9106=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9107=AXIS2_PLACEMENT_3D('',#9104,#9105,#9106); +#9109=CARTESIAN_POINT('',(-2.54E1,-1.555E1,-2.15E1)); +#9110=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9111=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9112=AXIS2_PLACEMENT_3D('',#9109,#9110,#9111); +#9114=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-2.15E1)); +#9115=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9116=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9117=AXIS2_PLACEMENT_3D('',#9114,#9115,#9116); +#9119=DIRECTION('',(0.E0,4.157030275564E-11,-1.E0)); +#9120=VECTOR('',#9119,1.5E0); +#9121=CARTESIAN_POINT('',(-3.04E1,-1.105E1,-2.E1)); +#9122=LINE('',#9121,#9120); +#9123=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9124=VECTOR('',#9123,5.079999999976E1); +#9125=CARTESIAN_POINT('',(2.539999999994E1,-1.605E1,-2.15E1)); +#9126=LINE('',#9125,#9124); +#9127=DIRECTION('',(1.191817015448E-10,0.E0,-1.E0)); +#9128=VECTOR('',#9127,1.5E0); +#9129=CARTESIAN_POINT('',(-2.54E1,-1.605E1,-2.E1)); +#9130=LINE('',#9129,#9128); +#9131=CARTESIAN_POINT('',(2.54E1,-1.555E1,-2.15E1)); +#9132=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9133=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9134=AXIS2_PLACEMENT_3D('',#9131,#9132,#9133); +#9136=CARTESIAN_POINT('',(2.99E1,-1.105E1,-2.15E1)); +#9137=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9138=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9139=AXIS2_PLACEMENT_3D('',#9136,#9137,#9138); +#9141=CARTESIAN_POINT('',(2.54E1,-1.105E1,-2.15E1)); +#9142=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9143=DIRECTION('',(1.E0,0.E0,0.E0)); +#9144=AXIS2_PLACEMENT_3D('',#9141,#9142,#9143); +#9146=DIRECTION('',(-4.156911851775E-11,0.E0,-1.E0)); +#9147=VECTOR('',#9146,1.5E0); +#9148=CARTESIAN_POINT('',(2.54E1,-1.605E1,-2.E1)); +#9149=LINE('',#9148,#9147); +#9150=CARTESIAN_POINT('',(2.99E1,-8.400000000001E0,-2.15E1)); +#9151=DIRECTION('',(0.E0,1.E0,0.E0)); +#9152=DIRECTION('',(1.E0,0.E0,0.E0)); +#9153=AXIS2_PLACEMENT_3D('',#9150,#9151,#9152); +#9155=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9156=VECTOR('',#9155,7.E0); +#9157=CARTESIAN_POINT('',(3.04E1,-8.400000000001E0,-2.15E1)); +#9158=LINE('',#9157,#9156); +#9159=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9160=VECTOR('',#9159,6.5E0); +#9161=CARTESIAN_POINT('',(2.860440890611E1,-8.400000000001E0,-2.2E1)); +#9162=LINE('',#9161,#9160); +#9163=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-3.375E1)); +#9164=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9165=DIRECTION('',(1.E0,0.E0,0.E0)); +#9166=AXIS2_PLACEMENT_3D('',#9163,#9164,#9165); +#9168=DIRECTION('',(0.E0,-2.821291569160E-10,-1.E0)); +#9169=VECTOR('',#9168,1.175E1); +#9170=CARTESIAN_POINT('',(2.22E1,-7.300000000001E0,-2.2E1)); +#9171=LINE('',#9170,#9169); +#9172=DIRECTION('',(2.584708515594E-10,2.932787004703E-10,1.E0)); +#9173=VECTOR('',#9172,6.5E0); +#9174=CARTESIAN_POINT('',(2.775330667790E1,-8.875000001907E0,-2.85E1)); +#9175=LINE('',#9174,#9173); +#9176=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-2.85E1)); +#9177=DIRECTION('',(0.E0,0.E0,1.E0)); +#9178=DIRECTION('',(8.511022252126E-1,-5.250000021316E-1,0.E0)); +#9179=AXIS2_PLACEMENT_3D('',#9176,#9177,#9178); +#9181=CARTESIAN_POINT('',(2.77E1,-7.300000000001E0,-3.375E1)); +#9182=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9183=DIRECTION('',(-5.684341886081E-14,0.E0,-1.E0)); +#9184=AXIS2_PLACEMENT_3D('',#9181,#9182,#9183); +#9186=DIRECTION('',(0.E0,0.E0,1.E0)); +#9187=VECTOR('',#9186,7.E0); +#9188=CARTESIAN_POINT('',(-3.04E1,-8.400000000001E0,-2.85E1)); +#9189=LINE('',#9188,#9187); +#9190=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9191=VECTOR('',#9190,6.5E0); +#9192=CARTESIAN_POINT('',(-2.860440890611E1,-8.400000000001E0,-2.2E1)); +#9193=LINE('',#9192,#9191); +#9194=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9195=VECTOR('',#9194,7.E0); +#9196=CARTESIAN_POINT('',(-3.04E1,-6.200000000001E0,-2.15E1)); +#9197=LINE('',#9196,#9195); +#9198=CARTESIAN_POINT('',(-2.99E1,-6.200000000001E0,-2.15E1)); +#9199=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9200=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9201=AXIS2_PLACEMENT_3D('',#9198,#9199,#9200); +#9203=CARTESIAN_POINT('',(-2.99E1,9.699999999999E0,-2.15E1)); +#9204=DIRECTION('',(0.E0,1.E0,0.E0)); +#9205=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9206=AXIS2_PLACEMENT_3D('',#9203,#9204,#9205); +#9208=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9209=VECTOR('',#9208,6.5E0); +#9210=CARTESIAN_POINT('',(-2.860440890611E1,-6.200000000001E0,-2.2E1)); +#9211=LINE('',#9210,#9209); +#9212=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9213=VECTOR('',#9212,6.5E0); +#9214=CARTESIAN_POINT('',(-2.710440890611E1,1.19E1,-2.2E1)); +#9215=LINE('',#9214,#9213); +#9216=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9217=VECTOR('',#9216,7.E0); +#9218=CARTESIAN_POINT('',(-3.032722031170E1,1.19E1,-2.15E1)); +#9219=LINE('',#9218,#9217); +#9220=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9221=VECTOR('',#9220,2.E0); +#9222=CARTESIAN_POINT('',(-2.445E1,-7.300000000001E0,-3.425E1)); +#9223=LINE('',#9222,#9221); +#9224=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9225=VECTOR('',#9224,2.E0); +#9226=CARTESIAN_POINT('',(-2.595E1,-7.300000000001E0,-3.425E1)); +#9227=LINE('',#9226,#9225); +#9228=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-3.625E1)); +#9229=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9230=DIRECTION('',(1.E0,0.E0,0.E0)); +#9231=AXIS2_PLACEMENT_3D('',#9228,#9229,#9230); +#9233=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-3.625E1)); +#9234=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9235=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9236=AXIS2_PLACEMENT_3D('',#9233,#9234,#9235); +#9238=CARTESIAN_POINT('',(2.37E1,1.08E1,-3.625E1)); +#9239=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9240=DIRECTION('',(1.E0,0.E0,0.E0)); +#9241=AXIS2_PLACEMENT_3D('',#9238,#9239,#9240); +#9243=CARTESIAN_POINT('',(2.37E1,1.08E1,-3.625E1)); +#9244=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9245=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9246=AXIS2_PLACEMENT_3D('',#9243,#9244,#9245); +#9248=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9249=VECTOR('',#9248,2.E0); +#9250=CARTESIAN_POINT('',(2.445E1,1.08E1,-3.425E1)); +#9251=LINE('',#9250,#9249); +#9252=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9253=VECTOR('',#9252,2.E0); +#9254=CARTESIAN_POINT('',(2.295E1,1.08E1,-3.425E1)); +#9255=LINE('',#9254,#9253); +#9256=DIRECTION('',(0.E0,0.E0,1.E0)); +#9257=VECTOR('',#9256,7.5E-1); +#9258=CARTESIAN_POINT('',(2.2615E1,1.08E1,-2.E1)); +#9259=LINE('',#9258,#9257); +#9260=DIRECTION('',(0.E0,0.E0,1.E0)); +#9261=VECTOR('',#9260,7.5E-1); +#9262=CARTESIAN_POINT('',(2.6285E1,-7.300000000001E0,-2.E1)); +#9263=LINE('',#9262,#9261); +#9264=DIRECTION('',(0.E0,0.E0,1.E0)); +#9265=VECTOR('',#9264,7.5E-1); +#9266=CARTESIAN_POINT('',(2.4115E1,-7.300000000001E0,-2.E1)); +#9267=LINE('',#9266,#9265); +#9268=DIRECTION('',(0.E0,0.E0,1.E0)); +#9269=VECTOR('',#9268,7.5E-1); +#9270=CARTESIAN_POINT('',(-2.2615E1,1.08E1,-2.E1)); +#9271=LINE('',#9270,#9269); +#9272=DIRECTION('',(0.E0,0.E0,1.E0)); +#9273=VECTOR('',#9272,7.5E-1); +#9274=CARTESIAN_POINT('',(-2.4785E1,1.08E1,-2.E1)); +#9275=LINE('',#9274,#9273); +#9276=DIRECTION('',(0.E0,0.E0,1.E0)); +#9277=VECTOR('',#9276,7.5E-1); +#9278=CARTESIAN_POINT('',(-2.4115E1,-7.300000000001E0,-2.E1)); +#9279=LINE('',#9278,#9277); +#9280=DIRECTION('',(0.E0,0.E0,1.E0)); +#9281=VECTOR('',#9280,7.5E-1); +#9282=CARTESIAN_POINT('',(-2.6285E1,-7.300000000001E0,-2.E1)); +#9283=LINE('',#9282,#9281); +#9284=DIRECTION('',(0.E0,0.E0,1.E0)); +#9285=VECTOR('',#9284,7.5E-1); +#9286=CARTESIAN_POINT('',(2.293529411765E1,7.641246303762E0,-2.E1)); +#9287=LINE('',#9286,#9285); +#9288=DIRECTION('',(0.E0,0.E0,1.E0)); +#9289=VECTOR('',#9288,7.5E-1); +#9290=CARTESIAN_POINT('',(2.17E1,6.669322089535E0,-2.E1)); +#9291=LINE('',#9290,#9289); +#9292=DIRECTION('',(0.E0,1.E0,0.E0)); +#9293=VECTOR('',#9292,1.119999999993E1); +#9294=CARTESIAN_POINT('',(2.17E1,-5.599999999971E0,-5.E-1)); +#9295=LINE('',#9294,#9293); +#9296=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9297=VECTOR('',#9296,9.719322089535E0); +#9298=CARTESIAN_POINT('',(2.17E1,6.669322089535E0,-2.E1)); +#9299=LINE('',#9298,#9297); +#9300=DIRECTION('',(0.E0,0.E0,1.E0)); +#9301=VECTOR('',#9300,7.5E-1); +#9302=CARTESIAN_POINT('',(2.17E1,-3.050000000001E0,-2.E1)); +#9303=LINE('',#9302,#9301); +#9304=DIRECTION('',(0.E0,1.E0,0.E0)); +#9305=VECTOR('',#9304,2.549999999999E0); +#9306=CARTESIAN_POINT('',(2.17E1,-5.6E0,-1.925E1)); +#9307=LINE('',#9306,#9305); +#9308=DIRECTION('',(0.E0,-1.533967027475E-12,-1.E0)); +#9309=VECTOR('',#9308,1.875E1); +#9310=CARTESIAN_POINT('',(2.17E1,-5.599999999971E0,-5.E-1)); +#9311=LINE('',#9310,#9309); +#9312=DIRECTION('',(0.E0,0.E0,1.E0)); +#9313=VECTOR('',#9312,7.5E-1); +#9314=CARTESIAN_POINT('',(2.27E1,-4.050000000001E0,-2.E1)); +#9315=LINE('',#9314,#9313); +#9316=DIRECTION('',(0.E0,0.E0,1.E0)); +#9317=VECTOR('',#9316,7.5E-1); +#9318=CARTESIAN_POINT('',(2.52E1,-4.050000000001E0,-2.E1)); +#9319=LINE('',#9318,#9317); +#9320=DIRECTION('',(0.E0,0.E0,1.E0)); +#9321=VECTOR('',#9320,7.5E-1); +#9322=CARTESIAN_POINT('',(2.74E1,-4.907825257220E0,-2.E1)); +#9323=LINE('',#9322,#9321); +#9324=DIRECTION('',(0.E0,0.E0,1.E0)); +#9325=VECTOR('',#9324,7.5E-1); +#9326=CARTESIAN_POINT('',(2.74E1,-9.692174742782E0,-2.E1)); +#9327=LINE('',#9326,#9325); +#9328=DIRECTION('',(0.E0,0.E0,1.E0)); +#9329=VECTOR('',#9328,7.5E-1); +#9330=CARTESIAN_POINT('',(2.52E1,-1.055E1,-2.E1)); +#9331=LINE('',#9330,#9329); +#9332=CARTESIAN_POINT('',(1.55E1,-5.6E0,-5.E-1)); +#9333=DIRECTION('',(0.E0,0.E0,1.E0)); +#9334=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9335=AXIS2_PLACEMENT_3D('',#9332,#9333,#9334); +#9337=CARTESIAN_POINT('',(1.55E1,-5.6E0,-1.925E1)); +#9338=DIRECTION('',(0.E0,0.E0,1.E0)); +#9339=DIRECTION('',(6.021445372204E-1,-7.983870967743E-1,0.E0)); +#9340=AXIS2_PLACEMENT_3D('',#9337,#9338,#9339); +#9342=DIRECTION('',(0.E0,0.E0,1.E0)); +#9343=VECTOR('',#9342,7.5E-1); +#9344=CARTESIAN_POINT('',(1.923329613077E1,-1.055E1,-2.E1)); +#9345=LINE('',#9344,#9343); +#9346=CARTESIAN_POINT('',(1.55E1,-5.6E0,-2.E1)); +#9347=DIRECTION('',(0.E0,0.E0,1.E0)); +#9348=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9349=AXIS2_PLACEMENT_3D('',#9346,#9347,#9348); +#9351=DIRECTION('',(1.863443872142E-12,0.E0,-1.E0)); +#9352=VECTOR('',#9351,1.95E1); +#9353=CARTESIAN_POINT('',(1.549999999996E1,-1.18E1,-5.E-1)); +#9354=LINE('',#9353,#9352); +#9355=DIRECTION('',(1.E0,0.E0,0.E0)); +#9356=VECTOR('',#9355,3.099999999993E1); +#9357=CARTESIAN_POINT('',(-1.549999999997E1,-1.18E1,-5.E-1)); +#9358=LINE('',#9357,#9356); +#9359=DIRECTION('',(1.E0,0.E0,0.E0)); +#9360=VECTOR('',#9359,3.1E1); +#9361=CARTESIAN_POINT('',(-1.55E1,-1.18E1,-2.E1)); +#9362=LINE('',#9361,#9360); +#9363=DIRECTION('',(-1.475742605040E-12,0.E0,-1.E0)); +#9364=VECTOR('',#9363,1.95E1); +#9365=CARTESIAN_POINT('',(-1.549999999997E1,-1.18E1,-5.E-1)); +#9366=LINE('',#9365,#9364); +#9367=CARTESIAN_POINT('',(-1.55E1,-5.6E0,-5.E-1)); +#9368=DIRECTION('',(0.E0,0.E0,1.E0)); +#9369=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9370=AXIS2_PLACEMENT_3D('',#9367,#9368,#9369); +#9372=CARTESIAN_POINT('',(-1.55E1,-5.6E0,-2.E1)); +#9373=DIRECTION('',(0.E0,0.E0,1.E0)); +#9374=DIRECTION('',(-6.021445372204E-1,-7.983870967743E-1,0.E0)); +#9375=AXIS2_PLACEMENT_3D('',#9372,#9373,#9374); +#9377=DIRECTION('',(0.E0,0.E0,1.E0)); +#9378=VECTOR('',#9377,7.5E-1); +#9379=CARTESIAN_POINT('',(-1.923329613077E1,-1.055E1,-2.E1)); +#9380=LINE('',#9379,#9378); +#9381=CARTESIAN_POINT('',(-1.55E1,-5.6E0,-1.925E1)); +#9382=DIRECTION('',(0.E0,0.E0,1.E0)); +#9383=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9384=AXIS2_PLACEMENT_3D('',#9381,#9382,#9383); +#9386=DIRECTION('',(0.E0,-1.936892128166E-12,-1.E0)); +#9387=VECTOR('',#9386,1.875E1); +#9388=CARTESIAN_POINT('',(-2.17E1,-5.599999999964E0,-5.E-1)); +#9389=LINE('',#9388,#9387); +#9390=DIRECTION('',(0.E0,0.E0,1.E0)); +#9391=VECTOR('',#9390,7.5E-1); +#9392=CARTESIAN_POINT('',(-2.52E1,-1.055E1,-2.E1)); +#9393=LINE('',#9392,#9391); +#9394=DIRECTION('',(0.E0,0.E0,1.E0)); +#9395=VECTOR('',#9394,7.5E-1); +#9396=CARTESIAN_POINT('',(-2.74E1,-9.692174742782E0,-2.E1)); +#9397=LINE('',#9396,#9395); +#9398=DIRECTION('',(0.E0,0.E0,1.E0)); +#9399=VECTOR('',#9398,7.5E-1); +#9400=CARTESIAN_POINT('',(-2.74E1,-4.907825257220E0,-2.E1)); +#9401=LINE('',#9400,#9399); +#9402=DIRECTION('',(0.E0,0.E0,1.E0)); +#9403=VECTOR('',#9402,7.5E-1); +#9404=CARTESIAN_POINT('',(-2.52E1,-4.050000000001E0,-2.E1)); +#9405=LINE('',#9404,#9403); +#9406=DIRECTION('',(0.E0,0.E0,1.E0)); +#9407=VECTOR('',#9406,7.5E-1); +#9408=CARTESIAN_POINT('',(-2.27E1,-4.050000000001E0,-2.E1)); +#9409=LINE('',#9408,#9407); +#9410=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9411=VECTOR('',#9410,1.119999999993E1); +#9412=CARTESIAN_POINT('',(-2.17E1,5.599999999971E0,-5.E-1)); +#9413=LINE('',#9412,#9411); +#9414=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9415=VECTOR('',#9414,2.549999999999E0); +#9416=CARTESIAN_POINT('',(-2.17E1,-3.050000000001E0,-1.925E1)); +#9417=LINE('',#9416,#9415); +#9418=DIRECTION('',(0.E0,0.E0,1.E0)); +#9419=VECTOR('',#9418,7.5E-1); +#9420=CARTESIAN_POINT('',(-2.17E1,-3.050000000001E0,-2.E1)); +#9421=LINE('',#9420,#9419); +#9422=DIRECTION('',(0.E0,1.E0,0.E0)); +#9423=VECTOR('',#9422,9.719322089535E0); +#9424=CARTESIAN_POINT('',(-2.17E1,-3.050000000001E0,-2.E1)); +#9425=LINE('',#9424,#9423); +#9426=DIRECTION('',(0.E0,0.E0,1.E0)); +#9427=VECTOR('',#9426,7.5E-1); +#9428=CARTESIAN_POINT('',(-2.17E1,6.669322089535E0,-2.E1)); +#9429=LINE('',#9428,#9427); +#9430=DIRECTION('',(0.E0,1.534251244569E-12,-1.E0)); +#9431=VECTOR('',#9430,1.875E1); +#9432=CARTESIAN_POINT('',(-2.17E1,5.599999999971E0,-5.E-1)); +#9433=LINE('',#9432,#9431); +#9434=DIRECTION('',(0.E0,0.E0,1.E0)); +#9435=VECTOR('',#9434,7.5E-1); +#9436=CARTESIAN_POINT('',(-2.293529411765E1,7.641246303762E0,-2.E1)); +#9437=LINE('',#9436,#9435); +#9438=DIRECTION('',(0.E0,0.E0,1.E0)); +#9439=VECTOR('',#9438,7.5E-1); +#9440=CARTESIAN_POINT('',(-2.604520787991E1,1.305E1,-2.E1)); +#9441=LINE('',#9440,#9439); +#9442=DIRECTION('',(0.E0,0.E0,1.E0)); +#9443=VECTOR('',#9442,7.5E-1); +#9444=CARTESIAN_POINT('',(-2.135479212009E1,1.305E1,-2.E1)); +#9445=LINE('',#9444,#9443); +#9446=DIRECTION('',(0.E0,0.E0,1.E0)); +#9447=VECTOR('',#9446,7.5E-1); +#9448=CARTESIAN_POINT('',(-2.083235294118E1,1.232941176471E1,-2.E1)); +#9449=LINE('',#9448,#9447); +#9450=DIRECTION('',(0.E0,0.E0,1.E0)); +#9451=VECTOR('',#9450,7.5E-1); +#9452=CARTESIAN_POINT('',(-1.995E1,1.18E1,-2.E1)); +#9453=LINE('',#9452,#9451); +#9454=CARTESIAN_POINT('',(-1.55E1,5.6E0,-5.E-1)); +#9455=DIRECTION('',(0.E0,0.E0,1.E0)); +#9456=DIRECTION('',(0.E0,1.E0,0.E0)); +#9457=AXIS2_PLACEMENT_3D('',#9454,#9455,#9456); +#9459=CARTESIAN_POINT('',(-1.55E1,5.6E0,-1.925E1)); +#9460=DIRECTION('',(0.E0,0.E0,1.E0)); +#9461=DIRECTION('',(0.E0,1.E0,0.E0)); +#9462=AXIS2_PLACEMENT_3D('',#9459,#9460,#9461); +#9464=DIRECTION('',(-1.937886887996E-12,0.E0,-1.E0)); +#9465=VECTOR('',#9464,1.875E1); +#9466=CARTESIAN_POINT('',(-1.549999999996E1,1.18E1,-5.E-1)); +#9467=LINE('',#9466,#9465); +#9468=DIRECTION('',(0.E0,0.E0,1.E0)); +#9469=VECTOR('',#9468,7.5E-1); +#9470=CARTESIAN_POINT('',(2.604520787991E1,1.305E1,-2.E1)); +#9471=LINE('',#9470,#9469); +#9472=DIRECTION('',(0.E0,0.E0,1.E0)); +#9473=VECTOR('',#9472,7.5E-1); +#9474=CARTESIAN_POINT('',(2.135479212009E1,1.305E1,-2.E1)); +#9475=LINE('',#9474,#9473); +#9476=DIRECTION('',(0.E0,0.E0,1.E0)); +#9477=VECTOR('',#9476,7.5E-1); +#9478=CARTESIAN_POINT('',(2.083235294118E1,1.232941176471E1,-2.E1)); +#9479=LINE('',#9478,#9477); +#9480=CARTESIAN_POINT('',(-2.54E1,1.105E1,-1.9E1)); +#9481=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9482=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9483=AXIS2_PLACEMENT_3D('',#9480,#9481,#9482); +#9485=DIRECTION('',(0.E0,-3.553545013801E-9,1.E0)); +#9486=VECTOR('',#9485,1.E0); +#9487=CARTESIAN_POINT('',(-2.77E1,1.105E1,-2.E1)); +#9488=LINE('',#9487,#9486); +#9489=CARTESIAN_POINT('',(-2.82E1,1.105E1,-1.9E1)); +#9490=DIRECTION('',(0.E0,1.E0,0.E0)); +#9491=DIRECTION('',(-1.421085471520E-14,0.E0,1.E0)); +#9492=AXIS2_PLACEMENT_3D('',#9489,#9490,#9491); +#9494=CARTESIAN_POINT('',(-2.54E1,1.105E1,-1.85E1)); +#9495=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9496=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9497=AXIS2_PLACEMENT_3D('',#9494,#9495,#9496); +#9499=DIRECTION('',(9.190918514151E-12,1.E0,0.E0)); +#9500=VECTOR('',#9499,2.209999999949E1); +#9501=CARTESIAN_POINT('',(-2.819999999984E1,-1.104999999979E1,-1.85E1)); +#9502=LINE('',#9501,#9500); +#9503=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-1.85E1)); +#9504=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9505=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9506=AXIS2_PLACEMENT_3D('',#9503,#9504,#9505); +#9508=DIRECTION('',(-1.E0,3.998411400789E-12,0.E0)); +#9509=VECTOR('',#9508,5.079999999949E1); +#9510=CARTESIAN_POINT('',(2.539999999979E1,-1.384999999984E1,-1.85E1)); +#9511=LINE('',#9510,#9509); +#9512=CARTESIAN_POINT('',(2.54E1,-1.105E1,-1.85E1)); +#9513=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9514=DIRECTION('',(1.E0,0.E0,0.E0)); +#9515=AXIS2_PLACEMENT_3D('',#9512,#9513,#9514); +#9517=DIRECTION('',(-9.190757757876E-12,-1.E0,0.E0)); +#9518=VECTOR('',#9517,2.209999999949E1); +#9519=CARTESIAN_POINT('',(2.819999999984E1,1.104999999979E1,-1.85E1)); +#9520=LINE('',#9519,#9518); +#9521=CARTESIAN_POINT('',(2.54E1,1.105E1,-1.85E1)); +#9522=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9523=DIRECTION('',(0.E0,1.E0,0.E0)); +#9524=AXIS2_PLACEMENT_3D('',#9521,#9522,#9523); +#9526=DIRECTION('',(1.E0,-3.998341465481E-12,0.E0)); +#9527=VECTOR('',#9526,5.079999999949E1); +#9528=CARTESIAN_POINT('',(-2.539999999979E1,1.384999999984E1,-1.85E1)); +#9529=LINE('',#9528,#9527); +#9530=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-1.85E1)); +#9531=DIRECTION('',(0.E0,0.E0,1.E0)); +#9532=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9533=AXIS2_PLACEMENT_3D('',#9530,#9531,#9532); +#9535=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9536=VECTOR('',#9535,2.21E1); +#9537=CARTESIAN_POINT('',(-2.98E1,1.105E1,-1.85E1)); +#9538=LINE('',#9537,#9536); +#9539=CARTESIAN_POINT('',(-2.54E1,1.105E1,-1.85E1)); +#9540=DIRECTION('',(0.E0,0.E0,1.E0)); +#9541=DIRECTION('',(0.E0,1.E0,0.E0)); +#9542=AXIS2_PLACEMENT_3D('',#9539,#9540,#9541); +#9544=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9545=VECTOR('',#9544,5.08E1); +#9546=CARTESIAN_POINT('',(2.54E1,1.545E1,-1.85E1)); +#9547=LINE('',#9546,#9545); +#9548=CARTESIAN_POINT('',(2.54E1,1.105E1,-1.85E1)); +#9549=DIRECTION('',(0.E0,0.E0,1.E0)); +#9550=DIRECTION('',(1.E0,0.E0,0.E0)); +#9551=AXIS2_PLACEMENT_3D('',#9548,#9549,#9550); +#9553=DIRECTION('',(0.E0,1.E0,0.E0)); +#9554=VECTOR('',#9553,2.21E1); +#9555=CARTESIAN_POINT('',(2.98E1,-1.105E1,-1.85E1)); +#9556=LINE('',#9555,#9554); +#9557=CARTESIAN_POINT('',(2.54E1,-1.105E1,-1.85E1)); +#9558=DIRECTION('',(0.E0,0.E0,1.E0)); +#9559=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9560=AXIS2_PLACEMENT_3D('',#9557,#9558,#9559); +#9562=DIRECTION('',(1.E0,0.E0,0.E0)); +#9563=VECTOR('',#9562,5.08E1); +#9564=CARTESIAN_POINT('',(-2.54E1,-1.545E1,-1.85E1)); +#9565=LINE('',#9564,#9563); +#9566=CARTESIAN_POINT('',(-2.82E1,-1.105E1,-1.9E1)); +#9567=DIRECTION('',(0.E0,1.E0,0.E0)); +#9568=DIRECTION('',(0.E0,0.E0,1.E0)); +#9569=AXIS2_PLACEMENT_3D('',#9566,#9567,#9568); +#9571=DIRECTION('',(0.E0,1.E0,0.E0)); +#9572=VECTOR('',#9571,2.209999999502E1); +#9573=CARTESIAN_POINT('',(-2.77E1,-1.104999999858E1,-1.9E1)); +#9574=LINE('',#9573,#9572); +#9575=DIRECTION('',(0.E0,1.423263285005E-9,1.E0)); +#9576=VECTOR('',#9575,1.E0); +#9577=CARTESIAN_POINT('',(-2.77E1,-1.105E1,-2.E1)); +#9578=LINE('',#9577,#9576); +#9579=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-1.9E1)); +#9580=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9581=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9582=AXIS2_PLACEMENT_3D('',#9579,#9580,#9581); +#9584=DIRECTION('',(3.553534355660E-9,0.E0,1.E0)); +#9585=VECTOR('',#9584,1.E0); +#9586=CARTESIAN_POINT('',(-2.54E1,-1.335E1,-2.E1)); +#9587=LINE('',#9586,#9585); +#9588=CARTESIAN_POINT('',(-2.54E1,-1.385E1,-1.9E1)); +#9589=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9590=DIRECTION('',(0.E0,0.E0,1.E0)); +#9591=AXIS2_PLACEMENT_3D('',#9588,#9589,#9590); +#9593=CARTESIAN_POINT('',(2.54E1,-1.385E1,-1.9E1)); +#9594=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9595=DIRECTION('',(0.E0,0.E0,1.E0)); +#9596=AXIS2_PLACEMENT_3D('',#9593,#9594,#9595); +#9598=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9599=VECTOR('',#9598,5.079999999502E1); +#9600=CARTESIAN_POINT('',(2.539999999858E1,-1.335E1,-1.9E1)); +#9601=LINE('',#9600,#9599); +#9602=DIRECTION('',(-1.423249074151E-9,0.E0,1.E0)); +#9603=VECTOR('',#9602,1.E0); +#9604=CARTESIAN_POINT('',(2.54E1,-1.335E1,-2.E1)); +#9605=LINE('',#9604,#9603); +#9606=CARTESIAN_POINT('',(2.54E1,-1.105E1,-1.9E1)); +#9607=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9608=DIRECTION('',(1.E0,0.E0,0.E0)); +#9609=AXIS2_PLACEMENT_3D('',#9606,#9607,#9608); +#9611=DIRECTION('',(0.E0,3.553539684731E-9,1.E0)); +#9612=VECTOR('',#9611,1.E0); +#9613=CARTESIAN_POINT('',(2.77E1,-1.105E1,-2.E1)); +#9614=LINE('',#9613,#9612); +#9615=CARTESIAN_POINT('',(2.82E1,-1.105E1,-1.9E1)); +#9616=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9617=DIRECTION('',(1.421085471520E-14,0.E0,1.E0)); +#9618=AXIS2_PLACEMENT_3D('',#9615,#9616,#9617); +#9620=CARTESIAN_POINT('',(2.82E1,1.105E1,-1.9E1)); +#9621=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9622=DIRECTION('',(0.E0,0.E0,1.E0)); +#9623=AXIS2_PLACEMENT_3D('',#9620,#9621,#9622); +#9625=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9626=VECTOR('',#9625,2.209999999502E1); +#9627=CARTESIAN_POINT('',(2.77E1,1.104999999858E1,-1.9E1)); +#9628=LINE('',#9627,#9626); +#9629=DIRECTION('',(0.E0,-1.423261508648E-9,1.E0)); +#9630=VECTOR('',#9629,1.E0); +#9631=CARTESIAN_POINT('',(2.77E1,1.105E1,-2.E1)); +#9632=LINE('',#9631,#9630); +#9633=CARTESIAN_POINT('',(2.54E1,1.105E1,-1.9E1)); +#9634=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9635=DIRECTION('',(0.E0,1.E0,0.E0)); +#9636=AXIS2_PLACEMENT_3D('',#9633,#9634,#9635); +#9638=DIRECTION('',(-3.553530802947E-9,0.E0,1.E0)); +#9639=VECTOR('',#9638,1.E0); +#9640=CARTESIAN_POINT('',(2.54E1,1.335E1,-2.E1)); +#9641=LINE('',#9640,#9639); +#9642=CARTESIAN_POINT('',(2.54E1,1.385E1,-1.9E1)); +#9643=DIRECTION('',(1.E0,0.E0,0.E0)); +#9644=DIRECTION('',(0.E0,0.E0,1.E0)); +#9645=AXIS2_PLACEMENT_3D('',#9642,#9643,#9644); +#9647=CARTESIAN_POINT('',(-2.54E1,1.385E1,-1.9E1)); +#9648=DIRECTION('',(1.E0,0.E0,0.E0)); +#9649=DIRECTION('',(0.E0,0.E0,1.E0)); +#9650=AXIS2_PLACEMENT_3D('',#9647,#9648,#9649); +#9652=DIRECTION('',(1.E0,0.E0,0.E0)); +#9653=VECTOR('',#9652,5.079999999502E1); +#9654=CARTESIAN_POINT('',(-2.539999999858E1,1.335E1,-1.9E1)); +#9655=LINE('',#9654,#9653); +#9656=DIRECTION('',(1.423241968723E-9,0.E0,1.E0)); +#9657=VECTOR('',#9656,1.E0); +#9658=CARTESIAN_POINT('',(-2.54E1,1.335E1,-2.E1)); +#9659=LINE('',#9658,#9657); +#9660=CARTESIAN_POINT('',(-2.98E1,-1.105E1,-1.9E1)); +#9661=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9662=DIRECTION('',(0.E0,0.E0,1.E0)); +#9663=AXIS2_PLACEMENT_3D('',#9660,#9661,#9662); +#9665=CARTESIAN_POINT('',(-2.54E1,-1.545E1,-1.9E1)); +#9666=DIRECTION('',(1.E0,0.E0,0.E0)); +#9667=DIRECTION('',(0.E0,0.E0,1.E0)); +#9668=AXIS2_PLACEMENT_3D('',#9665,#9666,#9667); +#9670=CARTESIAN_POINT('',(2.54E1,-1.545E1,-1.9E1)); +#9671=DIRECTION('',(1.E0,0.E0,0.E0)); +#9672=DIRECTION('',(0.E0,0.E0,1.E0)); +#9673=AXIS2_PLACEMENT_3D('',#9670,#9671,#9672); +#9675=CARTESIAN_POINT('',(2.98E1,-1.105E1,-1.9E1)); +#9676=DIRECTION('',(0.E0,1.E0,0.E0)); +#9677=DIRECTION('',(0.E0,0.E0,1.E0)); +#9678=AXIS2_PLACEMENT_3D('',#9675,#9676,#9677); +#9680=CARTESIAN_POINT('',(2.98E1,1.105E1,-1.9E1)); +#9681=DIRECTION('',(0.E0,1.E0,0.E0)); +#9682=DIRECTION('',(0.E0,0.E0,1.E0)); +#9683=AXIS2_PLACEMENT_3D('',#9680,#9681,#9682); +#9685=CARTESIAN_POINT('',(2.54E1,1.545E1,-1.9E1)); +#9686=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9687=DIRECTION('',(0.E0,0.E0,1.E0)); +#9688=AXIS2_PLACEMENT_3D('',#9685,#9686,#9687); +#9690=CARTESIAN_POINT('',(-2.54E1,1.545E1,-1.9E1)); +#9691=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9692=DIRECTION('',(0.E0,0.E0,1.E0)); +#9693=AXIS2_PLACEMENT_3D('',#9690,#9691,#9692); +#9695=CARTESIAN_POINT('',(-2.98E1,1.105E1,-1.9E1)); +#9696=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9697=DIRECTION('',(0.E0,0.E0,1.E0)); +#9698=AXIS2_PLACEMENT_3D('',#9695,#9696,#9697); +#9700=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9701=VECTOR('',#9700,2.209999999978E1); +#9702=CARTESIAN_POINT('',(-3.03E1,1.104999999990E1,-1.9E1)); +#9703=LINE('',#9702,#9701); +#9704=DIRECTION('',(0.E0,-9.707790127322E-11,1.E0)); +#9705=VECTOR('',#9704,1.E0); +#9706=CARTESIAN_POINT('',(-3.03E1,1.105E1,-2.E1)); +#9707=LINE('',#9706,#9705); +#9708=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-1.9E1)); +#9709=DIRECTION('',(0.E0,0.E0,1.E0)); +#9710=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9711=AXIS2_PLACEMENT_3D('',#9708,#9709,#9710); +#9713=DIRECTION('',(0.E0,1.242437264182E-10,1.E0)); +#9714=VECTOR('',#9713,1.E0); +#9715=CARTESIAN_POINT('',(-3.03E1,-1.105E1,-2.E1)); +#9716=LINE('',#9715,#9714); +#9717=DIRECTION('',(1.E0,0.E0,0.E0)); +#9718=VECTOR('',#9717,5.079999999978E1); +#9719=CARTESIAN_POINT('',(-2.539999999990E1,-1.595E1,-1.9E1)); +#9720=LINE('',#9719,#9718); +#9721=DIRECTION('',(9.707790127322E-11,0.E0,1.E0)); +#9722=VECTOR('',#9721,1.E0); +#9723=CARTESIAN_POINT('',(-2.54E1,-1.595E1,-2.E1)); +#9724=LINE('',#9723,#9722); +#9725=CARTESIAN_POINT('',(2.54E1,-1.105E1,-1.9E1)); +#9726=DIRECTION('',(0.E0,0.E0,1.E0)); +#9727=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9728=AXIS2_PLACEMENT_3D('',#9725,#9726,#9727); +#9730=DIRECTION('',(-1.242419500613E-10,0.E0,1.E0)); +#9731=VECTOR('',#9730,1.E0); +#9732=CARTESIAN_POINT('',(2.54E1,-1.595E1,-2.E1)); +#9733=LINE('',#9732,#9731); +#9734=DIRECTION('',(0.E0,1.E0,0.E0)); +#9735=VECTOR('',#9734,2.209999999978E1); +#9736=CARTESIAN_POINT('',(3.03E1,-1.104999999990E1,-1.9E1)); +#9737=LINE('',#9736,#9735); +#9738=DIRECTION('',(0.E0,9.707967763006E-11,1.E0)); +#9739=VECTOR('',#9738,1.E0); +#9740=CARTESIAN_POINT('',(3.03E1,-1.105E1,-2.E1)); +#9741=LINE('',#9740,#9739); +#9742=CARTESIAN_POINT('',(2.54E1,1.105E1,-1.9E1)); +#9743=DIRECTION('',(0.E0,0.E0,1.E0)); +#9744=DIRECTION('',(1.E0,0.E0,0.E0)); +#9745=AXIS2_PLACEMENT_3D('',#9742,#9743,#9744); +#9747=DIRECTION('',(0.E0,-1.242437264182E-10,1.E0)); +#9748=VECTOR('',#9747,1.E0); +#9749=CARTESIAN_POINT('',(3.03E1,1.105E1,-2.E1)); +#9750=LINE('',#9749,#9748); +#9751=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9752=VECTOR('',#9751,5.079999999978E1); +#9753=CARTESIAN_POINT('',(2.539999999990E1,1.595E1,-1.9E1)); +#9754=LINE('',#9753,#9752); +#9755=DIRECTION('',(-9.707790127322E-11,0.E0,1.E0)); +#9756=VECTOR('',#9755,1.E0); +#9757=CARTESIAN_POINT('',(2.54E1,1.595E1,-2.E1)); +#9758=LINE('',#9757,#9756); +#9759=CARTESIAN_POINT('',(-2.54E1,1.105E1,-1.9E1)); +#9760=DIRECTION('',(0.E0,0.E0,1.E0)); +#9761=DIRECTION('',(0.E0,1.E0,0.E0)); +#9762=AXIS2_PLACEMENT_3D('',#9759,#9760,#9761); +#9764=DIRECTION('',(1.242419500613E-10,0.E0,1.E0)); +#9765=VECTOR('',#9764,1.E0); +#9766=CARTESIAN_POINT('',(-2.54E1,1.595E1,-2.E1)); +#9767=LINE('',#9766,#9765); +#9768=CARTESIAN_POINT('',(-2.54E1,1.605E1,-2.E1)); +#9769=CARTESIAN_POINT('',(-3.04E1,1.105E1,-2.E1)); +#9770=VERTEX_POINT('',#9768); +#9771=VERTEX_POINT('',#9769); +#9772=CARTESIAN_POINT('',(-3.04E1,-1.105E1,-2.E1)); +#9773=VERTEX_POINT('',#9772); +#9774=CARTESIAN_POINT('',(-2.54E1,-1.605E1,-2.E1)); +#9775=VERTEX_POINT('',#9774); +#9776=CARTESIAN_POINT('',(2.54E1,-1.605E1,-2.E1)); +#9777=VERTEX_POINT('',#9776); +#9778=CARTESIAN_POINT('',(3.04E1,-1.105E1,-2.E1)); +#9779=VERTEX_POINT('',#9778); +#9780=CARTESIAN_POINT('',(3.04E1,1.105E1,-2.E1)); +#9781=VERTEX_POINT('',#9780); +#9782=CARTESIAN_POINT('',(2.54E1,1.605E1,-2.E1)); +#9783=VERTEX_POINT('',#9782); +#9784=CARTESIAN_POINT('',(-1.55E1,-1.18E1,-2.E1)); +#9785=CARTESIAN_POINT('',(1.55E1,-1.18E1,-2.E1)); +#9786=VERTEX_POINT('',#9784); +#9787=VERTEX_POINT('',#9785); +#9788=CARTESIAN_POINT('',(-1.55E1,1.03E1,-2.E1)); +#9789=CARTESIAN_POINT('',(-2.02E1,5.6E0,-2.E1)); +#9790=VERTEX_POINT('',#9788); +#9791=VERTEX_POINT('',#9789); +#9792=CARTESIAN_POINT('',(-2.02E1,-5.6E0,-2.E1)); +#9793=CARTESIAN_POINT('',(-1.55E1,-1.03E1,-2.E1)); +#9794=VERTEX_POINT('',#9792); +#9795=VERTEX_POINT('',#9793); +#9796=CARTESIAN_POINT('',(1.55E1,-1.03E1,-2.E1)); +#9797=CARTESIAN_POINT('',(2.02E1,-5.6E0,-2.E1)); +#9798=VERTEX_POINT('',#9796); +#9799=VERTEX_POINT('',#9797); +#9800=CARTESIAN_POINT('',(2.02E1,5.6E0,-2.E1)); +#9801=CARTESIAN_POINT('',(1.55E1,1.03E1,-2.E1)); +#9802=VERTEX_POINT('',#9800); +#9803=VERTEX_POINT('',#9801); +#9804=CARTESIAN_POINT('',(-1.67E1,-7.E0,-2.3E1)); +#9805=CARTESIAN_POINT('',(1.67E1,-7.E0,-2.3E1)); +#9806=VERTEX_POINT('',#9804); +#9807=VERTEX_POINT('',#9805); +#9808=CARTESIAN_POINT('',(1.67E1,7.E0,-2.3E1)); +#9809=CARTESIAN_POINT('',(-1.67E1,7.E0,-2.3E1)); +#9810=VERTEX_POINT('',#9808); +#9811=VERTEX_POINT('',#9809); +#9812=CARTESIAN_POINT('',(-1.67E1,7.E0,-2.2E1)); +#9813=CARTESIAN_POINT('',(-1.67E1,-7.E0,-2.2E1)); +#9814=VERTEX_POINT('',#9812); +#9815=VERTEX_POINT('',#9813); +#9816=CARTESIAN_POINT('',(1.67E1,-7.E0,-2.2E1)); +#9817=VERTEX_POINT('',#9816); +#9818=CARTESIAN_POINT('',(1.67E1,7.E0,-2.2E1)); +#9819=VERTEX_POINT('',#9818); +#9820=CARTESIAN_POINT('',(2.4785E1,1.08E1,-1.925E1)); +#9821=CARTESIAN_POINT('',(2.2615E1,1.08E1,-1.925E1)); +#9822=VERTEX_POINT('',#9820); +#9823=VERTEX_POINT('',#9821); +#9824=CARTESIAN_POINT('',(2.6285E1,-7.300000000001E0,-1.925E1)); +#9825=CARTESIAN_POINT('',(2.4115E1,-7.300000000001E0,-1.925E1)); +#9826=VERTEX_POINT('',#9824); +#9827=VERTEX_POINT('',#9825); +#9828=CARTESIAN_POINT('',(-2.2615E1,1.08E1,-1.925E1)); +#9829=CARTESIAN_POINT('',(-2.4785E1,1.08E1,-1.925E1)); +#9830=VERTEX_POINT('',#9828); +#9831=VERTEX_POINT('',#9829); +#9832=CARTESIAN_POINT('',(-2.4115E1,-7.300000000001E0,-1.925E1)); +#9833=CARTESIAN_POINT('',(-2.6285E1,-7.300000000001E0,-1.925E1)); +#9834=VERTEX_POINT('',#9832); +#9835=VERTEX_POINT('',#9833); +#9836=CARTESIAN_POINT('',(2.293529411765E1,7.641246303762E0,-1.925E1)); +#9837=CARTESIAN_POINT('',(2.604520787991E1,1.305E1,-1.925E1)); +#9838=VERTEX_POINT('',#9836); +#9839=VERTEX_POINT('',#9837); +#9840=CARTESIAN_POINT('',(2.135479212009E1,1.305E1,-1.925E1)); +#9841=VERTEX_POINT('',#9840); +#9842=CARTESIAN_POINT('',(2.083235294118E1,1.232941176471E1,-1.925E1)); +#9843=VERTEX_POINT('',#9842); +#9844=CARTESIAN_POINT('',(1.995E1,1.18E1,-1.925E1)); +#9845=VERTEX_POINT('',#9844); +#9846=CARTESIAN_POINT('',(2.17E1,6.669322089535E0,-1.925E1)); +#9847=VERTEX_POINT('',#9846); +#9848=CARTESIAN_POINT('',(2.52E1,-4.050000000001E0,-1.925E1)); +#9849=CARTESIAN_POINT('',(2.27E1,-4.050000000001E0,-1.925E1)); +#9850=VERTEX_POINT('',#9848); +#9851=VERTEX_POINT('',#9849); +#9852=CARTESIAN_POINT('',(2.52E1,-1.055E1,-1.925E1)); +#9853=CARTESIAN_POINT('',(2.74E1,-9.692174742782E0,-1.925E1)); +#9854=VERTEX_POINT('',#9852); +#9855=VERTEX_POINT('',#9853); +#9856=CARTESIAN_POINT('',(2.74E1,-4.907825257220E0,-1.925E1)); +#9857=VERTEX_POINT('',#9856); +#9858=CARTESIAN_POINT('',(-1.995E1,1.18E1,-1.925E1)); +#9859=CARTESIAN_POINT('',(-2.083235294118E1,1.232941176471E1,-1.925E1)); +#9860=VERTEX_POINT('',#9858); +#9861=VERTEX_POINT('',#9859); +#9862=CARTESIAN_POINT('',(-2.135479212009E1,1.305E1,-1.925E1)); +#9863=VERTEX_POINT('',#9862); +#9864=CARTESIAN_POINT('',(-2.604520787991E1,1.305E1,-1.925E1)); +#9865=VERTEX_POINT('',#9864); +#9866=CARTESIAN_POINT('',(-2.293529411765E1,7.641246303762E0,-1.925E1)); +#9867=VERTEX_POINT('',#9866); +#9868=CARTESIAN_POINT('',(-2.17E1,6.669322089535E0,-1.925E1)); +#9869=VERTEX_POINT('',#9868); +#9870=CARTESIAN_POINT('',(-2.52E1,-4.050000000001E0,-1.925E1)); +#9871=CARTESIAN_POINT('',(-2.74E1,-4.907825257220E0,-1.925E1)); +#9872=VERTEX_POINT('',#9870); +#9873=VERTEX_POINT('',#9871); +#9874=CARTESIAN_POINT('',(-2.74E1,-9.692174742782E0,-1.925E1)); +#9875=VERTEX_POINT('',#9874); +#9876=CARTESIAN_POINT('',(-2.52E1,-1.055E1,-1.925E1)); +#9877=VERTEX_POINT('',#9876); +#9878=CARTESIAN_POINT('',(-2.27E1,-4.050000000001E0,-1.925E1)); +#9879=VERTEX_POINT('',#9878); +#9880=CARTESIAN_POINT('',(-1.55E1,1.18E1,-1.925E1)); +#9881=CARTESIAN_POINT('',(-2.17E1,5.6E0,-1.925E1)); +#9882=VERTEX_POINT('',#9880); +#9883=VERTEX_POINT('',#9881); +#9884=CARTESIAN_POINT('',(-2.17E1,-3.050000000001E0,-1.925E1)); +#9885=CARTESIAN_POINT('',(-2.17E1,-5.6E0,-1.925E1)); +#9886=VERTEX_POINT('',#9884); +#9887=VERTEX_POINT('',#9885); +#9888=CARTESIAN_POINT('',(-2.17E1,-3.050000000001E0,-2.E1)); +#9889=VERTEX_POINT('',#9888); +#9890=CARTESIAN_POINT('',(-1.923329613077E1,-1.055E1,-1.925E1)); +#9891=VERTEX_POINT('',#9890); +#9892=CARTESIAN_POINT('',(-1.923329613077E1,-1.055E1,-2.E1)); +#9893=VERTEX_POINT('',#9892); +#9894=CARTESIAN_POINT('',(1.923329613077E1,-1.055E1,-1.925E1)); +#9895=CARTESIAN_POINT('',(2.17E1,-5.6E0,-1.925E1)); +#9896=VERTEX_POINT('',#9894); +#9897=VERTEX_POINT('',#9895); +#9898=CARTESIAN_POINT('',(1.923329613077E1,-1.055E1,-2.E1)); +#9899=VERTEX_POINT('',#9898); +#9900=CARTESIAN_POINT('',(2.17E1,-3.050000000001E0,-1.925E1)); +#9901=VERTEX_POINT('',#9900); +#9902=CARTESIAN_POINT('',(2.17E1,-3.050000000001E0,-2.E1)); +#9903=VERTEX_POINT('',#9902); +#9904=CARTESIAN_POINT('',(2.17E1,5.6E0,-1.925E1)); +#9905=CARTESIAN_POINT('',(1.55E1,1.18E1,-1.925E1)); +#9906=VERTEX_POINT('',#9904); +#9907=VERTEX_POINT('',#9905); +#9908=CARTESIAN_POINT('',(2.2615E1,1.08E1,-2.E1)); +#9909=CARTESIAN_POINT('',(2.4785E1,1.08E1,-2.E1)); +#9910=VERTEX_POINT('',#9908); +#9911=VERTEX_POINT('',#9909); +#9912=CARTESIAN_POINT('',(2.4115E1,-7.300000000001E0,-2.E1)); +#9913=CARTESIAN_POINT('',(2.6285E1,-7.300000000001E0,-2.E1)); +#9914=VERTEX_POINT('',#9912); +#9915=VERTEX_POINT('',#9913); +#9916=CARTESIAN_POINT('',(-2.4785E1,1.08E1,-2.E1)); +#9917=CARTESIAN_POINT('',(-2.2615E1,1.08E1,-2.E1)); +#9918=VERTEX_POINT('',#9916); +#9919=VERTEX_POINT('',#9917); +#9920=CARTESIAN_POINT('',(-2.6285E1,-7.300000000001E0,-2.E1)); +#9921=CARTESIAN_POINT('',(-2.4115E1,-7.300000000001E0,-2.E1)); +#9922=VERTEX_POINT('',#9920); +#9923=VERTEX_POINT('',#9921); +#9924=CARTESIAN_POINT('',(2.293529411765E1,7.641246303762E0,-2.E1)); +#9925=CARTESIAN_POINT('',(2.604520787991E1,1.305E1,-2.E1)); +#9926=VERTEX_POINT('',#9924); +#9927=VERTEX_POINT('',#9925); +#9928=CARTESIAN_POINT('',(2.135479212009E1,1.305E1,-2.E1)); +#9929=VERTEX_POINT('',#9928); +#9930=CARTESIAN_POINT('',(2.083235294118E1,1.232941176471E1,-2.E1)); +#9931=VERTEX_POINT('',#9930); +#9932=CARTESIAN_POINT('',(1.995E1,1.18E1,-2.E1)); +#9933=VERTEX_POINT('',#9932); +#9934=CARTESIAN_POINT('',(2.17E1,6.669322089535E0,-2.E1)); +#9935=VERTEX_POINT('',#9934); +#9936=CARTESIAN_POINT('',(2.52E1,-4.050000000001E0,-2.E1)); +#9937=CARTESIAN_POINT('',(2.27E1,-4.050000000001E0,-2.E1)); +#9938=VERTEX_POINT('',#9936); +#9939=VERTEX_POINT('',#9937); +#9940=CARTESIAN_POINT('',(2.52E1,-1.055E1,-2.E1)); +#9941=VERTEX_POINT('',#9940); +#9942=CARTESIAN_POINT('',(2.74E1,-9.692174742782E0,-2.E1)); +#9943=VERTEX_POINT('',#9942); +#9944=CARTESIAN_POINT('',(2.74E1,-4.907825257220E0,-2.E1)); +#9945=VERTEX_POINT('',#9944); +#9946=CARTESIAN_POINT('',(-2.083235294118E1,1.232941176471E1,-2.E1)); +#9947=CARTESIAN_POINT('',(-1.995E1,1.18E1,-2.E1)); +#9948=VERTEX_POINT('',#9946); +#9949=VERTEX_POINT('',#9947); +#9950=CARTESIAN_POINT('',(-2.135479212009E1,1.305E1,-2.E1)); +#9951=VERTEX_POINT('',#9950); +#9952=CARTESIAN_POINT('',(-2.604520787991E1,1.305E1,-2.E1)); +#9953=VERTEX_POINT('',#9952); +#9954=CARTESIAN_POINT('',(-2.293529411765E1,7.641246303762E0,-2.E1)); +#9955=VERTEX_POINT('',#9954); +#9956=CARTESIAN_POINT('',(-2.17E1,6.669322089535E0,-2.E1)); +#9957=VERTEX_POINT('',#9956); +#9958=CARTESIAN_POINT('',(-2.52E1,-4.050000000001E0,-2.E1)); +#9959=CARTESIAN_POINT('',(-2.74E1,-4.907825257220E0,-2.E1)); +#9960=VERTEX_POINT('',#9958); +#9961=VERTEX_POINT('',#9959); +#9962=CARTESIAN_POINT('',(-2.74E1,-9.692174742782E0,-2.E1)); +#9963=VERTEX_POINT('',#9962); +#9964=CARTESIAN_POINT('',(-2.52E1,-1.055E1,-2.E1)); +#9965=VERTEX_POINT('',#9964); +#9966=CARTESIAN_POINT('',(-2.27E1,-4.050000000001E0,-2.E1)); +#9967=VERTEX_POINT('',#9966); +#9968=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-5.4E0)); +#9969=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.4E0)); +#9970=VERTEX_POINT('',#9968); +#9971=VERTEX_POINT('',#9969); +#9972=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.4E0)); +#9973=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-5.4E0)); +#9974=VERTEX_POINT('',#9972); +#9975=VERTEX_POINT('',#9973); +#9976=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#9977=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-5.4E0)); +#9978=VERTEX_POINT('',#9976); +#9979=VERTEX_POINT('',#9977); +#9980=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-5.4E0)); +#9981=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.4E0)); +#9982=VERTEX_POINT('',#9980); +#9983=VERTEX_POINT('',#9981); +#9984=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-3.9E0)); +#9985=VERTEX_POINT('',#9984); +#9986=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-3.9E0)); +#9987=VERTEX_POINT('',#9986); +#9988=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-3.9E0)); +#9989=VERTEX_POINT('',#9988); +#9990=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-3.9E0)); +#9991=VERTEX_POINT('',#9990); +#9992=CARTESIAN_POINT('',(1.25E0,-1.03E1,-2.E1)); +#9993=CARTESIAN_POINT('',(1.25E0,-1.03E1,-1.E1)); +#9994=VERTEX_POINT('',#9992); +#9995=VERTEX_POINT('',#9993); +#9996=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#9997=CARTESIAN_POINT('',(2.25E0,-1.03E1,-1.E1)); +#9998=VERTEX_POINT('',#9996); +#9999=VERTEX_POINT('',#9997); +#10000=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#10001=CARTESIAN_POINT('',(9.25E0,-1.03E1,-1.E1)); +#10002=VERTEX_POINT('',#10000); +#10003=VERTEX_POINT('',#10001); +#10004=CARTESIAN_POINT('',(8.25E0,-1.03E1,-2.E1)); +#10005=CARTESIAN_POINT('',(8.25E0,-1.03E1,-1.E1)); +#10006=VERTEX_POINT('',#10004); +#10007=VERTEX_POINT('',#10005); +#10008=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#10009=CARTESIAN_POINT('',(1.275E1,-1.03E1,-1.E1)); +#10010=VERTEX_POINT('',#10008); +#10011=VERTEX_POINT('',#10009); +#10012=CARTESIAN_POINT('',(1.175E1,-1.03E1,-2.E1)); +#10013=CARTESIAN_POINT('',(1.175E1,-1.03E1,-1.E1)); +#10014=VERTEX_POINT('',#10012); +#10015=VERTEX_POINT('',#10013); +#10016=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-2.E1)); +#10017=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-1.E1)); +#10018=VERTEX_POINT('',#10016); +#10019=VERTEX_POINT('',#10017); +#10020=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#10021=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-1.E1)); +#10022=VERTEX_POINT('',#10020); +#10023=VERTEX_POINT('',#10021); +#10024=CARTESIAN_POINT('',(1.25E0,1.03E1,-2.E1)); +#10025=CARTESIAN_POINT('',(1.25E0,1.03E1,-1.E1)); +#10026=VERTEX_POINT('',#10024); +#10027=VERTEX_POINT('',#10025); +#10028=CARTESIAN_POINT('',(2.25E0,1.03E1,-2.E1)); +#10029=CARTESIAN_POINT('',(2.25E0,1.03E1,-1.E1)); +#10030=VERTEX_POINT('',#10028); +#10031=VERTEX_POINT('',#10029); +#10032=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#10033=CARTESIAN_POINT('',(4.75E0,1.03E1,-1.E1)); +#10034=VERTEX_POINT('',#10032); +#10035=VERTEX_POINT('',#10033); +#10036=CARTESIAN_POINT('',(5.75E0,1.03E1,-2.E1)); +#10037=CARTESIAN_POINT('',(5.75E0,1.03E1,-1.E1)); +#10038=VERTEX_POINT('',#10036); +#10039=VERTEX_POINT('',#10037); +#10040=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#10041=CARTESIAN_POINT('',(8.25E0,1.03E1,-1.E1)); +#10042=VERTEX_POINT('',#10040); +#10043=VERTEX_POINT('',#10041); +#10044=CARTESIAN_POINT('',(9.25E0,1.03E1,-2.E1)); +#10045=CARTESIAN_POINT('',(9.25E0,1.03E1,-1.E1)); +#10046=VERTEX_POINT('',#10044); +#10047=VERTEX_POINT('',#10045); +#10048=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#10049=CARTESIAN_POINT('',(1.175E1,1.03E1,-1.E1)); +#10050=VERTEX_POINT('',#10048); +#10051=VERTEX_POINT('',#10049); +#10052=CARTESIAN_POINT('',(1.275E1,1.03E1,-2.E1)); +#10053=CARTESIAN_POINT('',(1.275E1,1.03E1,-1.E1)); +#10054=VERTEX_POINT('',#10052); +#10055=VERTEX_POINT('',#10053); +#10056=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#10057=CARTESIAN_POINT('',(-5.75E0,1.03E1,-1.E1)); +#10058=VERTEX_POINT('',#10056); +#10059=VERTEX_POINT('',#10057); +#10060=CARTESIAN_POINT('',(-4.75E0,1.03E1,-2.E1)); +#10061=CARTESIAN_POINT('',(-4.75E0,1.03E1,-1.E1)); +#10062=VERTEX_POINT('',#10060); +#10063=VERTEX_POINT('',#10061); +#10064=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#10065=CARTESIAN_POINT('',(-9.25E0,1.03E1,-1.E1)); +#10066=VERTEX_POINT('',#10064); +#10067=VERTEX_POINT('',#10065); +#10068=CARTESIAN_POINT('',(-8.25E0,1.03E1,-2.E1)); +#10069=CARTESIAN_POINT('',(-8.25E0,1.03E1,-1.E1)); +#10070=VERTEX_POINT('',#10068); +#10071=VERTEX_POINT('',#10069); +#10072=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#10073=CARTESIAN_POINT('',(-1.275E1,1.03E1,-1.E1)); +#10074=VERTEX_POINT('',#10072); +#10075=VERTEX_POINT('',#10073); +#10076=CARTESIAN_POINT('',(-1.175E1,1.03E1,-2.E1)); +#10077=CARTESIAN_POINT('',(-1.175E1,1.03E1,-1.E1)); +#10078=VERTEX_POINT('',#10076); +#10079=VERTEX_POINT('',#10077); +#10080=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-2.E1)); +#10081=VERTEX_POINT('',#10080); +#10082=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#10083=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-2.E1)); +#10084=VERTEX_POINT('',#10082); +#10085=VERTEX_POINT('',#10083); +#10086=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#10087=CARTESIAN_POINT('',(-5.75E0,-1.03E1,-2.E1)); +#10088=VERTEX_POINT('',#10086); +#10089=VERTEX_POINT('',#10087); +#10090=CARTESIAN_POINT('',(-4.75E0,-1.03E1,-2.E1)); +#10091=VERTEX_POINT('',#10090); +#10092=CARTESIAN_POINT('',(4.575E0,-1.03E1,-2.E1)); +#10093=VERTEX_POINT('',#10092); +#10094=CARTESIAN_POINT('',(5.925E0,-1.03E1,-2.E1)); +#10095=VERTEX_POINT('',#10094); +#10096=CARTESIAN_POINT('',(-1.075E0,1.03E1,-2.E1)); +#10097=VERTEX_POINT('',#10096); +#10098=CARTESIAN_POINT('',(-2.425E0,1.03E1,-2.E1)); +#10099=VERTEX_POINT('',#10098); +#10100=CARTESIAN_POINT('',(-2.77E1,1.105E1,-2.E1)); +#10101=CARTESIAN_POINT('',(-2.54E1,1.335E1,-2.E1)); +#10102=VERTEX_POINT('',#10100); +#10103=VERTEX_POINT('',#10101); +#10104=CARTESIAN_POINT('',(-2.77E1,-1.105E1,-2.E1)); +#10105=VERTEX_POINT('',#10104); +#10106=CARTESIAN_POINT('',(-2.54E1,-1.335E1,-2.E1)); +#10107=VERTEX_POINT('',#10106); +#10108=CARTESIAN_POINT('',(2.54E1,-1.335E1,-2.E1)); +#10109=VERTEX_POINT('',#10108); +#10110=CARTESIAN_POINT('',(2.77E1,-1.105E1,-2.E1)); +#10111=VERTEX_POINT('',#10110); +#10112=CARTESIAN_POINT('',(2.77E1,1.105E1,-2.E1)); +#10113=VERTEX_POINT('',#10112); +#10114=CARTESIAN_POINT('',(2.54E1,1.335E1,-2.E1)); +#10115=VERTEX_POINT('',#10114); +#10116=CARTESIAN_POINT('',(-2.860440890611E1,-8.400000000001E0,-2.85E1)); +#10117=CARTESIAN_POINT('',(-3.04E1,-8.400000000001E0,-2.85E1)); +#10118=VERTEX_POINT('',#10116); +#10119=VERTEX_POINT('',#10117); +#10120=CARTESIAN_POINT('',(-3.04E1,-6.200000000001E0,-2.85E1)); +#10121=VERTEX_POINT('',#10120); +#10122=CARTESIAN_POINT('',(-2.860440890611E1,-6.200000000001E0,-2.85E1)); +#10123=VERTEX_POINT('',#10122); +#10124=CARTESIAN_POINT('',(-2.710440890611E1,9.699999999999E0,-2.85E1)); +#10125=CARTESIAN_POINT('',(-3.04E1,9.699999999999E0,-2.85E1)); +#10126=VERTEX_POINT('',#10124); +#10127=VERTEX_POINT('',#10125); +#10128=CARTESIAN_POINT('',(-3.04E1,1.105E1,-2.85E1)); +#10129=VERTEX_POINT('',#10128); +#10130=CARTESIAN_POINT('',(-3.032722031170E1,1.19E1,-2.85E1)); +#10131=VERTEX_POINT('',#10130); +#10132=CARTESIAN_POINT('',(-2.710440890611E1,1.19E1,-2.85E1)); +#10133=VERTEX_POINT('',#10132); +#10134=CARTESIAN_POINT('',(2.625330667958E1,1.2375E1,-2.2E1)); +#10135=CARTESIAN_POINT('',(2.07E1,1.08E1,-2.2E1)); +#10136=VERTEX_POINT('',#10134); +#10137=VERTEX_POINT('',#10135); +#10138=CARTESIAN_POINT('',(2.625330667958E1,9.224999999999E0,-2.2E1)); +#10139=VERTEX_POINT('',#10138); +#10140=CARTESIAN_POINT('',(-2.07E1,1.08E1,-2.2E1)); +#10141=CARTESIAN_POINT('',(-2.625330667958E1,1.2375E1,-2.2E1)); +#10142=VERTEX_POINT('',#10140); +#10143=VERTEX_POINT('',#10141); +#10144=CARTESIAN_POINT('',(-2.625330667958E1,9.224999999999E0,-2.2E1)); +#10145=VERTEX_POINT('',#10144); +#10146=CARTESIAN_POINT('',(-2.22E1,-7.300000000001E0,-2.2E1)); +#10147=CARTESIAN_POINT('',(-2.775330667958E1,-5.725000000001E0,-2.2E1)); +#10148=VERTEX_POINT('',#10146); +#10149=VERTEX_POINT('',#10147); +#10150=CARTESIAN_POINT('',(-2.775330667958E1,-8.875000000001E0,-2.2E1)); +#10151=VERTEX_POINT('',#10150); +#10152=CARTESIAN_POINT('',(2.775330667958E1,-5.725000000001E0,-2.2E1)); +#10153=CARTESIAN_POINT('',(2.22E1,-7.300000000001E0,-2.2E1)); +#10154=VERTEX_POINT('',#10152); +#10155=VERTEX_POINT('',#10153); +#10156=CARTESIAN_POINT('',(2.775330667958E1,-8.875000000001E0,-2.2E1)); +#10157=VERTEX_POINT('',#10156); +#10158=CARTESIAN_POINT('',(2.67E1,1.08E1,-2.85E1)); +#10159=CARTESIAN_POINT('',(2.625330667564E1,1.237500000639E1,-2.85E1)); +#10160=VERTEX_POINT('',#10158); +#10161=VERTEX_POINT('',#10159); +#10162=CARTESIAN_POINT('',(2.625330668455E1,9.225000008057E0,-2.85E1)); +#10163=VERTEX_POINT('',#10162); +#10164=CARTESIAN_POINT('',(-2.625330667564E1,1.237500000639E1,-2.85E1)); +#10165=CARTESIAN_POINT('',(-2.67E1,1.08E1,-2.85E1)); +#10166=VERTEX_POINT('',#10164); +#10167=VERTEX_POINT('',#10165); +#10168=CARTESIAN_POINT('',(-2.625330668455E1,9.225000008057E0,-2.85E1)); +#10169=VERTEX_POINT('',#10168); +#10170=CARTESIAN_POINT('',(-2.775330668455E1,-5.725000008058E0,-2.85E1)); +#10171=CARTESIAN_POINT('',(-2.82E1,-7.300000000001E0,-2.85E1)); +#10172=VERTEX_POINT('',#10170); +#10173=VERTEX_POINT('',#10171); +#10174=CARTESIAN_POINT('',(-2.775330667564E1,-8.875000006395E0,-2.85E1)); +#10175=VERTEX_POINT('',#10174); +#10176=CARTESIAN_POINT('',(2.82E1,-7.300000000001E0,-2.85E1)); +#10177=CARTESIAN_POINT('',(2.775330668455E1,-5.725000008058E0,-2.85E1)); +#10178=VERTEX_POINT('',#10176); +#10179=VERTEX_POINT('',#10177); +#10180=CARTESIAN_POINT('',(2.775330667564E1,-8.875000006395E0,-2.85E1)); +#10181=VERTEX_POINT('',#10180); +#10182=CARTESIAN_POINT('',(-2.54E1,1.595E1,-2.E1)); +#10183=CARTESIAN_POINT('',(-3.03E1,1.105E1,-2.E1)); +#10184=VERTEX_POINT('',#10182); +#10185=VERTEX_POINT('',#10183); +#10186=CARTESIAN_POINT('',(-3.03E1,-1.105E1,-2.E1)); +#10187=VERTEX_POINT('',#10186); +#10188=CARTESIAN_POINT('',(-2.54E1,-1.595E1,-2.E1)); +#10189=VERTEX_POINT('',#10188); +#10190=CARTESIAN_POINT('',(2.54E1,-1.595E1,-2.E1)); +#10191=VERTEX_POINT('',#10190); +#10192=CARTESIAN_POINT('',(3.03E1,-1.105E1,-2.E1)); +#10193=VERTEX_POINT('',#10192); +#10194=CARTESIAN_POINT('',(3.03E1,1.105E1,-2.E1)); +#10195=VERTEX_POINT('',#10194); +#10196=CARTESIAN_POINT('',(2.54E1,1.595E1,-2.E1)); +#10197=VERTEX_POINT('',#10196); +#10198=CARTESIAN_POINT('',(5.857864376269E-1,4.500000000001E0,-2.6E1)); +#10199=CARTESIAN_POINT('',(3.414213562373E0,4.500000000001E0,-2.6E1)); +#10200=VERTEX_POINT('',#10198); +#10201=VERTEX_POINT('',#10199); +#10202=CARTESIAN_POINT('',(4.585786437627E0,4.500000000001E0,-2.6E1)); +#10203=VERTEX_POINT('',#10202); +#10204=CARTESIAN_POINT('',(7.414213562373E0,4.500000000001E0,-2.6E1)); +#10205=VERTEX_POINT('',#10204); +#10206=CARTESIAN_POINT('',(8.585786437627E0,4.500000000001E0,-2.6E1)); +#10207=VERTEX_POINT('',#10206); +#10208=CARTESIAN_POINT('',(1.141421356237E1,4.500000000001E0,-2.6E1)); +#10209=VERTEX_POINT('',#10208); +#10210=CARTESIAN_POINT('',(1.258578643763E1,4.500000000001E0,-2.6E1)); +#10211=VERTEX_POINT('',#10210); +#10212=CARTESIAN_POINT('',(1.541421356237E1,4.500000000001E0,-2.6E1)); +#10213=VERTEX_POINT('',#10212); +#10214=CARTESIAN_POINT('',(1.67E1,4.500000000001E0,-2.6E1)); +#10215=VERTEX_POINT('',#10214); +#10216=CARTESIAN_POINT('',(1.67E1,3.500000000001E0,-2.6E1)); +#10217=VERTEX_POINT('',#10216); +#10218=CARTESIAN_POINT('',(1.541421356237E1,3.500000000001E0,-2.6E1)); +#10219=VERTEX_POINT('',#10218); +#10220=CARTESIAN_POINT('',(1.258578643763E1,3.500000000001E0,-2.6E1)); +#10221=VERTEX_POINT('',#10220); +#10222=CARTESIAN_POINT('',(1.141421356237E1,3.500000000001E0,-2.6E1)); +#10223=VERTEX_POINT('',#10222); +#10224=CARTESIAN_POINT('',(8.585786437627E0,3.500000000001E0,-2.6E1)); +#10225=VERTEX_POINT('',#10224); +#10226=CARTESIAN_POINT('',(7.414213562373E0,3.500000000001E0,-2.6E1)); +#10227=VERTEX_POINT('',#10226); +#10228=CARTESIAN_POINT('',(4.585786437627E0,3.500000000001E0,-2.6E1)); +#10229=VERTEX_POINT('',#10228); +#10230=CARTESIAN_POINT('',(3.414213562373E0,3.500000000001E0,-2.6E1)); +#10231=VERTEX_POINT('',#10230); +#10232=CARTESIAN_POINT('',(5.857864376269E-1,3.500000000001E0,-2.6E1)); +#10233=VERTEX_POINT('',#10232); +#10234=CARTESIAN_POINT('',(-5.857864376269E-1,3.500000000001E0,-2.6E1)); +#10235=VERTEX_POINT('',#10234); +#10236=CARTESIAN_POINT('',(-3.414213562373E0,3.500000000001E0,-2.6E1)); +#10237=VERTEX_POINT('',#10236); +#10238=CARTESIAN_POINT('',(-4.585786437627E0,3.500000000001E0,-2.6E1)); +#10239=VERTEX_POINT('',#10238); +#10240=CARTESIAN_POINT('',(-7.414213562373E0,3.500000000001E0,-2.6E1)); +#10241=VERTEX_POINT('',#10240); +#10242=CARTESIAN_POINT('',(-8.585786437627E0,3.500000000001E0,-2.6E1)); +#10243=VERTEX_POINT('',#10242); +#10244=CARTESIAN_POINT('',(-1.141421356237E1,3.500000000001E0,-2.6E1)); +#10245=VERTEX_POINT('',#10244); +#10246=CARTESIAN_POINT('',(-1.258578643763E1,3.500000000001E0,-2.6E1)); +#10247=VERTEX_POINT('',#10246); +#10248=CARTESIAN_POINT('',(-1.541421356237E1,3.500000000001E0,-2.6E1)); +#10249=VERTEX_POINT('',#10248); +#10250=CARTESIAN_POINT('',(-1.67E1,3.500000000001E0,-2.6E1)); +#10251=VERTEX_POINT('',#10250); +#10252=CARTESIAN_POINT('',(-1.67E1,4.500000000001E0,-2.6E1)); +#10253=VERTEX_POINT('',#10252); +#10254=CARTESIAN_POINT('',(-1.541421356237E1,4.500000000001E0,-2.6E1)); +#10255=VERTEX_POINT('',#10254); +#10256=CARTESIAN_POINT('',(-1.258578643763E1,4.500000000001E0,-2.6E1)); +#10257=VERTEX_POINT('',#10256); +#10258=CARTESIAN_POINT('',(-1.141421356237E1,4.500000000001E0,-2.6E1)); +#10259=VERTEX_POINT('',#10258); +#10260=CARTESIAN_POINT('',(-8.585786437627E0,4.500000000001E0,-2.6E1)); +#10261=VERTEX_POINT('',#10260); +#10262=CARTESIAN_POINT('',(-7.414213562373E0,4.500000000001E0,-2.6E1)); +#10263=VERTEX_POINT('',#10262); +#10264=CARTESIAN_POINT('',(-4.585786437627E0,4.500000000001E0,-2.6E1)); +#10265=VERTEX_POINT('',#10264); +#10266=CARTESIAN_POINT('',(-3.414213562373E0,4.500000000001E0,-2.6E1)); +#10267=VERTEX_POINT('',#10266); +#10268=CARTESIAN_POINT('',(-5.857864376269E-1,4.500000000001E0,-2.6E1)); +#10269=VERTEX_POINT('',#10268); +#10270=CARTESIAN_POINT('',(-1.414213562373E0,5.E-1,-2.6E1)); +#10271=CARTESIAN_POINT('',(1.414213562373E0,5.E-1,-2.6E1)); +#10272=VERTEX_POINT('',#10270); +#10273=VERTEX_POINT('',#10271); +#10274=CARTESIAN_POINT('',(2.585786437627E0,5.E-1,-2.6E1)); +#10275=VERTEX_POINT('',#10274); +#10276=CARTESIAN_POINT('',(5.414213562373E0,5.E-1,-2.6E1)); +#10277=VERTEX_POINT('',#10276); +#10278=CARTESIAN_POINT('',(6.585786437627E0,5.E-1,-2.6E1)); +#10279=VERTEX_POINT('',#10278); +#10280=CARTESIAN_POINT('',(9.414213562373E0,5.E-1,-2.6E1)); +#10281=VERTEX_POINT('',#10280); +#10282=CARTESIAN_POINT('',(1.058578643763E1,5.E-1,-2.6E1)); +#10283=VERTEX_POINT('',#10282); +#10284=CARTESIAN_POINT('',(1.341421356237E1,5.E-1,-2.6E1)); +#10285=VERTEX_POINT('',#10284); +#10286=CARTESIAN_POINT('',(1.67E1,5.E-1,-2.6E1)); +#10287=VERTEX_POINT('',#10286); +#10288=CARTESIAN_POINT('',(1.67E1,-5.E-1,-2.6E1)); +#10289=VERTEX_POINT('',#10288); +#10290=CARTESIAN_POINT('',(1.341421356237E1,-5.E-1,-2.6E1)); +#10291=VERTEX_POINT('',#10290); +#10292=CARTESIAN_POINT('',(1.058578643763E1,-5.E-1,-2.6E1)); +#10293=VERTEX_POINT('',#10292); +#10294=CARTESIAN_POINT('',(9.414213562373E0,-5.E-1,-2.6E1)); +#10295=VERTEX_POINT('',#10294); +#10296=CARTESIAN_POINT('',(6.585786437627E0,-5.E-1,-2.6E1)); +#10297=VERTEX_POINT('',#10296); +#10298=CARTESIAN_POINT('',(5.414213562373E0,-5.E-1,-2.6E1)); +#10299=VERTEX_POINT('',#10298); +#10300=CARTESIAN_POINT('',(2.585786437627E0,-5.E-1,-2.6E1)); +#10301=VERTEX_POINT('',#10300); +#10302=CARTESIAN_POINT('',(1.414213562373E0,-5.E-1,-2.6E1)); +#10303=VERTEX_POINT('',#10302); +#10304=CARTESIAN_POINT('',(-1.414213562373E0,-5.E-1,-2.6E1)); +#10305=VERTEX_POINT('',#10304); +#10306=CARTESIAN_POINT('',(-2.585786437627E0,-5.E-1,-2.6E1)); +#10307=VERTEX_POINT('',#10306); +#10308=CARTESIAN_POINT('',(-5.414213562373E0,-5.E-1,-2.6E1)); +#10309=VERTEX_POINT('',#10308); +#10310=CARTESIAN_POINT('',(-6.585786437627E0,-5.E-1,-2.6E1)); +#10311=VERTEX_POINT('',#10310); +#10312=CARTESIAN_POINT('',(-9.414213562373E0,-5.E-1,-2.6E1)); +#10313=VERTEX_POINT('',#10312); +#10314=CARTESIAN_POINT('',(-1.058578643763E1,-5.E-1,-2.6E1)); +#10315=VERTEX_POINT('',#10314); +#10316=CARTESIAN_POINT('',(-1.341421356237E1,-5.E-1,-2.6E1)); +#10317=VERTEX_POINT('',#10316); +#10318=CARTESIAN_POINT('',(-1.67E1,-5.E-1,-2.6E1)); +#10319=VERTEX_POINT('',#10318); +#10320=CARTESIAN_POINT('',(-1.67E1,5.E-1,-2.6E1)); +#10321=VERTEX_POINT('',#10320); +#10322=CARTESIAN_POINT('',(-1.341421356237E1,5.E-1,-2.6E1)); +#10323=VERTEX_POINT('',#10322); +#10324=CARTESIAN_POINT('',(-1.058578643763E1,5.E-1,-2.6E1)); +#10325=VERTEX_POINT('',#10324); +#10326=CARTESIAN_POINT('',(-9.414213562373E0,5.E-1,-2.6E1)); +#10327=VERTEX_POINT('',#10326); +#10328=CARTESIAN_POINT('',(-6.585786437627E0,5.E-1,-2.6E1)); +#10329=VERTEX_POINT('',#10328); +#10330=CARTESIAN_POINT('',(-5.414213562373E0,5.E-1,-2.6E1)); +#10331=VERTEX_POINT('',#10330); +#10332=CARTESIAN_POINT('',(-2.585786437627E0,5.E-1,-2.6E1)); +#10333=VERTEX_POINT('',#10332); +#10334=CARTESIAN_POINT('',(5.857864376269E-1,4.500000000001E0,-2.3E1)); +#10335=CARTESIAN_POINT('',(3.414213562373E0,4.500000000001E0,-2.3E1)); +#10336=VERTEX_POINT('',#10334); +#10337=VERTEX_POINT('',#10335); +#10338=CARTESIAN_POINT('',(4.585786437627E0,4.500000000001E0,-2.3E1)); +#10339=VERTEX_POINT('',#10338); +#10340=CARTESIAN_POINT('',(7.414213562373E0,4.500000000001E0,-2.3E1)); +#10341=VERTEX_POINT('',#10340); +#10342=CARTESIAN_POINT('',(8.585786437627E0,4.500000000001E0,-2.3E1)); +#10343=VERTEX_POINT('',#10342); +#10344=CARTESIAN_POINT('',(1.141421356237E1,4.500000000001E0,-2.3E1)); +#10345=VERTEX_POINT('',#10344); +#10346=CARTESIAN_POINT('',(1.258578643763E1,4.500000000001E0,-2.3E1)); +#10347=VERTEX_POINT('',#10346); +#10348=CARTESIAN_POINT('',(1.541421356237E1,4.500000000001E0,-2.3E1)); +#10349=VERTEX_POINT('',#10348); +#10350=CARTESIAN_POINT('',(1.67E1,4.500000000001E0,-2.3E1)); +#10351=VERTEX_POINT('',#10350); +#10352=CARTESIAN_POINT('',(1.67E1,3.500000000001E0,-2.3E1)); +#10353=CARTESIAN_POINT('',(1.541421356237E1,3.500000000001E0,-2.3E1)); +#10354=VERTEX_POINT('',#10352); +#10355=VERTEX_POINT('',#10353); +#10356=CARTESIAN_POINT('',(1.258578643763E1,3.500000000001E0,-2.3E1)); +#10357=VERTEX_POINT('',#10356); +#10358=CARTESIAN_POINT('',(1.141421356237E1,3.500000000001E0,-2.3E1)); +#10359=VERTEX_POINT('',#10358); +#10360=CARTESIAN_POINT('',(8.585786437627E0,3.500000000001E0,-2.3E1)); +#10361=VERTEX_POINT('',#10360); +#10362=CARTESIAN_POINT('',(7.414213562373E0,3.500000000001E0,-2.3E1)); +#10363=VERTEX_POINT('',#10362); +#10364=CARTESIAN_POINT('',(4.585786437627E0,3.500000000001E0,-2.3E1)); +#10365=VERTEX_POINT('',#10364); +#10366=CARTESIAN_POINT('',(3.414213562373E0,3.500000000001E0,-2.3E1)); +#10367=VERTEX_POINT('',#10366); +#10368=CARTESIAN_POINT('',(5.857864376269E-1,3.500000000001E0,-2.3E1)); +#10369=VERTEX_POINT('',#10368); +#10370=CARTESIAN_POINT('',(-5.857864376269E-1,3.500000000001E0,-2.3E1)); +#10371=VERTEX_POINT('',#10370); +#10372=CARTESIAN_POINT('',(-3.414213562373E0,3.500000000001E0,-2.3E1)); +#10373=VERTEX_POINT('',#10372); +#10374=CARTESIAN_POINT('',(-4.585786437627E0,3.500000000001E0,-2.3E1)); +#10375=VERTEX_POINT('',#10374); +#10376=CARTESIAN_POINT('',(-7.414213562373E0,3.500000000001E0,-2.3E1)); +#10377=VERTEX_POINT('',#10376); +#10378=CARTESIAN_POINT('',(-8.585786437627E0,3.500000000001E0,-2.3E1)); +#10379=VERTEX_POINT('',#10378); +#10380=CARTESIAN_POINT('',(-1.141421356237E1,3.500000000001E0,-2.3E1)); +#10381=VERTEX_POINT('',#10380); +#10382=CARTESIAN_POINT('',(-1.258578643763E1,3.500000000001E0,-2.3E1)); +#10383=VERTEX_POINT('',#10382); +#10384=CARTESIAN_POINT('',(-1.541421356237E1,3.500000000001E0,-2.3E1)); +#10385=VERTEX_POINT('',#10384); +#10386=CARTESIAN_POINT('',(-1.67E1,3.500000000001E0,-2.3E1)); +#10387=VERTEX_POINT('',#10386); +#10388=CARTESIAN_POINT('',(-1.67E1,4.500000000001E0,-2.3E1)); +#10389=CARTESIAN_POINT('',(-1.541421356237E1,4.500000000001E0,-2.3E1)); +#10390=VERTEX_POINT('',#10388); +#10391=VERTEX_POINT('',#10389); +#10392=CARTESIAN_POINT('',(-1.258578643763E1,4.500000000001E0,-2.3E1)); +#10393=VERTEX_POINT('',#10392); +#10394=CARTESIAN_POINT('',(-1.141421356237E1,4.500000000001E0,-2.3E1)); +#10395=VERTEX_POINT('',#10394); +#10396=CARTESIAN_POINT('',(-8.585786437627E0,4.500000000001E0,-2.3E1)); +#10397=VERTEX_POINT('',#10396); +#10398=CARTESIAN_POINT('',(-7.414213562373E0,4.500000000001E0,-2.3E1)); +#10399=VERTEX_POINT('',#10398); +#10400=CARTESIAN_POINT('',(-4.585786437627E0,4.500000000001E0,-2.3E1)); +#10401=VERTEX_POINT('',#10400); +#10402=CARTESIAN_POINT('',(-3.414213562373E0,4.500000000001E0,-2.3E1)); +#10403=VERTEX_POINT('',#10402); +#10404=CARTESIAN_POINT('',(-5.857864376269E-1,4.500000000001E0,-2.3E1)); +#10405=VERTEX_POINT('',#10404); +#10406=CARTESIAN_POINT('',(-1.414213562373E0,5.E-1,-2.3E1)); +#10407=CARTESIAN_POINT('',(1.414213562373E0,5.E-1,-2.3E1)); +#10408=VERTEX_POINT('',#10406); +#10409=VERTEX_POINT('',#10407); +#10410=CARTESIAN_POINT('',(2.585786437627E0,5.E-1,-2.3E1)); +#10411=VERTEX_POINT('',#10410); +#10412=CARTESIAN_POINT('',(5.414213562373E0,5.E-1,-2.3E1)); +#10413=VERTEX_POINT('',#10412); +#10414=CARTESIAN_POINT('',(6.585786437627E0,5.E-1,-2.3E1)); +#10415=VERTEX_POINT('',#10414); +#10416=CARTESIAN_POINT('',(9.414213562373E0,5.E-1,-2.3E1)); +#10417=VERTEX_POINT('',#10416); +#10418=CARTESIAN_POINT('',(1.058578643763E1,5.E-1,-2.3E1)); +#10419=VERTEX_POINT('',#10418); +#10420=CARTESIAN_POINT('',(1.341421356237E1,5.E-1,-2.3E1)); +#10421=VERTEX_POINT('',#10420); +#10422=CARTESIAN_POINT('',(1.67E1,5.E-1,-2.3E1)); +#10423=VERTEX_POINT('',#10422); +#10424=CARTESIAN_POINT('',(1.67E1,-5.E-1,-2.3E1)); +#10425=CARTESIAN_POINT('',(1.341421356237E1,-5.E-1,-2.3E1)); +#10426=VERTEX_POINT('',#10424); +#10427=VERTEX_POINT('',#10425); +#10428=CARTESIAN_POINT('',(1.058578643763E1,-5.E-1,-2.3E1)); +#10429=VERTEX_POINT('',#10428); +#10430=CARTESIAN_POINT('',(9.414213562373E0,-5.E-1,-2.3E1)); +#10431=VERTEX_POINT('',#10430); +#10432=CARTESIAN_POINT('',(6.585786437627E0,-5.E-1,-2.3E1)); +#10433=VERTEX_POINT('',#10432); +#10434=CARTESIAN_POINT('',(5.414213562373E0,-5.E-1,-2.3E1)); +#10435=VERTEX_POINT('',#10434); +#10436=CARTESIAN_POINT('',(2.585786437627E0,-5.E-1,-2.3E1)); +#10437=VERTEX_POINT('',#10436); +#10438=CARTESIAN_POINT('',(1.414213562373E0,-5.E-1,-2.3E1)); +#10439=VERTEX_POINT('',#10438); +#10440=CARTESIAN_POINT('',(-1.414213562373E0,-5.E-1,-2.3E1)); +#10441=VERTEX_POINT('',#10440); +#10442=CARTESIAN_POINT('',(-2.585786437627E0,-5.E-1,-2.3E1)); +#10443=VERTEX_POINT('',#10442); +#10444=CARTESIAN_POINT('',(-5.414213562373E0,-5.E-1,-2.3E1)); +#10445=VERTEX_POINT('',#10444); +#10446=CARTESIAN_POINT('',(-6.585786437627E0,-5.E-1,-2.3E1)); +#10447=VERTEX_POINT('',#10446); +#10448=CARTESIAN_POINT('',(-9.414213562373E0,-5.E-1,-2.3E1)); +#10449=VERTEX_POINT('',#10448); +#10450=CARTESIAN_POINT('',(-1.058578643763E1,-5.E-1,-2.3E1)); +#10451=VERTEX_POINT('',#10450); +#10452=CARTESIAN_POINT('',(-1.341421356237E1,-5.E-1,-2.3E1)); +#10453=VERTEX_POINT('',#10452); +#10454=CARTESIAN_POINT('',(-1.67E1,-5.E-1,-2.3E1)); +#10455=VERTEX_POINT('',#10454); +#10456=CARTESIAN_POINT('',(-1.67E1,5.E-1,-2.3E1)); +#10457=CARTESIAN_POINT('',(-1.341421356237E1,5.E-1,-2.3E1)); +#10458=VERTEX_POINT('',#10456); +#10459=VERTEX_POINT('',#10457); +#10460=CARTESIAN_POINT('',(-1.058578643763E1,5.E-1,-2.3E1)); +#10461=VERTEX_POINT('',#10460); +#10462=CARTESIAN_POINT('',(-9.414213562373E0,5.E-1,-2.3E1)); +#10463=VERTEX_POINT('',#10462); +#10464=CARTESIAN_POINT('',(-6.585786437627E0,5.E-1,-2.3E1)); +#10465=VERTEX_POINT('',#10464); +#10466=CARTESIAN_POINT('',(-5.414213562373E0,5.E-1,-2.3E1)); +#10467=VERTEX_POINT('',#10466); +#10468=CARTESIAN_POINT('',(-2.585786437627E0,5.E-1,-2.3E1)); +#10469=VERTEX_POINT('',#10468); +#10470=CARTESIAN_POINT('',(-1.67E1,-3.500000000001E0,-2.3E1)); +#10471=VERTEX_POINT('',#10470); +#10472=CARTESIAN_POINT('',(1.67E1,-4.500000000001E0,-2.3E1)); +#10473=VERTEX_POINT('',#10472); +#10474=CARTESIAN_POINT('',(1.67E1,-3.500000000001E0,-2.3E1)); +#10475=VERTEX_POINT('',#10474); +#10476=CARTESIAN_POINT('',(5.857864376269E-1,-4.500000000001E0,-2.6E1)); +#10477=CARTESIAN_POINT('',(-5.857864376269E-1,-4.500000000001E0,-2.6E1)); +#10478=VERTEX_POINT('',#10476); +#10479=VERTEX_POINT('',#10477); +#10480=CARTESIAN_POINT('',(-3.414213562373E0,-4.500000000001E0,-2.6E1)); +#10481=VERTEX_POINT('',#10480); +#10482=CARTESIAN_POINT('',(-4.585786437627E0,-4.500000000001E0,-2.6E1)); +#10483=VERTEX_POINT('',#10482); +#10484=CARTESIAN_POINT('',(-7.414213562373E0,-4.500000000001E0,-2.6E1)); +#10485=VERTEX_POINT('',#10484); +#10486=CARTESIAN_POINT('',(-8.585786437627E0,-4.500000000001E0,-2.6E1)); +#10487=VERTEX_POINT('',#10486); +#10488=CARTESIAN_POINT('',(-1.141421356237E1,-4.500000000001E0,-2.6E1)); +#10489=VERTEX_POINT('',#10488); +#10490=CARTESIAN_POINT('',(-1.258578643763E1,-4.500000000001E0,-2.6E1)); +#10491=VERTEX_POINT('',#10490); +#10492=CARTESIAN_POINT('',(-1.541421356237E1,-4.500000000001E0,-2.6E1)); +#10493=VERTEX_POINT('',#10492); +#10494=CARTESIAN_POINT('',(-1.67E1,-4.500000000001E0,-2.6E1)); +#10495=VERTEX_POINT('',#10494); +#10496=CARTESIAN_POINT('',(-1.67E1,-3.500000000001E0,-2.6E1)); +#10497=VERTEX_POINT('',#10496); +#10498=CARTESIAN_POINT('',(-1.541421356237E1,-3.500000000001E0,-2.6E1)); +#10499=VERTEX_POINT('',#10498); +#10500=CARTESIAN_POINT('',(-1.258578643763E1,-3.500000000001E0,-2.6E1)); +#10501=VERTEX_POINT('',#10500); +#10502=CARTESIAN_POINT('',(-1.141421356237E1,-3.500000000001E0,-2.6E1)); +#10503=VERTEX_POINT('',#10502); +#10504=CARTESIAN_POINT('',(-8.585786437627E0,-3.500000000001E0,-2.6E1)); +#10505=VERTEX_POINT('',#10504); +#10506=CARTESIAN_POINT('',(-7.414213562373E0,-3.500000000001E0,-2.6E1)); +#10507=VERTEX_POINT('',#10506); +#10508=CARTESIAN_POINT('',(-4.585786437627E0,-3.500000000001E0,-2.6E1)); +#10509=VERTEX_POINT('',#10508); +#10510=CARTESIAN_POINT('',(-3.414213562373E0,-3.500000000001E0,-2.6E1)); +#10511=VERTEX_POINT('',#10510); +#10512=CARTESIAN_POINT('',(-5.857864376269E-1,-3.500000000001E0,-2.6E1)); +#10513=VERTEX_POINT('',#10512); +#10514=CARTESIAN_POINT('',(5.857864376269E-1,-3.500000000001E0,-2.6E1)); +#10515=VERTEX_POINT('',#10514); +#10516=CARTESIAN_POINT('',(3.414213562373E0,-3.500000000001E0,-2.6E1)); +#10517=VERTEX_POINT('',#10516); +#10518=CARTESIAN_POINT('',(4.585786437627E0,-3.500000000001E0,-2.6E1)); +#10519=VERTEX_POINT('',#10518); +#10520=CARTESIAN_POINT('',(7.414213562373E0,-3.500000000001E0,-2.6E1)); +#10521=VERTEX_POINT('',#10520); +#10522=CARTESIAN_POINT('',(8.585786437627E0,-3.500000000001E0,-2.6E1)); +#10523=VERTEX_POINT('',#10522); +#10524=CARTESIAN_POINT('',(1.141421356237E1,-3.500000000001E0,-2.6E1)); +#10525=VERTEX_POINT('',#10524); +#10526=CARTESIAN_POINT('',(1.258578643763E1,-3.500000000001E0,-2.6E1)); +#10527=VERTEX_POINT('',#10526); +#10528=CARTESIAN_POINT('',(1.541421356237E1,-3.500000000001E0,-2.6E1)); +#10529=VERTEX_POINT('',#10528); +#10530=CARTESIAN_POINT('',(1.67E1,-3.500000000001E0,-2.6E1)); +#10531=VERTEX_POINT('',#10530); +#10532=CARTESIAN_POINT('',(1.67E1,-4.500000000001E0,-2.6E1)); +#10533=VERTEX_POINT('',#10532); +#10534=CARTESIAN_POINT('',(1.541421356237E1,-4.500000000001E0,-2.6E1)); +#10535=VERTEX_POINT('',#10534); +#10536=CARTESIAN_POINT('',(1.258578643763E1,-4.500000000001E0,-2.6E1)); +#10537=VERTEX_POINT('',#10536); +#10538=CARTESIAN_POINT('',(1.141421356237E1,-4.500000000001E0,-2.6E1)); +#10539=VERTEX_POINT('',#10538); +#10540=CARTESIAN_POINT('',(8.585786437627E0,-4.500000000001E0,-2.6E1)); +#10541=VERTEX_POINT('',#10540); +#10542=CARTESIAN_POINT('',(7.414213562373E0,-4.500000000001E0,-2.6E1)); +#10543=VERTEX_POINT('',#10542); +#10544=CARTESIAN_POINT('',(4.585786437627E0,-4.500000000001E0,-2.6E1)); +#10545=VERTEX_POINT('',#10544); +#10546=CARTESIAN_POINT('',(3.414213562373E0,-4.500000000001E0,-2.6E1)); +#10547=VERTEX_POINT('',#10546); +#10548=CARTESIAN_POINT('',(5.857864376269E-1,-4.500000000001E0,-2.3E1)); +#10549=CARTESIAN_POINT('',(-5.857864376269E-1,-4.500000000001E0,-2.3E1)); +#10550=VERTEX_POINT('',#10548); +#10551=VERTEX_POINT('',#10549); +#10552=CARTESIAN_POINT('',(-3.414213562373E0,-4.500000000001E0,-2.3E1)); +#10553=VERTEX_POINT('',#10552); +#10554=CARTESIAN_POINT('',(-4.585786437627E0,-4.500000000001E0,-2.3E1)); +#10555=VERTEX_POINT('',#10554); +#10556=CARTESIAN_POINT('',(-7.414213562373E0,-4.500000000001E0,-2.3E1)); +#10557=VERTEX_POINT('',#10556); +#10558=CARTESIAN_POINT('',(-8.585786437627E0,-4.500000000001E0,-2.3E1)); +#10559=VERTEX_POINT('',#10558); +#10560=CARTESIAN_POINT('',(-1.141421356237E1,-4.500000000001E0,-2.3E1)); +#10561=VERTEX_POINT('',#10560); +#10562=CARTESIAN_POINT('',(-1.258578643763E1,-4.500000000001E0,-2.3E1)); +#10563=VERTEX_POINT('',#10562); +#10564=CARTESIAN_POINT('',(-1.541421356237E1,-4.500000000001E0,-2.3E1)); +#10565=VERTEX_POINT('',#10564); +#10566=CARTESIAN_POINT('',(-1.67E1,-4.500000000001E0,-2.3E1)); +#10567=VERTEX_POINT('',#10566); +#10568=CARTESIAN_POINT('',(-1.541421356237E1,-3.500000000001E0,-2.3E1)); +#10569=VERTEX_POINT('',#10568); +#10570=CARTESIAN_POINT('',(-1.258578643763E1,-3.500000000001E0,-2.3E1)); +#10571=VERTEX_POINT('',#10570); +#10572=CARTESIAN_POINT('',(-1.141421356237E1,-3.500000000001E0,-2.3E1)); +#10573=VERTEX_POINT('',#10572); +#10574=CARTESIAN_POINT('',(-8.585786437627E0,-3.500000000001E0,-2.3E1)); +#10575=VERTEX_POINT('',#10574); +#10576=CARTESIAN_POINT('',(-7.414213562373E0,-3.500000000001E0,-2.3E1)); +#10577=VERTEX_POINT('',#10576); +#10578=CARTESIAN_POINT('',(-4.585786437627E0,-3.500000000001E0,-2.3E1)); +#10579=VERTEX_POINT('',#10578); +#10580=CARTESIAN_POINT('',(-3.414213562373E0,-3.500000000001E0,-2.3E1)); +#10581=VERTEX_POINT('',#10580); +#10582=CARTESIAN_POINT('',(-5.857864376269E-1,-3.500000000001E0,-2.3E1)); +#10583=VERTEX_POINT('',#10582); +#10584=CARTESIAN_POINT('',(5.857864376269E-1,-3.500000000001E0,-2.3E1)); +#10585=VERTEX_POINT('',#10584); +#10586=CARTESIAN_POINT('',(3.414213562373E0,-3.500000000001E0,-2.3E1)); +#10587=VERTEX_POINT('',#10586); +#10588=CARTESIAN_POINT('',(4.585786437627E0,-3.500000000001E0,-2.3E1)); +#10589=VERTEX_POINT('',#10588); +#10590=CARTESIAN_POINT('',(7.414213562373E0,-3.500000000001E0,-2.3E1)); +#10591=VERTEX_POINT('',#10590); +#10592=CARTESIAN_POINT('',(8.585786437627E0,-3.500000000001E0,-2.3E1)); +#10593=VERTEX_POINT('',#10592); +#10594=CARTESIAN_POINT('',(1.141421356237E1,-3.500000000001E0,-2.3E1)); +#10595=VERTEX_POINT('',#10594); +#10596=CARTESIAN_POINT('',(1.258578643763E1,-3.500000000001E0,-2.3E1)); +#10597=VERTEX_POINT('',#10596); +#10598=CARTESIAN_POINT('',(1.541421356237E1,-3.500000000001E0,-2.3E1)); +#10599=VERTEX_POINT('',#10598); +#10600=CARTESIAN_POINT('',(1.541421356237E1,-4.500000000001E0,-2.3E1)); +#10601=VERTEX_POINT('',#10600); +#10602=CARTESIAN_POINT('',(1.258578643763E1,-4.500000000001E0,-2.3E1)); +#10603=VERTEX_POINT('',#10602); +#10604=CARTESIAN_POINT('',(1.141421356237E1,-4.500000000001E0,-2.3E1)); +#10605=VERTEX_POINT('',#10604); +#10606=CARTESIAN_POINT('',(8.585786437627E0,-4.500000000001E0,-2.3E1)); +#10607=VERTEX_POINT('',#10606); +#10608=CARTESIAN_POINT('',(7.414213562373E0,-4.500000000001E0,-2.3E1)); +#10609=VERTEX_POINT('',#10608); +#10610=CARTESIAN_POINT('',(4.585786437627E0,-4.500000000001E0,-2.3E1)); +#10611=VERTEX_POINT('',#10610); +#10612=CARTESIAN_POINT('',(3.414213562373E0,-4.500000000001E0,-2.3E1)); +#10613=VERTEX_POINT('',#10612); +#10614=CARTESIAN_POINT('',(-1.55E1,1.083589838486E1,0.E0)); +#10615=CARTESIAN_POINT('',(1.55E1,1.083589838486E1,0.E0)); +#10616=VERTEX_POINT('',#10614); +#10617=VERTEX_POINT('',#10615); +#10618=CARTESIAN_POINT('',(2.073589838486E1,5.6E0,0.E0)); +#10619=VERTEX_POINT('',#10618); +#10620=CARTESIAN_POINT('',(2.073589838486E1,-5.6E0,0.E0)); +#10621=VERTEX_POINT('',#10620); +#10622=CARTESIAN_POINT('',(1.55E1,-1.083589838486E1,0.E0)); +#10623=VERTEX_POINT('',#10622); +#10624=CARTESIAN_POINT('',(-1.55E1,-1.083589838486E1,0.E0)); +#10625=VERTEX_POINT('',#10624); +#10626=CARTESIAN_POINT('',(-2.073589838486E1,-5.6E0,0.E0)); +#10627=VERTEX_POINT('',#10626); +#10628=CARTESIAN_POINT('',(-2.073589838486E1,5.6E0,0.E0)); +#10629=VERTEX_POINT('',#10628); +#10630=CARTESIAN_POINT('',(-1.55E1,1.03E1,-2.E0)); +#10631=CARTESIAN_POINT('',(-2.02E1,5.6E0,-2.E0)); +#10632=VERTEX_POINT('',#10630); +#10633=VERTEX_POINT('',#10631); +#10634=CARTESIAN_POINT('',(-2.02E1,-5.6E0,-2.E0)); +#10635=VERTEX_POINT('',#10634); +#10636=CARTESIAN_POINT('',(-1.55E1,-1.03E1,-2.E0)); +#10637=VERTEX_POINT('',#10636); +#10638=CARTESIAN_POINT('',(1.55E1,-1.03E1,-2.E0)); +#10639=VERTEX_POINT('',#10638); +#10640=CARTESIAN_POINT('',(2.02E1,-5.6E0,-2.E0)); +#10641=VERTEX_POINT('',#10640); +#10642=CARTESIAN_POINT('',(2.02E1,5.6E0,-2.E0)); +#10643=VERTEX_POINT('',#10642); +#10644=CARTESIAN_POINT('',(1.55E1,1.03E1,-2.E0)); +#10645=VERTEX_POINT('',#10644); +#10646=CARTESIAN_POINT('',(4.575E0,-1.03E1,-1.E1)); +#10647=VERTEX_POINT('',#10646); +#10648=CARTESIAN_POINT('',(5.925E0,-1.03E1,-1.E1)); +#10649=VERTEX_POINT('',#10648); +#10650=CARTESIAN_POINT('',(-4.75E0,-1.03E1,-1.E1)); +#10651=VERTEX_POINT('',#10650); +#10652=CARTESIAN_POINT('',(-5.75E0,-1.03E1,-1.E1)); +#10653=VERTEX_POINT('',#10652); +#10654=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-1.E1)); +#10655=VERTEX_POINT('',#10654); +#10656=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-1.E1)); +#10657=VERTEX_POINT('',#10656); +#10658=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-1.E1)); +#10659=VERTEX_POINT('',#10658); +#10660=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-1.E1)); +#10661=VERTEX_POINT('',#10660); +#10662=CARTESIAN_POINT('',(-2.425E0,1.03E1,-1.E1)); +#10663=VERTEX_POINT('',#10662); +#10664=CARTESIAN_POINT('',(-1.075E0,1.03E1,-1.E1)); +#10665=VERTEX_POINT('',#10664); +#10666=CARTESIAN_POINT('',(-2.02E1,-5.2E0,-1.E1)); +#10667=CARTESIAN_POINT('',(-2.02E1,-4.2E0,-1.E1)); +#10668=VERTEX_POINT('',#10666); +#10669=VERTEX_POINT('',#10667); +#10670=CARTESIAN_POINT('',(-2.02E1,4.2E0,-1.E1)); +#10671=CARTESIAN_POINT('',(-2.02E1,5.2E0,-1.E1)); +#10672=VERTEX_POINT('',#10670); +#10673=VERTEX_POINT('',#10671); +#10674=CARTESIAN_POINT('',(2.02E1,-5.2E0,-1.E1)); +#10675=CARTESIAN_POINT('',(2.02E1,-4.2E0,-1.E1)); +#10676=VERTEX_POINT('',#10674); +#10677=VERTEX_POINT('',#10675); +#10678=CARTESIAN_POINT('',(2.02E1,4.2E0,-1.E1)); +#10679=CARTESIAN_POINT('',(2.02E1,5.2E0,-1.E1)); +#10680=VERTEX_POINT('',#10678); +#10681=VERTEX_POINT('',#10679); +#10682=CARTESIAN_POINT('',(2.02E1,5.2E0,-2.E1)); +#10683=VERTEX_POINT('',#10682); +#10684=CARTESIAN_POINT('',(2.02E1,4.2E0,-2.E1)); +#10685=VERTEX_POINT('',#10684); +#10686=CARTESIAN_POINT('',(1.9E1,5.2E0,-2.E1)); +#10687=VERTEX_POINT('',#10686); +#10688=CARTESIAN_POINT('',(1.9E1,4.2E0,-2.E1)); +#10689=VERTEX_POINT('',#10688); +#10690=CARTESIAN_POINT('',(-2.02E1,5.2E0,-2.E1)); +#10691=VERTEX_POINT('',#10690); +#10692=CARTESIAN_POINT('',(-2.02E1,4.2E0,-2.E1)); +#10693=CARTESIAN_POINT('',(-2.02E1,-4.2E0,-2.E1)); +#10694=VERTEX_POINT('',#10692); +#10695=VERTEX_POINT('',#10693); +#10696=CARTESIAN_POINT('',(-2.02E1,-5.2E0,-2.E1)); +#10697=VERTEX_POINT('',#10696); +#10698=CARTESIAN_POINT('',(2.02E1,-5.2E0,-2.E1)); +#10699=VERTEX_POINT('',#10698); +#10700=CARTESIAN_POINT('',(2.02E1,-4.2E0,-2.E1)); +#10701=VERTEX_POINT('',#10700); +#10702=CARTESIAN_POINT('',(1.9E1,5.2E0,-1.E1)); +#10703=VERTEX_POINT('',#10702); +#10704=CARTESIAN_POINT('',(1.9E1,4.2E0,-1.E1)); +#10705=VERTEX_POINT('',#10704); +#10706=CARTESIAN_POINT('',(1.9E1,-4.2E0,-1.E1)); +#10707=CARTESIAN_POINT('',(1.9E1,-5.2E0,-1.E1)); +#10708=VERTEX_POINT('',#10706); +#10709=VERTEX_POINT('',#10707); +#10710=CARTESIAN_POINT('',(-1.9E1,4.2E0,-1.E1)); +#10711=CARTESIAN_POINT('',(-1.9E1,5.2E0,-1.E1)); +#10712=VERTEX_POINT('',#10710); +#10713=VERTEX_POINT('',#10711); +#10714=CARTESIAN_POINT('',(-1.9E1,-5.2E0,-1.E1)); +#10715=CARTESIAN_POINT('',(-1.9E1,-4.2E0,-1.E1)); +#10716=VERTEX_POINT('',#10714); +#10717=VERTEX_POINT('',#10715); +#10718=CARTESIAN_POINT('',(1.9E1,-4.2E0,-2.E1)); +#10719=VERTEX_POINT('',#10718); +#10720=CARTESIAN_POINT('',(1.9E1,-5.2E0,-2.E1)); +#10721=VERTEX_POINT('',#10720); +#10722=CARTESIAN_POINT('',(-1.9E1,4.2E0,-2.E1)); +#10723=VERTEX_POINT('',#10722); +#10724=CARTESIAN_POINT('',(-1.9E1,5.2E0,-2.E1)); +#10725=VERTEX_POINT('',#10724); +#10726=CARTESIAN_POINT('',(-1.9E1,-5.2E0,-2.E1)); +#10727=VERTEX_POINT('',#10726); +#10728=CARTESIAN_POINT('',(-1.9E1,-4.2E0,-2.E1)); +#10729=VERTEX_POINT('',#10728); +#10730=CARTESIAN_POINT('',(1.175E1,9.1E0,-1.E1)); +#10731=CARTESIAN_POINT('',(1.175E1,9.1E0,-2.E1)); +#10732=VERTEX_POINT('',#10730); +#10733=VERTEX_POINT('',#10731); +#10734=CARTESIAN_POINT('',(1.275E1,9.1E0,-1.E1)); +#10735=VERTEX_POINT('',#10734); +#10736=CARTESIAN_POINT('',(1.275E1,9.1E0,-2.E1)); +#10737=VERTEX_POINT('',#10736); +#10738=CARTESIAN_POINT('',(8.25E0,9.1E0,-1.E1)); +#10739=CARTESIAN_POINT('',(8.25E0,9.1E0,-2.E1)); +#10740=VERTEX_POINT('',#10738); +#10741=VERTEX_POINT('',#10739); +#10742=CARTESIAN_POINT('',(9.25E0,9.1E0,-1.E1)); +#10743=VERTEX_POINT('',#10742); +#10744=CARTESIAN_POINT('',(9.25E0,9.1E0,-2.E1)); +#10745=VERTEX_POINT('',#10744); +#10746=CARTESIAN_POINT('',(4.75E0,9.1E0,-1.E1)); +#10747=CARTESIAN_POINT('',(4.75E0,9.1E0,-2.E1)); +#10748=VERTEX_POINT('',#10746); +#10749=VERTEX_POINT('',#10747); +#10750=CARTESIAN_POINT('',(5.75E0,9.1E0,-1.E1)); +#10751=VERTEX_POINT('',#10750); +#10752=CARTESIAN_POINT('',(5.75E0,9.1E0,-2.E1)); +#10753=VERTEX_POINT('',#10752); +#10754=CARTESIAN_POINT('',(-2.425E0,8.075E0,-1.E1)); +#10755=CARTESIAN_POINT('',(-2.425E0,8.075E0,-2.E1)); +#10756=VERTEX_POINT('',#10754); +#10757=VERTEX_POINT('',#10755); +#10758=CARTESIAN_POINT('',(-1.075E0,8.075E0,-1.E1)); +#10759=VERTEX_POINT('',#10758); +#10760=CARTESIAN_POINT('',(-1.075E0,8.075E0,-2.E1)); +#10761=VERTEX_POINT('',#10760); +#10762=CARTESIAN_POINT('',(-5.75E0,9.1E0,-1.E1)); +#10763=CARTESIAN_POINT('',(-5.75E0,9.1E0,-2.E1)); +#10764=VERTEX_POINT('',#10762); +#10765=VERTEX_POINT('',#10763); +#10766=CARTESIAN_POINT('',(-4.75E0,9.1E0,-1.E1)); +#10767=VERTEX_POINT('',#10766); +#10768=CARTESIAN_POINT('',(-4.75E0,9.1E0,-2.E1)); +#10769=VERTEX_POINT('',#10768); +#10770=CARTESIAN_POINT('',(-1.275E1,9.1E0,-1.E1)); +#10771=CARTESIAN_POINT('',(-1.275E1,9.1E0,-2.E1)); +#10772=VERTEX_POINT('',#10770); +#10773=VERTEX_POINT('',#10771); +#10774=CARTESIAN_POINT('',(-1.175E1,9.1E0,-1.E1)); +#10775=VERTEX_POINT('',#10774); +#10776=CARTESIAN_POINT('',(-1.175E1,9.1E0,-2.E1)); +#10777=VERTEX_POINT('',#10776); +#10778=CARTESIAN_POINT('',(5.925E0,-8.075E0,-1.E1)); +#10779=CARTESIAN_POINT('',(4.575E0,-8.075E0,-1.E1)); +#10780=VERTEX_POINT('',#10778); +#10781=VERTEX_POINT('',#10779); +#10782=CARTESIAN_POINT('',(4.575E0,-8.075E0,-2.E1)); +#10783=VERTEX_POINT('',#10782); +#10784=CARTESIAN_POINT('',(5.925E0,-8.075E0,-2.E1)); +#10785=VERTEX_POINT('',#10784); +#10786=CARTESIAN_POINT('',(2.25E0,-9.1E0,-1.E1)); +#10787=CARTESIAN_POINT('',(1.25E0,-9.1E0,-1.E1)); +#10788=VERTEX_POINT('',#10786); +#10789=VERTEX_POINT('',#10787); +#10790=CARTESIAN_POINT('',(1.25E0,-9.1E0,-2.E1)); +#10791=VERTEX_POINT('',#10790); +#10792=CARTESIAN_POINT('',(2.25E0,-9.1E0,-2.E1)); +#10793=VERTEX_POINT('',#10792); +#10794=CARTESIAN_POINT('',(-4.75E0,-9.1E0,-1.E1)); +#10795=CARTESIAN_POINT('',(-5.75E0,-9.1E0,-1.E1)); +#10796=VERTEX_POINT('',#10794); +#10797=VERTEX_POINT('',#10795); +#10798=CARTESIAN_POINT('',(-5.75E0,-9.1E0,-2.E1)); +#10799=VERTEX_POINT('',#10798); +#10800=CARTESIAN_POINT('',(-4.75E0,-9.1E0,-2.E1)); +#10801=VERTEX_POINT('',#10800); +#10802=CARTESIAN_POINT('',(-8.25E0,-9.1E0,-1.E1)); +#10803=CARTESIAN_POINT('',(-9.25E0,-9.1E0,-1.E1)); +#10804=VERTEX_POINT('',#10802); +#10805=VERTEX_POINT('',#10803); +#10806=CARTESIAN_POINT('',(-9.25E0,-9.1E0,-2.E1)); +#10807=VERTEX_POINT('',#10806); +#10808=CARTESIAN_POINT('',(-8.25E0,-9.1E0,-2.E1)); +#10809=VERTEX_POINT('',#10808); +#10810=CARTESIAN_POINT('',(-1.175E1,-9.1E0,-1.E1)); +#10811=CARTESIAN_POINT('',(-1.275E1,-9.1E0,-1.E1)); +#10812=VERTEX_POINT('',#10810); +#10813=VERTEX_POINT('',#10811); +#10814=CARTESIAN_POINT('',(-1.275E1,-9.1E0,-2.E1)); +#10815=VERTEX_POINT('',#10814); +#10816=CARTESIAN_POINT('',(-1.175E1,-9.1E0,-2.E1)); +#10817=VERTEX_POINT('',#10816); +#10818=CARTESIAN_POINT('',(1.25E0,9.1E0,-2.E1)); +#10819=VERTEX_POINT('',#10818); +#10820=CARTESIAN_POINT('',(2.25E0,9.1E0,-2.E1)); +#10821=VERTEX_POINT('',#10820); +#10822=CARTESIAN_POINT('',(9.25E0,-9.1E0,-2.E1)); +#10823=VERTEX_POINT('',#10822); +#10824=CARTESIAN_POINT('',(8.25E0,-9.1E0,-2.E1)); +#10825=VERTEX_POINT('',#10824); +#10826=CARTESIAN_POINT('',(1.275E1,-9.1E0,-2.E1)); +#10827=VERTEX_POINT('',#10826); +#10828=CARTESIAN_POINT('',(1.175E1,-9.1E0,-2.E1)); +#10829=VERTEX_POINT('',#10828); +#10830=CARTESIAN_POINT('',(-9.25E0,9.1E0,-2.E1)); +#10831=VERTEX_POINT('',#10830); +#10832=CARTESIAN_POINT('',(-8.25E0,9.1E0,-2.E1)); +#10833=VERTEX_POINT('',#10832); +#10834=CARTESIAN_POINT('',(-2.25E0,-9.1E0,-2.E1)); +#10835=VERTEX_POINT('',#10834); +#10836=CARTESIAN_POINT('',(-1.25E0,-9.1E0,-2.E1)); +#10837=VERTEX_POINT('',#10836); +#10838=CARTESIAN_POINT('',(1.25E0,9.1E0,-1.E1)); +#10839=VERTEX_POINT('',#10838); +#10840=CARTESIAN_POINT('',(2.25E0,9.1E0,-1.E1)); +#10841=VERTEX_POINT('',#10840); +#10842=CARTESIAN_POINT('',(9.25E0,-9.1E0,-1.E1)); +#10843=VERTEX_POINT('',#10842); +#10844=CARTESIAN_POINT('',(8.25E0,-9.1E0,-1.E1)); +#10845=VERTEX_POINT('',#10844); +#10846=CARTESIAN_POINT('',(1.275E1,-9.1E0,-1.E1)); +#10847=VERTEX_POINT('',#10846); +#10848=CARTESIAN_POINT('',(1.175E1,-9.1E0,-1.E1)); +#10849=VERTEX_POINT('',#10848); +#10850=CARTESIAN_POINT('',(-9.25E0,9.1E0,-1.E1)); +#10851=VERTEX_POINT('',#10850); +#10852=CARTESIAN_POINT('',(-8.25E0,9.1E0,-1.E1)); +#10853=VERTEX_POINT('',#10852); +#10854=CARTESIAN_POINT('',(-2.25E0,-9.1E0,-1.E1)); +#10855=VERTEX_POINT('',#10854); +#10856=CARTESIAN_POINT('',(-1.25E0,-9.1E0,-1.E1)); +#10857=VERTEX_POINT('',#10856); +#10858=CARTESIAN_POINT('',(-2.445E1,-7.300000000001E0,-3.625E1)); +#10859=CARTESIAN_POINT('',(-2.595E1,-7.300000000001E0,-3.625E1)); +#10860=VERTEX_POINT('',#10858); +#10861=VERTEX_POINT('',#10859); +#10862=CARTESIAN_POINT('',(2.445E1,1.08E1,-3.625E1)); +#10863=CARTESIAN_POINT('',(2.295E1,1.08E1,-3.625E1)); +#10864=VERTEX_POINT('',#10862); +#10865=VERTEX_POINT('',#10863); +#10866=CARTESIAN_POINT('',(-2.445E1,-7.300000000001E0,-3.425E1)); +#10867=CARTESIAN_POINT('',(-2.595E1,-7.300000000001E0,-3.425E1)); +#10868=VERTEX_POINT('',#10866); +#10869=VERTEX_POINT('',#10867); +#10870=CARTESIAN_POINT('',(2.445E1,1.08E1,-3.425E1)); +#10871=CARTESIAN_POINT('',(2.295E1,1.08E1,-3.425E1)); +#10872=VERTEX_POINT('',#10870); +#10873=VERTEX_POINT('',#10871); +#10874=CARTESIAN_POINT('',(-1.335E1,4.000000000001E0,-1.2475E1)); +#10875=CARTESIAN_POINT('',(-1.465E1,4.000000000001E0,-1.2475E1)); +#10876=VERTEX_POINT('',#10874); +#10877=VERTEX_POINT('',#10875); +#10878=CARTESIAN_POINT('',(-1.135E1,1.069728979055E-14,-1.2475E1)); +#10879=CARTESIAN_POINT('',(-1.265E1,1.093609591639E-14,-1.2475E1)); +#10880=VERTEX_POINT('',#10878); +#10881=VERTEX_POINT('',#10879); +#10882=CARTESIAN_POINT('',(-1.335E1,-4.000000000001E0,-1.2475E1)); +#10883=CARTESIAN_POINT('',(-1.465E1,-4.000000000001E0,-1.2475E1)); +#10884=VERTEX_POINT('',#10882); +#10885=VERTEX_POINT('',#10883); +#10886=CARTESIAN_POINT('',(-9.35E0,-4.000000000001E0,-1.2475E1)); +#10887=CARTESIAN_POINT('',(-1.065E1,-4.000000000001E0,-1.2475E1)); +#10888=VERTEX_POINT('',#10886); +#10889=VERTEX_POINT('',#10887); +#10890=CARTESIAN_POINT('',(-7.35E0,1.118714851021E-14,-1.2475E1)); +#10891=CARTESIAN_POINT('',(-8.65E0,1.142595463604E-14,-1.2475E1)); +#10892=VERTEX_POINT('',#10890); +#10893=VERTEX_POINT('',#10891); +#10894=CARTESIAN_POINT('',(-9.35E0,4.000000000001E0,-1.2475E1)); +#10895=CARTESIAN_POINT('',(-1.065E1,4.000000000001E0,-1.2475E1)); +#10896=VERTEX_POINT('',#10894); +#10897=VERTEX_POINT('',#10895); +#10898=CARTESIAN_POINT('',(-5.35E0,4.000000000001E0,-1.2475E1)); +#10899=CARTESIAN_POINT('',(-6.65E0,4.000000000001E0,-1.2475E1)); +#10900=VERTEX_POINT('',#10898); +#10901=VERTEX_POINT('',#10899); +#10902=CARTESIAN_POINT('',(-3.35E0,1.167700722987E-14,-1.2475E1)); +#10903=CARTESIAN_POINT('',(-4.65E0,1.191581335570E-14,-1.2475E1)); +#10904=VERTEX_POINT('',#10902); +#10905=VERTEX_POINT('',#10903); +#10906=CARTESIAN_POINT('',(-5.35E0,-4.000000000001E0,-1.2475E1)); +#10907=CARTESIAN_POINT('',(-6.65E0,-4.000000000001E0,-1.2475E1)); +#10908=VERTEX_POINT('',#10906); +#10909=VERTEX_POINT('',#10907); +#10910=CARTESIAN_POINT('',(-1.35E0,-4.000000000001E0,-1.2475E1)); +#10911=CARTESIAN_POINT('',(-2.65E0,-4.000000000001E0,-1.2475E1)); +#10912=VERTEX_POINT('',#10910); +#10913=VERTEX_POINT('',#10911); +#10914=CARTESIAN_POINT('',(6.5E-1,1.216686594953E-14,-1.2475E1)); +#10915=CARTESIAN_POINT('',(-6.5E-1,1.240567207536E-14,-1.2475E1)); +#10916=VERTEX_POINT('',#10914); +#10917=VERTEX_POINT('',#10915); +#10918=CARTESIAN_POINT('',(-1.35E0,4.000000000001E0,-1.2475E1)); +#10919=CARTESIAN_POINT('',(-2.65E0,4.000000000001E0,-1.2475E1)); +#10920=VERTEX_POINT('',#10918); +#10921=VERTEX_POINT('',#10919); +#10922=CARTESIAN_POINT('',(2.65E0,4.000000000001E0,-1.2475E1)); +#10923=CARTESIAN_POINT('',(1.35E0,4.000000000001E0,-1.2475E1)); +#10924=VERTEX_POINT('',#10922); +#10925=VERTEX_POINT('',#10923); +#10926=CARTESIAN_POINT('',(4.65E0,1.167700722987E-14,-1.2475E1)); +#10927=CARTESIAN_POINT('',(3.35E0,1.191581335570E-14,-1.2475E1)); +#10928=VERTEX_POINT('',#10926); +#10929=VERTEX_POINT('',#10927); +#10930=CARTESIAN_POINT('',(2.65E0,-4.000000000001E0,-1.2475E1)); +#10931=CARTESIAN_POINT('',(1.35E0,-4.000000000001E0,-1.2475E1)); +#10932=VERTEX_POINT('',#10930); +#10933=VERTEX_POINT('',#10931); +#10934=CARTESIAN_POINT('',(6.65E0,-4.000000000001E0,-1.2475E1)); +#10935=CARTESIAN_POINT('',(5.35E0,-4.000000000001E0,-1.2475E1)); +#10936=VERTEX_POINT('',#10934); +#10937=VERTEX_POINT('',#10935); +#10938=CARTESIAN_POINT('',(8.65E0,1.118714851021E-14,-1.2475E1)); +#10939=CARTESIAN_POINT('',(7.35E0,1.142595463604E-14,-1.2475E1)); +#10940=VERTEX_POINT('',#10938); +#10941=VERTEX_POINT('',#10939); +#10942=CARTESIAN_POINT('',(6.65E0,4.000000000001E0,-1.2475E1)); +#10943=CARTESIAN_POINT('',(5.35E0,4.000000000001E0,-1.2475E1)); +#10944=VERTEX_POINT('',#10942); +#10945=VERTEX_POINT('',#10943); +#10946=CARTESIAN_POINT('',(1.065E1,4.000000000001E0,-1.2475E1)); +#10947=CARTESIAN_POINT('',(9.35E0,4.000000000001E0,-1.2475E1)); +#10948=VERTEX_POINT('',#10946); +#10949=VERTEX_POINT('',#10947); +#10950=CARTESIAN_POINT('',(1.465E1,4.000000000001E0,-1.2475E1)); +#10951=CARTESIAN_POINT('',(1.335E1,4.000000000001E0,-1.2475E1)); +#10952=VERTEX_POINT('',#10950); +#10953=VERTEX_POINT('',#10951); +#10954=CARTESIAN_POINT('',(1.265E1,1.069728979055E-14,-1.2475E1)); +#10955=CARTESIAN_POINT('',(1.135E1,1.093609591639E-14,-1.2475E1)); +#10956=VERTEX_POINT('',#10954); +#10957=VERTEX_POINT('',#10955); +#10958=CARTESIAN_POINT('',(1.065E1,-4.000000000001E0,-1.2475E1)); +#10959=CARTESIAN_POINT('',(9.35E0,-4.000000000001E0,-1.2475E1)); +#10960=VERTEX_POINT('',#10958); +#10961=VERTEX_POINT('',#10959); +#10962=CARTESIAN_POINT('',(1.465E1,-4.000000000001E0,-1.2475E1)); +#10963=CARTESIAN_POINT('',(1.335E1,-4.000000000001E0,-1.2475E1)); +#10964=VERTEX_POINT('',#10962); +#10965=VERTEX_POINT('',#10963); +#10966=CARTESIAN_POINT('',(-1.335E1,4.000000000001E0,-2.E1)); +#10967=CARTESIAN_POINT('',(-1.465E1,4.000000000001E0,-2.E1)); +#10968=VERTEX_POINT('',#10966); +#10969=VERTEX_POINT('',#10967); +#10970=CARTESIAN_POINT('',(-1.135E1,1.069728979055E-14,-2.E1)); +#10971=CARTESIAN_POINT('',(-1.265E1,1.093609591639E-14,-2.E1)); +#10972=VERTEX_POINT('',#10970); +#10973=VERTEX_POINT('',#10971); +#10974=CARTESIAN_POINT('',(-1.335E1,-4.000000000001E0,-2.E1)); +#10975=CARTESIAN_POINT('',(-1.465E1,-4.000000000001E0,-2.E1)); +#10976=VERTEX_POINT('',#10974); +#10977=VERTEX_POINT('',#10975); +#10978=CARTESIAN_POINT('',(-9.35E0,-4.000000000001E0,-2.E1)); +#10979=CARTESIAN_POINT('',(-1.065E1,-4.000000000001E0,-2.E1)); +#10980=VERTEX_POINT('',#10978); +#10981=VERTEX_POINT('',#10979); +#10982=CARTESIAN_POINT('',(-7.35E0,1.118714851021E-14,-2.E1)); +#10983=CARTESIAN_POINT('',(-8.65E0,1.142595463604E-14,-2.E1)); +#10984=VERTEX_POINT('',#10982); +#10985=VERTEX_POINT('',#10983); +#10986=CARTESIAN_POINT('',(-9.35E0,4.000000000001E0,-2.E1)); +#10987=CARTESIAN_POINT('',(-1.065E1,4.000000000001E0,-2.E1)); +#10988=VERTEX_POINT('',#10986); +#10989=VERTEX_POINT('',#10987); +#10990=CARTESIAN_POINT('',(-5.35E0,4.000000000001E0,-2.E1)); +#10991=CARTESIAN_POINT('',(-6.65E0,4.000000000001E0,-2.E1)); +#10992=VERTEX_POINT('',#10990); +#10993=VERTEX_POINT('',#10991); +#10994=CARTESIAN_POINT('',(-3.35E0,1.167700722987E-14,-2.E1)); +#10995=CARTESIAN_POINT('',(-4.65E0,1.191581335570E-14,-2.E1)); +#10996=VERTEX_POINT('',#10994); +#10997=VERTEX_POINT('',#10995); +#10998=CARTESIAN_POINT('',(-5.35E0,-4.000000000001E0,-2.E1)); +#10999=CARTESIAN_POINT('',(-6.65E0,-4.000000000001E0,-2.E1)); +#11000=VERTEX_POINT('',#10998); +#11001=VERTEX_POINT('',#10999); +#11002=CARTESIAN_POINT('',(-1.35E0,-4.000000000001E0,-2.E1)); +#11003=CARTESIAN_POINT('',(-2.65E0,-4.000000000001E0,-2.E1)); +#11004=VERTEX_POINT('',#11002); +#11005=VERTEX_POINT('',#11003); +#11006=CARTESIAN_POINT('',(6.5E-1,1.216686594953E-14,-2.E1)); +#11007=CARTESIAN_POINT('',(-6.5E-1,1.240567207536E-14,-2.E1)); +#11008=VERTEX_POINT('',#11006); +#11009=VERTEX_POINT('',#11007); +#11010=CARTESIAN_POINT('',(-1.35E0,4.000000000001E0,-2.E1)); +#11011=CARTESIAN_POINT('',(-2.65E0,4.000000000001E0,-2.E1)); +#11012=VERTEX_POINT('',#11010); +#11013=VERTEX_POINT('',#11011); +#11014=CARTESIAN_POINT('',(2.65E0,4.000000000001E0,-2.E1)); +#11015=CARTESIAN_POINT('',(1.35E0,4.000000000001E0,-2.E1)); +#11016=VERTEX_POINT('',#11014); +#11017=VERTEX_POINT('',#11015); +#11018=CARTESIAN_POINT('',(4.65E0,1.167700722987E-14,-2.E1)); +#11019=CARTESIAN_POINT('',(3.35E0,1.191581335570E-14,-2.E1)); +#11020=VERTEX_POINT('',#11018); +#11021=VERTEX_POINT('',#11019); +#11022=CARTESIAN_POINT('',(2.65E0,-4.000000000001E0,-2.E1)); +#11023=CARTESIAN_POINT('',(1.35E0,-4.000000000001E0,-2.E1)); +#11024=VERTEX_POINT('',#11022); +#11025=VERTEX_POINT('',#11023); +#11026=CARTESIAN_POINT('',(6.65E0,-4.000000000001E0,-2.E1)); +#11027=CARTESIAN_POINT('',(5.35E0,-4.000000000001E0,-2.E1)); +#11028=VERTEX_POINT('',#11026); +#11029=VERTEX_POINT('',#11027); +#11030=CARTESIAN_POINT('',(8.65E0,1.118714851021E-14,-2.E1)); +#11031=CARTESIAN_POINT('',(7.35E0,1.142595463604E-14,-2.E1)); +#11032=VERTEX_POINT('',#11030); +#11033=VERTEX_POINT('',#11031); +#11034=CARTESIAN_POINT('',(6.65E0,4.000000000001E0,-2.E1)); +#11035=CARTESIAN_POINT('',(5.35E0,4.000000000001E0,-2.E1)); +#11036=VERTEX_POINT('',#11034); +#11037=VERTEX_POINT('',#11035); +#11038=CARTESIAN_POINT('',(1.065E1,4.000000000001E0,-2.E1)); +#11039=CARTESIAN_POINT('',(9.35E0,4.000000000001E0,-2.E1)); +#11040=VERTEX_POINT('',#11038); +#11041=VERTEX_POINT('',#11039); +#11042=CARTESIAN_POINT('',(1.465E1,4.000000000001E0,-2.E1)); +#11043=CARTESIAN_POINT('',(1.335E1,4.000000000001E0,-2.E1)); +#11044=VERTEX_POINT('',#11042); +#11045=VERTEX_POINT('',#11043); +#11046=CARTESIAN_POINT('',(1.265E1,1.069728979055E-14,-2.E1)); +#11047=CARTESIAN_POINT('',(1.135E1,1.093609591639E-14,-2.E1)); +#11048=VERTEX_POINT('',#11046); +#11049=VERTEX_POINT('',#11047); +#11050=CARTESIAN_POINT('',(1.065E1,-4.000000000001E0,-2.E1)); +#11051=CARTESIAN_POINT('',(9.35E0,-4.000000000001E0,-2.E1)); +#11052=VERTEX_POINT('',#11050); +#11053=VERTEX_POINT('',#11051); +#11054=CARTESIAN_POINT('',(1.465E1,-4.000000000001E0,-2.E1)); +#11055=CARTESIAN_POINT('',(1.335E1,-4.000000000001E0,-2.E1)); +#11056=VERTEX_POINT('',#11054); +#11057=VERTEX_POINT('',#11055); +#11058=CARTESIAN_POINT('',(-1.335E1,4.000000000001E0,-3.8075E1)); +#11059=CARTESIAN_POINT('',(-1.465E1,4.000000000001E0,-3.8075E1)); +#11060=VERTEX_POINT('',#11058); +#11061=VERTEX_POINT('',#11059); +#11062=CARTESIAN_POINT('',(-9.35E0,4.000000000001E0,-3.8075E1)); +#11063=CARTESIAN_POINT('',(-1.065E1,4.000000000001E0,-3.8075E1)); +#11064=VERTEX_POINT('',#11062); +#11065=VERTEX_POINT('',#11063); +#11066=CARTESIAN_POINT('',(-5.35E0,4.000000000001E0,-3.8075E1)); +#11067=CARTESIAN_POINT('',(-6.65E0,4.000000000001E0,-3.8075E1)); +#11068=VERTEX_POINT('',#11066); +#11069=VERTEX_POINT('',#11067); +#11070=CARTESIAN_POINT('',(-1.35E0,4.000000000001E0,-3.8075E1)); +#11071=CARTESIAN_POINT('',(-2.65E0,4.000000000001E0,-3.8075E1)); +#11072=VERTEX_POINT('',#11070); +#11073=VERTEX_POINT('',#11071); +#11074=CARTESIAN_POINT('',(2.65E0,4.000000000001E0,-3.8075E1)); +#11075=CARTESIAN_POINT('',(1.35E0,4.000000000001E0,-3.8075E1)); +#11076=VERTEX_POINT('',#11074); +#11077=VERTEX_POINT('',#11075); +#11078=CARTESIAN_POINT('',(6.65E0,4.000000000001E0,-3.8075E1)); +#11079=CARTESIAN_POINT('',(5.35E0,4.000000000001E0,-3.8075E1)); +#11080=VERTEX_POINT('',#11078); +#11081=VERTEX_POINT('',#11079); +#11082=CARTESIAN_POINT('',(1.065E1,4.000000000001E0,-3.8075E1)); +#11083=CARTESIAN_POINT('',(9.35E0,4.000000000001E0,-3.8075E1)); +#11084=VERTEX_POINT('',#11082); +#11085=VERTEX_POINT('',#11083); +#11086=CARTESIAN_POINT('',(1.465E1,4.000000000001E0,-3.8075E1)); +#11087=CARTESIAN_POINT('',(1.335E1,4.000000000001E0,-3.8075E1)); +#11088=VERTEX_POINT('',#11086); +#11089=VERTEX_POINT('',#11087); +#11090=CARTESIAN_POINT('',(-1.135E1,1.069728979055E-14,-3.8075E1)); +#11091=CARTESIAN_POINT('',(-1.265E1,1.093609591639E-14,-3.8075E1)); +#11092=VERTEX_POINT('',#11090); +#11093=VERTEX_POINT('',#11091); +#11094=CARTESIAN_POINT('',(-7.35E0,1.118714851021E-14,-3.8075E1)); +#11095=CARTESIAN_POINT('',(-8.65E0,1.142595463604E-14,-3.8075E1)); +#11096=VERTEX_POINT('',#11094); +#11097=VERTEX_POINT('',#11095); +#11098=CARTESIAN_POINT('',(-3.35E0,1.167700722987E-14,-3.8075E1)); +#11099=CARTESIAN_POINT('',(-4.65E0,1.191581335570E-14,-3.8075E1)); +#11100=VERTEX_POINT('',#11098); +#11101=VERTEX_POINT('',#11099); +#11102=CARTESIAN_POINT('',(6.5E-1,1.216686594953E-14,-3.8075E1)); +#11103=CARTESIAN_POINT('',(-6.5E-1,1.240567207536E-14,-3.8075E1)); +#11104=VERTEX_POINT('',#11102); +#11105=VERTEX_POINT('',#11103); +#11106=CARTESIAN_POINT('',(4.65E0,1.167700722987E-14,-3.8075E1)); +#11107=CARTESIAN_POINT('',(3.35E0,1.191581335570E-14,-3.8075E1)); +#11108=VERTEX_POINT('',#11106); +#11109=VERTEX_POINT('',#11107); +#11110=CARTESIAN_POINT('',(8.65E0,1.118714851021E-14,-3.8075E1)); +#11111=CARTESIAN_POINT('',(7.35E0,1.142595463604E-14,-3.8075E1)); +#11112=VERTEX_POINT('',#11110); +#11113=VERTEX_POINT('',#11111); +#11114=CARTESIAN_POINT('',(1.265E1,1.069728979055E-14,-3.8075E1)); +#11115=CARTESIAN_POINT('',(1.135E1,1.093609591639E-14,-3.8075E1)); +#11116=VERTEX_POINT('',#11114); +#11117=VERTEX_POINT('',#11115); +#11118=CARTESIAN_POINT('',(-1.335E1,-4.000000000001E0,-3.8075E1)); +#11119=CARTESIAN_POINT('',(-1.465E1,-4.000000000001E0,-3.8075E1)); +#11120=VERTEX_POINT('',#11118); +#11121=VERTEX_POINT('',#11119); +#11122=CARTESIAN_POINT('',(-9.35E0,-4.000000000001E0,-3.8075E1)); +#11123=CARTESIAN_POINT('',(-1.065E1,-4.000000000001E0,-3.8075E1)); +#11124=VERTEX_POINT('',#11122); +#11125=VERTEX_POINT('',#11123); +#11126=CARTESIAN_POINT('',(-5.35E0,-4.000000000001E0,-3.8075E1)); +#11127=CARTESIAN_POINT('',(-6.65E0,-4.000000000001E0,-3.8075E1)); +#11128=VERTEX_POINT('',#11126); +#11129=VERTEX_POINT('',#11127); +#11130=CARTESIAN_POINT('',(-1.35E0,-4.000000000001E0,-3.8075E1)); +#11131=CARTESIAN_POINT('',(-2.65E0,-4.000000000001E0,-3.8075E1)); +#11132=VERTEX_POINT('',#11130); +#11133=VERTEX_POINT('',#11131); +#11134=CARTESIAN_POINT('',(2.65E0,-4.000000000001E0,-3.8075E1)); +#11135=CARTESIAN_POINT('',(1.35E0,-4.000000000001E0,-3.8075E1)); +#11136=VERTEX_POINT('',#11134); +#11137=VERTEX_POINT('',#11135); +#11138=CARTESIAN_POINT('',(6.65E0,-4.000000000001E0,-3.8075E1)); +#11139=CARTESIAN_POINT('',(5.35E0,-4.000000000001E0,-3.8075E1)); +#11140=VERTEX_POINT('',#11138); +#11141=VERTEX_POINT('',#11139); +#11142=CARTESIAN_POINT('',(1.065E1,-4.000000000001E0,-3.8075E1)); +#11143=CARTESIAN_POINT('',(9.35E0,-4.000000000001E0,-3.8075E1)); +#11144=VERTEX_POINT('',#11142); +#11145=VERTEX_POINT('',#11143); +#11146=CARTESIAN_POINT('',(1.465E1,-4.000000000001E0,-3.8075E1)); +#11147=CARTESIAN_POINT('',(1.335E1,-4.000000000001E0,-3.8075E1)); +#11148=VERTEX_POINT('',#11146); +#11149=VERTEX_POINT('',#11147); +#11150=CARTESIAN_POINT('',(-1.335E1,4.000000000001E0,-2.6E1)); +#11151=CARTESIAN_POINT('',(-1.465E1,4.000000000001E0,-2.6E1)); +#11152=VERTEX_POINT('',#11150); +#11153=VERTEX_POINT('',#11151); +#11154=CARTESIAN_POINT('',(-9.35E0,4.000000000001E0,-2.6E1)); +#11155=CARTESIAN_POINT('',(-1.065E1,4.000000000001E0,-2.6E1)); +#11156=VERTEX_POINT('',#11154); +#11157=VERTEX_POINT('',#11155); +#11158=CARTESIAN_POINT('',(-5.35E0,4.000000000001E0,-2.6E1)); +#11159=CARTESIAN_POINT('',(-6.65E0,4.000000000001E0,-2.6E1)); +#11160=VERTEX_POINT('',#11158); +#11161=VERTEX_POINT('',#11159); +#11162=CARTESIAN_POINT('',(-1.35E0,4.000000000001E0,-2.6E1)); +#11163=CARTESIAN_POINT('',(-2.65E0,4.000000000001E0,-2.6E1)); +#11164=VERTEX_POINT('',#11162); +#11165=VERTEX_POINT('',#11163); +#11166=CARTESIAN_POINT('',(2.65E0,4.000000000001E0,-2.6E1)); +#11167=CARTESIAN_POINT('',(1.35E0,4.000000000001E0,-2.6E1)); +#11168=VERTEX_POINT('',#11166); +#11169=VERTEX_POINT('',#11167); +#11170=CARTESIAN_POINT('',(6.65E0,4.000000000001E0,-2.6E1)); +#11171=CARTESIAN_POINT('',(5.35E0,4.000000000001E0,-2.6E1)); +#11172=VERTEX_POINT('',#11170); +#11173=VERTEX_POINT('',#11171); +#11174=CARTESIAN_POINT('',(1.065E1,4.000000000001E0,-2.6E1)); +#11175=CARTESIAN_POINT('',(9.35E0,4.000000000001E0,-2.6E1)); +#11176=VERTEX_POINT('',#11174); +#11177=VERTEX_POINT('',#11175); +#11178=CARTESIAN_POINT('',(1.465E1,4.000000000001E0,-2.6E1)); +#11179=CARTESIAN_POINT('',(1.335E1,4.000000000001E0,-2.6E1)); +#11180=VERTEX_POINT('',#11178); +#11181=VERTEX_POINT('',#11179); +#11182=CARTESIAN_POINT('',(-1.135E1,1.069728979055E-14,-2.6E1)); +#11183=CARTESIAN_POINT('',(-1.265E1,1.093609591639E-14,-2.6E1)); +#11184=VERTEX_POINT('',#11182); +#11185=VERTEX_POINT('',#11183); +#11186=CARTESIAN_POINT('',(-7.35E0,1.118714851021E-14,-2.6E1)); +#11187=CARTESIAN_POINT('',(-8.65E0,1.142595463604E-14,-2.6E1)); +#11188=VERTEX_POINT('',#11186); +#11189=VERTEX_POINT('',#11187); +#11190=CARTESIAN_POINT('',(-3.35E0,1.167700722987E-14,-2.6E1)); +#11191=CARTESIAN_POINT('',(-4.65E0,1.191581335570E-14,-2.6E1)); +#11192=VERTEX_POINT('',#11190); +#11193=VERTEX_POINT('',#11191); +#11194=CARTESIAN_POINT('',(6.5E-1,1.216686594953E-14,-2.6E1)); +#11195=CARTESIAN_POINT('',(-6.5E-1,1.240567207536E-14,-2.6E1)); +#11196=VERTEX_POINT('',#11194); +#11197=VERTEX_POINT('',#11195); +#11198=CARTESIAN_POINT('',(4.65E0,1.167700722987E-14,-2.6E1)); +#11199=CARTESIAN_POINT('',(3.35E0,1.191581335570E-14,-2.6E1)); +#11200=VERTEX_POINT('',#11198); +#11201=VERTEX_POINT('',#11199); +#11202=CARTESIAN_POINT('',(8.65E0,1.118714851021E-14,-2.6E1)); +#11203=CARTESIAN_POINT('',(7.35E0,1.142595463604E-14,-2.6E1)); +#11204=VERTEX_POINT('',#11202); +#11205=VERTEX_POINT('',#11203); +#11206=CARTESIAN_POINT('',(1.265E1,1.069728979055E-14,-2.6E1)); +#11207=CARTESIAN_POINT('',(1.135E1,1.093609591639E-14,-2.6E1)); +#11208=VERTEX_POINT('',#11206); +#11209=VERTEX_POINT('',#11207); +#11210=CARTESIAN_POINT('',(-1.335E1,-4.000000000001E0,-2.6E1)); +#11211=CARTESIAN_POINT('',(-1.465E1,-4.000000000001E0,-2.6E1)); +#11212=VERTEX_POINT('',#11210); +#11213=VERTEX_POINT('',#11211); +#11214=CARTESIAN_POINT('',(-9.35E0,-4.000000000001E0,-2.6E1)); +#11215=CARTESIAN_POINT('',(-1.065E1,-4.000000000001E0,-2.6E1)); +#11216=VERTEX_POINT('',#11214); +#11217=VERTEX_POINT('',#11215); +#11218=CARTESIAN_POINT('',(-5.35E0,-4.000000000001E0,-2.6E1)); +#11219=CARTESIAN_POINT('',(-6.65E0,-4.000000000001E0,-2.6E1)); +#11220=VERTEX_POINT('',#11218); +#11221=VERTEX_POINT('',#11219); +#11222=CARTESIAN_POINT('',(-1.35E0,-4.000000000001E0,-2.6E1)); +#11223=CARTESIAN_POINT('',(-2.65E0,-4.000000000001E0,-2.6E1)); +#11224=VERTEX_POINT('',#11222); +#11225=VERTEX_POINT('',#11223); +#11226=CARTESIAN_POINT('',(2.65E0,-4.000000000001E0,-2.6E1)); +#11227=CARTESIAN_POINT('',(1.35E0,-4.000000000001E0,-2.6E1)); +#11228=VERTEX_POINT('',#11226); +#11229=VERTEX_POINT('',#11227); +#11230=CARTESIAN_POINT('',(6.65E0,-4.000000000001E0,-2.6E1)); +#11231=CARTESIAN_POINT('',(5.35E0,-4.000000000001E0,-2.6E1)); +#11232=VERTEX_POINT('',#11230); +#11233=VERTEX_POINT('',#11231); +#11234=CARTESIAN_POINT('',(1.065E1,-4.000000000001E0,-2.6E1)); +#11235=CARTESIAN_POINT('',(9.35E0,-4.000000000001E0,-2.6E1)); +#11236=VERTEX_POINT('',#11234); +#11237=VERTEX_POINT('',#11235); +#11238=CARTESIAN_POINT('',(1.465E1,-4.000000000001E0,-2.6E1)); +#11239=CARTESIAN_POINT('',(1.335E1,-4.000000000001E0,-2.6E1)); +#11240=VERTEX_POINT('',#11238); +#11241=VERTEX_POINT('',#11239); +#11242=CARTESIAN_POINT('',(-1.5E1,3.000000000001E0,-1.98E1)); +#11243=CARTESIAN_POINT('',(-1.5E1,5.000000000001E0,-1.98E1)); +#11244=VERTEX_POINT('',#11242); +#11245=VERTEX_POINT('',#11243); +#11246=CARTESIAN_POINT('',(-1.525E1,5.000000000001E0,-1.98E1)); +#11247=VERTEX_POINT('',#11246); +#11248=CARTESIAN_POINT('',(-1.55E1,4.702127695084E0,-1.98E1)); +#11249=VERTEX_POINT('',#11248); +#11250=CARTESIAN_POINT('',(-1.55E1,4.361702084542E0,-1.98E1)); +#11251=VERTEX_POINT('',#11250); +#11252=CARTESIAN_POINT('',(-1.525E1,4.659574508668E0,-1.98E1)); +#11253=VERTEX_POINT('',#11252); +#11254=CARTESIAN_POINT('',(-1.525E1,3.000000000001E0,-1.98E1)); +#11255=VERTEX_POINT('',#11254); +#11256=CARTESIAN_POINT('',(-1.775666666418E1,-5.319148898125E-1,-1.98E1)); +#11257=CARTESIAN_POINT('',(-1.7715E1,-6.595744788647E-1,-1.98E1)); +#11258=VERTEX_POINT('',#11256); +#11259=VERTEX_POINT('',#11257); +#11260=CARTESIAN_POINT('',(-1.759E1,-8.297872394323E-1,-1.98E1)); +#11261=VERTEX_POINT('',#11260); +#11262=CARTESIAN_POINT('',(-1.738166665673E1,-9.574468098581E-1,-1.98E1)); +#11263=VERTEX_POINT('',#11262); +#11264=CARTESIAN_POINT('',(-1.717333331347E1,-1.E0,-1.98E1)); +#11265=VERTEX_POINT('',#11264); +#11266=CARTESIAN_POINT('',(-1.700666668653E1,-1.E0,-1.98E1)); +#11267=VERTEX_POINT('',#11266); +#11268=CARTESIAN_POINT('',(-1.679833337307E1,-9.574468098581E-1,-1.98E1)); +#11269=VERTEX_POINT('',#11268); +#11270=CARTESIAN_POINT('',(-1.659E1,-8.297872394323E-1,-1.98E1)); +#11271=VERTEX_POINT('',#11270); +#11272=CARTESIAN_POINT('',(-1.6465E1,-6.595744788647E-1,-1.98E1)); +#11273=VERTEX_POINT('',#11272); +#11274=CARTESIAN_POINT('',(-1.638166662693E1,-4.468085169792E-1,-1.98E1)); +#11275=VERTEX_POINT('',#11274); +#11276=CARTESIAN_POINT('',(-1.634E1,-1.489361524582E-1,-1.98E1)); +#11277=VERTEX_POINT('',#11276); +#11278=CARTESIAN_POINT('',(-1.634E1,1.489361524582E-1,-1.98E1)); +#11279=VERTEX_POINT('',#11278); +#11280=CARTESIAN_POINT('',(-1.638166662693E1,4.468084573746E-1,-1.98E1)); +#11281=VERTEX_POINT('',#11280); +#11282=CARTESIAN_POINT('',(-1.6465E1,6.595745086670E-1,-1.98E1)); +#11283=VERTEX_POINT('',#11282); +#11284=CARTESIAN_POINT('',(-1.659E1,8.297872543335E-1,-1.98E1)); +#11285=VERTEX_POINT('',#11284); +#11286=CARTESIAN_POINT('',(-1.679833337307E1,9.574468135834E-1,-1.98E1)); +#11287=VERTEX_POINT('',#11286); +#11288=CARTESIAN_POINT('',(-1.700666668653E1,1.E0,-1.98E1)); +#11289=VERTEX_POINT('',#11288); +#11290=CARTESIAN_POINT('',(-1.7215E1,1.E0,-1.98E1)); +#11291=VERTEX_POINT('',#11290); +#11292=CARTESIAN_POINT('',(-1.742333334327E1,9.574468135834E-1,-1.98E1)); +#11293=VERTEX_POINT('',#11292); +#11294=CARTESIAN_POINT('',(-1.763166667163E1,8.297872543335E-1,-1.98E1)); +#11295=VERTEX_POINT('',#11294); +#11296=CARTESIAN_POINT('',(-1.775666666418E1,6.595745086670E-1,-1.98E1)); +#11297=VERTEX_POINT('',#11296); +#11298=CARTESIAN_POINT('',(-1.784E1,4.468084573746E-1,-1.98E1)); +#11299=VERTEX_POINT('',#11298); +#11300=CARTESIAN_POINT('',(-1.784E1,2.765957117081E-1,-1.98E1)); +#11301=VERTEX_POINT('',#11300); +#11302=CARTESIAN_POINT('',(-1.775666666418E1,6.382977962494E-2,-1.98E1)); +#11303=VERTEX_POINT('',#11302); +#11304=CARTESIAN_POINT('',(-1.763166667163E1,-1.063829660416E-1,-1.98E1)); +#11305=VERTEX_POINT('',#11304); +#11306=CARTESIAN_POINT('',(-1.742333334327E1,-2.340425252914E-1,-1.98E1)); +#11307=VERTEX_POINT('',#11306); +#11308=CARTESIAN_POINT('',(-1.7215E1,-2.765957713127E-1,-1.98E1)); +#11309=VERTEX_POINT('',#11308); +#11310=CARTESIAN_POINT('',(-1.700666668653E1,-2.765957713127E-1,-1.98E1)); +#11311=VERTEX_POINT('',#11310); +#11312=CARTESIAN_POINT('',(-1.679833337307E1,-2.340425252914E-1,-1.98E1)); +#11313=VERTEX_POINT('',#11312); +#11314=CARTESIAN_POINT('',(-1.654833337307E1,-6.382977962494E-2,-1.98E1)); +#11315=VERTEX_POINT('',#11314); +#11316=CARTESIAN_POINT('',(-1.654833337307E1,-1.489361524582E-1,-1.98E1)); +#11317=VERTEX_POINT('',#11316); +#11318=CARTESIAN_POINT('',(-1.659E1,-4.042553305626E-1,-1.98E1)); +#11319=VERTEX_POINT('',#11318); +#11320=CARTESIAN_POINT('',(-1.663166662693E1,-5.319148898125E-1,-1.98E1)); +#11321=VERTEX_POINT('',#11320); +#11322=CARTESIAN_POINT('',(-1.6715E1,-6.595744788647E-1,-1.98E1)); +#11323=VERTEX_POINT('',#11322); +#11324=CARTESIAN_POINT('',(-1.684E1,-7.446808516979E-1,-1.98E1)); +#11325=VERTEX_POINT('',#11324); +#11326=CARTESIAN_POINT('',(-1.6965E1,-7.872340381145E-1,-1.98E1)); +#11327=VERTEX_POINT('',#11326); +#11328=CARTESIAN_POINT('',(-1.7215E1,-7.872340381145E-1,-1.98E1)); +#11329=VERTEX_POINT('',#11328); +#11330=CARTESIAN_POINT('',(-1.734E1,-7.446808516979E-1,-1.98E1)); +#11331=VERTEX_POINT('',#11330); +#11332=CARTESIAN_POINT('',(-1.7465E1,-6.595744788647E-1,-1.98E1)); +#11333=VERTEX_POINT('',#11332); +#11334=CARTESIAN_POINT('',(-1.754833334327E1,-5.319148898125E-1,-1.98E1)); +#11335=VERTEX_POINT('',#11334); +#11336=CARTESIAN_POINT('',(-1.659E1,3.191488981247E-1,-1.98E1)); +#11337=CARTESIAN_POINT('',(-1.663166662693E1,1.914893388748E-1,-1.98E1)); +#11338=VERTEX_POINT('',#11336); +#11339=VERTEX_POINT('',#11337); +#11340=CARTESIAN_POINT('',(-1.6715E1,6.382977962494E-2,-1.98E1)); +#11341=VERTEX_POINT('',#11340); +#11342=CARTESIAN_POINT('',(-1.684E1,-2.127659320831E-2,-1.98E1)); +#11343=VERTEX_POINT('',#11342); +#11344=CARTESIAN_POINT('',(-1.6965E1,-6.382977962494E-2,-1.98E1)); +#11345=VERTEX_POINT('',#11344); +#11346=CARTESIAN_POINT('',(-1.725666668653E1,-6.382977962494E-2,-1.98E1)); +#11347=VERTEX_POINT('',#11346); +#11348=CARTESIAN_POINT('',(-1.738166665673E1,-2.127659320831E-2,-1.98E1)); +#11349=VERTEX_POINT('',#11348); +#11350=CARTESIAN_POINT('',(-1.750666665673E1,6.382977962494E-2,-1.98E1)); +#11351=VERTEX_POINT('',#11350); +#11352=CARTESIAN_POINT('',(-1.759E1,1.914893388748E-1,-1.98E1)); +#11353=VERTEX_POINT('',#11352); +#11354=CARTESIAN_POINT('',(-1.763166667163E1,3.191488981247E-1,-1.98E1)); +#11355=VERTEX_POINT('',#11354); +#11356=CARTESIAN_POINT('',(-1.763166667163E1,4.042552709579E-1,-1.98E1)); +#11357=VERTEX_POINT('',#11356); +#11358=CARTESIAN_POINT('',(-1.759E1,5.319149494171E-1,-1.98E1)); +#11359=VERTEX_POINT('',#11358); +#11360=CARTESIAN_POINT('',(-1.750666665673E1,6.595745086670E-1,-1.98E1)); +#11361=VERTEX_POINT('',#11360); +#11362=CARTESIAN_POINT('',(-1.738166665673E1,7.446808815002E-1,-1.98E1)); +#11363=VERTEX_POINT('',#11362); +#11364=CARTESIAN_POINT('',(-1.725666668653E1,7.872340679169E-1,-1.98E1)); +#11365=VERTEX_POINT('',#11364); +#11366=CARTESIAN_POINT('',(-1.6965E1,7.872340679169E-1,-1.98E1)); +#11367=VERTEX_POINT('',#11366); +#11368=CARTESIAN_POINT('',(-1.684E1,7.446808815002E-1,-1.98E1)); +#11369=VERTEX_POINT('',#11368); +#11370=CARTESIAN_POINT('',(-1.6715E1,6.595745086670E-1,-1.98E1)); +#11371=VERTEX_POINT('',#11370); +#11372=CARTESIAN_POINT('',(-1.663166662693E1,5.319149494171E-1,-1.98E1)); +#11373=VERTEX_POINT('',#11372); +#11374=CARTESIAN_POINT('',(-1.659E1,4.042552709579E-1,-1.98E1)); +#11375=VERTEX_POINT('',#11374); +#11376=CARTESIAN_POINT('',(-1.75E1,-5.000000000001E0,-1.98E1)); +#11377=CARTESIAN_POINT('',(-1.75E1,-3.000000000001E0,-1.98E1)); +#11378=VERTEX_POINT('',#11376); +#11379=VERTEX_POINT('',#11377); +#11380=CARTESIAN_POINT('',(-1.775E1,-3.000000000001E0,-1.98E1)); +#11381=VERTEX_POINT('',#11380); +#11382=CARTESIAN_POINT('',(-1.8E1,-3.297872304917E0,-1.98E1)); +#11383=VERTEX_POINT('',#11382); +#11384=CARTESIAN_POINT('',(-1.8E1,-3.638297915459E0,-1.98E1)); +#11385=VERTEX_POINT('',#11384); +#11386=CARTESIAN_POINT('',(-1.775E1,-3.340425491334E0,-1.98E1)); +#11387=VERTEX_POINT('',#11386); +#11388=CARTESIAN_POINT('',(-1.775E1,-5.000000000001E0,-1.98E1)); +#11389=VERTEX_POINT('',#11388); +#11390=CARTESIAN_POINT('',(-1.575E1,-4.021276593209E0,-1.98E1)); +#11391=CARTESIAN_POINT('',(-1.5875E1,-3.893617033959E0,-1.98E1)); +#11392=VERTEX_POINT('',#11390); +#11393=VERTEX_POINT('',#11391); +#11394=CARTESIAN_POINT('',(-1.608333337307E1,-3.765957474709E0,-1.98E1)); +#11395=VERTEX_POINT('',#11394); +#11396=CARTESIAN_POINT('',(-1.629166662693E1,-3.723404288292E0,-1.98E1)); +#11397=VERTEX_POINT('',#11396); +#11398=CARTESIAN_POINT('',(-1.65E1,-3.723404288292E0,-1.98E1)); +#11399=VERTEX_POINT('',#11398); +#11400=CARTESIAN_POINT('',(-1.670833337307E1,-3.765957474709E0,-1.98E1)); +#11401=VERTEX_POINT('',#11400); +#11402=CARTESIAN_POINT('',(-1.695833337307E1,-3.936170220376E0,-1.98E1)); +#11403=VERTEX_POINT('',#11402); +#11404=CARTESIAN_POINT('',(-1.695833337307E1,-3.851063847542E0,-1.98E1)); +#11405=VERTEX_POINT('',#11404); +#11406=CARTESIAN_POINT('',(-1.691666662693E1,-3.595744729043E0,-1.98E1)); +#11407=VERTEX_POINT('',#11406); +#11408=CARTESIAN_POINT('',(-1.6875E1,-3.468085050583E0,-1.98E1)); +#11409=VERTEX_POINT('',#11408); +#11410=CARTESIAN_POINT('',(-1.679166662693E1,-3.340425491334E0,-1.98E1)); +#11411=VERTEX_POINT('',#11410); +#11412=CARTESIAN_POINT('',(-1.666666662693E1,-3.255319118500E0,-1.98E1)); +#11413=VERTEX_POINT('',#11412); +#11414=CARTESIAN_POINT('',(-1.654166662693E1,-3.212765932084E0,-1.98E1)); +#11415=VERTEX_POINT('',#11414); +#11416=CARTESIAN_POINT('',(-1.629166662693E1,-3.212765932084E0,-1.98E1)); +#11417=VERTEX_POINT('',#11416); +#11418=CARTESIAN_POINT('',(-1.616666662693E1,-3.255319118500E0,-1.98E1)); +#11419=VERTEX_POINT('',#11418); +#11420=CARTESIAN_POINT('',(-1.604166662693E1,-3.340425491334E0,-1.98E1)); +#11421=VERTEX_POINT('',#11420); +#11422=CARTESIAN_POINT('',(-1.595833325386E1,-3.468085050583E0,-1.98E1)); +#11423=VERTEX_POINT('',#11422); +#11424=CARTESIAN_POINT('',(-1.575E1,-3.468085050583E0,-1.98E1)); +#11425=VERTEX_POINT('',#11424); +#11426=CARTESIAN_POINT('',(-1.579166674614E1,-3.340425491334E0,-1.98E1)); +#11427=VERTEX_POINT('',#11426); +#11428=CARTESIAN_POINT('',(-1.591666674614E1,-3.170212745667E0,-1.98E1)); +#11429=VERTEX_POINT('',#11428); +#11430=CARTESIAN_POINT('',(-1.6125E1,-3.042553186417E0,-1.98E1)); +#11431=VERTEX_POINT('',#11430); +#11432=CARTESIAN_POINT('',(-1.633333337307E1,-3.000000000001E0,-1.98E1)); +#11433=VERTEX_POINT('',#11432); +#11434=CARTESIAN_POINT('',(-1.65E1,-3.000000000001E0,-1.98E1)); +#11435=VERTEX_POINT('',#11434); +#11436=CARTESIAN_POINT('',(-1.670833337307E1,-3.042553186417E0,-1.98E1)); +#11437=VERTEX_POINT('',#11436); +#11438=CARTESIAN_POINT('',(-1.691666662693E1,-3.170212745667E0,-1.98E1)); +#11439=VERTEX_POINT('',#11438); +#11440=CARTESIAN_POINT('',(-1.704166668653E1,-3.340425491334E0,-1.98E1)); +#11441=VERTEX_POINT('',#11440); +#11442=CARTESIAN_POINT('',(-1.7125E1,-3.553191542626E0,-1.98E1)); +#11443=VERTEX_POINT('',#11442); +#11444=CARTESIAN_POINT('',(-1.716666668653E1,-3.851063847542E0,-1.98E1)); +#11445=VERTEX_POINT('',#11444); +#11446=CARTESIAN_POINT('',(-1.716666668653E1,-4.148936152459E0,-1.98E1)); +#11447=VERTEX_POINT('',#11446); +#11448=CARTESIAN_POINT('',(-1.7125E1,-4.446808516980E0,-1.98E1)); +#11449=VERTEX_POINT('',#11448); +#11450=CARTESIAN_POINT('',(-1.704166668653E1,-4.659574478865E0,-1.98E1)); +#11451=VERTEX_POINT('',#11450); +#11452=CARTESIAN_POINT('',(-1.691666662693E1,-4.829787239433E0,-1.98E1)); +#11453=VERTEX_POINT('',#11452); +#11454=CARTESIAN_POINT('',(-1.670833337307E1,-4.957446809859E0,-1.98E1)); +#11455=VERTEX_POINT('',#11454); +#11456=CARTESIAN_POINT('',(-1.65E1,-5.000000000001E0,-1.98E1)); +#11457=VERTEX_POINT('',#11456); +#11458=CARTESIAN_POINT('',(-1.629166662693E1,-5.000000000001E0,-1.98E1)); +#11459=VERTEX_POINT('',#11458); +#11460=CARTESIAN_POINT('',(-1.608333337307E1,-4.957446809859E0,-1.98E1)); +#11461=VERTEX_POINT('',#11460); +#11462=CARTESIAN_POINT('',(-1.5875E1,-4.829787239433E0,-1.98E1)); +#11463=VERTEX_POINT('',#11462); +#11464=CARTESIAN_POINT('',(-1.575E1,-4.659574478865E0,-1.98E1)); +#11465=VERTEX_POINT('',#11464); +#11466=CARTESIAN_POINT('',(-1.566666674614E1,-4.446808516980E0,-1.98E1)); +#11467=VERTEX_POINT('',#11466); +#11468=CARTESIAN_POINT('',(-1.566666674614E1,-4.234042525292E0,-1.98E1)); +#11469=VERTEX_POINT('',#11468); +#11470=CARTESIAN_POINT('',(-1.591666674614E1,-4.148936152459E0,-1.98E1)); +#11471=CARTESIAN_POINT('',(-1.5875E1,-4.276595771313E0,-1.98E1)); +#11472=VERTEX_POINT('',#11470); +#11473=VERTEX_POINT('',#11471); +#11474=CARTESIAN_POINT('',(-1.5875E1,-4.404255330563E0,-1.98E1)); +#11475=VERTEX_POINT('',#11474); +#11476=CARTESIAN_POINT('',(-1.591666674614E1,-4.531914889813E0,-1.98E1)); +#11477=VERTEX_POINT('',#11476); +#11478=CARTESIAN_POINT('',(-1.6E1,-4.659574478865E0,-1.98E1)); +#11479=VERTEX_POINT('',#11478); +#11480=CARTESIAN_POINT('',(-1.6125E1,-4.744680851698E0,-1.98E1)); +#11481=VERTEX_POINT('',#11480); +#11482=CARTESIAN_POINT('',(-1.625E1,-4.787234038115E0,-1.98E1)); +#11483=VERTEX_POINT('',#11482); +#11484=CARTESIAN_POINT('',(-1.654166662693E1,-4.787234038115E0,-1.98E1)); +#11485=VERTEX_POINT('',#11484); +#11486=CARTESIAN_POINT('',(-1.666666662693E1,-4.744680851698E0,-1.98E1)); +#11487=VERTEX_POINT('',#11486); +#11488=CARTESIAN_POINT('',(-1.679166662693E1,-4.659574478865E0,-1.98E1)); +#11489=VERTEX_POINT('',#11488); +#11490=CARTESIAN_POINT('',(-1.6875E1,-4.531914889813E0,-1.98E1)); +#11491=VERTEX_POINT('',#11490); +#11492=CARTESIAN_POINT('',(-1.691666662693E1,-4.404255330563E0,-1.98E1)); +#11493=VERTEX_POINT('',#11492); +#11494=CARTESIAN_POINT('',(-1.691666662693E1,-4.276595771313E0,-1.98E1)); +#11495=VERTEX_POINT('',#11494); +#11496=CARTESIAN_POINT('',(-1.6875E1,-4.148936152459E0,-1.98E1)); +#11497=VERTEX_POINT('',#11496); +#11498=CARTESIAN_POINT('',(-1.679166662693E1,-4.063829779625E0,-1.98E1)); +#11499=VERTEX_POINT('',#11498); +#11500=CARTESIAN_POINT('',(-1.666666662693E1,-3.978723406792E0,-1.98E1)); +#11501=VERTEX_POINT('',#11500); +#11502=CARTESIAN_POINT('',(-1.654166662693E1,-3.936170220376E0,-1.98E1)); +#11503=VERTEX_POINT('',#11502); +#11504=CARTESIAN_POINT('',(-1.625E1,-3.936170220376E0,-1.98E1)); +#11505=VERTEX_POINT('',#11504); +#11506=CARTESIAN_POINT('',(-1.6125E1,-3.978723406792E0,-1.98E1)); +#11507=VERTEX_POINT('',#11506); +#11508=CARTESIAN_POINT('',(-1.6E1,-4.063829779625E0,-1.98E1)); +#11509=VERTEX_POINT('',#11508); +#11510=CARTESIAN_POINT('',(1.583333334327E1,4.021276593209E0,-1.98E1)); +#11511=CARTESIAN_POINT('',(1.575E1,3.978723406792E0,-1.98E1)); +#11512=VERTEX_POINT('',#11510); +#11513=VERTEX_POINT('',#11511); +#11514=CARTESIAN_POINT('',(1.558333333582E1,3.851063847542E0,-1.98E1)); +#11515=VERTEX_POINT('',#11514); +#11516=CARTESIAN_POINT('',(1.55E1,3.638297855855E0,-1.98E1)); +#11517=VERTEX_POINT('',#11516); +#11518=CARTESIAN_POINT('',(1.55E1,3.510638296605E0,-1.98E1)); +#11519=VERTEX_POINT('',#11518); +#11520=CARTESIAN_POINT('',(1.558333333582E1,3.297872334719E0,-1.98E1)); +#11521=VERTEX_POINT('',#11520); +#11522=CARTESIAN_POINT('',(1.570833332837E1,3.170212760568E0,-1.98E1)); +#11523=VERTEX_POINT('',#11522); +#11524=CARTESIAN_POINT('',(1.591666665673E1,3.042553190142E0,-1.98E1)); +#11525=VERTEX_POINT('',#11524); +#11526=CARTESIAN_POINT('',(1.6125E1,3.000000000001E0,-1.98E1)); +#11527=VERTEX_POINT('',#11526); +#11528=CARTESIAN_POINT('',(1.6375E1,3.000000000001E0,-1.98E1)); +#11529=VERTEX_POINT('',#11528); +#11530=CARTESIAN_POINT('',(1.658333337307E1,3.042553190142E0,-1.98E1)); +#11531=VERTEX_POINT('',#11530); +#11532=CARTESIAN_POINT('',(1.679166662693E1,3.170212760568E0,-1.98E1)); +#11533=VERTEX_POINT('',#11532); +#11534=CARTESIAN_POINT('',(1.691666662693E1,3.297872334719E0,-1.98E1)); +#11535=VERTEX_POINT('',#11534); +#11536=CARTESIAN_POINT('',(1.7E1,3.510638296605E0,-1.98E1)); +#11537=VERTEX_POINT('',#11536); +#11538=CARTESIAN_POINT('',(1.7E1,3.638297855855E0,-1.98E1)); +#11539=VERTEX_POINT('',#11538); +#11540=CARTESIAN_POINT('',(1.691666662693E1,3.851063847542E0,-1.98E1)); +#11541=VERTEX_POINT('',#11540); +#11542=CARTESIAN_POINT('',(1.675E1,3.978723406792E0,-1.98E1)); +#11543=VERTEX_POINT('',#11542); +#11544=CARTESIAN_POINT('',(1.666666662693E1,4.021276593209E0,-1.98E1)); +#11545=VERTEX_POINT('',#11544); +#11546=CARTESIAN_POINT('',(1.675E1,4.063829779625E0,-1.98E1)); +#11547=VERTEX_POINT('',#11546); +#11548=CARTESIAN_POINT('',(1.6875E1,4.191489338875E0,-1.98E1)); +#11549=VERTEX_POINT('',#11548); +#11550=CARTESIAN_POINT('',(1.695833337307E1,4.361702084542E0,-1.98E1)); +#11551=VERTEX_POINT('',#11550); +#11552=CARTESIAN_POINT('',(1.695833337307E1,4.489361643792E0,-1.98E1)); +#11553=VERTEX_POINT('',#11552); +#11554=CARTESIAN_POINT('',(1.6875E1,4.702127695084E0,-1.98E1)); +#11555=VERTEX_POINT('',#11554); +#11556=CARTESIAN_POINT('',(1.675E1,4.829787254334E0,-1.98E1)); +#11557=VERTEX_POINT('',#11556); +#11558=CARTESIAN_POINT('',(1.654166662693E1,4.957446813584E0,-1.98E1)); +#11559=VERTEX_POINT('',#11558); +#11560=CARTESIAN_POINT('',(1.633333331347E1,5.000000000001E0,-1.98E1)); +#11561=VERTEX_POINT('',#11560); +#11562=CARTESIAN_POINT('',(1.616666668653E1,5.000000000001E0,-1.98E1)); +#11563=VERTEX_POINT('',#11562); +#11564=CARTESIAN_POINT('',(1.595833334327E1,4.957446813584E0,-1.98E1)); +#11565=VERTEX_POINT('',#11564); +#11566=CARTESIAN_POINT('',(1.575E1,4.829787254334E0,-1.98E1)); +#11567=VERTEX_POINT('',#11566); +#11568=CARTESIAN_POINT('',(1.5625E1,4.702127695084E0,-1.98E1)); +#11569=VERTEX_POINT('',#11568); +#11570=CARTESIAN_POINT('',(1.554166666791E1,4.489361643792E0,-1.98E1)); +#11571=VERTEX_POINT('',#11570); +#11572=CARTESIAN_POINT('',(1.554166666791E1,4.361702084542E0,-1.98E1)); +#11573=VERTEX_POINT('',#11572); +#11574=CARTESIAN_POINT('',(1.5625E1,4.191489338875E0,-1.98E1)); +#11575=VERTEX_POINT('',#11574); +#11576=CARTESIAN_POINT('',(1.575E1,4.063829779625E0,-1.98E1)); +#11577=VERTEX_POINT('',#11576); +#11578=CARTESIAN_POINT('',(1.616666668653E1,3.212765961886E0,-1.98E1)); +#11579=CARTESIAN_POINT('',(1.6E1,3.255319148303E0,-1.98E1)); +#11580=VERTEX_POINT('',#11578); +#11581=VERTEX_POINT('',#11579); +#11582=CARTESIAN_POINT('',(1.583333334327E1,3.340425521136E0,-1.98E1)); +#11583=VERTEX_POINT('',#11582); +#11584=CARTESIAN_POINT('',(1.570833332837E1,3.510638296605E0,-1.98E1)); +#11585=VERTEX_POINT('',#11584); +#11586=CARTESIAN_POINT('',(1.570833332837E1,3.638297855855E0,-1.98E1)); +#11587=VERTEX_POINT('',#11586); +#11588=CARTESIAN_POINT('',(1.583333334327E1,3.808510661126E0,-1.98E1)); +#11589=VERTEX_POINT('',#11588); +#11590=CARTESIAN_POINT('',(1.6E1,3.893617033959E0,-1.98E1)); +#11591=VERTEX_POINT('',#11590); +#11592=CARTESIAN_POINT('',(1.616666668653E1,3.936170220376E0,-1.98E1)); +#11593=VERTEX_POINT('',#11592); +#11594=CARTESIAN_POINT('',(1.633333331347E1,3.936170220376E0,-1.98E1)); +#11595=VERTEX_POINT('',#11594); +#11596=CARTESIAN_POINT('',(1.65E1,3.893617033959E0,-1.98E1)); +#11597=VERTEX_POINT('',#11596); +#11598=CARTESIAN_POINT('',(1.666666662693E1,3.808510661126E0,-1.98E1)); +#11599=VERTEX_POINT('',#11598); +#11600=CARTESIAN_POINT('',(1.679166662693E1,3.638297855855E0,-1.98E1)); +#11601=VERTEX_POINT('',#11600); +#11602=CARTESIAN_POINT('',(1.679166662693E1,3.510638296605E0,-1.98E1)); +#11603=VERTEX_POINT('',#11602); +#11604=CARTESIAN_POINT('',(1.666666662693E1,3.340425521136E0,-1.98E1)); +#11605=VERTEX_POINT('',#11604); +#11606=CARTESIAN_POINT('',(1.65E1,3.255319148303E0,-1.98E1)); +#11607=VERTEX_POINT('',#11606); +#11608=CARTESIAN_POINT('',(1.633333331347E1,3.212765961886E0,-1.98E1)); +#11609=VERTEX_POINT('',#11608); +#11610=CARTESIAN_POINT('',(1.633333331347E1,4.787234067917E0,-1.98E1)); +#11611=CARTESIAN_POINT('',(1.65E1,4.744680881501E0,-1.98E1)); +#11612=VERTEX_POINT('',#11610); +#11613=VERTEX_POINT('',#11611); +#11614=CARTESIAN_POINT('',(1.666666662693E1,4.617021322251E0,-1.98E1)); +#11615=VERTEX_POINT('',#11614); +#11616=CARTESIAN_POINT('',(1.675E1,4.489361643792E0,-1.98E1)); +#11617=VERTEX_POINT('',#11616); +#11618=CARTESIAN_POINT('',(1.675E1,4.404255270958E0,-1.98E1)); +#11619=VERTEX_POINT('',#11618); +#11620=CARTESIAN_POINT('',(1.666666662693E1,4.276595711709E0,-1.98E1)); +#11621=VERTEX_POINT('',#11620); +#11622=CARTESIAN_POINT('',(1.65E1,4.148936152459E0,-1.98E1)); +#11623=VERTEX_POINT('',#11622); +#11624=CARTESIAN_POINT('',(1.633333331347E1,4.106382966042E0,-1.98E1)); +#11625=VERTEX_POINT('',#11624); +#11626=CARTESIAN_POINT('',(1.616666668653E1,4.106382966042E0,-1.98E1)); +#11627=VERTEX_POINT('',#11626); +#11628=CARTESIAN_POINT('',(1.6E1,4.148936152459E0,-1.98E1)); +#11629=VERTEX_POINT('',#11628); +#11630=CARTESIAN_POINT('',(1.583333334327E1,4.276595711709E0,-1.98E1)); +#11631=VERTEX_POINT('',#11630); +#11632=CARTESIAN_POINT('',(1.575E1,4.404255270958E0,-1.98E1)); +#11633=VERTEX_POINT('',#11632); +#11634=CARTESIAN_POINT('',(1.575E1,4.489361643792E0,-1.98E1)); +#11635=VERTEX_POINT('',#11634); +#11636=CARTESIAN_POINT('',(1.583333334327E1,4.617021322251E0,-1.98E1)); +#11637=VERTEX_POINT('',#11636); +#11638=CARTESIAN_POINT('',(1.6E1,4.744680881501E0,-1.98E1)); +#11639=VERTEX_POINT('',#11638); +#11640=CARTESIAN_POINT('',(1.616666668653E1,4.787234067917E0,-1.98E1)); +#11641=VERTEX_POINT('',#11640); +#11642=CARTESIAN_POINT('',(1.545E1,-1.E0,-1.98E1)); +#11643=CARTESIAN_POINT('',(1.545E1,1.E0,-1.98E1)); +#11644=VERTEX_POINT('',#11642); +#11645=VERTEX_POINT('',#11643); +#11646=CARTESIAN_POINT('',(1.52E1,1.E0,-1.98E1)); +#11647=VERTEX_POINT('',#11646); +#11648=CARTESIAN_POINT('',(1.495E1,7.021276950836E-1,-1.98E1)); +#11649=VERTEX_POINT('',#11648); +#11650=CARTESIAN_POINT('',(1.495E1,3.617020845413E-1,-1.98E1)); +#11651=VERTEX_POINT('',#11650); +#11652=CARTESIAN_POINT('',(1.52E1,6.595745086670E-1,-1.98E1)); +#11653=VERTEX_POINT('',#11652); +#11654=CARTESIAN_POINT('',(1.52E1,-1.E0,-1.98E1)); +#11655=VERTEX_POINT('',#11654); +#11656=CARTESIAN_POINT('',(1.6075E1,-1.063829660416E-1,-1.98E1)); +#11657=CARTESIAN_POINT('',(1.624166662693E1,2.127659320831E-2,-1.98E1)); +#11658=VERTEX_POINT('',#11656); +#11659=VERTEX_POINT('',#11657); +#11660=CARTESIAN_POINT('',(1.640833337307E1,6.382977962494E-2,-1.98E1)); +#11661=VERTEX_POINT('',#11660); +#11662=CARTESIAN_POINT('',(1.665833337307E1,6.382977962494E-2,-1.98E1)); +#11663=VERTEX_POINT('',#11662); +#11664=CARTESIAN_POINT('',(1.6825E1,2.127659320831E-2,-1.98E1)); +#11665=VERTEX_POINT('',#11664); +#11666=CARTESIAN_POINT('',(1.695E1,-6.382977962494E-2,-1.98E1)); +#11667=VERTEX_POINT('',#11666); +#11668=CARTESIAN_POINT('',(1.703333325386E1,-1.914893388748E-1,-1.98E1)); +#11669=VERTEX_POINT('',#11668); +#11670=CARTESIAN_POINT('',(1.7075E1,-3.191489577293E-1,-1.98E1)); +#11671=VERTEX_POINT('',#11670); +#11672=CARTESIAN_POINT('',(1.7075E1,-4.042553305626E-1,-1.98E1)); +#11673=VERTEX_POINT('',#11672); +#11674=CARTESIAN_POINT('',(1.703333325386E1,-5.319148898125E-1,-1.98E1)); +#11675=VERTEX_POINT('',#11674); +#11676=CARTESIAN_POINT('',(1.695E1,-6.595744788647E-1,-1.98E1)); +#11677=VERTEX_POINT('',#11676); +#11678=CARTESIAN_POINT('',(1.6825E1,-7.446808516979E-1,-1.98E1)); +#11679=VERTEX_POINT('',#11678); +#11680=CARTESIAN_POINT('',(1.665833337307E1,-7.872340381145E-1,-1.98E1)); +#11681=VERTEX_POINT('',#11680); +#11682=CARTESIAN_POINT('',(1.640833337307E1,-7.872340381145E-1,-1.98E1)); +#11683=VERTEX_POINT('',#11682); +#11684=CARTESIAN_POINT('',(1.624166662693E1,-7.446808516979E-1,-1.98E1)); +#11685=VERTEX_POINT('',#11684); +#11686=CARTESIAN_POINT('',(1.611666662693E1,-6.595744788647E-1,-1.98E1)); +#11687=VERTEX_POINT('',#11686); +#11688=CARTESIAN_POINT('',(1.603333337307E1,-4.893617033958E-1,-1.98E1)); +#11689=VERTEX_POINT('',#11688); +#11690=CARTESIAN_POINT('',(1.578333331347E1,-4.893617033958E-1,-1.98E1)); +#11691=VERTEX_POINT('',#11690); +#11692=CARTESIAN_POINT('',(1.586666668653E1,-6.595744788647E-1,-1.98E1)); +#11693=VERTEX_POINT('',#11692); +#11694=CARTESIAN_POINT('',(1.599166662693E1,-8.297872394323E-1,-1.98E1)); +#11695=VERTEX_POINT('',#11694); +#11696=CARTESIAN_POINT('',(1.62E1,-9.574468098581E-1,-1.98E1)); +#11697=VERTEX_POINT('',#11696); +#11698=CARTESIAN_POINT('',(1.640833337307E1,-1.E0,-1.98E1)); +#11699=VERTEX_POINT('',#11698); +#11700=CARTESIAN_POINT('',(1.665833337307E1,-1.E0,-1.98E1)); +#11701=VERTEX_POINT('',#11700); +#11702=CARTESIAN_POINT('',(1.686666662693E1,-9.574468098581E-1,-1.98E1)); +#11703=VERTEX_POINT('',#11702); +#11704=CARTESIAN_POINT('',(1.7075E1,-8.297872394323E-1,-1.98E1)); +#11705=VERTEX_POINT('',#11704); +#11706=CARTESIAN_POINT('',(1.72E1,-6.595744788647E-1,-1.98E1)); +#11707=VERTEX_POINT('',#11706); +#11708=CARTESIAN_POINT('',(1.728333325386E1,-4.468085169792E-1,-1.98E1)); +#11709=VERTEX_POINT('',#11708); +#11710=CARTESIAN_POINT('',(1.728333325386E1,-2.765957713127E-1,-1.98E1)); +#11711=VERTEX_POINT('',#11710); +#11712=CARTESIAN_POINT('',(1.72E1,-6.382977962494E-2,-1.98E1)); +#11713=VERTEX_POINT('',#11712); +#11714=CARTESIAN_POINT('',(1.7075E1,1.063829660416E-1,-1.98E1)); +#11715=VERTEX_POINT('',#11714); +#11716=CARTESIAN_POINT('',(1.686666662693E1,2.340425252914E-1,-1.98E1)); +#11717=VERTEX_POINT('',#11716); +#11718=CARTESIAN_POINT('',(1.665833337307E1,2.765957117081E-1,-1.98E1)); +#11719=VERTEX_POINT('',#11718); +#11720=CARTESIAN_POINT('',(1.640833337307E1,2.765957117081E-1,-1.98E1)); +#11721=VERTEX_POINT('',#11720); +#11722=CARTESIAN_POINT('',(1.62E1,2.340425252914E-1,-1.98E1)); +#11723=VERTEX_POINT('',#11722); +#11724=CARTESIAN_POINT('',(1.599166662693E1,1.063829660416E-1,-1.98E1)); +#11725=VERTEX_POINT('',#11724); +#11726=CARTESIAN_POINT('',(1.599166662693E1,7.872340679169E-1,-1.98E1)); +#11727=VERTEX_POINT('',#11726); +#11728=CARTESIAN_POINT('',(1.72E1,7.872340679169E-1,-1.98E1)); +#11729=VERTEX_POINT('',#11728); +#11730=CARTESIAN_POINT('',(1.72E1,1.E0,-1.98E1)); +#11731=VERTEX_POINT('',#11730); +#11732=CARTESIAN_POINT('',(1.578333331347E1,1.E0,-1.98E1)); +#11733=VERTEX_POINT('',#11732); +#11734=CARTESIAN_POINT('',(1.578333331347E1,-1.063829660416E-1,-1.98E1)); +#11735=VERTEX_POINT('',#11734); +#11736=CARTESIAN_POINT('',(1.495E1,-4.787234038115E0,-1.98E1)); +#11737=CARTESIAN_POINT('',(1.495E1,-5.000000000001E0,-1.98E1)); +#11738=VERTEX_POINT('',#11736); +#11739=VERTEX_POINT('',#11737); +#11740=CARTESIAN_POINT('',(1.645E1,-5.000000000001E0,-1.98E1)); +#11741=VERTEX_POINT('',#11740); +#11742=CARTESIAN_POINT('',(1.645E1,-4.787234038115E0,-1.98E1)); +#11743=VERTEX_POINT('',#11742); +#11744=CARTESIAN_POINT('',(1.528333334327E1,-4.787234038115E0,-1.98E1)); +#11745=VERTEX_POINT('',#11744); +#11746=CARTESIAN_POINT('',(1.6325E1,-3.851063847542E0,-1.98E1)); +#11747=VERTEX_POINT('',#11746); +#11748=CARTESIAN_POINT('',(1.640833337307E1,-3.723404288292E0,-1.98E1)); +#11749=VERTEX_POINT('',#11748); +#11750=CARTESIAN_POINT('',(1.645E1,-3.553191542626E0,-1.98E1)); +#11751=VERTEX_POINT('',#11750); +#11752=CARTESIAN_POINT('',(1.645E1,-3.468085050583E0,-1.98E1)); +#11753=VERTEX_POINT('',#11752); +#11754=CARTESIAN_POINT('',(1.640833337307E1,-3.297872304917E0,-1.98E1)); +#11755=VERTEX_POINT('',#11754); +#11756=CARTESIAN_POINT('',(1.624166662693E1,-3.127659559250E0,-1.98E1)); +#11757=VERTEX_POINT('',#11756); +#11758=CARTESIAN_POINT('',(1.6075E1,-3.042553186417E0,-1.98E1)); +#11759=VERTEX_POINT('',#11758); +#11760=CARTESIAN_POINT('',(1.5825E1,-3.000000000001E0,-1.98E1)); +#11761=VERTEX_POINT('',#11760); +#11762=CARTESIAN_POINT('',(1.565833331347E1,-3.000000000001E0,-1.98E1)); +#11763=VERTEX_POINT('',#11762); +#11764=CARTESIAN_POINT('',(1.536666665673E1,-3.042553186417E0,-1.98E1)); +#11765=VERTEX_POINT('',#11764); +#11766=CARTESIAN_POINT('',(1.52E1,-3.127659559250E0,-1.98E1)); +#11767=VERTEX_POINT('',#11766); +#11768=CARTESIAN_POINT('',(1.503333333582E1,-3.297872304917E0,-1.98E1)); +#11769=VERTEX_POINT('',#11768); +#11770=CARTESIAN_POINT('',(1.499166666791E1,-3.468085050583E0,-1.98E1)); +#11771=VERTEX_POINT('',#11770); +#11772=CARTESIAN_POINT('',(1.52E1,-3.468085050583E0,-1.98E1)); +#11773=VERTEX_POINT('',#11772); +#11774=CARTESIAN_POINT('',(1.528333334327E1,-3.340425491334E0,-1.98E1)); +#11775=VERTEX_POINT('',#11774); +#11776=CARTESIAN_POINT('',(1.540833334327E1,-3.255319118500E0,-1.98E1)); +#11777=VERTEX_POINT('',#11776); +#11778=CARTESIAN_POINT('',(1.561666668653E1,-3.212765932084E0,-1.98E1)); +#11779=VERTEX_POINT('',#11778); +#11780=CARTESIAN_POINT('',(1.578333331347E1,-3.212765932084E0,-1.98E1)); +#11781=VERTEX_POINT('',#11780); +#11782=CARTESIAN_POINT('',(1.599166662693E1,-3.255319118500E0,-1.98E1)); +#11783=VERTEX_POINT('',#11782); +#11784=CARTESIAN_POINT('',(1.611666662693E1,-3.340425491334E0,-1.98E1)); +#11785=VERTEX_POINT('',#11784); +#11786=CARTESIAN_POINT('',(1.62E1,-3.468085050583E0,-1.98E1)); +#11787=VERTEX_POINT('',#11786); +#11788=CARTESIAN_POINT('',(1.62E1,-3.553191542626E0,-1.98E1)); +#11789=VERTEX_POINT('',#11788); +#11790=CARTESIAN_POINT('',(1.611666662693E1,-3.723404288292E0,-1.98E1)); +#11791=VERTEX_POINT('',#11790); +#11792=CARTESIAN_POINT('',(1.815833325386E1,-4.787234038115E0,-1.98E1)); +#11793=CARTESIAN_POINT('',(1.824166674614E1,-4.659574478865E0,-1.98E1)); +#11794=VERTEX_POINT('',#11792); +#11795=VERTEX_POINT('',#11793); +#11796=CARTESIAN_POINT('',(1.828333325386E1,-4.531914889813E0,-1.98E1)); +#11797=VERTEX_POINT('',#11796); +#11798=CARTESIAN_POINT('',(1.828333325386E1,-4.361702144147E0,-1.98E1)); +#11799=VERTEX_POINT('',#11798); +#11800=CARTESIAN_POINT('',(1.824166674614E1,-4.191489338875E0,-1.98E1)); +#11801=VERTEX_POINT('',#11800); +#11802=CARTESIAN_POINT('',(1.815833325386E1,-4.063829779625E0,-1.98E1)); +#11803=VERTEX_POINT('',#11802); +#11804=CARTESIAN_POINT('',(1.795E1,-3.978723406792E0,-1.98E1)); +#11805=VERTEX_POINT('',#11804); +#11806=CARTESIAN_POINT('',(1.811666674614E1,-3.851063847542E0,-1.98E1)); +#11807=VERTEX_POINT('',#11806); +#11808=CARTESIAN_POINT('',(1.82E1,-3.723404288292E0,-1.98E1)); +#11809=VERTEX_POINT('',#11808); +#11810=CARTESIAN_POINT('',(1.824166674614E1,-3.553191542626E0,-1.98E1)); +#11811=VERTEX_POINT('',#11810); +#11812=CARTESIAN_POINT('',(1.824166674614E1,-3.468085050583E0,-1.98E1)); +#11813=VERTEX_POINT('',#11812); +#11814=CARTESIAN_POINT('',(1.82E1,-3.297872304917E0,-1.98E1)); +#11815=VERTEX_POINT('',#11814); +#11816=CARTESIAN_POINT('',(1.803333325386E1,-3.127659559250E0,-1.98E1)); +#11817=VERTEX_POINT('',#11816); +#11818=CARTESIAN_POINT('',(1.786666674614E1,-3.042553186417E0,-1.98E1)); +#11819=VERTEX_POINT('',#11818); +#11820=CARTESIAN_POINT('',(1.7575E1,-3.000000000001E0,-1.98E1)); +#11821=VERTEX_POINT('',#11820); +#11822=CARTESIAN_POINT('',(1.749166674614E1,-3.000000000001E0,-1.98E1)); +#11823=VERTEX_POINT('',#11822); +#11824=CARTESIAN_POINT('',(1.72E1,-3.042553186417E0,-1.98E1)); +#11825=VERTEX_POINT('',#11824); +#11826=CARTESIAN_POINT('',(1.703333325386E1,-3.127659559250E0,-1.98E1)); +#11827=VERTEX_POINT('',#11826); +#11828=CARTESIAN_POINT('',(1.686666662693E1,-3.297872304917E0,-1.98E1)); +#11829=VERTEX_POINT('',#11828); +#11830=CARTESIAN_POINT('',(1.6825E1,-3.468085050583E0,-1.98E1)); +#11831=VERTEX_POINT('',#11830); +#11832=CARTESIAN_POINT('',(1.703333325386E1,-3.468085050583E0,-1.98E1)); +#11833=VERTEX_POINT('',#11832); +#11834=CARTESIAN_POINT('',(1.711666674614E1,-3.340425491334E0,-1.98E1)); +#11835=VERTEX_POINT('',#11834); +#11836=CARTESIAN_POINT('',(1.724166674614E1,-3.255319118500E0,-1.98E1)); +#11837=VERTEX_POINT('',#11836); +#11838=CARTESIAN_POINT('',(1.745E1,-3.212765932084E0,-1.98E1)); +#11839=VERTEX_POINT('',#11838); +#11840=CARTESIAN_POINT('',(1.761666674614E1,-3.212765932084E0,-1.98E1)); +#11841=VERTEX_POINT('',#11840); +#11842=CARTESIAN_POINT('',(1.7825E1,-3.255319118500E0,-1.98E1)); +#11843=VERTEX_POINT('',#11842); +#11844=CARTESIAN_POINT('',(1.795E1,-3.340425491334E0,-1.98E1)); +#11845=VERTEX_POINT('',#11844); +#11846=CARTESIAN_POINT('',(1.803333325386E1,-3.468085050583E0,-1.98E1)); +#11847=VERTEX_POINT('',#11846); +#11848=CARTESIAN_POINT('',(1.803333325386E1,-3.553191542626E0,-1.98E1)); +#11849=VERTEX_POINT('',#11848); +#11850=CARTESIAN_POINT('',(1.795E1,-3.723404288292E0,-1.98E1)); +#11851=VERTEX_POINT('',#11850); +#11852=CARTESIAN_POINT('',(1.786666674614E1,-3.808510661126E0,-1.98E1)); +#11853=VERTEX_POINT('',#11852); +#11854=CARTESIAN_POINT('',(1.761666674614E1,-3.893617033959E0,-1.98E1)); +#11855=VERTEX_POINT('',#11854); +#11856=CARTESIAN_POINT('',(1.745E1,-3.893617033959E0,-1.98E1)); +#11857=VERTEX_POINT('',#11856); +#11858=CARTESIAN_POINT('',(1.745E1,-4.063829779625E0,-1.98E1)); +#11859=VERTEX_POINT('',#11858); +#11860=CARTESIAN_POINT('',(1.761666674614E1,-4.063829779625E0,-1.98E1)); +#11861=VERTEX_POINT('',#11860); +#11862=CARTESIAN_POINT('',(1.786666674614E1,-4.106382966042E0,-1.98E1)); +#11863=VERTEX_POINT('',#11862); +#11864=CARTESIAN_POINT('',(1.799166674614E1,-4.191489338875E0,-1.98E1)); +#11865=VERTEX_POINT('',#11864); +#11866=CARTESIAN_POINT('',(1.8075E1,-4.361702144147E0,-1.98E1)); +#11867=VERTEX_POINT('',#11866); +#11868=CARTESIAN_POINT('',(1.8075E1,-4.531914889813E0,-1.98E1)); +#11869=VERTEX_POINT('',#11868); +#11870=CARTESIAN_POINT('',(1.803333325386E1,-4.617021262646E0,-1.98E1)); +#11871=VERTEX_POINT('',#11870); +#11872=CARTESIAN_POINT('',(1.795E1,-4.702127665282E0,-1.98E1)); +#11873=VERTEX_POINT('',#11872); +#11874=CARTESIAN_POINT('',(1.786666674614E1,-4.744680851698E0,-1.98E1)); +#11875=VERTEX_POINT('',#11874); +#11876=CARTESIAN_POINT('',(1.765833325386E1,-4.787234038115E0,-1.98E1)); +#11877=VERTEX_POINT('',#11876); +#11878=CARTESIAN_POINT('',(1.740833325386E1,-4.787234038115E0,-1.98E1)); +#11879=VERTEX_POINT('',#11878); +#11880=CARTESIAN_POINT('',(1.72E1,-4.744680851698E0,-1.98E1)); +#11881=VERTEX_POINT('',#11880); +#11882=CARTESIAN_POINT('',(1.711666674614E1,-4.702127665282E0,-1.98E1)); +#11883=VERTEX_POINT('',#11882); +#11884=CARTESIAN_POINT('',(1.703333325386E1,-4.617021262646E0,-1.98E1)); +#11885=VERTEX_POINT('',#11884); +#11886=CARTESIAN_POINT('',(1.699166674614E1,-4.531914889813E0,-1.98E1)); +#11887=VERTEX_POINT('',#11886); +#11888=CARTESIAN_POINT('',(1.678333337307E1,-4.531914889813E0,-1.98E1)); +#11889=VERTEX_POINT('',#11888); +#11890=CARTESIAN_POINT('',(1.6825E1,-4.659574478865E0,-1.98E1)); +#11891=VERTEX_POINT('',#11890); +#11892=CARTESIAN_POINT('',(1.690833337307E1,-4.787234038115E0,-1.98E1)); +#11893=VERTEX_POINT('',#11892); +#11894=CARTESIAN_POINT('',(1.703333325386E1,-4.872340425850E0,-1.98E1)); +#11895=VERTEX_POINT('',#11894); +#11896=CARTESIAN_POINT('',(1.72E1,-4.957446809859E0,-1.98E1)); +#11897=VERTEX_POINT('',#11896); +#11898=CARTESIAN_POINT('',(1.736666674614E1,-5.000000000001E0,-1.98E1)); +#11899=VERTEX_POINT('',#11898); +#11900=CARTESIAN_POINT('',(1.77E1,-5.000000000001E0,-1.98E1)); +#11901=VERTEX_POINT('',#11900); +#11902=CARTESIAN_POINT('',(1.786666674614E1,-4.957446809859E0,-1.98E1)); +#11903=VERTEX_POINT('',#11902); +#11904=CARTESIAN_POINT('',(1.803333325386E1,-4.872340425850E0,-1.98E1)); +#11905=VERTEX_POINT('',#11904); +#11906=CARTESIAN_POINT('',(-1.5E1,3.000000000001E0,-2.E1)); +#11907=CARTESIAN_POINT('',(-1.5E1,5.000000000001E0,-2.E1)); +#11908=VERTEX_POINT('',#11906); +#11909=VERTEX_POINT('',#11907); +#11910=CARTESIAN_POINT('',(-1.525E1,5.000000000001E0,-2.E1)); +#11911=VERTEX_POINT('',#11910); +#11912=CARTESIAN_POINT('',(-1.55E1,4.702127695084E0,-2.E1)); +#11913=VERTEX_POINT('',#11912); +#11914=CARTESIAN_POINT('',(-1.55E1,4.361702084542E0,-2.E1)); +#11915=VERTEX_POINT('',#11914); +#11916=CARTESIAN_POINT('',(-1.525E1,4.659574508668E0,-2.E1)); +#11917=VERTEX_POINT('',#11916); +#11918=CARTESIAN_POINT('',(-1.525E1,3.000000000001E0,-2.E1)); +#11919=VERTEX_POINT('',#11918); +#11920=CARTESIAN_POINT('',(-1.775666666418E1,-5.319148898125E-1,-2.E1)); +#11921=CARTESIAN_POINT('',(-1.7715E1,-6.595744788647E-1,-2.E1)); +#11922=VERTEX_POINT('',#11920); +#11923=VERTEX_POINT('',#11921); +#11924=CARTESIAN_POINT('',(-1.759E1,-8.297872394323E-1,-2.E1)); +#11925=VERTEX_POINT('',#11924); +#11926=CARTESIAN_POINT('',(-1.738166665673E1,-9.574468098581E-1,-2.E1)); +#11927=VERTEX_POINT('',#11926); +#11928=CARTESIAN_POINT('',(-1.717333331347E1,-1.E0,-2.E1)); +#11929=VERTEX_POINT('',#11928); +#11930=CARTESIAN_POINT('',(-1.700666668653E1,-1.E0,-2.E1)); +#11931=VERTEX_POINT('',#11930); +#11932=CARTESIAN_POINT('',(-1.679833337307E1,-9.574468098581E-1,-2.E1)); +#11933=VERTEX_POINT('',#11932); +#11934=CARTESIAN_POINT('',(-1.659E1,-8.297872394323E-1,-2.E1)); +#11935=VERTEX_POINT('',#11934); +#11936=CARTESIAN_POINT('',(-1.6465E1,-6.595744788647E-1,-2.E1)); +#11937=VERTEX_POINT('',#11936); +#11938=CARTESIAN_POINT('',(-1.638166662693E1,-4.468085169792E-1,-2.E1)); +#11939=VERTEX_POINT('',#11938); +#11940=CARTESIAN_POINT('',(-1.634E1,-1.489361524582E-1,-2.E1)); +#11941=VERTEX_POINT('',#11940); +#11942=CARTESIAN_POINT('',(-1.634E1,1.489361524582E-1,-2.E1)); +#11943=VERTEX_POINT('',#11942); +#11944=CARTESIAN_POINT('',(-1.638166662693E1,4.468084573746E-1,-2.E1)); +#11945=VERTEX_POINT('',#11944); +#11946=CARTESIAN_POINT('',(-1.6465E1,6.595745086670E-1,-2.E1)); +#11947=VERTEX_POINT('',#11946); +#11948=CARTESIAN_POINT('',(-1.659E1,8.297872543335E-1,-2.E1)); +#11949=VERTEX_POINT('',#11948); +#11950=CARTESIAN_POINT('',(-1.679833337307E1,9.574468135834E-1,-2.E1)); +#11951=VERTEX_POINT('',#11950); +#11952=CARTESIAN_POINT('',(-1.700666668653E1,1.E0,-2.E1)); +#11953=VERTEX_POINT('',#11952); +#11954=CARTESIAN_POINT('',(-1.7215E1,1.E0,-2.E1)); +#11955=VERTEX_POINT('',#11954); +#11956=CARTESIAN_POINT('',(-1.742333334327E1,9.574468135834E-1,-2.E1)); +#11957=VERTEX_POINT('',#11956); +#11958=CARTESIAN_POINT('',(-1.763166667163E1,8.297872543335E-1,-2.E1)); +#11959=VERTEX_POINT('',#11958); +#11960=CARTESIAN_POINT('',(-1.775666666418E1,6.595745086670E-1,-2.E1)); +#11961=VERTEX_POINT('',#11960); +#11962=CARTESIAN_POINT('',(-1.784E1,4.468084573746E-1,-2.E1)); +#11963=VERTEX_POINT('',#11962); +#11964=CARTESIAN_POINT('',(-1.784E1,2.765957117081E-1,-2.E1)); +#11965=VERTEX_POINT('',#11964); +#11966=CARTESIAN_POINT('',(-1.775666666418E1,6.382977962494E-2,-2.E1)); +#11967=VERTEX_POINT('',#11966); +#11968=CARTESIAN_POINT('',(-1.763166667163E1,-1.063829660416E-1,-2.E1)); +#11969=VERTEX_POINT('',#11968); +#11970=CARTESIAN_POINT('',(-1.742333334327E1,-2.340425252914E-1,-2.E1)); +#11971=VERTEX_POINT('',#11970); +#11972=CARTESIAN_POINT('',(-1.7215E1,-2.765957713127E-1,-2.E1)); +#11973=VERTEX_POINT('',#11972); +#11974=CARTESIAN_POINT('',(-1.700666668653E1,-2.765957713127E-1,-2.E1)); +#11975=VERTEX_POINT('',#11974); +#11976=CARTESIAN_POINT('',(-1.679833337307E1,-2.340425252914E-1,-2.E1)); +#11977=VERTEX_POINT('',#11976); +#11978=CARTESIAN_POINT('',(-1.654833337307E1,-6.382977962494E-2,-2.E1)); +#11979=VERTEX_POINT('',#11978); +#11980=CARTESIAN_POINT('',(-1.654833337307E1,-1.489361524582E-1,-2.E1)); +#11981=VERTEX_POINT('',#11980); +#11982=CARTESIAN_POINT('',(-1.659E1,-4.042553305626E-1,-2.E1)); +#11983=VERTEX_POINT('',#11982); +#11984=CARTESIAN_POINT('',(-1.663166662693E1,-5.319148898125E-1,-2.E1)); +#11985=VERTEX_POINT('',#11984); +#11986=CARTESIAN_POINT('',(-1.6715E1,-6.595744788647E-1,-2.E1)); +#11987=VERTEX_POINT('',#11986); +#11988=CARTESIAN_POINT('',(-1.684E1,-7.446808516979E-1,-2.E1)); +#11989=VERTEX_POINT('',#11988); +#11990=CARTESIAN_POINT('',(-1.6965E1,-7.872340381145E-1,-2.E1)); +#11991=VERTEX_POINT('',#11990); +#11992=CARTESIAN_POINT('',(-1.7215E1,-7.872340381145E-1,-2.E1)); +#11993=VERTEX_POINT('',#11992); +#11994=CARTESIAN_POINT('',(-1.734E1,-7.446808516979E-1,-2.E1)); +#11995=VERTEX_POINT('',#11994); +#11996=CARTESIAN_POINT('',(-1.7465E1,-6.595744788647E-1,-2.E1)); +#11997=VERTEX_POINT('',#11996); +#11998=CARTESIAN_POINT('',(-1.754833334327E1,-5.319148898125E-1,-2.E1)); +#11999=VERTEX_POINT('',#11998); +#12000=CARTESIAN_POINT('',(-1.659E1,3.191488981247E-1,-2.E1)); +#12001=CARTESIAN_POINT('',(-1.663166662693E1,1.914893388748E-1,-2.E1)); +#12002=VERTEX_POINT('',#12000); +#12003=VERTEX_POINT('',#12001); +#12004=CARTESIAN_POINT('',(-1.6715E1,6.382977962494E-2,-2.E1)); +#12005=VERTEX_POINT('',#12004); +#12006=CARTESIAN_POINT('',(-1.684E1,-2.127659320831E-2,-2.E1)); +#12007=VERTEX_POINT('',#12006); +#12008=CARTESIAN_POINT('',(-1.6965E1,-6.382977962494E-2,-2.E1)); +#12009=VERTEX_POINT('',#12008); +#12010=CARTESIAN_POINT('',(-1.725666668653E1,-6.382977962494E-2,-2.E1)); +#12011=VERTEX_POINT('',#12010); +#12012=CARTESIAN_POINT('',(-1.738166665673E1,-2.127659320831E-2,-2.E1)); +#12013=VERTEX_POINT('',#12012); +#12014=CARTESIAN_POINT('',(-1.750666665673E1,6.382977962494E-2,-2.E1)); +#12015=VERTEX_POINT('',#12014); +#12016=CARTESIAN_POINT('',(-1.759E1,1.914893388748E-1,-2.E1)); +#12017=VERTEX_POINT('',#12016); +#12018=CARTESIAN_POINT('',(-1.763166667163E1,3.191488981247E-1,-2.E1)); +#12019=VERTEX_POINT('',#12018); +#12020=CARTESIAN_POINT('',(-1.763166667163E1,4.042552709579E-1,-2.E1)); +#12021=VERTEX_POINT('',#12020); +#12022=CARTESIAN_POINT('',(-1.759E1,5.319149494171E-1,-2.E1)); +#12023=VERTEX_POINT('',#12022); +#12024=CARTESIAN_POINT('',(-1.750666665673E1,6.595745086670E-1,-2.E1)); +#12025=VERTEX_POINT('',#12024); +#12026=CARTESIAN_POINT('',(-1.738166665673E1,7.446808815002E-1,-2.E1)); +#12027=VERTEX_POINT('',#12026); +#12028=CARTESIAN_POINT('',(-1.725666668653E1,7.872340679169E-1,-2.E1)); +#12029=VERTEX_POINT('',#12028); +#12030=CARTESIAN_POINT('',(-1.6965E1,7.872340679169E-1,-2.E1)); +#12031=VERTEX_POINT('',#12030); +#12032=CARTESIAN_POINT('',(-1.684E1,7.446808815002E-1,-2.E1)); +#12033=VERTEX_POINT('',#12032); +#12034=CARTESIAN_POINT('',(-1.6715E1,6.595745086670E-1,-2.E1)); +#12035=VERTEX_POINT('',#12034); +#12036=CARTESIAN_POINT('',(-1.663166662693E1,5.319149494171E-1,-2.E1)); +#12037=VERTEX_POINT('',#12036); +#12038=CARTESIAN_POINT('',(-1.659E1,4.042552709579E-1,-2.E1)); +#12039=VERTEX_POINT('',#12038); +#12040=CARTESIAN_POINT('',(-1.75E1,-5.000000000001E0,-2.E1)); +#12041=CARTESIAN_POINT('',(-1.75E1,-3.000000000001E0,-2.E1)); +#12042=VERTEX_POINT('',#12040); +#12043=VERTEX_POINT('',#12041); +#12044=CARTESIAN_POINT('',(-1.775E1,-3.000000000001E0,-2.E1)); +#12045=VERTEX_POINT('',#12044); +#12046=CARTESIAN_POINT('',(-1.8E1,-3.297872304917E0,-2.E1)); +#12047=VERTEX_POINT('',#12046); +#12048=CARTESIAN_POINT('',(-1.8E1,-3.638297915459E0,-2.E1)); +#12049=VERTEX_POINT('',#12048); +#12050=CARTESIAN_POINT('',(-1.775E1,-3.340425491334E0,-2.E1)); +#12051=VERTEX_POINT('',#12050); +#12052=CARTESIAN_POINT('',(-1.775E1,-5.000000000001E0,-2.E1)); +#12053=VERTEX_POINT('',#12052); +#12054=CARTESIAN_POINT('',(-1.575E1,-4.021276593209E0,-2.E1)); +#12055=CARTESIAN_POINT('',(-1.5875E1,-3.893617033959E0,-2.E1)); +#12056=VERTEX_POINT('',#12054); +#12057=VERTEX_POINT('',#12055); +#12058=CARTESIAN_POINT('',(-1.608333337307E1,-3.765957474709E0,-2.E1)); +#12059=VERTEX_POINT('',#12058); +#12060=CARTESIAN_POINT('',(-1.629166662693E1,-3.723404288292E0,-2.E1)); +#12061=VERTEX_POINT('',#12060); +#12062=CARTESIAN_POINT('',(-1.65E1,-3.723404288292E0,-2.E1)); +#12063=VERTEX_POINT('',#12062); +#12064=CARTESIAN_POINT('',(-1.670833337307E1,-3.765957474709E0,-2.E1)); +#12065=VERTEX_POINT('',#12064); +#12066=CARTESIAN_POINT('',(-1.695833337307E1,-3.936170220376E0,-2.E1)); +#12067=VERTEX_POINT('',#12066); +#12068=CARTESIAN_POINT('',(-1.695833337307E1,-3.851063847542E0,-2.E1)); +#12069=VERTEX_POINT('',#12068); +#12070=CARTESIAN_POINT('',(-1.691666662693E1,-3.595744729043E0,-2.E1)); +#12071=VERTEX_POINT('',#12070); +#12072=CARTESIAN_POINT('',(-1.6875E1,-3.468085050583E0,-2.E1)); +#12073=VERTEX_POINT('',#12072); +#12074=CARTESIAN_POINT('',(-1.679166662693E1,-3.340425491334E0,-2.E1)); +#12075=VERTEX_POINT('',#12074); +#12076=CARTESIAN_POINT('',(-1.666666662693E1,-3.255319118500E0,-2.E1)); +#12077=VERTEX_POINT('',#12076); +#12078=CARTESIAN_POINT('',(-1.654166662693E1,-3.212765932084E0,-2.E1)); +#12079=VERTEX_POINT('',#12078); +#12080=CARTESIAN_POINT('',(-1.629166662693E1,-3.212765932084E0,-2.E1)); +#12081=VERTEX_POINT('',#12080); +#12082=CARTESIAN_POINT('',(-1.616666662693E1,-3.255319118500E0,-2.E1)); +#12083=VERTEX_POINT('',#12082); +#12084=CARTESIAN_POINT('',(-1.604166662693E1,-3.340425491334E0,-2.E1)); +#12085=VERTEX_POINT('',#12084); +#12086=CARTESIAN_POINT('',(-1.595833325386E1,-3.468085050583E0,-2.E1)); +#12087=VERTEX_POINT('',#12086); +#12088=CARTESIAN_POINT('',(-1.575E1,-3.468085050583E0,-2.E1)); +#12089=VERTEX_POINT('',#12088); +#12090=CARTESIAN_POINT('',(-1.579166674614E1,-3.340425491334E0,-2.E1)); +#12091=VERTEX_POINT('',#12090); +#12092=CARTESIAN_POINT('',(-1.591666674614E1,-3.170212745667E0,-2.E1)); +#12093=VERTEX_POINT('',#12092); +#12094=CARTESIAN_POINT('',(-1.6125E1,-3.042553186417E0,-2.E1)); +#12095=VERTEX_POINT('',#12094); +#12096=CARTESIAN_POINT('',(-1.633333337307E1,-3.000000000001E0,-2.E1)); +#12097=VERTEX_POINT('',#12096); +#12098=CARTESIAN_POINT('',(-1.65E1,-3.000000000001E0,-2.E1)); +#12099=VERTEX_POINT('',#12098); +#12100=CARTESIAN_POINT('',(-1.670833337307E1,-3.042553186417E0,-2.E1)); +#12101=VERTEX_POINT('',#12100); +#12102=CARTESIAN_POINT('',(-1.691666662693E1,-3.170212745667E0,-2.E1)); +#12103=VERTEX_POINT('',#12102); +#12104=CARTESIAN_POINT('',(-1.704166668653E1,-3.340425491334E0,-2.E1)); +#12105=VERTEX_POINT('',#12104); +#12106=CARTESIAN_POINT('',(-1.7125E1,-3.553191542626E0,-2.E1)); +#12107=VERTEX_POINT('',#12106); +#12108=CARTESIAN_POINT('',(-1.716666668653E1,-3.851063847542E0,-2.E1)); +#12109=VERTEX_POINT('',#12108); +#12110=CARTESIAN_POINT('',(-1.716666668653E1,-4.148936152459E0,-2.E1)); +#12111=VERTEX_POINT('',#12110); +#12112=CARTESIAN_POINT('',(-1.7125E1,-4.446808516980E0,-2.E1)); +#12113=VERTEX_POINT('',#12112); +#12114=CARTESIAN_POINT('',(-1.704166668653E1,-4.659574478865E0,-2.E1)); +#12115=VERTEX_POINT('',#12114); +#12116=CARTESIAN_POINT('',(-1.691666662693E1,-4.829787239433E0,-2.E1)); +#12117=VERTEX_POINT('',#12116); +#12118=CARTESIAN_POINT('',(-1.670833337307E1,-4.957446809859E0,-2.E1)); +#12119=VERTEX_POINT('',#12118); +#12120=CARTESIAN_POINT('',(-1.65E1,-5.000000000001E0,-2.E1)); +#12121=VERTEX_POINT('',#12120); +#12122=CARTESIAN_POINT('',(-1.629166662693E1,-5.000000000001E0,-2.E1)); +#12123=VERTEX_POINT('',#12122); +#12124=CARTESIAN_POINT('',(-1.608333337307E1,-4.957446809859E0,-2.E1)); +#12125=VERTEX_POINT('',#12124); +#12126=CARTESIAN_POINT('',(-1.5875E1,-4.829787239433E0,-2.E1)); +#12127=VERTEX_POINT('',#12126); +#12128=CARTESIAN_POINT('',(-1.575E1,-4.659574478865E0,-2.E1)); +#12129=VERTEX_POINT('',#12128); +#12130=CARTESIAN_POINT('',(-1.566666674614E1,-4.446808516980E0,-2.E1)); +#12131=VERTEX_POINT('',#12130); +#12132=CARTESIAN_POINT('',(-1.566666674614E1,-4.234042525292E0,-2.E1)); +#12133=VERTEX_POINT('',#12132); +#12134=CARTESIAN_POINT('',(-1.591666674614E1,-4.148936152459E0,-2.E1)); +#12135=CARTESIAN_POINT('',(-1.5875E1,-4.276595771313E0,-2.E1)); +#12136=VERTEX_POINT('',#12134); +#12137=VERTEX_POINT('',#12135); +#12138=CARTESIAN_POINT('',(-1.5875E1,-4.404255330563E0,-2.E1)); +#12139=VERTEX_POINT('',#12138); +#12140=CARTESIAN_POINT('',(-1.591666674614E1,-4.531914889813E0,-2.E1)); +#12141=VERTEX_POINT('',#12140); +#12142=CARTESIAN_POINT('',(-1.6E1,-4.659574478865E0,-2.E1)); +#12143=VERTEX_POINT('',#12142); +#12144=CARTESIAN_POINT('',(-1.6125E1,-4.744680851698E0,-2.E1)); +#12145=VERTEX_POINT('',#12144); +#12146=CARTESIAN_POINT('',(-1.625E1,-4.787234038115E0,-2.E1)); +#12147=VERTEX_POINT('',#12146); +#12148=CARTESIAN_POINT('',(-1.654166662693E1,-4.787234038115E0,-2.E1)); +#12149=VERTEX_POINT('',#12148); +#12150=CARTESIAN_POINT('',(-1.666666662693E1,-4.744680851698E0,-2.E1)); +#12151=VERTEX_POINT('',#12150); +#12152=CARTESIAN_POINT('',(-1.679166662693E1,-4.659574478865E0,-2.E1)); +#12153=VERTEX_POINT('',#12152); +#12154=CARTESIAN_POINT('',(-1.6875E1,-4.531914889813E0,-2.E1)); +#12155=VERTEX_POINT('',#12154); +#12156=CARTESIAN_POINT('',(-1.691666662693E1,-4.404255330563E0,-2.E1)); +#12157=VERTEX_POINT('',#12156); +#12158=CARTESIAN_POINT('',(-1.691666662693E1,-4.276595771313E0,-2.E1)); +#12159=VERTEX_POINT('',#12158); +#12160=CARTESIAN_POINT('',(-1.6875E1,-4.148936152459E0,-2.E1)); +#12161=VERTEX_POINT('',#12160); +#12162=CARTESIAN_POINT('',(-1.679166662693E1,-4.063829779625E0,-2.E1)); +#12163=VERTEX_POINT('',#12162); +#12164=CARTESIAN_POINT('',(-1.666666662693E1,-3.978723406792E0,-2.E1)); +#12165=VERTEX_POINT('',#12164); +#12166=CARTESIAN_POINT('',(-1.654166662693E1,-3.936170220376E0,-2.E1)); +#12167=VERTEX_POINT('',#12166); +#12168=CARTESIAN_POINT('',(-1.625E1,-3.936170220376E0,-2.E1)); +#12169=VERTEX_POINT('',#12168); +#12170=CARTESIAN_POINT('',(-1.6125E1,-3.978723406792E0,-2.E1)); +#12171=VERTEX_POINT('',#12170); +#12172=CARTESIAN_POINT('',(-1.6E1,-4.063829779625E0,-2.E1)); +#12173=VERTEX_POINT('',#12172); +#12174=CARTESIAN_POINT('',(1.583333334327E1,4.021276593209E0,-2.E1)); +#12175=CARTESIAN_POINT('',(1.575E1,3.978723406792E0,-2.E1)); +#12176=VERTEX_POINT('',#12174); +#12177=VERTEX_POINT('',#12175); +#12178=CARTESIAN_POINT('',(1.558333333582E1,3.851063847542E0,-2.E1)); +#12179=VERTEX_POINT('',#12178); +#12180=CARTESIAN_POINT('',(1.55E1,3.638297855855E0,-2.E1)); +#12181=VERTEX_POINT('',#12180); +#12182=CARTESIAN_POINT('',(1.55E1,3.510638296605E0,-2.E1)); +#12183=VERTEX_POINT('',#12182); +#12184=CARTESIAN_POINT('',(1.558333333582E1,3.297872334719E0,-2.E1)); +#12185=VERTEX_POINT('',#12184); +#12186=CARTESIAN_POINT('',(1.570833332837E1,3.170212760568E0,-2.E1)); +#12187=VERTEX_POINT('',#12186); +#12188=CARTESIAN_POINT('',(1.591666665673E1,3.042553190142E0,-2.E1)); +#12189=VERTEX_POINT('',#12188); +#12190=CARTESIAN_POINT('',(1.6125E1,3.000000000001E0,-2.E1)); +#12191=VERTEX_POINT('',#12190); +#12192=CARTESIAN_POINT('',(1.6375E1,3.000000000001E0,-2.E1)); +#12193=VERTEX_POINT('',#12192); +#12194=CARTESIAN_POINT('',(1.658333337307E1,3.042553190142E0,-2.E1)); +#12195=VERTEX_POINT('',#12194); +#12196=CARTESIAN_POINT('',(1.679166662693E1,3.170212760568E0,-2.E1)); +#12197=VERTEX_POINT('',#12196); +#12198=CARTESIAN_POINT('',(1.691666662693E1,3.297872334719E0,-2.E1)); +#12199=VERTEX_POINT('',#12198); +#12200=CARTESIAN_POINT('',(1.7E1,3.510638296605E0,-2.E1)); +#12201=VERTEX_POINT('',#12200); +#12202=CARTESIAN_POINT('',(1.7E1,3.638297855855E0,-2.E1)); +#12203=VERTEX_POINT('',#12202); +#12204=CARTESIAN_POINT('',(1.691666662693E1,3.851063847542E0,-2.E1)); +#12205=VERTEX_POINT('',#12204); +#12206=CARTESIAN_POINT('',(1.675E1,3.978723406792E0,-2.E1)); +#12207=VERTEX_POINT('',#12206); +#12208=CARTESIAN_POINT('',(1.666666662693E1,4.021276593209E0,-2.E1)); +#12209=VERTEX_POINT('',#12208); +#12210=CARTESIAN_POINT('',(1.675E1,4.063829779625E0,-2.E1)); +#12211=VERTEX_POINT('',#12210); +#12212=CARTESIAN_POINT('',(1.6875E1,4.191489338875E0,-2.E1)); +#12213=VERTEX_POINT('',#12212); +#12214=CARTESIAN_POINT('',(1.695833337307E1,4.361702084542E0,-2.E1)); +#12215=VERTEX_POINT('',#12214); +#12216=CARTESIAN_POINT('',(1.695833337307E1,4.489361643792E0,-2.E1)); +#12217=VERTEX_POINT('',#12216); +#12218=CARTESIAN_POINT('',(1.6875E1,4.702127695084E0,-2.E1)); +#12219=VERTEX_POINT('',#12218); +#12220=CARTESIAN_POINT('',(1.675E1,4.829787254334E0,-2.E1)); +#12221=VERTEX_POINT('',#12220); +#12222=CARTESIAN_POINT('',(1.654166662693E1,4.957446813584E0,-2.E1)); +#12223=VERTEX_POINT('',#12222); +#12224=CARTESIAN_POINT('',(1.633333331347E1,5.000000000001E0,-2.E1)); +#12225=VERTEX_POINT('',#12224); +#12226=CARTESIAN_POINT('',(1.616666668653E1,5.000000000001E0,-2.E1)); +#12227=VERTEX_POINT('',#12226); +#12228=CARTESIAN_POINT('',(1.595833334327E1,4.957446813584E0,-2.E1)); +#12229=VERTEX_POINT('',#12228); +#12230=CARTESIAN_POINT('',(1.575E1,4.829787254334E0,-2.E1)); +#12231=VERTEX_POINT('',#12230); +#12232=CARTESIAN_POINT('',(1.5625E1,4.702127695084E0,-2.E1)); +#12233=VERTEX_POINT('',#12232); +#12234=CARTESIAN_POINT('',(1.554166666791E1,4.489361643792E0,-2.E1)); +#12235=VERTEX_POINT('',#12234); +#12236=CARTESIAN_POINT('',(1.554166666791E1,4.361702084542E0,-2.E1)); +#12237=VERTEX_POINT('',#12236); +#12238=CARTESIAN_POINT('',(1.5625E1,4.191489338875E0,-2.E1)); +#12239=VERTEX_POINT('',#12238); +#12240=CARTESIAN_POINT('',(1.575E1,4.063829779625E0,-2.E1)); +#12241=VERTEX_POINT('',#12240); +#12242=CARTESIAN_POINT('',(1.616666668653E1,3.212765961886E0,-2.E1)); +#12243=CARTESIAN_POINT('',(1.6E1,3.255319148303E0,-2.E1)); +#12244=VERTEX_POINT('',#12242); +#12245=VERTEX_POINT('',#12243); +#12246=CARTESIAN_POINT('',(1.583333334327E1,3.340425521136E0,-2.E1)); +#12247=VERTEX_POINT('',#12246); +#12248=CARTESIAN_POINT('',(1.570833332837E1,3.510638296605E0,-2.E1)); +#12249=VERTEX_POINT('',#12248); +#12250=CARTESIAN_POINT('',(1.570833332837E1,3.638297855855E0,-2.E1)); +#12251=VERTEX_POINT('',#12250); +#12252=CARTESIAN_POINT('',(1.583333334327E1,3.808510661126E0,-2.E1)); +#12253=VERTEX_POINT('',#12252); +#12254=CARTESIAN_POINT('',(1.6E1,3.893617033959E0,-2.E1)); +#12255=VERTEX_POINT('',#12254); +#12256=CARTESIAN_POINT('',(1.616666668653E1,3.936170220376E0,-2.E1)); +#12257=VERTEX_POINT('',#12256); +#12258=CARTESIAN_POINT('',(1.633333331347E1,3.936170220376E0,-2.E1)); +#12259=VERTEX_POINT('',#12258); +#12260=CARTESIAN_POINT('',(1.65E1,3.893617033959E0,-2.E1)); +#12261=VERTEX_POINT('',#12260); +#12262=CARTESIAN_POINT('',(1.666666662693E1,3.808510661126E0,-2.E1)); +#12263=VERTEX_POINT('',#12262); +#12264=CARTESIAN_POINT('',(1.679166662693E1,3.638297855855E0,-2.E1)); +#12265=VERTEX_POINT('',#12264); +#12266=CARTESIAN_POINT('',(1.679166662693E1,3.510638296605E0,-2.E1)); +#12267=VERTEX_POINT('',#12266); +#12268=CARTESIAN_POINT('',(1.666666662693E1,3.340425521136E0,-2.E1)); +#12269=VERTEX_POINT('',#12268); +#12270=CARTESIAN_POINT('',(1.65E1,3.255319148303E0,-2.E1)); +#12271=VERTEX_POINT('',#12270); +#12272=CARTESIAN_POINT('',(1.633333331347E1,3.212765961886E0,-2.E1)); +#12273=VERTEX_POINT('',#12272); +#12274=CARTESIAN_POINT('',(1.633333331347E1,4.787234067917E0,-2.E1)); +#12275=CARTESIAN_POINT('',(1.65E1,4.744680881501E0,-2.E1)); +#12276=VERTEX_POINT('',#12274); +#12277=VERTEX_POINT('',#12275); +#12278=CARTESIAN_POINT('',(1.666666662693E1,4.617021322251E0,-2.E1)); +#12279=VERTEX_POINT('',#12278); +#12280=CARTESIAN_POINT('',(1.675E1,4.489361643792E0,-2.E1)); +#12281=VERTEX_POINT('',#12280); +#12282=CARTESIAN_POINT('',(1.675E1,4.404255270958E0,-2.E1)); +#12283=VERTEX_POINT('',#12282); +#12284=CARTESIAN_POINT('',(1.666666662693E1,4.276595711709E0,-2.E1)); +#12285=VERTEX_POINT('',#12284); +#12286=CARTESIAN_POINT('',(1.65E1,4.148936152459E0,-2.E1)); +#12287=VERTEX_POINT('',#12286); +#12288=CARTESIAN_POINT('',(1.633333331347E1,4.106382966042E0,-2.E1)); +#12289=VERTEX_POINT('',#12288); +#12290=CARTESIAN_POINT('',(1.616666668653E1,4.106382966042E0,-2.E1)); +#12291=VERTEX_POINT('',#12290); +#12292=CARTESIAN_POINT('',(1.6E1,4.148936152459E0,-2.E1)); +#12293=VERTEX_POINT('',#12292); +#12294=CARTESIAN_POINT('',(1.583333334327E1,4.276595711709E0,-2.E1)); +#12295=VERTEX_POINT('',#12294); +#12296=CARTESIAN_POINT('',(1.575E1,4.404255270958E0,-2.E1)); +#12297=VERTEX_POINT('',#12296); +#12298=CARTESIAN_POINT('',(1.575E1,4.489361643792E0,-2.E1)); +#12299=VERTEX_POINT('',#12298); +#12300=CARTESIAN_POINT('',(1.583333334327E1,4.617021322251E0,-2.E1)); +#12301=VERTEX_POINT('',#12300); +#12302=CARTESIAN_POINT('',(1.6E1,4.744680881501E0,-2.E1)); +#12303=VERTEX_POINT('',#12302); +#12304=CARTESIAN_POINT('',(1.616666668653E1,4.787234067917E0,-2.E1)); +#12305=VERTEX_POINT('',#12304); +#12306=CARTESIAN_POINT('',(1.545E1,-1.E0,-2.E1)); +#12307=CARTESIAN_POINT('',(1.545E1,1.E0,-2.E1)); +#12308=VERTEX_POINT('',#12306); +#12309=VERTEX_POINT('',#12307); +#12310=CARTESIAN_POINT('',(1.52E1,1.E0,-2.E1)); +#12311=VERTEX_POINT('',#12310); +#12312=CARTESIAN_POINT('',(1.495E1,7.021276950836E-1,-2.E1)); +#12313=VERTEX_POINT('',#12312); +#12314=CARTESIAN_POINT('',(1.495E1,3.617020845413E-1,-2.E1)); +#12315=VERTEX_POINT('',#12314); +#12316=CARTESIAN_POINT('',(1.52E1,6.595745086670E-1,-2.E1)); +#12317=VERTEX_POINT('',#12316); +#12318=CARTESIAN_POINT('',(1.52E1,-1.E0,-2.E1)); +#12319=VERTEX_POINT('',#12318); +#12320=CARTESIAN_POINT('',(1.6075E1,-1.063829660416E-1,-2.E1)); +#12321=CARTESIAN_POINT('',(1.624166662693E1,2.127659320831E-2,-2.E1)); +#12322=VERTEX_POINT('',#12320); +#12323=VERTEX_POINT('',#12321); +#12324=CARTESIAN_POINT('',(1.640833337307E1,6.382977962494E-2,-2.E1)); +#12325=VERTEX_POINT('',#12324); +#12326=CARTESIAN_POINT('',(1.665833337307E1,6.382977962494E-2,-2.E1)); +#12327=VERTEX_POINT('',#12326); +#12328=CARTESIAN_POINT('',(1.6825E1,2.127659320831E-2,-2.E1)); +#12329=VERTEX_POINT('',#12328); +#12330=CARTESIAN_POINT('',(1.695E1,-6.382977962494E-2,-2.E1)); +#12331=VERTEX_POINT('',#12330); +#12332=CARTESIAN_POINT('',(1.703333325386E1,-1.914893388748E-1,-2.E1)); +#12333=VERTEX_POINT('',#12332); +#12334=CARTESIAN_POINT('',(1.7075E1,-3.191489577293E-1,-2.E1)); +#12335=VERTEX_POINT('',#12334); +#12336=CARTESIAN_POINT('',(1.7075E1,-4.042553305626E-1,-2.E1)); +#12337=VERTEX_POINT('',#12336); +#12338=CARTESIAN_POINT('',(1.703333325386E1,-5.319148898125E-1,-2.E1)); +#12339=VERTEX_POINT('',#12338); +#12340=CARTESIAN_POINT('',(1.695E1,-6.595744788647E-1,-2.E1)); +#12341=VERTEX_POINT('',#12340); +#12342=CARTESIAN_POINT('',(1.6825E1,-7.446808516979E-1,-2.E1)); +#12343=VERTEX_POINT('',#12342); +#12344=CARTESIAN_POINT('',(1.665833337307E1,-7.872340381145E-1,-2.E1)); +#12345=VERTEX_POINT('',#12344); +#12346=CARTESIAN_POINT('',(1.640833337307E1,-7.872340381145E-1,-2.E1)); +#12347=VERTEX_POINT('',#12346); +#12348=CARTESIAN_POINT('',(1.624166662693E1,-7.446808516979E-1,-2.E1)); +#12349=VERTEX_POINT('',#12348); +#12350=CARTESIAN_POINT('',(1.611666662693E1,-6.595744788647E-1,-2.E1)); +#12351=VERTEX_POINT('',#12350); +#12352=CARTESIAN_POINT('',(1.603333337307E1,-4.893617033958E-1,-2.E1)); +#12353=VERTEX_POINT('',#12352); +#12354=CARTESIAN_POINT('',(1.578333331347E1,-4.893617033958E-1,-2.E1)); +#12355=VERTEX_POINT('',#12354); +#12356=CARTESIAN_POINT('',(1.586666668653E1,-6.595744788647E-1,-2.E1)); +#12357=VERTEX_POINT('',#12356); +#12358=CARTESIAN_POINT('',(1.599166662693E1,-8.297872394323E-1,-2.E1)); +#12359=VERTEX_POINT('',#12358); +#12360=CARTESIAN_POINT('',(1.62E1,-9.574468098581E-1,-2.E1)); +#12361=VERTEX_POINT('',#12360); +#12362=CARTESIAN_POINT('',(1.640833337307E1,-1.E0,-2.E1)); +#12363=VERTEX_POINT('',#12362); +#12364=CARTESIAN_POINT('',(1.665833337307E1,-1.E0,-2.E1)); +#12365=VERTEX_POINT('',#12364); +#12366=CARTESIAN_POINT('',(1.686666662693E1,-9.574468098581E-1,-2.E1)); +#12367=VERTEX_POINT('',#12366); +#12368=CARTESIAN_POINT('',(1.7075E1,-8.297872394323E-1,-2.E1)); +#12369=VERTEX_POINT('',#12368); +#12370=CARTESIAN_POINT('',(1.72E1,-6.595744788647E-1,-2.E1)); +#12371=VERTEX_POINT('',#12370); +#12372=CARTESIAN_POINT('',(1.728333325386E1,-4.468085169792E-1,-2.E1)); +#12373=VERTEX_POINT('',#12372); +#12374=CARTESIAN_POINT('',(1.728333325386E1,-2.765957713127E-1,-2.E1)); +#12375=VERTEX_POINT('',#12374); +#12376=CARTESIAN_POINT('',(1.72E1,-6.382977962494E-2,-2.E1)); +#12377=VERTEX_POINT('',#12376); +#12378=CARTESIAN_POINT('',(1.7075E1,1.063829660416E-1,-2.E1)); +#12379=VERTEX_POINT('',#12378); +#12380=CARTESIAN_POINT('',(1.686666662693E1,2.340425252914E-1,-2.E1)); +#12381=VERTEX_POINT('',#12380); +#12382=CARTESIAN_POINT('',(1.665833337307E1,2.765957117081E-1,-2.E1)); +#12383=VERTEX_POINT('',#12382); +#12384=CARTESIAN_POINT('',(1.640833337307E1,2.765957117081E-1,-2.E1)); +#12385=VERTEX_POINT('',#12384); +#12386=CARTESIAN_POINT('',(1.62E1,2.340425252914E-1,-2.E1)); +#12387=VERTEX_POINT('',#12386); +#12388=CARTESIAN_POINT('',(1.599166662693E1,1.063829660416E-1,-2.E1)); +#12389=VERTEX_POINT('',#12388); +#12390=CARTESIAN_POINT('',(1.599166662693E1,7.872340679169E-1,-2.E1)); +#12391=VERTEX_POINT('',#12390); +#12392=CARTESIAN_POINT('',(1.72E1,7.872340679169E-1,-2.E1)); +#12393=VERTEX_POINT('',#12392); +#12394=CARTESIAN_POINT('',(1.72E1,1.E0,-2.E1)); +#12395=VERTEX_POINT('',#12394); +#12396=CARTESIAN_POINT('',(1.578333331347E1,1.E0,-2.E1)); +#12397=VERTEX_POINT('',#12396); +#12398=CARTESIAN_POINT('',(1.578333331347E1,-1.063829660416E-1,-2.E1)); +#12399=VERTEX_POINT('',#12398); +#12400=CARTESIAN_POINT('',(1.495E1,-4.787234038115E0,-2.E1)); +#12401=CARTESIAN_POINT('',(1.495E1,-5.000000000001E0,-2.E1)); +#12402=VERTEX_POINT('',#12400); +#12403=VERTEX_POINT('',#12401); +#12404=CARTESIAN_POINT('',(1.645E1,-5.000000000001E0,-2.E1)); +#12405=VERTEX_POINT('',#12404); +#12406=CARTESIAN_POINT('',(1.645E1,-4.787234038115E0,-2.E1)); +#12407=VERTEX_POINT('',#12406); +#12408=CARTESIAN_POINT('',(1.528333334327E1,-4.787234038115E0,-2.E1)); +#12409=VERTEX_POINT('',#12408); +#12410=CARTESIAN_POINT('',(1.6325E1,-3.851063847542E0,-2.E1)); +#12411=VERTEX_POINT('',#12410); +#12412=CARTESIAN_POINT('',(1.640833337307E1,-3.723404288292E0,-2.E1)); +#12413=VERTEX_POINT('',#12412); +#12414=CARTESIAN_POINT('',(1.645E1,-3.553191542626E0,-2.E1)); +#12415=VERTEX_POINT('',#12414); +#12416=CARTESIAN_POINT('',(1.645E1,-3.468085050583E0,-2.E1)); +#12417=VERTEX_POINT('',#12416); +#12418=CARTESIAN_POINT('',(1.640833337307E1,-3.297872304917E0,-2.E1)); +#12419=VERTEX_POINT('',#12418); +#12420=CARTESIAN_POINT('',(1.624166662693E1,-3.127659559250E0,-2.E1)); +#12421=VERTEX_POINT('',#12420); +#12422=CARTESIAN_POINT('',(1.6075E1,-3.042553186417E0,-2.E1)); +#12423=VERTEX_POINT('',#12422); +#12424=CARTESIAN_POINT('',(1.5825E1,-3.000000000001E0,-2.E1)); +#12425=VERTEX_POINT('',#12424); +#12426=CARTESIAN_POINT('',(1.565833331347E1,-3.000000000001E0,-2.E1)); +#12427=VERTEX_POINT('',#12426); +#12428=CARTESIAN_POINT('',(1.536666665673E1,-3.042553186417E0,-2.E1)); +#12429=VERTEX_POINT('',#12428); +#12430=CARTESIAN_POINT('',(1.52E1,-3.127659559250E0,-2.E1)); +#12431=VERTEX_POINT('',#12430); +#12432=CARTESIAN_POINT('',(1.503333333582E1,-3.297872304917E0,-2.E1)); +#12433=VERTEX_POINT('',#12432); +#12434=CARTESIAN_POINT('',(1.499166666791E1,-3.468085050583E0,-2.E1)); +#12435=VERTEX_POINT('',#12434); +#12436=CARTESIAN_POINT('',(1.52E1,-3.468085050583E0,-2.E1)); +#12437=VERTEX_POINT('',#12436); +#12438=CARTESIAN_POINT('',(1.528333334327E1,-3.340425491334E0,-2.E1)); +#12439=VERTEX_POINT('',#12438); +#12440=CARTESIAN_POINT('',(1.540833334327E1,-3.255319118500E0,-2.E1)); +#12441=VERTEX_POINT('',#12440); +#12442=CARTESIAN_POINT('',(1.561666668653E1,-3.212765932084E0,-2.E1)); +#12443=VERTEX_POINT('',#12442); +#12444=CARTESIAN_POINT('',(1.578333331347E1,-3.212765932084E0,-2.E1)); +#12445=VERTEX_POINT('',#12444); +#12446=CARTESIAN_POINT('',(1.599166662693E1,-3.255319118500E0,-2.E1)); +#12447=VERTEX_POINT('',#12446); +#12448=CARTESIAN_POINT('',(1.611666662693E1,-3.340425491334E0,-2.E1)); +#12449=VERTEX_POINT('',#12448); +#12450=CARTESIAN_POINT('',(1.62E1,-3.468085050583E0,-2.E1)); +#12451=VERTEX_POINT('',#12450); +#12452=CARTESIAN_POINT('',(1.62E1,-3.553191542626E0,-2.E1)); +#12453=VERTEX_POINT('',#12452); +#12454=CARTESIAN_POINT('',(1.611666662693E1,-3.723404288292E0,-2.E1)); +#12455=VERTEX_POINT('',#12454); +#12456=CARTESIAN_POINT('',(1.828333325386E1,-4.361702144147E0,-2.E1)); +#12457=CARTESIAN_POINT('',(1.824166674614E1,-4.191489338875E0,-2.E1)); +#12458=VERTEX_POINT('',#12456); +#12459=VERTEX_POINT('',#12457); +#12460=CARTESIAN_POINT('',(1.815833325386E1,-4.063829779625E0,-2.E1)); +#12461=VERTEX_POINT('',#12460); +#12462=CARTESIAN_POINT('',(1.795E1,-3.978723406792E0,-2.E1)); +#12463=VERTEX_POINT('',#12462); +#12464=CARTESIAN_POINT('',(1.811666674614E1,-3.851063847542E0,-2.E1)); +#12465=VERTEX_POINT('',#12464); +#12466=CARTESIAN_POINT('',(1.82E1,-3.723404288292E0,-2.E1)); +#12467=VERTEX_POINT('',#12466); +#12468=CARTESIAN_POINT('',(1.824166674614E1,-3.553191542626E0,-2.E1)); +#12469=VERTEX_POINT('',#12468); +#12470=CARTESIAN_POINT('',(1.824166674614E1,-3.468085050583E0,-2.E1)); +#12471=VERTEX_POINT('',#12470); +#12472=CARTESIAN_POINT('',(1.82E1,-3.297872304917E0,-2.E1)); +#12473=VERTEX_POINT('',#12472); +#12474=CARTESIAN_POINT('',(1.803333325386E1,-3.127659559250E0,-2.E1)); +#12475=VERTEX_POINT('',#12474); +#12476=CARTESIAN_POINT('',(1.786666674614E1,-3.042553186417E0,-2.E1)); +#12477=VERTEX_POINT('',#12476); +#12478=CARTESIAN_POINT('',(1.7575E1,-3.000000000001E0,-2.E1)); +#12479=VERTEX_POINT('',#12478); +#12480=CARTESIAN_POINT('',(1.749166674614E1,-3.000000000001E0,-2.E1)); +#12481=VERTEX_POINT('',#12480); +#12482=CARTESIAN_POINT('',(1.72E1,-3.042553186417E0,-2.E1)); +#12483=VERTEX_POINT('',#12482); +#12484=CARTESIAN_POINT('',(1.703333325386E1,-3.127659559250E0,-2.E1)); +#12485=VERTEX_POINT('',#12484); +#12486=CARTESIAN_POINT('',(1.686666662693E1,-3.297872304917E0,-2.E1)); +#12487=VERTEX_POINT('',#12486); +#12488=CARTESIAN_POINT('',(1.6825E1,-3.468085050583E0,-2.E1)); +#12489=VERTEX_POINT('',#12488); +#12490=CARTESIAN_POINT('',(1.703333325386E1,-3.468085050583E0,-2.E1)); +#12491=VERTEX_POINT('',#12490); +#12492=CARTESIAN_POINT('',(1.711666674614E1,-3.340425491334E0,-2.E1)); +#12493=VERTEX_POINT('',#12492); +#12494=CARTESIAN_POINT('',(1.724166674614E1,-3.255319118500E0,-2.E1)); +#12495=VERTEX_POINT('',#12494); +#12496=CARTESIAN_POINT('',(1.745E1,-3.212765932084E0,-2.E1)); +#12497=VERTEX_POINT('',#12496); +#12498=CARTESIAN_POINT('',(1.761666674614E1,-3.212765932084E0,-2.E1)); +#12499=VERTEX_POINT('',#12498); +#12500=CARTESIAN_POINT('',(1.7825E1,-3.255319118500E0,-2.E1)); +#12501=VERTEX_POINT('',#12500); +#12502=CARTESIAN_POINT('',(1.795E1,-3.340425491334E0,-2.E1)); +#12503=VERTEX_POINT('',#12502); +#12504=CARTESIAN_POINT('',(1.803333325386E1,-3.468085050583E0,-2.E1)); +#12505=VERTEX_POINT('',#12504); +#12506=CARTESIAN_POINT('',(1.803333325386E1,-3.553191542626E0,-2.E1)); +#12507=VERTEX_POINT('',#12506); +#12508=CARTESIAN_POINT('',(1.795E1,-3.723404288292E0,-2.E1)); +#12509=VERTEX_POINT('',#12508); +#12510=CARTESIAN_POINT('',(1.786666674614E1,-3.808510661126E0,-2.E1)); +#12511=VERTEX_POINT('',#12510); +#12512=CARTESIAN_POINT('',(1.761666674614E1,-3.893617033959E0,-2.E1)); +#12513=VERTEX_POINT('',#12512); +#12514=CARTESIAN_POINT('',(1.745E1,-3.893617033959E0,-2.E1)); +#12515=VERTEX_POINT('',#12514); +#12516=CARTESIAN_POINT('',(1.745E1,-4.063829779625E0,-2.E1)); +#12517=VERTEX_POINT('',#12516); +#12518=CARTESIAN_POINT('',(1.761666674614E1,-4.063829779625E0,-2.E1)); +#12519=VERTEX_POINT('',#12518); +#12520=CARTESIAN_POINT('',(1.786666674614E1,-4.106382966042E0,-2.E1)); +#12521=VERTEX_POINT('',#12520); +#12522=CARTESIAN_POINT('',(1.799166674614E1,-4.191489338875E0,-2.E1)); +#12523=VERTEX_POINT('',#12522); +#12524=CARTESIAN_POINT('',(1.8075E1,-4.361702144147E0,-2.E1)); +#12525=VERTEX_POINT('',#12524); +#12526=CARTESIAN_POINT('',(1.8075E1,-4.531914889813E0,-2.E1)); +#12527=VERTEX_POINT('',#12526); +#12528=CARTESIAN_POINT('',(1.803333325386E1,-4.617021262646E0,-2.E1)); +#12529=VERTEX_POINT('',#12528); +#12530=CARTESIAN_POINT('',(1.795E1,-4.702127665282E0,-2.E1)); +#12531=VERTEX_POINT('',#12530); +#12532=CARTESIAN_POINT('',(1.786666674614E1,-4.744680851698E0,-2.E1)); +#12533=VERTEX_POINT('',#12532); +#12534=CARTESIAN_POINT('',(1.765833325386E1,-4.787234038115E0,-2.E1)); +#12535=VERTEX_POINT('',#12534); +#12536=CARTESIAN_POINT('',(1.740833325386E1,-4.787234038115E0,-2.E1)); +#12537=VERTEX_POINT('',#12536); +#12538=CARTESIAN_POINT('',(1.72E1,-4.744680851698E0,-2.E1)); +#12539=VERTEX_POINT('',#12538); +#12540=CARTESIAN_POINT('',(1.711666674614E1,-4.702127665282E0,-2.E1)); +#12541=VERTEX_POINT('',#12540); +#12542=CARTESIAN_POINT('',(1.703333325386E1,-4.617021262646E0,-2.E1)); +#12543=VERTEX_POINT('',#12542); +#12544=CARTESIAN_POINT('',(1.699166674614E1,-4.531914889813E0,-2.E1)); +#12545=VERTEX_POINT('',#12544); +#12546=CARTESIAN_POINT('',(1.678333337307E1,-4.531914889813E0,-2.E1)); +#12547=VERTEX_POINT('',#12546); +#12548=CARTESIAN_POINT('',(1.6825E1,-4.659574478865E0,-2.E1)); +#12549=VERTEX_POINT('',#12548); +#12550=CARTESIAN_POINT('',(1.690833337307E1,-4.787234038115E0,-2.E1)); +#12551=VERTEX_POINT('',#12550); +#12552=CARTESIAN_POINT('',(1.703333325386E1,-4.872340425850E0,-2.E1)); +#12553=VERTEX_POINT('',#12552); +#12554=CARTESIAN_POINT('',(1.72E1,-4.957446809859E0,-2.E1)); +#12555=VERTEX_POINT('',#12554); +#12556=CARTESIAN_POINT('',(1.736666674614E1,-5.000000000001E0,-2.E1)); +#12557=VERTEX_POINT('',#12556); +#12558=CARTESIAN_POINT('',(1.77E1,-5.000000000001E0,-2.E1)); +#12559=VERTEX_POINT('',#12558); +#12560=CARTESIAN_POINT('',(1.786666674614E1,-4.957446809859E0,-2.E1)); +#12561=VERTEX_POINT('',#12560); +#12562=CARTESIAN_POINT('',(1.803333325386E1,-4.872340425850E0,-2.E1)); +#12563=VERTEX_POINT('',#12562); +#12564=CARTESIAN_POINT('',(1.815833325386E1,-4.787234038115E0,-2.E1)); +#12565=VERTEX_POINT('',#12564); +#12566=CARTESIAN_POINT('',(1.824166674614E1,-4.659574478865E0,-2.E1)); +#12567=VERTEX_POINT('',#12566); +#12568=CARTESIAN_POINT('',(1.828333325386E1,-4.531914889813E0,-2.E1)); +#12569=VERTEX_POINT('',#12568); +#12570=CARTESIAN_POINT('',(1.549999999997E1,1.18E1,-5.E-1)); +#12571=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.E-1)); +#12572=VERTEX_POINT('',#12570); +#12573=VERTEX_POINT('',#12571); +#12574=CARTESIAN_POINT('',(1.55E1,1.13E1,0.E0)); +#12575=CARTESIAN_POINT('',(4.543744331763E0,1.13E1,0.E0)); +#12576=VERTEX_POINT('',#12574); +#12577=VERTEX_POINT('',#12575); +#12578=CARTESIAN_POINT('',(2.12E1,5.6E0,0.E0)); +#12579=VERTEX_POINT('',#12578); +#12580=CARTESIAN_POINT('',(2.17E1,5.6E0,-5.E-1)); +#12581=VERTEX_POINT('',#12580); +#12582=CARTESIAN_POINT('',(2.12E1,-5.6E0,0.E0)); +#12583=VERTEX_POINT('',#12582); +#12584=CARTESIAN_POINT('',(2.17E1,-5.599999999971E0,-5.E-1)); +#12585=VERTEX_POINT('',#12584); +#12586=CARTESIAN_POINT('',(1.55E1,-1.13E1,0.E0)); +#12587=VERTEX_POINT('',#12586); +#12588=CARTESIAN_POINT('',(1.55E1,-1.18E1,-5.E-1)); +#12589=VERTEX_POINT('',#12588); +#12590=CARTESIAN_POINT('',(-1.55E1,-1.13E1,0.E0)); +#12591=VERTEX_POINT('',#12590); +#12592=CARTESIAN_POINT('',(-1.549999999997E1,-1.18E1,-5.E-1)); +#12593=VERTEX_POINT('',#12592); +#12594=CARTESIAN_POINT('',(-2.12E1,-5.6E0,0.E0)); +#12595=VERTEX_POINT('',#12594); +#12596=CARTESIAN_POINT('',(-2.17E1,-5.6E0,-5.E-1)); +#12597=VERTEX_POINT('',#12596); +#12598=CARTESIAN_POINT('',(-2.12E1,5.6E0,0.E0)); +#12599=VERTEX_POINT('',#12598); +#12600=CARTESIAN_POINT('',(-2.17E1,5.599999999971E0,-5.E-1)); +#12601=VERTEX_POINT('',#12600); +#12602=CARTESIAN_POINT('',(-1.55E1,1.13E1,0.E0)); +#12603=VERTEX_POINT('',#12602); +#12604=CARTESIAN_POINT('',(-1.55E1,1.18E1,-5.E-1)); +#12605=VERTEX_POINT('',#12604); +#12606=CARTESIAN_POINT('',(-4.543744331763E0,1.13E1,0.E0)); +#12607=VERTEX_POINT('',#12606); +#12608=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#12609=VERTEX_POINT('',#12608); +#12610=CARTESIAN_POINT('',(-4.5E0,1.18E1,0.E0)); +#12611=VERTEX_POINT('',#12610); +#12612=CARTESIAN_POINT('',(4.5E0,1.18E1,0.E0)); +#12613=VERTEX_POINT('',#12612); +#12614=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#12615=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.E-1)); +#12616=VERTEX_POINT('',#12614); +#12617=VERTEX_POINT('',#12615); +#12618=CARTESIAN_POINT('',(2.656255668237E0,1.13E1,0.E0)); +#12619=CARTESIAN_POINT('',(-2.656255668237E0,1.13E1,0.E0)); +#12620=VERTEX_POINT('',#12618); +#12621=VERTEX_POINT('',#12619); +#12622=CARTESIAN_POINT('',(2.7E0,1.18E1,0.E0)); +#12623=VERTEX_POINT('',#12622); +#12624=CARTESIAN_POINT('',(-2.7E0,1.18E1,0.E0)); +#12625=VERTEX_POINT('',#12624); +#12626=CARTESIAN_POINT('',(3.04E1,9.699999999999E0,-2.15E1)); +#12627=CARTESIAN_POINT('',(3.04E1,-6.200000000001E0,-2.15E1)); +#12628=VERTEX_POINT('',#12626); +#12629=VERTEX_POINT('',#12627); +#12630=CARTESIAN_POINT('',(2.99E1,9.699999999999E0,-2.2E1)); +#12631=CARTESIAN_POINT('',(2.99E1,-6.200000000001E0,-2.2E1)); +#12632=VERTEX_POINT('',#12630); +#12633=VERTEX_POINT('',#12631); +#12634=CARTESIAN_POINT('',(-3.04E1,-1.104999999994E1,-2.15E1)); +#12635=CARTESIAN_POINT('',(-3.04E1,-8.400000000001E0,-2.15E1)); +#12636=VERTEX_POINT('',#12634); +#12637=VERTEX_POINT('',#12635); +#12638=CARTESIAN_POINT('',(-2.99E1,-1.105E1,-2.2E1)); +#12639=CARTESIAN_POINT('',(-2.99E1,-8.400000000001E0,-2.2E1)); +#12640=VERTEX_POINT('',#12638); +#12641=VERTEX_POINT('',#12639); +#12642=CARTESIAN_POINT('',(-2.54E1,-1.555E1,-2.2E1)); +#12643=VERTEX_POINT('',#12642); +#12644=CARTESIAN_POINT('',(-2.54E1,-1.605E1,-2.15E1)); +#12645=VERTEX_POINT('',#12644); +#12646=CARTESIAN_POINT('',(2.54E1,-1.555E1,-2.2E1)); +#12647=VERTEX_POINT('',#12646); +#12648=CARTESIAN_POINT('',(2.539999999994E1,-1.605E1,-2.15E1)); +#12649=VERTEX_POINT('',#12648); +#12650=CARTESIAN_POINT('',(2.99E1,-1.105E1,-2.2E1)); +#12651=VERTEX_POINT('',#12650); +#12652=CARTESIAN_POINT('',(3.04E1,-1.105E1,-2.15E1)); +#12653=VERTEX_POINT('',#12652); +#12654=CARTESIAN_POINT('',(2.99E1,-8.400000000001E0,-2.2E1)); +#12655=VERTEX_POINT('',#12654); +#12656=CARTESIAN_POINT('',(3.04E1,-8.400000000001E0,-2.15E1)); +#12657=VERTEX_POINT('',#12656); +#12658=CARTESIAN_POINT('',(-3.04E1,-6.200000000001E0,-2.15E1)); +#12659=CARTESIAN_POINT('',(-3.04E1,9.699999999999E0,-2.15E1)); +#12660=VERTEX_POINT('',#12658); +#12661=VERTEX_POINT('',#12659); +#12662=CARTESIAN_POINT('',(-2.99E1,-6.200000000001E0,-2.2E1)); +#12663=CARTESIAN_POINT('',(-2.99E1,9.699999999999E0,-2.2E1)); +#12664=VERTEX_POINT('',#12662); +#12665=VERTEX_POINT('',#12663); +#12666=CARTESIAN_POINT('',(2.54E1,1.605E1,-2.15E1)); +#12667=CARTESIAN_POINT('',(3.032722031170E1,1.19E1,-2.15E1)); +#12668=VERTEX_POINT('',#12666); +#12669=VERTEX_POINT('',#12667); +#12670=CARTESIAN_POINT('',(2.54E1,1.555E1,-2.2E1)); +#12671=CARTESIAN_POINT('',(2.981899309798E1,1.19E1,-2.2E1)); +#12672=VERTEX_POINT('',#12670); +#12673=VERTEX_POINT('',#12671); +#12674=CARTESIAN_POINT('',(-2.54E1,1.555E1,-2.2E1)); +#12675=VERTEX_POINT('',#12674); +#12676=CARTESIAN_POINT('',(-2.539999999968E1,1.605E1,-2.15E1)); +#12677=VERTEX_POINT('',#12676); +#12678=CARTESIAN_POINT('',(-2.981899309798E1,1.19E1,-2.2E1)); +#12679=VERTEX_POINT('',#12678); +#12680=CARTESIAN_POINT('',(-3.032722031170E1,1.19E1,-2.15E1)); +#12681=VERTEX_POINT('',#12680); +#12682=CARTESIAN_POINT('',(-2.27E1,-7.300000000001E0,-3.425E1)); +#12683=CARTESIAN_POINT('',(-2.77E1,-7.300000000001E0,-3.425E1)); +#12684=VERTEX_POINT('',#12682); +#12685=VERTEX_POINT('',#12683); +#12686=CARTESIAN_POINT('',(-2.22E1,-7.300000000001E0,-3.375E1)); +#12687=CARTESIAN_POINT('',(-2.82E1,-7.300000000001E0,-3.375E1)); +#12688=VERTEX_POINT('',#12686); +#12689=VERTEX_POINT('',#12687); +#12690=CARTESIAN_POINT('',(-2.12E1,1.08E1,-3.425E1)); +#12691=CARTESIAN_POINT('',(-2.62E1,1.08E1,-3.425E1)); +#12692=VERTEX_POINT('',#12690); +#12693=VERTEX_POINT('',#12691); +#12694=CARTESIAN_POINT('',(-2.07E1,1.08E1,-3.375E1)); +#12695=CARTESIAN_POINT('',(-2.67E1,1.08E1,-3.375E1)); +#12696=VERTEX_POINT('',#12694); +#12697=VERTEX_POINT('',#12695); +#12698=CARTESIAN_POINT('',(2.77E1,-7.300000000001E0,-3.425E1)); +#12699=CARTESIAN_POINT('',(2.27E1,-7.300000000001E0,-3.425E1)); +#12700=VERTEX_POINT('',#12698); +#12701=VERTEX_POINT('',#12699); +#12702=CARTESIAN_POINT('',(2.82E1,-7.300000000001E0,-3.375E1)); +#12703=CARTESIAN_POINT('',(2.22E1,-7.300000000001E0,-3.375E1)); +#12704=VERTEX_POINT('',#12702); +#12705=VERTEX_POINT('',#12703); +#12706=CARTESIAN_POINT('',(2.62E1,1.08E1,-3.425E1)); +#12707=CARTESIAN_POINT('',(2.12E1,1.08E1,-3.425E1)); +#12708=VERTEX_POINT('',#12706); +#12709=VERTEX_POINT('',#12707); +#12710=CARTESIAN_POINT('',(2.67E1,1.08E1,-3.375E1)); +#12711=CARTESIAN_POINT('',(2.07E1,1.08E1,-3.375E1)); +#12712=VERTEX_POINT('',#12710); +#12713=VERTEX_POINT('',#12711); +#12714=CARTESIAN_POINT('',(-2.860440890611E1,-8.400000000001E0,-2.2E1)); +#12715=VERTEX_POINT('',#12714); +#12716=CARTESIAN_POINT('',(-2.860440890611E1,-6.200000000001E0,-2.2E1)); +#12717=VERTEX_POINT('',#12716); +#12718=CARTESIAN_POINT('',(-2.710440890611E1,9.699999999999E0,-2.2E1)); +#12719=VERTEX_POINT('',#12718); +#12720=CARTESIAN_POINT('',(-2.710440890611E1,1.19E1,-2.2E1)); +#12721=VERTEX_POINT('',#12720); +#12722=CARTESIAN_POINT('',(2.860440890611E1,-6.200000000001E0,-2.85E1)); +#12723=CARTESIAN_POINT('',(3.04E1,-6.200000000001E0,-2.85E1)); +#12724=VERTEX_POINT('',#12722); +#12725=VERTEX_POINT('',#12723); +#12726=CARTESIAN_POINT('',(3.04E1,-8.400000000001E0,-2.85E1)); +#12727=VERTEX_POINT('',#12726); +#12728=CARTESIAN_POINT('',(2.860440890611E1,-8.400000000001E0,-2.85E1)); +#12729=VERTEX_POINT('',#12728); +#12730=CARTESIAN_POINT('',(2.710440890611E1,1.19E1,-2.85E1)); +#12731=CARTESIAN_POINT('',(3.032722031170E1,1.19E1,-2.85E1)); +#12732=VERTEX_POINT('',#12730); +#12733=VERTEX_POINT('',#12731); +#12734=CARTESIAN_POINT('',(3.04E1,1.105E1,-2.85E1)); +#12735=VERTEX_POINT('',#12734); +#12736=CARTESIAN_POINT('',(3.04E1,9.699999999999E0,-2.85E1)); +#12737=VERTEX_POINT('',#12736); +#12738=CARTESIAN_POINT('',(2.710440890611E1,9.699999999999E0,-2.85E1)); +#12739=VERTEX_POINT('',#12738); +#12740=CARTESIAN_POINT('',(2.860440890611E1,-8.400000000001E0,-2.2E1)); +#12741=VERTEX_POINT('',#12740); +#12742=CARTESIAN_POINT('',(2.860440890611E1,-6.200000000001E0,-2.2E1)); +#12743=VERTEX_POINT('',#12742); +#12744=CARTESIAN_POINT('',(2.710440890611E1,9.699999999999E0,-2.2E1)); +#12745=VERTEX_POINT('',#12744); +#12746=CARTESIAN_POINT('',(2.710440890611E1,1.19E1,-2.2E1)); +#12747=VERTEX_POINT('',#12746); +#12748=CARTESIAN_POINT('',(-2.82E1,1.105E1,-1.85E1)); +#12749=CARTESIAN_POINT('',(-2.54E1,1.385E1,-1.85E1)); +#12750=VERTEX_POINT('',#12748); +#12751=VERTEX_POINT('',#12749); +#12752=CARTESIAN_POINT('',(-2.77E1,1.105E1,-1.9E1)); +#12753=CARTESIAN_POINT('',(-2.54E1,1.335E1,-1.9E1)); +#12754=VERTEX_POINT('',#12752); +#12755=VERTEX_POINT('',#12753); +#12756=CARTESIAN_POINT('',(2.539999999971E1,1.384999999964E1,-1.85E1)); +#12757=VERTEX_POINT('',#12756); +#12758=CARTESIAN_POINT('',(2.539999999645E1,1.335E1,-1.9E1)); +#12759=VERTEX_POINT('',#12758); +#12760=CARTESIAN_POINT('',(2.82E1,1.105E1,-1.85E1)); +#12761=VERTEX_POINT('',#12760); +#12762=CARTESIAN_POINT('',(2.77E1,1.105E1,-1.9E1)); +#12763=VERTEX_POINT('',#12762); +#12764=CARTESIAN_POINT('',(2.819999999964E1,-1.104999999971E1,-1.85E1)); +#12765=VERTEX_POINT('',#12764); +#12766=CARTESIAN_POINT('',(2.77E1,-1.104999999645E1,-1.9E1)); +#12767=VERTEX_POINT('',#12766); +#12768=CARTESIAN_POINT('',(2.54E1,-1.385E1,-1.85E1)); +#12769=VERTEX_POINT('',#12768); +#12770=CARTESIAN_POINT('',(2.54E1,-1.335E1,-1.9E1)); +#12771=VERTEX_POINT('',#12770); +#12772=CARTESIAN_POINT('',(-2.539999999971E1,-1.384999999964E1,-1.85E1)); +#12773=VERTEX_POINT('',#12772); +#12774=CARTESIAN_POINT('',(-2.539999999645E1,-1.335E1,-1.9E1)); +#12775=VERTEX_POINT('',#12774); +#12776=CARTESIAN_POINT('',(-2.82E1,-1.105E1,-1.85E1)); +#12777=VERTEX_POINT('',#12776); +#12778=CARTESIAN_POINT('',(-2.77E1,-1.105E1,-1.9E1)); +#12779=VERTEX_POINT('',#12778); +#12780=CARTESIAN_POINT('',(-2.98E1,-1.105E1,-1.85E1)); +#12781=CARTESIAN_POINT('',(-2.54E1,-1.545E1,-1.85E1)); +#12782=VERTEX_POINT('',#12780); +#12783=VERTEX_POINT('',#12781); +#12784=CARTESIAN_POINT('',(-3.03E1,-1.105E1,-1.9E1)); +#12785=CARTESIAN_POINT('',(-2.54E1,-1.595E1,-1.9E1)); +#12786=VERTEX_POINT('',#12784); +#12787=VERTEX_POINT('',#12785); +#12788=CARTESIAN_POINT('',(2.54E1,-1.545E1,-1.85E1)); +#12789=VERTEX_POINT('',#12788); +#12790=CARTESIAN_POINT('',(2.539999999988E1,-1.595E1,-1.9E1)); +#12791=VERTEX_POINT('',#12790); +#12792=CARTESIAN_POINT('',(2.98E1,-1.105E1,-1.85E1)); +#12793=VERTEX_POINT('',#12792); +#12794=CARTESIAN_POINT('',(3.03E1,-1.105E1,-1.9E1)); +#12795=VERTEX_POINT('',#12794); +#12796=CARTESIAN_POINT('',(2.98E1,1.105E1,-1.85E1)); +#12797=VERTEX_POINT('',#12796); +#12798=CARTESIAN_POINT('',(3.03E1,1.104999999988E1,-1.9E1)); +#12799=VERTEX_POINT('',#12798); +#12800=CARTESIAN_POINT('',(2.54E1,1.545E1,-1.85E1)); +#12801=VERTEX_POINT('',#12800); +#12802=CARTESIAN_POINT('',(2.54E1,1.595E1,-1.9E1)); +#12803=VERTEX_POINT('',#12802); +#12804=CARTESIAN_POINT('',(-2.54E1,1.545E1,-1.85E1)); +#12805=VERTEX_POINT('',#12804); +#12806=CARTESIAN_POINT('',(-2.539999999988E1,1.595E1,-1.9E1)); +#12807=VERTEX_POINT('',#12806); +#12808=CARTESIAN_POINT('',(-2.98E1,1.105E1,-1.85E1)); +#12809=VERTEX_POINT('',#12808); +#12810=CARTESIAN_POINT('',(-3.03E1,1.105E1,-1.9E1)); +#12811=VERTEX_POINT('',#12810); +#12812=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#12813=DIRECTION('',(0.E0,0.E0,1.E0)); +#12814=DIRECTION('',(1.E0,0.E0,0.E0)); +#12815=AXIS2_PLACEMENT_3D('',#12812,#12813,#12814); +#12816=PLANE('',#12815); +#12818=ORIENTED_EDGE('',*,*,#12817,.F.); +#12820=ORIENTED_EDGE('',*,*,#12819,.F.); +#12822=ORIENTED_EDGE('',*,*,#12821,.F.); +#12824=ORIENTED_EDGE('',*,*,#12823,.F.); +#12826=ORIENTED_EDGE('',*,*,#12825,.F.); +#12828=ORIENTED_EDGE('',*,*,#12827,.F.); +#12830=ORIENTED_EDGE('',*,*,#12829,.F.); +#12832=ORIENTED_EDGE('',*,*,#12831,.F.); +#12834=ORIENTED_EDGE('',*,*,#12833,.F.); +#12836=ORIENTED_EDGE('',*,*,#12835,.T.); +#12838=ORIENTED_EDGE('',*,*,#12837,.T.); +#12840=ORIENTED_EDGE('',*,*,#12839,.F.); +#12842=ORIENTED_EDGE('',*,*,#12841,.F.); +#12844=ORIENTED_EDGE('',*,*,#12843,.T.); +#12846=ORIENTED_EDGE('',*,*,#12845,.T.); +#12848=ORIENTED_EDGE('',*,*,#12847,.F.); +#12849=EDGE_LOOP('',(#12818,#12820,#12822,#12824,#12826,#12828,#12830,#12832, +#12834,#12836,#12838,#12840,#12842,#12844,#12846,#12848)); +#12850=FACE_OUTER_BOUND('',#12849,.F.); +#12852=ORIENTED_EDGE('',*,*,#12851,.F.); +#12854=ORIENTED_EDGE('',*,*,#12853,.F.); +#12856=ORIENTED_EDGE('',*,*,#12855,.F.); +#12858=ORIENTED_EDGE('',*,*,#12857,.F.); +#12860=ORIENTED_EDGE('',*,*,#12859,.F.); +#12862=ORIENTED_EDGE('',*,*,#12861,.F.); +#12864=ORIENTED_EDGE('',*,*,#12863,.F.); +#12866=ORIENTED_EDGE('',*,*,#12865,.F.); +#12867=EDGE_LOOP('',(#12852,#12854,#12856,#12858,#12860,#12862,#12864,#12866)); +#12868=FACE_BOUND('',#12867,.F.); +#12870=CARTESIAN_POINT('',(-1.55E1,1.03E1,-2.E0)); +#12871=DIRECTION('',(0.E0,9.659258262891E-1,-2.588190451025E-1)); +#12872=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); +#12873=AXIS2_PLACEMENT_3D('',#12870,#12871,#12872); +#12874=PLANE('',#12873); +#12875=ORIENTED_EDGE('',*,*,#12851,.T.); +#12877=ORIENTED_EDGE('',*,*,#12876,.F.); +#12879=ORIENTED_EDGE('',*,*,#12878,.T.); +#12881=ORIENTED_EDGE('',*,*,#12880,.T.); +#12882=EDGE_LOOP('',(#12875,#12877,#12879,#12881)); +#12883=FACE_OUTER_BOUND('',#12882,.F.); +#12885=CARTESIAN_POINT('',(1.55E1,5.6E0,-1.E0)); +#12886=DIRECTION('',(0.E0,0.E0,1.E0)); +#12887=DIRECTION('',(0.E0,1.E0,0.E0)); +#12888=AXIS2_PLACEMENT_3D('',#12885,#12886,#12887); +#12889=CONICAL_SURFACE('',#12888,4.967949192431E0,1.5E1); +#12890=ORIENTED_EDGE('',*,*,#12865,.T.); +#12892=ORIENTED_EDGE('',*,*,#12891,.F.); +#12894=ORIENTED_EDGE('',*,*,#12893,.T.); +#12895=ORIENTED_EDGE('',*,*,#12876,.T.); +#12896=EDGE_LOOP('',(#12890,#12892,#12894,#12895)); +#12897=FACE_OUTER_BOUND('',#12896,.F.); +#12899=CARTESIAN_POINT('',(2.02E1,5.6E0,-2.E0)); +#12900=DIRECTION('',(9.659258262891E-1,0.E0,-2.588190451025E-1)); +#12901=DIRECTION('',(2.588190451025E-1,0.E0,9.659258262891E-1)); +#12902=AXIS2_PLACEMENT_3D('',#12899,#12900,#12901); +#12903=PLANE('',#12902); +#12904=ORIENTED_EDGE('',*,*,#12863,.T.); +#12906=ORIENTED_EDGE('',*,*,#12905,.F.); +#12908=ORIENTED_EDGE('',*,*,#12907,.T.); +#12909=ORIENTED_EDGE('',*,*,#12891,.T.); +#12910=EDGE_LOOP('',(#12904,#12906,#12908,#12909)); +#12911=FACE_OUTER_BOUND('',#12910,.F.); +#12913=CARTESIAN_POINT('',(1.55E1,-5.6E0,-1.E0)); +#12914=DIRECTION('',(0.E0,0.E0,1.E0)); +#12915=DIRECTION('',(1.E0,0.E0,0.E0)); +#12916=AXIS2_PLACEMENT_3D('',#12913,#12914,#12915); +#12917=CONICAL_SURFACE('',#12916,4.967949192431E0,1.5E1); +#12918=ORIENTED_EDGE('',*,*,#12861,.T.); +#12920=ORIENTED_EDGE('',*,*,#12919,.F.); +#12922=ORIENTED_EDGE('',*,*,#12921,.T.); +#12923=ORIENTED_EDGE('',*,*,#12905,.T.); +#12924=EDGE_LOOP('',(#12918,#12920,#12922,#12923)); +#12925=FACE_OUTER_BOUND('',#12924,.F.); +#12927=CARTESIAN_POINT('',(1.55E1,-1.03E1,-2.E0)); +#12928=DIRECTION('',(0.E0,-9.659258262891E-1,-2.588190451025E-1)); +#12929=DIRECTION('',(0.E0,-2.588190451025E-1,9.659258262891E-1)); +#12930=AXIS2_PLACEMENT_3D('',#12927,#12928,#12929); +#12931=PLANE('',#12930); +#12932=ORIENTED_EDGE('',*,*,#12859,.T.); +#12934=ORIENTED_EDGE('',*,*,#12933,.F.); +#12936=ORIENTED_EDGE('',*,*,#12935,.T.); +#12937=ORIENTED_EDGE('',*,*,#12919,.T.); +#12938=EDGE_LOOP('',(#12932,#12934,#12936,#12937)); +#12939=FACE_OUTER_BOUND('',#12938,.F.); +#12941=CARTESIAN_POINT('',(-1.55E1,-5.6E0,-1.E0)); +#12942=DIRECTION('',(0.E0,0.E0,1.E0)); +#12943=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12944=AXIS2_PLACEMENT_3D('',#12941,#12942,#12943); +#12945=CONICAL_SURFACE('',#12944,4.967949192431E0,1.5E1); +#12946=ORIENTED_EDGE('',*,*,#12857,.T.); +#12948=ORIENTED_EDGE('',*,*,#12947,.F.); +#12950=ORIENTED_EDGE('',*,*,#12949,.T.); +#12951=ORIENTED_EDGE('',*,*,#12933,.T.); +#12952=EDGE_LOOP('',(#12946,#12948,#12950,#12951)); +#12953=FACE_OUTER_BOUND('',#12952,.F.); +#12955=CARTESIAN_POINT('',(-2.02E1,-5.6E0,-2.E0)); +#12956=DIRECTION('',(-9.659258262891E-1,0.E0,-2.588190451025E-1)); +#12957=DIRECTION('',(-2.588190451025E-1,0.E0,9.659258262891E-1)); +#12958=AXIS2_PLACEMENT_3D('',#12955,#12956,#12957); +#12959=PLANE('',#12958); +#12960=ORIENTED_EDGE('',*,*,#12855,.T.); +#12962=ORIENTED_EDGE('',*,*,#12961,.F.); +#12964=ORIENTED_EDGE('',*,*,#12963,.T.); +#12965=ORIENTED_EDGE('',*,*,#12947,.T.); +#12966=EDGE_LOOP('',(#12960,#12962,#12964,#12965)); +#12967=FACE_OUTER_BOUND('',#12966,.F.); +#12969=CARTESIAN_POINT('',(-1.55E1,5.6E0,-1.E0)); +#12970=DIRECTION('',(0.E0,0.E0,1.E0)); +#12971=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12972=AXIS2_PLACEMENT_3D('',#12969,#12970,#12971); +#12973=CONICAL_SURFACE('',#12972,4.967949192431E0,1.5E1); +#12974=ORIENTED_EDGE('',*,*,#12853,.T.); +#12975=ORIENTED_EDGE('',*,*,#12880,.F.); +#12977=ORIENTED_EDGE('',*,*,#12976,.T.); +#12978=ORIENTED_EDGE('',*,*,#12961,.T.); +#12979=EDGE_LOOP('',(#12974,#12975,#12977,#12978)); +#12980=FACE_OUTER_BOUND('',#12979,.F.); +#12982=CARTESIAN_POINT('',(-1.55E1,5.6E0,0.E0)); +#12983=DIRECTION('',(0.E0,0.E0,1.E0)); +#12984=DIRECTION('',(1.E0,0.E0,0.E0)); +#12985=AXIS2_PLACEMENT_3D('',#12982,#12983,#12984); +#12986=CYLINDRICAL_SURFACE('',#12985,4.7E0); +#12987=ORIENTED_EDGE('',*,*,#12976,.F.); +#12989=ORIENTED_EDGE('',*,*,#12988,.T.); +#12991=ORIENTED_EDGE('',*,*,#12990,.T.); +#12993=ORIENTED_EDGE('',*,*,#12992,.F.); +#12994=EDGE_LOOP('',(#12987,#12989,#12991,#12993)); +#12995=FACE_OUTER_BOUND('',#12994,.F.); +#12997=CARTESIAN_POINT('',(1.55E1,1.03E1,0.E0)); +#12998=DIRECTION('',(0.E0,1.E0,0.E0)); +#12999=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13000=AXIS2_PLACEMENT_3D('',#12997,#12998,#12999); +#13001=PLANE('',#13000); +#13003=ORIENTED_EDGE('',*,*,#13002,.F.); +#13005=ORIENTED_EDGE('',*,*,#13004,.T.); +#13007=ORIENTED_EDGE('',*,*,#13006,.T.); +#13009=ORIENTED_EDGE('',*,*,#13008,.F.); +#13011=ORIENTED_EDGE('',*,*,#13010,.F.); +#13013=ORIENTED_EDGE('',*,*,#13012,.T.); +#13015=ORIENTED_EDGE('',*,*,#13014,.T.); +#13017=ORIENTED_EDGE('',*,*,#13016,.F.); +#13019=ORIENTED_EDGE('',*,*,#13018,.F.); +#13021=ORIENTED_EDGE('',*,*,#13020,.T.); +#13023=ORIENTED_EDGE('',*,*,#13022,.T.); +#13025=ORIENTED_EDGE('',*,*,#13024,.F.); +#13027=ORIENTED_EDGE('',*,*,#13026,.F.); +#13029=ORIENTED_EDGE('',*,*,#13028,.T.); +#13031=ORIENTED_EDGE('',*,*,#13030,.T.); +#13033=ORIENTED_EDGE('',*,*,#13032,.F.); +#13035=ORIENTED_EDGE('',*,*,#13034,.F.); +#13037=ORIENTED_EDGE('',*,*,#13036,.T.); +#13038=ORIENTED_EDGE('',*,*,#12988,.F.); +#13039=ORIENTED_EDGE('',*,*,#12878,.F.); +#13041=ORIENTED_EDGE('',*,*,#13040,.T.); +#13043=ORIENTED_EDGE('',*,*,#13042,.T.); +#13045=ORIENTED_EDGE('',*,*,#13044,.T.); +#13047=ORIENTED_EDGE('',*,*,#13046,.F.); +#13049=ORIENTED_EDGE('',*,*,#13048,.F.); +#13051=ORIENTED_EDGE('',*,*,#13050,.T.); +#13053=ORIENTED_EDGE('',*,*,#13052,.T.); +#13055=ORIENTED_EDGE('',*,*,#13054,.F.); +#13057=ORIENTED_EDGE('',*,*,#13056,.F.); +#13059=ORIENTED_EDGE('',*,*,#13058,.T.); +#13061=ORIENTED_EDGE('',*,*,#13060,.T.); +#13063=ORIENTED_EDGE('',*,*,#13062,.F.); +#13065=ORIENTED_EDGE('',*,*,#13064,.F.); +#13067=ORIENTED_EDGE('',*,*,#13066,.T.); +#13069=ORIENTED_EDGE('',*,*,#13068,.T.); +#13071=ORIENTED_EDGE('',*,*,#13070,.F.); +#13072=EDGE_LOOP('',(#13003,#13005,#13007,#13009,#13011,#13013,#13015,#13017, +#13019,#13021,#13023,#13025,#13027,#13029,#13031,#13033,#13035,#13037,#13038, +#13039,#13041,#13043,#13045,#13047,#13049,#13051,#13053,#13055,#13057,#13059, +#13061,#13063,#13065,#13067,#13069,#13071)); +#13073=FACE_OUTER_BOUND('',#13072,.F.); +#13075=CARTESIAN_POINT('',(1.25E0,1.03E1,-2.E1)); +#13076=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13077=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13078=AXIS2_PLACEMENT_3D('',#13075,#13076,#13077); +#13079=PLANE('',#13078); +#13081=ORIENTED_EDGE('',*,*,#13080,.F.); +#13082=ORIENTED_EDGE('',*,*,#13002,.T.); +#13084=ORIENTED_EDGE('',*,*,#13083,.T.); +#13086=ORIENTED_EDGE('',*,*,#13085,.T.); +#13087=EDGE_LOOP('',(#13081,#13082,#13084,#13086)); +#13088=FACE_OUTER_BOUND('',#13087,.F.); +#13090=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#13091=DIRECTION('',(0.E0,0.E0,1.E0)); +#13092=DIRECTION('',(1.E0,0.E0,0.E0)); +#13093=AXIS2_PLACEMENT_3D('',#13090,#13091,#13092); +#13094=PLANE('',#13093); +#13096=ORIENTED_EDGE('',*,*,#13095,.T.); +#13098=ORIENTED_EDGE('',*,*,#13097,.T.); +#13100=ORIENTED_EDGE('',*,*,#13099,.T.); +#13102=ORIENTED_EDGE('',*,*,#13101,.T.); +#13104=ORIENTED_EDGE('',*,*,#13103,.T.); +#13106=ORIENTED_EDGE('',*,*,#13105,.T.); +#13108=ORIENTED_EDGE('',*,*,#13107,.T.); +#13110=ORIENTED_EDGE('',*,*,#13109,.T.); +#13112=ORIENTED_EDGE('',*,*,#13111,.T.); +#13114=ORIENTED_EDGE('',*,*,#13113,.T.); +#13116=ORIENTED_EDGE('',*,*,#13115,.T.); +#13118=ORIENTED_EDGE('',*,*,#13117,.T.); +#13120=ORIENTED_EDGE('',*,*,#13119,.T.); +#13122=ORIENTED_EDGE('',*,*,#13121,.T.); +#13124=ORIENTED_EDGE('',*,*,#13123,.T.); +#13126=ORIENTED_EDGE('',*,*,#13125,.T.); +#13128=ORIENTED_EDGE('',*,*,#13127,.T.); +#13130=ORIENTED_EDGE('',*,*,#13129,.T.); +#13132=ORIENTED_EDGE('',*,*,#13131,.T.); +#13134=ORIENTED_EDGE('',*,*,#13133,.T.); +#13135=EDGE_LOOP('',(#13096,#13098,#13100,#13102,#13104,#13106,#13108,#13110, +#13112,#13114,#13116,#13118,#13120,#13122,#13124,#13126,#13128,#13130,#13132, +#13134)); +#13136=FACE_OUTER_BOUND('',#13135,.F.); +#13138=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#13139=DIRECTION('',(0.E0,0.E0,1.E0)); +#13140=DIRECTION('',(1.E0,0.E0,0.E0)); +#13141=AXIS2_PLACEMENT_3D('',#13138,#13139,#13140); +#13142=PLANE('',#13141); +#13144=ORIENTED_EDGE('',*,*,#13143,.T.); +#13146=ORIENTED_EDGE('',*,*,#13145,.T.); +#13148=ORIENTED_EDGE('',*,*,#13147,.T.); +#13150=ORIENTED_EDGE('',*,*,#13149,.T.); +#13152=ORIENTED_EDGE('',*,*,#13151,.T.); +#13154=ORIENTED_EDGE('',*,*,#13153,.T.); +#13156=ORIENTED_EDGE('',*,*,#13155,.T.); +#13158=ORIENTED_EDGE('',*,*,#13157,.T.); +#13160=ORIENTED_EDGE('',*,*,#13159,.T.); +#13162=ORIENTED_EDGE('',*,*,#13161,.T.); +#13164=ORIENTED_EDGE('',*,*,#13163,.T.); +#13166=ORIENTED_EDGE('',*,*,#13165,.T.); +#13168=ORIENTED_EDGE('',*,*,#13167,.T.); +#13170=ORIENTED_EDGE('',*,*,#13169,.T.); +#13172=ORIENTED_EDGE('',*,*,#13171,.T.); +#13174=ORIENTED_EDGE('',*,*,#13173,.T.); +#13176=ORIENTED_EDGE('',*,*,#13175,.T.); +#13178=ORIENTED_EDGE('',*,*,#13177,.T.); +#13180=ORIENTED_EDGE('',*,*,#13179,.T.); +#13182=ORIENTED_EDGE('',*,*,#13181,.T.); +#13183=EDGE_LOOP('',(#13144,#13146,#13148,#13150,#13152,#13154,#13156,#13158, +#13160,#13162,#13164,#13166,#13168,#13170,#13172,#13174,#13176,#13178,#13180, +#13182)); +#13184=FACE_OUTER_BOUND('',#13183,.F.); +#13186=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#13187=DIRECTION('',(0.E0,0.E0,1.E0)); +#13188=DIRECTION('',(1.E0,0.E0,0.E0)); +#13189=AXIS2_PLACEMENT_3D('',#13186,#13187,#13188); +#13190=PLANE('',#13189); +#13192=ORIENTED_EDGE('',*,*,#13191,.T.); +#13194=ORIENTED_EDGE('',*,*,#13193,.T.); +#13196=ORIENTED_EDGE('',*,*,#13195,.T.); +#13198=ORIENTED_EDGE('',*,*,#13197,.T.); +#13200=ORIENTED_EDGE('',*,*,#13199,.T.); +#13202=ORIENTED_EDGE('',*,*,#13201,.T.); +#13204=ORIENTED_EDGE('',*,*,#13203,.T.); +#13206=ORIENTED_EDGE('',*,*,#13205,.T.); +#13208=ORIENTED_EDGE('',*,*,#13207,.T.); +#13210=ORIENTED_EDGE('',*,*,#13209,.T.); +#13212=ORIENTED_EDGE('',*,*,#13211,.T.); +#13214=ORIENTED_EDGE('',*,*,#13213,.T.); +#13216=ORIENTED_EDGE('',*,*,#13215,.T.); +#13218=ORIENTED_EDGE('',*,*,#13217,.T.); +#13220=ORIENTED_EDGE('',*,*,#13219,.T.); +#13222=ORIENTED_EDGE('',*,*,#13221,.T.); +#13223=EDGE_LOOP('',(#13192,#13194,#13196,#13198,#13200,#13202,#13204,#13206, +#13208,#13210,#13212,#13214,#13216,#13218,#13220,#13222)); +#13224=FACE_OUTER_BOUND('',#13223,.F.); +#13226=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#13227=DIRECTION('',(0.E0,0.E0,1.E0)); +#13228=DIRECTION('',(1.E0,0.E0,0.E0)); +#13229=AXIS2_PLACEMENT_3D('',#13226,#13227,#13228); +#13230=PLANE('',#13229); +#13232=ORIENTED_EDGE('',*,*,#13231,.T.); +#13234=ORIENTED_EDGE('',*,*,#13233,.T.); +#13236=ORIENTED_EDGE('',*,*,#13235,.T.); +#13238=ORIENTED_EDGE('',*,*,#13237,.T.); +#13240=ORIENTED_EDGE('',*,*,#13239,.T.); +#13242=ORIENTED_EDGE('',*,*,#13241,.T.); +#13244=ORIENTED_EDGE('',*,*,#13243,.T.); +#13246=ORIENTED_EDGE('',*,*,#13245,.T.); +#13248=ORIENTED_EDGE('',*,*,#13247,.T.); +#13250=ORIENTED_EDGE('',*,*,#13249,.T.); +#13252=ORIENTED_EDGE('',*,*,#13251,.T.); +#13254=ORIENTED_EDGE('',*,*,#13253,.T.); +#13256=ORIENTED_EDGE('',*,*,#13255,.T.); +#13258=ORIENTED_EDGE('',*,*,#13257,.T.); +#13260=ORIENTED_EDGE('',*,*,#13259,.T.); +#13262=ORIENTED_EDGE('',*,*,#13261,.T.); +#13263=EDGE_LOOP('',(#13232,#13234,#13236,#13238,#13240,#13242,#13244,#13246, +#13248,#13250,#13252,#13254,#13256,#13258,#13260,#13262)); +#13264=FACE_OUTER_BOUND('',#13263,.F.); +#13266=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#13267=DIRECTION('',(0.E0,0.E0,1.E0)); +#13268=DIRECTION('',(1.E0,0.E0,0.E0)); +#13269=AXIS2_PLACEMENT_3D('',#13266,#13267,#13268); +#13270=PLANE('',#13269); +#13272=ORIENTED_EDGE('',*,*,#13271,.T.); +#13274=ORIENTED_EDGE('',*,*,#13273,.F.); +#13276=ORIENTED_EDGE('',*,*,#13275,.F.); +#13277=ORIENTED_EDGE('',*,*,#13020,.F.); +#13279=ORIENTED_EDGE('',*,*,#13278,.T.); +#13281=ORIENTED_EDGE('',*,*,#13280,.F.); +#13283=ORIENTED_EDGE('',*,*,#13282,.F.); +#13284=ORIENTED_EDGE('',*,*,#13012,.F.); +#13286=ORIENTED_EDGE('',*,*,#13285,.T.); +#13288=ORIENTED_EDGE('',*,*,#13287,.F.); +#13290=ORIENTED_EDGE('',*,*,#13289,.F.); +#13291=ORIENTED_EDGE('',*,*,#13004,.F.); +#13292=ORIENTED_EDGE('',*,*,#13080,.T.); +#13294=ORIENTED_EDGE('',*,*,#13293,.F.); +#13296=ORIENTED_EDGE('',*,*,#13295,.F.); +#13297=ORIENTED_EDGE('',*,*,#13066,.F.); +#13299=ORIENTED_EDGE('',*,*,#13298,.T.); +#13301=ORIENTED_EDGE('',*,*,#13300,.F.); +#13303=ORIENTED_EDGE('',*,*,#13302,.F.); +#13304=ORIENTED_EDGE('',*,*,#13058,.F.); +#13306=ORIENTED_EDGE('',*,*,#13305,.T.); +#13308=ORIENTED_EDGE('',*,*,#13307,.F.); +#13310=ORIENTED_EDGE('',*,*,#13309,.F.); +#13311=ORIENTED_EDGE('',*,*,#13050,.F.); +#13313=ORIENTED_EDGE('',*,*,#13312,.T.); +#13315=ORIENTED_EDGE('',*,*,#13314,.F.); +#13317=ORIENTED_EDGE('',*,*,#13316,.F.); +#13318=ORIENTED_EDGE('',*,*,#13042,.F.); +#13320=ORIENTED_EDGE('',*,*,#13319,.F.); +#13322=ORIENTED_EDGE('',*,*,#13321,.F.); +#13324=ORIENTED_EDGE('',*,*,#13323,.T.); +#13326=ORIENTED_EDGE('',*,*,#13325,.T.); +#13328=ORIENTED_EDGE('',*,*,#13327,.F.); +#13330=ORIENTED_EDGE('',*,*,#13329,.F.); +#13332=ORIENTED_EDGE('',*,*,#13331,.T.); +#13334=ORIENTED_EDGE('',*,*,#13333,.T.); +#13336=ORIENTED_EDGE('',*,*,#13335,.F.); +#13338=ORIENTED_EDGE('',*,*,#13337,.F.); +#13340=ORIENTED_EDGE('',*,*,#13339,.F.); +#13342=ORIENTED_EDGE('',*,*,#13341,.F.); +#13344=ORIENTED_EDGE('',*,*,#13343,.T.); +#13346=ORIENTED_EDGE('',*,*,#13345,.F.); +#13348=ORIENTED_EDGE('',*,*,#13347,.F.); +#13350=ORIENTED_EDGE('',*,*,#13349,.F.); +#13352=ORIENTED_EDGE('',*,*,#13351,.T.); +#13354=ORIENTED_EDGE('',*,*,#13353,.F.); +#13356=ORIENTED_EDGE('',*,*,#13355,.F.); +#13358=ORIENTED_EDGE('',*,*,#13357,.F.); +#13360=ORIENTED_EDGE('',*,*,#13359,.T.); +#13362=ORIENTED_EDGE('',*,*,#13361,.F.); +#13364=ORIENTED_EDGE('',*,*,#13363,.F.); +#13366=ORIENTED_EDGE('',*,*,#13365,.F.); +#13368=ORIENTED_EDGE('',*,*,#13367,.T.); +#13370=ORIENTED_EDGE('',*,*,#13369,.F.); +#13372=ORIENTED_EDGE('',*,*,#13371,.F.); +#13374=ORIENTED_EDGE('',*,*,#13373,.F.); +#13376=ORIENTED_EDGE('',*,*,#13375,.T.); +#13378=ORIENTED_EDGE('',*,*,#13377,.F.); +#13380=ORIENTED_EDGE('',*,*,#13379,.F.); +#13382=ORIENTED_EDGE('',*,*,#13381,.F.); +#13384=ORIENTED_EDGE('',*,*,#13383,.T.); +#13386=ORIENTED_EDGE('',*,*,#13385,.F.); +#13388=ORIENTED_EDGE('',*,*,#13387,.F.); +#13390=ORIENTED_EDGE('',*,*,#13389,.F.); +#13392=ORIENTED_EDGE('',*,*,#13391,.T.); +#13394=ORIENTED_EDGE('',*,*,#13393,.F.); +#13396=ORIENTED_EDGE('',*,*,#13395,.F.); +#13398=ORIENTED_EDGE('',*,*,#13397,.F.); +#13400=ORIENTED_EDGE('',*,*,#13399,.T.); +#13402=ORIENTED_EDGE('',*,*,#13401,.F.); +#13404=ORIENTED_EDGE('',*,*,#13403,.F.); +#13406=ORIENTED_EDGE('',*,*,#13405,.F.); +#13408=ORIENTED_EDGE('',*,*,#13407,.F.); +#13410=ORIENTED_EDGE('',*,*,#13409,.F.); +#13412=ORIENTED_EDGE('',*,*,#13411,.T.); +#13414=ORIENTED_EDGE('',*,*,#13413,.T.); +#13416=ORIENTED_EDGE('',*,*,#13415,.F.); +#13418=ORIENTED_EDGE('',*,*,#13417,.F.); +#13420=ORIENTED_EDGE('',*,*,#13419,.T.); +#13422=ORIENTED_EDGE('',*,*,#13421,.T.); +#13424=ORIENTED_EDGE('',*,*,#13423,.F.); +#13426=ORIENTED_EDGE('',*,*,#13425,.F.); +#13427=ORIENTED_EDGE('',*,*,#12990,.F.); +#13428=ORIENTED_EDGE('',*,*,#13036,.F.); +#13430=ORIENTED_EDGE('',*,*,#13429,.T.); +#13432=ORIENTED_EDGE('',*,*,#13431,.F.); +#13434=ORIENTED_EDGE('',*,*,#13433,.F.); +#13435=ORIENTED_EDGE('',*,*,#13028,.F.); +#13436=EDGE_LOOP('',(#13272,#13274,#13276,#13277,#13279,#13281,#13283,#13284, +#13286,#13288,#13290,#13291,#13292,#13294,#13296,#13297,#13299,#13301,#13303, +#13304,#13306,#13308,#13310,#13311,#13313,#13315,#13317,#13318,#13320,#13322, +#13324,#13326,#13328,#13330,#13332,#13334,#13336,#13338,#13340,#13342,#13344, +#13346,#13348,#13350,#13352,#13354,#13356,#13358,#13360,#13362,#13364,#13366, +#13368,#13370,#13372,#13374,#13376,#13378,#13380,#13382,#13384,#13386,#13388, +#13390,#13392,#13394,#13396,#13398,#13400,#13402,#13404,#13406,#13408,#13410, +#13412,#13414,#13416,#13418,#13420,#13422,#13424,#13426,#13427,#13428,#13430, +#13432,#13434,#13435)); +#13437=FACE_OUTER_BOUND('',#13436,.F.); +#13439=ORIENTED_EDGE('',*,*,#13438,.T.); +#13441=ORIENTED_EDGE('',*,*,#13440,.T.); +#13443=ORIENTED_EDGE('',*,*,#13442,.T.); +#13445=ORIENTED_EDGE('',*,*,#13444,.T.); +#13447=ORIENTED_EDGE('',*,*,#13446,.T.); +#13449=ORIENTED_EDGE('',*,*,#13448,.T.); +#13451=ORIENTED_EDGE('',*,*,#13450,.T.); +#13452=EDGE_LOOP('',(#13439,#13441,#13443,#13445,#13447,#13449,#13451)); +#13453=FACE_BOUND('',#13452,.F.); +#13455=ORIENTED_EDGE('',*,*,#13454,.T.); +#13457=ORIENTED_EDGE('',*,*,#13456,.T.); +#13459=ORIENTED_EDGE('',*,*,#13458,.T.); +#13461=ORIENTED_EDGE('',*,*,#13460,.T.); +#13463=ORIENTED_EDGE('',*,*,#13462,.T.); +#13465=ORIENTED_EDGE('',*,*,#13464,.T.); +#13467=ORIENTED_EDGE('',*,*,#13466,.T.); +#13469=ORIENTED_EDGE('',*,*,#13468,.T.); +#13471=ORIENTED_EDGE('',*,*,#13470,.T.); +#13473=ORIENTED_EDGE('',*,*,#13472,.T.); +#13475=ORIENTED_EDGE('',*,*,#13474,.T.); +#13477=ORIENTED_EDGE('',*,*,#13476,.T.); +#13479=ORIENTED_EDGE('',*,*,#13478,.T.); +#13481=ORIENTED_EDGE('',*,*,#13480,.T.); +#13483=ORIENTED_EDGE('',*,*,#13482,.T.); +#13485=ORIENTED_EDGE('',*,*,#13484,.T.); +#13487=ORIENTED_EDGE('',*,*,#13486,.T.); +#13489=ORIENTED_EDGE('',*,*,#13488,.T.); +#13491=ORIENTED_EDGE('',*,*,#13490,.T.); +#13493=ORIENTED_EDGE('',*,*,#13492,.T.); +#13495=ORIENTED_EDGE('',*,*,#13494,.T.); +#13497=ORIENTED_EDGE('',*,*,#13496,.T.); +#13499=ORIENTED_EDGE('',*,*,#13498,.T.); +#13501=ORIENTED_EDGE('',*,*,#13500,.T.); +#13503=ORIENTED_EDGE('',*,*,#13502,.T.); +#13505=ORIENTED_EDGE('',*,*,#13504,.T.); +#13507=ORIENTED_EDGE('',*,*,#13506,.T.); +#13509=ORIENTED_EDGE('',*,*,#13508,.T.); +#13511=ORIENTED_EDGE('',*,*,#13510,.T.); +#13513=ORIENTED_EDGE('',*,*,#13512,.T.); +#13515=ORIENTED_EDGE('',*,*,#13514,.T.); +#13517=ORIENTED_EDGE('',*,*,#13516,.T.); +#13519=ORIENTED_EDGE('',*,*,#13518,.T.); +#13521=ORIENTED_EDGE('',*,*,#13520,.T.); +#13523=ORIENTED_EDGE('',*,*,#13522,.T.); +#13525=ORIENTED_EDGE('',*,*,#13524,.T.); +#13527=ORIENTED_EDGE('',*,*,#13526,.T.); +#13529=ORIENTED_EDGE('',*,*,#13528,.T.); +#13531=ORIENTED_EDGE('',*,*,#13530,.T.); +#13533=ORIENTED_EDGE('',*,*,#13532,.T.); +#13534=EDGE_LOOP('',(#13455,#13457,#13459,#13461,#13463,#13465,#13467,#13469, +#13471,#13473,#13475,#13477,#13479,#13481,#13483,#13485,#13487,#13489,#13491, +#13493,#13495,#13497,#13499,#13501,#13503,#13505,#13507,#13509,#13511,#13513, +#13515,#13517,#13519,#13521,#13523,#13525,#13527,#13529,#13531,#13533)); +#13535=FACE_BOUND('',#13534,.F.); +#13537=ORIENTED_EDGE('',*,*,#13536,.T.); +#13539=ORIENTED_EDGE('',*,*,#13538,.T.); +#13541=ORIENTED_EDGE('',*,*,#13540,.T.); +#13543=ORIENTED_EDGE('',*,*,#13542,.T.); +#13545=ORIENTED_EDGE('',*,*,#13544,.T.); +#13547=ORIENTED_EDGE('',*,*,#13546,.T.); +#13549=ORIENTED_EDGE('',*,*,#13548,.T.); +#13550=EDGE_LOOP('',(#13537,#13539,#13541,#13543,#13545,#13547,#13549)); +#13551=FACE_BOUND('',#13550,.F.); +#13553=ORIENTED_EDGE('',*,*,#13552,.T.); +#13555=ORIENTED_EDGE('',*,*,#13554,.T.); +#13557=ORIENTED_EDGE('',*,*,#13556,.T.); +#13559=ORIENTED_EDGE('',*,*,#13558,.T.); +#13561=ORIENTED_EDGE('',*,*,#13560,.T.); +#13563=ORIENTED_EDGE('',*,*,#13562,.T.); +#13565=ORIENTED_EDGE('',*,*,#13564,.T.); +#13567=ORIENTED_EDGE('',*,*,#13566,.T.); +#13569=ORIENTED_EDGE('',*,*,#13568,.T.); +#13571=ORIENTED_EDGE('',*,*,#13570,.T.); +#13573=ORIENTED_EDGE('',*,*,#13572,.T.); +#13575=ORIENTED_EDGE('',*,*,#13574,.T.); +#13577=ORIENTED_EDGE('',*,*,#13576,.T.); +#13579=ORIENTED_EDGE('',*,*,#13578,.T.); +#13581=ORIENTED_EDGE('',*,*,#13580,.T.); +#13583=ORIENTED_EDGE('',*,*,#13582,.T.); +#13585=ORIENTED_EDGE('',*,*,#13584,.T.); +#13587=ORIENTED_EDGE('',*,*,#13586,.T.); +#13589=ORIENTED_EDGE('',*,*,#13588,.T.); +#13591=ORIENTED_EDGE('',*,*,#13590,.T.); +#13593=ORIENTED_EDGE('',*,*,#13592,.T.); +#13595=ORIENTED_EDGE('',*,*,#13594,.T.); +#13597=ORIENTED_EDGE('',*,*,#13596,.T.); +#13599=ORIENTED_EDGE('',*,*,#13598,.T.); +#13601=ORIENTED_EDGE('',*,*,#13600,.T.); +#13603=ORIENTED_EDGE('',*,*,#13602,.T.); +#13605=ORIENTED_EDGE('',*,*,#13604,.T.); +#13607=ORIENTED_EDGE('',*,*,#13606,.T.); +#13609=ORIENTED_EDGE('',*,*,#13608,.T.); +#13611=ORIENTED_EDGE('',*,*,#13610,.T.); +#13613=ORIENTED_EDGE('',*,*,#13612,.T.); +#13615=ORIENTED_EDGE('',*,*,#13614,.T.); +#13617=ORIENTED_EDGE('',*,*,#13616,.T.); +#13619=ORIENTED_EDGE('',*,*,#13618,.T.); +#13621=ORIENTED_EDGE('',*,*,#13620,.T.); +#13623=ORIENTED_EDGE('',*,*,#13622,.T.); +#13625=ORIENTED_EDGE('',*,*,#13624,.T.); +#13627=ORIENTED_EDGE('',*,*,#13626,.T.); +#13629=ORIENTED_EDGE('',*,*,#13628,.T.); +#13631=ORIENTED_EDGE('',*,*,#13630,.T.); +#13632=EDGE_LOOP('',(#13553,#13555,#13557,#13559,#13561,#13563,#13565,#13567, +#13569,#13571,#13573,#13575,#13577,#13579,#13581,#13583,#13585,#13587,#13589, +#13591,#13593,#13595,#13597,#13599,#13601,#13603,#13605,#13607,#13609,#13611, +#13613,#13615,#13617,#13619,#13621,#13623,#13625,#13627,#13629,#13631)); +#13633=FACE_BOUND('',#13632,.F.); +#13635=ORIENTED_EDGE('',*,*,#13634,.T.); +#13637=ORIENTED_EDGE('',*,*,#13636,.T.); +#13639=ORIENTED_EDGE('',*,*,#13638,.T.); +#13641=ORIENTED_EDGE('',*,*,#13640,.T.); +#13643=ORIENTED_EDGE('',*,*,#13642,.T.); +#13645=ORIENTED_EDGE('',*,*,#13644,.T.); +#13647=ORIENTED_EDGE('',*,*,#13646,.T.); +#13649=ORIENTED_EDGE('',*,*,#13648,.T.); +#13651=ORIENTED_EDGE('',*,*,#13650,.T.); +#13653=ORIENTED_EDGE('',*,*,#13652,.T.); +#13655=ORIENTED_EDGE('',*,*,#13654,.T.); +#13657=ORIENTED_EDGE('',*,*,#13656,.T.); +#13659=ORIENTED_EDGE('',*,*,#13658,.T.); +#13661=ORIENTED_EDGE('',*,*,#13660,.T.); +#13663=ORIENTED_EDGE('',*,*,#13662,.T.); +#13665=ORIENTED_EDGE('',*,*,#13664,.T.); +#13667=ORIENTED_EDGE('',*,*,#13666,.T.); +#13669=ORIENTED_EDGE('',*,*,#13668,.T.); +#13671=ORIENTED_EDGE('',*,*,#13670,.T.); +#13673=ORIENTED_EDGE('',*,*,#13672,.T.); +#13675=ORIENTED_EDGE('',*,*,#13674,.T.); +#13677=ORIENTED_EDGE('',*,*,#13676,.T.); +#13679=ORIENTED_EDGE('',*,*,#13678,.T.); +#13681=ORIENTED_EDGE('',*,*,#13680,.T.); +#13683=ORIENTED_EDGE('',*,*,#13682,.T.); +#13685=ORIENTED_EDGE('',*,*,#13684,.T.); +#13687=ORIENTED_EDGE('',*,*,#13686,.T.); +#13689=ORIENTED_EDGE('',*,*,#13688,.T.); +#13691=ORIENTED_EDGE('',*,*,#13690,.T.); +#13693=ORIENTED_EDGE('',*,*,#13692,.T.); +#13695=ORIENTED_EDGE('',*,*,#13694,.T.); +#13697=ORIENTED_EDGE('',*,*,#13696,.T.); +#13699=ORIENTED_EDGE('',*,*,#13698,.T.); +#13701=ORIENTED_EDGE('',*,*,#13700,.T.); +#13702=EDGE_LOOP('',(#13635,#13637,#13639,#13641,#13643,#13645,#13647,#13649, +#13651,#13653,#13655,#13657,#13659,#13661,#13663,#13665,#13667,#13669,#13671, +#13673,#13675,#13677,#13679,#13681,#13683,#13685,#13687,#13689,#13691,#13693, +#13695,#13697,#13699,#13701)); +#13703=FACE_BOUND('',#13702,.F.); +#13705=ORIENTED_EDGE('',*,*,#13704,.T.); +#13707=ORIENTED_EDGE('',*,*,#13706,.T.); +#13709=ORIENTED_EDGE('',*,*,#13708,.T.); +#13711=ORIENTED_EDGE('',*,*,#13710,.T.); +#13713=ORIENTED_EDGE('',*,*,#13712,.T.); +#13715=ORIENTED_EDGE('',*,*,#13714,.T.); +#13717=ORIENTED_EDGE('',*,*,#13716,.T.); +#13718=EDGE_LOOP('',(#13705,#13707,#13709,#13711,#13713,#13715,#13717)); +#13719=FACE_BOUND('',#13718,.F.); +#13721=ORIENTED_EDGE('',*,*,#13720,.T.); +#13723=ORIENTED_EDGE('',*,*,#13722,.T.); +#13725=ORIENTED_EDGE('',*,*,#13724,.T.); +#13727=ORIENTED_EDGE('',*,*,#13726,.T.); +#13729=ORIENTED_EDGE('',*,*,#13728,.T.); +#13731=ORIENTED_EDGE('',*,*,#13730,.T.); +#13733=ORIENTED_EDGE('',*,*,#13732,.T.); +#13735=ORIENTED_EDGE('',*,*,#13734,.T.); +#13737=ORIENTED_EDGE('',*,*,#13736,.T.); +#13739=ORIENTED_EDGE('',*,*,#13738,.T.); +#13741=ORIENTED_EDGE('',*,*,#13740,.T.); +#13743=ORIENTED_EDGE('',*,*,#13742,.T.); +#13745=ORIENTED_EDGE('',*,*,#13744,.T.); +#13747=ORIENTED_EDGE('',*,*,#13746,.T.); +#13749=ORIENTED_EDGE('',*,*,#13748,.T.); +#13751=ORIENTED_EDGE('',*,*,#13750,.T.); +#13753=ORIENTED_EDGE('',*,*,#13752,.T.); +#13755=ORIENTED_EDGE('',*,*,#13754,.T.); +#13757=ORIENTED_EDGE('',*,*,#13756,.T.); +#13759=ORIENTED_EDGE('',*,*,#13758,.T.); +#13761=ORIENTED_EDGE('',*,*,#13760,.T.); +#13763=ORIENTED_EDGE('',*,*,#13762,.T.); +#13765=ORIENTED_EDGE('',*,*,#13764,.T.); +#13767=ORIENTED_EDGE('',*,*,#13766,.T.); +#13769=ORIENTED_EDGE('',*,*,#13768,.T.); +#13771=ORIENTED_EDGE('',*,*,#13770,.T.); +#13773=ORIENTED_EDGE('',*,*,#13772,.T.); +#13775=ORIENTED_EDGE('',*,*,#13774,.T.); +#13777=ORIENTED_EDGE('',*,*,#13776,.T.); +#13779=ORIENTED_EDGE('',*,*,#13778,.T.); +#13781=ORIENTED_EDGE('',*,*,#13780,.T.); +#13783=ORIENTED_EDGE('',*,*,#13782,.T.); +#13785=ORIENTED_EDGE('',*,*,#13784,.T.); +#13787=ORIENTED_EDGE('',*,*,#13786,.T.); +#13789=ORIENTED_EDGE('',*,*,#13788,.T.); +#13791=ORIENTED_EDGE('',*,*,#13790,.T.); +#13793=ORIENTED_EDGE('',*,*,#13792,.T.); +#13795=ORIENTED_EDGE('',*,*,#13794,.T.); +#13797=ORIENTED_EDGE('',*,*,#13796,.T.); +#13799=ORIENTED_EDGE('',*,*,#13798,.T.); +#13800=EDGE_LOOP('',(#13721,#13723,#13725,#13727,#13729,#13731,#13733,#13735, +#13737,#13739,#13741,#13743,#13745,#13747,#13749,#13751,#13753,#13755,#13757, +#13759,#13761,#13763,#13765,#13767,#13769,#13771,#13773,#13775,#13777,#13779, +#13781,#13783,#13785,#13787,#13789,#13791,#13793,#13795,#13797,#13799)); +#13801=FACE_BOUND('',#13800,.F.); +#13803=ORIENTED_EDGE('',*,*,#13802,.T.); +#13805=ORIENTED_EDGE('',*,*,#13804,.T.); +#13807=ORIENTED_EDGE('',*,*,#13806,.T.); +#13809=ORIENTED_EDGE('',*,*,#13808,.T.); +#13811=ORIENTED_EDGE('',*,*,#13810,.T.); +#13813=ORIENTED_EDGE('',*,*,#13812,.T.); +#13815=ORIENTED_EDGE('',*,*,#13814,.T.); +#13817=ORIENTED_EDGE('',*,*,#13816,.T.); +#13819=ORIENTED_EDGE('',*,*,#13818,.T.); +#13821=ORIENTED_EDGE('',*,*,#13820,.T.); +#13823=ORIENTED_EDGE('',*,*,#13822,.T.); +#13825=ORIENTED_EDGE('',*,*,#13824,.T.); +#13827=ORIENTED_EDGE('',*,*,#13826,.T.); +#13829=ORIENTED_EDGE('',*,*,#13828,.T.); +#13831=ORIENTED_EDGE('',*,*,#13830,.T.); +#13833=ORIENTED_EDGE('',*,*,#13832,.T.); +#13835=ORIENTED_EDGE('',*,*,#13834,.T.); +#13837=ORIENTED_EDGE('',*,*,#13836,.T.); +#13839=ORIENTED_EDGE('',*,*,#13838,.T.); +#13841=ORIENTED_EDGE('',*,*,#13840,.T.); +#13843=ORIENTED_EDGE('',*,*,#13842,.T.); +#13845=ORIENTED_EDGE('',*,*,#13844,.T.); +#13847=ORIENTED_EDGE('',*,*,#13846,.T.); +#13849=ORIENTED_EDGE('',*,*,#13848,.T.); +#13851=ORIENTED_EDGE('',*,*,#13850,.T.); +#13853=ORIENTED_EDGE('',*,*,#13852,.T.); +#13855=ORIENTED_EDGE('',*,*,#13854,.T.); +#13857=ORIENTED_EDGE('',*,*,#13856,.T.); +#13858=EDGE_LOOP('',(#13803,#13805,#13807,#13809,#13811,#13813,#13815,#13817, +#13819,#13821,#13823,#13825,#13827,#13829,#13831,#13833,#13835,#13837,#13839, +#13841,#13843,#13845,#13847,#13849,#13851,#13853,#13855,#13857)); +#13859=FACE_BOUND('',#13858,.F.); +#13861=ORIENTED_EDGE('',*,*,#13860,.T.); +#13863=ORIENTED_EDGE('',*,*,#13862,.T.); +#13865=ORIENTED_EDGE('',*,*,#13864,.T.); +#13867=ORIENTED_EDGE('',*,*,#13866,.T.); +#13869=ORIENTED_EDGE('',*,*,#13868,.T.); +#13871=ORIENTED_EDGE('',*,*,#13870,.T.); +#13873=ORIENTED_EDGE('',*,*,#13872,.T.); +#13875=ORIENTED_EDGE('',*,*,#13874,.T.); +#13877=ORIENTED_EDGE('',*,*,#13876,.T.); +#13879=ORIENTED_EDGE('',*,*,#13878,.T.); +#13881=ORIENTED_EDGE('',*,*,#13880,.T.); +#13883=ORIENTED_EDGE('',*,*,#13882,.T.); +#13885=ORIENTED_EDGE('',*,*,#13884,.T.); +#13887=ORIENTED_EDGE('',*,*,#13886,.T.); +#13889=ORIENTED_EDGE('',*,*,#13888,.T.); +#13891=ORIENTED_EDGE('',*,*,#13890,.T.); +#13893=ORIENTED_EDGE('',*,*,#13892,.T.); +#13895=ORIENTED_EDGE('',*,*,#13894,.T.); +#13897=ORIENTED_EDGE('',*,*,#13896,.T.); +#13899=ORIENTED_EDGE('',*,*,#13898,.T.); +#13901=ORIENTED_EDGE('',*,*,#13900,.T.); +#13903=ORIENTED_EDGE('',*,*,#13902,.T.); +#13905=ORIENTED_EDGE('',*,*,#13904,.T.); +#13907=ORIENTED_EDGE('',*,*,#13906,.T.); +#13909=ORIENTED_EDGE('',*,*,#13908,.T.); +#13911=ORIENTED_EDGE('',*,*,#13910,.T.); +#13913=ORIENTED_EDGE('',*,*,#13912,.T.); +#13915=ORIENTED_EDGE('',*,*,#13914,.T.); +#13917=ORIENTED_EDGE('',*,*,#13916,.T.); +#13919=ORIENTED_EDGE('',*,*,#13918,.T.); +#13921=ORIENTED_EDGE('',*,*,#13920,.T.); +#13923=ORIENTED_EDGE('',*,*,#13922,.T.); +#13925=ORIENTED_EDGE('',*,*,#13924,.T.); +#13927=ORIENTED_EDGE('',*,*,#13926,.T.); +#13929=ORIENTED_EDGE('',*,*,#13928,.T.); +#13931=ORIENTED_EDGE('',*,*,#13930,.T.); +#13933=ORIENTED_EDGE('',*,*,#13932,.T.); +#13935=ORIENTED_EDGE('',*,*,#13934,.T.); +#13937=ORIENTED_EDGE('',*,*,#13936,.T.); +#13939=ORIENTED_EDGE('',*,*,#13938,.T.); +#13941=ORIENTED_EDGE('',*,*,#13940,.T.); +#13943=ORIENTED_EDGE('',*,*,#13942,.T.); +#13945=ORIENTED_EDGE('',*,*,#13944,.T.); +#13947=ORIENTED_EDGE('',*,*,#13946,.T.); +#13949=ORIENTED_EDGE('',*,*,#13948,.T.); +#13951=ORIENTED_EDGE('',*,*,#13950,.T.); +#13953=ORIENTED_EDGE('',*,*,#13952,.T.); +#13955=ORIENTED_EDGE('',*,*,#13954,.T.); +#13957=ORIENTED_EDGE('',*,*,#13956,.T.); +#13959=ORIENTED_EDGE('',*,*,#13958,.T.); +#13961=ORIENTED_EDGE('',*,*,#13960,.T.); +#13963=ORIENTED_EDGE('',*,*,#13962,.T.); +#13965=ORIENTED_EDGE('',*,*,#13964,.T.); +#13967=ORIENTED_EDGE('',*,*,#13966,.T.); +#13969=ORIENTED_EDGE('',*,*,#13968,.T.); +#13971=ORIENTED_EDGE('',*,*,#13970,.T.); +#13973=ORIENTED_EDGE('',*,*,#13972,.T.); +#13974=EDGE_LOOP('',(#13861,#13863,#13865,#13867,#13869,#13871,#13873,#13875, +#13877,#13879,#13881,#13883,#13885,#13887,#13889,#13891,#13893,#13895,#13897, +#13899,#13901,#13903,#13905,#13907,#13909,#13911,#13913,#13915,#13917,#13919, +#13921,#13923,#13925,#13927,#13929,#13931,#13933,#13935,#13937,#13939,#13941, +#13943,#13945,#13947,#13949,#13951,#13953,#13955,#13957,#13959,#13961,#13963, +#13965,#13967,#13969,#13971,#13973)); +#13975=FACE_BOUND('',#13974,.F.); +#13977=ORIENTED_EDGE('',*,*,#13976,.T.); +#13979=ORIENTED_EDGE('',*,*,#13978,.T.); +#13980=EDGE_LOOP('',(#13977,#13979)); +#13981=FACE_BOUND('',#13980,.F.); +#13983=ORIENTED_EDGE('',*,*,#13982,.T.); +#13985=ORIENTED_EDGE('',*,*,#13984,.T.); +#13986=EDGE_LOOP('',(#13983,#13985)); +#13987=FACE_BOUND('',#13986,.F.); +#13989=ORIENTED_EDGE('',*,*,#13988,.T.); +#13991=ORIENTED_EDGE('',*,*,#13990,.T.); +#13992=EDGE_LOOP('',(#13989,#13991)); +#13993=FACE_BOUND('',#13992,.F.); +#13995=ORIENTED_EDGE('',*,*,#13994,.T.); +#13997=ORIENTED_EDGE('',*,*,#13996,.T.); +#13998=EDGE_LOOP('',(#13995,#13997)); +#13999=FACE_BOUND('',#13998,.F.); +#14001=ORIENTED_EDGE('',*,*,#14000,.T.); +#14003=ORIENTED_EDGE('',*,*,#14002,.T.); +#14004=EDGE_LOOP('',(#14001,#14003)); +#14005=FACE_BOUND('',#14004,.F.); +#14007=ORIENTED_EDGE('',*,*,#14006,.T.); +#14009=ORIENTED_EDGE('',*,*,#14008,.T.); +#14010=EDGE_LOOP('',(#14007,#14009)); +#14011=FACE_BOUND('',#14010,.F.); +#14013=ORIENTED_EDGE('',*,*,#14012,.T.); +#14015=ORIENTED_EDGE('',*,*,#14014,.T.); +#14016=EDGE_LOOP('',(#14013,#14015)); +#14017=FACE_BOUND('',#14016,.F.); +#14019=ORIENTED_EDGE('',*,*,#14018,.T.); +#14021=ORIENTED_EDGE('',*,*,#14020,.T.); +#14022=EDGE_LOOP('',(#14019,#14021)); +#14023=FACE_BOUND('',#14022,.F.); +#14025=ORIENTED_EDGE('',*,*,#14024,.T.); +#14027=ORIENTED_EDGE('',*,*,#14026,.T.); +#14028=EDGE_LOOP('',(#14025,#14027)); +#14029=FACE_BOUND('',#14028,.F.); +#14031=ORIENTED_EDGE('',*,*,#14030,.T.); +#14033=ORIENTED_EDGE('',*,*,#14032,.T.); +#14034=EDGE_LOOP('',(#14031,#14033)); +#14035=FACE_BOUND('',#14034,.F.); +#14037=ORIENTED_EDGE('',*,*,#14036,.T.); +#14039=ORIENTED_EDGE('',*,*,#14038,.T.); +#14040=EDGE_LOOP('',(#14037,#14039)); +#14041=FACE_BOUND('',#14040,.F.); +#14043=ORIENTED_EDGE('',*,*,#14042,.T.); +#14045=ORIENTED_EDGE('',*,*,#14044,.T.); +#14046=EDGE_LOOP('',(#14043,#14045)); +#14047=FACE_BOUND('',#14046,.F.); +#14049=ORIENTED_EDGE('',*,*,#14048,.T.); +#14051=ORIENTED_EDGE('',*,*,#14050,.T.); +#14052=EDGE_LOOP('',(#14049,#14051)); +#14053=FACE_BOUND('',#14052,.F.); +#14055=ORIENTED_EDGE('',*,*,#14054,.T.); +#14057=ORIENTED_EDGE('',*,*,#14056,.T.); +#14058=EDGE_LOOP('',(#14055,#14057)); +#14059=FACE_BOUND('',#14058,.F.); +#14061=ORIENTED_EDGE('',*,*,#14060,.T.); +#14063=ORIENTED_EDGE('',*,*,#14062,.T.); +#14064=EDGE_LOOP('',(#14061,#14063)); +#14065=FACE_BOUND('',#14064,.F.); +#14067=ORIENTED_EDGE('',*,*,#14066,.T.); +#14069=ORIENTED_EDGE('',*,*,#14068,.T.); +#14070=EDGE_LOOP('',(#14067,#14069)); +#14071=FACE_BOUND('',#14070,.F.); +#14073=ORIENTED_EDGE('',*,*,#14072,.T.); +#14075=ORIENTED_EDGE('',*,*,#14074,.T.); +#14076=EDGE_LOOP('',(#14073,#14075)); +#14077=FACE_BOUND('',#14076,.F.); +#14079=ORIENTED_EDGE('',*,*,#14078,.T.); +#14081=ORIENTED_EDGE('',*,*,#14080,.T.); +#14082=EDGE_LOOP('',(#14079,#14081)); +#14083=FACE_BOUND('',#14082,.F.); +#14085=ORIENTED_EDGE('',*,*,#14084,.T.); +#14087=ORIENTED_EDGE('',*,*,#14086,.T.); +#14088=EDGE_LOOP('',(#14085,#14087)); +#14089=FACE_BOUND('',#14088,.F.); +#14091=ORIENTED_EDGE('',*,*,#14090,.T.); +#14093=ORIENTED_EDGE('',*,*,#14092,.T.); +#14094=EDGE_LOOP('',(#14091,#14093)); +#14095=FACE_BOUND('',#14094,.F.); +#14097=ORIENTED_EDGE('',*,*,#14096,.T.); +#14099=ORIENTED_EDGE('',*,*,#14098,.T.); +#14100=EDGE_LOOP('',(#14097,#14099)); +#14101=FACE_BOUND('',#14100,.F.); +#14103=ORIENTED_EDGE('',*,*,#14102,.T.); +#14105=ORIENTED_EDGE('',*,*,#14104,.T.); +#14106=EDGE_LOOP('',(#14103,#14105)); +#14107=FACE_BOUND('',#14106,.F.); +#14109=ORIENTED_EDGE('',*,*,#14108,.T.); +#14111=ORIENTED_EDGE('',*,*,#14110,.T.); +#14112=EDGE_LOOP('',(#14109,#14111)); +#14113=FACE_BOUND('',#14112,.F.); +#14115=CARTESIAN_POINT('',(-1.659E1,3.191488981247E-1,-2.E1)); +#14116=DIRECTION('',(-9.506452793929E-1,3.102797975506E-1,0.E0)); +#14117=DIRECTION('',(-3.102797975506E-1,-9.506452793929E-1,0.E0)); +#14118=AXIS2_PLACEMENT_3D('',#14115,#14116,#14117); +#14119=PLANE('',#14118); +#14120=ORIENTED_EDGE('',*,*,#13095,.F.); +#14122=ORIENTED_EDGE('',*,*,#14121,.T.); +#14124=ORIENTED_EDGE('',*,*,#14123,.T.); +#14126=ORIENTED_EDGE('',*,*,#14125,.F.); +#14127=EDGE_LOOP('',(#14120,#14122,#14124,#14126)); +#14128=FACE_OUTER_BOUND('',#14127,.F.); +#14130=CARTESIAN_POINT('',(-1.659E1,4.042552709579E-1,-2.E1)); +#14131=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14132=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14133=AXIS2_PLACEMENT_3D('',#14130,#14131,#14132); +#14134=PLANE('',#14133); +#14135=ORIENTED_EDGE('',*,*,#13133,.F.); +#14137=ORIENTED_EDGE('',*,*,#14136,.T.); +#14139=ORIENTED_EDGE('',*,*,#14138,.T.); +#14140=ORIENTED_EDGE('',*,*,#14121,.F.); +#14141=EDGE_LOOP('',(#14135,#14137,#14139,#14140)); +#14142=FACE_OUTER_BOUND('',#14141,.F.); +#14144=CARTESIAN_POINT('',(-1.663166662693E1,5.319149494171E-1,-2.E1)); +#14145=DIRECTION('',(-9.506453648566E-1,-3.102795357040E-1,0.E0)); +#14146=DIRECTION('',(3.102795357040E-1,-9.506453648566E-1,0.E0)); +#14147=AXIS2_PLACEMENT_3D('',#14144,#14145,#14146); +#14148=PLANE('',#14147); +#14149=ORIENTED_EDGE('',*,*,#13131,.F.); +#14151=ORIENTED_EDGE('',*,*,#14150,.T.); +#14153=ORIENTED_EDGE('',*,*,#14152,.T.); +#14154=ORIENTED_EDGE('',*,*,#14136,.F.); +#14155=EDGE_LOOP('',(#14149,#14151,#14153,#14154)); +#14156=FACE_OUTER_BOUND('',#14155,.F.); +#14158=CARTESIAN_POINT('',(-1.6715E1,6.595745086670E-1,-2.E1)); +#14159=DIRECTION('',(-8.373790012342E-1,-5.466227293958E-1,0.E0)); +#14160=DIRECTION('',(5.466227293958E-1,-8.373790012342E-1,0.E0)); +#14161=AXIS2_PLACEMENT_3D('',#14158,#14159,#14160); +#14162=PLANE('',#14161); +#14163=ORIENTED_EDGE('',*,*,#13129,.F.); +#14165=ORIENTED_EDGE('',*,*,#14164,.T.); +#14167=ORIENTED_EDGE('',*,*,#14166,.T.); +#14168=ORIENTED_EDGE('',*,*,#14150,.F.); +#14169=EDGE_LOOP('',(#14163,#14165,#14167,#14168)); +#14170=FACE_OUTER_BOUND('',#14169,.F.); +#14172=CARTESIAN_POINT('',(-1.684E1,7.446808815002E-1,-2.E1)); +#14173=DIRECTION('',(-5.627909312417E-1,-8.265992787996E-1,0.E0)); +#14174=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#14175=AXIS2_PLACEMENT_3D('',#14172,#14173,#14174); +#14176=PLANE('',#14175); +#14177=ORIENTED_EDGE('',*,*,#13127,.F.); +#14179=ORIENTED_EDGE('',*,*,#14178,.T.); +#14181=ORIENTED_EDGE('',*,*,#14180,.T.); +#14182=ORIENTED_EDGE('',*,*,#14164,.F.); +#14183=EDGE_LOOP('',(#14177,#14179,#14181,#14182)); +#14184=FACE_OUTER_BOUND('',#14183,.F.); +#14186=CARTESIAN_POINT('',(-1.6965E1,7.872340679169E-1,-2.E1)); +#14187=DIRECTION('',(-3.222637633772E-1,-9.466499177700E-1,0.E0)); +#14188=DIRECTION('',(9.466499177700E-1,-3.222637633772E-1,0.E0)); +#14189=AXIS2_PLACEMENT_3D('',#14186,#14187,#14188); +#14190=PLANE('',#14189); +#14191=ORIENTED_EDGE('',*,*,#13125,.F.); +#14193=ORIENTED_EDGE('',*,*,#14192,.T.); +#14195=ORIENTED_EDGE('',*,*,#14194,.T.); +#14196=ORIENTED_EDGE('',*,*,#14178,.F.); +#14197=EDGE_LOOP('',(#14191,#14193,#14195,#14196)); +#14198=FACE_OUTER_BOUND('',#14197,.F.); +#14200=CARTESIAN_POINT('',(-1.725666668653E1,7.872340679169E-1,-2.E1)); +#14201=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14202=DIRECTION('',(1.E0,0.E0,0.E0)); +#14203=AXIS2_PLACEMENT_3D('',#14200,#14201,#14202); +#14204=PLANE('',#14203); +#14205=ORIENTED_EDGE('',*,*,#13123,.F.); +#14207=ORIENTED_EDGE('',*,*,#14206,.T.); +#14209=ORIENTED_EDGE('',*,*,#14208,.T.); +#14210=ORIENTED_EDGE('',*,*,#14192,.F.); +#14211=EDGE_LOOP('',(#14205,#14207,#14209,#14210)); +#14212=FACE_OUTER_BOUND('',#14211,.F.); +#14214=CARTESIAN_POINT('',(-1.738166665673E1,7.446808815002E-1,-2.E1)); +#14215=DIRECTION('',(3.222638322314E-1,-9.466498943303E-1,0.E0)); +#14216=DIRECTION('',(9.466498943303E-1,3.222638322314E-1,0.E0)); +#14217=AXIS2_PLACEMENT_3D('',#14214,#14215,#14216); +#14218=PLANE('',#14217); +#14219=ORIENTED_EDGE('',*,*,#13121,.F.); +#14221=ORIENTED_EDGE('',*,*,#14220,.T.); +#14223=ORIENTED_EDGE('',*,*,#14222,.T.); +#14224=ORIENTED_EDGE('',*,*,#14206,.F.); +#14225=EDGE_LOOP('',(#14219,#14221,#14223,#14224)); +#14226=FACE_OUTER_BOUND('',#14225,.F.); +#14228=CARTESIAN_POINT('',(-1.750666665673E1,6.595745086670E-1,-2.E1)); +#14229=DIRECTION('',(5.627909312417E-1,-8.265992787996E-1,0.E0)); +#14230=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#14231=AXIS2_PLACEMENT_3D('',#14228,#14229,#14230); +#14232=PLANE('',#14231); +#14233=ORIENTED_EDGE('',*,*,#13119,.F.); +#14235=ORIENTED_EDGE('',*,*,#14234,.T.); +#14237=ORIENTED_EDGE('',*,*,#14236,.T.); +#14238=ORIENTED_EDGE('',*,*,#14220,.F.); +#14239=EDGE_LOOP('',(#14233,#14235,#14237,#14238)); +#14240=FACE_OUTER_BOUND('',#14239,.F.); +#14242=CARTESIAN_POINT('',(-1.759E1,5.319149494171E-1,-2.E1)); +#14243=DIRECTION('',(8.373790907147E-1,-5.466225923193E-1,0.E0)); +#14244=DIRECTION('',(5.466225923193E-1,8.373790907147E-1,0.E0)); +#14245=AXIS2_PLACEMENT_3D('',#14242,#14243,#14244); +#14246=PLANE('',#14245); +#14247=ORIENTED_EDGE('',*,*,#13117,.F.); +#14249=ORIENTED_EDGE('',*,*,#14248,.T.); +#14251=ORIENTED_EDGE('',*,*,#14250,.T.); +#14252=ORIENTED_EDGE('',*,*,#14234,.F.); +#14253=EDGE_LOOP('',(#14247,#14249,#14251,#14252)); +#14254=FACE_OUTER_BOUND('',#14253,.F.); +#14256=CARTESIAN_POINT('',(-1.763166667163E1,4.042552709579E-1,-2.E1)); +#14257=DIRECTION('',(9.506452666641E-1,-3.102798365493E-1,0.E0)); +#14258=DIRECTION('',(3.102798365493E-1,9.506452666641E-1,0.E0)); +#14259=AXIS2_PLACEMENT_3D('',#14256,#14257,#14258); +#14260=PLANE('',#14259); +#14261=ORIENTED_EDGE('',*,*,#13115,.F.); +#14263=ORIENTED_EDGE('',*,*,#14262,.T.); +#14265=ORIENTED_EDGE('',*,*,#14264,.T.); +#14266=ORIENTED_EDGE('',*,*,#14248,.F.); +#14267=EDGE_LOOP('',(#14261,#14263,#14265,#14266)); +#14268=FACE_OUTER_BOUND('',#14267,.F.); +#14270=CARTESIAN_POINT('',(-1.763166667163E1,3.191488981247E-1,-2.E1)); +#14271=DIRECTION('',(1.E0,0.E0,0.E0)); +#14272=DIRECTION('',(0.E0,1.E0,0.E0)); +#14273=AXIS2_PLACEMENT_3D('',#14270,#14271,#14272); +#14274=PLANE('',#14273); +#14275=ORIENTED_EDGE('',*,*,#13113,.F.); +#14277=ORIENTED_EDGE('',*,*,#14276,.T.); +#14279=ORIENTED_EDGE('',*,*,#14278,.T.); +#14280=ORIENTED_EDGE('',*,*,#14262,.F.); +#14281=EDGE_LOOP('',(#14275,#14277,#14279,#14280)); +#14282=FACE_OUTER_BOUND('',#14281,.F.); +#14284=CARTESIAN_POINT('',(-1.759E1,1.914893388748E-1,-2.E1)); +#14285=DIRECTION('',(9.506451812003E-1,3.102800983961E-1,0.E0)); +#14286=DIRECTION('',(-3.102800983961E-1,9.506451812003E-1,0.E0)); +#14287=AXIS2_PLACEMENT_3D('',#14284,#14285,#14286); +#14288=PLANE('',#14287); +#14289=ORIENTED_EDGE('',*,*,#13111,.F.); +#14291=ORIENTED_EDGE('',*,*,#14290,.T.); +#14293=ORIENTED_EDGE('',*,*,#14292,.T.); +#14294=ORIENTED_EDGE('',*,*,#14276,.F.); +#14295=EDGE_LOOP('',(#14289,#14291,#14293,#14294)); +#14296=FACE_OUTER_BOUND('',#14295,.F.); +#14298=CARTESIAN_POINT('',(-1.750666665673E1,6.382977962494E-2,-2.E1)); +#14299=DIRECTION('',(8.373790907147E-1,5.466225923193E-1,0.E0)); +#14300=DIRECTION('',(-5.466225923193E-1,8.373790907147E-1,0.E0)); +#14301=AXIS2_PLACEMENT_3D('',#14298,#14299,#14300); +#14302=PLANE('',#14301); +#14303=ORIENTED_EDGE('',*,*,#13109,.F.); +#14305=ORIENTED_EDGE('',*,*,#14304,.T.); +#14307=ORIENTED_EDGE('',*,*,#14306,.T.); +#14308=ORIENTED_EDGE('',*,*,#14290,.F.); +#14309=EDGE_LOOP('',(#14303,#14305,#14307,#14308)); +#14310=FACE_OUTER_BOUND('',#14309,.F.); +#14312=CARTESIAN_POINT('',(-1.738166665673E1,-2.127659320831E-2,-2.E1)); +#14313=DIRECTION('',(5.627909312417E-1,8.265992787996E-1,0.E0)); +#14314=DIRECTION('',(-8.265992787996E-1,5.627909312417E-1,0.E0)); +#14315=AXIS2_PLACEMENT_3D('',#14312,#14313,#14314); +#14316=PLANE('',#14315); +#14317=ORIENTED_EDGE('',*,*,#13107,.F.); +#14319=ORIENTED_EDGE('',*,*,#14318,.T.); +#14321=ORIENTED_EDGE('',*,*,#14320,.T.); +#14322=ORIENTED_EDGE('',*,*,#14304,.F.); +#14323=EDGE_LOOP('',(#14317,#14319,#14321,#14322)); +#14324=FACE_OUTER_BOUND('',#14323,.F.); +#14326=CARTESIAN_POINT('',(-1.725666668653E1,-6.382977962494E-2,-2.E1)); +#14327=DIRECTION('',(3.222638322314E-1,9.466498943303E-1,0.E0)); +#14328=DIRECTION('',(-9.466498943303E-1,3.222638322314E-1,0.E0)); +#14329=AXIS2_PLACEMENT_3D('',#14326,#14327,#14328); +#14330=PLANE('',#14329); +#14331=ORIENTED_EDGE('',*,*,#13105,.F.); +#14333=ORIENTED_EDGE('',*,*,#14332,.T.); +#14335=ORIENTED_EDGE('',*,*,#14334,.T.); +#14336=ORIENTED_EDGE('',*,*,#14318,.F.); +#14337=EDGE_LOOP('',(#14331,#14333,#14335,#14336)); +#14338=FACE_OUTER_BOUND('',#14337,.F.); +#14340=CARTESIAN_POINT('',(-1.6965E1,-6.382977962494E-2,-2.E1)); +#14341=DIRECTION('',(0.E0,1.E0,0.E0)); +#14342=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14343=AXIS2_PLACEMENT_3D('',#14340,#14341,#14342); +#14344=PLANE('',#14343); +#14345=ORIENTED_EDGE('',*,*,#13103,.F.); +#14347=ORIENTED_EDGE('',*,*,#14346,.T.); +#14349=ORIENTED_EDGE('',*,*,#14348,.T.); +#14350=ORIENTED_EDGE('',*,*,#14332,.F.); +#14351=EDGE_LOOP('',(#14345,#14347,#14349,#14350)); +#14352=FACE_OUTER_BOUND('',#14351,.F.); +#14354=CARTESIAN_POINT('',(-1.684E1,-2.127659320831E-2,-2.E1)); +#14355=DIRECTION('',(-3.222637633772E-1,9.466499177700E-1,0.E0)); +#14356=DIRECTION('',(-9.466499177700E-1,-3.222637633772E-1,0.E0)); +#14357=AXIS2_PLACEMENT_3D('',#14354,#14355,#14356); +#14358=PLANE('',#14357); +#14359=ORIENTED_EDGE('',*,*,#13101,.F.); +#14361=ORIENTED_EDGE('',*,*,#14360,.T.); +#14363=ORIENTED_EDGE('',*,*,#14362,.T.); +#14364=ORIENTED_EDGE('',*,*,#14346,.F.); +#14365=EDGE_LOOP('',(#14359,#14361,#14363,#14364)); +#14366=FACE_OUTER_BOUND('',#14365,.F.); +#14368=CARTESIAN_POINT('',(-1.6715E1,6.382977962494E-2,-2.E1)); +#14369=DIRECTION('',(-5.627909312417E-1,8.265992787996E-1,0.E0)); +#14370=DIRECTION('',(-8.265992787996E-1,-5.627909312417E-1,0.E0)); +#14371=AXIS2_PLACEMENT_3D('',#14368,#14369,#14370); +#14372=PLANE('',#14371); +#14373=ORIENTED_EDGE('',*,*,#13099,.F.); +#14375=ORIENTED_EDGE('',*,*,#14374,.T.); +#14377=ORIENTED_EDGE('',*,*,#14376,.T.); +#14378=ORIENTED_EDGE('',*,*,#14360,.F.); +#14379=EDGE_LOOP('',(#14373,#14375,#14377,#14378)); +#14380=FACE_OUTER_BOUND('',#14379,.F.); +#14382=CARTESIAN_POINT('',(-1.663166662693E1,1.914893388748E-1,-2.E1)); +#14383=DIRECTION('',(-8.373790012342E-1,5.466227293958E-1,0.E0)); +#14384=DIRECTION('',(-5.466227293958E-1,-8.373790012342E-1,0.E0)); +#14385=AXIS2_PLACEMENT_3D('',#14382,#14383,#14384); +#14386=PLANE('',#14385); +#14387=ORIENTED_EDGE('',*,*,#13097,.F.); +#14388=ORIENTED_EDGE('',*,*,#14125,.T.); +#14390=ORIENTED_EDGE('',*,*,#14389,.T.); +#14391=ORIENTED_EDGE('',*,*,#14374,.F.); +#14392=EDGE_LOOP('',(#14387,#14388,#14390,#14391)); +#14393=FACE_OUTER_BOUND('',#14392,.F.); +#14395=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#14396=DIRECTION('',(0.E0,0.E0,1.E0)); +#14397=DIRECTION('',(1.E0,0.E0,0.E0)); +#14398=AXIS2_PLACEMENT_3D('',#14395,#14396,#14397); +#14399=PLANE('',#14398); +#14401=ORIENTED_EDGE('',*,*,#14400,.F.); +#14403=ORIENTED_EDGE('',*,*,#14402,.F.); +#14405=ORIENTED_EDGE('',*,*,#14404,.F.); +#14407=ORIENTED_EDGE('',*,*,#14406,.F.); +#14409=ORIENTED_EDGE('',*,*,#14408,.F.); +#14411=ORIENTED_EDGE('',*,*,#14410,.F.); +#14413=ORIENTED_EDGE('',*,*,#14412,.F.); +#14414=EDGE_LOOP('',(#14401,#14403,#14405,#14407,#14409,#14411,#14413)); +#14415=FACE_OUTER_BOUND('',#14414,.F.); +#14417=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#14418=DIRECTION('',(0.E0,0.E0,1.E0)); +#14419=DIRECTION('',(1.E0,0.E0,0.E0)); +#14420=AXIS2_PLACEMENT_3D('',#14417,#14418,#14419); +#14421=PLANE('',#14420); +#14423=ORIENTED_EDGE('',*,*,#14422,.F.); +#14425=ORIENTED_EDGE('',*,*,#14424,.F.); +#14427=ORIENTED_EDGE('',*,*,#14426,.F.); +#14429=ORIENTED_EDGE('',*,*,#14428,.F.); +#14431=ORIENTED_EDGE('',*,*,#14430,.F.); +#14433=ORIENTED_EDGE('',*,*,#14432,.F.); +#14435=ORIENTED_EDGE('',*,*,#14434,.F.); +#14437=ORIENTED_EDGE('',*,*,#14436,.F.); +#14439=ORIENTED_EDGE('',*,*,#14438,.F.); +#14441=ORIENTED_EDGE('',*,*,#14440,.F.); +#14443=ORIENTED_EDGE('',*,*,#14442,.F.); +#14445=ORIENTED_EDGE('',*,*,#14444,.F.); +#14447=ORIENTED_EDGE('',*,*,#14446,.F.); +#14449=ORIENTED_EDGE('',*,*,#14448,.F.); +#14451=ORIENTED_EDGE('',*,*,#14450,.F.); +#14453=ORIENTED_EDGE('',*,*,#14452,.F.); +#14455=ORIENTED_EDGE('',*,*,#14454,.F.); +#14457=ORIENTED_EDGE('',*,*,#14456,.F.); +#14459=ORIENTED_EDGE('',*,*,#14458,.F.); +#14461=ORIENTED_EDGE('',*,*,#14460,.F.); +#14463=ORIENTED_EDGE('',*,*,#14462,.F.); +#14465=ORIENTED_EDGE('',*,*,#14464,.F.); +#14467=ORIENTED_EDGE('',*,*,#14466,.F.); +#14469=ORIENTED_EDGE('',*,*,#14468,.F.); +#14471=ORIENTED_EDGE('',*,*,#14470,.F.); +#14473=ORIENTED_EDGE('',*,*,#14472,.F.); +#14475=ORIENTED_EDGE('',*,*,#14474,.F.); +#14477=ORIENTED_EDGE('',*,*,#14476,.F.); +#14479=ORIENTED_EDGE('',*,*,#14478,.F.); +#14481=ORIENTED_EDGE('',*,*,#14480,.F.); +#14483=ORIENTED_EDGE('',*,*,#14482,.F.); +#14485=ORIENTED_EDGE('',*,*,#14484,.F.); +#14487=ORIENTED_EDGE('',*,*,#14486,.F.); +#14489=ORIENTED_EDGE('',*,*,#14488,.F.); +#14491=ORIENTED_EDGE('',*,*,#14490,.F.); +#14493=ORIENTED_EDGE('',*,*,#14492,.F.); +#14495=ORIENTED_EDGE('',*,*,#14494,.F.); +#14497=ORIENTED_EDGE('',*,*,#14496,.F.); +#14499=ORIENTED_EDGE('',*,*,#14498,.F.); +#14501=ORIENTED_EDGE('',*,*,#14500,.F.); +#14502=EDGE_LOOP('',(#14423,#14425,#14427,#14429,#14431,#14433,#14435,#14437, +#14439,#14441,#14443,#14445,#14447,#14449,#14451,#14453,#14455,#14457,#14459, +#14461,#14463,#14465,#14467,#14469,#14471,#14473,#14475,#14477,#14479,#14481, +#14483,#14485,#14487,#14489,#14491,#14493,#14495,#14497,#14499,#14501)); +#14503=FACE_OUTER_BOUND('',#14502,.F.); +#14504=ORIENTED_EDGE('',*,*,#14123,.F.); +#14505=ORIENTED_EDGE('',*,*,#14138,.F.); +#14506=ORIENTED_EDGE('',*,*,#14152,.F.); +#14507=ORIENTED_EDGE('',*,*,#14166,.F.); +#14508=ORIENTED_EDGE('',*,*,#14180,.F.); +#14509=ORIENTED_EDGE('',*,*,#14194,.F.); +#14510=ORIENTED_EDGE('',*,*,#14208,.F.); +#14511=ORIENTED_EDGE('',*,*,#14222,.F.); +#14512=ORIENTED_EDGE('',*,*,#14236,.F.); +#14513=ORIENTED_EDGE('',*,*,#14250,.F.); +#14514=ORIENTED_EDGE('',*,*,#14264,.F.); +#14515=ORIENTED_EDGE('',*,*,#14278,.F.); +#14516=ORIENTED_EDGE('',*,*,#14292,.F.); +#14517=ORIENTED_EDGE('',*,*,#14306,.F.); +#14518=ORIENTED_EDGE('',*,*,#14320,.F.); +#14519=ORIENTED_EDGE('',*,*,#14334,.F.); +#14520=ORIENTED_EDGE('',*,*,#14348,.F.); +#14521=ORIENTED_EDGE('',*,*,#14362,.F.); +#14522=ORIENTED_EDGE('',*,*,#14376,.F.); +#14523=ORIENTED_EDGE('',*,*,#14389,.F.); +#14524=EDGE_LOOP('',(#14504,#14505,#14506,#14507,#14508,#14509,#14510,#14511, +#14512,#14513,#14514,#14515,#14516,#14517,#14518,#14519,#14520,#14521,#14522, +#14523)); +#14525=FACE_BOUND('',#14524,.F.); +#14527=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#14528=DIRECTION('',(0.E0,0.E0,1.E0)); +#14529=DIRECTION('',(1.E0,0.E0,0.E0)); +#14530=AXIS2_PLACEMENT_3D('',#14527,#14528,#14529); +#14531=PLANE('',#14530); +#14533=ORIENTED_EDGE('',*,*,#14532,.F.); +#14535=ORIENTED_EDGE('',*,*,#14534,.F.); +#14537=ORIENTED_EDGE('',*,*,#14536,.F.); +#14539=ORIENTED_EDGE('',*,*,#14538,.F.); +#14541=ORIENTED_EDGE('',*,*,#14540,.F.); +#14543=ORIENTED_EDGE('',*,*,#14542,.F.); +#14545=ORIENTED_EDGE('',*,*,#14544,.F.); +#14546=EDGE_LOOP('',(#14533,#14535,#14537,#14539,#14541,#14543,#14545)); +#14547=FACE_OUTER_BOUND('',#14546,.F.); +#14549=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#14550=DIRECTION('',(0.E0,0.E0,1.E0)); +#14551=DIRECTION('',(1.E0,0.E0,0.E0)); +#14552=AXIS2_PLACEMENT_3D('',#14549,#14550,#14551); +#14553=PLANE('',#14552); +#14555=ORIENTED_EDGE('',*,*,#14554,.F.); +#14557=ORIENTED_EDGE('',*,*,#14556,.F.); +#14559=ORIENTED_EDGE('',*,*,#14558,.F.); +#14561=ORIENTED_EDGE('',*,*,#14560,.F.); +#14563=ORIENTED_EDGE('',*,*,#14562,.F.); +#14565=ORIENTED_EDGE('',*,*,#14564,.F.); +#14567=ORIENTED_EDGE('',*,*,#14566,.F.); +#14569=ORIENTED_EDGE('',*,*,#14568,.F.); +#14571=ORIENTED_EDGE('',*,*,#14570,.F.); +#14573=ORIENTED_EDGE('',*,*,#14572,.F.); +#14575=ORIENTED_EDGE('',*,*,#14574,.F.); +#14577=ORIENTED_EDGE('',*,*,#14576,.F.); +#14579=ORIENTED_EDGE('',*,*,#14578,.F.); +#14581=ORIENTED_EDGE('',*,*,#14580,.F.); +#14583=ORIENTED_EDGE('',*,*,#14582,.F.); +#14585=ORIENTED_EDGE('',*,*,#14584,.F.); +#14587=ORIENTED_EDGE('',*,*,#14586,.F.); +#14589=ORIENTED_EDGE('',*,*,#14588,.F.); +#14591=ORIENTED_EDGE('',*,*,#14590,.F.); +#14593=ORIENTED_EDGE('',*,*,#14592,.F.); +#14595=ORIENTED_EDGE('',*,*,#14594,.F.); +#14597=ORIENTED_EDGE('',*,*,#14596,.F.); +#14599=ORIENTED_EDGE('',*,*,#14598,.F.); +#14601=ORIENTED_EDGE('',*,*,#14600,.F.); +#14603=ORIENTED_EDGE('',*,*,#14602,.F.); +#14605=ORIENTED_EDGE('',*,*,#14604,.F.); +#14607=ORIENTED_EDGE('',*,*,#14606,.F.); +#14609=ORIENTED_EDGE('',*,*,#14608,.F.); +#14611=ORIENTED_EDGE('',*,*,#14610,.F.); +#14613=ORIENTED_EDGE('',*,*,#14612,.F.); +#14615=ORIENTED_EDGE('',*,*,#14614,.F.); +#14617=ORIENTED_EDGE('',*,*,#14616,.F.); +#14619=ORIENTED_EDGE('',*,*,#14618,.F.); +#14621=ORIENTED_EDGE('',*,*,#14620,.F.); +#14623=ORIENTED_EDGE('',*,*,#14622,.F.); +#14625=ORIENTED_EDGE('',*,*,#14624,.F.); +#14627=ORIENTED_EDGE('',*,*,#14626,.F.); +#14629=ORIENTED_EDGE('',*,*,#14628,.F.); +#14631=ORIENTED_EDGE('',*,*,#14630,.F.); +#14633=ORIENTED_EDGE('',*,*,#14632,.F.); +#14634=EDGE_LOOP('',(#14555,#14557,#14559,#14561,#14563,#14565,#14567,#14569, +#14571,#14573,#14575,#14577,#14579,#14581,#14583,#14585,#14587,#14589,#14591, +#14593,#14595,#14597,#14599,#14601,#14603,#14605,#14607,#14609,#14611,#14613, +#14615,#14617,#14619,#14621,#14623,#14625,#14627,#14629,#14631,#14633)); +#14635=FACE_OUTER_BOUND('',#14634,.F.); +#14637=ORIENTED_EDGE('',*,*,#14636,.F.); +#14639=ORIENTED_EDGE('',*,*,#14638,.F.); +#14641=ORIENTED_EDGE('',*,*,#14640,.F.); +#14643=ORIENTED_EDGE('',*,*,#14642,.F.); +#14645=ORIENTED_EDGE('',*,*,#14644,.F.); +#14647=ORIENTED_EDGE('',*,*,#14646,.F.); +#14649=ORIENTED_EDGE('',*,*,#14648,.F.); +#14651=ORIENTED_EDGE('',*,*,#14650,.F.); +#14653=ORIENTED_EDGE('',*,*,#14652,.F.); +#14655=ORIENTED_EDGE('',*,*,#14654,.F.); +#14657=ORIENTED_EDGE('',*,*,#14656,.F.); +#14659=ORIENTED_EDGE('',*,*,#14658,.F.); +#14661=ORIENTED_EDGE('',*,*,#14660,.F.); +#14663=ORIENTED_EDGE('',*,*,#14662,.F.); +#14665=ORIENTED_EDGE('',*,*,#14664,.F.); +#14667=ORIENTED_EDGE('',*,*,#14666,.F.); +#14669=ORIENTED_EDGE('',*,*,#14668,.F.); +#14671=ORIENTED_EDGE('',*,*,#14670,.F.); +#14673=ORIENTED_EDGE('',*,*,#14672,.F.); +#14675=ORIENTED_EDGE('',*,*,#14674,.F.); +#14676=EDGE_LOOP('',(#14637,#14639,#14641,#14643,#14645,#14647,#14649,#14651, +#14653,#14655,#14657,#14659,#14661,#14663,#14665,#14667,#14669,#14671,#14673, +#14675)); +#14677=FACE_BOUND('',#14676,.F.); +#14679=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#14680=DIRECTION('',(0.E0,0.E0,1.E0)); +#14681=DIRECTION('',(1.E0,0.E0,0.E0)); +#14682=AXIS2_PLACEMENT_3D('',#14679,#14680,#14681); +#14683=PLANE('',#14682); +#14685=ORIENTED_EDGE('',*,*,#14684,.F.); +#14687=ORIENTED_EDGE('',*,*,#14686,.F.); +#14689=ORIENTED_EDGE('',*,*,#14688,.F.); +#14691=ORIENTED_EDGE('',*,*,#14690,.F.); +#14693=ORIENTED_EDGE('',*,*,#14692,.F.); +#14695=ORIENTED_EDGE('',*,*,#14694,.F.); +#14697=ORIENTED_EDGE('',*,*,#14696,.F.); +#14699=ORIENTED_EDGE('',*,*,#14698,.F.); +#14701=ORIENTED_EDGE('',*,*,#14700,.F.); +#14703=ORIENTED_EDGE('',*,*,#14702,.F.); +#14705=ORIENTED_EDGE('',*,*,#14704,.F.); +#14707=ORIENTED_EDGE('',*,*,#14706,.F.); +#14709=ORIENTED_EDGE('',*,*,#14708,.F.); +#14711=ORIENTED_EDGE('',*,*,#14710,.F.); +#14713=ORIENTED_EDGE('',*,*,#14712,.F.); +#14715=ORIENTED_EDGE('',*,*,#14714,.F.); +#14717=ORIENTED_EDGE('',*,*,#14716,.F.); +#14719=ORIENTED_EDGE('',*,*,#14718,.F.); +#14721=ORIENTED_EDGE('',*,*,#14720,.F.); +#14723=ORIENTED_EDGE('',*,*,#14722,.F.); +#14725=ORIENTED_EDGE('',*,*,#14724,.F.); +#14727=ORIENTED_EDGE('',*,*,#14726,.F.); +#14729=ORIENTED_EDGE('',*,*,#14728,.F.); +#14731=ORIENTED_EDGE('',*,*,#14730,.F.); +#14733=ORIENTED_EDGE('',*,*,#14732,.F.); +#14735=ORIENTED_EDGE('',*,*,#14734,.F.); +#14737=ORIENTED_EDGE('',*,*,#14736,.F.); +#14739=ORIENTED_EDGE('',*,*,#14738,.F.); +#14741=ORIENTED_EDGE('',*,*,#14740,.F.); +#14743=ORIENTED_EDGE('',*,*,#14742,.F.); +#14745=ORIENTED_EDGE('',*,*,#14744,.F.); +#14747=ORIENTED_EDGE('',*,*,#14746,.F.); +#14749=ORIENTED_EDGE('',*,*,#14748,.F.); +#14751=ORIENTED_EDGE('',*,*,#14750,.F.); +#14752=EDGE_LOOP('',(#14685,#14687,#14689,#14691,#14693,#14695,#14697,#14699, +#14701,#14703,#14705,#14707,#14709,#14711,#14713,#14715,#14717,#14719,#14721, +#14723,#14725,#14727,#14729,#14731,#14733,#14735,#14737,#14739,#14741,#14743, +#14745,#14747,#14749,#14751)); +#14753=FACE_OUTER_BOUND('',#14752,.F.); +#14755=ORIENTED_EDGE('',*,*,#14754,.F.); +#14757=ORIENTED_EDGE('',*,*,#14756,.F.); +#14759=ORIENTED_EDGE('',*,*,#14758,.F.); +#14761=ORIENTED_EDGE('',*,*,#14760,.F.); +#14763=ORIENTED_EDGE('',*,*,#14762,.F.); +#14765=ORIENTED_EDGE('',*,*,#14764,.F.); +#14767=ORIENTED_EDGE('',*,*,#14766,.F.); +#14769=ORIENTED_EDGE('',*,*,#14768,.F.); +#14771=ORIENTED_EDGE('',*,*,#14770,.F.); +#14773=ORIENTED_EDGE('',*,*,#14772,.F.); +#14775=ORIENTED_EDGE('',*,*,#14774,.F.); +#14777=ORIENTED_EDGE('',*,*,#14776,.F.); +#14779=ORIENTED_EDGE('',*,*,#14778,.F.); +#14781=ORIENTED_EDGE('',*,*,#14780,.F.); +#14783=ORIENTED_EDGE('',*,*,#14782,.F.); +#14785=ORIENTED_EDGE('',*,*,#14784,.F.); +#14786=EDGE_LOOP('',(#14755,#14757,#14759,#14761,#14763,#14765,#14767,#14769, +#14771,#14773,#14775,#14777,#14779,#14781,#14783,#14785)); +#14787=FACE_BOUND('',#14786,.F.); +#14789=ORIENTED_EDGE('',*,*,#14788,.F.); +#14791=ORIENTED_EDGE('',*,*,#14790,.F.); +#14793=ORIENTED_EDGE('',*,*,#14792,.F.); +#14795=ORIENTED_EDGE('',*,*,#14794,.F.); +#14797=ORIENTED_EDGE('',*,*,#14796,.F.); +#14799=ORIENTED_EDGE('',*,*,#14798,.F.); +#14801=ORIENTED_EDGE('',*,*,#14800,.F.); +#14803=ORIENTED_EDGE('',*,*,#14802,.F.); +#14805=ORIENTED_EDGE('',*,*,#14804,.F.); +#14807=ORIENTED_EDGE('',*,*,#14806,.F.); +#14809=ORIENTED_EDGE('',*,*,#14808,.F.); +#14811=ORIENTED_EDGE('',*,*,#14810,.F.); +#14813=ORIENTED_EDGE('',*,*,#14812,.F.); +#14815=ORIENTED_EDGE('',*,*,#14814,.F.); +#14817=ORIENTED_EDGE('',*,*,#14816,.F.); +#14819=ORIENTED_EDGE('',*,*,#14818,.F.); +#14820=EDGE_LOOP('',(#14789,#14791,#14793,#14795,#14797,#14799,#14801,#14803, +#14805,#14807,#14809,#14811,#14813,#14815,#14817,#14819)); +#14821=FACE_BOUND('',#14820,.F.); +#14823=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#14824=DIRECTION('',(0.E0,0.E0,1.E0)); +#14825=DIRECTION('',(1.E0,0.E0,0.E0)); +#14826=AXIS2_PLACEMENT_3D('',#14823,#14824,#14825); +#14827=PLANE('',#14826); +#14829=ORIENTED_EDGE('',*,*,#14828,.F.); +#14831=ORIENTED_EDGE('',*,*,#14830,.F.); +#14833=ORIENTED_EDGE('',*,*,#14832,.F.); +#14835=ORIENTED_EDGE('',*,*,#14834,.F.); +#14837=ORIENTED_EDGE('',*,*,#14836,.F.); +#14839=ORIENTED_EDGE('',*,*,#14838,.F.); +#14841=ORIENTED_EDGE('',*,*,#14840,.F.); +#14842=EDGE_LOOP('',(#14829,#14831,#14833,#14835,#14837,#14839,#14841)); +#14843=FACE_OUTER_BOUND('',#14842,.F.); +#14845=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#14846=DIRECTION('',(0.E0,0.E0,1.E0)); +#14847=DIRECTION('',(1.E0,0.E0,0.E0)); +#14848=AXIS2_PLACEMENT_3D('',#14845,#14846,#14847); +#14849=PLANE('',#14848); +#14851=ORIENTED_EDGE('',*,*,#14850,.F.); +#14853=ORIENTED_EDGE('',*,*,#14852,.F.); +#14855=ORIENTED_EDGE('',*,*,#14854,.F.); +#14857=ORIENTED_EDGE('',*,*,#14856,.F.); +#14859=ORIENTED_EDGE('',*,*,#14858,.F.); +#14861=ORIENTED_EDGE('',*,*,#14860,.F.); +#14863=ORIENTED_EDGE('',*,*,#14862,.F.); +#14865=ORIENTED_EDGE('',*,*,#14864,.F.); +#14867=ORIENTED_EDGE('',*,*,#14866,.F.); +#14869=ORIENTED_EDGE('',*,*,#14868,.F.); +#14871=ORIENTED_EDGE('',*,*,#14870,.F.); +#14873=ORIENTED_EDGE('',*,*,#14872,.F.); +#14875=ORIENTED_EDGE('',*,*,#14874,.F.); +#14877=ORIENTED_EDGE('',*,*,#14876,.F.); +#14879=ORIENTED_EDGE('',*,*,#14878,.F.); +#14881=ORIENTED_EDGE('',*,*,#14880,.F.); +#14883=ORIENTED_EDGE('',*,*,#14882,.F.); +#14885=ORIENTED_EDGE('',*,*,#14884,.F.); +#14887=ORIENTED_EDGE('',*,*,#14886,.F.); +#14889=ORIENTED_EDGE('',*,*,#14888,.F.); +#14891=ORIENTED_EDGE('',*,*,#14890,.F.); +#14893=ORIENTED_EDGE('',*,*,#14892,.F.); +#14895=ORIENTED_EDGE('',*,*,#14894,.F.); +#14897=ORIENTED_EDGE('',*,*,#14896,.F.); +#14899=ORIENTED_EDGE('',*,*,#14898,.F.); +#14901=ORIENTED_EDGE('',*,*,#14900,.F.); +#14903=ORIENTED_EDGE('',*,*,#14902,.F.); +#14905=ORIENTED_EDGE('',*,*,#14904,.F.); +#14907=ORIENTED_EDGE('',*,*,#14906,.F.); +#14909=ORIENTED_EDGE('',*,*,#14908,.F.); +#14911=ORIENTED_EDGE('',*,*,#14910,.F.); +#14913=ORIENTED_EDGE('',*,*,#14912,.F.); +#14915=ORIENTED_EDGE('',*,*,#14914,.F.); +#14917=ORIENTED_EDGE('',*,*,#14916,.F.); +#14919=ORIENTED_EDGE('',*,*,#14918,.F.); +#14921=ORIENTED_EDGE('',*,*,#14920,.F.); +#14923=ORIENTED_EDGE('',*,*,#14922,.F.); +#14925=ORIENTED_EDGE('',*,*,#14924,.F.); +#14927=ORIENTED_EDGE('',*,*,#14926,.F.); +#14929=ORIENTED_EDGE('',*,*,#14928,.F.); +#14930=EDGE_LOOP('',(#14851,#14853,#14855,#14857,#14859,#14861,#14863,#14865, +#14867,#14869,#14871,#14873,#14875,#14877,#14879,#14881,#14883,#14885,#14887, +#14889,#14891,#14893,#14895,#14897,#14899,#14901,#14903,#14905,#14907,#14909, +#14911,#14913,#14915,#14917,#14919,#14921,#14923,#14925,#14927,#14929)); +#14931=FACE_OUTER_BOUND('',#14930,.F.); +#14933=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#14934=DIRECTION('',(0.E0,0.E0,1.E0)); +#14935=DIRECTION('',(1.E0,0.E0,0.E0)); +#14936=AXIS2_PLACEMENT_3D('',#14933,#14934,#14935); +#14937=PLANE('',#14936); +#14939=ORIENTED_EDGE('',*,*,#14938,.F.); +#14941=ORIENTED_EDGE('',*,*,#14940,.F.); +#14943=ORIENTED_EDGE('',*,*,#14942,.F.); +#14945=ORIENTED_EDGE('',*,*,#14944,.F.); +#14947=ORIENTED_EDGE('',*,*,#14946,.F.); +#14949=ORIENTED_EDGE('',*,*,#14948,.F.); +#14951=ORIENTED_EDGE('',*,*,#14950,.F.); +#14953=ORIENTED_EDGE('',*,*,#14952,.F.); +#14955=ORIENTED_EDGE('',*,*,#14954,.F.); +#14957=ORIENTED_EDGE('',*,*,#14956,.F.); +#14959=ORIENTED_EDGE('',*,*,#14958,.F.); +#14961=ORIENTED_EDGE('',*,*,#14960,.F.); +#14963=ORIENTED_EDGE('',*,*,#14962,.F.); +#14965=ORIENTED_EDGE('',*,*,#14964,.F.); +#14967=ORIENTED_EDGE('',*,*,#14966,.F.); +#14969=ORIENTED_EDGE('',*,*,#14968,.F.); +#14971=ORIENTED_EDGE('',*,*,#14970,.F.); +#14973=ORIENTED_EDGE('',*,*,#14972,.F.); +#14975=ORIENTED_EDGE('',*,*,#14974,.F.); +#14977=ORIENTED_EDGE('',*,*,#14976,.F.); +#14979=ORIENTED_EDGE('',*,*,#14978,.F.); +#14981=ORIENTED_EDGE('',*,*,#14980,.F.); +#14983=ORIENTED_EDGE('',*,*,#14982,.F.); +#14985=ORIENTED_EDGE('',*,*,#14984,.F.); +#14987=ORIENTED_EDGE('',*,*,#14986,.F.); +#14989=ORIENTED_EDGE('',*,*,#14988,.F.); +#14991=ORIENTED_EDGE('',*,*,#14990,.F.); +#14993=ORIENTED_EDGE('',*,*,#14992,.F.); +#14994=EDGE_LOOP('',(#14939,#14941,#14943,#14945,#14947,#14949,#14951,#14953, +#14955,#14957,#14959,#14961,#14963,#14965,#14967,#14969,#14971,#14973,#14975, +#14977,#14979,#14981,#14983,#14985,#14987,#14989,#14991,#14993)); +#14995=FACE_OUTER_BOUND('',#14994,.F.); +#14997=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#14998=DIRECTION('',(0.E0,0.E0,1.E0)); +#14999=DIRECTION('',(1.E0,0.E0,0.E0)); +#15000=AXIS2_PLACEMENT_3D('',#14997,#14998,#14999); +#15001=PLANE('',#15000); +#15003=ORIENTED_EDGE('',*,*,#15002,.F.); +#15005=ORIENTED_EDGE('',*,*,#15004,.F.); +#15007=ORIENTED_EDGE('',*,*,#15006,.F.); +#15009=ORIENTED_EDGE('',*,*,#15008,.F.); +#15011=ORIENTED_EDGE('',*,*,#15010,.F.); +#15013=ORIENTED_EDGE('',*,*,#15012,.F.); +#15015=ORIENTED_EDGE('',*,*,#15014,.F.); +#15017=ORIENTED_EDGE('',*,*,#15016,.F.); +#15019=ORIENTED_EDGE('',*,*,#15018,.F.); +#15021=ORIENTED_EDGE('',*,*,#15020,.F.); +#15023=ORIENTED_EDGE('',*,*,#15022,.F.); +#15025=ORIENTED_EDGE('',*,*,#15024,.F.); +#15027=ORIENTED_EDGE('',*,*,#15026,.F.); +#15029=ORIENTED_EDGE('',*,*,#15028,.F.); +#15031=ORIENTED_EDGE('',*,*,#15030,.F.); +#15033=ORIENTED_EDGE('',*,*,#15032,.F.); +#15035=ORIENTED_EDGE('',*,*,#15034,.F.); +#15037=ORIENTED_EDGE('',*,*,#15036,.F.); +#15039=ORIENTED_EDGE('',*,*,#15038,.F.); +#15041=ORIENTED_EDGE('',*,*,#15040,.F.); +#15043=ORIENTED_EDGE('',*,*,#15042,.F.); +#15045=ORIENTED_EDGE('',*,*,#15044,.F.); +#15047=ORIENTED_EDGE('',*,*,#15046,.F.); +#15049=ORIENTED_EDGE('',*,*,#15048,.F.); +#15051=ORIENTED_EDGE('',*,*,#15050,.F.); +#15053=ORIENTED_EDGE('',*,*,#15052,.F.); +#15055=ORIENTED_EDGE('',*,*,#15054,.F.); +#15057=ORIENTED_EDGE('',*,*,#15056,.F.); +#15059=ORIENTED_EDGE('',*,*,#15058,.F.); +#15061=ORIENTED_EDGE('',*,*,#15060,.F.); +#15063=ORIENTED_EDGE('',*,*,#15062,.F.); +#15065=ORIENTED_EDGE('',*,*,#15064,.F.); +#15067=ORIENTED_EDGE('',*,*,#15066,.F.); +#15069=ORIENTED_EDGE('',*,*,#15068,.F.); +#15071=ORIENTED_EDGE('',*,*,#15070,.F.); +#15073=ORIENTED_EDGE('',*,*,#15072,.F.); +#15075=ORIENTED_EDGE('',*,*,#15074,.F.); +#15077=ORIENTED_EDGE('',*,*,#15076,.F.); +#15079=ORIENTED_EDGE('',*,*,#15078,.F.); +#15081=ORIENTED_EDGE('',*,*,#15080,.F.); +#15083=ORIENTED_EDGE('',*,*,#15082,.F.); +#15085=ORIENTED_EDGE('',*,*,#15084,.F.); +#15087=ORIENTED_EDGE('',*,*,#15086,.F.); +#15089=ORIENTED_EDGE('',*,*,#15088,.F.); +#15091=ORIENTED_EDGE('',*,*,#15090,.F.); +#15093=ORIENTED_EDGE('',*,*,#15092,.F.); +#15095=ORIENTED_EDGE('',*,*,#15094,.F.); +#15097=ORIENTED_EDGE('',*,*,#15096,.F.); +#15099=ORIENTED_EDGE('',*,*,#15098,.F.); +#15101=ORIENTED_EDGE('',*,*,#15100,.F.); +#15103=ORIENTED_EDGE('',*,*,#15102,.F.); +#15105=ORIENTED_EDGE('',*,*,#15104,.F.); +#15107=ORIENTED_EDGE('',*,*,#15106,.F.); +#15109=ORIENTED_EDGE('',*,*,#15108,.F.); +#15111=ORIENTED_EDGE('',*,*,#15110,.F.); +#15113=ORIENTED_EDGE('',*,*,#15112,.F.); +#15115=ORIENTED_EDGE('',*,*,#15114,.F.); +#15116=EDGE_LOOP('',(#15003,#15005,#15007,#15009,#15011,#15013,#15015,#15017, +#15019,#15021,#15023,#15025,#15027,#15029,#15031,#15033,#15035,#15037,#15039, +#15041,#15043,#15045,#15047,#15049,#15051,#15053,#15055,#15057,#15059,#15061, +#15063,#15065,#15067,#15069,#15071,#15073,#15075,#15077,#15079,#15081,#15083, +#15085,#15087,#15089,#15091,#15093,#15095,#15097,#15099,#15101,#15103,#15105, +#15107,#15109,#15111,#15113,#15115)); +#15117=FACE_OUTER_BOUND('',#15116,.F.); +#15119=CARTESIAN_POINT('',(-1.5E1,3.000000000001E0,-2.E1)); +#15120=DIRECTION('',(1.E0,0.E0,0.E0)); +#15121=DIRECTION('',(0.E0,1.E0,0.E0)); +#15122=AXIS2_PLACEMENT_3D('',#15119,#15120,#15121); +#15123=PLANE('',#15122); +#15124=ORIENTED_EDGE('',*,*,#13438,.F.); +#15126=ORIENTED_EDGE('',*,*,#15125,.T.); +#15127=ORIENTED_EDGE('',*,*,#14400,.T.); +#15129=ORIENTED_EDGE('',*,*,#15128,.F.); +#15130=EDGE_LOOP('',(#15124,#15126,#15127,#15129)); +#15131=FACE_OUTER_BOUND('',#15130,.F.); +#15133=CARTESIAN_POINT('',(-1.525E1,3.000000000001E0,-2.E1)); +#15134=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15135=DIRECTION('',(1.E0,0.E0,0.E0)); +#15136=AXIS2_PLACEMENT_3D('',#15133,#15134,#15135); +#15137=PLANE('',#15136); +#15138=ORIENTED_EDGE('',*,*,#13450,.F.); +#15140=ORIENTED_EDGE('',*,*,#15139,.T.); +#15141=ORIENTED_EDGE('',*,*,#14402,.T.); +#15142=ORIENTED_EDGE('',*,*,#15125,.F.); +#15143=EDGE_LOOP('',(#15138,#15140,#15141,#15142)); +#15144=FACE_OUTER_BOUND('',#15143,.F.); +#15146=CARTESIAN_POINT('',(-1.525E1,4.659574508668E0,-2.E1)); +#15147=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15148=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15149=AXIS2_PLACEMENT_3D('',#15146,#15147,#15148); +#15150=PLANE('',#15149); +#15151=ORIENTED_EDGE('',*,*,#13448,.F.); +#15153=ORIENTED_EDGE('',*,*,#15152,.T.); +#15154=ORIENTED_EDGE('',*,*,#14404,.T.); +#15155=ORIENTED_EDGE('',*,*,#15139,.F.); +#15156=EDGE_LOOP('',(#15151,#15153,#15154,#15155)); +#15157=FACE_OUTER_BOUND('',#15156,.F.); +#15159=CARTESIAN_POINT('',(-1.55E1,4.361702084542E0,-2.E1)); +#15160=DIRECTION('',(7.659743427825E-1,-6.428711427642E-1,0.E0)); +#15161=DIRECTION('',(6.428711427642E-1,7.659743427825E-1,0.E0)); +#15162=AXIS2_PLACEMENT_3D('',#15159,#15160,#15161); +#15163=PLANE('',#15162); +#15164=ORIENTED_EDGE('',*,*,#13446,.F.); +#15166=ORIENTED_EDGE('',*,*,#15165,.T.); +#15167=ORIENTED_EDGE('',*,*,#14406,.T.); +#15168=ORIENTED_EDGE('',*,*,#15152,.F.); +#15169=EDGE_LOOP('',(#15164,#15166,#15167,#15168)); +#15170=FACE_OUTER_BOUND('',#15169,.F.); +#15172=CARTESIAN_POINT('',(-1.55E1,4.702127695084E0,-2.E1)); +#15173=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15174=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15175=AXIS2_PLACEMENT_3D('',#15172,#15173,#15174); +#15176=PLANE('',#15175); +#15177=ORIENTED_EDGE('',*,*,#13444,.F.); +#15179=ORIENTED_EDGE('',*,*,#15178,.T.); +#15180=ORIENTED_EDGE('',*,*,#14408,.T.); +#15181=ORIENTED_EDGE('',*,*,#15165,.F.); +#15182=EDGE_LOOP('',(#15177,#15179,#15180,#15181)); +#15183=FACE_OUTER_BOUND('',#15182,.F.); +#15185=CARTESIAN_POINT('',(-1.525E1,5.000000000001E0,-2.E1)); +#15186=DIRECTION('',(-7.659742160926E-1,6.428712937139E-1,0.E0)); +#15187=DIRECTION('',(-6.428712937139E-1,-7.659742160926E-1,0.E0)); +#15188=AXIS2_PLACEMENT_3D('',#15185,#15186,#15187); +#15189=PLANE('',#15188); +#15190=ORIENTED_EDGE('',*,*,#13442,.F.); +#15192=ORIENTED_EDGE('',*,*,#15191,.T.); +#15193=ORIENTED_EDGE('',*,*,#14410,.T.); +#15194=ORIENTED_EDGE('',*,*,#15178,.F.); +#15195=EDGE_LOOP('',(#15190,#15192,#15193,#15194)); +#15196=FACE_OUTER_BOUND('',#15195,.F.); +#15198=CARTESIAN_POINT('',(-1.5E1,5.000000000001E0,-2.E1)); +#15199=DIRECTION('',(0.E0,1.E0,0.E0)); +#15200=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15201=AXIS2_PLACEMENT_3D('',#15198,#15199,#15200); +#15202=PLANE('',#15201); +#15203=ORIENTED_EDGE('',*,*,#13440,.F.); +#15204=ORIENTED_EDGE('',*,*,#15128,.T.); +#15205=ORIENTED_EDGE('',*,*,#14412,.T.); +#15206=ORIENTED_EDGE('',*,*,#15191,.F.); +#15207=EDGE_LOOP('',(#15203,#15204,#15205,#15206)); +#15208=FACE_OUTER_BOUND('',#15207,.F.); +#15210=CARTESIAN_POINT('',(-1.775666666418E1,-5.319148898125E-1,-2.E1)); +#15211=DIRECTION('',(-9.506452189317E-1,-3.102799827934E-1,0.E0)); +#15212=DIRECTION('',(3.102799827934E-1,-9.506452189317E-1,0.E0)); +#15213=AXIS2_PLACEMENT_3D('',#15210,#15211,#15212); +#15214=PLANE('',#15213); +#15215=ORIENTED_EDGE('',*,*,#13454,.F.); +#15217=ORIENTED_EDGE('',*,*,#15216,.T.); +#15218=ORIENTED_EDGE('',*,*,#14422,.T.); +#15220=ORIENTED_EDGE('',*,*,#15219,.F.); +#15221=EDGE_LOOP('',(#15215,#15217,#15218,#15220)); +#15222=FACE_OUTER_BOUND('',#15221,.F.); +#15224=CARTESIAN_POINT('',(-1.754833334327E1,-5.319148898125E-1,-2.E1)); +#15225=DIRECTION('',(0.E0,1.E0,0.E0)); +#15226=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15227=AXIS2_PLACEMENT_3D('',#15224,#15225,#15226); +#15228=PLANE('',#15227); +#15229=ORIENTED_EDGE('',*,*,#13532,.F.); +#15231=ORIENTED_EDGE('',*,*,#15230,.T.); +#15232=ORIENTED_EDGE('',*,*,#14424,.T.); +#15233=ORIENTED_EDGE('',*,*,#15216,.F.); +#15234=EDGE_LOOP('',(#15229,#15231,#15232,#15233)); +#15235=FACE_OUTER_BOUND('',#15234,.F.); +#15237=CARTESIAN_POINT('',(-1.7465E1,-6.595744788647E-1,-2.E1)); +#15238=DIRECTION('',(8.373791491256E-1,5.466225028388E-1,0.E0)); +#15239=DIRECTION('',(-5.466225028388E-1,8.373791491256E-1,0.E0)); +#15240=AXIS2_PLACEMENT_3D('',#15237,#15238,#15239); +#15241=PLANE('',#15240); +#15242=ORIENTED_EDGE('',*,*,#13530,.F.); +#15244=ORIENTED_EDGE('',*,*,#15243,.T.); +#15245=ORIENTED_EDGE('',*,*,#14426,.T.); +#15246=ORIENTED_EDGE('',*,*,#15230,.F.); +#15247=EDGE_LOOP('',(#15242,#15244,#15245,#15246)); +#15248=FACE_OUTER_BOUND('',#15247,.F.); +#15250=CARTESIAN_POINT('',(-1.734E1,-7.446808516979E-1,-2.E1)); +#15251=DIRECTION('',(5.627909312417E-1,8.265992787996E-1,0.E0)); +#15252=DIRECTION('',(-8.265992787996E-1,5.627909312417E-1,0.E0)); +#15253=AXIS2_PLACEMENT_3D('',#15250,#15251,#15252); +#15254=PLANE('',#15253); +#15255=ORIENTED_EDGE('',*,*,#13528,.F.); +#15257=ORIENTED_EDGE('',*,*,#15256,.T.); +#15258=ORIENTED_EDGE('',*,*,#14428,.T.); +#15259=ORIENTED_EDGE('',*,*,#15243,.F.); +#15260=EDGE_LOOP('',(#15255,#15257,#15258,#15259)); +#15261=FACE_OUTER_BOUND('',#15260,.F.); +#15263=CARTESIAN_POINT('',(-1.7215E1,-7.872340381145E-1,-2.E1)); +#15264=DIRECTION('',(3.222637633772E-1,9.466499177700E-1,0.E0)); +#15265=DIRECTION('',(-9.466499177700E-1,3.222637633772E-1,0.E0)); +#15266=AXIS2_PLACEMENT_3D('',#15263,#15264,#15265); +#15267=PLANE('',#15266); +#15268=ORIENTED_EDGE('',*,*,#13526,.F.); +#15270=ORIENTED_EDGE('',*,*,#15269,.T.); +#15271=ORIENTED_EDGE('',*,*,#14430,.T.); +#15272=ORIENTED_EDGE('',*,*,#15256,.F.); +#15273=EDGE_LOOP('',(#15268,#15270,#15271,#15272)); +#15274=FACE_OUTER_BOUND('',#15273,.F.); +#15276=CARTESIAN_POINT('',(-1.6965E1,-7.872340381145E-1,-2.E1)); +#15277=DIRECTION('',(0.E0,1.E0,0.E0)); +#15278=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15279=AXIS2_PLACEMENT_3D('',#15276,#15277,#15278); +#15280=PLANE('',#15279); +#15281=ORIENTED_EDGE('',*,*,#13524,.F.); +#15283=ORIENTED_EDGE('',*,*,#15282,.T.); +#15284=ORIENTED_EDGE('',*,*,#14432,.T.); +#15285=ORIENTED_EDGE('',*,*,#15269,.F.); +#15286=EDGE_LOOP('',(#15281,#15283,#15284,#15285)); +#15287=FACE_OUTER_BOUND('',#15286,.F.); +#15289=CARTESIAN_POINT('',(-1.684E1,-7.446808516979E-1,-2.E1)); +#15290=DIRECTION('',(-3.222637633772E-1,9.466499177700E-1,0.E0)); +#15291=DIRECTION('',(-9.466499177700E-1,-3.222637633772E-1,0.E0)); +#15292=AXIS2_PLACEMENT_3D('',#15289,#15290,#15291); +#15293=PLANE('',#15292); +#15294=ORIENTED_EDGE('',*,*,#13522,.F.); +#15296=ORIENTED_EDGE('',*,*,#15295,.T.); +#15297=ORIENTED_EDGE('',*,*,#14434,.T.); +#15298=ORIENTED_EDGE('',*,*,#15282,.F.); +#15299=EDGE_LOOP('',(#15294,#15296,#15297,#15298)); +#15300=FACE_OUTER_BOUND('',#15299,.F.); +#15302=CARTESIAN_POINT('',(-1.6715E1,-6.595744788647E-1,-2.E1)); +#15303=DIRECTION('',(-5.627909312417E-1,8.265992787996E-1,0.E0)); +#15304=DIRECTION('',(-8.265992787996E-1,-5.627909312417E-1,0.E0)); +#15305=AXIS2_PLACEMENT_3D('',#15302,#15303,#15304); +#15306=PLANE('',#15305); +#15307=ORIENTED_EDGE('',*,*,#13520,.F.); +#15309=ORIENTED_EDGE('',*,*,#15308,.T.); +#15310=ORIENTED_EDGE('',*,*,#14436,.T.); +#15311=ORIENTED_EDGE('',*,*,#15295,.F.); +#15312=EDGE_LOOP('',(#15307,#15309,#15310,#15311)); +#15313=FACE_OUTER_BOUND('',#15312,.F.); +#15315=CARTESIAN_POINT('',(-1.663166662693E1,-5.319148898125E-1,-2.E1)); +#15316=DIRECTION('',(-8.373790596451E-1,5.466226399153E-1,0.E0)); +#15317=DIRECTION('',(-5.466226399153E-1,-8.373790596451E-1,0.E0)); +#15318=AXIS2_PLACEMENT_3D('',#15315,#15316,#15317); +#15319=PLANE('',#15318); +#15320=ORIENTED_EDGE('',*,*,#13518,.F.); +#15322=ORIENTED_EDGE('',*,*,#15321,.T.); +#15323=ORIENTED_EDGE('',*,*,#14438,.T.); +#15324=ORIENTED_EDGE('',*,*,#15308,.F.); +#15325=EDGE_LOOP('',(#15320,#15322,#15323,#15324)); +#15326=FACE_OUTER_BOUND('',#15325,.F.); +#15328=CARTESIAN_POINT('',(-1.659E1,-4.042553305626E-1,-2.E1)); +#15329=DIRECTION('',(-9.506452793929E-1,3.102797975506E-1,0.E0)); +#15330=DIRECTION('',(-3.102797975506E-1,-9.506452793929E-1,0.E0)); +#15331=AXIS2_PLACEMENT_3D('',#15328,#15329,#15330); +#15332=PLANE('',#15331); +#15333=ORIENTED_EDGE('',*,*,#13516,.F.); +#15335=ORIENTED_EDGE('',*,*,#15334,.T.); +#15336=ORIENTED_EDGE('',*,*,#14440,.T.); +#15337=ORIENTED_EDGE('',*,*,#15321,.F.); +#15338=EDGE_LOOP('',(#15333,#15335,#15336,#15337)); +#15339=FACE_OUTER_BOUND('',#15338,.F.); +#15341=CARTESIAN_POINT('',(-1.654833337307E1,-1.489361524582E-1,-2.E1)); +#15342=DIRECTION('',(-9.869440275154E-1,1.610636102655E-1,0.E0)); +#15343=DIRECTION('',(-1.610636102655E-1,-9.869440275154E-1,0.E0)); +#15344=AXIS2_PLACEMENT_3D('',#15341,#15342,#15343); +#15345=PLANE('',#15344); +#15346=ORIENTED_EDGE('',*,*,#13514,.F.); +#15348=ORIENTED_EDGE('',*,*,#15347,.T.); +#15349=ORIENTED_EDGE('',*,*,#14442,.T.); +#15350=ORIENTED_EDGE('',*,*,#15334,.F.); +#15351=EDGE_LOOP('',(#15346,#15348,#15349,#15350)); +#15352=FACE_OUTER_BOUND('',#15351,.F.); +#15354=CARTESIAN_POINT('',(-1.654833337307E1,-6.382977962494E-2,-2.E1)); +#15355=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15356=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15357=AXIS2_PLACEMENT_3D('',#15354,#15355,#15356); +#15358=PLANE('',#15357); +#15359=ORIENTED_EDGE('',*,*,#13512,.F.); +#15361=ORIENTED_EDGE('',*,*,#15360,.T.); +#15362=ORIENTED_EDGE('',*,*,#14444,.T.); +#15363=ORIENTED_EDGE('',*,*,#15347,.F.); +#15364=EDGE_LOOP('',(#15359,#15361,#15362,#15363)); +#15365=FACE_OUTER_BOUND('',#15364,.F.); +#15367=CARTESIAN_POINT('',(-1.679833337307E1,-2.340425252914E-1,-2.E1)); +#15368=DIRECTION('',(5.627909312417E-1,-8.265992787996E-1,0.E0)); +#15369=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#15370=AXIS2_PLACEMENT_3D('',#15367,#15368,#15369); +#15371=PLANE('',#15370); +#15372=ORIENTED_EDGE('',*,*,#13510,.F.); +#15374=ORIENTED_EDGE('',*,*,#15373,.T.); +#15375=ORIENTED_EDGE('',*,*,#14446,.T.); +#15376=ORIENTED_EDGE('',*,*,#15360,.F.); +#15377=EDGE_LOOP('',(#15372,#15374,#15375,#15376)); +#15378=FACE_OUTER_BOUND('',#15377,.F.); +#15380=CARTESIAN_POINT('',(-1.700666668653E1,-2.765957713127E-1,-2.E1)); +#15381=DIRECTION('',(2.001236424690E-1,-9.797706505734E-1,0.E0)); +#15382=DIRECTION('',(9.797706505734E-1,2.001236424690E-1,0.E0)); +#15383=AXIS2_PLACEMENT_3D('',#15380,#15381,#15382); +#15384=PLANE('',#15383); +#15385=ORIENTED_EDGE('',*,*,#13508,.F.); +#15387=ORIENTED_EDGE('',*,*,#15386,.T.); +#15388=ORIENTED_EDGE('',*,*,#14448,.T.); +#15389=ORIENTED_EDGE('',*,*,#15373,.F.); +#15390=EDGE_LOOP('',(#15385,#15387,#15388,#15389)); +#15391=FACE_OUTER_BOUND('',#15390,.F.); +#15393=CARTESIAN_POINT('',(-1.7215E1,-2.765957713127E-1,-2.E1)); +#15394=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15395=DIRECTION('',(1.E0,0.E0,0.E0)); +#15396=AXIS2_PLACEMENT_3D('',#15393,#15394,#15395); +#15397=PLANE('',#15396); +#15398=ORIENTED_EDGE('',*,*,#13506,.F.); +#15400=ORIENTED_EDGE('',*,*,#15399,.T.); +#15401=ORIENTED_EDGE('',*,*,#14450,.T.); +#15402=ORIENTED_EDGE('',*,*,#15386,.F.); +#15403=EDGE_LOOP('',(#15398,#15400,#15401,#15402)); +#15404=FACE_OUTER_BOUND('',#15403,.F.); +#15406=CARTESIAN_POINT('',(-1.742333334327E1,-2.340425252914E-1,-2.E1)); +#15407=DIRECTION('',(-2.001236149876E-1,-9.797706561866E-1,0.E0)); +#15408=DIRECTION('',(9.797706561866E-1,-2.001236149876E-1,0.E0)); +#15409=AXIS2_PLACEMENT_3D('',#15406,#15407,#15408); +#15410=PLANE('',#15409); +#15411=ORIENTED_EDGE('',*,*,#13504,.F.); +#15413=ORIENTED_EDGE('',*,*,#15412,.T.); +#15414=ORIENTED_EDGE('',*,*,#14452,.T.); +#15415=ORIENTED_EDGE('',*,*,#15399,.F.); +#15416=EDGE_LOOP('',(#15411,#15413,#15414,#15415)); +#15417=FACE_OUTER_BOUND('',#15416,.F.); +#15419=CARTESIAN_POINT('',(-1.763166667163E1,-1.063829660416E-1,-2.E1)); +#15420=DIRECTION('',(-5.224769376483E-1,-8.526534170609E-1,0.E0)); +#15421=DIRECTION('',(8.526534170609E-1,-5.224769376483E-1,0.E0)); +#15422=AXIS2_PLACEMENT_3D('',#15419,#15420,#15421); +#15423=PLANE('',#15422); +#15424=ORIENTED_EDGE('',*,*,#13502,.F.); +#15426=ORIENTED_EDGE('',*,*,#15425,.T.); +#15427=ORIENTED_EDGE('',*,*,#14454,.T.); +#15428=ORIENTED_EDGE('',*,*,#15412,.F.); +#15429=EDGE_LOOP('',(#15424,#15426,#15427,#15428)); +#15430=FACE_OUTER_BOUND('',#15429,.F.); +#15432=CARTESIAN_POINT('',(-1.775666666418E1,6.382977962494E-2,-2.E1)); +#15433=DIRECTION('',(-8.060044126628E-1,-5.919095258298E-1,0.E0)); +#15434=DIRECTION('',(5.919095258298E-1,-8.060044126628E-1,0.E0)); +#15435=AXIS2_PLACEMENT_3D('',#15432,#15433,#15434); +#15436=PLANE('',#15435); +#15437=ORIENTED_EDGE('',*,*,#13500,.F.); +#15439=ORIENTED_EDGE('',*,*,#15438,.T.); +#15440=ORIENTED_EDGE('',*,*,#14456,.T.); +#15441=ORIENTED_EDGE('',*,*,#15425,.F.); +#15442=EDGE_LOOP('',(#15437,#15439,#15440,#15441)); +#15443=FACE_OUTER_BOUND('',#15442,.F.); +#15445=CARTESIAN_POINT('',(-1.784E1,2.765957117081E-1,-2.E1)); +#15446=DIRECTION('',(-9.311282323126E-1,-3.646919453324E-1,0.E0)); +#15447=DIRECTION('',(3.646919453324E-1,-9.311282323126E-1,0.E0)); +#15448=AXIS2_PLACEMENT_3D('',#15445,#15446,#15447); +#15449=PLANE('',#15448); +#15450=ORIENTED_EDGE('',*,*,#13498,.F.); +#15452=ORIENTED_EDGE('',*,*,#15451,.T.); +#15453=ORIENTED_EDGE('',*,*,#14458,.T.); +#15454=ORIENTED_EDGE('',*,*,#15438,.F.); +#15455=EDGE_LOOP('',(#15450,#15452,#15453,#15454)); +#15456=FACE_OUTER_BOUND('',#15455,.F.); +#15458=CARTESIAN_POINT('',(-1.784E1,4.468084573746E-1,-2.E1)); +#15459=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15460=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15461=AXIS2_PLACEMENT_3D('',#15458,#15459,#15460); +#15462=PLANE('',#15461); +#15463=ORIENTED_EDGE('',*,*,#13496,.F.); +#15465=ORIENTED_EDGE('',*,*,#15464,.T.); +#15466=ORIENTED_EDGE('',*,*,#14460,.T.); +#15467=ORIENTED_EDGE('',*,*,#15451,.F.); +#15468=EDGE_LOOP('',(#15463,#15465,#15466,#15467)); +#15469=FACE_OUTER_BOUND('',#15468,.F.); +#15471=CARTESIAN_POINT('',(-1.775666666418E1,6.595745086670E-1,-2.E1)); +#15472=DIRECTION('',(-9.311283016983E-1,3.646917681776E-1,0.E0)); +#15473=DIRECTION('',(-3.646917681776E-1,-9.311283016983E-1,0.E0)); +#15474=AXIS2_PLACEMENT_3D('',#15471,#15472,#15473); +#15475=PLANE('',#15474); +#15476=ORIENTED_EDGE('',*,*,#13494,.F.); +#15478=ORIENTED_EDGE('',*,*,#15477,.T.); +#15479=ORIENTED_EDGE('',*,*,#14462,.T.); +#15480=ORIENTED_EDGE('',*,*,#15464,.F.); +#15481=EDGE_LOOP('',(#15476,#15478,#15479,#15480)); +#15482=FACE_OUTER_BOUND('',#15481,.F.); +#15484=CARTESIAN_POINT('',(-1.763166667163E1,8.297872543335E-1,-2.E1)); +#15485=DIRECTION('',(-8.060044126628E-1,5.919095258298E-1,0.E0)); +#15486=DIRECTION('',(-5.919095258298E-1,-8.060044126628E-1,0.E0)); +#15487=AXIS2_PLACEMENT_3D('',#15484,#15485,#15486); +#15488=PLANE('',#15487); +#15489=ORIENTED_EDGE('',*,*,#13492,.F.); +#15491=ORIENTED_EDGE('',*,*,#15490,.T.); +#15492=ORIENTED_EDGE('',*,*,#14464,.T.); +#15493=ORIENTED_EDGE('',*,*,#15477,.F.); +#15494=EDGE_LOOP('',(#15489,#15491,#15492,#15493)); +#15495=FACE_OUTER_BOUND('',#15494,.F.); +#15497=CARTESIAN_POINT('',(-1.742333334327E1,9.574468135834E-1,-2.E1)); +#15498=DIRECTION('',(-5.224769376483E-1,8.526534170609E-1,0.E0)); +#15499=DIRECTION('',(-8.526534170609E-1,-5.224769376483E-1,0.E0)); +#15500=AXIS2_PLACEMENT_3D('',#15497,#15498,#15499); +#15501=PLANE('',#15500); +#15502=ORIENTED_EDGE('',*,*,#13490,.F.); +#15504=ORIENTED_EDGE('',*,*,#15503,.T.); +#15505=ORIENTED_EDGE('',*,*,#14466,.T.); +#15506=ORIENTED_EDGE('',*,*,#15490,.F.); +#15507=EDGE_LOOP('',(#15502,#15504,#15505,#15506)); +#15508=FACE_OUTER_BOUND('',#15507,.F.); +#15510=CARTESIAN_POINT('',(-1.7215E1,1.E0,-2.E1)); +#15511=DIRECTION('',(-2.001233458994E-1,9.797707111493E-1,0.E0)); +#15512=DIRECTION('',(-9.797707111493E-1,-2.001233458994E-1,0.E0)); +#15513=AXIS2_PLACEMENT_3D('',#15510,#15511,#15512); +#15514=PLANE('',#15513); +#15515=ORIENTED_EDGE('',*,*,#13488,.F.); +#15517=ORIENTED_EDGE('',*,*,#15516,.T.); +#15518=ORIENTED_EDGE('',*,*,#14468,.T.); +#15519=ORIENTED_EDGE('',*,*,#15503,.F.); +#15520=EDGE_LOOP('',(#15515,#15517,#15518,#15519)); +#15521=FACE_OUTER_BOUND('',#15520,.F.); +#15523=CARTESIAN_POINT('',(-1.700666668653E1,1.E0,-2.E1)); +#15524=DIRECTION('',(0.E0,1.E0,0.E0)); +#15525=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15526=AXIS2_PLACEMENT_3D('',#15523,#15524,#15525); +#15527=PLANE('',#15526); +#15528=ORIENTED_EDGE('',*,*,#13486,.F.); +#15530=ORIENTED_EDGE('',*,*,#15529,.T.); +#15531=ORIENTED_EDGE('',*,*,#14470,.T.); +#15532=ORIENTED_EDGE('',*,*,#15516,.F.); +#15533=EDGE_LOOP('',(#15528,#15530,#15531,#15532)); +#15534=FACE_OUTER_BOUND('',#15533,.F.); +#15536=CARTESIAN_POINT('',(-1.679833337307E1,9.574468135834E-1,-2.E1)); +#15537=DIRECTION('',(2.001233733807E-1,9.797707055361E-1,0.E0)); +#15538=DIRECTION('',(-9.797707055361E-1,2.001233733807E-1,0.E0)); +#15539=AXIS2_PLACEMENT_3D('',#15536,#15537,#15538); +#15540=PLANE('',#15539); +#15541=ORIENTED_EDGE('',*,*,#13484,.F.); +#15543=ORIENTED_EDGE('',*,*,#15542,.T.); +#15544=ORIENTED_EDGE('',*,*,#14472,.T.); +#15545=ORIENTED_EDGE('',*,*,#15529,.F.); +#15546=EDGE_LOOP('',(#15541,#15543,#15544,#15545)); +#15547=FACE_OUTER_BOUND('',#15546,.F.); +#15549=CARTESIAN_POINT('',(-1.659E1,8.297872543335E-1,-2.E1)); +#15550=DIRECTION('',(5.224768561414E-1,8.526534670056E-1,0.E0)); +#15551=DIRECTION('',(-8.526534670056E-1,5.224768561414E-1,0.E0)); +#15552=AXIS2_PLACEMENT_3D('',#15549,#15550,#15551); +#15553=PLANE('',#15552); +#15554=ORIENTED_EDGE('',*,*,#13482,.F.); +#15556=ORIENTED_EDGE('',*,*,#15555,.T.); +#15557=ORIENTED_EDGE('',*,*,#14474,.T.); +#15558=ORIENTED_EDGE('',*,*,#15542,.F.); +#15559=EDGE_LOOP('',(#15554,#15556,#15557,#15558)); +#15560=FACE_OUTER_BOUND('',#15559,.F.); +#15562=CARTESIAN_POINT('',(-1.6465E1,6.595745086670E-1,-2.E1)); +#15563=DIRECTION('',(8.060043958311E-1,5.919095487496E-1,0.E0)); +#15564=DIRECTION('',(-5.919095487496E-1,8.060043958311E-1,0.E0)); +#15565=AXIS2_PLACEMENT_3D('',#15562,#15563,#15564); +#15566=PLANE('',#15565); +#15567=ORIENTED_EDGE('',*,*,#13480,.F.); +#15569=ORIENTED_EDGE('',*,*,#15568,.T.); +#15570=ORIENTED_EDGE('',*,*,#14476,.T.); +#15571=ORIENTED_EDGE('',*,*,#15555,.F.); +#15572=EDGE_LOOP('',(#15567,#15569,#15570,#15571)); +#15573=FACE_OUTER_BOUND('',#15572,.F.); +#15575=CARTESIAN_POINT('',(-1.638166662693E1,4.468084573746E-1,-2.E1)); +#15576=DIRECTION('',(9.311282463374E-1,3.646919095245E-1,0.E0)); +#15577=DIRECTION('',(-3.646919095245E-1,9.311282463374E-1,0.E0)); +#15578=AXIS2_PLACEMENT_3D('',#15575,#15576,#15577); +#15579=PLANE('',#15578); +#15580=ORIENTED_EDGE('',*,*,#13478,.F.); +#15582=ORIENTED_EDGE('',*,*,#15581,.T.); +#15583=ORIENTED_EDGE('',*,*,#14478,.T.); +#15584=ORIENTED_EDGE('',*,*,#15568,.F.); +#15585=EDGE_LOOP('',(#15580,#15582,#15583,#15584)); +#15586=FACE_OUTER_BOUND('',#15585,.F.); +#15588=CARTESIAN_POINT('',(-1.634E1,1.489361524582E-1,-2.E1)); +#15589=DIRECTION('',(9.903579444511E-1,1.385320968676E-1,0.E0)); +#15590=DIRECTION('',(-1.385320968676E-1,9.903579444511E-1,0.E0)); +#15591=AXIS2_PLACEMENT_3D('',#15588,#15589,#15590); +#15592=PLANE('',#15591); +#15593=ORIENTED_EDGE('',*,*,#13476,.F.); +#15595=ORIENTED_EDGE('',*,*,#15594,.T.); +#15596=ORIENTED_EDGE('',*,*,#14480,.T.); +#15597=ORIENTED_EDGE('',*,*,#15581,.F.); +#15598=EDGE_LOOP('',(#15593,#15595,#15596,#15597)); +#15599=FACE_OUTER_BOUND('',#15598,.F.); +#15601=CARTESIAN_POINT('',(-1.634E1,-1.489361524582E-1,-2.E1)); +#15602=DIRECTION('',(1.E0,0.E0,0.E0)); +#15603=DIRECTION('',(0.E0,1.E0,0.E0)); +#15604=AXIS2_PLACEMENT_3D('',#15601,#15602,#15603); +#15605=PLANE('',#15604); +#15606=ORIENTED_EDGE('',*,*,#13474,.F.); +#15608=ORIENTED_EDGE('',*,*,#15607,.T.); +#15609=ORIENTED_EDGE('',*,*,#14482,.T.); +#15610=ORIENTED_EDGE('',*,*,#15594,.F.); +#15611=EDGE_LOOP('',(#15606,#15608,#15609,#15610)); +#15612=FACE_OUTER_BOUND('',#15611,.F.); +#15614=CARTESIAN_POINT('',(-1.638166662693E1,-4.468085169792E-1,-2.E1)); +#15615=DIRECTION('',(9.903579482543E-1,-1.385320696791E-1,0.E0)); +#15616=DIRECTION('',(1.385320696791E-1,9.903579482543E-1,0.E0)); +#15617=AXIS2_PLACEMENT_3D('',#15614,#15615,#15616); +#15618=PLANE('',#15617); +#15619=ORIENTED_EDGE('',*,*,#13472,.F.); +#15621=ORIENTED_EDGE('',*,*,#15620,.T.); +#15622=ORIENTED_EDGE('',*,*,#14484,.T.); +#15623=ORIENTED_EDGE('',*,*,#15607,.F.); +#15624=EDGE_LOOP('',(#15619,#15621,#15622,#15623)); +#15625=FACE_OUTER_BOUND('',#15624,.F.); +#15627=CARTESIAN_POINT('',(-1.6465E1,-6.595744788647E-1,-2.E1)); +#15628=DIRECTION('',(9.311281942981E-1,-3.646920423907E-1,0.E0)); +#15629=DIRECTION('',(3.646920423907E-1,9.311281942981E-1,0.E0)); +#15630=AXIS2_PLACEMENT_3D('',#15627,#15628,#15629); +#15631=PLANE('',#15630); +#15632=ORIENTED_EDGE('',*,*,#13470,.F.); +#15634=ORIENTED_EDGE('',*,*,#15633,.T.); +#15635=ORIENTED_EDGE('',*,*,#14486,.T.); +#15636=ORIENTED_EDGE('',*,*,#15620,.F.); +#15637=EDGE_LOOP('',(#15632,#15634,#15635,#15636)); +#15638=FACE_OUTER_BOUND('',#15637,.F.); +#15640=CARTESIAN_POINT('',(-1.659E1,-8.297872394323E-1,-2.E1)); +#15641=DIRECTION('',(8.060044205527E-1,-5.919095150862E-1,0.E0)); +#15642=DIRECTION('',(5.919095150862E-1,8.060044205527E-1,0.E0)); +#15643=AXIS2_PLACEMENT_3D('',#15640,#15641,#15642); +#15644=PLANE('',#15643); +#15645=ORIENTED_EDGE('',*,*,#13468,.F.); +#15647=ORIENTED_EDGE('',*,*,#15646,.T.); +#15648=ORIENTED_EDGE('',*,*,#14488,.T.); +#15649=ORIENTED_EDGE('',*,*,#15633,.F.); +#15650=EDGE_LOOP('',(#15645,#15647,#15648,#15649)); +#15651=FACE_OUTER_BOUND('',#15650,.F.); +#15653=CARTESIAN_POINT('',(-1.679833337307E1,-9.574468098581E-1,-2.E1)); +#15654=DIRECTION('',(5.224768893951E-1,-8.526534466288E-1,0.E0)); +#15655=DIRECTION('',(8.526534466288E-1,5.224768893951E-1,0.E0)); +#15656=AXIS2_PLACEMENT_3D('',#15653,#15654,#15655); +#15657=PLANE('',#15656); +#15658=ORIENTED_EDGE('',*,*,#13466,.F.); +#15660=ORIENTED_EDGE('',*,*,#15659,.T.); +#15661=ORIENTED_EDGE('',*,*,#14490,.T.); +#15662=ORIENTED_EDGE('',*,*,#15646,.F.); +#15663=EDGE_LOOP('',(#15658,#15660,#15661,#15662)); +#15664=FACE_OUTER_BOUND('',#15663,.F.); +#15666=CARTESIAN_POINT('',(-1.700666668653E1,-1.E0,-2.E1)); +#15667=DIRECTION('',(2.001233901988E-1,-9.797707021009E-1,0.E0)); +#15668=DIRECTION('',(9.797707021009E-1,2.001233901988E-1,0.E0)); +#15669=AXIS2_PLACEMENT_3D('',#15666,#15667,#15668); +#15670=PLANE('',#15669); +#15671=ORIENTED_EDGE('',*,*,#13464,.F.); +#15673=ORIENTED_EDGE('',*,*,#15672,.T.); +#15674=ORIENTED_EDGE('',*,*,#14492,.T.); +#15675=ORIENTED_EDGE('',*,*,#15659,.F.); +#15676=EDGE_LOOP('',(#15671,#15673,#15674,#15675)); +#15677=FACE_OUTER_BOUND('',#15676,.F.); +#15679=CARTESIAN_POINT('',(-1.717333331347E1,-1.E0,-2.E1)); +#15680=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15681=DIRECTION('',(1.E0,0.E0,0.E0)); +#15682=AXIS2_PLACEMENT_3D('',#15679,#15680,#15681); +#15683=PLANE('',#15682); +#15684=ORIENTED_EDGE('',*,*,#13462,.F.); +#15686=ORIENTED_EDGE('',*,*,#15685,.T.); +#15687=ORIENTED_EDGE('',*,*,#14494,.T.); +#15688=ORIENTED_EDGE('',*,*,#15672,.F.); +#15689=EDGE_LOOP('',(#15684,#15686,#15687,#15688)); +#15690=FACE_OUTER_BOUND('',#15689,.F.); +#15692=CARTESIAN_POINT('',(-1.738166665673E1,-9.574468098581E-1,-2.E1)); +#15693=DIRECTION('',(-2.001233627174E-1,-9.797707077141E-1,0.E0)); +#15694=DIRECTION('',(9.797707077141E-1,-2.001233627174E-1,0.E0)); +#15695=AXIS2_PLACEMENT_3D('',#15692,#15693,#15694); +#15696=PLANE('',#15695); +#15697=ORIENTED_EDGE('',*,*,#13460,.F.); +#15699=ORIENTED_EDGE('',*,*,#15698,.T.); +#15700=ORIENTED_EDGE('',*,*,#14496,.T.); +#15701=ORIENTED_EDGE('',*,*,#15685,.F.); +#15702=EDGE_LOOP('',(#15697,#15699,#15700,#15701)); +#15703=FACE_OUTER_BOUND('',#15702,.F.); +#15705=CARTESIAN_POINT('',(-1.759E1,-8.297872394323E-1,-2.E1)); +#15706=DIRECTION('',(-5.224769437331E-1,-8.526534133324E-1,0.E0)); +#15707=DIRECTION('',(8.526534133324E-1,-5.224769437331E-1,0.E0)); +#15708=AXIS2_PLACEMENT_3D('',#15705,#15706,#15707); +#15709=PLANE('',#15708); +#15710=ORIENTED_EDGE('',*,*,#13458,.F.); +#15712=ORIENTED_EDGE('',*,*,#15711,.T.); +#15713=ORIENTED_EDGE('',*,*,#14498,.T.); +#15714=ORIENTED_EDGE('',*,*,#15698,.F.); +#15715=EDGE_LOOP('',(#15710,#15712,#15713,#15714)); +#15716=FACE_OUTER_BOUND('',#15715,.F.); +#15718=CARTESIAN_POINT('',(-1.7715E1,-6.595744788647E-1,-2.E1)); +#15719=DIRECTION('',(-8.060044205527E-1,-5.919095150862E-1,0.E0)); +#15720=DIRECTION('',(5.919095150862E-1,-8.060044205527E-1,0.E0)); +#15721=AXIS2_PLACEMENT_3D('',#15718,#15719,#15720); +#15722=PLANE('',#15721); +#15723=ORIENTED_EDGE('',*,*,#13456,.F.); +#15724=ORIENTED_EDGE('',*,*,#15219,.T.); +#15725=ORIENTED_EDGE('',*,*,#14500,.T.); +#15726=ORIENTED_EDGE('',*,*,#15711,.F.); +#15727=EDGE_LOOP('',(#15723,#15724,#15725,#15726)); +#15728=FACE_OUTER_BOUND('',#15727,.F.); +#15730=CARTESIAN_POINT('',(-1.75E1,-5.000000000001E0,-2.E1)); +#15731=DIRECTION('',(1.E0,0.E0,0.E0)); +#15732=DIRECTION('',(0.E0,1.E0,0.E0)); +#15733=AXIS2_PLACEMENT_3D('',#15730,#15731,#15732); +#15734=PLANE('',#15733); +#15735=ORIENTED_EDGE('',*,*,#13536,.F.); +#15737=ORIENTED_EDGE('',*,*,#15736,.T.); +#15738=ORIENTED_EDGE('',*,*,#14532,.T.); +#15740=ORIENTED_EDGE('',*,*,#15739,.F.); +#15741=EDGE_LOOP('',(#15735,#15737,#15738,#15740)); +#15742=FACE_OUTER_BOUND('',#15741,.F.); +#15744=CARTESIAN_POINT('',(-1.775E1,-5.000000000001E0,-2.E1)); +#15745=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15746=DIRECTION('',(1.E0,0.E0,0.E0)); +#15747=AXIS2_PLACEMENT_3D('',#15744,#15745,#15746); +#15748=PLANE('',#15747); +#15749=ORIENTED_EDGE('',*,*,#13548,.F.); +#15751=ORIENTED_EDGE('',*,*,#15750,.T.); +#15752=ORIENTED_EDGE('',*,*,#14534,.T.); +#15753=ORIENTED_EDGE('',*,*,#15736,.F.); +#15754=EDGE_LOOP('',(#15749,#15751,#15752,#15753)); +#15755=FACE_OUTER_BOUND('',#15754,.F.); +#15757=CARTESIAN_POINT('',(-1.775E1,-3.340425491334E0,-2.E1)); +#15758=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15759=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15760=AXIS2_PLACEMENT_3D('',#15757,#15758,#15759); +#15761=PLANE('',#15760); +#15762=ORIENTED_EDGE('',*,*,#13546,.F.); +#15764=ORIENTED_EDGE('',*,*,#15763,.T.); +#15765=ORIENTED_EDGE('',*,*,#14536,.T.); +#15766=ORIENTED_EDGE('',*,*,#15750,.F.); +#15767=EDGE_LOOP('',(#15762,#15764,#15765,#15766)); +#15768=FACE_OUTER_BOUND('',#15767,.F.); +#15770=CARTESIAN_POINT('',(-1.8E1,-3.638297915459E0,-2.E1)); +#15771=DIRECTION('',(7.659743427825E-1,-6.428711427642E-1,0.E0)); +#15772=DIRECTION('',(6.428711427642E-1,7.659743427825E-1,0.E0)); +#15773=AXIS2_PLACEMENT_3D('',#15770,#15771,#15772); +#15774=PLANE('',#15773); +#15775=ORIENTED_EDGE('',*,*,#13544,.F.); +#15777=ORIENTED_EDGE('',*,*,#15776,.T.); +#15778=ORIENTED_EDGE('',*,*,#14538,.T.); +#15779=ORIENTED_EDGE('',*,*,#15763,.F.); +#15780=EDGE_LOOP('',(#15775,#15777,#15778,#15779)); +#15781=FACE_OUTER_BOUND('',#15780,.F.); +#15783=CARTESIAN_POINT('',(-1.8E1,-3.297872304917E0,-2.E1)); +#15784=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15785=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15786=AXIS2_PLACEMENT_3D('',#15783,#15784,#15785); +#15787=PLANE('',#15786); +#15788=ORIENTED_EDGE('',*,*,#13542,.F.); +#15790=ORIENTED_EDGE('',*,*,#15789,.T.); +#15791=ORIENTED_EDGE('',*,*,#14540,.T.); +#15792=ORIENTED_EDGE('',*,*,#15776,.F.); +#15793=EDGE_LOOP('',(#15788,#15790,#15791,#15792)); +#15794=FACE_OUTER_BOUND('',#15793,.F.); +#15796=CARTESIAN_POINT('',(-1.775E1,-3.000000000001E0,-2.E1)); +#15797=DIRECTION('',(-7.659742160926E-1,6.428712937139E-1,0.E0)); +#15798=DIRECTION('',(-6.428712937139E-1,-7.659742160926E-1,0.E0)); +#15799=AXIS2_PLACEMENT_3D('',#15796,#15797,#15798); +#15800=PLANE('',#15799); +#15801=ORIENTED_EDGE('',*,*,#13540,.F.); +#15803=ORIENTED_EDGE('',*,*,#15802,.T.); +#15804=ORIENTED_EDGE('',*,*,#14542,.T.); +#15805=ORIENTED_EDGE('',*,*,#15789,.F.); +#15806=EDGE_LOOP('',(#15801,#15803,#15804,#15805)); +#15807=FACE_OUTER_BOUND('',#15806,.F.); +#15809=CARTESIAN_POINT('',(-1.75E1,-3.000000000001E0,-2.E1)); +#15810=DIRECTION('',(0.E0,1.E0,0.E0)); +#15811=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15812=AXIS2_PLACEMENT_3D('',#15809,#15810,#15811); +#15813=PLANE('',#15812); +#15814=ORIENTED_EDGE('',*,*,#13538,.F.); +#15815=ORIENTED_EDGE('',*,*,#15739,.T.); +#15816=ORIENTED_EDGE('',*,*,#14544,.T.); +#15817=ORIENTED_EDGE('',*,*,#15802,.F.); +#15818=EDGE_LOOP('',(#15814,#15815,#15816,#15817)); +#15819=FACE_OUTER_BOUND('',#15818,.F.); +#15821=CARTESIAN_POINT('',(-1.575E1,-4.021276593209E0,-2.E1)); +#15822=DIRECTION('',(7.145103848018E-1,6.996248351869E-1,0.E0)); +#15823=DIRECTION('',(-6.996248351869E-1,7.145103848018E-1,0.E0)); +#15824=AXIS2_PLACEMENT_3D('',#15821,#15822,#15823); +#15825=PLANE('',#15824); +#15826=ORIENTED_EDGE('',*,*,#13552,.F.); +#15828=ORIENTED_EDGE('',*,*,#15827,.T.); +#15829=ORIENTED_EDGE('',*,*,#14554,.T.); +#15831=ORIENTED_EDGE('',*,*,#15830,.F.); +#15832=EDGE_LOOP('',(#15826,#15828,#15829,#15831)); +#15833=FACE_OUTER_BOUND('',#15832,.F.); +#15835=CARTESIAN_POINT('',(-1.566666674614E1,-4.234042525292E0,-2.E1)); +#15836=DIRECTION('',(9.311283541066E-1,3.646916343690E-1,0.E0)); +#15837=DIRECTION('',(-3.646916343690E-1,9.311283541066E-1,0.E0)); +#15838=AXIS2_PLACEMENT_3D('',#15835,#15836,#15837); +#15839=PLANE('',#15838); +#15840=ORIENTED_EDGE('',*,*,#13630,.F.); +#15842=ORIENTED_EDGE('',*,*,#15841,.T.); +#15843=ORIENTED_EDGE('',*,*,#14556,.T.); +#15844=ORIENTED_EDGE('',*,*,#15827,.F.); +#15845=EDGE_LOOP('',(#15840,#15842,#15843,#15844)); +#15846=FACE_OUTER_BOUND('',#15845,.F.); +#15848=CARTESIAN_POINT('',(-1.566666674614E1,-4.446808516980E0,-2.E1)); +#15849=DIRECTION('',(1.E0,0.E0,0.E0)); +#15850=DIRECTION('',(0.E0,1.E0,0.E0)); +#15851=AXIS2_PLACEMENT_3D('',#15848,#15849,#15850); +#15852=PLANE('',#15851); +#15853=ORIENTED_EDGE('',*,*,#13628,.F.); +#15855=ORIENTED_EDGE('',*,*,#15854,.T.); +#15856=ORIENTED_EDGE('',*,*,#14558,.T.); +#15857=ORIENTED_EDGE('',*,*,#15841,.F.); +#15858=EDGE_LOOP('',(#15853,#15855,#15856,#15857)); +#15859=FACE_OUTER_BOUND('',#15858,.F.); +#15861=CARTESIAN_POINT('',(-1.575E1,-4.659574478865E0,-2.E1)); +#15862=DIRECTION('',(9.311283714530E-1,-3.646915900803E-1,0.E0)); +#15863=DIRECTION('',(3.646915900803E-1,9.311283714530E-1,0.E0)); +#15864=AXIS2_PLACEMENT_3D('',#15861,#15862,#15863); +#15865=PLANE('',#15864); +#15866=ORIENTED_EDGE('',*,*,#13626,.F.); +#15868=ORIENTED_EDGE('',*,*,#15867,.T.); +#15869=ORIENTED_EDGE('',*,*,#14560,.T.); +#15870=ORIENTED_EDGE('',*,*,#15854,.F.); +#15871=EDGE_LOOP('',(#15866,#15868,#15869,#15870)); +#15872=FACE_OUTER_BOUND('',#15871,.F.); +#15874=CARTESIAN_POINT('',(-1.5875E1,-4.829787239433E0,-2.E1)); +#15875=DIRECTION('',(8.060044205527E-1,-5.919095150862E-1,0.E0)); +#15876=DIRECTION('',(5.919095150862E-1,8.060044205527E-1,0.E0)); +#15877=AXIS2_PLACEMENT_3D('',#15874,#15875,#15876); +#15878=PLANE('',#15877); +#15879=ORIENTED_EDGE('',*,*,#13624,.F.); +#15881=ORIENTED_EDGE('',*,*,#15880,.T.); +#15882=ORIENTED_EDGE('',*,*,#14562,.T.); +#15883=ORIENTED_EDGE('',*,*,#15867,.F.); +#15884=EDGE_LOOP('',(#15879,#15881,#15882,#15883)); +#15885=FACE_OUTER_BOUND('',#15884,.F.); +#15887=CARTESIAN_POINT('',(-1.608333337307E1,-4.957446809859E0,-2.E1)); +#15888=DIRECTION('',(5.224768893951E-1,-8.526534466288E-1,0.E0)); +#15889=DIRECTION('',(8.526534466288E-1,5.224768893951E-1,0.E0)); +#15890=AXIS2_PLACEMENT_3D('',#15887,#15888,#15889); +#15891=PLANE('',#15890); +#15892=ORIENTED_EDGE('',*,*,#13622,.F.); +#15894=ORIENTED_EDGE('',*,*,#15893,.T.); +#15895=ORIENTED_EDGE('',*,*,#14564,.T.); +#15896=ORIENTED_EDGE('',*,*,#15880,.F.); +#15897=EDGE_LOOP('',(#15892,#15894,#15895,#15896)); +#15898=FACE_OUTER_BOUND('',#15897,.F.); +#15900=CARTESIAN_POINT('',(-1.629166662693E1,-5.000000000001E0,-2.E1)); +#15901=DIRECTION('',(2.001234451615E-1,-9.797706908745E-1,0.E0)); +#15902=DIRECTION('',(9.797706908745E-1,2.001234451615E-1,0.E0)); +#15903=AXIS2_PLACEMENT_3D('',#15900,#15901,#15902); +#15904=PLANE('',#15903); +#15905=ORIENTED_EDGE('',*,*,#13620,.F.); +#15907=ORIENTED_EDGE('',*,*,#15906,.T.); +#15908=ORIENTED_EDGE('',*,*,#14566,.T.); +#15909=ORIENTED_EDGE('',*,*,#15893,.F.); +#15910=EDGE_LOOP('',(#15905,#15907,#15908,#15909)); +#15911=FACE_OUTER_BOUND('',#15910,.F.); +#15913=CARTESIAN_POINT('',(-1.65E1,-5.000000000001E0,-2.E1)); +#15914=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15915=DIRECTION('',(1.E0,0.E0,0.E0)); +#15916=AXIS2_PLACEMENT_3D('',#15913,#15914,#15915); +#15917=PLANE('',#15916); +#15918=ORIENTED_EDGE('',*,*,#13618,.F.); +#15920=ORIENTED_EDGE('',*,*,#15919,.T.); +#15921=ORIENTED_EDGE('',*,*,#14568,.T.); +#15922=ORIENTED_EDGE('',*,*,#15906,.F.); +#15923=EDGE_LOOP('',(#15918,#15920,#15921,#15922)); +#15924=FACE_OUTER_BOUND('',#15923,.F.); +#15926=CARTESIAN_POINT('',(-1.670833337307E1,-4.957446809859E0,-2.E1)); +#15927=DIRECTION('',(-2.001233352361E-1,-9.797707133274E-1,0.E0)); +#15928=DIRECTION('',(9.797707133274E-1,-2.001233352361E-1,0.E0)); +#15929=AXIS2_PLACEMENT_3D('',#15926,#15927,#15928); +#15930=PLANE('',#15929); +#15931=ORIENTED_EDGE('',*,*,#13616,.F.); +#15933=ORIENTED_EDGE('',*,*,#15932,.T.); +#15934=ORIENTED_EDGE('',*,*,#14570,.T.); +#15935=ORIENTED_EDGE('',*,*,#15919,.F.); +#15936=EDGE_LOOP('',(#15931,#15933,#15934,#15935)); +#15937=FACE_OUTER_BOUND('',#15936,.F.); +#15939=CARTESIAN_POINT('',(-1.691666662693E1,-4.829787239433E0,-2.E1)); +#15940=DIRECTION('',(-5.224771067470E-1,-8.526533134429E-1,0.E0)); +#15941=DIRECTION('',(8.526533134429E-1,-5.224771067470E-1,0.E0)); +#15942=AXIS2_PLACEMENT_3D('',#15939,#15940,#15941); +#15943=PLANE('',#15942); +#15944=ORIENTED_EDGE('',*,*,#13614,.F.); +#15946=ORIENTED_EDGE('',*,*,#15945,.T.); +#15947=ORIENTED_EDGE('',*,*,#14572,.T.); +#15948=ORIENTED_EDGE('',*,*,#15932,.F.); +#15949=EDGE_LOOP('',(#15944,#15946,#15947,#15948)); +#15950=FACE_OUTER_BOUND('',#15949,.F.); +#15952=CARTESIAN_POINT('',(-1.704166668653E1,-4.659574478865E0,-2.E1)); +#15953=DIRECTION('',(-8.060042858990E-1,-5.919096984443E-1,0.E0)); +#15954=DIRECTION('',(5.919096984443E-1,-8.060042858990E-1,0.E0)); +#15955=AXIS2_PLACEMENT_3D('',#15952,#15953,#15954); +#15956=PLANE('',#15955); +#15957=ORIENTED_EDGE('',*,*,#13612,.F.); +#15959=ORIENTED_EDGE('',*,*,#15958,.T.); +#15960=ORIENTED_EDGE('',*,*,#14574,.T.); +#15961=ORIENTED_EDGE('',*,*,#15945,.F.); +#15962=EDGE_LOOP('',(#15957,#15959,#15960,#15961)); +#15963=FACE_OUTER_BOUND('',#15962,.F.); +#15965=CARTESIAN_POINT('',(-1.7125E1,-4.446808516980E0,-2.E1)); +#15966=DIRECTION('',(-9.311282828756E-1,-3.646918162355E-1,0.E0)); +#15967=DIRECTION('',(3.646918162355E-1,-9.311282828756E-1,0.E0)); +#15968=AXIS2_PLACEMENT_3D('',#15965,#15966,#15967); +#15969=PLANE('',#15968); +#15970=ORIENTED_EDGE('',*,*,#13610,.F.); +#15972=ORIENTED_EDGE('',*,*,#15971,.T.); +#15973=ORIENTED_EDGE('',*,*,#14576,.T.); +#15974=ORIENTED_EDGE('',*,*,#15958,.F.); +#15975=EDGE_LOOP('',(#15970,#15972,#15973,#15974)); +#15976=FACE_OUTER_BOUND('',#15975,.F.); +#15978=CARTESIAN_POINT('',(-1.716666668653E1,-4.148936152459E0,-2.E1)); +#15979=DIRECTION('',(-9.903579210658E-1,-1.385322640479E-1,0.E0)); +#15980=DIRECTION('',(1.385322640479E-1,-9.903579210658E-1,0.E0)); +#15981=AXIS2_PLACEMENT_3D('',#15978,#15979,#15980); +#15982=PLANE('',#15981); +#15983=ORIENTED_EDGE('',*,*,#13608,.F.); +#15985=ORIENTED_EDGE('',*,*,#15984,.T.); +#15986=ORIENTED_EDGE('',*,*,#14578,.T.); +#15987=ORIENTED_EDGE('',*,*,#15971,.F.); +#15988=EDGE_LOOP('',(#15983,#15985,#15986,#15987)); +#15989=FACE_OUTER_BOUND('',#15988,.F.); +#15991=CARTESIAN_POINT('',(-1.716666668653E1,-3.851063847542E0,-2.E1)); +#15992=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15993=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15994=AXIS2_PLACEMENT_3D('',#15991,#15992,#15993); +#15995=PLANE('',#15994); +#15996=ORIENTED_EDGE('',*,*,#13606,.F.); +#15998=ORIENTED_EDGE('',*,*,#15997,.T.); +#15999=ORIENTED_EDGE('',*,*,#14580,.T.); +#16000=ORIENTED_EDGE('',*,*,#15984,.F.); +#16001=EDGE_LOOP('',(#15996,#15998,#15999,#16000)); +#16002=FACE_OUTER_BOUND('',#16001,.F.); +#16004=CARTESIAN_POINT('',(-1.7125E1,-3.553191542626E0,-2.E1)); +#16005=DIRECTION('',(-9.903579172626E-1,1.385322912364E-1,0.E0)); +#16006=DIRECTION('',(-1.385322912364E-1,-9.903579172626E-1,0.E0)); +#16007=AXIS2_PLACEMENT_3D('',#16004,#16005,#16006); +#16008=PLANE('',#16007); +#16009=ORIENTED_EDGE('',*,*,#13604,.F.); +#16011=ORIENTED_EDGE('',*,*,#16010,.T.); +#16012=ORIENTED_EDGE('',*,*,#14582,.T.); +#16013=ORIENTED_EDGE('',*,*,#15997,.F.); +#16014=EDGE_LOOP('',(#16009,#16011,#16012,#16013)); +#16015=FACE_OUTER_BOUND('',#16014,.F.); +#16017=CARTESIAN_POINT('',(-1.704166668653E1,-3.340425491334E0,-2.E1)); +#16018=DIRECTION('',(-9.311283349148E-1,3.646916833695E-1,0.E0)); +#16019=DIRECTION('',(-3.646916833695E-1,-9.311283349148E-1,0.E0)); +#16020=AXIS2_PLACEMENT_3D('',#16017,#16018,#16019); +#16021=PLANE('',#16020); +#16022=ORIENTED_EDGE('',*,*,#13602,.F.); +#16024=ORIENTED_EDGE('',*,*,#16023,.T.); +#16025=ORIENTED_EDGE('',*,*,#14584,.T.); +#16026=ORIENTED_EDGE('',*,*,#16010,.F.); +#16027=EDGE_LOOP('',(#16022,#16024,#16025,#16026)); +#16028=FACE_OUTER_BOUND('',#16027,.F.); +#16030=CARTESIAN_POINT('',(-1.691666662693E1,-3.170212745667E0,-2.E1)); +#16031=DIRECTION('',(-8.060042611774E-1,5.919097321077E-1,0.E0)); +#16032=DIRECTION('',(-5.919097321077E-1,-8.060042611774E-1,0.E0)); +#16033=AXIS2_PLACEMENT_3D('',#16030,#16031,#16032); +#16034=PLANE('',#16033); +#16035=ORIENTED_EDGE('',*,*,#13600,.F.); +#16037=ORIENTED_EDGE('',*,*,#16036,.T.); +#16038=ORIENTED_EDGE('',*,*,#14586,.T.); +#16039=ORIENTED_EDGE('',*,*,#16023,.F.); +#16040=EDGE_LOOP('',(#16035,#16037,#16038,#16039)); +#16041=FACE_OUTER_BOUND('',#16040,.F.); +#16043=CARTESIAN_POINT('',(-1.670833337307E1,-3.042553186417E0,-2.E1)); +#16044=DIRECTION('',(-5.224770734933E-1,8.526533338197E-1,0.E0)); +#16045=DIRECTION('',(-8.526533338197E-1,-5.224770734933E-1,0.E0)); +#16046=AXIS2_PLACEMENT_3D('',#16043,#16044,#16045); +#16047=PLANE('',#16046); +#16048=ORIENTED_EDGE('',*,*,#13598,.F.); +#16050=ORIENTED_EDGE('',*,*,#16049,.T.); +#16051=ORIENTED_EDGE('',*,*,#14588,.T.); +#16052=ORIENTED_EDGE('',*,*,#16036,.F.); +#16053=EDGE_LOOP('',(#16048,#16050,#16051,#16052)); +#16054=FACE_OUTER_BOUND('',#16053,.F.); +#16056=CARTESIAN_POINT('',(-1.65E1,-3.000000000001E0,-2.E1)); +#16057=DIRECTION('',(-2.001233184181E-1,9.797707167625E-1,0.E0)); +#16058=DIRECTION('',(-9.797707167625E-1,-2.001233184181E-1,0.E0)); +#16059=AXIS2_PLACEMENT_3D('',#16056,#16057,#16058); +#16060=PLANE('',#16059); +#16061=ORIENTED_EDGE('',*,*,#13596,.F.); +#16063=ORIENTED_EDGE('',*,*,#16062,.T.); +#16064=ORIENTED_EDGE('',*,*,#14590,.T.); +#16065=ORIENTED_EDGE('',*,*,#16049,.F.); +#16066=EDGE_LOOP('',(#16061,#16063,#16064,#16065)); +#16067=FACE_OUTER_BOUND('',#16066,.F.); +#16069=CARTESIAN_POINT('',(-1.633333337307E1,-3.000000000001E0,-2.E1)); +#16070=DIRECTION('',(0.E0,1.E0,0.E0)); +#16071=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16072=AXIS2_PLACEMENT_3D('',#16069,#16070,#16071); +#16073=PLANE('',#16072); +#16074=ORIENTED_EDGE('',*,*,#13594,.F.); +#16076=ORIENTED_EDGE('',*,*,#16075,.T.); +#16077=ORIENTED_EDGE('',*,*,#14592,.T.); +#16078=ORIENTED_EDGE('',*,*,#16062,.F.); +#16079=EDGE_LOOP('',(#16074,#16076,#16077,#16078)); +#16080=FACE_OUTER_BOUND('',#16079,.F.); +#16082=CARTESIAN_POINT('',(-1.6125E1,-3.042553186417E0,-2.E1)); +#16083=DIRECTION('',(2.001233184181E-1,9.797707167625E-1,0.E0)); +#16084=DIRECTION('',(-9.797707167625E-1,2.001233184181E-1,0.E0)); +#16085=AXIS2_PLACEMENT_3D('',#16082,#16083,#16084); +#16086=PLANE('',#16085); +#16087=ORIENTED_EDGE('',*,*,#13592,.F.); +#16089=ORIENTED_EDGE('',*,*,#16088,.T.); +#16090=ORIENTED_EDGE('',*,*,#14594,.T.); +#16091=ORIENTED_EDGE('',*,*,#16075,.F.); +#16092=EDGE_LOOP('',(#16087,#16089,#16090,#16091)); +#16093=FACE_OUTER_BOUND('',#16092,.F.); +#16095=CARTESIAN_POINT('',(-1.591666674614E1,-3.170212745667E0,-2.E1)); +#16096=DIRECTION('',(5.224770734933E-1,8.526533338197E-1,0.E0)); +#16097=DIRECTION('',(-8.526533338197E-1,5.224770734933E-1,0.E0)); +#16098=AXIS2_PLACEMENT_3D('',#16095,#16096,#16097); +#16099=PLANE('',#16098); +#16100=ORIENTED_EDGE('',*,*,#13590,.F.); +#16102=ORIENTED_EDGE('',*,*,#16101,.T.); +#16103=ORIENTED_EDGE('',*,*,#14596,.T.); +#16104=ORIENTED_EDGE('',*,*,#16088,.F.); +#16105=EDGE_LOOP('',(#16100,#16102,#16103,#16104)); +#16106=FACE_OUTER_BOUND('',#16105,.F.); +#16108=CARTESIAN_POINT('',(-1.579166674614E1,-3.340425491334E0,-2.E1)); +#16109=DIRECTION('',(8.060043958311E-1,5.919095487496E-1,0.E0)); +#16110=DIRECTION('',(-5.919095487496E-1,8.060043958311E-1,0.E0)); +#16111=AXIS2_PLACEMENT_3D('',#16108,#16109,#16110); +#16112=PLANE('',#16111); +#16113=ORIENTED_EDGE('',*,*,#13588,.F.); +#16115=ORIENTED_EDGE('',*,*,#16114,.T.); +#16116=ORIENTED_EDGE('',*,*,#14598,.T.); +#16117=ORIENTED_EDGE('',*,*,#16101,.F.); +#16118=EDGE_LOOP('',(#16113,#16115,#16116,#16117)); +#16119=FACE_OUTER_BOUND('',#16118,.F.); +#16121=CARTESIAN_POINT('',(-1.575E1,-3.468085050583E0,-2.E1)); +#16122=DIRECTION('',(9.506450175458E-1,3.102805998049E-1,0.E0)); +#16123=DIRECTION('',(-3.102805998049E-1,9.506450175458E-1,0.E0)); +#16124=AXIS2_PLACEMENT_3D('',#16121,#16122,#16123); +#16125=PLANE('',#16124); +#16126=ORIENTED_EDGE('',*,*,#13586,.F.); +#16128=ORIENTED_EDGE('',*,*,#16127,.T.); +#16129=ORIENTED_EDGE('',*,*,#14600,.T.); +#16130=ORIENTED_EDGE('',*,*,#16114,.F.); +#16131=EDGE_LOOP('',(#16126,#16128,#16129,#16130)); +#16132=FACE_OUTER_BOUND('',#16131,.F.); +#16134=CARTESIAN_POINT('',(-1.595833325386E1,-3.468085050583E0,-2.E1)); +#16135=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16136=DIRECTION('',(1.E0,0.E0,0.E0)); +#16137=AXIS2_PLACEMENT_3D('',#16134,#16135,#16136); +#16138=PLANE('',#16137); +#16139=ORIENTED_EDGE('',*,*,#13584,.F.); +#16141=ORIENTED_EDGE('',*,*,#16140,.T.); +#16142=ORIENTED_EDGE('',*,*,#14602,.T.); +#16143=ORIENTED_EDGE('',*,*,#16127,.F.); +#16144=EDGE_LOOP('',(#16139,#16141,#16142,#16143)); +#16145=FACE_OUTER_BOUND('',#16144,.F.); +#16147=CARTESIAN_POINT('',(-1.604166662693E1,-3.340425491334E0,-2.E1)); +#16148=DIRECTION('',(-8.373790012342E-1,-5.466227293958E-1,0.E0)); +#16149=DIRECTION('',(5.466227293958E-1,-8.373790012342E-1,0.E0)); +#16150=AXIS2_PLACEMENT_3D('',#16147,#16148,#16149); +#16151=PLANE('',#16150); +#16152=ORIENTED_EDGE('',*,*,#13582,.F.); +#16154=ORIENTED_EDGE('',*,*,#16153,.T.); +#16155=ORIENTED_EDGE('',*,*,#14604,.T.); +#16156=ORIENTED_EDGE('',*,*,#16140,.F.); +#16157=EDGE_LOOP('',(#16152,#16154,#16155,#16156)); +#16158=FACE_OUTER_BOUND('',#16157,.F.); +#16160=CARTESIAN_POINT('',(-1.616666662693E1,-3.255319118500E0,-2.E1)); +#16161=DIRECTION('',(-5.627909312417E-1,-8.265992787996E-1,0.E0)); +#16162=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#16163=AXIS2_PLACEMENT_3D('',#16160,#16161,#16162); +#16164=PLANE('',#16163); +#16165=ORIENTED_EDGE('',*,*,#13580,.F.); +#16167=ORIENTED_EDGE('',*,*,#16166,.T.); +#16168=ORIENTED_EDGE('',*,*,#14606,.T.); +#16169=ORIENTED_EDGE('',*,*,#16153,.F.); +#16170=EDGE_LOOP('',(#16165,#16167,#16168,#16169)); +#16171=FACE_OUTER_BOUND('',#16170,.F.); +#16173=CARTESIAN_POINT('',(-1.629166662693E1,-3.212765932084E0,-2.E1)); +#16174=DIRECTION('',(-3.222637633772E-1,-9.466499177700E-1,0.E0)); +#16175=DIRECTION('',(9.466499177700E-1,-3.222637633772E-1,0.E0)); +#16176=AXIS2_PLACEMENT_3D('',#16173,#16174,#16175); +#16177=PLANE('',#16176); +#16178=ORIENTED_EDGE('',*,*,#13578,.F.); +#16180=ORIENTED_EDGE('',*,*,#16179,.T.); +#16181=ORIENTED_EDGE('',*,*,#14608,.T.); +#16182=ORIENTED_EDGE('',*,*,#16166,.F.); +#16183=EDGE_LOOP('',(#16178,#16180,#16181,#16182)); +#16184=FACE_OUTER_BOUND('',#16183,.F.); +#16186=CARTESIAN_POINT('',(-1.654166662693E1,-3.212765932084E0,-2.E1)); +#16187=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16188=DIRECTION('',(1.E0,0.E0,0.E0)); +#16189=AXIS2_PLACEMENT_3D('',#16186,#16187,#16188); +#16190=PLANE('',#16189); +#16191=ORIENTED_EDGE('',*,*,#13576,.F.); +#16193=ORIENTED_EDGE('',*,*,#16192,.T.); +#16194=ORIENTED_EDGE('',*,*,#14610,.T.); +#16195=ORIENTED_EDGE('',*,*,#16179,.F.); +#16196=EDGE_LOOP('',(#16191,#16193,#16194,#16195)); +#16197=FACE_OUTER_BOUND('',#16196,.F.); +#16199=CARTESIAN_POINT('',(-1.666666662693E1,-3.255319118500E0,-2.E1)); +#16200=DIRECTION('',(3.222637633772E-1,-9.466499177700E-1,0.E0)); +#16201=DIRECTION('',(9.466499177700E-1,3.222637633772E-1,0.E0)); +#16202=AXIS2_PLACEMENT_3D('',#16199,#16200,#16201); +#16203=PLANE('',#16202); +#16204=ORIENTED_EDGE('',*,*,#13574,.F.); +#16206=ORIENTED_EDGE('',*,*,#16205,.T.); +#16207=ORIENTED_EDGE('',*,*,#14612,.T.); +#16208=ORIENTED_EDGE('',*,*,#16192,.F.); +#16209=EDGE_LOOP('',(#16204,#16206,#16207,#16208)); +#16210=FACE_OUTER_BOUND('',#16209,.F.); +#16212=CARTESIAN_POINT('',(-1.679166662693E1,-3.340425491334E0,-2.E1)); +#16213=DIRECTION('',(5.627909312417E-1,-8.265992787996E-1,0.E0)); +#16214=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#16215=AXIS2_PLACEMENT_3D('',#16212,#16213,#16214); +#16216=PLANE('',#16215); +#16217=ORIENTED_EDGE('',*,*,#13572,.F.); +#16219=ORIENTED_EDGE('',*,*,#16218,.T.); +#16220=ORIENTED_EDGE('',*,*,#14614,.T.); +#16221=ORIENTED_EDGE('',*,*,#16205,.F.); +#16222=EDGE_LOOP('',(#16217,#16219,#16220,#16221)); +#16223=FACE_OUTER_BOUND('',#16222,.F.); +#16225=CARTESIAN_POINT('',(-1.6875E1,-3.468085050583E0,-2.E1)); +#16226=DIRECTION('',(8.373790012342E-1,-5.466227293958E-1,0.E0)); +#16227=DIRECTION('',(5.466227293958E-1,8.373790012342E-1,0.E0)); +#16228=AXIS2_PLACEMENT_3D('',#16225,#16226,#16227); +#16229=PLANE('',#16228); +#16230=ORIENTED_EDGE('',*,*,#13570,.F.); +#16232=ORIENTED_EDGE('',*,*,#16231,.T.); +#16233=ORIENTED_EDGE('',*,*,#14616,.T.); +#16234=ORIENTED_EDGE('',*,*,#16218,.F.); +#16235=EDGE_LOOP('',(#16230,#16232,#16233,#16234)); +#16236=FACE_OUTER_BOUND('',#16235,.F.); +#16238=CARTESIAN_POINT('',(-1.691666662693E1,-3.595744729043E0,-2.E1)); +#16239=DIRECTION('',(9.506453648566E-1,-3.102795357040E-1,0.E0)); +#16240=DIRECTION('',(3.102795357040E-1,9.506453648566E-1,0.E0)); +#16241=AXIS2_PLACEMENT_3D('',#16238,#16239,#16240); +#16242=PLANE('',#16241); +#16243=ORIENTED_EDGE('',*,*,#13568,.F.); +#16245=ORIENTED_EDGE('',*,*,#16244,.T.); +#16246=ORIENTED_EDGE('',*,*,#14618,.T.); +#16247=ORIENTED_EDGE('',*,*,#16231,.F.); +#16248=EDGE_LOOP('',(#16243,#16245,#16246,#16247)); +#16249=FACE_OUTER_BOUND('',#16248,.F.); +#16251=CARTESIAN_POINT('',(-1.695833337307E1,-3.851063847542E0,-2.E1)); +#16252=DIRECTION('',(9.869439482880E-1,-1.610640957438E-1,0.E0)); +#16253=DIRECTION('',(1.610640957438E-1,9.869439482880E-1,0.E0)); +#16254=AXIS2_PLACEMENT_3D('',#16251,#16252,#16253); +#16255=PLANE('',#16254); +#16256=ORIENTED_EDGE('',*,*,#13566,.F.); +#16258=ORIENTED_EDGE('',*,*,#16257,.T.); +#16259=ORIENTED_EDGE('',*,*,#14620,.T.); +#16260=ORIENTED_EDGE('',*,*,#16244,.F.); +#16261=EDGE_LOOP('',(#16256,#16258,#16259,#16260)); +#16262=FACE_OUTER_BOUND('',#16261,.F.); +#16264=CARTESIAN_POINT('',(-1.695833337307E1,-3.936170220376E0,-2.E1)); +#16265=DIRECTION('',(1.E0,0.E0,0.E0)); +#16266=DIRECTION('',(0.E0,1.E0,0.E0)); +#16267=AXIS2_PLACEMENT_3D('',#16264,#16265,#16266); +#16268=PLANE('',#16267); +#16269=ORIENTED_EDGE('',*,*,#13564,.F.); +#16271=ORIENTED_EDGE('',*,*,#16270,.T.); +#16272=ORIENTED_EDGE('',*,*,#14622,.T.); +#16273=ORIENTED_EDGE('',*,*,#16257,.F.); +#16274=EDGE_LOOP('',(#16269,#16271,#16272,#16273)); +#16275=FACE_OUTER_BOUND('',#16274,.F.); +#16277=CARTESIAN_POINT('',(-1.670833337307E1,-3.765957474709E0,-2.E1)); +#16278=DIRECTION('',(-5.627909312417E-1,8.265992787996E-1,0.E0)); +#16279=DIRECTION('',(-8.265992787996E-1,-5.627909312417E-1,0.E0)); +#16280=AXIS2_PLACEMENT_3D('',#16277,#16278,#16279); +#16281=PLANE('',#16280); +#16282=ORIENTED_EDGE('',*,*,#13562,.F.); +#16284=ORIENTED_EDGE('',*,*,#16283,.T.); +#16285=ORIENTED_EDGE('',*,*,#14624,.T.); +#16286=ORIENTED_EDGE('',*,*,#16270,.F.); +#16287=EDGE_LOOP('',(#16282,#16284,#16285,#16286)); +#16288=FACE_OUTER_BOUND('',#16287,.F.); +#16290=CARTESIAN_POINT('',(-1.65E1,-3.723404288292E0,-2.E1)); +#16291=DIRECTION('',(-2.001233184181E-1,9.797707167625E-1,0.E0)); +#16292=DIRECTION('',(-9.797707167625E-1,-2.001233184181E-1,0.E0)); +#16293=AXIS2_PLACEMENT_3D('',#16290,#16291,#16292); +#16294=PLANE('',#16293); +#16295=ORIENTED_EDGE('',*,*,#13560,.F.); +#16297=ORIENTED_EDGE('',*,*,#16296,.T.); +#16298=ORIENTED_EDGE('',*,*,#14626,.T.); +#16299=ORIENTED_EDGE('',*,*,#16283,.F.); +#16300=EDGE_LOOP('',(#16295,#16297,#16298,#16299)); +#16301=FACE_OUTER_BOUND('',#16300,.F.); +#16303=CARTESIAN_POINT('',(-1.629166662693E1,-3.723404288292E0,-2.E1)); +#16304=DIRECTION('',(0.E0,1.E0,0.E0)); +#16305=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16306=AXIS2_PLACEMENT_3D('',#16303,#16304,#16305); +#16307=PLANE('',#16306); +#16308=ORIENTED_EDGE('',*,*,#13558,.F.); +#16310=ORIENTED_EDGE('',*,*,#16309,.T.); +#16311=ORIENTED_EDGE('',*,*,#14628,.T.); +#16312=ORIENTED_EDGE('',*,*,#16296,.F.); +#16313=EDGE_LOOP('',(#16308,#16310,#16311,#16312)); +#16314=FACE_OUTER_BOUND('',#16313,.F.); +#16316=CARTESIAN_POINT('',(-1.608333337307E1,-3.765957474709E0,-2.E1)); +#16317=DIRECTION('',(2.001234283435E-1,9.797706943097E-1,0.E0)); +#16318=DIRECTION('',(-9.797706943097E-1,2.001234283435E-1,0.E0)); +#16319=AXIS2_PLACEMENT_3D('',#16316,#16317,#16318); +#16320=PLANE('',#16319); +#16321=ORIENTED_EDGE('',*,*,#13556,.F.); +#16323=ORIENTED_EDGE('',*,*,#16322,.T.); +#16324=ORIENTED_EDGE('',*,*,#14630,.T.); +#16325=ORIENTED_EDGE('',*,*,#16309,.F.); +#16326=EDGE_LOOP('',(#16321,#16323,#16324,#16325)); +#16327=FACE_OUTER_BOUND('',#16326,.F.); +#16329=CARTESIAN_POINT('',(-1.5875E1,-3.893617033959E0,-2.E1)); +#16330=DIRECTION('',(5.224768561414E-1,8.526534670056E-1,0.E0)); +#16331=DIRECTION('',(-8.526534670056E-1,5.224768561414E-1,0.E0)); +#16332=AXIS2_PLACEMENT_3D('',#16329,#16330,#16331); +#16333=PLANE('',#16332); +#16334=ORIENTED_EDGE('',*,*,#13554,.F.); +#16335=ORIENTED_EDGE('',*,*,#15830,.T.); +#16336=ORIENTED_EDGE('',*,*,#14632,.T.); +#16337=ORIENTED_EDGE('',*,*,#16322,.F.); +#16338=EDGE_LOOP('',(#16334,#16335,#16336,#16337)); +#16339=FACE_OUTER_BOUND('',#16338,.F.); +#16341=CARTESIAN_POINT('',(-1.591666674614E1,-4.148936152459E0,-2.E1)); +#16342=DIRECTION('',(-9.506450602779E-1,-3.102804688813E-1,0.E0)); +#16343=DIRECTION('',(3.102804688813E-1,-9.506450602779E-1,0.E0)); +#16344=AXIS2_PLACEMENT_3D('',#16341,#16342,#16343); +#16345=PLANE('',#16344); +#16346=ORIENTED_EDGE('',*,*,#13143,.F.); +#16348=ORIENTED_EDGE('',*,*,#16347,.T.); +#16349=ORIENTED_EDGE('',*,*,#14636,.T.); +#16351=ORIENTED_EDGE('',*,*,#16350,.F.); +#16352=EDGE_LOOP('',(#16346,#16348,#16349,#16351)); +#16353=FACE_OUTER_BOUND('',#16352,.F.); +#16355=CARTESIAN_POINT('',(-1.6E1,-4.063829779625E0,-2.E1)); +#16356=DIRECTION('',(-7.145107183351E-1,-6.996244945571E-1,0.E0)); +#16357=DIRECTION('',(6.996244945571E-1,-7.145107183351E-1,0.E0)); +#16358=AXIS2_PLACEMENT_3D('',#16355,#16356,#16357); +#16359=PLANE('',#16358); +#16360=ORIENTED_EDGE('',*,*,#13181,.F.); +#16362=ORIENTED_EDGE('',*,*,#16361,.T.); +#16363=ORIENTED_EDGE('',*,*,#14638,.T.); +#16364=ORIENTED_EDGE('',*,*,#16347,.F.); +#16365=EDGE_LOOP('',(#16360,#16362,#16363,#16364)); +#16366=FACE_OUTER_BOUND('',#16365,.F.); +#16368=CARTESIAN_POINT('',(-1.6125E1,-3.978723406792E0,-2.E1)); +#16369=DIRECTION('',(-5.627909312417E-1,-8.265992787996E-1,0.E0)); +#16370=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#16371=AXIS2_PLACEMENT_3D('',#16368,#16369,#16370); +#16372=PLANE('',#16371); +#16373=ORIENTED_EDGE('',*,*,#13179,.F.); +#16375=ORIENTED_EDGE('',*,*,#16374,.T.); +#16376=ORIENTED_EDGE('',*,*,#14640,.T.); +#16377=ORIENTED_EDGE('',*,*,#16361,.F.); +#16378=EDGE_LOOP('',(#16373,#16375,#16376,#16377)); +#16379=FACE_OUTER_BOUND('',#16378,.F.); +#16381=CARTESIAN_POINT('',(-1.625E1,-3.936170220376E0,-2.E1)); +#16382=DIRECTION('',(-3.222637633772E-1,-9.466499177700E-1,0.E0)); +#16383=DIRECTION('',(9.466499177700E-1,-3.222637633772E-1,0.E0)); +#16384=AXIS2_PLACEMENT_3D('',#16381,#16382,#16383); +#16385=PLANE('',#16384); +#16386=ORIENTED_EDGE('',*,*,#13177,.F.); +#16388=ORIENTED_EDGE('',*,*,#16387,.T.); +#16389=ORIENTED_EDGE('',*,*,#14642,.T.); +#16390=ORIENTED_EDGE('',*,*,#16374,.F.); +#16391=EDGE_LOOP('',(#16386,#16388,#16389,#16390)); +#16392=FACE_OUTER_BOUND('',#16391,.F.); +#16394=CARTESIAN_POINT('',(-1.654166662693E1,-3.936170220376E0,-2.E1)); +#16395=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16396=DIRECTION('',(1.E0,0.E0,0.E0)); +#16397=AXIS2_PLACEMENT_3D('',#16394,#16395,#16396); +#16398=PLANE('',#16397); +#16399=ORIENTED_EDGE('',*,*,#13175,.F.); +#16401=ORIENTED_EDGE('',*,*,#16400,.T.); +#16402=ORIENTED_EDGE('',*,*,#14644,.T.); +#16403=ORIENTED_EDGE('',*,*,#16387,.F.); +#16404=EDGE_LOOP('',(#16399,#16401,#16402,#16403)); +#16405=FACE_OUTER_BOUND('',#16404,.F.); +#16407=CARTESIAN_POINT('',(-1.666666662693E1,-3.978723406792E0,-2.E1)); +#16408=DIRECTION('',(3.222637633772E-1,-9.466499177700E-1,0.E0)); +#16409=DIRECTION('',(9.466499177700E-1,3.222637633772E-1,0.E0)); +#16410=AXIS2_PLACEMENT_3D('',#16407,#16408,#16409); +#16411=PLANE('',#16410); +#16412=ORIENTED_EDGE('',*,*,#13173,.F.); +#16414=ORIENTED_EDGE('',*,*,#16413,.T.); +#16415=ORIENTED_EDGE('',*,*,#14646,.T.); +#16416=ORIENTED_EDGE('',*,*,#16400,.F.); +#16417=EDGE_LOOP('',(#16412,#16414,#16415,#16416)); +#16418=FACE_OUTER_BOUND('',#16417,.F.); +#16420=CARTESIAN_POINT('',(-1.679166662693E1,-4.063829779625E0,-2.E1)); +#16421=DIRECTION('',(5.627909312417E-1,-8.265992787996E-1,0.E0)); +#16422=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#16423=AXIS2_PLACEMENT_3D('',#16420,#16421,#16422); +#16424=PLANE('',#16423); +#16425=ORIENTED_EDGE('',*,*,#13171,.F.); +#16427=ORIENTED_EDGE('',*,*,#16426,.T.); +#16428=ORIENTED_EDGE('',*,*,#14648,.T.); +#16429=ORIENTED_EDGE('',*,*,#16413,.F.); +#16430=EDGE_LOOP('',(#16425,#16427,#16428,#16429)); +#16431=FACE_OUTER_BOUND('',#16430,.F.); +#16433=CARTESIAN_POINT('',(-1.6875E1,-4.148936152459E0,-2.E1)); +#16434=DIRECTION('',(7.145102180353E-1,-6.996250055017E-1,0.E0)); +#16435=DIRECTION('',(6.996250055017E-1,7.145102180353E-1,0.E0)); +#16436=AXIS2_PLACEMENT_3D('',#16433,#16434,#16435); +#16437=PLANE('',#16436); +#16438=ORIENTED_EDGE('',*,*,#13169,.F.); +#16440=ORIENTED_EDGE('',*,*,#16439,.T.); +#16441=ORIENTED_EDGE('',*,*,#14650,.T.); +#16442=ORIENTED_EDGE('',*,*,#16426,.F.); +#16443=EDGE_LOOP('',(#16438,#16440,#16441,#16442)); +#16444=FACE_OUTER_BOUND('',#16443,.F.); +#16446=CARTESIAN_POINT('',(-1.691666662693E1,-4.276595771313E0,-2.E1)); +#16447=DIRECTION('',(9.506453221247E-1,-3.102796666273E-1,0.E0)); +#16448=DIRECTION('',(3.102796666273E-1,9.506453221247E-1,0.E0)); +#16449=AXIS2_PLACEMENT_3D('',#16446,#16447,#16448); +#16450=PLANE('',#16449); +#16451=ORIENTED_EDGE('',*,*,#13167,.F.); +#16453=ORIENTED_EDGE('',*,*,#16452,.T.); +#16454=ORIENTED_EDGE('',*,*,#14652,.T.); +#16455=ORIENTED_EDGE('',*,*,#16439,.F.); +#16456=EDGE_LOOP('',(#16451,#16453,#16454,#16455)); +#16457=FACE_OUTER_BOUND('',#16456,.F.); +#16459=CARTESIAN_POINT('',(-1.691666662693E1,-4.404255330563E0,-2.E1)); +#16460=DIRECTION('',(1.E0,0.E0,0.E0)); +#16461=DIRECTION('',(0.E0,1.E0,0.E0)); +#16462=AXIS2_PLACEMENT_3D('',#16459,#16460,#16461); +#16463=PLANE('',#16462); +#16464=ORIENTED_EDGE('',*,*,#13165,.F.); +#16466=ORIENTED_EDGE('',*,*,#16465,.T.); +#16467=ORIENTED_EDGE('',*,*,#14654,.T.); +#16468=ORIENTED_EDGE('',*,*,#16452,.F.); +#16469=EDGE_LOOP('',(#16464,#16466,#16467,#16468)); +#16470=FACE_OUTER_BOUND('',#16469,.F.); +#16472=CARTESIAN_POINT('',(-1.6875E1,-4.531914889813E0,-2.E1)); +#16473=DIRECTION('',(9.506452793929E-1,3.102797975506E-1,0.E0)); +#16474=DIRECTION('',(-3.102797975506E-1,9.506452793929E-1,0.E0)); +#16475=AXIS2_PLACEMENT_3D('',#16472,#16473,#16474); +#16476=PLANE('',#16475); +#16477=ORIENTED_EDGE('',*,*,#13163,.F.); +#16479=ORIENTED_EDGE('',*,*,#16478,.T.); +#16480=ORIENTED_EDGE('',*,*,#14656,.T.); +#16481=ORIENTED_EDGE('',*,*,#16465,.F.); +#16482=EDGE_LOOP('',(#16477,#16479,#16480,#16481)); +#16483=FACE_OUTER_BOUND('',#16482,.F.); +#16485=CARTESIAN_POINT('',(-1.679166662693E1,-4.659574478865E0,-2.E1)); +#16486=DIRECTION('',(8.373790596451E-1,5.466226399153E-1,0.E0)); +#16487=DIRECTION('',(-5.466226399153E-1,8.373790596451E-1,0.E0)); +#16488=AXIS2_PLACEMENT_3D('',#16485,#16486,#16487); +#16489=PLANE('',#16488); +#16490=ORIENTED_EDGE('',*,*,#13161,.F.); +#16492=ORIENTED_EDGE('',*,*,#16491,.T.); +#16493=ORIENTED_EDGE('',*,*,#14658,.T.); +#16494=ORIENTED_EDGE('',*,*,#16478,.F.); +#16495=EDGE_LOOP('',(#16490,#16492,#16493,#16494)); +#16496=FACE_OUTER_BOUND('',#16495,.F.); +#16498=CARTESIAN_POINT('',(-1.666666662693E1,-4.744680851698E0,-2.E1)); +#16499=DIRECTION('',(5.627909312417E-1,8.265992787996E-1,0.E0)); +#16500=DIRECTION('',(-8.265992787996E-1,5.627909312417E-1,0.E0)); +#16501=AXIS2_PLACEMENT_3D('',#16498,#16499,#16500); +#16502=PLANE('',#16501); +#16503=ORIENTED_EDGE('',*,*,#13159,.F.); +#16505=ORIENTED_EDGE('',*,*,#16504,.T.); +#16506=ORIENTED_EDGE('',*,*,#14660,.T.); +#16507=ORIENTED_EDGE('',*,*,#16491,.F.); +#16508=EDGE_LOOP('',(#16503,#16505,#16506,#16507)); +#16509=FACE_OUTER_BOUND('',#16508,.F.); +#16511=CARTESIAN_POINT('',(-1.654166662693E1,-4.787234038115E0,-2.E1)); +#16512=DIRECTION('',(3.222637633772E-1,9.466499177700E-1,0.E0)); +#16513=DIRECTION('',(-9.466499177700E-1,3.222637633772E-1,0.E0)); +#16514=AXIS2_PLACEMENT_3D('',#16511,#16512,#16513); +#16515=PLANE('',#16514); +#16516=ORIENTED_EDGE('',*,*,#13157,.F.); +#16518=ORIENTED_EDGE('',*,*,#16517,.T.); +#16519=ORIENTED_EDGE('',*,*,#14662,.T.); +#16520=ORIENTED_EDGE('',*,*,#16504,.F.); +#16521=EDGE_LOOP('',(#16516,#16518,#16519,#16520)); +#16522=FACE_OUTER_BOUND('',#16521,.F.); +#16524=CARTESIAN_POINT('',(-1.625E1,-4.787234038115E0,-2.E1)); +#16525=DIRECTION('',(0.E0,1.E0,0.E0)); +#16526=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16527=AXIS2_PLACEMENT_3D('',#16524,#16525,#16526); +#16528=PLANE('',#16527); +#16529=ORIENTED_EDGE('',*,*,#13155,.F.); +#16531=ORIENTED_EDGE('',*,*,#16530,.T.); +#16532=ORIENTED_EDGE('',*,*,#14664,.T.); +#16533=ORIENTED_EDGE('',*,*,#16517,.F.); +#16534=EDGE_LOOP('',(#16529,#16531,#16532,#16533)); +#16535=FACE_OUTER_BOUND('',#16534,.F.); +#16537=CARTESIAN_POINT('',(-1.6125E1,-4.744680851698E0,-2.E1)); +#16538=DIRECTION('',(-3.222637633772E-1,9.466499177700E-1,0.E0)); +#16539=DIRECTION('',(-9.466499177700E-1,-3.222637633772E-1,0.E0)); +#16540=AXIS2_PLACEMENT_3D('',#16537,#16538,#16539); +#16541=PLANE('',#16540); +#16542=ORIENTED_EDGE('',*,*,#13153,.F.); +#16544=ORIENTED_EDGE('',*,*,#16543,.T.); +#16545=ORIENTED_EDGE('',*,*,#14666,.T.); +#16546=ORIENTED_EDGE('',*,*,#16530,.F.); +#16547=EDGE_LOOP('',(#16542,#16544,#16545,#16546)); +#16548=FACE_OUTER_BOUND('',#16547,.F.); +#16550=CARTESIAN_POINT('',(-1.6E1,-4.659574478865E0,-2.E1)); +#16551=DIRECTION('',(-5.627909312417E-1,8.265992787996E-1,0.E0)); +#16552=DIRECTION('',(-8.265992787996E-1,-5.627909312417E-1,0.E0)); +#16553=AXIS2_PLACEMENT_3D('',#16550,#16551,#16552); +#16554=PLANE('',#16553); +#16555=ORIENTED_EDGE('',*,*,#13151,.F.); +#16557=ORIENTED_EDGE('',*,*,#16556,.T.); +#16558=ORIENTED_EDGE('',*,*,#14668,.T.); +#16559=ORIENTED_EDGE('',*,*,#16543,.F.); +#16560=EDGE_LOOP('',(#16555,#16557,#16558,#16559)); +#16561=FACE_OUTER_BOUND('',#16560,.F.); +#16563=CARTESIAN_POINT('',(-1.591666674614E1,-4.531914889813E0,-2.E1)); +#16564=DIRECTION('',(-8.373794175671E-1,5.466220916090E-1,0.E0)); +#16565=DIRECTION('',(-5.466220916090E-1,-8.373794175671E-1,0.E0)); +#16566=AXIS2_PLACEMENT_3D('',#16563,#16564,#16565); +#16567=PLANE('',#16566); +#16568=ORIENTED_EDGE('',*,*,#13149,.F.); +#16570=ORIENTED_EDGE('',*,*,#16569,.T.); +#16571=ORIENTED_EDGE('',*,*,#14670,.T.); +#16572=ORIENTED_EDGE('',*,*,#16556,.F.); +#16573=EDGE_LOOP('',(#16568,#16570,#16571,#16572)); +#16574=FACE_OUTER_BOUND('',#16573,.F.); +#16576=CARTESIAN_POINT('',(-1.5875E1,-4.404255330563E0,-2.E1)); +#16577=DIRECTION('',(-9.506450175458E-1,3.102805998049E-1,0.E0)); +#16578=DIRECTION('',(-3.102805998049E-1,-9.506450175458E-1,0.E0)); +#16579=AXIS2_PLACEMENT_3D('',#16576,#16577,#16578); +#16580=PLANE('',#16579); +#16581=ORIENTED_EDGE('',*,*,#13147,.F.); +#16583=ORIENTED_EDGE('',*,*,#16582,.T.); +#16584=ORIENTED_EDGE('',*,*,#14672,.T.); +#16585=ORIENTED_EDGE('',*,*,#16569,.F.); +#16586=EDGE_LOOP('',(#16581,#16583,#16584,#16585)); +#16587=FACE_OUTER_BOUND('',#16586,.F.); +#16589=CARTESIAN_POINT('',(-1.5875E1,-4.276595771313E0,-2.E1)); +#16590=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16591=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16592=AXIS2_PLACEMENT_3D('',#16589,#16590,#16591); +#16593=PLANE('',#16592); +#16594=ORIENTED_EDGE('',*,*,#13145,.F.); +#16595=ORIENTED_EDGE('',*,*,#16350,.T.); +#16596=ORIENTED_EDGE('',*,*,#14674,.T.); +#16597=ORIENTED_EDGE('',*,*,#16582,.F.); +#16598=EDGE_LOOP('',(#16594,#16595,#16596,#16597)); +#16599=FACE_OUTER_BOUND('',#16598,.F.); +#16601=CARTESIAN_POINT('',(1.583333334327E1,4.021276593209E0,-2.E1)); +#16602=DIRECTION('',(-4.547770705465E-1,8.906053088238E-1,0.E0)); +#16603=DIRECTION('',(-8.906053088238E-1,-4.547770705465E-1,0.E0)); +#16604=AXIS2_PLACEMENT_3D('',#16601,#16602,#16603); +#16605=PLANE('',#16604); +#16606=ORIENTED_EDGE('',*,*,#13634,.F.); +#16608=ORIENTED_EDGE('',*,*,#16607,.T.); +#16609=ORIENTED_EDGE('',*,*,#14684,.T.); +#16611=ORIENTED_EDGE('',*,*,#16610,.F.); +#16612=EDGE_LOOP('',(#16606,#16608,#16609,#16611)); +#16613=FACE_OUTER_BOUND('',#16612,.F.); +#16615=CARTESIAN_POINT('',(1.575E1,4.063829779625E0,-2.E1)); +#16616=DIRECTION('',(-4.547770705465E-1,-8.906053088238E-1,0.E0)); +#16617=DIRECTION('',(8.906053088238E-1,-4.547770705465E-1,0.E0)); +#16618=AXIS2_PLACEMENT_3D('',#16615,#16616,#16617); +#16619=PLANE('',#16618); +#16620=ORIENTED_EDGE('',*,*,#13700,.F.); +#16622=ORIENTED_EDGE('',*,*,#16621,.T.); +#16623=ORIENTED_EDGE('',*,*,#14686,.T.); +#16624=ORIENTED_EDGE('',*,*,#16607,.F.); +#16625=EDGE_LOOP('',(#16620,#16622,#16623,#16624)); +#16626=FACE_OUTER_BOUND('',#16625,.F.); +#16628=CARTESIAN_POINT('',(1.5625E1,4.191489338875E0,-2.E1)); +#16629=DIRECTION('',(-7.145103848018E-1,-6.996248351869E-1,0.E0)); +#16630=DIRECTION('',(6.996248351869E-1,-7.145103848018E-1,0.E0)); +#16631=AXIS2_PLACEMENT_3D('',#16628,#16629,#16630); +#16632=PLANE('',#16631); +#16633=ORIENTED_EDGE('',*,*,#13698,.F.); +#16635=ORIENTED_EDGE('',*,*,#16634,.T.); +#16636=ORIENTED_EDGE('',*,*,#14688,.T.); +#16637=ORIENTED_EDGE('',*,*,#16621,.F.); +#16638=EDGE_LOOP('',(#16633,#16635,#16636,#16637)); +#16639=FACE_OUTER_BOUND('',#16638,.F.); +#16641=CARTESIAN_POINT('',(1.554166666791E1,4.361702084542E0,-2.E1)); +#16642=DIRECTION('',(-8.981380997585E-1,-4.397134905391E-1,0.E0)); +#16643=DIRECTION('',(4.397134905391E-1,-8.981380997585E-1,0.E0)); +#16644=AXIS2_PLACEMENT_3D('',#16641,#16642,#16643); +#16645=PLANE('',#16644); +#16646=ORIENTED_EDGE('',*,*,#13696,.F.); +#16648=ORIENTED_EDGE('',*,*,#16647,.T.); +#16649=ORIENTED_EDGE('',*,*,#14690,.T.); +#16650=ORIENTED_EDGE('',*,*,#16634,.F.); +#16651=EDGE_LOOP('',(#16646,#16648,#16649,#16650)); +#16652=FACE_OUTER_BOUND('',#16651,.F.); +#16654=CARTESIAN_POINT('',(1.554166666791E1,4.489361643792E0,-2.E1)); +#16655=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16656=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16657=AXIS2_PLACEMENT_3D('',#16654,#16655,#16656); +#16658=PLANE('',#16657); +#16659=ORIENTED_EDGE('',*,*,#13694,.F.); +#16661=ORIENTED_EDGE('',*,*,#16660,.T.); +#16662=ORIENTED_EDGE('',*,*,#14692,.T.); +#16663=ORIENTED_EDGE('',*,*,#16647,.F.); +#16664=EDGE_LOOP('',(#16659,#16661,#16662,#16663)); +#16665=FACE_OUTER_BOUND('',#16664,.F.); +#16667=CARTESIAN_POINT('',(1.5625E1,4.702127695084E0,-2.E1)); +#16668=DIRECTION('',(-9.311283072343E-1,3.646917540429E-1,0.E0)); +#16669=DIRECTION('',(-3.646917540429E-1,-9.311283072343E-1,0.E0)); +#16670=AXIS2_PLACEMENT_3D('',#16667,#16668,#16669); +#16671=PLANE('',#16670); +#16672=ORIENTED_EDGE('',*,*,#13692,.F.); +#16674=ORIENTED_EDGE('',*,*,#16673,.T.); +#16675=ORIENTED_EDGE('',*,*,#14694,.T.); +#16676=ORIENTED_EDGE('',*,*,#16660,.F.); +#16677=EDGE_LOOP('',(#16672,#16674,#16675,#16676)); +#16678=FACE_OUTER_BOUND('',#16677,.F.); +#16680=CARTESIAN_POINT('',(1.575E1,4.829787254334E0,-2.E1)); +#16681=DIRECTION('',(-7.145103848018E-1,6.996248351869E-1,0.E0)); +#16682=DIRECTION('',(-6.996248351869E-1,-7.145103848018E-1,0.E0)); +#16683=AXIS2_PLACEMENT_3D('',#16680,#16681,#16682); +#16684=PLANE('',#16683); +#16685=ORIENTED_EDGE('',*,*,#13690,.F.); +#16687=ORIENTED_EDGE('',*,*,#16686,.T.); +#16688=ORIENTED_EDGE('',*,*,#14696,.T.); +#16689=ORIENTED_EDGE('',*,*,#16673,.F.); +#16690=EDGE_LOOP('',(#16685,#16687,#16688,#16689)); +#16691=FACE_OUTER_BOUND('',#16690,.F.); +#16693=CARTESIAN_POINT('',(1.595833334327E1,4.957446813584E0,-2.E1)); +#16694=DIRECTION('',(-5.224769104793E-1,8.526534337091E-1,0.E0)); +#16695=DIRECTION('',(-8.526534337091E-1,-5.224769104793E-1,0.E0)); +#16696=AXIS2_PLACEMENT_3D('',#16693,#16694,#16695); +#16697=PLANE('',#16696); +#16698=ORIENTED_EDGE('',*,*,#13688,.F.); +#16700=ORIENTED_EDGE('',*,*,#16699,.T.); +#16701=ORIENTED_EDGE('',*,*,#14698,.T.); +#16702=ORIENTED_EDGE('',*,*,#16686,.F.); +#16703=EDGE_LOOP('',(#16698,#16700,#16701,#16702)); +#16704=FACE_OUTER_BOUND('',#16703,.F.); +#16706=CARTESIAN_POINT('',(1.616666668653E1,5.000000000001E0,-2.E1)); +#16707=DIRECTION('',(-2.001233458994E-1,9.797707111493E-1,0.E0)); +#16708=DIRECTION('',(-9.797707111493E-1,-2.001233458994E-1,0.E0)); +#16709=AXIS2_PLACEMENT_3D('',#16706,#16707,#16708); +#16710=PLANE('',#16709); +#16711=ORIENTED_EDGE('',*,*,#13686,.F.); +#16713=ORIENTED_EDGE('',*,*,#16712,.T.); +#16714=ORIENTED_EDGE('',*,*,#14700,.T.); +#16715=ORIENTED_EDGE('',*,*,#16699,.F.); +#16716=EDGE_LOOP('',(#16711,#16713,#16714,#16715)); +#16717=FACE_OUTER_BOUND('',#16716,.F.); +#16719=CARTESIAN_POINT('',(1.633333331347E1,5.000000000001E0,-2.E1)); +#16720=DIRECTION('',(0.E0,1.E0,0.E0)); +#16721=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16722=AXIS2_PLACEMENT_3D('',#16719,#16720,#16721); +#16723=PLANE('',#16722); +#16724=ORIENTED_EDGE('',*,*,#13684,.F.); +#16726=ORIENTED_EDGE('',*,*,#16725,.T.); +#16727=ORIENTED_EDGE('',*,*,#14702,.T.); +#16728=ORIENTED_EDGE('',*,*,#16712,.F.); +#16729=EDGE_LOOP('',(#16724,#16726,#16727,#16728)); +#16730=FACE_OUTER_BOUND('',#16729,.F.); +#16732=CARTESIAN_POINT('',(1.654166662693E1,4.957446813584E0,-2.E1)); +#16733=DIRECTION('',(2.001233733807E-1,9.797707055361E-1,0.E0)); +#16734=DIRECTION('',(-9.797707055361E-1,2.001233733807E-1,0.E0)); +#16735=AXIS2_PLACEMENT_3D('',#16732,#16733,#16734); +#16736=PLANE('',#16735); +#16737=ORIENTED_EDGE('',*,*,#13682,.F.); +#16739=ORIENTED_EDGE('',*,*,#16738,.T.); +#16740=ORIENTED_EDGE('',*,*,#14704,.T.); +#16741=ORIENTED_EDGE('',*,*,#16725,.F.); +#16742=EDGE_LOOP('',(#16737,#16739,#16740,#16741)); +#16743=FACE_OUTER_BOUND('',#16742,.F.); +#16745=CARTESIAN_POINT('',(1.675E1,4.829787254334E0,-2.E1)); +#16746=DIRECTION('',(5.224768561414E-1,8.526534670056E-1,0.E0)); +#16747=DIRECTION('',(-8.526534670056E-1,5.224768561414E-1,0.E0)); +#16748=AXIS2_PLACEMENT_3D('',#16745,#16746,#16747); +#16749=PLANE('',#16748); +#16750=ORIENTED_EDGE('',*,*,#13680,.F.); +#16752=ORIENTED_EDGE('',*,*,#16751,.T.); +#16753=ORIENTED_EDGE('',*,*,#14706,.T.); +#16754=ORIENTED_EDGE('',*,*,#16738,.F.); +#16755=EDGE_LOOP('',(#16750,#16752,#16753,#16754)); +#16756=FACE_OUTER_BOUND('',#16755,.F.); +#16758=CARTESIAN_POINT('',(1.6875E1,4.702127695084E0,-2.E1)); +#16759=DIRECTION('',(7.145103848018E-1,6.996248351869E-1,0.E0)); +#16760=DIRECTION('',(-6.996248351869E-1,7.145103848018E-1,0.E0)); +#16761=AXIS2_PLACEMENT_3D('',#16758,#16759,#16760); +#16762=PLANE('',#16761); +#16763=ORIENTED_EDGE('',*,*,#13678,.F.); +#16765=ORIENTED_EDGE('',*,*,#16764,.T.); +#16766=ORIENTED_EDGE('',*,*,#14708,.T.); +#16767=ORIENTED_EDGE('',*,*,#16751,.F.); +#16768=EDGE_LOOP('',(#16763,#16765,#16766,#16767)); +#16769=FACE_OUTER_BOUND('',#16768,.F.); +#16771=CARTESIAN_POINT('',(1.695833337307E1,4.489361643792E0,-2.E1)); +#16772=DIRECTION('',(9.311282463374E-1,3.646919095245E-1,0.E0)); +#16773=DIRECTION('',(-3.646919095245E-1,9.311282463374E-1,0.E0)); +#16774=AXIS2_PLACEMENT_3D('',#16771,#16772,#16773); +#16775=PLANE('',#16774); +#16776=ORIENTED_EDGE('',*,*,#13676,.F.); +#16778=ORIENTED_EDGE('',*,*,#16777,.T.); +#16779=ORIENTED_EDGE('',*,*,#14710,.T.); +#16780=ORIENTED_EDGE('',*,*,#16764,.F.); +#16781=EDGE_LOOP('',(#16776,#16778,#16779,#16780)); +#16782=FACE_OUTER_BOUND('',#16781,.F.); +#16784=CARTESIAN_POINT('',(1.695833337307E1,4.361702084542E0,-2.E1)); +#16785=DIRECTION('',(1.E0,0.E0,0.E0)); +#16786=DIRECTION('',(0.E0,1.E0,0.E0)); +#16787=AXIS2_PLACEMENT_3D('',#16784,#16785,#16786); +#16788=PLANE('',#16787); +#16789=ORIENTED_EDGE('',*,*,#13674,.F.); +#16791=ORIENTED_EDGE('',*,*,#16790,.T.); +#16792=ORIENTED_EDGE('',*,*,#14712,.T.); +#16793=ORIENTED_EDGE('',*,*,#16777,.F.); +#16794=EDGE_LOOP('',(#16789,#16791,#16792,#16793)); +#16795=FACE_OUTER_BOUND('',#16794,.F.); +#16797=CARTESIAN_POINT('',(1.6875E1,4.191489338875E0,-2.E1)); +#16798=DIRECTION('',(8.981380143666E-1,-4.397136649566E-1,0.E0)); +#16799=DIRECTION('',(4.397136649566E-1,8.981380143666E-1,0.E0)); +#16800=AXIS2_PLACEMENT_3D('',#16797,#16798,#16799); +#16801=PLANE('',#16800); +#16802=ORIENTED_EDGE('',*,*,#13672,.F.); +#16804=ORIENTED_EDGE('',*,*,#16803,.T.); +#16805=ORIENTED_EDGE('',*,*,#14714,.T.); +#16806=ORIENTED_EDGE('',*,*,#16790,.F.); +#16807=EDGE_LOOP('',(#16802,#16804,#16805,#16806)); +#16808=FACE_OUTER_BOUND('',#16807,.F.); +#16810=CARTESIAN_POINT('',(1.675E1,4.063829779625E0,-2.E1)); +#16811=DIRECTION('',(7.145103848018E-1,-6.996248351869E-1,0.E0)); +#16812=DIRECTION('',(6.996248351869E-1,7.145103848018E-1,0.E0)); +#16813=AXIS2_PLACEMENT_3D('',#16810,#16811,#16812); +#16814=PLANE('',#16813); +#16815=ORIENTED_EDGE('',*,*,#13670,.F.); +#16817=ORIENTED_EDGE('',*,*,#16816,.T.); +#16818=ORIENTED_EDGE('',*,*,#14716,.T.); +#16819=ORIENTED_EDGE('',*,*,#16803,.F.); +#16820=EDGE_LOOP('',(#16815,#16817,#16818,#16819)); +#16821=FACE_OUTER_BOUND('',#16820,.F.); +#16823=CARTESIAN_POINT('',(1.666666662693E1,4.021276593209E0,-2.E1)); +#16824=DIRECTION('',(4.547769415434E-1,-8.906053746977E-1,0.E0)); +#16825=DIRECTION('',(8.906053746977E-1,4.547769415434E-1,0.E0)); +#16826=AXIS2_PLACEMENT_3D('',#16823,#16824,#16825); +#16827=PLANE('',#16826); +#16828=ORIENTED_EDGE('',*,*,#13668,.F.); +#16830=ORIENTED_EDGE('',*,*,#16829,.T.); +#16831=ORIENTED_EDGE('',*,*,#14718,.T.); +#16832=ORIENTED_EDGE('',*,*,#16816,.F.); +#16833=EDGE_LOOP('',(#16828,#16830,#16831,#16832)); +#16834=FACE_OUTER_BOUND('',#16833,.F.); +#16836=CARTESIAN_POINT('',(1.675E1,3.978723406792E0,-2.E1)); +#16837=DIRECTION('',(4.547769415434E-1,8.906053746977E-1,0.E0)); +#16838=DIRECTION('',(-8.906053746977E-1,4.547769415434E-1,0.E0)); +#16839=AXIS2_PLACEMENT_3D('',#16836,#16837,#16838); +#16840=PLANE('',#16839); +#16841=ORIENTED_EDGE('',*,*,#13666,.F.); +#16843=ORIENTED_EDGE('',*,*,#16842,.T.); +#16844=ORIENTED_EDGE('',*,*,#14720,.T.); +#16845=ORIENTED_EDGE('',*,*,#16829,.F.); +#16846=EDGE_LOOP('',(#16841,#16843,#16844,#16845)); +#16847=FACE_OUTER_BOUND('',#16846,.F.); +#16849=CARTESIAN_POINT('',(1.691666662693E1,3.851063847542E0,-2.E1)); +#16850=DIRECTION('',(6.080769234738E-1,7.938781110087E-1,0.E0)); +#16851=DIRECTION('',(-7.938781110087E-1,6.080769234738E-1,0.E0)); +#16852=AXIS2_PLACEMENT_3D('',#16849,#16850,#16851); +#16853=PLANE('',#16852); +#16854=ORIENTED_EDGE('',*,*,#13664,.F.); +#16856=ORIENTED_EDGE('',*,*,#16855,.T.); +#16857=ORIENTED_EDGE('',*,*,#14722,.T.); +#16858=ORIENTED_EDGE('',*,*,#16842,.F.); +#16859=EDGE_LOOP('',(#16854,#16856,#16857,#16858)); +#16860=FACE_OUTER_BOUND('',#16859,.F.); +#16862=CARTESIAN_POINT('',(1.7E1,3.638297855855E0,-2.E1)); +#16863=DIRECTION('',(9.311282116446E-1,3.646919981020E-1,0.E0)); +#16864=DIRECTION('',(-3.646919981020E-1,9.311282116446E-1,0.E0)); +#16865=AXIS2_PLACEMENT_3D('',#16862,#16863,#16864); +#16866=PLANE('',#16865); +#16867=ORIENTED_EDGE('',*,*,#13662,.F.); +#16869=ORIENTED_EDGE('',*,*,#16868,.T.); +#16870=ORIENTED_EDGE('',*,*,#14724,.T.); +#16871=ORIENTED_EDGE('',*,*,#16855,.F.); +#16872=EDGE_LOOP('',(#16867,#16869,#16870,#16871)); +#16873=FACE_OUTER_BOUND('',#16872,.F.); +#16875=CARTESIAN_POINT('',(1.7E1,3.510638296605E0,-2.E1)); +#16876=DIRECTION('',(1.E0,0.E0,0.E0)); +#16877=DIRECTION('',(0.E0,1.E0,0.E0)); +#16878=AXIS2_PLACEMENT_3D('',#16875,#16876,#16877); +#16879=PLANE('',#16878); +#16880=ORIENTED_EDGE('',*,*,#13660,.F.); +#16882=ORIENTED_EDGE('',*,*,#16881,.T.); +#16883=ORIENTED_EDGE('',*,*,#14726,.T.); +#16884=ORIENTED_EDGE('',*,*,#16868,.F.); +#16885=EDGE_LOOP('',(#16880,#16882,#16883,#16884)); +#16886=FACE_OUTER_BOUND('',#16885,.F.); +#16888=CARTESIAN_POINT('',(1.691666662693E1,3.297872334719E0,-2.E1)); +#16889=DIRECTION('',(9.311281942981E-1,-3.646920423907E-1,0.E0)); +#16890=DIRECTION('',(3.646920423907E-1,9.311281942981E-1,0.E0)); +#16891=AXIS2_PLACEMENT_3D('',#16888,#16889,#16890); +#16892=PLANE('',#16891); +#16893=ORIENTED_EDGE('',*,*,#13658,.F.); +#16895=ORIENTED_EDGE('',*,*,#16894,.T.); +#16896=ORIENTED_EDGE('',*,*,#14728,.T.); +#16897=ORIENTED_EDGE('',*,*,#16881,.F.); +#16898=EDGE_LOOP('',(#16893,#16895,#16896,#16897)); +#16899=FACE_OUTER_BOUND('',#16898,.F.); +#16901=CARTESIAN_POINT('',(1.679166662693E1,3.170212760568E0,-2.E1)); +#16902=DIRECTION('',(7.145104256249E-1,-6.996247934953E-1,0.E0)); +#16903=DIRECTION('',(6.996247934953E-1,7.145104256249E-1,0.E0)); +#16904=AXIS2_PLACEMENT_3D('',#16901,#16902,#16903); +#16905=PLANE('',#16904); +#16906=ORIENTED_EDGE('',*,*,#13656,.F.); +#16908=ORIENTED_EDGE('',*,*,#16907,.T.); +#16909=ORIENTED_EDGE('',*,*,#14730,.T.); +#16910=ORIENTED_EDGE('',*,*,#16894,.F.); +#16911=EDGE_LOOP('',(#16906,#16908,#16909,#16910)); +#16912=FACE_OUTER_BOUND('',#16911,.F.); +#16914=CARTESIAN_POINT('',(1.658333337307E1,3.042553190142E0,-2.E1)); +#16915=DIRECTION('',(5.224771067470E-1,-8.526533134429E-1,0.E0)); +#16916=DIRECTION('',(8.526533134429E-1,5.224771067470E-1,0.E0)); +#16917=AXIS2_PLACEMENT_3D('',#16914,#16915,#16916); +#16918=PLANE('',#16917); +#16919=ORIENTED_EDGE('',*,*,#13654,.F.); +#16921=ORIENTED_EDGE('',*,*,#16920,.T.); +#16922=ORIENTED_EDGE('',*,*,#14732,.T.); +#16923=ORIENTED_EDGE('',*,*,#16907,.F.); +#16924=EDGE_LOOP('',(#16919,#16921,#16922,#16923)); +#16925=FACE_OUTER_BOUND('',#16924,.F.); +#16927=CARTESIAN_POINT('',(1.6375E1,3.000000000001E0,-2.E1)); +#16928=DIRECTION('',(2.001233352361E-1,-9.797707133274E-1,0.E0)); +#16929=DIRECTION('',(9.797707133274E-1,2.001233352361E-1,0.E0)); +#16930=AXIS2_PLACEMENT_3D('',#16927,#16928,#16929); +#16931=PLANE('',#16930); +#16932=ORIENTED_EDGE('',*,*,#13652,.F.); +#16934=ORIENTED_EDGE('',*,*,#16933,.T.); +#16935=ORIENTED_EDGE('',*,*,#14734,.T.); +#16936=ORIENTED_EDGE('',*,*,#16920,.F.); +#16937=EDGE_LOOP('',(#16932,#16934,#16935,#16936)); +#16938=FACE_OUTER_BOUND('',#16937,.F.); +#16940=CARTESIAN_POINT('',(1.6125E1,3.000000000001E0,-2.E1)); +#16941=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16942=DIRECTION('',(1.E0,0.E0,0.E0)); +#16943=AXIS2_PLACEMENT_3D('',#16940,#16941,#16942); +#16944=PLANE('',#16943); +#16945=ORIENTED_EDGE('',*,*,#13650,.F.); +#16947=ORIENTED_EDGE('',*,*,#16946,.T.); +#16948=ORIENTED_EDGE('',*,*,#14736,.T.); +#16949=ORIENTED_EDGE('',*,*,#16933,.F.); +#16950=EDGE_LOOP('',(#16945,#16947,#16948,#16949)); +#16951=FACE_OUTER_BOUND('',#16950,.F.); +#16953=CARTESIAN_POINT('',(1.591666665673E1,3.042553190142E0,-2.E1)); +#16954=DIRECTION('',(-2.001233627174E-1,-9.797707077141E-1,0.E0)); +#16955=DIRECTION('',(9.797707077141E-1,-2.001233627174E-1,0.E0)); +#16956=AXIS2_PLACEMENT_3D('',#16953,#16954,#16955); +#16957=PLANE('',#16956); +#16958=ORIENTED_EDGE('',*,*,#13648,.F.); +#16960=ORIENTED_EDGE('',*,*,#16959,.T.); +#16961=ORIENTED_EDGE('',*,*,#14738,.T.); +#16962=ORIENTED_EDGE('',*,*,#16946,.F.); +#16963=EDGE_LOOP('',(#16958,#16960,#16961,#16962)); +#16964=FACE_OUTER_BOUND('',#16963,.F.); +#16966=CARTESIAN_POINT('',(1.570833332837E1,3.170212760568E0,-2.E1)); +#16967=DIRECTION('',(-5.224769709020E-1,-8.526533966842E-1,0.E0)); +#16968=DIRECTION('',(8.526533966842E-1,-5.224769709020E-1,0.E0)); +#16969=AXIS2_PLACEMENT_3D('',#16966,#16967,#16968); +#16970=PLANE('',#16969); +#16971=ORIENTED_EDGE('',*,*,#13646,.F.); +#16973=ORIENTED_EDGE('',*,*,#16972,.T.); +#16974=ORIENTED_EDGE('',*,*,#14740,.T.); +#16975=ORIENTED_EDGE('',*,*,#16959,.F.); +#16976=EDGE_LOOP('',(#16971,#16973,#16974,#16975)); +#16977=FACE_OUTER_BOUND('',#16976,.F.); +#16979=CARTESIAN_POINT('',(1.558333333582E1,3.297872334719E0,-2.E1)); +#16980=DIRECTION('',(-7.145104464707E-1,-6.996247722059E-1,0.E0)); +#16981=DIRECTION('',(6.996247722059E-1,-7.145104464707E-1,0.E0)); +#16982=AXIS2_PLACEMENT_3D('',#16979,#16980,#16981); +#16983=PLANE('',#16982); +#16984=ORIENTED_EDGE('',*,*,#13644,.F.); +#16986=ORIENTED_EDGE('',*,*,#16985,.T.); +#16987=ORIENTED_EDGE('',*,*,#14742,.T.); +#16988=ORIENTED_EDGE('',*,*,#16972,.F.); +#16989=EDGE_LOOP('',(#16984,#16986,#16987,#16988)); +#16990=FACE_OUTER_BOUND('',#16989,.F.); +#16992=CARTESIAN_POINT('',(1.55E1,3.510638296605E0,-2.E1)); +#16993=DIRECTION('',(-9.311282496590E-1,-3.646919010437E-1,0.E0)); +#16994=DIRECTION('',(3.646919010437E-1,-9.311282496590E-1,0.E0)); +#16995=AXIS2_PLACEMENT_3D('',#16992,#16993,#16994); +#16996=PLANE('',#16995); +#16997=ORIENTED_EDGE('',*,*,#13642,.F.); +#16999=ORIENTED_EDGE('',*,*,#16998,.T.); +#17000=ORIENTED_EDGE('',*,*,#14744,.T.); +#17001=ORIENTED_EDGE('',*,*,#16985,.F.); +#17002=EDGE_LOOP('',(#16997,#16999,#17000,#17001)); +#17003=FACE_OUTER_BOUND('',#17002,.F.); +#17005=CARTESIAN_POINT('',(1.55E1,3.638297855855E0,-2.E1)); +#17006=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17007=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17008=AXIS2_PLACEMENT_3D('',#17005,#17006,#17007); +#17009=PLANE('',#17008); +#17010=ORIENTED_EDGE('',*,*,#13640,.F.); +#17012=ORIENTED_EDGE('',*,*,#17011,.T.); +#17013=ORIENTED_EDGE('',*,*,#14746,.T.); +#17014=ORIENTED_EDGE('',*,*,#16998,.F.); +#17015=EDGE_LOOP('',(#17010,#17012,#17013,#17014)); +#17016=FACE_OUTER_BOUND('',#17015,.F.); +#17018=CARTESIAN_POINT('',(1.558333333582E1,3.851063847542E0,-2.E1)); +#17019=DIRECTION('',(-9.311282670055E-1,3.646918567550E-1,0.E0)); +#17020=DIRECTION('',(-3.646918567550E-1,-9.311282670055E-1,0.E0)); +#17021=AXIS2_PLACEMENT_3D('',#17018,#17019,#17020); +#17022=PLANE('',#17021); +#17023=ORIENTED_EDGE('',*,*,#13638,.F.); +#17025=ORIENTED_EDGE('',*,*,#17024,.T.); +#17026=ORIENTED_EDGE('',*,*,#14748,.T.); +#17027=ORIENTED_EDGE('',*,*,#17011,.F.); +#17028=EDGE_LOOP('',(#17023,#17025,#17026,#17027)); +#17029=FACE_OUTER_BOUND('',#17028,.F.); +#17031=CARTESIAN_POINT('',(1.575E1,3.978723406792E0,-2.E1)); +#17032=DIRECTION('',(-6.080768378139E-1,7.938781766205E-1,0.E0)); +#17033=DIRECTION('',(-7.938781766205E-1,-6.080768378139E-1,0.E0)); +#17034=AXIS2_PLACEMENT_3D('',#17031,#17032,#17033); +#17035=PLANE('',#17034); +#17036=ORIENTED_EDGE('',*,*,#13636,.F.); +#17037=ORIENTED_EDGE('',*,*,#16610,.T.); +#17038=ORIENTED_EDGE('',*,*,#14750,.T.); +#17039=ORIENTED_EDGE('',*,*,#17024,.F.); +#17040=EDGE_LOOP('',(#17036,#17037,#17038,#17039)); +#17041=FACE_OUTER_BOUND('',#17040,.F.); +#17043=CARTESIAN_POINT('',(1.616666668653E1,3.212765961886E0,-2.E1)); +#17044=DIRECTION('',(2.473831898946E-1,9.689177247618E-1,0.E0)); +#17045=DIRECTION('',(-9.689177247618E-1,2.473831898946E-1,0.E0)); +#17046=AXIS2_PLACEMENT_3D('',#17043,#17044,#17045); +#17047=PLANE('',#17046); +#17048=ORIENTED_EDGE('',*,*,#13191,.F.); +#17050=ORIENTED_EDGE('',*,*,#17049,.T.); +#17051=ORIENTED_EDGE('',*,*,#14754,.T.); +#17053=ORIENTED_EDGE('',*,*,#17052,.F.); +#17054=EDGE_LOOP('',(#17048,#17050,#17051,#17053)); +#17055=FACE_OUTER_BOUND('',#17054,.F.); +#17057=CARTESIAN_POINT('',(1.633333331347E1,3.212765961886E0,-2.E1)); +#17058=DIRECTION('',(0.E0,1.E0,0.E0)); +#17059=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17060=AXIS2_PLACEMENT_3D('',#17057,#17058,#17059); +#17061=PLANE('',#17060); +#17062=ORIENTED_EDGE('',*,*,#13221,.F.); +#17064=ORIENTED_EDGE('',*,*,#17063,.T.); +#17065=ORIENTED_EDGE('',*,*,#14756,.T.); +#17066=ORIENTED_EDGE('',*,*,#17049,.F.); +#17067=EDGE_LOOP('',(#17062,#17064,#17065,#17066)); +#17068=FACE_OUTER_BOUND('',#17067,.F.); +#17070=CARTESIAN_POINT('',(1.65E1,3.255319148303E0,-2.E1)); +#17071=DIRECTION('',(-2.473831898946E-1,9.689177247618E-1,0.E0)); +#17072=DIRECTION('',(-9.689177247618E-1,-2.473831898946E-1,0.E0)); +#17073=AXIS2_PLACEMENT_3D('',#17070,#17071,#17072); +#17074=PLANE('',#17073); +#17075=ORIENTED_EDGE('',*,*,#13219,.F.); +#17077=ORIENTED_EDGE('',*,*,#17076,.T.); +#17078=ORIENTED_EDGE('',*,*,#14758,.T.); +#17079=ORIENTED_EDGE('',*,*,#17063,.F.); +#17080=EDGE_LOOP('',(#17075,#17077,#17078,#17079)); +#17081=FACE_OUTER_BOUND('',#17080,.F.); +#17083=CARTESIAN_POINT('',(1.666666662693E1,3.340425521136E0,-2.E1)); +#17084=DIRECTION('',(-4.547771995497E-1,8.906052429498E-1,0.E0)); +#17085=DIRECTION('',(-8.906052429498E-1,-4.547771995497E-1,0.E0)); +#17086=AXIS2_PLACEMENT_3D('',#17083,#17084,#17085); +#17087=PLANE('',#17086); +#17088=ORIENTED_EDGE('',*,*,#13217,.F.); +#17090=ORIENTED_EDGE('',*,*,#17089,.T.); +#17091=ORIENTED_EDGE('',*,*,#14760,.T.); +#17092=ORIENTED_EDGE('',*,*,#17076,.F.); +#17093=EDGE_LOOP('',(#17088,#17090,#17091,#17092)); +#17094=FACE_OUTER_BOUND('',#17093,.F.); +#17096=CARTESIAN_POINT('',(1.679166662693E1,3.510638296605E0,-2.E1)); +#17097=DIRECTION('',(-8.060044452742E-1,5.919094814228E-1,0.E0)); +#17098=DIRECTION('',(-5.919094814228E-1,-8.060044452742E-1,0.E0)); +#17099=AXIS2_PLACEMENT_3D('',#17096,#17097,#17098); +#17100=PLANE('',#17099); +#17101=ORIENTED_EDGE('',*,*,#13215,.F.); +#17103=ORIENTED_EDGE('',*,*,#17102,.T.); +#17104=ORIENTED_EDGE('',*,*,#14762,.T.); +#17105=ORIENTED_EDGE('',*,*,#17089,.F.); +#17106=EDGE_LOOP('',(#17101,#17103,#17104,#17105)); +#17107=FACE_OUTER_BOUND('',#17106,.F.); +#17109=CARTESIAN_POINT('',(1.679166662693E1,3.638297855855E0,-2.E1)); +#17110=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17111=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17112=AXIS2_PLACEMENT_3D('',#17109,#17110,#17111); +#17113=PLANE('',#17112); +#17114=ORIENTED_EDGE('',*,*,#13213,.F.); +#17116=ORIENTED_EDGE('',*,*,#17115,.T.); +#17117=ORIENTED_EDGE('',*,*,#14764,.T.); +#17118=ORIENTED_EDGE('',*,*,#17102,.F.); +#17119=EDGE_LOOP('',(#17114,#17116,#17117,#17118)); +#17120=FACE_OUTER_BOUND('',#17119,.F.); +#17122=CARTESIAN_POINT('',(1.666666662693E1,3.808510661126E0,-2.E1)); +#17123=DIRECTION('',(-8.060044947174E-1,-5.919094140959E-1,0.E0)); +#17124=DIRECTION('',(5.919094140959E-1,-8.060044947174E-1,0.E0)); +#17125=AXIS2_PLACEMENT_3D('',#17122,#17123,#17124); +#17126=PLANE('',#17125); +#17127=ORIENTED_EDGE('',*,*,#13211,.F.); +#17129=ORIENTED_EDGE('',*,*,#17128,.T.); +#17130=ORIENTED_EDGE('',*,*,#14766,.T.); +#17131=ORIENTED_EDGE('',*,*,#17115,.F.); +#17132=EDGE_LOOP('',(#17127,#17129,#17130,#17131)); +#17133=FACE_OUTER_BOUND('',#17132,.F.); +#17135=CARTESIAN_POINT('',(1.65E1,3.893617033959E0,-2.E1)); +#17136=DIRECTION('',(-4.547771995497E-1,-8.906052429498E-1,0.E0)); +#17137=DIRECTION('',(8.906052429498E-1,-4.547771995497E-1,0.E0)); +#17138=AXIS2_PLACEMENT_3D('',#17135,#17136,#17137); +#17139=PLANE('',#17138); +#17140=ORIENTED_EDGE('',*,*,#13209,.F.); +#17142=ORIENTED_EDGE('',*,*,#17141,.T.); +#17143=ORIENTED_EDGE('',*,*,#14768,.T.); +#17144=ORIENTED_EDGE('',*,*,#17128,.F.); +#17145=EDGE_LOOP('',(#17140,#17142,#17143,#17144)); +#17146=FACE_OUTER_BOUND('',#17145,.F.); +#17148=CARTESIAN_POINT('',(1.633333331347E1,3.936170220376E0,-2.E1)); +#17149=DIRECTION('',(-2.473831898946E-1,-9.689177247618E-1,0.E0)); +#17150=DIRECTION('',(9.689177247618E-1,-2.473831898946E-1,0.E0)); +#17151=AXIS2_PLACEMENT_3D('',#17148,#17149,#17150); +#17152=PLANE('',#17151); +#17153=ORIENTED_EDGE('',*,*,#13207,.F.); +#17155=ORIENTED_EDGE('',*,*,#17154,.T.); +#17156=ORIENTED_EDGE('',*,*,#14770,.T.); +#17157=ORIENTED_EDGE('',*,*,#17141,.F.); +#17158=EDGE_LOOP('',(#17153,#17155,#17156,#17157)); +#17159=FACE_OUTER_BOUND('',#17158,.F.); +#17161=CARTESIAN_POINT('',(1.616666668653E1,3.936170220376E0,-2.E1)); +#17162=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17163=DIRECTION('',(1.E0,0.E0,0.E0)); +#17164=AXIS2_PLACEMENT_3D('',#17161,#17162,#17163); +#17165=PLANE('',#17164); +#17166=ORIENTED_EDGE('',*,*,#13205,.F.); +#17168=ORIENTED_EDGE('',*,*,#17167,.T.); +#17169=ORIENTED_EDGE('',*,*,#14772,.T.); +#17170=ORIENTED_EDGE('',*,*,#17154,.F.); +#17171=EDGE_LOOP('',(#17166,#17168,#17169,#17170)); +#17172=FACE_OUTER_BOUND('',#17171,.F.); +#17174=CARTESIAN_POINT('',(1.6E1,3.893617033959E0,-2.E1)); +#17175=DIRECTION('',(2.473831898946E-1,-9.689177247618E-1,0.E0)); +#17176=DIRECTION('',(9.689177247618E-1,2.473831898946E-1,0.E0)); +#17177=AXIS2_PLACEMENT_3D('',#17174,#17175,#17176); +#17178=PLANE('',#17177); +#17179=ORIENTED_EDGE('',*,*,#13203,.F.); +#17181=ORIENTED_EDGE('',*,*,#17180,.T.); +#17182=ORIENTED_EDGE('',*,*,#14774,.T.); +#17183=ORIENTED_EDGE('',*,*,#17167,.F.); +#17184=EDGE_LOOP('',(#17179,#17181,#17182,#17183)); +#17185=FACE_OUTER_BOUND('',#17184,.F.); +#17187=CARTESIAN_POINT('',(1.583333334327E1,3.808510661126E0,-2.E1)); +#17188=DIRECTION('',(4.547771350481E-1,-8.906052758868E-1,0.E0)); +#17189=DIRECTION('',(8.906052758868E-1,4.547771350481E-1,0.E0)); +#17190=AXIS2_PLACEMENT_3D('',#17187,#17188,#17189); +#17191=PLANE('',#17190); +#17192=ORIENTED_EDGE('',*,*,#13201,.F.); +#17194=ORIENTED_EDGE('',*,*,#17193,.T.); +#17195=ORIENTED_EDGE('',*,*,#14776,.T.); +#17196=ORIENTED_EDGE('',*,*,#17180,.F.); +#17197=EDGE_LOOP('',(#17192,#17194,#17195,#17196)); +#17198=FACE_OUTER_BOUND('',#17197,.F.); +#17200=CARTESIAN_POINT('',(1.570833332837E1,3.638297855855E0,-2.E1)); +#17201=DIRECTION('',(8.060044610540E-1,-5.919094599355E-1,0.E0)); +#17202=DIRECTION('',(5.919094599355E-1,8.060044610540E-1,0.E0)); +#17203=AXIS2_PLACEMENT_3D('',#17200,#17201,#17202); +#17204=PLANE('',#17203); +#17205=ORIENTED_EDGE('',*,*,#13199,.F.); +#17207=ORIENTED_EDGE('',*,*,#17206,.T.); +#17208=ORIENTED_EDGE('',*,*,#14778,.T.); +#17209=ORIENTED_EDGE('',*,*,#17193,.F.); +#17210=EDGE_LOOP('',(#17205,#17207,#17208,#17209)); +#17211=FACE_OUTER_BOUND('',#17210,.F.); +#17213=CARTESIAN_POINT('',(1.570833332837E1,3.510638296605E0,-2.E1)); +#17214=DIRECTION('',(1.E0,0.E0,0.E0)); +#17215=DIRECTION('',(0.E0,1.E0,0.E0)); +#17216=AXIS2_PLACEMENT_3D('',#17213,#17214,#17215); +#17217=PLANE('',#17216); +#17218=ORIENTED_EDGE('',*,*,#13197,.F.); +#17220=ORIENTED_EDGE('',*,*,#17219,.T.); +#17221=ORIENTED_EDGE('',*,*,#14780,.T.); +#17222=ORIENTED_EDGE('',*,*,#17206,.F.); +#17223=EDGE_LOOP('',(#17218,#17220,#17221,#17222)); +#17224=FACE_OUTER_BOUND('',#17223,.F.); +#17226=CARTESIAN_POINT('',(1.583333334327E1,3.340425521136E0,-2.E1)); +#17227=DIRECTION('',(8.060044116108E-1,5.919095272623E-1,0.E0)); +#17228=DIRECTION('',(-5.919095272623E-1,8.060044116108E-1,0.E0)); +#17229=AXIS2_PLACEMENT_3D('',#17226,#17227,#17228); +#17230=PLANE('',#17229); +#17231=ORIENTED_EDGE('',*,*,#13195,.F.); +#17233=ORIENTED_EDGE('',*,*,#17232,.T.); +#17234=ORIENTED_EDGE('',*,*,#14782,.T.); +#17235=ORIENTED_EDGE('',*,*,#17219,.F.); +#17236=EDGE_LOOP('',(#17231,#17233,#17234,#17235)); +#17237=FACE_OUTER_BOUND('',#17236,.F.); +#17239=CARTESIAN_POINT('',(1.6E1,3.255319148303E0,-2.E1)); +#17240=DIRECTION('',(4.547771350481E-1,8.906052758868E-1,0.E0)); +#17241=DIRECTION('',(-8.906052758868E-1,4.547771350481E-1,0.E0)); +#17242=AXIS2_PLACEMENT_3D('',#17239,#17240,#17241); +#17243=PLANE('',#17242); +#17244=ORIENTED_EDGE('',*,*,#13193,.F.); +#17245=ORIENTED_EDGE('',*,*,#17052,.T.); +#17246=ORIENTED_EDGE('',*,*,#14784,.T.); +#17247=ORIENTED_EDGE('',*,*,#17232,.F.); +#17248=EDGE_LOOP('',(#17244,#17245,#17246,#17247)); +#17249=FACE_OUTER_BOUND('',#17248,.F.); +#17251=CARTESIAN_POINT('',(1.633333331347E1,4.787234067917E0,-2.E1)); +#17252=DIRECTION('',(-2.473831898946E-1,-9.689177247618E-1,0.E0)); +#17253=DIRECTION('',(9.689177247618E-1,-2.473831898946E-1,0.E0)); +#17254=AXIS2_PLACEMENT_3D('',#17251,#17252,#17253); +#17255=PLANE('',#17254); +#17256=ORIENTED_EDGE('',*,*,#13231,.F.); +#17258=ORIENTED_EDGE('',*,*,#17257,.T.); +#17259=ORIENTED_EDGE('',*,*,#14788,.T.); +#17261=ORIENTED_EDGE('',*,*,#17260,.F.); +#17262=EDGE_LOOP('',(#17256,#17258,#17259,#17261)); +#17263=FACE_OUTER_BOUND('',#17262,.F.); +#17265=CARTESIAN_POINT('',(1.616666668653E1,4.787234067917E0,-2.E1)); +#17266=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17267=DIRECTION('',(1.E0,0.E0,0.E0)); +#17268=AXIS2_PLACEMENT_3D('',#17265,#17266,#17267); +#17269=PLANE('',#17268); +#17270=ORIENTED_EDGE('',*,*,#13261,.F.); +#17272=ORIENTED_EDGE('',*,*,#17271,.T.); +#17273=ORIENTED_EDGE('',*,*,#14790,.T.); +#17274=ORIENTED_EDGE('',*,*,#17257,.F.); +#17275=EDGE_LOOP('',(#17270,#17272,#17273,#17274)); +#17276=FACE_OUTER_BOUND('',#17275,.F.); +#17278=CARTESIAN_POINT('',(1.6E1,4.744680881501E0,-2.E1)); +#17279=DIRECTION('',(2.473831898946E-1,-9.689177247618E-1,0.E0)); +#17280=DIRECTION('',(9.689177247618E-1,2.473831898946E-1,0.E0)); +#17281=AXIS2_PLACEMENT_3D('',#17278,#17279,#17280); +#17282=PLANE('',#17281); +#17283=ORIENTED_EDGE('',*,*,#13259,.F.); +#17285=ORIENTED_EDGE('',*,*,#17284,.T.); +#17286=ORIENTED_EDGE('',*,*,#14792,.T.); +#17287=ORIENTED_EDGE('',*,*,#17271,.F.); +#17288=EDGE_LOOP('',(#17283,#17285,#17286,#17287)); +#17289=FACE_OUTER_BOUND('',#17288,.F.); +#17291=CARTESIAN_POINT('',(1.583333334327E1,4.617021322251E0,-2.E1)); +#17292=DIRECTION('',(6.080768549458E-1,-7.938781634981E-1,0.E0)); +#17293=DIRECTION('',(7.938781634981E-1,6.080768549458E-1,0.E0)); +#17294=AXIS2_PLACEMENT_3D('',#17291,#17292,#17293); +#17295=PLANE('',#17294); +#17296=ORIENTED_EDGE('',*,*,#13257,.F.); +#17298=ORIENTED_EDGE('',*,*,#17297,.T.); +#17299=ORIENTED_EDGE('',*,*,#14794,.T.); +#17300=ORIENTED_EDGE('',*,*,#17284,.F.); +#17301=EDGE_LOOP('',(#17296,#17298,#17299,#17300)); +#17302=FACE_OUTER_BOUND('',#17301,.F.); +#17304=CARTESIAN_POINT('',(1.575E1,4.489361643792E0,-2.E1)); +#17305=DIRECTION('',(8.373793243581E-1,-5.466222343973E-1,0.E0)); +#17306=DIRECTION('',(5.466222343973E-1,8.373793243581E-1,0.E0)); +#17307=AXIS2_PLACEMENT_3D('',#17304,#17305,#17306); +#17308=PLANE('',#17307); +#17309=ORIENTED_EDGE('',*,*,#13255,.F.); +#17311=ORIENTED_EDGE('',*,*,#17310,.T.); +#17312=ORIENTED_EDGE('',*,*,#14796,.T.); +#17313=ORIENTED_EDGE('',*,*,#17297,.F.); +#17314=EDGE_LOOP('',(#17309,#17311,#17312,#17313)); +#17315=FACE_OUTER_BOUND('',#17314,.F.); +#17317=CARTESIAN_POINT('',(1.575E1,4.404255270958E0,-2.E1)); +#17318=DIRECTION('',(1.E0,0.E0,0.E0)); +#17319=DIRECTION('',(0.E0,1.E0,0.E0)); +#17320=AXIS2_PLACEMENT_3D('',#17317,#17318,#17319); +#17321=PLANE('',#17320); +#17322=ORIENTED_EDGE('',*,*,#13253,.F.); +#17324=ORIENTED_EDGE('',*,*,#17323,.T.); +#17325=ORIENTED_EDGE('',*,*,#14798,.T.); +#17326=ORIENTED_EDGE('',*,*,#17310,.F.); +#17327=EDGE_LOOP('',(#17322,#17324,#17325,#17326)); +#17328=FACE_OUTER_BOUND('',#17327,.F.); +#17330=CARTESIAN_POINT('',(1.583333334327E1,4.276595711709E0,-2.E1)); +#17331=DIRECTION('',(8.373790907147E-1,5.466225923193E-1,0.E0)); +#17332=DIRECTION('',(-5.466225923193E-1,8.373790907147E-1,0.E0)); +#17333=AXIS2_PLACEMENT_3D('',#17330,#17331,#17332); +#17334=PLANE('',#17333); +#17335=ORIENTED_EDGE('',*,*,#13251,.F.); +#17337=ORIENTED_EDGE('',*,*,#17336,.T.); +#17338=ORIENTED_EDGE('',*,*,#14800,.T.); +#17339=ORIENTED_EDGE('',*,*,#17323,.F.); +#17340=EDGE_LOOP('',(#17335,#17337,#17338,#17339)); +#17341=FACE_OUTER_BOUND('',#17340,.F.); +#17343=CARTESIAN_POINT('',(1.6E1,4.148936152459E0,-2.E1)); +#17344=DIRECTION('',(6.080768549458E-1,7.938781634981E-1,0.E0)); +#17345=DIRECTION('',(-7.938781634981E-1,6.080768549458E-1,0.E0)); +#17346=AXIS2_PLACEMENT_3D('',#17343,#17344,#17345); +#17347=PLANE('',#17346); +#17348=ORIENTED_EDGE('',*,*,#13249,.F.); +#17350=ORIENTED_EDGE('',*,*,#17349,.T.); +#17351=ORIENTED_EDGE('',*,*,#14802,.T.); +#17352=ORIENTED_EDGE('',*,*,#17336,.F.); +#17353=EDGE_LOOP('',(#17348,#17350,#17351,#17352)); +#17354=FACE_OUTER_BOUND('',#17353,.F.); +#17356=CARTESIAN_POINT('',(1.616666668653E1,4.106382966042E0,-2.E1)); +#17357=DIRECTION('',(2.473831898946E-1,9.689177247618E-1,0.E0)); +#17358=DIRECTION('',(-9.689177247618E-1,2.473831898946E-1,0.E0)); +#17359=AXIS2_PLACEMENT_3D('',#17356,#17357,#17358); +#17360=PLANE('',#17359); +#17361=ORIENTED_EDGE('',*,*,#13247,.F.); +#17363=ORIENTED_EDGE('',*,*,#17362,.T.); +#17364=ORIENTED_EDGE('',*,*,#14804,.T.); +#17365=ORIENTED_EDGE('',*,*,#17349,.F.); +#17366=EDGE_LOOP('',(#17361,#17363,#17364,#17365)); +#17367=FACE_OUTER_BOUND('',#17366,.F.); +#17369=CARTESIAN_POINT('',(1.633333331347E1,4.106382966042E0,-2.E1)); +#17370=DIRECTION('',(0.E0,1.E0,0.E0)); +#17371=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17372=AXIS2_PLACEMENT_3D('',#17369,#17370,#17371); +#17373=PLANE('',#17372); +#17374=ORIENTED_EDGE('',*,*,#13245,.F.); +#17376=ORIENTED_EDGE('',*,*,#17375,.T.); +#17377=ORIENTED_EDGE('',*,*,#14806,.T.); +#17378=ORIENTED_EDGE('',*,*,#17362,.F.); +#17379=EDGE_LOOP('',(#17374,#17376,#17377,#17378)); +#17380=FACE_OUTER_BOUND('',#17379,.F.); +#17382=CARTESIAN_POINT('',(1.65E1,4.148936152459E0,-2.E1)); +#17383=DIRECTION('',(-2.473831898946E-1,9.689177247618E-1,0.E0)); +#17384=DIRECTION('',(-9.689177247618E-1,-2.473831898946E-1,0.E0)); +#17385=AXIS2_PLACEMENT_3D('',#17382,#17383,#17384); +#17386=PLANE('',#17385); +#17387=ORIENTED_EDGE('',*,*,#13243,.F.); +#17389=ORIENTED_EDGE('',*,*,#17388,.T.); +#17390=ORIENTED_EDGE('',*,*,#14808,.T.); +#17391=ORIENTED_EDGE('',*,*,#17375,.F.); +#17392=EDGE_LOOP('',(#17387,#17389,#17390,#17391)); +#17393=FACE_OUTER_BOUND('',#17392,.F.); +#17395=CARTESIAN_POINT('',(1.666666662693E1,4.276595711709E0,-2.E1)); +#17396=DIRECTION('',(-6.080769234738E-1,7.938781110087E-1,0.E0)); +#17397=DIRECTION('',(-7.938781110087E-1,-6.080769234738E-1,0.E0)); +#17398=AXIS2_PLACEMENT_3D('',#17395,#17396,#17397); +#17399=PLANE('',#17398); +#17400=ORIENTED_EDGE('',*,*,#13241,.F.); +#17402=ORIENTED_EDGE('',*,*,#17401,.T.); +#17403=ORIENTED_EDGE('',*,*,#14810,.T.); +#17404=ORIENTED_EDGE('',*,*,#17388,.F.); +#17405=EDGE_LOOP('',(#17400,#17402,#17403,#17404)); +#17406=FACE_OUTER_BOUND('',#17405,.F.); +#17408=CARTESIAN_POINT('',(1.675E1,4.404255270958E0,-2.E1)); +#17409=DIRECTION('',(-8.373790012342E-1,5.466227293958E-1,0.E0)); +#17410=DIRECTION('',(-5.466227293958E-1,-8.373790012342E-1,0.E0)); +#17411=AXIS2_PLACEMENT_3D('',#17408,#17409,#17410); +#17412=PLANE('',#17411); +#17413=ORIENTED_EDGE('',*,*,#13239,.F.); +#17415=ORIENTED_EDGE('',*,*,#17414,.T.); +#17416=ORIENTED_EDGE('',*,*,#14812,.T.); +#17417=ORIENTED_EDGE('',*,*,#17401,.F.); +#17418=EDGE_LOOP('',(#17413,#17415,#17416,#17417)); +#17419=FACE_OUTER_BOUND('',#17418,.F.); +#17421=CARTESIAN_POINT('',(1.675E1,4.489361643792E0,-2.E1)); +#17422=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17423=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17424=AXIS2_PLACEMENT_3D('',#17421,#17422,#17423); +#17425=PLANE('',#17424); +#17426=ORIENTED_EDGE('',*,*,#13237,.F.); +#17428=ORIENTED_EDGE('',*,*,#17427,.T.); +#17429=ORIENTED_EDGE('',*,*,#14814,.T.); +#17430=ORIENTED_EDGE('',*,*,#17414,.F.); +#17431=EDGE_LOOP('',(#17426,#17428,#17429,#17430)); +#17432=FACE_OUTER_BOUND('',#17431,.F.); +#17434=CARTESIAN_POINT('',(1.666666662693E1,4.617021322251E0,-2.E1)); +#17435=DIRECTION('',(-8.373792348777E-1,-5.466223714738E-1,0.E0)); +#17436=DIRECTION('',(5.466223714738E-1,-8.373792348777E-1,0.E0)); +#17437=AXIS2_PLACEMENT_3D('',#17434,#17435,#17436); +#17438=PLANE('',#17437); +#17439=ORIENTED_EDGE('',*,*,#13235,.F.); +#17441=ORIENTED_EDGE('',*,*,#17440,.T.); +#17442=ORIENTED_EDGE('',*,*,#14816,.T.); +#17443=ORIENTED_EDGE('',*,*,#17427,.F.); +#17444=EDGE_LOOP('',(#17439,#17441,#17442,#17443)); +#17445=FACE_OUTER_BOUND('',#17444,.F.); +#17447=CARTESIAN_POINT('',(1.65E1,4.744680881501E0,-2.E1)); +#17448=DIRECTION('',(-6.080769234738E-1,-7.938781110087E-1,0.E0)); +#17449=DIRECTION('',(7.938781110087E-1,-6.080769234738E-1,0.E0)); +#17450=AXIS2_PLACEMENT_3D('',#17447,#17448,#17449); +#17451=PLANE('',#17450); +#17452=ORIENTED_EDGE('',*,*,#13233,.F.); +#17453=ORIENTED_EDGE('',*,*,#17260,.T.); +#17454=ORIENTED_EDGE('',*,*,#14818,.T.); +#17455=ORIENTED_EDGE('',*,*,#17440,.F.); +#17456=EDGE_LOOP('',(#17452,#17453,#17454,#17455)); +#17457=FACE_OUTER_BOUND('',#17456,.F.); +#17459=CARTESIAN_POINT('',(1.545E1,-1.E0,-2.E1)); +#17460=DIRECTION('',(1.E0,0.E0,0.E0)); +#17461=DIRECTION('',(0.E0,1.E0,0.E0)); +#17462=AXIS2_PLACEMENT_3D('',#17459,#17460,#17461); +#17463=PLANE('',#17462); +#17464=ORIENTED_EDGE('',*,*,#13704,.F.); +#17466=ORIENTED_EDGE('',*,*,#17465,.T.); +#17467=ORIENTED_EDGE('',*,*,#14828,.T.); +#17469=ORIENTED_EDGE('',*,*,#17468,.F.); +#17470=EDGE_LOOP('',(#17464,#17466,#17467,#17469)); +#17471=FACE_OUTER_BOUND('',#17470,.F.); +#17473=CARTESIAN_POINT('',(1.52E1,-1.E0,-2.E1)); +#17474=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17475=DIRECTION('',(1.E0,0.E0,0.E0)); +#17476=AXIS2_PLACEMENT_3D('',#17473,#17474,#17475); +#17477=PLANE('',#17476); +#17478=ORIENTED_EDGE('',*,*,#13716,.F.); +#17480=ORIENTED_EDGE('',*,*,#17479,.T.); +#17481=ORIENTED_EDGE('',*,*,#14830,.T.); +#17482=ORIENTED_EDGE('',*,*,#17465,.F.); +#17483=EDGE_LOOP('',(#17478,#17480,#17481,#17482)); +#17484=FACE_OUTER_BOUND('',#17483,.F.); +#17486=CARTESIAN_POINT('',(1.52E1,6.595745086670E-1,-2.E1)); +#17487=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17488=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17489=AXIS2_PLACEMENT_3D('',#17486,#17487,#17488); +#17490=PLANE('',#17489); +#17491=ORIENTED_EDGE('',*,*,#13714,.F.); +#17493=ORIENTED_EDGE('',*,*,#17492,.T.); +#17494=ORIENTED_EDGE('',*,*,#14832,.T.); +#17495=ORIENTED_EDGE('',*,*,#17479,.F.); +#17496=EDGE_LOOP('',(#17491,#17493,#17494,#17495)); +#17497=FACE_OUTER_BOUND('',#17496,.F.); +#17499=CARTESIAN_POINT('',(1.495E1,3.617020845413E-1,-2.E1)); +#17500=DIRECTION('',(7.659743427825E-1,-6.428711427642E-1,0.E0)); +#17501=DIRECTION('',(6.428711427642E-1,7.659743427825E-1,0.E0)); +#17502=AXIS2_PLACEMENT_3D('',#17499,#17500,#17501); +#17503=PLANE('',#17502); +#17504=ORIENTED_EDGE('',*,*,#13712,.F.); +#17506=ORIENTED_EDGE('',*,*,#17505,.T.); +#17507=ORIENTED_EDGE('',*,*,#14834,.T.); +#17508=ORIENTED_EDGE('',*,*,#17492,.F.); +#17509=EDGE_LOOP('',(#17504,#17506,#17507,#17508)); +#17510=FACE_OUTER_BOUND('',#17509,.F.); +#17512=CARTESIAN_POINT('',(1.495E1,7.021276950836E-1,-2.E1)); +#17513=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17514=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17515=AXIS2_PLACEMENT_3D('',#17512,#17513,#17514); +#17516=PLANE('',#17515); +#17517=ORIENTED_EDGE('',*,*,#13710,.F.); +#17519=ORIENTED_EDGE('',*,*,#17518,.T.); +#17520=ORIENTED_EDGE('',*,*,#14836,.T.); +#17521=ORIENTED_EDGE('',*,*,#17505,.F.); +#17522=EDGE_LOOP('',(#17517,#17519,#17520,#17521)); +#17523=FACE_OUTER_BOUND('',#17522,.F.); +#17525=CARTESIAN_POINT('',(1.52E1,1.E0,-2.E1)); +#17526=DIRECTION('',(-7.659742160926E-1,6.428712937139E-1,0.E0)); +#17527=DIRECTION('',(-6.428712937139E-1,-7.659742160926E-1,0.E0)); +#17528=AXIS2_PLACEMENT_3D('',#17525,#17526,#17527); +#17529=PLANE('',#17528); +#17530=ORIENTED_EDGE('',*,*,#13708,.F.); +#17532=ORIENTED_EDGE('',*,*,#17531,.T.); +#17533=ORIENTED_EDGE('',*,*,#14838,.T.); +#17534=ORIENTED_EDGE('',*,*,#17518,.F.); +#17535=EDGE_LOOP('',(#17530,#17532,#17533,#17534)); +#17536=FACE_OUTER_BOUND('',#17535,.F.); +#17538=CARTESIAN_POINT('',(1.545E1,1.E0,-2.E1)); +#17539=DIRECTION('',(0.E0,1.E0,0.E0)); +#17540=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17541=AXIS2_PLACEMENT_3D('',#17538,#17539,#17540); +#17542=PLANE('',#17541); +#17543=ORIENTED_EDGE('',*,*,#13706,.F.); +#17544=ORIENTED_EDGE('',*,*,#17468,.T.); +#17545=ORIENTED_EDGE('',*,*,#14840,.T.); +#17546=ORIENTED_EDGE('',*,*,#17531,.F.); +#17547=EDGE_LOOP('',(#17543,#17544,#17545,#17546)); +#17548=FACE_OUTER_BOUND('',#17547,.F.); +#17550=CARTESIAN_POINT('',(1.6075E1,-1.063829660416E-1,-2.E1)); +#17551=DIRECTION('',(6.080769234738E-1,-7.938781110087E-1,0.E0)); +#17552=DIRECTION('',(7.938781110087E-1,6.080769234738E-1,0.E0)); +#17553=AXIS2_PLACEMENT_3D('',#17550,#17551,#17552); +#17554=PLANE('',#17553); +#17555=ORIENTED_EDGE('',*,*,#13720,.F.); +#17557=ORIENTED_EDGE('',*,*,#17556,.T.); +#17558=ORIENTED_EDGE('',*,*,#14850,.T.); +#17560=ORIENTED_EDGE('',*,*,#17559,.F.); +#17561=EDGE_LOOP('',(#17555,#17557,#17558,#17560)); +#17562=FACE_OUTER_BOUND('',#17561,.F.); +#17564=CARTESIAN_POINT('',(1.578333331347E1,-1.063829660416E-1,-2.E1)); +#17565=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17566=DIRECTION('',(1.E0,0.E0,0.E0)); +#17567=AXIS2_PLACEMENT_3D('',#17564,#17565,#17566); +#17568=PLANE('',#17567); +#17569=ORIENTED_EDGE('',*,*,#13798,.F.); +#17571=ORIENTED_EDGE('',*,*,#17570,.T.); +#17572=ORIENTED_EDGE('',*,*,#14852,.T.); +#17573=ORIENTED_EDGE('',*,*,#17556,.F.); +#17574=EDGE_LOOP('',(#17569,#17571,#17572,#17573)); +#17575=FACE_OUTER_BOUND('',#17574,.F.); +#17577=CARTESIAN_POINT('',(1.578333331347E1,1.E0,-2.E1)); +#17578=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17579=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17580=AXIS2_PLACEMENT_3D('',#17577,#17578,#17579); +#17581=PLANE('',#17580); +#17582=ORIENTED_EDGE('',*,*,#13796,.F.); +#17584=ORIENTED_EDGE('',*,*,#17583,.T.); +#17585=ORIENTED_EDGE('',*,*,#14854,.T.); +#17586=ORIENTED_EDGE('',*,*,#17570,.F.); +#17587=EDGE_LOOP('',(#17582,#17584,#17585,#17586)); +#17588=FACE_OUTER_BOUND('',#17587,.F.); +#17590=CARTESIAN_POINT('',(1.72E1,1.E0,-2.E1)); +#17591=DIRECTION('',(0.E0,1.E0,0.E0)); +#17592=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17593=AXIS2_PLACEMENT_3D('',#17590,#17591,#17592); +#17594=PLANE('',#17593); +#17595=ORIENTED_EDGE('',*,*,#13794,.F.); +#17597=ORIENTED_EDGE('',*,*,#17596,.T.); +#17598=ORIENTED_EDGE('',*,*,#14856,.T.); +#17599=ORIENTED_EDGE('',*,*,#17583,.F.); +#17600=EDGE_LOOP('',(#17595,#17597,#17598,#17599)); +#17601=FACE_OUTER_BOUND('',#17600,.F.); +#17603=CARTESIAN_POINT('',(1.72E1,7.872340679169E-1,-2.E1)); +#17604=DIRECTION('',(1.E0,0.E0,0.E0)); +#17605=DIRECTION('',(0.E0,1.E0,0.E0)); +#17606=AXIS2_PLACEMENT_3D('',#17603,#17604,#17605); +#17607=PLANE('',#17606); +#17608=ORIENTED_EDGE('',*,*,#13792,.F.); +#17610=ORIENTED_EDGE('',*,*,#17609,.T.); +#17611=ORIENTED_EDGE('',*,*,#14858,.T.); +#17612=ORIENTED_EDGE('',*,*,#17596,.F.); +#17613=EDGE_LOOP('',(#17608,#17610,#17611,#17612)); +#17614=FACE_OUTER_BOUND('',#17613,.F.); +#17616=CARTESIAN_POINT('',(1.599166662693E1,7.872340679169E-1,-2.E1)); +#17617=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17618=DIRECTION('',(1.E0,0.E0,0.E0)); +#17619=AXIS2_PLACEMENT_3D('',#17616,#17617,#17618); +#17620=PLANE('',#17619); +#17621=ORIENTED_EDGE('',*,*,#13790,.F.); +#17623=ORIENTED_EDGE('',*,*,#17622,.T.); +#17624=ORIENTED_EDGE('',*,*,#14860,.T.); +#17625=ORIENTED_EDGE('',*,*,#17609,.F.); +#17626=EDGE_LOOP('',(#17621,#17623,#17624,#17625)); +#17627=FACE_OUTER_BOUND('',#17626,.F.); +#17629=CARTESIAN_POINT('',(1.599166662693E1,1.063829660416E-1,-2.E1)); +#17630=DIRECTION('',(1.E0,0.E0,0.E0)); +#17631=DIRECTION('',(0.E0,1.E0,0.E0)); +#17632=AXIS2_PLACEMENT_3D('',#17629,#17630,#17631); +#17633=PLANE('',#17632); +#17634=ORIENTED_EDGE('',*,*,#13788,.F.); +#17636=ORIENTED_EDGE('',*,*,#17635,.T.); +#17637=ORIENTED_EDGE('',*,*,#14862,.T.); +#17638=ORIENTED_EDGE('',*,*,#17622,.F.); +#17639=EDGE_LOOP('',(#17634,#17636,#17637,#17638)); +#17640=FACE_OUTER_BOUND('',#17639,.F.); +#17642=CARTESIAN_POINT('',(1.62E1,2.340425252914E-1,-2.E1)); +#17643=DIRECTION('',(-5.224768561414E-1,8.526534670056E-1,0.E0)); +#17644=DIRECTION('',(-8.526534670056E-1,-5.224768561414E-1,0.E0)); +#17645=AXIS2_PLACEMENT_3D('',#17642,#17643,#17644); +#17646=PLANE('',#17645); +#17647=ORIENTED_EDGE('',*,*,#13786,.F.); +#17649=ORIENTED_EDGE('',*,*,#17648,.T.); +#17650=ORIENTED_EDGE('',*,*,#14864,.T.); +#17651=ORIENTED_EDGE('',*,*,#17635,.F.); +#17652=EDGE_LOOP('',(#17647,#17649,#17650,#17651)); +#17653=FACE_OUTER_BOUND('',#17652,.F.); +#17655=CARTESIAN_POINT('',(1.640833337307E1,2.765957117081E-1,-2.E1)); +#17656=DIRECTION('',(-2.001233184181E-1,9.797707167625E-1,0.E0)); +#17657=DIRECTION('',(-9.797707167625E-1,-2.001233184181E-1,0.E0)); +#17658=AXIS2_PLACEMENT_3D('',#17655,#17656,#17657); +#17659=PLANE('',#17658); +#17660=ORIENTED_EDGE('',*,*,#13784,.F.); +#17662=ORIENTED_EDGE('',*,*,#17661,.T.); +#17663=ORIENTED_EDGE('',*,*,#14866,.T.); +#17664=ORIENTED_EDGE('',*,*,#17648,.F.); +#17665=EDGE_LOOP('',(#17660,#17662,#17663,#17664)); +#17666=FACE_OUTER_BOUND('',#17665,.F.); +#17668=CARTESIAN_POINT('',(1.665833337307E1,2.765957117081E-1,-2.E1)); +#17669=DIRECTION('',(0.E0,1.E0,0.E0)); +#17670=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17671=AXIS2_PLACEMENT_3D('',#17668,#17669,#17670); +#17672=PLANE('',#17671); +#17673=ORIENTED_EDGE('',*,*,#13782,.F.); +#17675=ORIENTED_EDGE('',*,*,#17674,.T.); +#17676=ORIENTED_EDGE('',*,*,#14868,.T.); +#17677=ORIENTED_EDGE('',*,*,#17661,.F.); +#17678=EDGE_LOOP('',(#17673,#17675,#17676,#17677)); +#17679=FACE_OUTER_BOUND('',#17678,.F.); +#17681=CARTESIAN_POINT('',(1.686666662693E1,2.340425252914E-1,-2.E1)); +#17682=DIRECTION('',(2.001234283435E-1,9.797706943097E-1,0.E0)); +#17683=DIRECTION('',(-9.797706943097E-1,2.001234283435E-1,0.E0)); +#17684=AXIS2_PLACEMENT_3D('',#17681,#17682,#17683); +#17685=PLANE('',#17684); +#17686=ORIENTED_EDGE('',*,*,#13780,.F.); +#17688=ORIENTED_EDGE('',*,*,#17687,.T.); +#17689=ORIENTED_EDGE('',*,*,#14870,.T.); +#17690=ORIENTED_EDGE('',*,*,#17674,.F.); +#17691=EDGE_LOOP('',(#17686,#17688,#17689,#17690)); +#17692=FACE_OUTER_BOUND('',#17691,.F.); +#17694=CARTESIAN_POINT('',(1.7075E1,1.063829660416E-1,-2.E1)); +#17695=DIRECTION('',(5.224768561414E-1,8.526534670056E-1,0.E0)); +#17696=DIRECTION('',(-8.526534670056E-1,5.224768561414E-1,0.E0)); +#17697=AXIS2_PLACEMENT_3D('',#17694,#17695,#17696); +#17698=PLANE('',#17697); +#17699=ORIENTED_EDGE('',*,*,#13778,.F.); +#17701=ORIENTED_EDGE('',*,*,#17700,.T.); +#17702=ORIENTED_EDGE('',*,*,#14872,.T.); +#17703=ORIENTED_EDGE('',*,*,#17687,.F.); +#17704=EDGE_LOOP('',(#17699,#17701,#17702,#17703)); +#17705=FACE_OUTER_BOUND('',#17704,.F.); +#17707=CARTESIAN_POINT('',(1.72E1,-6.382977962494E-2,-2.E1)); +#17708=DIRECTION('',(8.060043958311E-1,5.919095487496E-1,0.E0)); +#17709=DIRECTION('',(-5.919095487496E-1,8.060043958311E-1,0.E0)); +#17710=AXIS2_PLACEMENT_3D('',#17707,#17708,#17709); +#17711=PLANE('',#17710); +#17712=ORIENTED_EDGE('',*,*,#13776,.F.); +#17714=ORIENTED_EDGE('',*,*,#17713,.T.); +#17715=ORIENTED_EDGE('',*,*,#14874,.T.); +#17716=ORIENTED_EDGE('',*,*,#17700,.F.); +#17717=EDGE_LOOP('',(#17712,#17714,#17715,#17716)); +#17718=FACE_OUTER_BOUND('',#17717,.F.); +#17720=CARTESIAN_POINT('',(1.728333325386E1,-2.765957713127E-1,-2.E1)); +#17721=DIRECTION('',(9.311283887994E-1,3.646915457917E-1,0.E0)); +#17722=DIRECTION('',(-3.646915457917E-1,9.311283887994E-1,0.E0)); +#17723=AXIS2_PLACEMENT_3D('',#17720,#17721,#17722); +#17724=PLANE('',#17723); +#17725=ORIENTED_EDGE('',*,*,#13774,.F.); +#17727=ORIENTED_EDGE('',*,*,#17726,.T.); +#17728=ORIENTED_EDGE('',*,*,#14876,.T.); +#17729=ORIENTED_EDGE('',*,*,#17713,.F.); +#17730=EDGE_LOOP('',(#17725,#17727,#17728,#17729)); +#17731=FACE_OUTER_BOUND('',#17730,.F.); +#17733=CARTESIAN_POINT('',(1.728333325386E1,-4.468085169792E-1,-2.E1)); +#17734=DIRECTION('',(1.E0,0.E0,0.E0)); +#17735=DIRECTION('',(0.E0,1.E0,0.E0)); +#17736=AXIS2_PLACEMENT_3D('',#17733,#17734,#17735); +#17737=PLANE('',#17736); +#17738=ORIENTED_EDGE('',*,*,#13772,.F.); +#17740=ORIENTED_EDGE('',*,*,#17739,.T.); +#17741=ORIENTED_EDGE('',*,*,#14878,.T.); +#17742=ORIENTED_EDGE('',*,*,#17726,.F.); +#17743=EDGE_LOOP('',(#17738,#17740,#17741,#17742)); +#17744=FACE_OUTER_BOUND('',#17743,.F.); +#17746=CARTESIAN_POINT('',(1.72E1,-6.595744788647E-1,-2.E1)); +#17747=DIRECTION('',(9.311283714530E-1,-3.646915900803E-1,0.E0)); +#17748=DIRECTION('',(3.646915900803E-1,9.311283714530E-1,0.E0)); +#17749=AXIS2_PLACEMENT_3D('',#17746,#17747,#17748); +#17750=PLANE('',#17749); +#17751=ORIENTED_EDGE('',*,*,#13770,.F.); +#17753=ORIENTED_EDGE('',*,*,#17752,.T.); +#17754=ORIENTED_EDGE('',*,*,#14880,.T.); +#17755=ORIENTED_EDGE('',*,*,#17739,.F.); +#17756=EDGE_LOOP('',(#17751,#17753,#17754,#17755)); +#17757=FACE_OUTER_BOUND('',#17756,.F.); +#17759=CARTESIAN_POINT('',(1.7075E1,-8.297872394323E-1,-2.E1)); +#17760=DIRECTION('',(8.060044205527E-1,-5.919095150862E-1,0.E0)); +#17761=DIRECTION('',(5.919095150862E-1,8.060044205527E-1,0.E0)); +#17762=AXIS2_PLACEMENT_3D('',#17759,#17760,#17761); +#17763=PLANE('',#17762); +#17764=ORIENTED_EDGE('',*,*,#13768,.F.); +#17766=ORIENTED_EDGE('',*,*,#17765,.T.); +#17767=ORIENTED_EDGE('',*,*,#14882,.T.); +#17768=ORIENTED_EDGE('',*,*,#17752,.F.); +#17769=EDGE_LOOP('',(#17764,#17766,#17767,#17768)); +#17770=FACE_OUTER_BOUND('',#17769,.F.); +#17772=CARTESIAN_POINT('',(1.686666662693E1,-9.574468098581E-1,-2.E1)); +#17773=DIRECTION('',(5.224768893951E-1,-8.526534466288E-1,0.E0)); +#17774=DIRECTION('',(8.526534466288E-1,5.224768893951E-1,0.E0)); +#17775=AXIS2_PLACEMENT_3D('',#17772,#17773,#17774); +#17776=PLANE('',#17775); +#17777=ORIENTED_EDGE('',*,*,#13766,.F.); +#17779=ORIENTED_EDGE('',*,*,#17778,.T.); +#17780=ORIENTED_EDGE('',*,*,#14884,.T.); +#17781=ORIENTED_EDGE('',*,*,#17765,.F.); +#17782=EDGE_LOOP('',(#17777,#17779,#17780,#17781)); +#17783=FACE_OUTER_BOUND('',#17782,.F.); +#17785=CARTESIAN_POINT('',(1.665833337307E1,-1.E0,-2.E1)); +#17786=DIRECTION('',(2.001234451615E-1,-9.797706908745E-1,0.E0)); +#17787=DIRECTION('',(9.797706908745E-1,2.001234451615E-1,0.E0)); +#17788=AXIS2_PLACEMENT_3D('',#17785,#17786,#17787); +#17789=PLANE('',#17788); +#17790=ORIENTED_EDGE('',*,*,#13764,.F.); +#17792=ORIENTED_EDGE('',*,*,#17791,.T.); +#17793=ORIENTED_EDGE('',*,*,#14886,.T.); +#17794=ORIENTED_EDGE('',*,*,#17778,.F.); +#17795=EDGE_LOOP('',(#17790,#17792,#17793,#17794)); +#17796=FACE_OUTER_BOUND('',#17795,.F.); +#17798=CARTESIAN_POINT('',(1.640833337307E1,-1.E0,-2.E1)); +#17799=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17800=DIRECTION('',(1.E0,0.E0,0.E0)); +#17801=AXIS2_PLACEMENT_3D('',#17798,#17799,#17800); +#17802=PLANE('',#17801); +#17803=ORIENTED_EDGE('',*,*,#13762,.F.); +#17805=ORIENTED_EDGE('',*,*,#17804,.T.); +#17806=ORIENTED_EDGE('',*,*,#14888,.T.); +#17807=ORIENTED_EDGE('',*,*,#17791,.F.); +#17808=EDGE_LOOP('',(#17803,#17805,#17806,#17807)); +#17809=FACE_OUTER_BOUND('',#17808,.F.); +#17811=CARTESIAN_POINT('',(1.62E1,-9.574468098581E-1,-2.E1)); +#17812=DIRECTION('',(-2.001233352361E-1,-9.797707133274E-1,0.E0)); +#17813=DIRECTION('',(9.797707133274E-1,-2.001233352361E-1,0.E0)); +#17814=AXIS2_PLACEMENT_3D('',#17811,#17812,#17813); +#17815=PLANE('',#17814); +#17816=ORIENTED_EDGE('',*,*,#13760,.F.); +#17818=ORIENTED_EDGE('',*,*,#17817,.T.); +#17819=ORIENTED_EDGE('',*,*,#14890,.T.); +#17820=ORIENTED_EDGE('',*,*,#17804,.F.); +#17821=EDGE_LOOP('',(#17816,#17818,#17819,#17820)); +#17822=FACE_OUTER_BOUND('',#17821,.F.); +#17824=CARTESIAN_POINT('',(1.599166662693E1,-8.297872394323E-1,-2.E1)); +#17825=DIRECTION('',(-5.224768893951E-1,-8.526534466288E-1,0.E0)); +#17826=DIRECTION('',(8.526534466288E-1,-5.224768893951E-1,0.E0)); +#17827=AXIS2_PLACEMENT_3D('',#17824,#17825,#17826); +#17828=PLANE('',#17827); +#17829=ORIENTED_EDGE('',*,*,#13758,.F.); +#17831=ORIENTED_EDGE('',*,*,#17830,.T.); +#17832=ORIENTED_EDGE('',*,*,#14892,.T.); +#17833=ORIENTED_EDGE('',*,*,#17817,.F.); +#17834=EDGE_LOOP('',(#17829,#17831,#17832,#17833)); +#17835=FACE_OUTER_BOUND('',#17834,.F.); +#17837=CARTESIAN_POINT('',(1.586666668653E1,-6.595744788647E-1,-2.E1)); +#17838=DIRECTION('',(-8.060045552063E-1,-5.919093317280E-1,0.E0)); +#17839=DIRECTION('',(5.919093317280E-1,-8.060045552063E-1,0.E0)); +#17840=AXIS2_PLACEMENT_3D('',#17837,#17838,#17839); +#17841=PLANE('',#17840); +#17842=ORIENTED_EDGE('',*,*,#13756,.F.); +#17844=ORIENTED_EDGE('',*,*,#17843,.T.); +#17845=ORIENTED_EDGE('',*,*,#14894,.T.); +#17846=ORIENTED_EDGE('',*,*,#17830,.F.); +#17847=EDGE_LOOP('',(#17842,#17844,#17845,#17846)); +#17848=FACE_OUTER_BOUND('',#17847,.F.); +#17850=CARTESIAN_POINT('',(1.578333331347E1,-4.893617033958E-1,-2.E1)); +#17851=DIRECTION('',(-8.981380447713E-1,-4.397136028534E-1,0.E0)); +#17852=DIRECTION('',(4.397136028534E-1,-8.981380447713E-1,0.E0)); +#17853=AXIS2_PLACEMENT_3D('',#17850,#17851,#17852); +#17854=PLANE('',#17853); +#17855=ORIENTED_EDGE('',*,*,#13754,.F.); +#17857=ORIENTED_EDGE('',*,*,#17856,.T.); +#17858=ORIENTED_EDGE('',*,*,#14896,.T.); +#17859=ORIENTED_EDGE('',*,*,#17843,.F.); +#17860=EDGE_LOOP('',(#17855,#17857,#17858,#17859)); +#17861=FACE_OUTER_BOUND('',#17860,.F.); +#17863=CARTESIAN_POINT('',(1.603333337307E1,-4.893617033958E-1,-2.E1)); +#17864=DIRECTION('',(0.E0,1.E0,0.E0)); +#17865=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17866=AXIS2_PLACEMENT_3D('',#17863,#17864,#17865); +#17867=PLANE('',#17866); +#17868=ORIENTED_EDGE('',*,*,#13752,.F.); +#17870=ORIENTED_EDGE('',*,*,#17869,.T.); +#17871=ORIENTED_EDGE('',*,*,#14898,.T.); +#17872=ORIENTED_EDGE('',*,*,#17856,.F.); +#17873=EDGE_LOOP('',(#17868,#17870,#17871,#17872)); +#17874=FACE_OUTER_BOUND('',#17873,.F.); +#17876=CARTESIAN_POINT('',(1.611666662693E1,-6.595744788647E-1,-2.E1)); +#17877=DIRECTION('',(8.981382931841E-1,4.397130954570E-1,0.E0)); +#17878=DIRECTION('',(-4.397130954570E-1,8.981382931841E-1,0.E0)); +#17879=AXIS2_PLACEMENT_3D('',#17876,#17877,#17878); +#17880=PLANE('',#17879); +#17881=ORIENTED_EDGE('',*,*,#13750,.F.); +#17883=ORIENTED_EDGE('',*,*,#17882,.T.); +#17884=ORIENTED_EDGE('',*,*,#14900,.T.); +#17885=ORIENTED_EDGE('',*,*,#17869,.F.); +#17886=EDGE_LOOP('',(#17881,#17883,#17884,#17885)); +#17887=FACE_OUTER_BOUND('',#17886,.F.); +#17889=CARTESIAN_POINT('',(1.624166662693E1,-7.446808516979E-1,-2.E1)); +#17890=DIRECTION('',(5.627909312417E-1,8.265992787996E-1,0.E0)); +#17891=DIRECTION('',(-8.265992787996E-1,5.627909312417E-1,0.E0)); +#17892=AXIS2_PLACEMENT_3D('',#17889,#17890,#17891); +#17893=PLANE('',#17892); +#17894=ORIENTED_EDGE('',*,*,#13748,.F.); +#17896=ORIENTED_EDGE('',*,*,#17895,.T.); +#17897=ORIENTED_EDGE('',*,*,#14902,.T.); +#17898=ORIENTED_EDGE('',*,*,#17882,.F.); +#17899=EDGE_LOOP('',(#17894,#17896,#17897,#17898)); +#17900=FACE_OUTER_BOUND('',#17899,.F.); +#17902=CARTESIAN_POINT('',(1.640833337307E1,-7.872340381145E-1,-2.E1)); +#17903=DIRECTION('',(2.473831068378E-1,9.689177459678E-1,0.E0)); +#17904=DIRECTION('',(-9.689177459678E-1,2.473831068378E-1,0.E0)); +#17905=AXIS2_PLACEMENT_3D('',#17902,#17903,#17904); +#17906=PLANE('',#17905); +#17907=ORIENTED_EDGE('',*,*,#13746,.F.); +#17909=ORIENTED_EDGE('',*,*,#17908,.T.); +#17910=ORIENTED_EDGE('',*,*,#14904,.T.); +#17911=ORIENTED_EDGE('',*,*,#17895,.F.); +#17912=EDGE_LOOP('',(#17907,#17909,#17910,#17911)); +#17913=FACE_OUTER_BOUND('',#17912,.F.); +#17915=CARTESIAN_POINT('',(1.665833337307E1,-7.872340381145E-1,-2.E1)); +#17916=DIRECTION('',(0.E0,1.E0,0.E0)); +#17917=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17918=AXIS2_PLACEMENT_3D('',#17915,#17916,#17917); +#17919=PLANE('',#17918); +#17920=ORIENTED_EDGE('',*,*,#13744,.F.); +#17922=ORIENTED_EDGE('',*,*,#17921,.T.); +#17923=ORIENTED_EDGE('',*,*,#14906,.T.); +#17924=ORIENTED_EDGE('',*,*,#17908,.F.); +#17925=EDGE_LOOP('',(#17920,#17922,#17923,#17924)); +#17926=FACE_OUTER_BOUND('',#17925,.F.); +#17928=CARTESIAN_POINT('',(1.6825E1,-7.446808516979E-1,-2.E1)); +#17929=DIRECTION('',(-2.473832729514E-1,9.689177035558E-1,0.E0)); +#17930=DIRECTION('',(-9.689177035558E-1,-2.473832729514E-1,0.E0)); +#17931=AXIS2_PLACEMENT_3D('',#17928,#17929,#17930); +#17932=PLANE('',#17931); +#17933=ORIENTED_EDGE('',*,*,#13742,.F.); +#17935=ORIENTED_EDGE('',*,*,#17934,.T.); +#17936=ORIENTED_EDGE('',*,*,#14908,.T.); +#17937=ORIENTED_EDGE('',*,*,#17921,.F.); +#17938=EDGE_LOOP('',(#17933,#17935,#17936,#17937)); +#17939=FACE_OUTER_BOUND('',#17938,.F.); +#17941=CARTESIAN_POINT('',(1.695E1,-6.595744788647E-1,-2.E1)); +#17942=DIRECTION('',(-5.627909312417E-1,8.265992787996E-1,0.E0)); +#17943=DIRECTION('',(-8.265992787996E-1,-5.627909312417E-1,0.E0)); +#17944=AXIS2_PLACEMENT_3D('',#17941,#17942,#17943); +#17945=PLANE('',#17944); +#17946=ORIENTED_EDGE('',*,*,#13740,.F.); +#17948=ORIENTED_EDGE('',*,*,#17947,.T.); +#17949=ORIENTED_EDGE('',*,*,#14910,.T.); +#17950=ORIENTED_EDGE('',*,*,#17934,.F.); +#17951=EDGE_LOOP('',(#17946,#17948,#17949,#17950)); +#17952=FACE_OUTER_BOUND('',#17951,.F.); +#17954=CARTESIAN_POINT('',(1.703333325386E1,-5.319148898125E-1,-2.E1)); +#17955=DIRECTION('',(-8.373794175671E-1,5.466220916090E-1,0.E0)); +#17956=DIRECTION('',(-5.466220916090E-1,-8.373794175671E-1,0.E0)); +#17957=AXIS2_PLACEMENT_3D('',#17954,#17955,#17956); +#17958=PLANE('',#17957); +#17959=ORIENTED_EDGE('',*,*,#13738,.F.); +#17961=ORIENTED_EDGE('',*,*,#17960,.T.); +#17962=ORIENTED_EDGE('',*,*,#14912,.T.); +#17963=ORIENTED_EDGE('',*,*,#17947,.F.); +#17964=EDGE_LOOP('',(#17959,#17961,#17962,#17963)); +#17965=FACE_OUTER_BOUND('',#17964,.F.); +#17967=CARTESIAN_POINT('',(1.7075E1,-4.042553305626E-1,-2.E1)); +#17968=DIRECTION('',(-9.506450175458E-1,3.102805998049E-1,0.E0)); +#17969=DIRECTION('',(-3.102805998049E-1,-9.506450175458E-1,0.E0)); +#17970=AXIS2_PLACEMENT_3D('',#17967,#17968,#17969); +#17971=PLANE('',#17970); +#17972=ORIENTED_EDGE('',*,*,#13736,.F.); +#17974=ORIENTED_EDGE('',*,*,#17973,.T.); +#17975=ORIENTED_EDGE('',*,*,#14914,.T.); +#17976=ORIENTED_EDGE('',*,*,#17960,.F.); +#17977=EDGE_LOOP('',(#17972,#17974,#17975,#17976)); +#17978=FACE_OUTER_BOUND('',#17977,.F.); +#17980=CARTESIAN_POINT('',(1.7075E1,-3.191489577293E-1,-2.E1)); +#17981=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17982=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17983=AXIS2_PLACEMENT_3D('',#17980,#17981,#17982); +#17984=PLANE('',#17983); +#17985=ORIENTED_EDGE('',*,*,#13734,.F.); +#17987=ORIENTED_EDGE('',*,*,#17986,.T.); +#17988=ORIENTED_EDGE('',*,*,#14916,.T.); +#17989=ORIENTED_EDGE('',*,*,#17973,.F.); +#17990=EDGE_LOOP('',(#17985,#17987,#17988,#17989)); +#17991=FACE_OUTER_BOUND('',#17990,.F.); +#17993=CARTESIAN_POINT('',(1.703333325386E1,-1.914893388748E-1,-2.E1)); +#17994=DIRECTION('',(-9.506450602779E-1,-3.102804688813E-1,0.E0)); +#17995=DIRECTION('',(3.102804688813E-1,-9.506450602779E-1,0.E0)); +#17996=AXIS2_PLACEMENT_3D('',#17993,#17994,#17995); +#17997=PLANE('',#17996); +#17998=ORIENTED_EDGE('',*,*,#13732,.F.); +#18000=ORIENTED_EDGE('',*,*,#17999,.T.); +#18001=ORIENTED_EDGE('',*,*,#14918,.T.); +#18002=ORIENTED_EDGE('',*,*,#17986,.F.); +#18003=EDGE_LOOP('',(#17998,#18000,#18001,#18002)); +#18004=FACE_OUTER_BOUND('',#18003,.F.); +#18006=CARTESIAN_POINT('',(1.695E1,-6.382977962494E-2,-2.E1)); +#18007=DIRECTION('',(-8.373793591563E-1,-5.466221810895E-1,0.E0)); +#18008=DIRECTION('',(5.466221810895E-1,-8.373793591563E-1,0.E0)); +#18009=AXIS2_PLACEMENT_3D('',#18006,#18007,#18008); +#18010=PLANE('',#18009); +#18011=ORIENTED_EDGE('',*,*,#13730,.F.); +#18013=ORIENTED_EDGE('',*,*,#18012,.T.); +#18014=ORIENTED_EDGE('',*,*,#14920,.T.); +#18015=ORIENTED_EDGE('',*,*,#17999,.F.); +#18016=EDGE_LOOP('',(#18011,#18013,#18014,#18015)); +#18017=FACE_OUTER_BOUND('',#18016,.F.); +#18019=CARTESIAN_POINT('',(1.6825E1,2.127659320831E-2,-2.E1)); +#18020=DIRECTION('',(-5.627909312417E-1,-8.265992787996E-1,0.E0)); +#18021=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#18022=AXIS2_PLACEMENT_3D('',#18019,#18020,#18021); +#18023=PLANE('',#18022); +#18024=ORIENTED_EDGE('',*,*,#13728,.F.); +#18026=ORIENTED_EDGE('',*,*,#18025,.T.); +#18027=ORIENTED_EDGE('',*,*,#14922,.T.); +#18028=ORIENTED_EDGE('',*,*,#18012,.F.); +#18029=EDGE_LOOP('',(#18024,#18026,#18027,#18028)); +#18030=FACE_OUTER_BOUND('',#18029,.F.); +#18032=CARTESIAN_POINT('',(1.665833337307E1,6.382977962494E-2,-2.E1)); +#18033=DIRECTION('',(-2.473832729514E-1,-9.689177035558E-1,0.E0)); +#18034=DIRECTION('',(9.689177035558E-1,-2.473832729514E-1,0.E0)); +#18035=AXIS2_PLACEMENT_3D('',#18032,#18033,#18034); +#18036=PLANE('',#18035); +#18037=ORIENTED_EDGE('',*,*,#13726,.F.); +#18039=ORIENTED_EDGE('',*,*,#18038,.T.); +#18040=ORIENTED_EDGE('',*,*,#14924,.T.); +#18041=ORIENTED_EDGE('',*,*,#18025,.F.); +#18042=EDGE_LOOP('',(#18037,#18039,#18040,#18041)); +#18043=FACE_OUTER_BOUND('',#18042,.F.); +#18045=CARTESIAN_POINT('',(1.640833337307E1,6.382977962494E-2,-2.E1)); +#18046=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18047=DIRECTION('',(1.E0,0.E0,0.E0)); +#18048=AXIS2_PLACEMENT_3D('',#18045,#18046,#18047); +#18049=PLANE('',#18048); +#18050=ORIENTED_EDGE('',*,*,#13724,.F.); +#18052=ORIENTED_EDGE('',*,*,#18051,.T.); +#18053=ORIENTED_EDGE('',*,*,#14926,.T.); +#18054=ORIENTED_EDGE('',*,*,#18038,.F.); +#18055=EDGE_LOOP('',(#18050,#18052,#18053,#18054)); +#18056=FACE_OUTER_BOUND('',#18055,.F.); +#18058=CARTESIAN_POINT('',(1.624166662693E1,2.127659320831E-2,-2.E1)); +#18059=DIRECTION('',(2.473831068378E-1,-9.689177459678E-1,0.E0)); +#18060=DIRECTION('',(9.689177459678E-1,2.473831068378E-1,0.E0)); +#18061=AXIS2_PLACEMENT_3D('',#18058,#18059,#18060); +#18062=PLANE('',#18061); +#18063=ORIENTED_EDGE('',*,*,#13722,.F.); +#18064=ORIENTED_EDGE('',*,*,#17559,.T.); +#18065=ORIENTED_EDGE('',*,*,#14928,.T.); +#18066=ORIENTED_EDGE('',*,*,#18051,.F.); +#18067=EDGE_LOOP('',(#18063,#18064,#18065,#18066)); +#18068=FACE_OUTER_BOUND('',#18067,.F.); +#18070=CARTESIAN_POINT('',(1.495E1,-4.787234038115E0,-2.E1)); +#18071=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18072=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18073=AXIS2_PLACEMENT_3D('',#18070,#18071,#18072); +#18074=PLANE('',#18073); +#18075=ORIENTED_EDGE('',*,*,#13802,.F.); +#18077=ORIENTED_EDGE('',*,*,#18076,.T.); +#18078=ORIENTED_EDGE('',*,*,#14938,.T.); +#18080=ORIENTED_EDGE('',*,*,#18079,.F.); +#18081=EDGE_LOOP('',(#18075,#18077,#18078,#18080)); +#18082=FACE_OUTER_BOUND('',#18081,.F.); +#18084=CARTESIAN_POINT('',(1.611666662693E1,-3.723404288292E0,-2.E1)); +#18085=DIRECTION('',(-6.737899277363E-1,7.389229549020E-1,0.E0)); +#18086=DIRECTION('',(-7.389229549020E-1,-6.737899277363E-1,0.E0)); +#18087=AXIS2_PLACEMENT_3D('',#18084,#18085,#18086); +#18088=PLANE('',#18087); +#18089=ORIENTED_EDGE('',*,*,#13856,.F.); +#18091=ORIENTED_EDGE('',*,*,#18090,.T.); +#18092=ORIENTED_EDGE('',*,*,#14940,.T.); +#18093=ORIENTED_EDGE('',*,*,#18076,.F.); +#18094=EDGE_LOOP('',(#18089,#18091,#18092,#18093)); +#18095=FACE_OUTER_BOUND('',#18094,.F.); +#18097=CARTESIAN_POINT('',(1.62E1,-3.553191542626E0,-2.E1)); +#18098=DIRECTION('',(-8.981380143666E-1,4.397136649566E-1,0.E0)); +#18099=DIRECTION('',(-4.397136649566E-1,-8.981380143666E-1,0.E0)); +#18100=AXIS2_PLACEMENT_3D('',#18097,#18098,#18099); +#18101=PLANE('',#18100); +#18102=ORIENTED_EDGE('',*,*,#13854,.F.); +#18104=ORIENTED_EDGE('',*,*,#18103,.T.); +#18105=ORIENTED_EDGE('',*,*,#14942,.T.); +#18106=ORIENTED_EDGE('',*,*,#18090,.F.); +#18107=EDGE_LOOP('',(#18102,#18104,#18105,#18106)); +#18108=FACE_OUTER_BOUND('',#18107,.F.); +#18110=CARTESIAN_POINT('',(1.62E1,-3.468085050583E0,-2.E1)); +#18111=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18112=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18113=AXIS2_PLACEMENT_3D('',#18110,#18111,#18112); +#18114=PLANE('',#18113); +#18115=ORIENTED_EDGE('',*,*,#13852,.F.); +#18117=ORIENTED_EDGE('',*,*,#18116,.T.); +#18118=ORIENTED_EDGE('',*,*,#14944,.T.); +#18119=ORIENTED_EDGE('',*,*,#18103,.F.); +#18120=EDGE_LOOP('',(#18115,#18117,#18118,#18119)); +#18121=FACE_OUTER_BOUND('',#18120,.F.); +#18123=CARTESIAN_POINT('',(1.611666662693E1,-3.340425491334E0,-2.E1)); +#18124=DIRECTION('',(-8.373790012342E-1,-5.466227293958E-1,0.E0)); +#18125=DIRECTION('',(5.466227293958E-1,-8.373790012342E-1,0.E0)); +#18126=AXIS2_PLACEMENT_3D('',#18123,#18124,#18125); +#18127=PLANE('',#18126); +#18128=ORIENTED_EDGE('',*,*,#13850,.F.); +#18130=ORIENTED_EDGE('',*,*,#18129,.T.); +#18131=ORIENTED_EDGE('',*,*,#14946,.T.); +#18132=ORIENTED_EDGE('',*,*,#18116,.F.); +#18133=EDGE_LOOP('',(#18128,#18130,#18131,#18132)); +#18134=FACE_OUTER_BOUND('',#18133,.F.); +#18136=CARTESIAN_POINT('',(1.599166662693E1,-3.255319118500E0,-2.E1)); +#18137=DIRECTION('',(-5.627909312417E-1,-8.265992787996E-1,0.E0)); +#18138=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#18139=AXIS2_PLACEMENT_3D('',#18136,#18137,#18138); +#18140=PLANE('',#18139); +#18141=ORIENTED_EDGE('',*,*,#13848,.F.); +#18143=ORIENTED_EDGE('',*,*,#18142,.T.); +#18144=ORIENTED_EDGE('',*,*,#14948,.T.); +#18145=ORIENTED_EDGE('',*,*,#18129,.F.); +#18146=EDGE_LOOP('',(#18141,#18143,#18144,#18145)); +#18147=FACE_OUTER_BOUND('',#18146,.F.); +#18149=CARTESIAN_POINT('',(1.578333331347E1,-3.212765932084E0,-2.E1)); +#18150=DIRECTION('',(-2.001233733807E-1,-9.797707055361E-1,0.E0)); +#18151=DIRECTION('',(9.797707055361E-1,-2.001233733807E-1,0.E0)); +#18152=AXIS2_PLACEMENT_3D('',#18149,#18150,#18151); +#18153=PLANE('',#18152); +#18154=ORIENTED_EDGE('',*,*,#13846,.F.); +#18156=ORIENTED_EDGE('',*,*,#18155,.T.); +#18157=ORIENTED_EDGE('',*,*,#14950,.T.); +#18158=ORIENTED_EDGE('',*,*,#18142,.F.); +#18159=EDGE_LOOP('',(#18154,#18156,#18157,#18158)); +#18160=FACE_OUTER_BOUND('',#18159,.F.); +#18162=CARTESIAN_POINT('',(1.561666668653E1,-3.212765932084E0,-2.E1)); +#18163=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18164=DIRECTION('',(1.E0,0.E0,0.E0)); +#18165=AXIS2_PLACEMENT_3D('',#18162,#18163,#18164); +#18166=PLANE('',#18165); +#18167=ORIENTED_EDGE('',*,*,#13844,.F.); +#18169=ORIENTED_EDGE('',*,*,#18168,.T.); +#18170=ORIENTED_EDGE('',*,*,#14952,.T.); +#18171=ORIENTED_EDGE('',*,*,#18155,.F.); +#18172=EDGE_LOOP('',(#18167,#18169,#18170,#18171)); +#18173=FACE_OUTER_BOUND('',#18172,.F.); +#18175=CARTESIAN_POINT('',(1.540833334327E1,-3.255319118500E0,-2.E1)); +#18176=DIRECTION('',(2.001233458994E-1,-9.797707111493E-1,0.E0)); +#18177=DIRECTION('',(9.797707111493E-1,2.001233458994E-1,0.E0)); +#18178=AXIS2_PLACEMENT_3D('',#18175,#18176,#18177); +#18179=PLANE('',#18178); +#18180=ORIENTED_EDGE('',*,*,#13842,.F.); +#18182=ORIENTED_EDGE('',*,*,#18181,.T.); +#18183=ORIENTED_EDGE('',*,*,#14954,.T.); +#18184=ORIENTED_EDGE('',*,*,#18168,.F.); +#18185=EDGE_LOOP('',(#18180,#18182,#18183,#18184)); +#18186=FACE_OUTER_BOUND('',#18185,.F.); +#18188=CARTESIAN_POINT('',(1.528333334327E1,-3.340425491334E0,-2.E1)); +#18189=DIRECTION('',(5.627909312417E-1,-8.265992787996E-1,0.E0)); +#18190=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#18191=AXIS2_PLACEMENT_3D('',#18188,#18189,#18190); +#18192=PLANE('',#18191); +#18193=ORIENTED_EDGE('',*,*,#13840,.F.); +#18195=ORIENTED_EDGE('',*,*,#18194,.T.); +#18196=ORIENTED_EDGE('',*,*,#14956,.T.); +#18197=ORIENTED_EDGE('',*,*,#18181,.F.); +#18198=EDGE_LOOP('',(#18193,#18195,#18196,#18197)); +#18199=FACE_OUTER_BOUND('',#18198,.F.); +#18201=CARTESIAN_POINT('',(1.52E1,-3.468085050583E0,-2.E1)); +#18202=DIRECTION('',(8.373790907147E-1,-5.466225923193E-1,0.E0)); +#18203=DIRECTION('',(5.466225923193E-1,8.373790907147E-1,0.E0)); +#18204=AXIS2_PLACEMENT_3D('',#18201,#18202,#18203); +#18205=PLANE('',#18204); +#18206=ORIENTED_EDGE('',*,*,#13838,.F.); +#18208=ORIENTED_EDGE('',*,*,#18207,.T.); +#18209=ORIENTED_EDGE('',*,*,#14958,.T.); +#18210=ORIENTED_EDGE('',*,*,#18194,.F.); +#18211=EDGE_LOOP('',(#18206,#18208,#18209,#18210)); +#18212=FACE_OUTER_BOUND('',#18211,.F.); +#18214=CARTESIAN_POINT('',(1.499166666791E1,-3.468085050583E0,-2.E1)); +#18215=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18216=DIRECTION('',(1.E0,0.E0,0.E0)); +#18217=AXIS2_PLACEMENT_3D('',#18214,#18215,#18216); +#18218=PLANE('',#18217); +#18219=ORIENTED_EDGE('',*,*,#13836,.F.); +#18221=ORIENTED_EDGE('',*,*,#18220,.T.); +#18222=ORIENTED_EDGE('',*,*,#14960,.T.); +#18223=ORIENTED_EDGE('',*,*,#18207,.F.); +#18224=EDGE_LOOP('',(#18219,#18221,#18222,#18223)); +#18225=FACE_OUTER_BOUND('',#18224,.F.); +#18227=CARTESIAN_POINT('',(1.503333333582E1,-3.297872304917E0,-2.E1)); +#18228=DIRECTION('',(-9.713211522284E-1,2.377713591533E-1,0.E0)); +#18229=DIRECTION('',(-2.377713591533E-1,-9.713211522284E-1,0.E0)); +#18230=AXIS2_PLACEMENT_3D('',#18227,#18228,#18229); +#18231=PLANE('',#18230); +#18232=ORIENTED_EDGE('',*,*,#13834,.F.); +#18234=ORIENTED_EDGE('',*,*,#18233,.T.); +#18235=ORIENTED_EDGE('',*,*,#14962,.T.); +#18236=ORIENTED_EDGE('',*,*,#18220,.F.); +#18237=EDGE_LOOP('',(#18232,#18234,#18235,#18236)); +#18238=FACE_OUTER_BOUND('',#18237,.F.); +#18240=CARTESIAN_POINT('',(1.52E1,-3.127659559250E0,-2.E1)); +#18241=DIRECTION('',(-7.145103900133E-1,6.996248298646E-1,0.E0)); +#18242=DIRECTION('',(-6.996248298646E-1,-7.145103900133E-1,0.E0)); +#18243=AXIS2_PLACEMENT_3D('',#18240,#18241,#18242); +#18244=PLANE('',#18243); +#18245=ORIENTED_EDGE('',*,*,#13832,.F.); +#18247=ORIENTED_EDGE('',*,*,#18246,.T.); +#18248=ORIENTED_EDGE('',*,*,#14964,.T.); +#18249=ORIENTED_EDGE('',*,*,#18233,.F.); +#18250=EDGE_LOOP('',(#18245,#18247,#18248,#18249)); +#18251=FACE_OUTER_BOUND('',#18250,.F.); +#18253=CARTESIAN_POINT('',(1.536666665673E1,-3.042553186417E0,-2.E1)); +#18254=DIRECTION('',(-4.547771350481E-1,8.906052758868E-1,0.E0)); +#18255=DIRECTION('',(-8.906052758868E-1,-4.547771350481E-1,0.E0)); +#18256=AXIS2_PLACEMENT_3D('',#18253,#18254,#18255); +#18257=PLANE('',#18256); +#18258=ORIENTED_EDGE('',*,*,#13830,.F.); +#18260=ORIENTED_EDGE('',*,*,#18259,.T.); +#18261=ORIENTED_EDGE('',*,*,#14966,.T.); +#18262=ORIENTED_EDGE('',*,*,#18246,.F.); +#18263=EDGE_LOOP('',(#18258,#18260,#18261,#18262)); +#18264=FACE_OUTER_BOUND('',#18263,.F.); +#18266=CARTESIAN_POINT('',(1.565833331347E1,-3.000000000001E0,-2.E1)); +#18267=DIRECTION('',(-1.443682357291E-1,9.895240333173E-1,0.E0)); +#18268=DIRECTION('',(-9.895240333173E-1,-1.443682357291E-1,0.E0)); +#18269=AXIS2_PLACEMENT_3D('',#18266,#18267,#18268); +#18270=PLANE('',#18269); +#18271=ORIENTED_EDGE('',*,*,#13828,.F.); +#18273=ORIENTED_EDGE('',*,*,#18272,.T.); +#18274=ORIENTED_EDGE('',*,*,#14968,.T.); +#18275=ORIENTED_EDGE('',*,*,#18259,.F.); +#18276=EDGE_LOOP('',(#18271,#18273,#18274,#18275)); +#18277=FACE_OUTER_BOUND('',#18276,.F.); +#18279=CARTESIAN_POINT('',(1.5825E1,-3.000000000001E0,-2.E1)); +#18280=DIRECTION('',(0.E0,1.E0,0.E0)); +#18281=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18282=AXIS2_PLACEMENT_3D('',#18279,#18280,#18281); +#18283=PLANE('',#18282); +#18284=ORIENTED_EDGE('',*,*,#13826,.F.); +#18286=ORIENTED_EDGE('',*,*,#18285,.T.); +#18287=ORIENTED_EDGE('',*,*,#14970,.T.); +#18288=ORIENTED_EDGE('',*,*,#18272,.F.); +#18289=EDGE_LOOP('',(#18284,#18286,#18287,#18288)); +#18290=FACE_OUTER_BOUND('',#18289,.F.); +#18292=CARTESIAN_POINT('',(1.6075E1,-3.042553186417E0,-2.E1)); +#18293=DIRECTION('',(1.677993286083E-1,9.858211730931E-1,0.E0)); +#18294=DIRECTION('',(-9.858211730931E-1,1.677993286083E-1,0.E0)); +#18295=AXIS2_PLACEMENT_3D('',#18292,#18293,#18294); +#18296=PLANE('',#18295); +#18297=ORIENTED_EDGE('',*,*,#13824,.F.); +#18299=ORIENTED_EDGE('',*,*,#18298,.T.); +#18300=ORIENTED_EDGE('',*,*,#14972,.T.); +#18301=ORIENTED_EDGE('',*,*,#18285,.F.); +#18302=EDGE_LOOP('',(#18297,#18299,#18300,#18301)); +#18303=FACE_OUTER_BOUND('',#18302,.F.); +#18305=CARTESIAN_POINT('',(1.624166662693E1,-3.127659559250E0,-2.E1)); +#18306=DIRECTION('',(4.547771995497E-1,8.906052429498E-1,0.E0)); +#18307=DIRECTION('',(-8.906052429498E-1,4.547771995497E-1,0.E0)); +#18308=AXIS2_PLACEMENT_3D('',#18305,#18306,#18307); +#18309=PLANE('',#18308); +#18310=ORIENTED_EDGE('',*,*,#13822,.F.); +#18312=ORIENTED_EDGE('',*,*,#18311,.T.); +#18313=ORIENTED_EDGE('',*,*,#14974,.T.); +#18314=ORIENTED_EDGE('',*,*,#18298,.F.); +#18315=EDGE_LOOP('',(#18310,#18312,#18313,#18314)); +#18316=FACE_OUTER_BOUND('',#18315,.F.); +#18318=CARTESIAN_POINT('',(1.640833337307E1,-3.297872304917E0,-2.E1)); +#18319=DIRECTION('',(7.145102180353E-1,6.996250055017E-1,0.E0)); +#18320=DIRECTION('',(-6.996250055017E-1,7.145102180353E-1,0.E0)); +#18321=AXIS2_PLACEMENT_3D('',#18318,#18319,#18320); +#18322=PLANE('',#18321); +#18323=ORIENTED_EDGE('',*,*,#13820,.F.); +#18325=ORIENTED_EDGE('',*,*,#18324,.T.); +#18326=ORIENTED_EDGE('',*,*,#14976,.T.); +#18327=ORIENTED_EDGE('',*,*,#18311,.F.); +#18328=EDGE_LOOP('',(#18323,#18325,#18326,#18327)); +#18329=FACE_OUTER_BOUND('',#18328,.F.); +#18331=CARTESIAN_POINT('',(1.645E1,-3.468085050583E0,-2.E1)); +#18332=DIRECTION('',(9.713212062349E-1,2.377711385310E-1,0.E0)); +#18333=DIRECTION('',(-2.377711385310E-1,9.713212062349E-1,0.E0)); +#18334=AXIS2_PLACEMENT_3D('',#18331,#18332,#18333); +#18335=PLANE('',#18334); +#18336=ORIENTED_EDGE('',*,*,#13818,.F.); +#18338=ORIENTED_EDGE('',*,*,#18337,.T.); +#18339=ORIENTED_EDGE('',*,*,#14978,.T.); +#18340=ORIENTED_EDGE('',*,*,#18324,.F.); +#18341=EDGE_LOOP('',(#18336,#18338,#18339,#18340)); +#18342=FACE_OUTER_BOUND('',#18341,.F.); +#18344=CARTESIAN_POINT('',(1.645E1,-3.553191542626E0,-2.E1)); +#18345=DIRECTION('',(1.E0,0.E0,0.E0)); +#18346=DIRECTION('',(0.E0,1.E0,0.E0)); +#18347=AXIS2_PLACEMENT_3D('',#18344,#18345,#18346); +#18348=PLANE('',#18347); +#18349=ORIENTED_EDGE('',*,*,#13816,.F.); +#18351=ORIENTED_EDGE('',*,*,#18350,.T.); +#18352=ORIENTED_EDGE('',*,*,#14980,.T.); +#18353=ORIENTED_EDGE('',*,*,#18337,.F.); +#18354=EDGE_LOOP('',(#18349,#18351,#18352,#18353)); +#18355=FACE_OUTER_BOUND('',#18354,.F.); +#18357=CARTESIAN_POINT('',(1.640833337307E1,-3.723404288292E0,-2.E1)); +#18358=DIRECTION('',(9.713212062349E-1,-2.377711385310E-1,0.E0)); +#18359=DIRECTION('',(2.377711385310E-1,9.713212062349E-1,0.E0)); +#18360=AXIS2_PLACEMENT_3D('',#18357,#18358,#18359); +#18361=PLANE('',#18360); +#18362=ORIENTED_EDGE('',*,*,#13814,.F.); +#18364=ORIENTED_EDGE('',*,*,#18363,.T.); +#18365=ORIENTED_EDGE('',*,*,#14982,.T.); +#18366=ORIENTED_EDGE('',*,*,#18350,.F.); +#18367=EDGE_LOOP('',(#18362,#18364,#18365,#18366)); +#18368=FACE_OUTER_BOUND('',#18367,.F.); +#18370=CARTESIAN_POINT('',(1.6325E1,-3.851063847542E0,-2.E1)); +#18371=DIRECTION('',(8.373790012342E-1,-5.466227293958E-1,0.E0)); +#18372=DIRECTION('',(5.466227293958E-1,8.373790012342E-1,0.E0)); +#18373=AXIS2_PLACEMENT_3D('',#18370,#18371,#18372); +#18374=PLANE('',#18373); +#18375=ORIENTED_EDGE('',*,*,#13812,.F.); +#18377=ORIENTED_EDGE('',*,*,#18376,.T.); +#18378=ORIENTED_EDGE('',*,*,#14984,.T.); +#18379=ORIENTED_EDGE('',*,*,#18363,.F.); +#18380=EDGE_LOOP('',(#18375,#18377,#18378,#18379)); +#18381=FACE_OUTER_BOUND('',#18380,.F.); +#18383=CARTESIAN_POINT('',(1.528333334327E1,-4.787234038115E0,-2.E1)); +#18384=DIRECTION('',(6.684399804373E-1,-7.437660872566E-1,0.E0)); +#18385=DIRECTION('',(7.437660872566E-1,6.684399804373E-1,0.E0)); +#18386=AXIS2_PLACEMENT_3D('',#18383,#18384,#18385); +#18387=PLANE('',#18386); +#18388=ORIENTED_EDGE('',*,*,#13810,.F.); +#18390=ORIENTED_EDGE('',*,*,#18389,.T.); +#18391=ORIENTED_EDGE('',*,*,#14986,.T.); +#18392=ORIENTED_EDGE('',*,*,#18376,.F.); +#18393=EDGE_LOOP('',(#18388,#18390,#18391,#18392)); +#18394=FACE_OUTER_BOUND('',#18393,.F.); +#18396=CARTESIAN_POINT('',(1.645E1,-4.787234038115E0,-2.E1)); +#18397=DIRECTION('',(0.E0,1.E0,0.E0)); +#18398=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18399=AXIS2_PLACEMENT_3D('',#18396,#18397,#18398); +#18400=PLANE('',#18399); +#18401=ORIENTED_EDGE('',*,*,#13808,.F.); +#18403=ORIENTED_EDGE('',*,*,#18402,.T.); +#18404=ORIENTED_EDGE('',*,*,#14988,.T.); +#18405=ORIENTED_EDGE('',*,*,#18389,.F.); +#18406=EDGE_LOOP('',(#18401,#18403,#18404,#18405)); +#18407=FACE_OUTER_BOUND('',#18406,.F.); +#18409=CARTESIAN_POINT('',(1.645E1,-5.000000000001E0,-2.E1)); +#18410=DIRECTION('',(1.E0,0.E0,0.E0)); +#18411=DIRECTION('',(0.E0,1.E0,0.E0)); +#18412=AXIS2_PLACEMENT_3D('',#18409,#18410,#18411); +#18413=PLANE('',#18412); +#18414=ORIENTED_EDGE('',*,*,#13806,.F.); +#18416=ORIENTED_EDGE('',*,*,#18415,.T.); +#18417=ORIENTED_EDGE('',*,*,#14990,.T.); +#18418=ORIENTED_EDGE('',*,*,#18402,.F.); +#18419=EDGE_LOOP('',(#18414,#18416,#18417,#18418)); +#18420=FACE_OUTER_BOUND('',#18419,.F.); +#18422=CARTESIAN_POINT('',(1.495E1,-5.000000000001E0,-2.E1)); +#18423=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18424=DIRECTION('',(1.E0,0.E0,0.E0)); +#18425=AXIS2_PLACEMENT_3D('',#18422,#18423,#18424); +#18426=PLANE('',#18425); +#18427=ORIENTED_EDGE('',*,*,#13804,.F.); +#18428=ORIENTED_EDGE('',*,*,#18079,.T.); +#18429=ORIENTED_EDGE('',*,*,#14992,.T.); +#18430=ORIENTED_EDGE('',*,*,#18415,.F.); +#18431=EDGE_LOOP('',(#18427,#18428,#18429,#18430)); +#18432=FACE_OUTER_BOUND('',#18431,.F.); +#18434=CARTESIAN_POINT('',(1.815833325386E1,-4.787234038115E0,-2.E1)); +#18435=DIRECTION('',(8.373786433120E-1,-5.466232777014E-1,0.E0)); +#18436=DIRECTION('',(5.466232777014E-1,8.373786433120E-1,0.E0)); +#18437=AXIS2_PLACEMENT_3D('',#18434,#18435,#18436); +#18438=PLANE('',#18437); +#18439=ORIENTED_EDGE('',*,*,#13968,.F.); +#18441=ORIENTED_EDGE('',*,*,#18440,.T.); +#18442=ORIENTED_EDGE('',*,*,#15002,.T.); +#18444=ORIENTED_EDGE('',*,*,#18443,.F.); +#18445=EDGE_LOOP('',(#18439,#18441,#18442,#18444)); +#18446=FACE_OUTER_BOUND('',#18445,.F.); +#18448=CARTESIAN_POINT('',(1.803333325386E1,-4.872340425850E0,-2.E1)); +#18449=DIRECTION('',(5.627909985697E-1,-8.265992329593E-1,0.E0)); +#18450=DIRECTION('',(8.265992329593E-1,5.627909985697E-1,0.E0)); +#18451=AXIS2_PLACEMENT_3D('',#18448,#18449,#18450); +#18452=PLANE('',#18451); +#18453=ORIENTED_EDGE('',*,*,#13966,.F.); +#18455=ORIENTED_EDGE('',*,*,#18454,.T.); +#18456=ORIENTED_EDGE('',*,*,#15004,.T.); +#18457=ORIENTED_EDGE('',*,*,#18440,.F.); +#18458=EDGE_LOOP('',(#18453,#18455,#18456,#18457)); +#18459=FACE_OUTER_BOUND('',#18458,.F.); +#18461=CARTESIAN_POINT('',(1.786666674614E1,-4.957446809859E0,-2.E1)); +#18462=DIRECTION('',(4.547775049247E-1,-8.906050870136E-1,0.E0)); +#18463=DIRECTION('',(8.906050870136E-1,4.547775049247E-1,0.E0)); +#18464=AXIS2_PLACEMENT_3D('',#18461,#18462,#18463); +#18465=PLANE('',#18464); +#18466=ORIENTED_EDGE('',*,*,#13964,.F.); +#18468=ORIENTED_EDGE('',*,*,#18467,.T.); +#18469=ORIENTED_EDGE('',*,*,#15006,.T.); +#18470=ORIENTED_EDGE('',*,*,#18454,.F.); +#18471=EDGE_LOOP('',(#18466,#18468,#18469,#18470)); +#18472=FACE_OUTER_BOUND('',#18471,.F.); +#18474=CARTESIAN_POINT('',(1.77E1,-5.000000000001E0,-2.E1)); +#18475=DIRECTION('',(2.473831271694E-1,-9.689177407767E-1,0.E0)); +#18476=DIRECTION('',(9.689177407767E-1,2.473831271694E-1,0.E0)); +#18477=AXIS2_PLACEMENT_3D('',#18474,#18475,#18476); +#18478=PLANE('',#18477); +#18479=ORIENTED_EDGE('',*,*,#13962,.F.); +#18481=ORIENTED_EDGE('',*,*,#18480,.T.); +#18482=ORIENTED_EDGE('',*,*,#15008,.T.); +#18483=ORIENTED_EDGE('',*,*,#18467,.F.); +#18484=EDGE_LOOP('',(#18479,#18481,#18482,#18483)); +#18485=FACE_OUTER_BOUND('',#18484,.F.); +#18487=CARTESIAN_POINT('',(1.736666674614E1,-5.000000000001E0,-2.E1)); +#18488=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18489=DIRECTION('',(1.E0,0.E0,0.E0)); +#18490=AXIS2_PLACEMENT_3D('',#18487,#18488,#18489); +#18491=PLANE('',#18490); +#18492=ORIENTED_EDGE('',*,*,#13960,.F.); +#18494=ORIENTED_EDGE('',*,*,#18493,.T.); +#18495=ORIENTED_EDGE('',*,*,#15010,.T.); +#18496=ORIENTED_EDGE('',*,*,#18480,.F.); +#18497=EDGE_LOOP('',(#18492,#18494,#18495,#18496)); +#18498=FACE_OUTER_BOUND('',#18497,.F.); +#18500=CARTESIAN_POINT('',(1.72E1,-4.957446809859E0,-2.E1)); +#18501=DIRECTION('',(-2.473831271694E-1,-9.689177407767E-1,0.E0)); +#18502=DIRECTION('',(9.689177407767E-1,-2.473831271694E-1,0.E0)); +#18503=AXIS2_PLACEMENT_3D('',#18500,#18501,#18502); +#18504=PLANE('',#18503); +#18505=ORIENTED_EDGE('',*,*,#13958,.F.); +#18507=ORIENTED_EDGE('',*,*,#18506,.T.); +#18508=ORIENTED_EDGE('',*,*,#15012,.T.); +#18509=ORIENTED_EDGE('',*,*,#18493,.F.); +#18510=EDGE_LOOP('',(#18505,#18507,#18508,#18509)); +#18511=FACE_OUTER_BOUND('',#18510,.F.); +#18513=CARTESIAN_POINT('',(1.703333325386E1,-4.872340425850E0,-2.E1)); +#18514=DIRECTION('',(-4.547769889117E-1,-8.906053505096E-1,0.E0)); +#18515=DIRECTION('',(8.906053505096E-1,-4.547769889117E-1,0.E0)); +#18516=AXIS2_PLACEMENT_3D('',#18513,#18514,#18515); +#18517=PLANE('',#18516); +#18518=ORIENTED_EDGE('',*,*,#13956,.F.); +#18520=ORIENTED_EDGE('',*,*,#18519,.T.); +#18521=ORIENTED_EDGE('',*,*,#15014,.T.); +#18522=ORIENTED_EDGE('',*,*,#18506,.F.); +#18523=EDGE_LOOP('',(#18518,#18520,#18521,#18522)); +#18524=FACE_OUTER_BOUND('',#18523,.F.); +#18526=CARTESIAN_POINT('',(1.690833337307E1,-4.787234038115E0,-2.E1)); +#18527=DIRECTION('',(-5.627913652921E-1,-8.265989832759E-1,0.E0)); +#18528=DIRECTION('',(8.265989832759E-1,-5.627913652921E-1,0.E0)); +#18529=AXIS2_PLACEMENT_3D('',#18526,#18527,#18528); +#18530=PLANE('',#18529); +#18531=ORIENTED_EDGE('',*,*,#13954,.F.); +#18533=ORIENTED_EDGE('',*,*,#18532,.T.); +#18534=ORIENTED_EDGE('',*,*,#15016,.T.); +#18535=ORIENTED_EDGE('',*,*,#18519,.F.); +#18536=EDGE_LOOP('',(#18531,#18533,#18534,#18535)); +#18537=FACE_OUTER_BOUND('',#18536,.F.); +#18539=CARTESIAN_POINT('',(1.6825E1,-4.659574478865E0,-2.E1)); +#18540=DIRECTION('',(-8.373790012342E-1,-5.466227293958E-1,0.E0)); +#18541=DIRECTION('',(5.466227293958E-1,-8.373790012342E-1,0.E0)); +#18542=AXIS2_PLACEMENT_3D('',#18539,#18540,#18541); +#18543=PLANE('',#18542); +#18544=ORIENTED_EDGE('',*,*,#13952,.F.); +#18546=ORIENTED_EDGE('',*,*,#18545,.T.); +#18547=ORIENTED_EDGE('',*,*,#15018,.T.); +#18548=ORIENTED_EDGE('',*,*,#18532,.F.); +#18549=EDGE_LOOP('',(#18544,#18546,#18547,#18548)); +#18550=FACE_OUTER_BOUND('',#18549,.F.); +#18552=CARTESIAN_POINT('',(1.678333337307E1,-4.531914889813E0,-2.E1)); +#18553=DIRECTION('',(-9.506453007588E-1,-3.102797320889E-1,0.E0)); +#18554=DIRECTION('',(3.102797320889E-1,-9.506453007588E-1,0.E0)); +#18555=AXIS2_PLACEMENT_3D('',#18552,#18553,#18554); +#18556=PLANE('',#18555); +#18557=ORIENTED_EDGE('',*,*,#13950,.F.); +#18559=ORIENTED_EDGE('',*,*,#18558,.T.); +#18560=ORIENTED_EDGE('',*,*,#15020,.T.); +#18561=ORIENTED_EDGE('',*,*,#18545,.F.); +#18562=EDGE_LOOP('',(#18557,#18559,#18560,#18561)); +#18563=FACE_OUTER_BOUND('',#18562,.F.); +#18565=CARTESIAN_POINT('',(1.699166674614E1,-4.531914889813E0,-2.E1)); +#18566=DIRECTION('',(0.E0,1.E0,0.E0)); +#18567=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18568=AXIS2_PLACEMENT_3D('',#18565,#18566,#18567); +#18569=PLANE('',#18568); +#18570=ORIENTED_EDGE('',*,*,#13948,.F.); +#18572=ORIENTED_EDGE('',*,*,#18571,.T.); +#18573=ORIENTED_EDGE('',*,*,#15022,.T.); +#18574=ORIENTED_EDGE('',*,*,#18558,.F.); +#18575=EDGE_LOOP('',(#18570,#18572,#18573,#18574)); +#18576=FACE_OUTER_BOUND('',#18575,.F.); +#18578=CARTESIAN_POINT('',(1.703333325386E1,-4.617021262646E0,-2.E1)); +#18579=DIRECTION('',(8.981387596046E-1,4.397121427660E-1,0.E0)); +#18580=DIRECTION('',(-4.397121427660E-1,8.981387596046E-1,0.E0)); +#18581=AXIS2_PLACEMENT_3D('',#18578,#18579,#18580); +#18582=PLANE('',#18581); +#18583=ORIENTED_EDGE('',*,*,#13946,.F.); +#18585=ORIENTED_EDGE('',*,*,#18584,.T.); +#18586=ORIENTED_EDGE('',*,*,#15024,.T.); +#18587=ORIENTED_EDGE('',*,*,#18571,.F.); +#18588=EDGE_LOOP('',(#18583,#18585,#18586,#18587)); +#18589=FACE_OUTER_BOUND('',#18588,.F.); +#18591=CARTESIAN_POINT('',(1.711666674614E1,-4.702127665282E0,-2.E1)); +#18592=DIRECTION('',(7.145098402051E-1,6.996253913704E-1,0.E0)); +#18593=DIRECTION('',(-6.996253913704E-1,7.145098402051E-1,0.E0)); +#18594=AXIS2_PLACEMENT_3D('',#18591,#18592,#18593); +#18595=PLANE('',#18594); +#18596=ORIENTED_EDGE('',*,*,#13944,.F.); +#18598=ORIENTED_EDGE('',*,*,#18597,.T.); +#18599=ORIENTED_EDGE('',*,*,#15026,.T.); +#18600=ORIENTED_EDGE('',*,*,#18584,.F.); +#18601=EDGE_LOOP('',(#18596,#18598,#18599,#18600)); +#18602=FACE_OUTER_BOUND('',#18601,.F.); +#18604=CARTESIAN_POINT('',(1.72E1,-4.744680851698E0,-2.E1)); +#18605=DIRECTION('',(4.547774575564E-1,8.906051112017E-1,0.E0)); +#18606=DIRECTION('',(-8.906051112017E-1,4.547774575564E-1,0.E0)); +#18607=AXIS2_PLACEMENT_3D('',#18604,#18605,#18606); +#18608=PLANE('',#18607); +#18609=ORIENTED_EDGE('',*,*,#13942,.F.); +#18611=ORIENTED_EDGE('',*,*,#18610,.T.); +#18612=ORIENTED_EDGE('',*,*,#15028,.T.); +#18613=ORIENTED_EDGE('',*,*,#18597,.F.); +#18614=EDGE_LOOP('',(#18609,#18611,#18612,#18613)); +#18615=FACE_OUTER_BOUND('',#18614,.F.); +#18617=CARTESIAN_POINT('',(1.740833325386E1,-4.787234038115E0,-2.E1)); +#18618=DIRECTION('',(2.001234283435E-1,9.797706943097E-1,0.E0)); +#18619=DIRECTION('',(-9.797706943097E-1,2.001234283435E-1,0.E0)); +#18620=AXIS2_PLACEMENT_3D('',#18617,#18618,#18619); +#18621=PLANE('',#18620); +#18622=ORIENTED_EDGE('',*,*,#13940,.F.); +#18624=ORIENTED_EDGE('',*,*,#18623,.T.); +#18625=ORIENTED_EDGE('',*,*,#15030,.T.); +#18626=ORIENTED_EDGE('',*,*,#18610,.F.); +#18627=EDGE_LOOP('',(#18622,#18624,#18625,#18626)); +#18628=FACE_OUTER_BOUND('',#18627,.F.); +#18630=CARTESIAN_POINT('',(1.765833325386E1,-4.787234038115E0,-2.E1)); +#18631=DIRECTION('',(0.E0,1.E0,0.E0)); +#18632=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18633=AXIS2_PLACEMENT_3D('',#18630,#18631,#18632); +#18634=PLANE('',#18633); +#18635=ORIENTED_EDGE('',*,*,#13938,.F.); +#18637=ORIENTED_EDGE('',*,*,#18636,.T.); +#18638=ORIENTED_EDGE('',*,*,#15032,.T.); +#18639=ORIENTED_EDGE('',*,*,#18623,.F.); +#18640=EDGE_LOOP('',(#18635,#18637,#18638,#18639)); +#18641=FACE_OUTER_BOUND('',#18640,.F.); +#18643=CARTESIAN_POINT('',(1.786666674614E1,-4.744680851698E0,-2.E1)); +#18644=DIRECTION('',(-2.001232084928E-1,9.797707392153E-1,0.E0)); +#18645=DIRECTION('',(-9.797707392153E-1,-2.001232084928E-1,0.E0)); +#18646=AXIS2_PLACEMENT_3D('',#18643,#18644,#18645); +#18647=PLANE('',#18646); +#18648=ORIENTED_EDGE('',*,*,#13936,.F.); +#18650=ORIENTED_EDGE('',*,*,#18649,.T.); +#18651=ORIENTED_EDGE('',*,*,#15034,.T.); +#18652=ORIENTED_EDGE('',*,*,#18636,.F.); +#18653=EDGE_LOOP('',(#18648,#18650,#18651,#18652)); +#18654=FACE_OUTER_BOUND('',#18653,.F.); +#18656=CARTESIAN_POINT('',(1.795E1,-4.702127665282E0,-2.E1)); +#18657=DIRECTION('',(-4.547774575564E-1,8.906051112017E-1,0.E0)); +#18658=DIRECTION('',(-8.906051112017E-1,-4.547774575564E-1,0.E0)); +#18659=AXIS2_PLACEMENT_3D('',#18656,#18657,#18658); +#18660=PLANE('',#18659); +#18661=ORIENTED_EDGE('',*,*,#13934,.F.); +#18663=ORIENTED_EDGE('',*,*,#18662,.T.); +#18664=ORIENTED_EDGE('',*,*,#15036,.T.); +#18665=ORIENTED_EDGE('',*,*,#18649,.F.); +#18666=EDGE_LOOP('',(#18661,#18663,#18664,#18665)); +#18667=FACE_OUTER_BOUND('',#18666,.F.); +#18669=CARTESIAN_POINT('',(1.803333325386E1,-4.617021262646E0,-2.E1)); +#18670=DIRECTION('',(-7.145108408043E-1,6.996243694821E-1,0.E0)); +#18671=DIRECTION('',(-6.996243694821E-1,-7.145108408043E-1,0.E0)); +#18672=AXIS2_PLACEMENT_3D('',#18669,#18670,#18671); +#18673=PLANE('',#18672); +#18674=ORIENTED_EDGE('',*,*,#13932,.F.); +#18676=ORIENTED_EDGE('',*,*,#18675,.T.); +#18677=ORIENTED_EDGE('',*,*,#15038,.T.); +#18678=ORIENTED_EDGE('',*,*,#18662,.F.); +#18679=EDGE_LOOP('',(#18674,#18676,#18677,#18678)); +#18680=FACE_OUTER_BOUND('',#18679,.F.); +#18682=CARTESIAN_POINT('',(1.8075E1,-4.531914889813E0,-2.E1)); +#18683=DIRECTION('',(-8.981377659536E-1,4.397141723527E-1,0.E0)); +#18684=DIRECTION('',(-4.397141723527E-1,-8.981377659536E-1,0.E0)); +#18685=AXIS2_PLACEMENT_3D('',#18682,#18683,#18684); +#18686=PLANE('',#18685); +#18687=ORIENTED_EDGE('',*,*,#13930,.F.); +#18689=ORIENTED_EDGE('',*,*,#18688,.T.); +#18690=ORIENTED_EDGE('',*,*,#15040,.T.); +#18691=ORIENTED_EDGE('',*,*,#18675,.F.); +#18692=EDGE_LOOP('',(#18687,#18689,#18690,#18691)); +#18693=FACE_OUTER_BOUND('',#18692,.F.); +#18695=CARTESIAN_POINT('',(1.8075E1,-4.361702144147E0,-2.E1)); +#18696=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18697=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18698=AXIS2_PLACEMENT_3D('',#18695,#18696,#18697); +#18699=PLANE('',#18698); +#18700=ORIENTED_EDGE('',*,*,#13928,.F.); +#18702=ORIENTED_EDGE('',*,*,#18701,.T.); +#18703=ORIENTED_EDGE('',*,*,#15042,.T.); +#18704=ORIENTED_EDGE('',*,*,#18688,.F.); +#18705=EDGE_LOOP('',(#18700,#18702,#18703,#18704)); +#18706=FACE_OUTER_BOUND('',#18705,.F.); +#18708=CARTESIAN_POINT('',(1.799166674614E1,-4.191489338875E0,-2.E1)); +#18709=DIRECTION('',(-8.981383235887E-1,-4.397130333538E-1,0.E0)); +#18710=DIRECTION('',(4.397130333538E-1,-8.981383235887E-1,0.E0)); +#18711=AXIS2_PLACEMENT_3D('',#18708,#18709,#18710); +#18712=PLANE('',#18711); +#18713=ORIENTED_EDGE('',*,*,#13926,.F.); +#18715=ORIENTED_EDGE('',*,*,#18714,.T.); +#18716=ORIENTED_EDGE('',*,*,#15044,.T.); +#18717=ORIENTED_EDGE('',*,*,#18701,.F.); +#18718=EDGE_LOOP('',(#18713,#18715,#18716,#18717)); +#18719=FACE_OUTER_BOUND('',#18718,.F.); +#18721=CARTESIAN_POINT('',(1.786666674614E1,-4.106382966042E0,-2.E1)); +#18722=DIRECTION('',(-5.627909312417E-1,-8.265992787996E-1,0.E0)); +#18723=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#18724=AXIS2_PLACEMENT_3D('',#18721,#18722,#18723); +#18725=PLANE('',#18724); +#18726=ORIENTED_EDGE('',*,*,#13924,.F.); +#18728=ORIENTED_EDGE('',*,*,#18727,.T.); +#18729=ORIENTED_EDGE('',*,*,#15046,.T.); +#18730=ORIENTED_EDGE('',*,*,#18714,.F.); +#18731=EDGE_LOOP('',(#18726,#18728,#18729,#18730)); +#18732=FACE_OUTER_BOUND('',#18731,.F.); +#18734=CARTESIAN_POINT('',(1.761666674614E1,-4.063829779625E0,-2.E1)); +#18735=DIRECTION('',(-1.677993286083E-1,-9.858211730931E-1,0.E0)); +#18736=DIRECTION('',(9.858211730931E-1,-1.677993286083E-1,0.E0)); +#18737=AXIS2_PLACEMENT_3D('',#18734,#18735,#18736); +#18738=PLANE('',#18737); +#18739=ORIENTED_EDGE('',*,*,#13922,.F.); +#18741=ORIENTED_EDGE('',*,*,#18740,.T.); +#18742=ORIENTED_EDGE('',*,*,#15048,.T.); +#18743=ORIENTED_EDGE('',*,*,#18727,.F.); +#18744=EDGE_LOOP('',(#18739,#18741,#18742,#18743)); +#18745=FACE_OUTER_BOUND('',#18744,.F.); +#18747=CARTESIAN_POINT('',(1.745E1,-4.063829779625E0,-2.E1)); +#18748=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18749=DIRECTION('',(1.E0,0.E0,0.E0)); +#18750=AXIS2_PLACEMENT_3D('',#18747,#18748,#18749); +#18751=PLANE('',#18750); +#18752=ORIENTED_EDGE('',*,*,#13920,.F.); +#18754=ORIENTED_EDGE('',*,*,#18753,.T.); +#18755=ORIENTED_EDGE('',*,*,#15050,.T.); +#18756=ORIENTED_EDGE('',*,*,#18740,.F.); +#18757=EDGE_LOOP('',(#18752,#18754,#18755,#18756)); +#18758=FACE_OUTER_BOUND('',#18757,.F.); +#18760=CARTESIAN_POINT('',(1.745E1,-3.893617033959E0,-2.E1)); +#18761=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18762=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18763=AXIS2_PLACEMENT_3D('',#18760,#18761,#18762); +#18764=PLANE('',#18763); +#18765=ORIENTED_EDGE('',*,*,#13918,.F.); +#18767=ORIENTED_EDGE('',*,*,#18766,.T.); +#18768=ORIENTED_EDGE('',*,*,#15052,.T.); +#18769=ORIENTED_EDGE('',*,*,#18753,.F.); +#18770=EDGE_LOOP('',(#18765,#18767,#18768,#18769)); +#18771=FACE_OUTER_BOUND('',#18770,.F.); +#18773=CARTESIAN_POINT('',(1.761666674614E1,-3.893617033959E0,-2.E1)); +#18774=DIRECTION('',(0.E0,1.E0,0.E0)); +#18775=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18776=AXIS2_PLACEMENT_3D('',#18773,#18774,#18775); +#18777=PLANE('',#18776); +#18778=ORIENTED_EDGE('',*,*,#13916,.F.); +#18780=ORIENTED_EDGE('',*,*,#18779,.T.); +#18781=ORIENTED_EDGE('',*,*,#15054,.T.); +#18782=ORIENTED_EDGE('',*,*,#18766,.F.); +#18783=EDGE_LOOP('',(#18778,#18780,#18781,#18782)); +#18784=FACE_OUTER_BOUND('',#18783,.F.); +#18786=CARTESIAN_POINT('',(1.786666674614E1,-3.808510661126E0,-2.E1)); +#18787=DIRECTION('',(-3.222637633772E-1,9.466499177700E-1,0.E0)); +#18788=DIRECTION('',(-9.466499177700E-1,-3.222637633772E-1,0.E0)); +#18789=AXIS2_PLACEMENT_3D('',#18786,#18787,#18788); +#18790=PLANE('',#18789); +#18791=ORIENTED_EDGE('',*,*,#13914,.F.); +#18793=ORIENTED_EDGE('',*,*,#18792,.T.); +#18794=ORIENTED_EDGE('',*,*,#15056,.T.); +#18795=ORIENTED_EDGE('',*,*,#18779,.F.); +#18796=EDGE_LOOP('',(#18791,#18793,#18794,#18795)); +#18797=FACE_OUTER_BOUND('',#18796,.F.); +#18799=CARTESIAN_POINT('',(1.795E1,-3.723404288292E0,-2.E1)); +#18800=DIRECTION('',(-7.145107183351E-1,6.996244945571E-1,0.E0)); +#18801=DIRECTION('',(-6.996244945571E-1,-7.145107183351E-1,0.E0)); +#18802=AXIS2_PLACEMENT_3D('',#18799,#18800,#18801); +#18803=PLANE('',#18802); +#18804=ORIENTED_EDGE('',*,*,#13912,.F.); +#18806=ORIENTED_EDGE('',*,*,#18805,.T.); +#18807=ORIENTED_EDGE('',*,*,#15058,.T.); +#18808=ORIENTED_EDGE('',*,*,#18792,.F.); +#18809=EDGE_LOOP('',(#18804,#18806,#18807,#18808)); +#18810=FACE_OUTER_BOUND('',#18809,.F.); +#18812=CARTESIAN_POINT('',(1.803333325386E1,-3.553191542626E0,-2.E1)); +#18813=DIRECTION('',(-8.981382627794E-1,4.397131575602E-1,0.E0)); +#18814=DIRECTION('',(-4.397131575602E-1,-8.981382627794E-1,0.E0)); +#18815=AXIS2_PLACEMENT_3D('',#18812,#18813,#18814); +#18816=PLANE('',#18815); +#18817=ORIENTED_EDGE('',*,*,#13910,.F.); +#18819=ORIENTED_EDGE('',*,*,#18818,.T.); +#18820=ORIENTED_EDGE('',*,*,#15060,.T.); +#18821=ORIENTED_EDGE('',*,*,#18805,.F.); +#18822=EDGE_LOOP('',(#18817,#18819,#18820,#18821)); +#18823=FACE_OUTER_BOUND('',#18822,.F.); +#18825=CARTESIAN_POINT('',(1.803333325386E1,-3.468085050583E0,-2.E1)); +#18826=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18827=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18828=AXIS2_PLACEMENT_3D('',#18825,#18826,#18827); +#18829=PLANE('',#18828); +#18830=ORIENTED_EDGE('',*,*,#13908,.F.); +#18832=ORIENTED_EDGE('',*,*,#18831,.T.); +#18833=ORIENTED_EDGE('',*,*,#15062,.T.); +#18834=ORIENTED_EDGE('',*,*,#18818,.F.); +#18835=EDGE_LOOP('',(#18830,#18832,#18833,#18834)); +#18836=FACE_OUTER_BOUND('',#18835,.F.); +#18838=CARTESIAN_POINT('',(1.795E1,-3.340425491334E0,-2.E1)); +#18839=DIRECTION('',(-8.373793591563E-1,-5.466221810895E-1,0.E0)); +#18840=DIRECTION('',(5.466221810895E-1,-8.373793591563E-1,0.E0)); +#18841=AXIS2_PLACEMENT_3D('',#18838,#18839,#18840); +#18842=PLANE('',#18841); +#18843=ORIENTED_EDGE('',*,*,#13906,.F.); +#18845=ORIENTED_EDGE('',*,*,#18844,.T.); +#18846=ORIENTED_EDGE('',*,*,#15064,.T.); +#18847=ORIENTED_EDGE('',*,*,#18831,.F.); +#18848=EDGE_LOOP('',(#18843,#18845,#18846,#18847)); +#18849=FACE_OUTER_BOUND('',#18848,.F.); +#18851=CARTESIAN_POINT('',(1.7825E1,-3.255319118500E0,-2.E1)); +#18852=DIRECTION('',(-5.627909312417E-1,-8.265992787996E-1,0.E0)); +#18853=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#18854=AXIS2_PLACEMENT_3D('',#18851,#18852,#18853); +#18855=PLANE('',#18854); +#18856=ORIENTED_EDGE('',*,*,#13904,.F.); +#18858=ORIENTED_EDGE('',*,*,#18857,.T.); +#18859=ORIENTED_EDGE('',*,*,#15066,.T.); +#18860=ORIENTED_EDGE('',*,*,#18844,.F.); +#18861=EDGE_LOOP('',(#18856,#18858,#18859,#18860)); +#18862=FACE_OUTER_BOUND('',#18861,.F.); +#18864=CARTESIAN_POINT('',(1.761666674614E1,-3.212765932084E0,-2.E1)); +#18865=DIRECTION('',(-2.001234283435E-1,-9.797706943097E-1,0.E0)); +#18866=DIRECTION('',(9.797706943097E-1,-2.001234283435E-1,0.E0)); +#18867=AXIS2_PLACEMENT_3D('',#18864,#18865,#18866); +#18868=PLANE('',#18867); +#18869=ORIENTED_EDGE('',*,*,#13902,.F.); +#18871=ORIENTED_EDGE('',*,*,#18870,.T.); +#18872=ORIENTED_EDGE('',*,*,#15068,.T.); +#18873=ORIENTED_EDGE('',*,*,#18857,.F.); +#18874=EDGE_LOOP('',(#18869,#18871,#18872,#18873)); +#18875=FACE_OUTER_BOUND('',#18874,.F.); +#18877=CARTESIAN_POINT('',(1.745E1,-3.212765932084E0,-2.E1)); +#18878=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18879=DIRECTION('',(1.E0,0.E0,0.E0)); +#18880=AXIS2_PLACEMENT_3D('',#18877,#18878,#18879); +#18881=PLANE('',#18880); +#18882=ORIENTED_EDGE('',*,*,#13900,.F.); +#18884=ORIENTED_EDGE('',*,*,#18883,.T.); +#18885=ORIENTED_EDGE('',*,*,#15070,.T.); +#18886=ORIENTED_EDGE('',*,*,#18870,.F.); +#18887=EDGE_LOOP('',(#18882,#18884,#18885,#18886)); +#18888=FACE_OUTER_BOUND('',#18887,.F.); +#18890=CARTESIAN_POINT('',(1.724166674614E1,-3.255319118500E0,-2.E1)); +#18891=DIRECTION('',(2.001234283435E-1,-9.797706943097E-1,0.E0)); +#18892=DIRECTION('',(9.797706943097E-1,2.001234283435E-1,0.E0)); +#18893=AXIS2_PLACEMENT_3D('',#18890,#18891,#18892); +#18894=PLANE('',#18893); +#18895=ORIENTED_EDGE('',*,*,#13898,.F.); +#18897=ORIENTED_EDGE('',*,*,#18896,.T.); +#18898=ORIENTED_EDGE('',*,*,#15072,.T.); +#18899=ORIENTED_EDGE('',*,*,#18883,.F.); +#18900=EDGE_LOOP('',(#18895,#18897,#18898,#18899)); +#18901=FACE_OUTER_BOUND('',#18900,.F.); +#18903=CARTESIAN_POINT('',(1.711666674614E1,-3.340425491334E0,-2.E1)); +#18904=DIRECTION('',(5.627909312417E-1,-8.265992787996E-1,0.E0)); +#18905=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#18906=AXIS2_PLACEMENT_3D('',#18903,#18904,#18905); +#18907=PLANE('',#18906); +#18908=ORIENTED_EDGE('',*,*,#13896,.F.); +#18910=ORIENTED_EDGE('',*,*,#18909,.T.); +#18911=ORIENTED_EDGE('',*,*,#15074,.T.); +#18912=ORIENTED_EDGE('',*,*,#18896,.F.); +#18913=EDGE_LOOP('',(#18908,#18910,#18911,#18912)); +#18914=FACE_OUTER_BOUND('',#18913,.F.); +#18916=CARTESIAN_POINT('',(1.703333325386E1,-3.468085050583E0,-2.E1)); +#18917=DIRECTION('',(8.373786433120E-1,-5.466232777014E-1,0.E0)); +#18918=DIRECTION('',(5.466232777014E-1,8.373786433120E-1,0.E0)); +#18919=AXIS2_PLACEMENT_3D('',#18916,#18917,#18918); +#18920=PLANE('',#18919); +#18921=ORIENTED_EDGE('',*,*,#13894,.F.); +#18923=ORIENTED_EDGE('',*,*,#18922,.T.); +#18924=ORIENTED_EDGE('',*,*,#15076,.T.); +#18925=ORIENTED_EDGE('',*,*,#18909,.F.); +#18926=EDGE_LOOP('',(#18921,#18923,#18924,#18925)); +#18927=FACE_OUTER_BOUND('',#18926,.F.); +#18929=CARTESIAN_POINT('',(1.6825E1,-3.468085050583E0,-2.E1)); +#18930=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18931=DIRECTION('',(1.E0,0.E0,0.E0)); +#18932=AXIS2_PLACEMENT_3D('',#18929,#18930,#18931); +#18933=PLANE('',#18932); +#18934=ORIENTED_EDGE('',*,*,#13892,.F.); +#18936=ORIENTED_EDGE('',*,*,#18935,.T.); +#18937=ORIENTED_EDGE('',*,*,#15078,.T.); +#18938=ORIENTED_EDGE('',*,*,#18922,.F.); +#18939=EDGE_LOOP('',(#18934,#18936,#18937,#18938)); +#18940=FACE_OUTER_BOUND('',#18939,.F.); +#18942=CARTESIAN_POINT('',(1.686666662693E1,-3.297872304917E0,-2.E1)); +#18943=DIRECTION('',(-9.713212062349E-1,2.377711385310E-1,0.E0)); +#18944=DIRECTION('',(-2.377711385310E-1,-9.713212062349E-1,0.E0)); +#18945=AXIS2_PLACEMENT_3D('',#18942,#18943,#18944); +#18946=PLANE('',#18945); +#18947=ORIENTED_EDGE('',*,*,#13890,.F.); +#18949=ORIENTED_EDGE('',*,*,#18948,.T.); +#18950=ORIENTED_EDGE('',*,*,#15080,.T.); +#18951=ORIENTED_EDGE('',*,*,#18935,.F.); +#18952=EDGE_LOOP('',(#18947,#18949,#18950,#18951)); +#18953=FACE_OUTER_BOUND('',#18952,.F.); +#18955=CARTESIAN_POINT('',(1.703333325386E1,-3.127659559250E0,-2.E1)); +#18956=DIRECTION('',(-7.145104681851E-1,6.996247500295E-1,0.E0)); +#18957=DIRECTION('',(-6.996247500295E-1,-7.145104681851E-1,0.E0)); +#18958=AXIS2_PLACEMENT_3D('',#18955,#18956,#18957); +#18959=PLANE('',#18958); +#18960=ORIENTED_EDGE('',*,*,#13888,.F.); +#18962=ORIENTED_EDGE('',*,*,#18961,.T.); +#18963=ORIENTED_EDGE('',*,*,#15082,.T.); +#18964=ORIENTED_EDGE('',*,*,#18948,.F.); +#18965=EDGE_LOOP('',(#18960,#18962,#18963,#18964)); +#18966=FACE_OUTER_BOUND('',#18965,.F.); +#18968=CARTESIAN_POINT('',(1.72E1,-3.042553186417E0,-2.E1)); +#18969=DIRECTION('',(-4.547769415434E-1,8.906053746977E-1,0.E0)); +#18970=DIRECTION('',(-8.906053746977E-1,-4.547769415434E-1,0.E0)); +#18971=AXIS2_PLACEMENT_3D('',#18968,#18969,#18970); +#18972=PLANE('',#18971); +#18973=ORIENTED_EDGE('',*,*,#13886,.F.); +#18975=ORIENTED_EDGE('',*,*,#18974,.T.); +#18976=ORIENTED_EDGE('',*,*,#15084,.T.); +#18977=ORIENTED_EDGE('',*,*,#18961,.F.); +#18978=EDGE_LOOP('',(#18973,#18975,#18976,#18977)); +#18979=FACE_OUTER_BOUND('',#18978,.F.); +#18981=CARTESIAN_POINT('',(1.749166674614E1,-3.000000000001E0,-2.E1)); +#18982=DIRECTION('',(-1.443681923971E-1,9.895240396393E-1,0.E0)); +#18983=DIRECTION('',(-9.895240396393E-1,-1.443681923971E-1,0.E0)); +#18984=AXIS2_PLACEMENT_3D('',#18981,#18982,#18983); +#18985=PLANE('',#18984); +#18986=ORIENTED_EDGE('',*,*,#13884,.F.); +#18988=ORIENTED_EDGE('',*,*,#18987,.T.); +#18989=ORIENTED_EDGE('',*,*,#15086,.T.); +#18990=ORIENTED_EDGE('',*,*,#18974,.F.); +#18991=EDGE_LOOP('',(#18986,#18988,#18989,#18990)); +#18992=FACE_OUTER_BOUND('',#18991,.F.); +#18994=CARTESIAN_POINT('',(1.7575E1,-3.000000000001E0,-2.E1)); +#18995=DIRECTION('',(0.E0,1.E0,0.E0)); +#18996=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18997=AXIS2_PLACEMENT_3D('',#18994,#18995,#18996); +#18998=PLANE('',#18997); +#18999=ORIENTED_EDGE('',*,*,#13882,.F.); +#19001=ORIENTED_EDGE('',*,*,#19000,.T.); +#19002=ORIENTED_EDGE('',*,*,#15088,.T.); +#19003=ORIENTED_EDGE('',*,*,#18987,.F.); +#19004=EDGE_LOOP('',(#18999,#19001,#19002,#19003)); +#19005=FACE_OUTER_BOUND('',#19004,.F.); +#19007=CARTESIAN_POINT('',(1.786666674614E1,-3.042553186417E0,-2.E1)); +#19008=DIRECTION('',(1.443681923971E-1,9.895240396393E-1,0.E0)); +#19009=DIRECTION('',(-9.895240396393E-1,1.443681923971E-1,0.E0)); +#19010=AXIS2_PLACEMENT_3D('',#19007,#19008,#19009); +#19011=PLANE('',#19010); +#19012=ORIENTED_EDGE('',*,*,#13880,.F.); +#19014=ORIENTED_EDGE('',*,*,#19013,.T.); +#19015=ORIENTED_EDGE('',*,*,#15090,.T.); +#19016=ORIENTED_EDGE('',*,*,#19000,.F.); +#19017=EDGE_LOOP('',(#19012,#19014,#19015,#19016)); +#19018=FACE_OUTER_BOUND('',#19017,.F.); +#19020=CARTESIAN_POINT('',(1.803333325386E1,-3.127659559250E0,-2.E1)); +#19021=DIRECTION('',(4.547774575564E-1,8.906051112017E-1,0.E0)); +#19022=DIRECTION('',(-8.906051112017E-1,4.547774575564E-1,0.E0)); +#19023=AXIS2_PLACEMENT_3D('',#19020,#19021,#19022); +#19024=PLANE('',#19023); +#19025=ORIENTED_EDGE('',*,*,#13878,.F.); +#19027=ORIENTED_EDGE('',*,*,#19026,.T.); +#19028=ORIENTED_EDGE('',*,*,#15092,.T.); +#19029=ORIENTED_EDGE('',*,*,#19013,.F.); +#19030=EDGE_LOOP('',(#19025,#19027,#19028,#19029)); +#19031=FACE_OUTER_BOUND('',#19030,.F.); +#19033=CARTESIAN_POINT('',(1.82E1,-3.297872304917E0,-2.E1)); +#19034=DIRECTION('',(7.145102180353E-1,6.996250055017E-1,0.E0)); +#19035=DIRECTION('',(-6.996250055017E-1,7.145102180353E-1,0.E0)); +#19036=AXIS2_PLACEMENT_3D('',#19033,#19034,#19035); +#19037=PLANE('',#19036); +#19038=ORIENTED_EDGE('',*,*,#13876,.F.); +#19040=ORIENTED_EDGE('',*,*,#19039,.T.); +#19041=ORIENTED_EDGE('',*,*,#15094,.T.); +#19042=ORIENTED_EDGE('',*,*,#19026,.F.); +#19043=EDGE_LOOP('',(#19038,#19040,#19041,#19042)); +#19044=FACE_OUTER_BOUND('',#19043,.F.); +#19046=CARTESIAN_POINT('',(1.824166674614E1,-3.468085050583E0,-2.E1)); +#19047=DIRECTION('',(9.713210491251E-1,2.377717803411E-1,0.E0)); +#19048=DIRECTION('',(-2.377717803411E-1,9.713210491251E-1,0.E0)); +#19049=AXIS2_PLACEMENT_3D('',#19046,#19047,#19048); +#19050=PLANE('',#19049); +#19051=ORIENTED_EDGE('',*,*,#13874,.F.); +#19053=ORIENTED_EDGE('',*,*,#19052,.T.); +#19054=ORIENTED_EDGE('',*,*,#15096,.T.); +#19055=ORIENTED_EDGE('',*,*,#19039,.F.); +#19056=EDGE_LOOP('',(#19051,#19053,#19054,#19055)); +#19057=FACE_OUTER_BOUND('',#19056,.F.); +#19059=CARTESIAN_POINT('',(1.824166674614E1,-3.553191542626E0,-2.E1)); +#19060=DIRECTION('',(1.E0,0.E0,0.E0)); +#19061=DIRECTION('',(0.E0,1.E0,0.E0)); +#19062=AXIS2_PLACEMENT_3D('',#19059,#19060,#19061); +#19063=PLANE('',#19062); +#19064=ORIENTED_EDGE('',*,*,#13872,.F.); +#19066=ORIENTED_EDGE('',*,*,#19065,.T.); +#19067=ORIENTED_EDGE('',*,*,#15098,.T.); +#19068=ORIENTED_EDGE('',*,*,#19052,.F.); +#19069=EDGE_LOOP('',(#19064,#19066,#19067,#19068)); +#19070=FACE_OUTER_BOUND('',#19069,.F.); +#19072=CARTESIAN_POINT('',(1.82E1,-3.723404288292E0,-2.E1)); +#19073=DIRECTION('',(9.713210491251E-1,-2.377717803411E-1,0.E0)); +#19074=DIRECTION('',(2.377717803411E-1,9.713210491251E-1,0.E0)); +#19075=AXIS2_PLACEMENT_3D('',#19072,#19073,#19074); +#19076=PLANE('',#19075); +#19077=ORIENTED_EDGE('',*,*,#13870,.F.); +#19079=ORIENTED_EDGE('',*,*,#19078,.T.); +#19080=ORIENTED_EDGE('',*,*,#15100,.T.); +#19081=ORIENTED_EDGE('',*,*,#19065,.F.); +#19082=EDGE_LOOP('',(#19077,#19079,#19080,#19081)); +#19083=FACE_OUTER_BOUND('',#19082,.F.); +#19085=CARTESIAN_POINT('',(1.811666674614E1,-3.851063847542E0,-2.E1)); +#19086=DIRECTION('',(8.373793591563E-1,-5.466221810895E-1,0.E0)); +#19087=DIRECTION('',(5.466221810895E-1,8.373793591563E-1,0.E0)); +#19088=AXIS2_PLACEMENT_3D('',#19085,#19086,#19087); +#19089=PLANE('',#19088); +#19090=ORIENTED_EDGE('',*,*,#13868,.F.); +#19092=ORIENTED_EDGE('',*,*,#19091,.T.); +#19093=ORIENTED_EDGE('',*,*,#15102,.T.); +#19094=ORIENTED_EDGE('',*,*,#19078,.F.); +#19095=EDGE_LOOP('',(#19090,#19092,#19093,#19094)); +#19096=FACE_OUTER_BOUND('',#19095,.F.); +#19098=CARTESIAN_POINT('',(1.795E1,-3.978723406792E0,-2.E1)); +#19099=DIRECTION('',(6.080766493621E-1,-7.938783209665E-1,0.E0)); +#19100=DIRECTION('',(7.938783209665E-1,6.080766493621E-1,0.E0)); +#19101=AXIS2_PLACEMENT_3D('',#19098,#19099,#19100); +#19102=PLANE('',#19101); +#19103=ORIENTED_EDGE('',*,*,#13866,.F.); +#19105=ORIENTED_EDGE('',*,*,#19104,.T.); +#19106=ORIENTED_EDGE('',*,*,#15104,.T.); +#19107=ORIENTED_EDGE('',*,*,#19091,.F.); +#19108=EDGE_LOOP('',(#19103,#19105,#19106,#19107)); +#19109=FACE_OUTER_BOUND('',#19108,.F.); +#19111=CARTESIAN_POINT('',(1.815833325386E1,-4.063829779625E0,-2.E1)); +#19112=DIRECTION('',(3.781727181043E-1,9.257350567423E-1,0.E0)); +#19113=DIRECTION('',(-9.257350567423E-1,3.781727181043E-1,0.E0)); +#19114=AXIS2_PLACEMENT_3D('',#19111,#19112,#19113); +#19115=PLANE('',#19114); +#19116=ORIENTED_EDGE('',*,*,#13864,.F.); +#19118=ORIENTED_EDGE('',*,*,#19117,.T.); +#19119=ORIENTED_EDGE('',*,*,#15106,.T.); +#19120=ORIENTED_EDGE('',*,*,#19104,.F.); +#19121=EDGE_LOOP('',(#19116,#19118,#19119,#19120)); +#19122=FACE_OUTER_BOUND('',#19121,.F.); +#19124=CARTESIAN_POINT('',(1.824166674614E1,-4.191489338875E0,-2.E1)); +#19125=DIRECTION('',(8.373786433120E-1,5.466232777014E-1,0.E0)); +#19126=DIRECTION('',(-5.466232777014E-1,8.373786433120E-1,0.E0)); +#19127=AXIS2_PLACEMENT_3D('',#19124,#19125,#19126); +#19128=PLANE('',#19127); +#19129=ORIENTED_EDGE('',*,*,#13862,.F.); +#19131=ORIENTED_EDGE('',*,*,#19130,.T.); +#19132=ORIENTED_EDGE('',*,*,#15108,.T.); +#19133=ORIENTED_EDGE('',*,*,#19117,.F.); +#19134=EDGE_LOOP('',(#19129,#19131,#19132,#19133)); +#19135=FACE_OUTER_BOUND('',#19134,.F.); +#19137=CARTESIAN_POINT('',(1.828333325386E1,-4.361702144147E0,-2.E1)); +#19138=DIRECTION('',(9.713213825738E-1,2.377704181660E-1,0.E0)); +#19139=DIRECTION('',(-2.377704181660E-1,9.713213825738E-1,0.E0)); +#19140=AXIS2_PLACEMENT_3D('',#19137,#19138,#19139); +#19141=PLANE('',#19140); +#19142=ORIENTED_EDGE('',*,*,#13860,.F.); +#19144=ORIENTED_EDGE('',*,*,#19143,.T.); +#19145=ORIENTED_EDGE('',*,*,#15110,.T.); +#19146=ORIENTED_EDGE('',*,*,#19130,.F.); +#19147=EDGE_LOOP('',(#19142,#19144,#19145,#19146)); +#19148=FACE_OUTER_BOUND('',#19147,.F.); +#19150=CARTESIAN_POINT('',(1.828333325386E1,-4.531914889813E0,-2.E1)); +#19151=DIRECTION('',(1.E0,0.E0,0.E0)); +#19152=DIRECTION('',(0.E0,1.E0,0.E0)); +#19153=AXIS2_PLACEMENT_3D('',#19150,#19151,#19152); +#19154=PLANE('',#19153); +#19155=ORIENTED_EDGE('',*,*,#13972,.F.); +#19157=ORIENTED_EDGE('',*,*,#19156,.T.); +#19158=ORIENTED_EDGE('',*,*,#15112,.T.); +#19159=ORIENTED_EDGE('',*,*,#19143,.F.); +#19160=EDGE_LOOP('',(#19155,#19157,#19158,#19159)); +#19161=FACE_OUTER_BOUND('',#19160,.F.); +#19163=CARTESIAN_POINT('',(1.824166674614E1,-4.659574478865E0,-2.E1)); +#19164=DIRECTION('',(9.506455626052E-1,-3.102789298341E-1,0.E0)); +#19165=DIRECTION('',(3.102789298341E-1,9.506455626052E-1,0.E0)); +#19166=AXIS2_PLACEMENT_3D('',#19163,#19164,#19165); +#19167=PLANE('',#19166); +#19168=ORIENTED_EDGE('',*,*,#13970,.F.); +#19169=ORIENTED_EDGE('',*,*,#18443,.T.); +#19170=ORIENTED_EDGE('',*,*,#15114,.T.); +#19171=ORIENTED_EDGE('',*,*,#19156,.F.); +#19172=EDGE_LOOP('',(#19168,#19169,#19170,#19171)); +#19173=FACE_OUTER_BOUND('',#19172,.F.); +#19175=CARTESIAN_POINT('',(-1.4E1,4.000000000001E0,-2.E1)); +#19176=DIRECTION('',(0.E0,0.E0,1.E0)); +#19177=DIRECTION('',(1.E0,0.E0,0.E0)); +#19178=AXIS2_PLACEMENT_3D('',#19175,#19176,#19177); +#19179=CYLINDRICAL_SURFACE('',#19178,6.5E-1); +#19180=ORIENTED_EDGE('',*,*,#13976,.F.); +#19182=ORIENTED_EDGE('',*,*,#19181,.T.); +#19184=ORIENTED_EDGE('',*,*,#19183,.T.); +#19186=ORIENTED_EDGE('',*,*,#19185,.F.); +#19187=EDGE_LOOP('',(#19180,#19182,#19184,#19186)); +#19188=FACE_OUTER_BOUND('',#19187,.F.); +#19190=CARTESIAN_POINT('',(-1.4E1,4.000000000001E0,-2.E1)); +#19191=DIRECTION('',(0.E0,0.E0,1.E0)); +#19192=DIRECTION('',(1.E0,0.E0,0.E0)); +#19193=AXIS2_PLACEMENT_3D('',#19190,#19191,#19192); +#19194=CYLINDRICAL_SURFACE('',#19193,6.5E-1); +#19195=ORIENTED_EDGE('',*,*,#13978,.F.); +#19196=ORIENTED_EDGE('',*,*,#19185,.T.); +#19198=ORIENTED_EDGE('',*,*,#19197,.T.); +#19199=ORIENTED_EDGE('',*,*,#19181,.F.); +#19200=EDGE_LOOP('',(#19195,#19196,#19198,#19199)); +#19201=FACE_OUTER_BOUND('',#19200,.F.); +#19203=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19204=DIRECTION('',(0.E0,0.E0,1.E0)); +#19205=DIRECTION('',(1.E0,0.E0,0.E0)); +#19206=AXIS2_PLACEMENT_3D('',#19203,#19204,#19205); +#19207=PLANE('',#19206); +#19208=ORIENTED_EDGE('',*,*,#19183,.F.); +#19209=ORIENTED_EDGE('',*,*,#19197,.F.); +#19210=EDGE_LOOP('',(#19208,#19209)); +#19211=FACE_OUTER_BOUND('',#19210,.F.); +#19213=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19214=DIRECTION('',(0.E0,0.E0,1.E0)); +#19215=DIRECTION('',(1.E0,0.E0,0.E0)); +#19216=AXIS2_PLACEMENT_3D('',#19213,#19214,#19215); +#19217=PLANE('',#19216); +#19219=ORIENTED_EDGE('',*,*,#19218,.F.); +#19221=ORIENTED_EDGE('',*,*,#19220,.F.); +#19222=EDGE_LOOP('',(#19219,#19221)); +#19223=FACE_OUTER_BOUND('',#19222,.F.); +#19225=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19226=DIRECTION('',(0.E0,0.E0,1.E0)); +#19227=DIRECTION('',(1.E0,0.E0,0.E0)); +#19228=AXIS2_PLACEMENT_3D('',#19225,#19226,#19227); +#19229=PLANE('',#19228); +#19231=ORIENTED_EDGE('',*,*,#19230,.F.); +#19233=ORIENTED_EDGE('',*,*,#19232,.F.); +#19234=EDGE_LOOP('',(#19231,#19233)); +#19235=FACE_OUTER_BOUND('',#19234,.F.); +#19237=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19238=DIRECTION('',(0.E0,0.E0,1.E0)); +#19239=DIRECTION('',(1.E0,0.E0,0.E0)); +#19240=AXIS2_PLACEMENT_3D('',#19237,#19238,#19239); +#19241=PLANE('',#19240); +#19243=ORIENTED_EDGE('',*,*,#19242,.F.); +#19245=ORIENTED_EDGE('',*,*,#19244,.F.); +#19246=EDGE_LOOP('',(#19243,#19245)); +#19247=FACE_OUTER_BOUND('',#19246,.F.); +#19249=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19250=DIRECTION('',(0.E0,0.E0,1.E0)); +#19251=DIRECTION('',(1.E0,0.E0,0.E0)); +#19252=AXIS2_PLACEMENT_3D('',#19249,#19250,#19251); +#19253=PLANE('',#19252); +#19255=ORIENTED_EDGE('',*,*,#19254,.F.); +#19257=ORIENTED_EDGE('',*,*,#19256,.F.); +#19258=EDGE_LOOP('',(#19255,#19257)); +#19259=FACE_OUTER_BOUND('',#19258,.F.); +#19261=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19262=DIRECTION('',(0.E0,0.E0,1.E0)); +#19263=DIRECTION('',(1.E0,0.E0,0.E0)); +#19264=AXIS2_PLACEMENT_3D('',#19261,#19262,#19263); +#19265=PLANE('',#19264); +#19267=ORIENTED_EDGE('',*,*,#19266,.F.); +#19269=ORIENTED_EDGE('',*,*,#19268,.F.); +#19270=EDGE_LOOP('',(#19267,#19269)); +#19271=FACE_OUTER_BOUND('',#19270,.F.); +#19273=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19274=DIRECTION('',(0.E0,0.E0,1.E0)); +#19275=DIRECTION('',(1.E0,0.E0,0.E0)); +#19276=AXIS2_PLACEMENT_3D('',#19273,#19274,#19275); +#19277=PLANE('',#19276); +#19279=ORIENTED_EDGE('',*,*,#19278,.F.); +#19281=ORIENTED_EDGE('',*,*,#19280,.F.); +#19282=EDGE_LOOP('',(#19279,#19281)); +#19283=FACE_OUTER_BOUND('',#19282,.F.); +#19285=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19286=DIRECTION('',(0.E0,0.E0,1.E0)); +#19287=DIRECTION('',(1.E0,0.E0,0.E0)); +#19288=AXIS2_PLACEMENT_3D('',#19285,#19286,#19287); +#19289=PLANE('',#19288); +#19291=ORIENTED_EDGE('',*,*,#19290,.F.); +#19293=ORIENTED_EDGE('',*,*,#19292,.F.); +#19294=EDGE_LOOP('',(#19291,#19293)); +#19295=FACE_OUTER_BOUND('',#19294,.F.); +#19297=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19298=DIRECTION('',(0.E0,0.E0,1.E0)); +#19299=DIRECTION('',(1.E0,0.E0,0.E0)); +#19300=AXIS2_PLACEMENT_3D('',#19297,#19298,#19299); +#19301=PLANE('',#19300); +#19303=ORIENTED_EDGE('',*,*,#19302,.F.); +#19305=ORIENTED_EDGE('',*,*,#19304,.F.); +#19306=EDGE_LOOP('',(#19303,#19305)); +#19307=FACE_OUTER_BOUND('',#19306,.F.); +#19309=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19310=DIRECTION('',(0.E0,0.E0,1.E0)); +#19311=DIRECTION('',(1.E0,0.E0,0.E0)); +#19312=AXIS2_PLACEMENT_3D('',#19309,#19310,#19311); +#19313=PLANE('',#19312); +#19315=ORIENTED_EDGE('',*,*,#19314,.F.); +#19317=ORIENTED_EDGE('',*,*,#19316,.F.); +#19318=EDGE_LOOP('',(#19315,#19317)); +#19319=FACE_OUTER_BOUND('',#19318,.F.); +#19321=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19322=DIRECTION('',(0.E0,0.E0,1.E0)); +#19323=DIRECTION('',(1.E0,0.E0,0.E0)); +#19324=AXIS2_PLACEMENT_3D('',#19321,#19322,#19323); +#19325=PLANE('',#19324); +#19327=ORIENTED_EDGE('',*,*,#19326,.F.); +#19329=ORIENTED_EDGE('',*,*,#19328,.F.); +#19330=EDGE_LOOP('',(#19327,#19329)); +#19331=FACE_OUTER_BOUND('',#19330,.F.); +#19333=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19334=DIRECTION('',(0.E0,0.E0,1.E0)); +#19335=DIRECTION('',(1.E0,0.E0,0.E0)); +#19336=AXIS2_PLACEMENT_3D('',#19333,#19334,#19335); +#19337=PLANE('',#19336); +#19339=ORIENTED_EDGE('',*,*,#19338,.F.); +#19341=ORIENTED_EDGE('',*,*,#19340,.F.); +#19342=EDGE_LOOP('',(#19339,#19341)); +#19343=FACE_OUTER_BOUND('',#19342,.F.); +#19345=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19346=DIRECTION('',(0.E0,0.E0,1.E0)); +#19347=DIRECTION('',(1.E0,0.E0,0.E0)); +#19348=AXIS2_PLACEMENT_3D('',#19345,#19346,#19347); +#19349=PLANE('',#19348); +#19351=ORIENTED_EDGE('',*,*,#19350,.F.); +#19353=ORIENTED_EDGE('',*,*,#19352,.F.); +#19354=EDGE_LOOP('',(#19351,#19353)); +#19355=FACE_OUTER_BOUND('',#19354,.F.); +#19357=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19358=DIRECTION('',(0.E0,0.E0,1.E0)); +#19359=DIRECTION('',(1.E0,0.E0,0.E0)); +#19360=AXIS2_PLACEMENT_3D('',#19357,#19358,#19359); +#19361=PLANE('',#19360); +#19363=ORIENTED_EDGE('',*,*,#19362,.F.); +#19365=ORIENTED_EDGE('',*,*,#19364,.F.); +#19366=EDGE_LOOP('',(#19363,#19365)); +#19367=FACE_OUTER_BOUND('',#19366,.F.); +#19369=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19370=DIRECTION('',(0.E0,0.E0,1.E0)); +#19371=DIRECTION('',(1.E0,0.E0,0.E0)); +#19372=AXIS2_PLACEMENT_3D('',#19369,#19370,#19371); +#19373=PLANE('',#19372); +#19375=ORIENTED_EDGE('',*,*,#19374,.F.); +#19377=ORIENTED_EDGE('',*,*,#19376,.F.); +#19378=EDGE_LOOP('',(#19375,#19377)); +#19379=FACE_OUTER_BOUND('',#19378,.F.); +#19381=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19382=DIRECTION('',(0.E0,0.E0,1.E0)); +#19383=DIRECTION('',(1.E0,0.E0,0.E0)); +#19384=AXIS2_PLACEMENT_3D('',#19381,#19382,#19383); +#19385=PLANE('',#19384); +#19387=ORIENTED_EDGE('',*,*,#19386,.F.); +#19389=ORIENTED_EDGE('',*,*,#19388,.F.); +#19390=EDGE_LOOP('',(#19387,#19389)); +#19391=FACE_OUTER_BOUND('',#19390,.F.); +#19393=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19394=DIRECTION('',(0.E0,0.E0,1.E0)); +#19395=DIRECTION('',(1.E0,0.E0,0.E0)); +#19396=AXIS2_PLACEMENT_3D('',#19393,#19394,#19395); +#19397=PLANE('',#19396); +#19399=ORIENTED_EDGE('',*,*,#19398,.F.); +#19401=ORIENTED_EDGE('',*,*,#19400,.F.); +#19402=EDGE_LOOP('',(#19399,#19401)); +#19403=FACE_OUTER_BOUND('',#19402,.F.); +#19405=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19406=DIRECTION('',(0.E0,0.E0,1.E0)); +#19407=DIRECTION('',(1.E0,0.E0,0.E0)); +#19408=AXIS2_PLACEMENT_3D('',#19405,#19406,#19407); +#19409=PLANE('',#19408); +#19411=ORIENTED_EDGE('',*,*,#19410,.F.); +#19413=ORIENTED_EDGE('',*,*,#19412,.F.); +#19414=EDGE_LOOP('',(#19411,#19413)); +#19415=FACE_OUTER_BOUND('',#19414,.F.); +#19417=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19418=DIRECTION('',(0.E0,0.E0,1.E0)); +#19419=DIRECTION('',(1.E0,0.E0,0.E0)); +#19420=AXIS2_PLACEMENT_3D('',#19417,#19418,#19419); +#19421=PLANE('',#19420); +#19423=ORIENTED_EDGE('',*,*,#19422,.F.); +#19425=ORIENTED_EDGE('',*,*,#19424,.F.); +#19426=EDGE_LOOP('',(#19423,#19425)); +#19427=FACE_OUTER_BOUND('',#19426,.F.); +#19429=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19430=DIRECTION('',(0.E0,0.E0,1.E0)); +#19431=DIRECTION('',(1.E0,0.E0,0.E0)); +#19432=AXIS2_PLACEMENT_3D('',#19429,#19430,#19431); +#19433=PLANE('',#19432); +#19435=ORIENTED_EDGE('',*,*,#19434,.F.); +#19437=ORIENTED_EDGE('',*,*,#19436,.F.); +#19438=EDGE_LOOP('',(#19435,#19437)); +#19439=FACE_OUTER_BOUND('',#19438,.F.); +#19441=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19442=DIRECTION('',(0.E0,0.E0,1.E0)); +#19443=DIRECTION('',(1.E0,0.E0,0.E0)); +#19444=AXIS2_PLACEMENT_3D('',#19441,#19442,#19443); +#19445=PLANE('',#19444); +#19447=ORIENTED_EDGE('',*,*,#19446,.F.); +#19449=ORIENTED_EDGE('',*,*,#19448,.F.); +#19450=EDGE_LOOP('',(#19447,#19449)); +#19451=FACE_OUTER_BOUND('',#19450,.F.); +#19453=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19454=DIRECTION('',(0.E0,0.E0,1.E0)); +#19455=DIRECTION('',(1.E0,0.E0,0.E0)); +#19456=AXIS2_PLACEMENT_3D('',#19453,#19454,#19455); +#19457=PLANE('',#19456); +#19459=ORIENTED_EDGE('',*,*,#19458,.F.); +#19461=ORIENTED_EDGE('',*,*,#19460,.F.); +#19462=EDGE_LOOP('',(#19459,#19461)); +#19463=FACE_OUTER_BOUND('',#19462,.F.); +#19465=CARTESIAN_POINT('',(0.E0,0.E0,-1.2475E1)); +#19466=DIRECTION('',(0.E0,0.E0,1.E0)); +#19467=DIRECTION('',(1.E0,0.E0,0.E0)); +#19468=AXIS2_PLACEMENT_3D('',#19465,#19466,#19467); +#19469=PLANE('',#19468); +#19471=ORIENTED_EDGE('',*,*,#19470,.F.); +#19473=ORIENTED_EDGE('',*,*,#19472,.F.); +#19474=EDGE_LOOP('',(#19471,#19473)); +#19475=FACE_OUTER_BOUND('',#19474,.F.); +#19477=CARTESIAN_POINT('',(-1.2E1,1.077689183250E-14,-2.E1)); +#19478=DIRECTION('',(0.E0,0.E0,1.E0)); +#19479=DIRECTION('',(1.E0,0.E0,0.E0)); +#19480=AXIS2_PLACEMENT_3D('',#19477,#19478,#19479); +#19481=CYLINDRICAL_SURFACE('',#19480,6.5E-1); +#19482=ORIENTED_EDGE('',*,*,#13982,.F.); +#19484=ORIENTED_EDGE('',*,*,#19483,.T.); +#19485=ORIENTED_EDGE('',*,*,#19218,.T.); +#19487=ORIENTED_EDGE('',*,*,#19486,.F.); +#19488=EDGE_LOOP('',(#19482,#19484,#19485,#19487)); +#19489=FACE_OUTER_BOUND('',#19488,.F.); +#19491=CARTESIAN_POINT('',(-1.2E1,1.077689183250E-14,-2.E1)); +#19492=DIRECTION('',(0.E0,0.E0,1.E0)); +#19493=DIRECTION('',(1.E0,0.E0,0.E0)); +#19494=AXIS2_PLACEMENT_3D('',#19491,#19492,#19493); +#19495=CYLINDRICAL_SURFACE('',#19494,6.5E-1); +#19496=ORIENTED_EDGE('',*,*,#13984,.F.); +#19497=ORIENTED_EDGE('',*,*,#19486,.T.); +#19498=ORIENTED_EDGE('',*,*,#19220,.T.); +#19499=ORIENTED_EDGE('',*,*,#19483,.F.); +#19500=EDGE_LOOP('',(#19496,#19497,#19498,#19499)); +#19501=FACE_OUTER_BOUND('',#19500,.F.); +#19503=CARTESIAN_POINT('',(-1.4E1,-4.000000000001E0,-2.E1)); +#19504=DIRECTION('',(0.E0,0.E0,1.E0)); +#19505=DIRECTION('',(1.E0,0.E0,0.E0)); +#19506=AXIS2_PLACEMENT_3D('',#19503,#19504,#19505); +#19507=CYLINDRICAL_SURFACE('',#19506,6.5E-1); +#19508=ORIENTED_EDGE('',*,*,#13988,.F.); +#19510=ORIENTED_EDGE('',*,*,#19509,.T.); +#19511=ORIENTED_EDGE('',*,*,#19230,.T.); +#19513=ORIENTED_EDGE('',*,*,#19512,.F.); +#19514=EDGE_LOOP('',(#19508,#19510,#19511,#19513)); +#19515=FACE_OUTER_BOUND('',#19514,.F.); +#19517=CARTESIAN_POINT('',(-1.4E1,-4.000000000001E0,-2.E1)); +#19518=DIRECTION('',(0.E0,0.E0,1.E0)); +#19519=DIRECTION('',(1.E0,0.E0,0.E0)); +#19520=AXIS2_PLACEMENT_3D('',#19517,#19518,#19519); +#19521=CYLINDRICAL_SURFACE('',#19520,6.5E-1); +#19522=ORIENTED_EDGE('',*,*,#13990,.F.); +#19523=ORIENTED_EDGE('',*,*,#19512,.T.); +#19524=ORIENTED_EDGE('',*,*,#19232,.T.); +#19525=ORIENTED_EDGE('',*,*,#19509,.F.); +#19526=EDGE_LOOP('',(#19522,#19523,#19524,#19525)); +#19527=FACE_OUTER_BOUND('',#19526,.F.); +#19529=CARTESIAN_POINT('',(-1.E1,-4.000000000001E0,-2.E1)); +#19530=DIRECTION('',(0.E0,0.E0,1.E0)); +#19531=DIRECTION('',(1.E0,0.E0,0.E0)); +#19532=AXIS2_PLACEMENT_3D('',#19529,#19530,#19531); +#19533=CYLINDRICAL_SURFACE('',#19532,6.5E-1); +#19534=ORIENTED_EDGE('',*,*,#13994,.F.); +#19536=ORIENTED_EDGE('',*,*,#19535,.T.); +#19537=ORIENTED_EDGE('',*,*,#19242,.T.); +#19539=ORIENTED_EDGE('',*,*,#19538,.F.); +#19540=EDGE_LOOP('',(#19534,#19536,#19537,#19539)); +#19541=FACE_OUTER_BOUND('',#19540,.F.); +#19543=CARTESIAN_POINT('',(-1.E1,-4.000000000001E0,-2.E1)); +#19544=DIRECTION('',(0.E0,0.E0,1.E0)); +#19545=DIRECTION('',(1.E0,0.E0,0.E0)); +#19546=AXIS2_PLACEMENT_3D('',#19543,#19544,#19545); +#19547=CYLINDRICAL_SURFACE('',#19546,6.5E-1); +#19548=ORIENTED_EDGE('',*,*,#13996,.F.); +#19549=ORIENTED_EDGE('',*,*,#19538,.T.); +#19550=ORIENTED_EDGE('',*,*,#19244,.T.); +#19551=ORIENTED_EDGE('',*,*,#19535,.F.); +#19552=EDGE_LOOP('',(#19548,#19549,#19550,#19551)); +#19553=FACE_OUTER_BOUND('',#19552,.F.); +#19555=CARTESIAN_POINT('',(-8.E0,1.126675055216E-14,-2.E1)); +#19556=DIRECTION('',(0.E0,0.E0,1.E0)); +#19557=DIRECTION('',(1.E0,0.E0,0.E0)); +#19558=AXIS2_PLACEMENT_3D('',#19555,#19556,#19557); +#19559=CYLINDRICAL_SURFACE('',#19558,6.5E-1); +#19560=ORIENTED_EDGE('',*,*,#14000,.F.); +#19562=ORIENTED_EDGE('',*,*,#19561,.T.); +#19563=ORIENTED_EDGE('',*,*,#19254,.T.); +#19565=ORIENTED_EDGE('',*,*,#19564,.F.); +#19566=EDGE_LOOP('',(#19560,#19562,#19563,#19565)); +#19567=FACE_OUTER_BOUND('',#19566,.F.); +#19569=CARTESIAN_POINT('',(-8.E0,1.126675055216E-14,-2.E1)); +#19570=DIRECTION('',(0.E0,0.E0,1.E0)); +#19571=DIRECTION('',(1.E0,0.E0,0.E0)); +#19572=AXIS2_PLACEMENT_3D('',#19569,#19570,#19571); +#19573=CYLINDRICAL_SURFACE('',#19572,6.5E-1); +#19574=ORIENTED_EDGE('',*,*,#14002,.F.); +#19575=ORIENTED_EDGE('',*,*,#19564,.T.); +#19576=ORIENTED_EDGE('',*,*,#19256,.T.); +#19577=ORIENTED_EDGE('',*,*,#19561,.F.); +#19578=EDGE_LOOP('',(#19574,#19575,#19576,#19577)); +#19579=FACE_OUTER_BOUND('',#19578,.F.); +#19581=CARTESIAN_POINT('',(-1.E1,4.000000000001E0,-2.E1)); +#19582=DIRECTION('',(0.E0,0.E0,1.E0)); +#19583=DIRECTION('',(1.E0,0.E0,0.E0)); +#19584=AXIS2_PLACEMENT_3D('',#19581,#19582,#19583); +#19585=CYLINDRICAL_SURFACE('',#19584,6.5E-1); +#19586=ORIENTED_EDGE('',*,*,#14006,.F.); +#19588=ORIENTED_EDGE('',*,*,#19587,.T.); +#19589=ORIENTED_EDGE('',*,*,#19266,.T.); +#19591=ORIENTED_EDGE('',*,*,#19590,.F.); +#19592=EDGE_LOOP('',(#19586,#19588,#19589,#19591)); +#19593=FACE_OUTER_BOUND('',#19592,.F.); +#19595=CARTESIAN_POINT('',(-1.E1,4.000000000001E0,-2.E1)); +#19596=DIRECTION('',(0.E0,0.E0,1.E0)); +#19597=DIRECTION('',(1.E0,0.E0,0.E0)); +#19598=AXIS2_PLACEMENT_3D('',#19595,#19596,#19597); +#19599=CYLINDRICAL_SURFACE('',#19598,6.5E-1); +#19600=ORIENTED_EDGE('',*,*,#14008,.F.); +#19601=ORIENTED_EDGE('',*,*,#19590,.T.); +#19602=ORIENTED_EDGE('',*,*,#19268,.T.); +#19603=ORIENTED_EDGE('',*,*,#19587,.F.); +#19604=EDGE_LOOP('',(#19600,#19601,#19602,#19603)); +#19605=FACE_OUTER_BOUND('',#19604,.F.); +#19607=CARTESIAN_POINT('',(-6.E0,4.000000000001E0,-2.E1)); +#19608=DIRECTION('',(0.E0,0.E0,1.E0)); +#19609=DIRECTION('',(1.E0,0.E0,0.E0)); +#19610=AXIS2_PLACEMENT_3D('',#19607,#19608,#19609); +#19611=CYLINDRICAL_SURFACE('',#19610,6.5E-1); +#19612=ORIENTED_EDGE('',*,*,#14012,.F.); +#19614=ORIENTED_EDGE('',*,*,#19613,.T.); +#19615=ORIENTED_EDGE('',*,*,#19278,.T.); +#19617=ORIENTED_EDGE('',*,*,#19616,.F.); +#19618=EDGE_LOOP('',(#19612,#19614,#19615,#19617)); +#19619=FACE_OUTER_BOUND('',#19618,.F.); +#19621=CARTESIAN_POINT('',(-6.E0,4.000000000001E0,-2.E1)); +#19622=DIRECTION('',(0.E0,0.E0,1.E0)); +#19623=DIRECTION('',(1.E0,0.E0,0.E0)); +#19624=AXIS2_PLACEMENT_3D('',#19621,#19622,#19623); +#19625=CYLINDRICAL_SURFACE('',#19624,6.5E-1); +#19626=ORIENTED_EDGE('',*,*,#14014,.F.); +#19627=ORIENTED_EDGE('',*,*,#19616,.T.); +#19628=ORIENTED_EDGE('',*,*,#19280,.T.); +#19629=ORIENTED_EDGE('',*,*,#19613,.F.); +#19630=EDGE_LOOP('',(#19626,#19627,#19628,#19629)); +#19631=FACE_OUTER_BOUND('',#19630,.F.); +#19633=CARTESIAN_POINT('',(-4.E0,1.175660927181E-14,-2.E1)); +#19634=DIRECTION('',(0.E0,0.E0,1.E0)); +#19635=DIRECTION('',(1.E0,0.E0,0.E0)); +#19636=AXIS2_PLACEMENT_3D('',#19633,#19634,#19635); +#19637=CYLINDRICAL_SURFACE('',#19636,6.5E-1); +#19638=ORIENTED_EDGE('',*,*,#14018,.F.); +#19640=ORIENTED_EDGE('',*,*,#19639,.T.); +#19641=ORIENTED_EDGE('',*,*,#19290,.T.); +#19643=ORIENTED_EDGE('',*,*,#19642,.F.); +#19644=EDGE_LOOP('',(#19638,#19640,#19641,#19643)); +#19645=FACE_OUTER_BOUND('',#19644,.F.); +#19647=CARTESIAN_POINT('',(-4.E0,1.175660927181E-14,-2.E1)); +#19648=DIRECTION('',(0.E0,0.E0,1.E0)); +#19649=DIRECTION('',(1.E0,0.E0,0.E0)); +#19650=AXIS2_PLACEMENT_3D('',#19647,#19648,#19649); +#19651=CYLINDRICAL_SURFACE('',#19650,6.5E-1); +#19652=ORIENTED_EDGE('',*,*,#14020,.F.); +#19653=ORIENTED_EDGE('',*,*,#19642,.T.); +#19654=ORIENTED_EDGE('',*,*,#19292,.T.); +#19655=ORIENTED_EDGE('',*,*,#19639,.F.); +#19656=EDGE_LOOP('',(#19652,#19653,#19654,#19655)); +#19657=FACE_OUTER_BOUND('',#19656,.F.); +#19659=CARTESIAN_POINT('',(-6.E0,-4.000000000001E0,-2.E1)); +#19660=DIRECTION('',(0.E0,0.E0,1.E0)); +#19661=DIRECTION('',(1.E0,0.E0,0.E0)); +#19662=AXIS2_PLACEMENT_3D('',#19659,#19660,#19661); +#19663=CYLINDRICAL_SURFACE('',#19662,6.5E-1); +#19664=ORIENTED_EDGE('',*,*,#14024,.F.); +#19666=ORIENTED_EDGE('',*,*,#19665,.T.); +#19667=ORIENTED_EDGE('',*,*,#19302,.T.); +#19669=ORIENTED_EDGE('',*,*,#19668,.F.); +#19670=EDGE_LOOP('',(#19664,#19666,#19667,#19669)); +#19671=FACE_OUTER_BOUND('',#19670,.F.); +#19673=CARTESIAN_POINT('',(-6.E0,-4.000000000001E0,-2.E1)); +#19674=DIRECTION('',(0.E0,0.E0,1.E0)); +#19675=DIRECTION('',(1.E0,0.E0,0.E0)); +#19676=AXIS2_PLACEMENT_3D('',#19673,#19674,#19675); +#19677=CYLINDRICAL_SURFACE('',#19676,6.5E-1); +#19678=ORIENTED_EDGE('',*,*,#14026,.F.); +#19679=ORIENTED_EDGE('',*,*,#19668,.T.); +#19680=ORIENTED_EDGE('',*,*,#19304,.T.); +#19681=ORIENTED_EDGE('',*,*,#19665,.F.); +#19682=EDGE_LOOP('',(#19678,#19679,#19680,#19681)); +#19683=FACE_OUTER_BOUND('',#19682,.F.); +#19685=CARTESIAN_POINT('',(-2.E0,-4.000000000001E0,-2.E1)); +#19686=DIRECTION('',(0.E0,0.E0,1.E0)); +#19687=DIRECTION('',(1.E0,0.E0,0.E0)); +#19688=AXIS2_PLACEMENT_3D('',#19685,#19686,#19687); +#19689=CYLINDRICAL_SURFACE('',#19688,6.5E-1); +#19690=ORIENTED_EDGE('',*,*,#14030,.F.); +#19692=ORIENTED_EDGE('',*,*,#19691,.T.); +#19693=ORIENTED_EDGE('',*,*,#19314,.T.); +#19695=ORIENTED_EDGE('',*,*,#19694,.F.); +#19696=EDGE_LOOP('',(#19690,#19692,#19693,#19695)); +#19697=FACE_OUTER_BOUND('',#19696,.F.); +#19699=CARTESIAN_POINT('',(-2.E0,-4.000000000001E0,-2.E1)); +#19700=DIRECTION('',(0.E0,0.E0,1.E0)); +#19701=DIRECTION('',(1.E0,0.E0,0.E0)); +#19702=AXIS2_PLACEMENT_3D('',#19699,#19700,#19701); +#19703=CYLINDRICAL_SURFACE('',#19702,6.5E-1); +#19704=ORIENTED_EDGE('',*,*,#14032,.F.); +#19705=ORIENTED_EDGE('',*,*,#19694,.T.); +#19706=ORIENTED_EDGE('',*,*,#19316,.T.); +#19707=ORIENTED_EDGE('',*,*,#19691,.F.); +#19708=EDGE_LOOP('',(#19704,#19705,#19706,#19707)); +#19709=FACE_OUTER_BOUND('',#19708,.F.); +#19711=CARTESIAN_POINT('',(0.E0,1.224646799147E-14,-2.E1)); +#19712=DIRECTION('',(0.E0,0.E0,1.E0)); +#19713=DIRECTION('',(1.E0,0.E0,0.E0)); +#19714=AXIS2_PLACEMENT_3D('',#19711,#19712,#19713); +#19715=CYLINDRICAL_SURFACE('',#19714,6.5E-1); +#19716=ORIENTED_EDGE('',*,*,#14036,.F.); +#19718=ORIENTED_EDGE('',*,*,#19717,.T.); +#19719=ORIENTED_EDGE('',*,*,#19326,.T.); +#19721=ORIENTED_EDGE('',*,*,#19720,.F.); +#19722=EDGE_LOOP('',(#19716,#19718,#19719,#19721)); +#19723=FACE_OUTER_BOUND('',#19722,.F.); +#19725=CARTESIAN_POINT('',(0.E0,1.224646799147E-14,-2.E1)); +#19726=DIRECTION('',(0.E0,0.E0,1.E0)); +#19727=DIRECTION('',(1.E0,0.E0,0.E0)); +#19728=AXIS2_PLACEMENT_3D('',#19725,#19726,#19727); +#19729=CYLINDRICAL_SURFACE('',#19728,6.5E-1); +#19730=ORIENTED_EDGE('',*,*,#14038,.F.); +#19731=ORIENTED_EDGE('',*,*,#19720,.T.); +#19732=ORIENTED_EDGE('',*,*,#19328,.T.); +#19733=ORIENTED_EDGE('',*,*,#19717,.F.); +#19734=EDGE_LOOP('',(#19730,#19731,#19732,#19733)); +#19735=FACE_OUTER_BOUND('',#19734,.F.); +#19737=CARTESIAN_POINT('',(-2.E0,4.000000000001E0,-2.E1)); +#19738=DIRECTION('',(0.E0,0.E0,1.E0)); +#19739=DIRECTION('',(1.E0,0.E0,0.E0)); +#19740=AXIS2_PLACEMENT_3D('',#19737,#19738,#19739); +#19741=CYLINDRICAL_SURFACE('',#19740,6.5E-1); +#19742=ORIENTED_EDGE('',*,*,#14042,.F.); +#19744=ORIENTED_EDGE('',*,*,#19743,.T.); +#19745=ORIENTED_EDGE('',*,*,#19338,.T.); +#19747=ORIENTED_EDGE('',*,*,#19746,.F.); +#19748=EDGE_LOOP('',(#19742,#19744,#19745,#19747)); +#19749=FACE_OUTER_BOUND('',#19748,.F.); +#19751=CARTESIAN_POINT('',(-2.E0,4.000000000001E0,-2.E1)); +#19752=DIRECTION('',(0.E0,0.E0,1.E0)); +#19753=DIRECTION('',(1.E0,0.E0,0.E0)); +#19754=AXIS2_PLACEMENT_3D('',#19751,#19752,#19753); +#19755=CYLINDRICAL_SURFACE('',#19754,6.5E-1); +#19756=ORIENTED_EDGE('',*,*,#14044,.F.); +#19757=ORIENTED_EDGE('',*,*,#19746,.T.); +#19758=ORIENTED_EDGE('',*,*,#19340,.T.); +#19759=ORIENTED_EDGE('',*,*,#19743,.F.); +#19760=EDGE_LOOP('',(#19756,#19757,#19758,#19759)); +#19761=FACE_OUTER_BOUND('',#19760,.F.); +#19763=CARTESIAN_POINT('',(2.E0,4.000000000001E0,-2.E1)); +#19764=DIRECTION('',(0.E0,0.E0,1.E0)); +#19765=DIRECTION('',(1.E0,0.E0,0.E0)); +#19766=AXIS2_PLACEMENT_3D('',#19763,#19764,#19765); +#19767=CYLINDRICAL_SURFACE('',#19766,6.5E-1); +#19768=ORIENTED_EDGE('',*,*,#14048,.F.); +#19770=ORIENTED_EDGE('',*,*,#19769,.T.); +#19771=ORIENTED_EDGE('',*,*,#19350,.T.); +#19773=ORIENTED_EDGE('',*,*,#19772,.F.); +#19774=EDGE_LOOP('',(#19768,#19770,#19771,#19773)); +#19775=FACE_OUTER_BOUND('',#19774,.F.); +#19777=CARTESIAN_POINT('',(2.E0,4.000000000001E0,-2.E1)); +#19778=DIRECTION('',(0.E0,0.E0,1.E0)); +#19779=DIRECTION('',(1.E0,0.E0,0.E0)); +#19780=AXIS2_PLACEMENT_3D('',#19777,#19778,#19779); +#19781=CYLINDRICAL_SURFACE('',#19780,6.5E-1); +#19782=ORIENTED_EDGE('',*,*,#14050,.F.); +#19783=ORIENTED_EDGE('',*,*,#19772,.T.); +#19784=ORIENTED_EDGE('',*,*,#19352,.T.); +#19785=ORIENTED_EDGE('',*,*,#19769,.F.); +#19786=EDGE_LOOP('',(#19782,#19783,#19784,#19785)); +#19787=FACE_OUTER_BOUND('',#19786,.F.); +#19789=CARTESIAN_POINT('',(4.E0,1.175660927181E-14,-2.E1)); +#19790=DIRECTION('',(0.E0,0.E0,1.E0)); +#19791=DIRECTION('',(1.E0,0.E0,0.E0)); +#19792=AXIS2_PLACEMENT_3D('',#19789,#19790,#19791); +#19793=CYLINDRICAL_SURFACE('',#19792,6.5E-1); +#19794=ORIENTED_EDGE('',*,*,#14054,.F.); +#19796=ORIENTED_EDGE('',*,*,#19795,.T.); +#19797=ORIENTED_EDGE('',*,*,#19362,.T.); +#19799=ORIENTED_EDGE('',*,*,#19798,.F.); +#19800=EDGE_LOOP('',(#19794,#19796,#19797,#19799)); +#19801=FACE_OUTER_BOUND('',#19800,.F.); +#19803=CARTESIAN_POINT('',(4.E0,1.175660927181E-14,-2.E1)); +#19804=DIRECTION('',(0.E0,0.E0,1.E0)); +#19805=DIRECTION('',(1.E0,0.E0,0.E0)); +#19806=AXIS2_PLACEMENT_3D('',#19803,#19804,#19805); +#19807=CYLINDRICAL_SURFACE('',#19806,6.5E-1); +#19808=ORIENTED_EDGE('',*,*,#14056,.F.); +#19809=ORIENTED_EDGE('',*,*,#19798,.T.); +#19810=ORIENTED_EDGE('',*,*,#19364,.T.); +#19811=ORIENTED_EDGE('',*,*,#19795,.F.); +#19812=EDGE_LOOP('',(#19808,#19809,#19810,#19811)); +#19813=FACE_OUTER_BOUND('',#19812,.F.); +#19815=CARTESIAN_POINT('',(2.E0,-4.000000000001E0,-2.E1)); +#19816=DIRECTION('',(0.E0,0.E0,1.E0)); +#19817=DIRECTION('',(1.E0,0.E0,0.E0)); +#19818=AXIS2_PLACEMENT_3D('',#19815,#19816,#19817); +#19819=CYLINDRICAL_SURFACE('',#19818,6.5E-1); +#19820=ORIENTED_EDGE('',*,*,#14060,.F.); +#19822=ORIENTED_EDGE('',*,*,#19821,.T.); +#19823=ORIENTED_EDGE('',*,*,#19374,.T.); +#19825=ORIENTED_EDGE('',*,*,#19824,.F.); +#19826=EDGE_LOOP('',(#19820,#19822,#19823,#19825)); +#19827=FACE_OUTER_BOUND('',#19826,.F.); +#19829=CARTESIAN_POINT('',(2.E0,-4.000000000001E0,-2.E1)); +#19830=DIRECTION('',(0.E0,0.E0,1.E0)); +#19831=DIRECTION('',(1.E0,0.E0,0.E0)); +#19832=AXIS2_PLACEMENT_3D('',#19829,#19830,#19831); +#19833=CYLINDRICAL_SURFACE('',#19832,6.5E-1); +#19834=ORIENTED_EDGE('',*,*,#14062,.F.); +#19835=ORIENTED_EDGE('',*,*,#19824,.T.); +#19836=ORIENTED_EDGE('',*,*,#19376,.T.); +#19837=ORIENTED_EDGE('',*,*,#19821,.F.); +#19838=EDGE_LOOP('',(#19834,#19835,#19836,#19837)); +#19839=FACE_OUTER_BOUND('',#19838,.F.); +#19841=CARTESIAN_POINT('',(6.E0,-4.000000000001E0,-2.E1)); +#19842=DIRECTION('',(0.E0,0.E0,1.E0)); +#19843=DIRECTION('',(1.E0,0.E0,0.E0)); +#19844=AXIS2_PLACEMENT_3D('',#19841,#19842,#19843); +#19845=CYLINDRICAL_SURFACE('',#19844,6.5E-1); +#19846=ORIENTED_EDGE('',*,*,#14066,.F.); +#19848=ORIENTED_EDGE('',*,*,#19847,.T.); +#19849=ORIENTED_EDGE('',*,*,#19386,.T.); +#19851=ORIENTED_EDGE('',*,*,#19850,.F.); +#19852=EDGE_LOOP('',(#19846,#19848,#19849,#19851)); +#19853=FACE_OUTER_BOUND('',#19852,.F.); +#19855=CARTESIAN_POINT('',(6.E0,-4.000000000001E0,-2.E1)); +#19856=DIRECTION('',(0.E0,0.E0,1.E0)); +#19857=DIRECTION('',(1.E0,0.E0,0.E0)); +#19858=AXIS2_PLACEMENT_3D('',#19855,#19856,#19857); +#19859=CYLINDRICAL_SURFACE('',#19858,6.5E-1); +#19860=ORIENTED_EDGE('',*,*,#14068,.F.); +#19861=ORIENTED_EDGE('',*,*,#19850,.T.); +#19862=ORIENTED_EDGE('',*,*,#19388,.T.); +#19863=ORIENTED_EDGE('',*,*,#19847,.F.); +#19864=EDGE_LOOP('',(#19860,#19861,#19862,#19863)); +#19865=FACE_OUTER_BOUND('',#19864,.F.); +#19867=CARTESIAN_POINT('',(8.E0,1.126675055216E-14,-2.E1)); +#19868=DIRECTION('',(0.E0,0.E0,1.E0)); +#19869=DIRECTION('',(1.E0,0.E0,0.E0)); +#19870=AXIS2_PLACEMENT_3D('',#19867,#19868,#19869); +#19871=CYLINDRICAL_SURFACE('',#19870,6.5E-1); +#19872=ORIENTED_EDGE('',*,*,#14072,.F.); +#19874=ORIENTED_EDGE('',*,*,#19873,.T.); +#19875=ORIENTED_EDGE('',*,*,#19398,.T.); +#19877=ORIENTED_EDGE('',*,*,#19876,.F.); +#19878=EDGE_LOOP('',(#19872,#19874,#19875,#19877)); +#19879=FACE_OUTER_BOUND('',#19878,.F.); +#19881=CARTESIAN_POINT('',(8.E0,1.126675055216E-14,-2.E1)); +#19882=DIRECTION('',(0.E0,0.E0,1.E0)); +#19883=DIRECTION('',(1.E0,0.E0,0.E0)); +#19884=AXIS2_PLACEMENT_3D('',#19881,#19882,#19883); +#19885=CYLINDRICAL_SURFACE('',#19884,6.5E-1); +#19886=ORIENTED_EDGE('',*,*,#14074,.F.); +#19887=ORIENTED_EDGE('',*,*,#19876,.T.); +#19888=ORIENTED_EDGE('',*,*,#19400,.T.); +#19889=ORIENTED_EDGE('',*,*,#19873,.F.); +#19890=EDGE_LOOP('',(#19886,#19887,#19888,#19889)); +#19891=FACE_OUTER_BOUND('',#19890,.F.); +#19893=CARTESIAN_POINT('',(6.E0,4.000000000001E0,-2.E1)); +#19894=DIRECTION('',(0.E0,0.E0,1.E0)); +#19895=DIRECTION('',(1.E0,0.E0,0.E0)); +#19896=AXIS2_PLACEMENT_3D('',#19893,#19894,#19895); +#19897=CYLINDRICAL_SURFACE('',#19896,6.5E-1); +#19898=ORIENTED_EDGE('',*,*,#14078,.F.); +#19900=ORIENTED_EDGE('',*,*,#19899,.T.); +#19901=ORIENTED_EDGE('',*,*,#19410,.T.); +#19903=ORIENTED_EDGE('',*,*,#19902,.F.); +#19904=EDGE_LOOP('',(#19898,#19900,#19901,#19903)); +#19905=FACE_OUTER_BOUND('',#19904,.F.); +#19907=CARTESIAN_POINT('',(6.E0,4.000000000001E0,-2.E1)); +#19908=DIRECTION('',(0.E0,0.E0,1.E0)); +#19909=DIRECTION('',(1.E0,0.E0,0.E0)); +#19910=AXIS2_PLACEMENT_3D('',#19907,#19908,#19909); +#19911=CYLINDRICAL_SURFACE('',#19910,6.5E-1); +#19912=ORIENTED_EDGE('',*,*,#14080,.F.); +#19913=ORIENTED_EDGE('',*,*,#19902,.T.); +#19914=ORIENTED_EDGE('',*,*,#19412,.T.); +#19915=ORIENTED_EDGE('',*,*,#19899,.F.); +#19916=EDGE_LOOP('',(#19912,#19913,#19914,#19915)); +#19917=FACE_OUTER_BOUND('',#19916,.F.); +#19919=CARTESIAN_POINT('',(1.E1,4.000000000001E0,-2.E1)); +#19920=DIRECTION('',(0.E0,0.E0,1.E0)); +#19921=DIRECTION('',(1.E0,0.E0,0.E0)); +#19922=AXIS2_PLACEMENT_3D('',#19919,#19920,#19921); +#19923=CYLINDRICAL_SURFACE('',#19922,6.5E-1); +#19924=ORIENTED_EDGE('',*,*,#14084,.F.); +#19926=ORIENTED_EDGE('',*,*,#19925,.T.); +#19927=ORIENTED_EDGE('',*,*,#19422,.T.); +#19929=ORIENTED_EDGE('',*,*,#19928,.F.); +#19930=EDGE_LOOP('',(#19924,#19926,#19927,#19929)); +#19931=FACE_OUTER_BOUND('',#19930,.F.); +#19933=CARTESIAN_POINT('',(1.E1,4.000000000001E0,-2.E1)); +#19934=DIRECTION('',(0.E0,0.E0,1.E0)); +#19935=DIRECTION('',(1.E0,0.E0,0.E0)); +#19936=AXIS2_PLACEMENT_3D('',#19933,#19934,#19935); +#19937=CYLINDRICAL_SURFACE('',#19936,6.5E-1); +#19938=ORIENTED_EDGE('',*,*,#14086,.F.); +#19939=ORIENTED_EDGE('',*,*,#19928,.T.); +#19940=ORIENTED_EDGE('',*,*,#19424,.T.); +#19941=ORIENTED_EDGE('',*,*,#19925,.F.); +#19942=EDGE_LOOP('',(#19938,#19939,#19940,#19941)); +#19943=FACE_OUTER_BOUND('',#19942,.F.); +#19945=CARTESIAN_POINT('',(1.4E1,4.000000000001E0,-2.E1)); +#19946=DIRECTION('',(0.E0,0.E0,1.E0)); +#19947=DIRECTION('',(1.E0,0.E0,0.E0)); +#19948=AXIS2_PLACEMENT_3D('',#19945,#19946,#19947); +#19949=CYLINDRICAL_SURFACE('',#19948,6.5E-1); +#19950=ORIENTED_EDGE('',*,*,#14090,.F.); +#19952=ORIENTED_EDGE('',*,*,#19951,.T.); +#19953=ORIENTED_EDGE('',*,*,#19434,.T.); +#19955=ORIENTED_EDGE('',*,*,#19954,.F.); +#19956=EDGE_LOOP('',(#19950,#19952,#19953,#19955)); +#19957=FACE_OUTER_BOUND('',#19956,.F.); +#19959=CARTESIAN_POINT('',(1.4E1,4.000000000001E0,-2.E1)); +#19960=DIRECTION('',(0.E0,0.E0,1.E0)); +#19961=DIRECTION('',(1.E0,0.E0,0.E0)); +#19962=AXIS2_PLACEMENT_3D('',#19959,#19960,#19961); +#19963=CYLINDRICAL_SURFACE('',#19962,6.5E-1); +#19964=ORIENTED_EDGE('',*,*,#14092,.F.); +#19965=ORIENTED_EDGE('',*,*,#19954,.T.); +#19966=ORIENTED_EDGE('',*,*,#19436,.T.); +#19967=ORIENTED_EDGE('',*,*,#19951,.F.); +#19968=EDGE_LOOP('',(#19964,#19965,#19966,#19967)); +#19969=FACE_OUTER_BOUND('',#19968,.F.); +#19971=CARTESIAN_POINT('',(1.2E1,1.077689183250E-14,-2.E1)); +#19972=DIRECTION('',(0.E0,0.E0,1.E0)); +#19973=DIRECTION('',(1.E0,0.E0,0.E0)); +#19974=AXIS2_PLACEMENT_3D('',#19971,#19972,#19973); +#19975=CYLINDRICAL_SURFACE('',#19974,6.5E-1); +#19976=ORIENTED_EDGE('',*,*,#14096,.F.); +#19978=ORIENTED_EDGE('',*,*,#19977,.T.); +#19979=ORIENTED_EDGE('',*,*,#19446,.T.); +#19981=ORIENTED_EDGE('',*,*,#19980,.F.); +#19982=EDGE_LOOP('',(#19976,#19978,#19979,#19981)); +#19983=FACE_OUTER_BOUND('',#19982,.F.); +#19985=CARTESIAN_POINT('',(1.2E1,1.077689183250E-14,-2.E1)); +#19986=DIRECTION('',(0.E0,0.E0,1.E0)); +#19987=DIRECTION('',(1.E0,0.E0,0.E0)); +#19988=AXIS2_PLACEMENT_3D('',#19985,#19986,#19987); +#19989=CYLINDRICAL_SURFACE('',#19988,6.5E-1); +#19990=ORIENTED_EDGE('',*,*,#14098,.F.); +#19991=ORIENTED_EDGE('',*,*,#19980,.T.); +#19992=ORIENTED_EDGE('',*,*,#19448,.T.); +#19993=ORIENTED_EDGE('',*,*,#19977,.F.); +#19994=EDGE_LOOP('',(#19990,#19991,#19992,#19993)); +#19995=FACE_OUTER_BOUND('',#19994,.F.); +#19997=CARTESIAN_POINT('',(1.E1,-4.000000000001E0,-2.E1)); +#19998=DIRECTION('',(0.E0,0.E0,1.E0)); +#19999=DIRECTION('',(1.E0,0.E0,0.E0)); +#20000=AXIS2_PLACEMENT_3D('',#19997,#19998,#19999); +#20001=CYLINDRICAL_SURFACE('',#20000,6.5E-1); +#20002=ORIENTED_EDGE('',*,*,#14102,.F.); +#20004=ORIENTED_EDGE('',*,*,#20003,.T.); +#20005=ORIENTED_EDGE('',*,*,#19458,.T.); +#20007=ORIENTED_EDGE('',*,*,#20006,.F.); +#20008=EDGE_LOOP('',(#20002,#20004,#20005,#20007)); +#20009=FACE_OUTER_BOUND('',#20008,.F.); +#20011=CARTESIAN_POINT('',(1.E1,-4.000000000001E0,-2.E1)); +#20012=DIRECTION('',(0.E0,0.E0,1.E0)); +#20013=DIRECTION('',(1.E0,0.E0,0.E0)); +#20014=AXIS2_PLACEMENT_3D('',#20011,#20012,#20013); +#20015=CYLINDRICAL_SURFACE('',#20014,6.5E-1); +#20016=ORIENTED_EDGE('',*,*,#14104,.F.); +#20017=ORIENTED_EDGE('',*,*,#20006,.T.); +#20018=ORIENTED_EDGE('',*,*,#19460,.T.); +#20019=ORIENTED_EDGE('',*,*,#20003,.F.); +#20020=EDGE_LOOP('',(#20016,#20017,#20018,#20019)); +#20021=FACE_OUTER_BOUND('',#20020,.F.); +#20023=CARTESIAN_POINT('',(1.4E1,-4.000000000001E0,-2.E1)); +#20024=DIRECTION('',(0.E0,0.E0,1.E0)); +#20025=DIRECTION('',(1.E0,0.E0,0.E0)); +#20026=AXIS2_PLACEMENT_3D('',#20023,#20024,#20025); +#20027=CYLINDRICAL_SURFACE('',#20026,6.5E-1); +#20028=ORIENTED_EDGE('',*,*,#14108,.F.); +#20030=ORIENTED_EDGE('',*,*,#20029,.T.); +#20031=ORIENTED_EDGE('',*,*,#19470,.T.); +#20033=ORIENTED_EDGE('',*,*,#20032,.F.); +#20034=EDGE_LOOP('',(#20028,#20030,#20031,#20033)); +#20035=FACE_OUTER_BOUND('',#20034,.F.); +#20037=CARTESIAN_POINT('',(1.4E1,-4.000000000001E0,-2.E1)); +#20038=DIRECTION('',(0.E0,0.E0,1.E0)); +#20039=DIRECTION('',(1.E0,0.E0,0.E0)); +#20040=AXIS2_PLACEMENT_3D('',#20037,#20038,#20039); +#20041=CYLINDRICAL_SURFACE('',#20040,6.5E-1); +#20042=ORIENTED_EDGE('',*,*,#14110,.F.); +#20043=ORIENTED_EDGE('',*,*,#20032,.T.); +#20044=ORIENTED_EDGE('',*,*,#19472,.T.); +#20045=ORIENTED_EDGE('',*,*,#20029,.F.); +#20046=EDGE_LOOP('',(#20042,#20043,#20044,#20045)); +#20047=FACE_OUTER_BOUND('',#20046,.F.); +#20049=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#20050=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20051=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20052=AXIS2_PLACEMENT_3D('',#20049,#20050,#20051); +#20053=PLANE('',#20052); +#20054=ORIENTED_EDGE('',*,*,#13271,.F.); +#20055=ORIENTED_EDGE('',*,*,#13026,.T.); +#20057=ORIENTED_EDGE('',*,*,#20056,.T.); +#20059=ORIENTED_EDGE('',*,*,#20058,.T.); +#20060=EDGE_LOOP('',(#20054,#20055,#20057,#20059)); +#20061=FACE_OUTER_BOUND('',#20060,.F.); +#20063=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#20064=DIRECTION('',(0.E0,0.E0,1.E0)); +#20065=DIRECTION('',(1.E0,0.E0,0.E0)); +#20066=AXIS2_PLACEMENT_3D('',#20063,#20064,#20065); +#20067=PLANE('',#20066); +#20069=ORIENTED_EDGE('',*,*,#20068,.F.); +#20071=ORIENTED_EDGE('',*,*,#20070,.F.); +#20072=ORIENTED_EDGE('',*,*,#13046,.T.); +#20074=ORIENTED_EDGE('',*,*,#20073,.F.); +#20075=EDGE_LOOP('',(#20069,#20071,#20072,#20074)); +#20076=FACE_OUTER_BOUND('',#20075,.F.); +#20078=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#20079=DIRECTION('',(0.E0,0.E0,1.E0)); +#20080=DIRECTION('',(1.E0,0.E0,0.E0)); +#20081=AXIS2_PLACEMENT_3D('',#20078,#20079,#20080); +#20082=PLANE('',#20081); +#20084=ORIENTED_EDGE('',*,*,#20083,.F.); +#20086=ORIENTED_EDGE('',*,*,#20085,.F.); +#20087=ORIENTED_EDGE('',*,*,#13054,.T.); +#20089=ORIENTED_EDGE('',*,*,#20088,.F.); +#20090=EDGE_LOOP('',(#20084,#20086,#20087,#20089)); +#20091=FACE_OUTER_BOUND('',#20090,.F.); +#20093=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#20094=DIRECTION('',(0.E0,0.E0,1.E0)); +#20095=DIRECTION('',(1.E0,0.E0,0.E0)); +#20096=AXIS2_PLACEMENT_3D('',#20093,#20094,#20095); +#20097=PLANE('',#20096); +#20099=ORIENTED_EDGE('',*,*,#20098,.F.); +#20101=ORIENTED_EDGE('',*,*,#20100,.F.); +#20102=ORIENTED_EDGE('',*,*,#13062,.T.); +#20104=ORIENTED_EDGE('',*,*,#20103,.F.); +#20105=EDGE_LOOP('',(#20099,#20101,#20102,#20104)); +#20106=FACE_OUTER_BOUND('',#20105,.F.); +#20108=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#20109=DIRECTION('',(0.E0,0.E0,1.E0)); +#20110=DIRECTION('',(1.E0,0.E0,0.E0)); +#20111=AXIS2_PLACEMENT_3D('',#20108,#20109,#20110); +#20112=PLANE('',#20111); +#20114=ORIENTED_EDGE('',*,*,#20113,.F.); +#20116=ORIENTED_EDGE('',*,*,#20115,.F.); +#20117=ORIENTED_EDGE('',*,*,#13008,.T.); +#20119=ORIENTED_EDGE('',*,*,#20118,.F.); +#20120=EDGE_LOOP('',(#20114,#20116,#20117,#20119)); +#20121=FACE_OUTER_BOUND('',#20120,.F.); +#20123=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#20124=DIRECTION('',(0.E0,0.E0,1.E0)); +#20125=DIRECTION('',(1.E0,0.E0,0.E0)); +#20126=AXIS2_PLACEMENT_3D('',#20123,#20124,#20125); +#20127=PLANE('',#20126); +#20129=ORIENTED_EDGE('',*,*,#20128,.F.); +#20131=ORIENTED_EDGE('',*,*,#20130,.F.); +#20132=ORIENTED_EDGE('',*,*,#13016,.T.); +#20134=ORIENTED_EDGE('',*,*,#20133,.F.); +#20135=EDGE_LOOP('',(#20129,#20131,#20132,#20134)); +#20136=FACE_OUTER_BOUND('',#20135,.F.); +#20138=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#20139=DIRECTION('',(0.E0,0.E0,1.E0)); +#20140=DIRECTION('',(1.E0,0.E0,0.E0)); +#20141=AXIS2_PLACEMENT_3D('',#20138,#20139,#20140); +#20142=PLANE('',#20141); +#20144=ORIENTED_EDGE('',*,*,#20143,.F.); +#20146=ORIENTED_EDGE('',*,*,#20145,.F.); +#20147=ORIENTED_EDGE('',*,*,#13032,.T.); +#20149=ORIENTED_EDGE('',*,*,#20148,.F.); +#20150=EDGE_LOOP('',(#20144,#20146,#20147,#20149)); +#20151=FACE_OUTER_BOUND('',#20150,.F.); +#20153=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#20154=DIRECTION('',(0.E0,0.E0,1.E0)); +#20155=DIRECTION('',(1.E0,0.E0,0.E0)); +#20156=AXIS2_PLACEMENT_3D('',#20153,#20154,#20155); +#20157=PLANE('',#20156); +#20159=ORIENTED_EDGE('',*,*,#20158,.F.); +#20161=ORIENTED_EDGE('',*,*,#20160,.F.); +#20163=ORIENTED_EDGE('',*,*,#20162,.F.); +#20165=ORIENTED_EDGE('',*,*,#20164,.F.); +#20166=EDGE_LOOP('',(#20159,#20161,#20163,#20165)); +#20167=FACE_OUTER_BOUND('',#20166,.F.); +#20169=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#20170=DIRECTION('',(0.E0,0.E0,1.E0)); +#20171=DIRECTION('',(1.E0,0.E0,0.E0)); +#20172=AXIS2_PLACEMENT_3D('',#20169,#20170,#20171); +#20173=PLANE('',#20172); +#20175=ORIENTED_EDGE('',*,*,#20174,.F.); +#20177=ORIENTED_EDGE('',*,*,#20176,.F.); +#20179=ORIENTED_EDGE('',*,*,#20178,.F.); +#20181=ORIENTED_EDGE('',*,*,#20180,.F.); +#20182=EDGE_LOOP('',(#20175,#20177,#20179,#20181)); +#20183=FACE_OUTER_BOUND('',#20182,.F.); +#20185=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#20186=DIRECTION('',(0.E0,0.E0,1.E0)); +#20187=DIRECTION('',(1.E0,0.E0,0.E0)); +#20188=AXIS2_PLACEMENT_3D('',#20185,#20186,#20187); +#20189=PLANE('',#20188); +#20191=ORIENTED_EDGE('',*,*,#20190,.F.); +#20193=ORIENTED_EDGE('',*,*,#20192,.F.); +#20195=ORIENTED_EDGE('',*,*,#20194,.F.); +#20197=ORIENTED_EDGE('',*,*,#20196,.F.); +#20198=EDGE_LOOP('',(#20191,#20193,#20195,#20197)); +#20199=FACE_OUTER_BOUND('',#20198,.F.); +#20201=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#20202=DIRECTION('',(0.E0,0.E0,1.E0)); +#20203=DIRECTION('',(1.E0,0.E0,0.E0)); +#20204=AXIS2_PLACEMENT_3D('',#20201,#20202,#20203); +#20205=PLANE('',#20204); +#20207=ORIENTED_EDGE('',*,*,#20206,.F.); +#20209=ORIENTED_EDGE('',*,*,#20208,.F.); +#20211=ORIENTED_EDGE('',*,*,#20210,.F.); +#20213=ORIENTED_EDGE('',*,*,#20212,.F.); +#20214=EDGE_LOOP('',(#20207,#20209,#20211,#20213)); +#20215=FACE_OUTER_BOUND('',#20214,.F.); +#20217=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#20218=DIRECTION('',(0.E0,0.E0,1.E0)); +#20219=DIRECTION('',(1.E0,0.E0,0.E0)); +#20220=AXIS2_PLACEMENT_3D('',#20217,#20218,#20219); +#20221=PLANE('',#20220); +#20223=ORIENTED_EDGE('',*,*,#20222,.F.); +#20225=ORIENTED_EDGE('',*,*,#20224,.F.); +#20227=ORIENTED_EDGE('',*,*,#20226,.F.); +#20229=ORIENTED_EDGE('',*,*,#20228,.F.); +#20230=EDGE_LOOP('',(#20223,#20225,#20227,#20229)); +#20231=FACE_OUTER_BOUND('',#20230,.F.); +#20233=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#20234=DIRECTION('',(0.E0,0.E0,1.E0)); +#20235=DIRECTION('',(1.E0,0.E0,0.E0)); +#20236=AXIS2_PLACEMENT_3D('',#20233,#20234,#20235); +#20237=PLANE('',#20236); +#20238=ORIENTED_EDGE('',*,*,#13083,.F.); +#20239=ORIENTED_EDGE('',*,*,#13070,.T.); +#20241=ORIENTED_EDGE('',*,*,#20240,.F.); +#20243=ORIENTED_EDGE('',*,*,#20242,.F.); +#20244=EDGE_LOOP('',(#20238,#20239,#20241,#20243)); +#20245=FACE_OUTER_BOUND('',#20244,.F.); +#20247=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#20248=DIRECTION('',(0.E0,0.E0,1.E0)); +#20249=DIRECTION('',(1.E0,0.E0,0.E0)); +#20250=AXIS2_PLACEMENT_3D('',#20247,#20248,#20249); +#20251=PLANE('',#20250); +#20253=ORIENTED_EDGE('',*,*,#20252,.F.); +#20255=ORIENTED_EDGE('',*,*,#20254,.F.); +#20257=ORIENTED_EDGE('',*,*,#20256,.F.); +#20259=ORIENTED_EDGE('',*,*,#20258,.F.); +#20260=EDGE_LOOP('',(#20253,#20255,#20257,#20259)); +#20261=FACE_OUTER_BOUND('',#20260,.F.); +#20263=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#20264=DIRECTION('',(0.E0,0.E0,1.E0)); +#20265=DIRECTION('',(1.E0,0.E0,0.E0)); +#20266=AXIS2_PLACEMENT_3D('',#20263,#20264,#20265); +#20267=PLANE('',#20266); +#20269=ORIENTED_EDGE('',*,*,#20268,.F.); +#20271=ORIENTED_EDGE('',*,*,#20270,.F.); +#20273=ORIENTED_EDGE('',*,*,#20272,.F.); +#20275=ORIENTED_EDGE('',*,*,#20274,.F.); +#20276=EDGE_LOOP('',(#20269,#20271,#20273,#20275)); +#20277=FACE_OUTER_BOUND('',#20276,.F.); +#20279=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#20280=DIRECTION('',(0.E0,0.E0,1.E0)); +#20281=DIRECTION('',(1.E0,0.E0,0.E0)); +#20282=AXIS2_PLACEMENT_3D('',#20279,#20280,#20281); +#20283=PLANE('',#20282); +#20284=ORIENTED_EDGE('',*,*,#20056,.F.); +#20285=ORIENTED_EDGE('',*,*,#13024,.T.); +#20287=ORIENTED_EDGE('',*,*,#20286,.F.); +#20289=ORIENTED_EDGE('',*,*,#20288,.F.); +#20290=EDGE_LOOP('',(#20284,#20285,#20287,#20289)); +#20291=FACE_OUTER_BOUND('',#20290,.F.); +#20293=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#20294=DIRECTION('',(0.E0,0.E0,1.E0)); +#20295=DIRECTION('',(1.E0,0.E0,0.E0)); +#20296=AXIS2_PLACEMENT_3D('',#20293,#20294,#20295); +#20297=PLANE('',#20296); +#20299=ORIENTED_EDGE('',*,*,#20298,.F.); +#20301=ORIENTED_EDGE('',*,*,#20300,.F.); +#20303=ORIENTED_EDGE('',*,*,#20302,.F.); +#20305=ORIENTED_EDGE('',*,*,#20304,.F.); +#20306=EDGE_LOOP('',(#20299,#20301,#20303,#20305)); +#20307=FACE_OUTER_BOUND('',#20306,.F.); +#20309=CARTESIAN_POINT('',(1.225E1,9.1E0,4.963457E1)); +#20310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20311=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20312=AXIS2_PLACEMENT_3D('',#20309,#20310,#20311); +#20313=CYLINDRICAL_SURFACE('',#20312,5.E-1); +#20315=ORIENTED_EDGE('',*,*,#20314,.F.); +#20316=ORIENTED_EDGE('',*,*,#20068,.T.); +#20318=ORIENTED_EDGE('',*,*,#20317,.T.); +#20319=ORIENTED_EDGE('',*,*,#13314,.T.); +#20320=EDGE_LOOP('',(#20315,#20316,#20318,#20319)); +#20321=FACE_OUTER_BOUND('',#20320,.F.); +#20323=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#20324=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20325=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20326=AXIS2_PLACEMENT_3D('',#20323,#20324,#20325); +#20327=PLANE('',#20326); +#20328=ORIENTED_EDGE('',*,*,#20314,.T.); +#20329=ORIENTED_EDGE('',*,*,#13312,.F.); +#20330=ORIENTED_EDGE('',*,*,#13048,.T.); +#20331=ORIENTED_EDGE('',*,*,#20070,.T.); +#20332=EDGE_LOOP('',(#20328,#20329,#20330,#20331)); +#20333=FACE_OUTER_BOUND('',#20332,.F.); +#20335=CARTESIAN_POINT('',(1.275E1,8.6E0,-2.E1)); +#20336=DIRECTION('',(1.E0,0.E0,0.E0)); +#20337=DIRECTION('',(0.E0,1.E0,0.E0)); +#20338=AXIS2_PLACEMENT_3D('',#20335,#20336,#20337); +#20339=PLANE('',#20338); +#20340=ORIENTED_EDGE('',*,*,#20317,.F.); +#20341=ORIENTED_EDGE('',*,*,#20073,.T.); +#20342=ORIENTED_EDGE('',*,*,#13044,.F.); +#20343=ORIENTED_EDGE('',*,*,#13316,.T.); +#20344=EDGE_LOOP('',(#20340,#20341,#20342,#20343)); +#20345=FACE_OUTER_BOUND('',#20344,.F.); +#20347=CARTESIAN_POINT('',(8.75E0,9.1E0,4.963457E1)); +#20348=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20349=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20350=AXIS2_PLACEMENT_3D('',#20347,#20348,#20349); +#20351=CYLINDRICAL_SURFACE('',#20350,5.E-1); +#20353=ORIENTED_EDGE('',*,*,#20352,.F.); +#20354=ORIENTED_EDGE('',*,*,#20083,.T.); +#20356=ORIENTED_EDGE('',*,*,#20355,.T.); +#20357=ORIENTED_EDGE('',*,*,#13307,.T.); +#20358=EDGE_LOOP('',(#20353,#20354,#20356,#20357)); +#20359=FACE_OUTER_BOUND('',#20358,.F.); +#20361=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#20362=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20363=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20364=AXIS2_PLACEMENT_3D('',#20361,#20362,#20363); +#20365=PLANE('',#20364); +#20366=ORIENTED_EDGE('',*,*,#20352,.T.); +#20367=ORIENTED_EDGE('',*,*,#13305,.F.); +#20368=ORIENTED_EDGE('',*,*,#13056,.T.); +#20369=ORIENTED_EDGE('',*,*,#20085,.T.); +#20370=EDGE_LOOP('',(#20366,#20367,#20368,#20369)); +#20371=FACE_OUTER_BOUND('',#20370,.F.); +#20373=CARTESIAN_POINT('',(9.25E0,8.6E0,-2.E1)); +#20374=DIRECTION('',(1.E0,0.E0,0.E0)); +#20375=DIRECTION('',(0.E0,1.E0,0.E0)); +#20376=AXIS2_PLACEMENT_3D('',#20373,#20374,#20375); +#20377=PLANE('',#20376); +#20378=ORIENTED_EDGE('',*,*,#20355,.F.); +#20379=ORIENTED_EDGE('',*,*,#20088,.T.); +#20380=ORIENTED_EDGE('',*,*,#13052,.F.); +#20381=ORIENTED_EDGE('',*,*,#13309,.T.); +#20382=EDGE_LOOP('',(#20378,#20379,#20380,#20381)); +#20383=FACE_OUTER_BOUND('',#20382,.F.); +#20385=CARTESIAN_POINT('',(5.25E0,9.1E0,4.963457E1)); +#20386=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20387=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20388=AXIS2_PLACEMENT_3D('',#20385,#20386,#20387); +#20389=CYLINDRICAL_SURFACE('',#20388,5.E-1); +#20391=ORIENTED_EDGE('',*,*,#20390,.F.); +#20392=ORIENTED_EDGE('',*,*,#20098,.T.); +#20394=ORIENTED_EDGE('',*,*,#20393,.T.); +#20395=ORIENTED_EDGE('',*,*,#13300,.T.); +#20396=EDGE_LOOP('',(#20391,#20392,#20394,#20395)); +#20397=FACE_OUTER_BOUND('',#20396,.F.); +#20399=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#20400=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20401=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20402=AXIS2_PLACEMENT_3D('',#20399,#20400,#20401); +#20403=PLANE('',#20402); +#20404=ORIENTED_EDGE('',*,*,#20390,.T.); +#20405=ORIENTED_EDGE('',*,*,#13298,.F.); +#20406=ORIENTED_EDGE('',*,*,#13064,.T.); +#20407=ORIENTED_EDGE('',*,*,#20100,.T.); +#20408=EDGE_LOOP('',(#20404,#20405,#20406,#20407)); +#20409=FACE_OUTER_BOUND('',#20408,.F.); +#20411=CARTESIAN_POINT('',(5.75E0,8.6E0,-2.E1)); +#20412=DIRECTION('',(1.E0,0.E0,0.E0)); +#20413=DIRECTION('',(0.E0,1.E0,0.E0)); +#20414=AXIS2_PLACEMENT_3D('',#20411,#20412,#20413); +#20415=PLANE('',#20414); +#20416=ORIENTED_EDGE('',*,*,#20393,.F.); +#20417=ORIENTED_EDGE('',*,*,#20103,.T.); +#20418=ORIENTED_EDGE('',*,*,#13060,.F.); +#20419=ORIENTED_EDGE('',*,*,#13302,.T.); +#20420=EDGE_LOOP('',(#20416,#20417,#20418,#20419)); +#20421=FACE_OUTER_BOUND('',#20420,.F.); +#20423=CARTESIAN_POINT('',(-1.75E0,8.075E0,4.963457E1)); +#20424=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20425=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20426=AXIS2_PLACEMENT_3D('',#20423,#20424,#20425); +#20427=CYLINDRICAL_SURFACE('',#20426,6.75E-1); +#20429=ORIENTED_EDGE('',*,*,#20428,.F.); +#20430=ORIENTED_EDGE('',*,*,#20113,.T.); +#20432=ORIENTED_EDGE('',*,*,#20431,.T.); +#20433=ORIENTED_EDGE('',*,*,#13287,.T.); +#20434=EDGE_LOOP('',(#20429,#20430,#20432,#20433)); +#20435=FACE_OUTER_BOUND('',#20434,.F.); +#20437=CARTESIAN_POINT('',(-2.425E0,1.03E1,-2.E1)); +#20438=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20439=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20440=AXIS2_PLACEMENT_3D('',#20437,#20438,#20439); +#20441=PLANE('',#20440); +#20442=ORIENTED_EDGE('',*,*,#20428,.T.); +#20443=ORIENTED_EDGE('',*,*,#13285,.F.); +#20444=ORIENTED_EDGE('',*,*,#13010,.T.); +#20445=ORIENTED_EDGE('',*,*,#20115,.T.); +#20446=EDGE_LOOP('',(#20442,#20443,#20444,#20445)); +#20447=FACE_OUTER_BOUND('',#20446,.F.); +#20449=CARTESIAN_POINT('',(-1.075E0,7.4E0,-2.E1)); +#20450=DIRECTION('',(1.E0,0.E0,0.E0)); +#20451=DIRECTION('',(0.E0,1.E0,0.E0)); +#20452=AXIS2_PLACEMENT_3D('',#20449,#20450,#20451); +#20453=PLANE('',#20452); +#20454=ORIENTED_EDGE('',*,*,#20431,.F.); +#20455=ORIENTED_EDGE('',*,*,#20118,.T.); +#20456=ORIENTED_EDGE('',*,*,#13006,.F.); +#20457=ORIENTED_EDGE('',*,*,#13289,.T.); +#20458=EDGE_LOOP('',(#20454,#20455,#20456,#20457)); +#20459=FACE_OUTER_BOUND('',#20458,.F.); +#20461=CARTESIAN_POINT('',(-5.25E0,9.1E0,4.963457E1)); +#20462=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20463=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20464=AXIS2_PLACEMENT_3D('',#20461,#20462,#20463); +#20465=CYLINDRICAL_SURFACE('',#20464,5.E-1); +#20467=ORIENTED_EDGE('',*,*,#20466,.F.); +#20468=ORIENTED_EDGE('',*,*,#20128,.T.); +#20470=ORIENTED_EDGE('',*,*,#20469,.T.); +#20471=ORIENTED_EDGE('',*,*,#13280,.T.); +#20472=EDGE_LOOP('',(#20467,#20468,#20470,#20471)); +#20473=FACE_OUTER_BOUND('',#20472,.F.); +#20475=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#20476=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20477=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20478=AXIS2_PLACEMENT_3D('',#20475,#20476,#20477); +#20479=PLANE('',#20478); +#20480=ORIENTED_EDGE('',*,*,#20466,.T.); +#20481=ORIENTED_EDGE('',*,*,#13278,.F.); +#20482=ORIENTED_EDGE('',*,*,#13018,.T.); +#20483=ORIENTED_EDGE('',*,*,#20130,.T.); +#20484=EDGE_LOOP('',(#20480,#20481,#20482,#20483)); +#20485=FACE_OUTER_BOUND('',#20484,.F.); +#20487=CARTESIAN_POINT('',(-4.75E0,8.6E0,-2.E1)); +#20488=DIRECTION('',(1.E0,0.E0,0.E0)); +#20489=DIRECTION('',(0.E0,1.E0,0.E0)); +#20490=AXIS2_PLACEMENT_3D('',#20487,#20488,#20489); +#20491=PLANE('',#20490); +#20492=ORIENTED_EDGE('',*,*,#20469,.F.); +#20493=ORIENTED_EDGE('',*,*,#20133,.T.); +#20494=ORIENTED_EDGE('',*,*,#13014,.F.); +#20495=ORIENTED_EDGE('',*,*,#13282,.T.); +#20496=EDGE_LOOP('',(#20492,#20493,#20494,#20495)); +#20497=FACE_OUTER_BOUND('',#20496,.F.); +#20499=CARTESIAN_POINT('',(-1.225E1,9.1E0,4.963457E1)); +#20500=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20501=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20502=AXIS2_PLACEMENT_3D('',#20499,#20500,#20501); +#20503=CYLINDRICAL_SURFACE('',#20502,5.E-1); +#20505=ORIENTED_EDGE('',*,*,#20504,.F.); +#20506=ORIENTED_EDGE('',*,*,#20143,.T.); +#20508=ORIENTED_EDGE('',*,*,#20507,.T.); +#20509=ORIENTED_EDGE('',*,*,#13431,.T.); +#20510=EDGE_LOOP('',(#20505,#20506,#20508,#20509)); +#20511=FACE_OUTER_BOUND('',#20510,.F.); +#20513=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#20514=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20515=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20516=AXIS2_PLACEMENT_3D('',#20513,#20514,#20515); +#20517=PLANE('',#20516); +#20518=ORIENTED_EDGE('',*,*,#20504,.T.); +#20519=ORIENTED_EDGE('',*,*,#13429,.F.); +#20520=ORIENTED_EDGE('',*,*,#13034,.T.); +#20521=ORIENTED_EDGE('',*,*,#20145,.T.); +#20522=EDGE_LOOP('',(#20518,#20519,#20520,#20521)); +#20523=FACE_OUTER_BOUND('',#20522,.F.); +#20525=CARTESIAN_POINT('',(-1.175E1,8.6E0,-2.E1)); +#20526=DIRECTION('',(1.E0,0.E0,0.E0)); +#20527=DIRECTION('',(0.E0,1.E0,0.E0)); +#20528=AXIS2_PLACEMENT_3D('',#20525,#20526,#20527); +#20529=PLANE('',#20528); +#20530=ORIENTED_EDGE('',*,*,#20507,.F.); +#20531=ORIENTED_EDGE('',*,*,#20148,.T.); +#20532=ORIENTED_EDGE('',*,*,#13030,.F.); +#20533=ORIENTED_EDGE('',*,*,#13433,.T.); +#20534=EDGE_LOOP('',(#20530,#20531,#20532,#20533)); +#20535=FACE_OUTER_BOUND('',#20534,.F.); +#20537=CARTESIAN_POINT('',(5.25E0,-8.075E0,-8.770957E1)); +#20538=DIRECTION('',(0.E0,0.E0,1.E0)); +#20539=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20540=AXIS2_PLACEMENT_3D('',#20537,#20538,#20539); +#20541=CYLINDRICAL_SURFACE('',#20540,6.75E-1); +#20542=ORIENTED_EDGE('',*,*,#20158,.T.); +#20544=ORIENTED_EDGE('',*,*,#20543,.F.); +#20545=ORIENTED_EDGE('',*,*,#13361,.T.); +#20547=ORIENTED_EDGE('',*,*,#20546,.T.); +#20548=EDGE_LOOP('',(#20542,#20544,#20545,#20547)); +#20549=FACE_OUTER_BOUND('',#20548,.F.); +#20551=CARTESIAN_POINT('',(4.575E0,-7.4E0,-2.E1)); +#20552=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20553=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20554=AXIS2_PLACEMENT_3D('',#20551,#20552,#20553); +#20555=PLANE('',#20554); +#20556=ORIENTED_EDGE('',*,*,#20543,.T.); +#20557=ORIENTED_EDGE('',*,*,#20164,.T.); +#20559=ORIENTED_EDGE('',*,*,#20558,.F.); +#20560=ORIENTED_EDGE('',*,*,#13363,.T.); +#20561=EDGE_LOOP('',(#20556,#20557,#20559,#20560)); +#20562=FACE_OUTER_BOUND('',#20561,.F.); +#20564=CARTESIAN_POINT('',(-1.55E1,-1.03E1,0.E0)); +#20565=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20566=DIRECTION('',(1.E0,0.E0,0.E0)); +#20567=AXIS2_PLACEMENT_3D('',#20564,#20565,#20566); +#20568=PLANE('',#20567); +#20570=ORIENTED_EDGE('',*,*,#20569,.T.); +#20571=ORIENTED_EDGE('',*,*,#20178,.T.); +#20573=ORIENTED_EDGE('',*,*,#20572,.F.); +#20574=ORIENTED_EDGE('',*,*,#13365,.T.); +#20575=ORIENTED_EDGE('',*,*,#20558,.T.); +#20576=ORIENTED_EDGE('',*,*,#20162,.T.); +#20578=ORIENTED_EDGE('',*,*,#20577,.F.); +#20579=ORIENTED_EDGE('',*,*,#13357,.T.); +#20581=ORIENTED_EDGE('',*,*,#20580,.T.); +#20582=ORIENTED_EDGE('',*,*,#20254,.T.); +#20584=ORIENTED_EDGE('',*,*,#20583,.F.); +#20585=ORIENTED_EDGE('',*,*,#13349,.T.); +#20587=ORIENTED_EDGE('',*,*,#20586,.T.); +#20588=ORIENTED_EDGE('',*,*,#20270,.T.); +#20590=ORIENTED_EDGE('',*,*,#20589,.F.); +#20591=ORIENTED_EDGE('',*,*,#13341,.T.); +#20593=ORIENTED_EDGE('',*,*,#20592,.F.); +#20594=ORIENTED_EDGE('',*,*,#12935,.F.); +#20596=ORIENTED_EDGE('',*,*,#20595,.T.); +#20597=ORIENTED_EDGE('',*,*,#13405,.T.); +#20599=ORIENTED_EDGE('',*,*,#20598,.T.); +#20600=ORIENTED_EDGE('',*,*,#20226,.T.); +#20602=ORIENTED_EDGE('',*,*,#20601,.F.); +#20603=ORIENTED_EDGE('',*,*,#13397,.T.); +#20605=ORIENTED_EDGE('',*,*,#20604,.T.); +#20606=ORIENTED_EDGE('',*,*,#20210,.T.); +#20608=ORIENTED_EDGE('',*,*,#20607,.F.); +#20609=ORIENTED_EDGE('',*,*,#13389,.T.); +#20611=ORIENTED_EDGE('',*,*,#20610,.T.); +#20612=ORIENTED_EDGE('',*,*,#20194,.T.); +#20614=ORIENTED_EDGE('',*,*,#20613,.F.); +#20615=ORIENTED_EDGE('',*,*,#13381,.T.); +#20617=ORIENTED_EDGE('',*,*,#20616,.T.); +#20618=ORIENTED_EDGE('',*,*,#20304,.T.); +#20620=ORIENTED_EDGE('',*,*,#20619,.F.); +#20621=ORIENTED_EDGE('',*,*,#13373,.T.); +#20622=EDGE_LOOP('',(#20570,#20571,#20573,#20574,#20575,#20576,#20578,#20579, +#20581,#20582,#20584,#20585,#20587,#20588,#20590,#20591,#20593,#20594,#20596, +#20597,#20599,#20600,#20602,#20603,#20605,#20606,#20608,#20609,#20611,#20612, +#20614,#20615,#20617,#20618,#20620,#20621)); +#20623=FACE_OUTER_BOUND('',#20622,.F.); +#20625=CARTESIAN_POINT('',(1.25E0,-8.6E0,-2.E1)); +#20626=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20627=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20628=AXIS2_PLACEMENT_3D('',#20625,#20626,#20627); +#20629=PLANE('',#20628); +#20631=ORIENTED_EDGE('',*,*,#20630,.T.); +#20632=ORIENTED_EDGE('',*,*,#20180,.T.); +#20633=ORIENTED_EDGE('',*,*,#20569,.F.); +#20634=ORIENTED_EDGE('',*,*,#13371,.T.); +#20635=EDGE_LOOP('',(#20631,#20632,#20633,#20634)); +#20636=FACE_OUTER_BOUND('',#20635,.F.); +#20638=CARTESIAN_POINT('',(1.75E0,-9.1E0,-8.770957E1)); +#20639=DIRECTION('',(0.E0,0.E0,1.E0)); +#20640=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20641=AXIS2_PLACEMENT_3D('',#20638,#20639,#20640); +#20642=CYLINDRICAL_SURFACE('',#20641,5.E-1); +#20643=ORIENTED_EDGE('',*,*,#20174,.T.); +#20644=ORIENTED_EDGE('',*,*,#20630,.F.); +#20645=ORIENTED_EDGE('',*,*,#13369,.T.); +#20647=ORIENTED_EDGE('',*,*,#20646,.T.); +#20648=EDGE_LOOP('',(#20643,#20644,#20645,#20647)); +#20649=FACE_OUTER_BOUND('',#20648,.F.); +#20651=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#20652=DIRECTION('',(1.E0,0.E0,0.E0)); +#20653=DIRECTION('',(0.E0,1.E0,0.E0)); +#20654=AXIS2_PLACEMENT_3D('',#20651,#20652,#20653); +#20655=PLANE('',#20654); +#20656=ORIENTED_EDGE('',*,*,#20646,.F.); +#20657=ORIENTED_EDGE('',*,*,#13367,.F.); +#20658=ORIENTED_EDGE('',*,*,#20572,.T.); +#20659=ORIENTED_EDGE('',*,*,#20176,.T.); +#20660=EDGE_LOOP('',(#20656,#20657,#20658,#20659)); +#20661=FACE_OUTER_BOUND('',#20660,.F.); +#20663=CARTESIAN_POINT('',(5.925E0,-1.03E1,-2.E1)); +#20664=DIRECTION('',(1.E0,0.E0,0.E0)); +#20665=DIRECTION('',(0.E0,1.E0,0.E0)); +#20666=AXIS2_PLACEMENT_3D('',#20663,#20664,#20665); +#20667=PLANE('',#20666); +#20668=ORIENTED_EDGE('',*,*,#20546,.F.); +#20669=ORIENTED_EDGE('',*,*,#13359,.F.); +#20670=ORIENTED_EDGE('',*,*,#20577,.T.); +#20671=ORIENTED_EDGE('',*,*,#20160,.T.); +#20672=EDGE_LOOP('',(#20668,#20669,#20670,#20671)); +#20673=FACE_OUTER_BOUND('',#20672,.F.); +#20675=CARTESIAN_POINT('',(8.25E0,-8.6E0,-2.E1)); +#20676=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20677=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20678=AXIS2_PLACEMENT_3D('',#20675,#20676,#20677); +#20679=PLANE('',#20678); +#20680=ORIENTED_EDGE('',*,*,#13355,.T.); +#20682=ORIENTED_EDGE('',*,*,#20681,.F.); +#20683=ORIENTED_EDGE('',*,*,#20256,.T.); +#20684=ORIENTED_EDGE('',*,*,#20580,.F.); +#20685=EDGE_LOOP('',(#20680,#20682,#20683,#20684)); +#20686=FACE_OUTER_BOUND('',#20685,.F.); +#20688=CARTESIAN_POINT('',(8.75E0,-9.1E0,4.963457E1)); +#20689=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20690=DIRECTION('',(1.E0,0.E0,0.E0)); +#20691=AXIS2_PLACEMENT_3D('',#20688,#20689,#20690); +#20692=CYLINDRICAL_SURFACE('',#20691,5.E-1); +#20694=ORIENTED_EDGE('',*,*,#20693,.F.); +#20695=ORIENTED_EDGE('',*,*,#20258,.T.); +#20696=ORIENTED_EDGE('',*,*,#20681,.T.); +#20697=ORIENTED_EDGE('',*,*,#13353,.T.); +#20698=EDGE_LOOP('',(#20694,#20695,#20696,#20697)); +#20699=FACE_OUTER_BOUND('',#20698,.F.); +#20701=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#20702=DIRECTION('',(1.E0,0.E0,0.E0)); +#20703=DIRECTION('',(0.E0,1.E0,0.E0)); +#20704=AXIS2_PLACEMENT_3D('',#20701,#20702,#20703); +#20705=PLANE('',#20704); +#20706=ORIENTED_EDGE('',*,*,#13351,.F.); +#20707=ORIENTED_EDGE('',*,*,#20583,.T.); +#20708=ORIENTED_EDGE('',*,*,#20252,.T.); +#20709=ORIENTED_EDGE('',*,*,#20693,.T.); +#20710=EDGE_LOOP('',(#20706,#20707,#20708,#20709)); +#20711=FACE_OUTER_BOUND('',#20710,.F.); +#20713=CARTESIAN_POINT('',(1.175E1,-8.6E0,-2.E1)); +#20714=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20715=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20716=AXIS2_PLACEMENT_3D('',#20713,#20714,#20715); +#20717=PLANE('',#20716); +#20718=ORIENTED_EDGE('',*,*,#13347,.T.); +#20720=ORIENTED_EDGE('',*,*,#20719,.F.); +#20721=ORIENTED_EDGE('',*,*,#20272,.T.); +#20722=ORIENTED_EDGE('',*,*,#20586,.F.); +#20723=EDGE_LOOP('',(#20718,#20720,#20721,#20722)); +#20724=FACE_OUTER_BOUND('',#20723,.F.); +#20726=CARTESIAN_POINT('',(1.225E1,-9.1E0,4.963457E1)); +#20727=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20728=DIRECTION('',(1.E0,0.E0,0.E0)); +#20729=AXIS2_PLACEMENT_3D('',#20726,#20727,#20728); +#20730=CYLINDRICAL_SURFACE('',#20729,5.E-1); +#20732=ORIENTED_EDGE('',*,*,#20731,.F.); +#20733=ORIENTED_EDGE('',*,*,#20274,.T.); +#20734=ORIENTED_EDGE('',*,*,#20719,.T.); +#20735=ORIENTED_EDGE('',*,*,#13345,.T.); +#20736=EDGE_LOOP('',(#20732,#20733,#20734,#20735)); +#20737=FACE_OUTER_BOUND('',#20736,.F.); +#20739=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#20740=DIRECTION('',(1.E0,0.E0,0.E0)); +#20741=DIRECTION('',(0.E0,1.E0,0.E0)); +#20742=AXIS2_PLACEMENT_3D('',#20739,#20740,#20741); +#20743=PLANE('',#20742); +#20744=ORIENTED_EDGE('',*,*,#13343,.F.); +#20745=ORIENTED_EDGE('',*,*,#20589,.T.); +#20746=ORIENTED_EDGE('',*,*,#20268,.T.); +#20747=ORIENTED_EDGE('',*,*,#20731,.T.); +#20748=EDGE_LOOP('',(#20744,#20745,#20746,#20747)); +#20749=FACE_OUTER_BOUND('',#20748,.F.); +#20751=CARTESIAN_POINT('',(1.55E1,-5.6E0,0.E0)); +#20752=DIRECTION('',(0.E0,0.E0,1.E0)); +#20753=DIRECTION('',(1.E0,0.E0,0.E0)); +#20754=AXIS2_PLACEMENT_3D('',#20751,#20752,#20753); +#20755=CYLINDRICAL_SURFACE('',#20754,4.7E0); +#20756=ORIENTED_EDGE('',*,*,#12921,.F.); +#20757=ORIENTED_EDGE('',*,*,#20592,.T.); +#20758=ORIENTED_EDGE('',*,*,#13339,.T.); +#20760=ORIENTED_EDGE('',*,*,#20759,.F.); +#20761=EDGE_LOOP('',(#20756,#20757,#20758,#20760)); +#20762=FACE_OUTER_BOUND('',#20761,.F.); +#20764=CARTESIAN_POINT('',(2.02E1,-5.6E0,0.E0)); +#20765=DIRECTION('',(1.E0,0.E0,0.E0)); +#20766=DIRECTION('',(0.E0,1.E0,0.E0)); +#20767=AXIS2_PLACEMENT_3D('',#20764,#20765,#20766); +#20768=PLANE('',#20767); +#20769=ORIENTED_EDGE('',*,*,#12907,.F.); +#20770=ORIENTED_EDGE('',*,*,#20759,.T.); +#20771=ORIENTED_EDGE('',*,*,#13337,.T.); +#20773=ORIENTED_EDGE('',*,*,#20772,.T.); +#20775=ORIENTED_EDGE('',*,*,#20774,.T.); +#20777=ORIENTED_EDGE('',*,*,#20776,.F.); +#20778=ORIENTED_EDGE('',*,*,#13329,.T.); +#20780=ORIENTED_EDGE('',*,*,#20779,.T.); +#20782=ORIENTED_EDGE('',*,*,#20781,.T.); +#20784=ORIENTED_EDGE('',*,*,#20783,.F.); +#20785=ORIENTED_EDGE('',*,*,#13321,.T.); +#20787=ORIENTED_EDGE('',*,*,#20786,.F.); +#20788=EDGE_LOOP('',(#20769,#20770,#20771,#20773,#20775,#20777,#20778,#20780, +#20782,#20784,#20785,#20787)); +#20789=FACE_OUTER_BOUND('',#20788,.F.); +#20791=CARTESIAN_POINT('',(1.9E1,-5.2E0,-2.E1)); +#20792=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20793=DIRECTION('',(1.E0,0.E0,0.E0)); +#20794=AXIS2_PLACEMENT_3D('',#20791,#20792,#20793); +#20795=PLANE('',#20794); +#20796=ORIENTED_EDGE('',*,*,#20772,.F.); +#20797=ORIENTED_EDGE('',*,*,#13335,.T.); +#20799=ORIENTED_EDGE('',*,*,#20798,.T.); +#20801=ORIENTED_EDGE('',*,*,#20800,.T.); +#20802=EDGE_LOOP('',(#20796,#20797,#20799,#20801)); +#20803=FACE_OUTER_BOUND('',#20802,.F.); +#20805=CARTESIAN_POINT('',(1.9E1,-4.7E0,-2.E1)); +#20806=DIRECTION('',(0.E0,0.E0,1.E0)); +#20807=DIRECTION('',(1.E0,0.E0,0.E0)); +#20808=AXIS2_PLACEMENT_3D('',#20805,#20806,#20807); +#20809=CYLINDRICAL_SURFACE('',#20808,5.E-1); +#20810=ORIENTED_EDGE('',*,*,#13333,.F.); +#20812=ORIENTED_EDGE('',*,*,#20811,.T.); +#20814=ORIENTED_EDGE('',*,*,#20813,.T.); +#20815=ORIENTED_EDGE('',*,*,#20798,.F.); +#20816=EDGE_LOOP('',(#20810,#20812,#20814,#20815)); +#20817=FACE_OUTER_BOUND('',#20816,.F.); +#20819=CARTESIAN_POINT('',(2.02E1,-4.2E0,-2.E1)); +#20820=DIRECTION('',(0.E0,1.E0,0.E0)); +#20821=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20822=AXIS2_PLACEMENT_3D('',#20819,#20820,#20821); +#20823=PLANE('',#20822); +#20824=ORIENTED_EDGE('',*,*,#20776,.T.); +#20826=ORIENTED_EDGE('',*,*,#20825,.T.); +#20827=ORIENTED_EDGE('',*,*,#20811,.F.); +#20828=ORIENTED_EDGE('',*,*,#13331,.F.); +#20829=EDGE_LOOP('',(#20824,#20826,#20827,#20828)); +#20830=FACE_OUTER_BOUND('',#20829,.F.); +#20832=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#20833=DIRECTION('',(0.E0,0.E0,1.E0)); +#20834=DIRECTION('',(1.E0,0.E0,0.E0)); +#20835=AXIS2_PLACEMENT_3D('',#20832,#20833,#20834); +#20836=PLANE('',#20835); +#20838=ORIENTED_EDGE('',*,*,#20837,.T.); +#20840=ORIENTED_EDGE('',*,*,#20839,.F.); +#20842=ORIENTED_EDGE('',*,*,#20841,.F.); +#20844=ORIENTED_EDGE('',*,*,#20843,.F.); +#20845=EDGE_LOOP('',(#20838,#20840,#20842,#20844)); +#20846=FACE_OUTER_BOUND('',#20845,.F.); +#20848=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#20849=DIRECTION('',(0.E0,0.E0,1.E0)); +#20850=DIRECTION('',(1.E0,0.E0,0.E0)); +#20851=AXIS2_PLACEMENT_3D('',#20848,#20849,#20850); +#20852=PLANE('',#20851); +#20854=ORIENTED_EDGE('',*,*,#20853,.T.); +#20856=ORIENTED_EDGE('',*,*,#20855,.F.); +#20858=ORIENTED_EDGE('',*,*,#20857,.F.); +#20860=ORIENTED_EDGE('',*,*,#20859,.F.); +#20861=EDGE_LOOP('',(#20854,#20856,#20858,#20860)); +#20862=FACE_OUTER_BOUND('',#20861,.F.); +#20864=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#20865=DIRECTION('',(0.E0,0.E0,1.E0)); +#20866=DIRECTION('',(1.E0,0.E0,0.E0)); +#20867=AXIS2_PLACEMENT_3D('',#20864,#20865,#20866); +#20868=PLANE('',#20867); +#20869=ORIENTED_EDGE('',*,*,#20774,.F.); +#20870=ORIENTED_EDGE('',*,*,#20800,.F.); +#20871=ORIENTED_EDGE('',*,*,#20813,.F.); +#20872=ORIENTED_EDGE('',*,*,#20825,.F.); +#20873=EDGE_LOOP('',(#20869,#20870,#20871,#20872)); +#20874=FACE_OUTER_BOUND('',#20873,.F.); +#20876=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#20877=DIRECTION('',(0.E0,0.E0,1.E0)); +#20878=DIRECTION('',(1.E0,0.E0,0.E0)); +#20879=AXIS2_PLACEMENT_3D('',#20876,#20877,#20878); +#20880=PLANE('',#20879); +#20881=ORIENTED_EDGE('',*,*,#20781,.F.); +#20883=ORIENTED_EDGE('',*,*,#20882,.F.); +#20885=ORIENTED_EDGE('',*,*,#20884,.F.); +#20887=ORIENTED_EDGE('',*,*,#20886,.F.); +#20888=EDGE_LOOP('',(#20881,#20883,#20885,#20887)); +#20889=FACE_OUTER_BOUND('',#20888,.F.); +#20891=CARTESIAN_POINT('',(-2.02E1,5.6E0,0.E0)); +#20892=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20893=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20894=AXIS2_PLACEMENT_3D('',#20891,#20892,#20893); +#20895=PLANE('',#20894); +#20896=ORIENTED_EDGE('',*,*,#12963,.F.); +#20897=ORIENTED_EDGE('',*,*,#12992,.T.); +#20898=ORIENTED_EDGE('',*,*,#13425,.T.); +#20900=ORIENTED_EDGE('',*,*,#20899,.T.); +#20901=ORIENTED_EDGE('',*,*,#20853,.F.); +#20903=ORIENTED_EDGE('',*,*,#20902,.F.); +#20904=ORIENTED_EDGE('',*,*,#13417,.T.); +#20906=ORIENTED_EDGE('',*,*,#20905,.T.); +#20907=ORIENTED_EDGE('',*,*,#20837,.F.); +#20909=ORIENTED_EDGE('',*,*,#20908,.F.); +#20910=ORIENTED_EDGE('',*,*,#13409,.T.); +#20912=ORIENTED_EDGE('',*,*,#20911,.F.); +#20913=EDGE_LOOP('',(#20896,#20897,#20898,#20900,#20901,#20903,#20904,#20906, +#20907,#20909,#20910,#20912)); +#20914=FACE_OUTER_BOUND('',#20913,.F.); +#20916=CARTESIAN_POINT('',(-1.9E1,5.2E0,-2.E1)); +#20917=DIRECTION('',(0.E0,1.E0,0.E0)); +#20918=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20919=AXIS2_PLACEMENT_3D('',#20916,#20917,#20918); +#20920=PLANE('',#20919); +#20921=ORIENTED_EDGE('',*,*,#20899,.F.); +#20922=ORIENTED_EDGE('',*,*,#13423,.T.); +#20924=ORIENTED_EDGE('',*,*,#20923,.T.); +#20925=ORIENTED_EDGE('',*,*,#20855,.T.); +#20926=EDGE_LOOP('',(#20921,#20922,#20924,#20925)); +#20927=FACE_OUTER_BOUND('',#20926,.F.); +#20929=CARTESIAN_POINT('',(-1.9E1,4.7E0,-2.E1)); +#20930=DIRECTION('',(0.E0,0.E0,1.E0)); +#20931=DIRECTION('',(1.E0,0.E0,0.E0)); +#20932=AXIS2_PLACEMENT_3D('',#20929,#20930,#20931); +#20933=CYLINDRICAL_SURFACE('',#20932,5.E-1); +#20934=ORIENTED_EDGE('',*,*,#13421,.F.); +#20936=ORIENTED_EDGE('',*,*,#20935,.T.); +#20937=ORIENTED_EDGE('',*,*,#20857,.T.); +#20938=ORIENTED_EDGE('',*,*,#20923,.F.); +#20939=EDGE_LOOP('',(#20934,#20936,#20937,#20938)); +#20940=FACE_OUTER_BOUND('',#20939,.F.); +#20942=CARTESIAN_POINT('',(-2.02E1,4.2E0,-2.E1)); +#20943=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20944=DIRECTION('',(1.E0,0.E0,0.E0)); +#20945=AXIS2_PLACEMENT_3D('',#20942,#20943,#20944); +#20946=PLANE('',#20945); +#20947=ORIENTED_EDGE('',*,*,#20902,.T.); +#20948=ORIENTED_EDGE('',*,*,#20859,.T.); +#20949=ORIENTED_EDGE('',*,*,#20935,.F.); +#20950=ORIENTED_EDGE('',*,*,#13419,.F.); +#20951=EDGE_LOOP('',(#20947,#20948,#20949,#20950)); +#20952=FACE_OUTER_BOUND('',#20951,.F.); +#20954=CARTESIAN_POINT('',(-1.9E1,-4.2E0,-2.E1)); +#20955=DIRECTION('',(0.E0,1.E0,0.E0)); +#20956=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20957=AXIS2_PLACEMENT_3D('',#20954,#20955,#20956); +#20958=PLANE('',#20957); +#20959=ORIENTED_EDGE('',*,*,#20905,.F.); +#20960=ORIENTED_EDGE('',*,*,#13415,.T.); +#20962=ORIENTED_EDGE('',*,*,#20961,.T.); +#20963=ORIENTED_EDGE('',*,*,#20839,.T.); +#20964=EDGE_LOOP('',(#20959,#20960,#20962,#20963)); +#20965=FACE_OUTER_BOUND('',#20964,.F.); +#20967=CARTESIAN_POINT('',(-1.9E1,-4.7E0,-2.E1)); +#20968=DIRECTION('',(0.E0,0.E0,1.E0)); +#20969=DIRECTION('',(1.E0,0.E0,0.E0)); +#20970=AXIS2_PLACEMENT_3D('',#20967,#20968,#20969); +#20971=CYLINDRICAL_SURFACE('',#20970,5.E-1); +#20972=ORIENTED_EDGE('',*,*,#13413,.F.); +#20974=ORIENTED_EDGE('',*,*,#20973,.T.); +#20975=ORIENTED_EDGE('',*,*,#20841,.T.); +#20976=ORIENTED_EDGE('',*,*,#20961,.F.); +#20977=EDGE_LOOP('',(#20972,#20974,#20975,#20976)); +#20978=FACE_OUTER_BOUND('',#20977,.F.); +#20980=CARTESIAN_POINT('',(-2.02E1,-5.2E0,-2.E1)); +#20981=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20982=DIRECTION('',(1.E0,0.E0,0.E0)); +#20983=AXIS2_PLACEMENT_3D('',#20980,#20981,#20982); +#20984=PLANE('',#20983); +#20985=ORIENTED_EDGE('',*,*,#20908,.T.); +#20986=ORIENTED_EDGE('',*,*,#20843,.T.); +#20987=ORIENTED_EDGE('',*,*,#20973,.F.); +#20988=ORIENTED_EDGE('',*,*,#13411,.F.); +#20989=EDGE_LOOP('',(#20985,#20986,#20987,#20988)); +#20990=FACE_OUTER_BOUND('',#20989,.F.); +#20992=CARTESIAN_POINT('',(-1.55E1,-5.6E0,0.E0)); +#20993=DIRECTION('',(0.E0,0.E0,1.E0)); +#20994=DIRECTION('',(1.E0,0.E0,0.E0)); +#20995=AXIS2_PLACEMENT_3D('',#20992,#20993,#20994); +#20996=CYLINDRICAL_SURFACE('',#20995,4.7E0); +#20997=ORIENTED_EDGE('',*,*,#12949,.F.); +#20998=ORIENTED_EDGE('',*,*,#20911,.T.); +#20999=ORIENTED_EDGE('',*,*,#13407,.T.); +#21000=ORIENTED_EDGE('',*,*,#20595,.F.); +#21001=EDGE_LOOP('',(#20997,#20998,#20999,#21000)); +#21002=FACE_OUTER_BOUND('',#21001,.F.); +#21004=CARTESIAN_POINT('',(1.9E1,4.2E0,-2.E1)); +#21005=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21006=DIRECTION('',(1.E0,0.E0,0.E0)); +#21007=AXIS2_PLACEMENT_3D('',#21004,#21005,#21006); +#21008=PLANE('',#21007); +#21009=ORIENTED_EDGE('',*,*,#20779,.F.); +#21010=ORIENTED_EDGE('',*,*,#13327,.T.); +#21012=ORIENTED_EDGE('',*,*,#21011,.T.); +#21013=ORIENTED_EDGE('',*,*,#20882,.T.); +#21014=EDGE_LOOP('',(#21009,#21010,#21012,#21013)); +#21015=FACE_OUTER_BOUND('',#21014,.F.); +#21017=CARTESIAN_POINT('',(1.9E1,4.7E0,-2.E1)); +#21018=DIRECTION('',(0.E0,0.E0,1.E0)); +#21019=DIRECTION('',(1.E0,0.E0,0.E0)); +#21020=AXIS2_PLACEMENT_3D('',#21017,#21018,#21019); +#21021=CYLINDRICAL_SURFACE('',#21020,5.E-1); +#21022=ORIENTED_EDGE('',*,*,#13325,.F.); +#21024=ORIENTED_EDGE('',*,*,#21023,.T.); +#21025=ORIENTED_EDGE('',*,*,#20884,.T.); +#21026=ORIENTED_EDGE('',*,*,#21011,.F.); +#21027=EDGE_LOOP('',(#21022,#21024,#21025,#21026)); +#21028=FACE_OUTER_BOUND('',#21027,.F.); +#21030=CARTESIAN_POINT('',(2.02E1,5.2E0,-2.E1)); +#21031=DIRECTION('',(0.E0,1.E0,0.E0)); +#21032=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21033=AXIS2_PLACEMENT_3D('',#21030,#21031,#21032); +#21034=PLANE('',#21033); +#21035=ORIENTED_EDGE('',*,*,#20783,.T.); +#21036=ORIENTED_EDGE('',*,*,#20886,.T.); +#21037=ORIENTED_EDGE('',*,*,#21023,.F.); +#21038=ORIENTED_EDGE('',*,*,#13323,.F.); +#21039=EDGE_LOOP('',(#21035,#21036,#21037,#21038)); +#21040=FACE_OUTER_BOUND('',#21039,.F.); +#21042=CARTESIAN_POINT('',(1.55E1,5.6E0,0.E0)); +#21043=DIRECTION('',(0.E0,0.E0,1.E0)); +#21044=DIRECTION('',(1.E0,0.E0,0.E0)); +#21045=AXIS2_PLACEMENT_3D('',#21042,#21043,#21044); +#21046=CYLINDRICAL_SURFACE('',#21045,4.7E0); +#21047=ORIENTED_EDGE('',*,*,#12893,.F.); +#21048=ORIENTED_EDGE('',*,*,#20786,.T.); +#21049=ORIENTED_EDGE('',*,*,#13319,.T.); +#21050=ORIENTED_EDGE('',*,*,#13040,.F.); +#21051=EDGE_LOOP('',(#21047,#21048,#21049,#21050)); +#21052=FACE_OUTER_BOUND('',#21051,.F.); +#21054=CARTESIAN_POINT('',(-1.275E1,-8.6E0,-2.E1)); +#21055=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21056=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21057=AXIS2_PLACEMENT_3D('',#21054,#21055,#21056); +#21058=PLANE('',#21057); +#21060=ORIENTED_EDGE('',*,*,#21059,.T.); +#21061=ORIENTED_EDGE('',*,*,#20228,.T.); +#21062=ORIENTED_EDGE('',*,*,#20598,.F.); +#21063=ORIENTED_EDGE('',*,*,#13403,.T.); +#21064=EDGE_LOOP('',(#21060,#21061,#21062,#21063)); +#21065=FACE_OUTER_BOUND('',#21064,.F.); +#21067=CARTESIAN_POINT('',(-1.225E1,-9.1E0,-8.770957E1)); +#21068=DIRECTION('',(0.E0,0.E0,1.E0)); +#21069=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21070=AXIS2_PLACEMENT_3D('',#21067,#21068,#21069); +#21071=CYLINDRICAL_SURFACE('',#21070,5.E-1); +#21072=ORIENTED_EDGE('',*,*,#20222,.T.); +#21073=ORIENTED_EDGE('',*,*,#21059,.F.); +#21074=ORIENTED_EDGE('',*,*,#13401,.T.); +#21076=ORIENTED_EDGE('',*,*,#21075,.T.); +#21077=EDGE_LOOP('',(#21072,#21073,#21074,#21076)); +#21078=FACE_OUTER_BOUND('',#21077,.F.); +#21080=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#21081=DIRECTION('',(1.E0,0.E0,0.E0)); +#21082=DIRECTION('',(0.E0,1.E0,0.E0)); +#21083=AXIS2_PLACEMENT_3D('',#21080,#21081,#21082); +#21084=PLANE('',#21083); +#21085=ORIENTED_EDGE('',*,*,#21075,.F.); +#21086=ORIENTED_EDGE('',*,*,#13399,.F.); +#21087=ORIENTED_EDGE('',*,*,#20601,.T.); +#21088=ORIENTED_EDGE('',*,*,#20224,.T.); +#21089=EDGE_LOOP('',(#21085,#21086,#21087,#21088)); +#21090=FACE_OUTER_BOUND('',#21089,.F.); +#21092=CARTESIAN_POINT('',(-9.25E0,-8.6E0,-2.E1)); +#21093=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21094=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21095=AXIS2_PLACEMENT_3D('',#21092,#21093,#21094); +#21096=PLANE('',#21095); +#21098=ORIENTED_EDGE('',*,*,#21097,.T.); +#21099=ORIENTED_EDGE('',*,*,#20212,.T.); +#21100=ORIENTED_EDGE('',*,*,#20604,.F.); +#21101=ORIENTED_EDGE('',*,*,#13395,.T.); +#21102=EDGE_LOOP('',(#21098,#21099,#21100,#21101)); +#21103=FACE_OUTER_BOUND('',#21102,.F.); +#21105=CARTESIAN_POINT('',(-8.75E0,-9.1E0,-8.770957E1)); +#21106=DIRECTION('',(0.E0,0.E0,1.E0)); +#21107=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21108=AXIS2_PLACEMENT_3D('',#21105,#21106,#21107); +#21109=CYLINDRICAL_SURFACE('',#21108,5.E-1); +#21110=ORIENTED_EDGE('',*,*,#20206,.T.); +#21111=ORIENTED_EDGE('',*,*,#21097,.F.); +#21112=ORIENTED_EDGE('',*,*,#13393,.T.); +#21114=ORIENTED_EDGE('',*,*,#21113,.T.); +#21115=EDGE_LOOP('',(#21110,#21111,#21112,#21114)); +#21116=FACE_OUTER_BOUND('',#21115,.F.); +#21118=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#21119=DIRECTION('',(1.E0,0.E0,0.E0)); +#21120=DIRECTION('',(0.E0,1.E0,0.E0)); +#21121=AXIS2_PLACEMENT_3D('',#21118,#21119,#21120); +#21122=PLANE('',#21121); +#21123=ORIENTED_EDGE('',*,*,#21113,.F.); +#21124=ORIENTED_EDGE('',*,*,#13391,.F.); +#21125=ORIENTED_EDGE('',*,*,#20607,.T.); +#21126=ORIENTED_EDGE('',*,*,#20208,.T.); +#21127=EDGE_LOOP('',(#21123,#21124,#21125,#21126)); +#21128=FACE_OUTER_BOUND('',#21127,.F.); +#21130=CARTESIAN_POINT('',(-5.75E0,-8.6E0,-2.E1)); +#21131=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21132=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21133=AXIS2_PLACEMENT_3D('',#21130,#21131,#21132); +#21134=PLANE('',#21133); +#21136=ORIENTED_EDGE('',*,*,#21135,.T.); +#21137=ORIENTED_EDGE('',*,*,#20196,.T.); +#21138=ORIENTED_EDGE('',*,*,#20610,.F.); +#21139=ORIENTED_EDGE('',*,*,#13387,.T.); +#21140=EDGE_LOOP('',(#21136,#21137,#21138,#21139)); +#21141=FACE_OUTER_BOUND('',#21140,.F.); +#21143=CARTESIAN_POINT('',(-5.25E0,-9.1E0,-8.770957E1)); +#21144=DIRECTION('',(0.E0,0.E0,1.E0)); +#21145=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21146=AXIS2_PLACEMENT_3D('',#21143,#21144,#21145); +#21147=CYLINDRICAL_SURFACE('',#21146,5.E-1); +#21148=ORIENTED_EDGE('',*,*,#20190,.T.); +#21149=ORIENTED_EDGE('',*,*,#21135,.F.); +#21150=ORIENTED_EDGE('',*,*,#13385,.T.); +#21152=ORIENTED_EDGE('',*,*,#21151,.T.); +#21153=EDGE_LOOP('',(#21148,#21149,#21150,#21152)); +#21154=FACE_OUTER_BOUND('',#21153,.F.); +#21156=CARTESIAN_POINT('',(-4.75E0,-1.03E1,-2.E1)); +#21157=DIRECTION('',(1.E0,0.E0,0.E0)); +#21158=DIRECTION('',(0.E0,1.E0,0.E0)); +#21159=AXIS2_PLACEMENT_3D('',#21156,#21157,#21158); +#21160=PLANE('',#21159); +#21161=ORIENTED_EDGE('',*,*,#21151,.F.); +#21162=ORIENTED_EDGE('',*,*,#13383,.F.); +#21163=ORIENTED_EDGE('',*,*,#20613,.T.); +#21164=ORIENTED_EDGE('',*,*,#20192,.T.); +#21165=EDGE_LOOP('',(#21161,#21162,#21163,#21164)); +#21166=FACE_OUTER_BOUND('',#21165,.F.); +#21168=CARTESIAN_POINT('',(-2.25E0,-8.6E0,-2.E1)); +#21169=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21170=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21171=AXIS2_PLACEMENT_3D('',#21168,#21169,#21170); +#21172=PLANE('',#21171); +#21173=ORIENTED_EDGE('',*,*,#13379,.T.); +#21175=ORIENTED_EDGE('',*,*,#21174,.F.); +#21176=ORIENTED_EDGE('',*,*,#20298,.T.); +#21177=ORIENTED_EDGE('',*,*,#20616,.F.); +#21178=EDGE_LOOP('',(#21173,#21175,#21176,#21177)); +#21179=FACE_OUTER_BOUND('',#21178,.F.); +#21181=CARTESIAN_POINT('',(-1.75E0,-9.1E0,4.963457E1)); +#21182=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21183=DIRECTION('',(1.E0,0.E0,0.E0)); +#21184=AXIS2_PLACEMENT_3D('',#21181,#21182,#21183); +#21185=CYLINDRICAL_SURFACE('',#21184,5.E-1); +#21187=ORIENTED_EDGE('',*,*,#21186,.F.); +#21188=ORIENTED_EDGE('',*,*,#20300,.T.); +#21189=ORIENTED_EDGE('',*,*,#21174,.T.); +#21190=ORIENTED_EDGE('',*,*,#13377,.T.); +#21191=EDGE_LOOP('',(#21187,#21188,#21189,#21190)); +#21192=FACE_OUTER_BOUND('',#21191,.F.); +#21194=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#21195=DIRECTION('',(1.E0,0.E0,0.E0)); +#21196=DIRECTION('',(0.E0,1.E0,0.E0)); +#21197=AXIS2_PLACEMENT_3D('',#21194,#21195,#21196); +#21198=PLANE('',#21197); +#21199=ORIENTED_EDGE('',*,*,#13375,.F.); +#21200=ORIENTED_EDGE('',*,*,#20619,.T.); +#21201=ORIENTED_EDGE('',*,*,#20302,.T.); +#21202=ORIENTED_EDGE('',*,*,#21186,.T.); +#21203=EDGE_LOOP('',(#21199,#21200,#21201,#21202)); +#21204=FACE_OUTER_BOUND('',#21203,.F.); +#21206=CARTESIAN_POINT('',(2.25E0,8.6E0,-2.E1)); +#21207=DIRECTION('',(1.E0,0.E0,0.E0)); +#21208=DIRECTION('',(0.E0,1.E0,0.E0)); +#21209=AXIS2_PLACEMENT_3D('',#21206,#21207,#21208); +#21210=PLANE('',#21209); +#21211=ORIENTED_EDGE('',*,*,#13295,.T.); +#21213=ORIENTED_EDGE('',*,*,#21212,.F.); +#21214=ORIENTED_EDGE('',*,*,#20240,.T.); +#21215=ORIENTED_EDGE('',*,*,#13068,.F.); +#21216=EDGE_LOOP('',(#21211,#21213,#21214,#21215)); +#21217=FACE_OUTER_BOUND('',#21216,.F.); +#21219=CARTESIAN_POINT('',(1.75E0,9.1E0,4.963457E1)); +#21220=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21221=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21222=AXIS2_PLACEMENT_3D('',#21219,#21220,#21221); +#21223=CYLINDRICAL_SURFACE('',#21222,5.E-1); +#21224=ORIENTED_EDGE('',*,*,#13085,.F.); +#21225=ORIENTED_EDGE('',*,*,#20242,.T.); +#21226=ORIENTED_EDGE('',*,*,#21212,.T.); +#21227=ORIENTED_EDGE('',*,*,#13293,.T.); +#21228=EDGE_LOOP('',(#21224,#21225,#21226,#21227)); +#21229=FACE_OUTER_BOUND('',#21228,.F.); +#21231=CARTESIAN_POINT('',(-8.25E0,8.6E0,-2.E1)); +#21232=DIRECTION('',(1.E0,0.E0,0.E0)); +#21233=DIRECTION('',(0.E0,1.E0,0.E0)); +#21234=AXIS2_PLACEMENT_3D('',#21231,#21232,#21233); +#21235=PLANE('',#21234); +#21236=ORIENTED_EDGE('',*,*,#13275,.T.); +#21238=ORIENTED_EDGE('',*,*,#21237,.F.); +#21239=ORIENTED_EDGE('',*,*,#20286,.T.); +#21240=ORIENTED_EDGE('',*,*,#13022,.F.); +#21241=EDGE_LOOP('',(#21236,#21238,#21239,#21240)); +#21242=FACE_OUTER_BOUND('',#21241,.F.); +#21244=CARTESIAN_POINT('',(-8.75E0,9.1E0,4.963457E1)); +#21245=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21246=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21247=AXIS2_PLACEMENT_3D('',#21244,#21245,#21246); +#21248=CYLINDRICAL_SURFACE('',#21247,5.E-1); +#21249=ORIENTED_EDGE('',*,*,#13273,.T.); +#21250=ORIENTED_EDGE('',*,*,#20058,.F.); +#21251=ORIENTED_EDGE('',*,*,#20288,.T.); +#21252=ORIENTED_EDGE('',*,*,#21237,.T.); +#21253=EDGE_LOOP('',(#21249,#21250,#21251,#21252)); +#21254=FACE_OUTER_BOUND('',#21253,.F.); +#21256=CARTESIAN_POINT('',(2.006810784349E1,1.13E1,-5.E-1)); +#21257=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21258=DIRECTION('',(0.E0,0.E0,1.E0)); +#21259=AXIS2_PLACEMENT_3D('',#21256,#21257,#21258); +#21260=CYLINDRICAL_SURFACE('',#21259,5.E-1); +#21262=ORIENTED_EDGE('',*,*,#21261,.F.); +#21264=ORIENTED_EDGE('',*,*,#21263,.F.); +#21265=ORIENTED_EDGE('',*,*,#12817,.T.); +#21267=ORIENTED_EDGE('',*,*,#21266,.T.); +#21268=EDGE_LOOP('',(#21262,#21264,#21265,#21267)); +#21269=FACE_OUTER_BOUND('',#21268,.F.); +#21271=CARTESIAN_POINT('',(1.55E1,1.18E1,0.E0)); +#21272=DIRECTION('',(0.E0,1.E0,0.E0)); +#21273=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21274=AXIS2_PLACEMENT_3D('',#21271,#21272,#21273); +#21275=PLANE('',#21274); +#21276=ORIENTED_EDGE('',*,*,#21261,.T.); +#21278=ORIENTED_EDGE('',*,*,#21277,.T.); +#21280=ORIENTED_EDGE('',*,*,#21279,.F.); +#21282=ORIENTED_EDGE('',*,*,#21281,.F.); +#21284=ORIENTED_EDGE('',*,*,#21283,.T.); +#21286=ORIENTED_EDGE('',*,*,#21285,.T.); +#21288=ORIENTED_EDGE('',*,*,#21287,.F.); +#21290=ORIENTED_EDGE('',*,*,#21289,.F.); +#21292=ORIENTED_EDGE('',*,*,#21291,.T.); +#21294=ORIENTED_EDGE('',*,*,#21293,.T.); +#21296=ORIENTED_EDGE('',*,*,#21295,.T.); +#21298=ORIENTED_EDGE('',*,*,#21297,.F.); +#21300=ORIENTED_EDGE('',*,*,#21299,.T.); +#21302=ORIENTED_EDGE('',*,*,#21301,.T.); +#21304=ORIENTED_EDGE('',*,*,#21303,.T.); +#21306=ORIENTED_EDGE('',*,*,#21305,.F.); +#21307=EDGE_LOOP('',(#21276,#21278,#21280,#21282,#21284,#21286,#21288,#21290, +#21292,#21294,#21296,#21298,#21300,#21302,#21304,#21306)); +#21308=FACE_OUTER_BOUND('',#21307,.F.); +#21310=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-5.4E0)); +#21311=DIRECTION('',(-9.961946980917E-1,-8.715574274766E-2,0.E0)); +#21312=DIRECTION('',(8.715574274766E-2,-9.961946980917E-1,0.E0)); +#21313=AXIS2_PLACEMENT_3D('',#21310,#21311,#21312); +#21314=PLANE('',#21313); +#21315=ORIENTED_EDGE('',*,*,#21277,.F.); +#21316=ORIENTED_EDGE('',*,*,#21266,.F.); +#21317=ORIENTED_EDGE('',*,*,#12847,.T.); +#21319=ORIENTED_EDGE('',*,*,#21318,.F.); +#21321=ORIENTED_EDGE('',*,*,#21320,.F.); +#21323=ORIENTED_EDGE('',*,*,#21322,.T.); +#21324=EDGE_LOOP('',(#21315,#21316,#21317,#21319,#21321,#21323)); +#21325=FACE_OUTER_BOUND('',#21324,.F.); +#21327=CARTESIAN_POINT('',(-4.5E0,1.38E1,-3.9E0)); +#21328=DIRECTION('',(0.E0,8.898174628127E-1,4.563166475963E-1)); +#21329=DIRECTION('',(0.E0,-4.563166475963E-1,8.898174628127E-1)); +#21330=AXIS2_PLACEMENT_3D('',#21327,#21328,#21329); +#21331=PLANE('',#21330); +#21332=ORIENTED_EDGE('',*,*,#21318,.T.); +#21333=ORIENTED_EDGE('',*,*,#12845,.F.); +#21335=ORIENTED_EDGE('',*,*,#21334,.F.); +#21337=ORIENTED_EDGE('',*,*,#21336,.T.); +#21338=EDGE_LOOP('',(#21332,#21333,#21335,#21337)); +#21339=FACE_OUTER_BOUND('',#21338,.F.); +#21341=CARTESIAN_POINT('',(-4.5E0,1.38E1,-3.9E0)); +#21342=DIRECTION('',(0.E0,8.898174628127E-1,4.563166475963E-1)); +#21343=DIRECTION('',(0.E0,-4.563166475963E-1,8.898174628127E-1)); +#21344=AXIS2_PLACEMENT_3D('',#21341,#21342,#21343); +#21345=PLANE('',#21344); +#21347=ORIENTED_EDGE('',*,*,#21346,.F.); +#21349=ORIENTED_EDGE('',*,*,#21348,.T.); +#21351=ORIENTED_EDGE('',*,*,#21350,.T.); +#21352=ORIENTED_EDGE('',*,*,#12837,.F.); +#21353=EDGE_LOOP('',(#21347,#21349,#21351,#21352)); +#21354=FACE_OUTER_BOUND('',#21353,.F.); +#21356=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.4E0)); +#21357=DIRECTION('',(9.961946980917E-1,-8.715574274766E-2,0.E0)); +#21358=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#21359=AXIS2_PLACEMENT_3D('',#21356,#21357,#21358); +#21360=PLANE('',#21359); +#21361=ORIENTED_EDGE('',*,*,#21334,.T.); +#21362=ORIENTED_EDGE('',*,*,#12843,.F.); +#21364=ORIENTED_EDGE('',*,*,#21363,.F.); +#21365=ORIENTED_EDGE('',*,*,#21281,.T.); +#21367=ORIENTED_EDGE('',*,*,#21366,.T.); +#21369=ORIENTED_EDGE('',*,*,#21368,.T.); +#21370=EDGE_LOOP('',(#21361,#21362,#21364,#21365,#21367,#21369)); +#21371=FACE_OUTER_BOUND('',#21370,.F.); +#21373=CARTESIAN_POINT('',(1.0965888E2,1.13E1,-5.E-1)); +#21374=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21375=DIRECTION('',(0.E0,0.E0,1.E0)); +#21376=AXIS2_PLACEMENT_3D('',#21373,#21374,#21375); +#21377=CYLINDRICAL_SURFACE('',#21376,5.E-1); +#21378=ORIENTED_EDGE('',*,*,#21283,.F.); +#21379=ORIENTED_EDGE('',*,*,#21363,.T.); +#21380=ORIENTED_EDGE('',*,*,#12841,.T.); +#21382=ORIENTED_EDGE('',*,*,#21381,.T.); +#21383=EDGE_LOOP('',(#21378,#21379,#21380,#21382)); +#21384=FACE_OUTER_BOUND('',#21383,.F.); +#21386=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-5.4E0)); +#21387=DIRECTION('',(-9.961946980917E-1,-8.715574274766E-2,0.E0)); +#21388=DIRECTION('',(8.715574274766E-2,-9.961946980917E-1,0.E0)); +#21389=AXIS2_PLACEMENT_3D('',#21386,#21387,#21388); +#21390=PLANE('',#21389); +#21391=ORIENTED_EDGE('',*,*,#21285,.F.); +#21392=ORIENTED_EDGE('',*,*,#21381,.F.); +#21393=ORIENTED_EDGE('',*,*,#12839,.T.); +#21394=ORIENTED_EDGE('',*,*,#21350,.F.); +#21396=ORIENTED_EDGE('',*,*,#21395,.F.); +#21398=ORIENTED_EDGE('',*,*,#21397,.T.); +#21399=EDGE_LOOP('',(#21391,#21392,#21393,#21394,#21396,#21398)); +#21400=FACE_OUTER_BOUND('',#21399,.F.); +#21402=CARTESIAN_POINT('',(-4.5E0,1.38E1,-5.4E0)); +#21403=DIRECTION('',(0.E0,1.E0,0.E0)); +#21404=DIRECTION('',(0.E0,0.E0,1.E0)); +#21405=AXIS2_PLACEMENT_3D('',#21402,#21403,#21404); +#21406=PLANE('',#21405); +#21407=ORIENTED_EDGE('',*,*,#21320,.T.); +#21408=ORIENTED_EDGE('',*,*,#21336,.F.); +#21409=ORIENTED_EDGE('',*,*,#21368,.F.); +#21411=ORIENTED_EDGE('',*,*,#21410,.T.); +#21412=EDGE_LOOP('',(#21407,#21408,#21409,#21411)); +#21413=FACE_OUTER_BOUND('',#21412,.F.); +#21415=CARTESIAN_POINT('',(-4.5E0,1.38E1,-5.4E0)); +#21416=DIRECTION('',(0.E0,1.E0,0.E0)); +#21417=DIRECTION('',(0.E0,0.E0,1.E0)); +#21418=AXIS2_PLACEMENT_3D('',#21415,#21416,#21417); +#21419=PLANE('',#21418); +#21421=ORIENTED_EDGE('',*,*,#21420,.F.); +#21423=ORIENTED_EDGE('',*,*,#21422,.T.); +#21424=ORIENTED_EDGE('',*,*,#21395,.T.); +#21425=ORIENTED_EDGE('',*,*,#21348,.F.); +#21426=EDGE_LOOP('',(#21421,#21423,#21424,#21425)); +#21427=FACE_OUTER_BOUND('',#21426,.F.); +#21429=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#21430=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21431=DIRECTION('',(0.E0,1.E0,0.E0)); +#21432=AXIS2_PLACEMENT_3D('',#21429,#21430,#21431); +#21433=PLANE('',#21432); +#21434=ORIENTED_EDGE('',*,*,#21322,.F.); +#21435=ORIENTED_EDGE('',*,*,#21410,.F.); +#21436=ORIENTED_EDGE('',*,*,#21366,.F.); +#21437=ORIENTED_EDGE('',*,*,#21279,.T.); +#21438=EDGE_LOOP('',(#21434,#21435,#21436,#21437)); +#21439=FACE_OUTER_BOUND('',#21438,.F.); +#21441=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#21442=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21443=DIRECTION('',(0.E0,1.E0,0.E0)); +#21444=AXIS2_PLACEMENT_3D('',#21441,#21442,#21443); +#21445=PLANE('',#21444); +#21447=ORIENTED_EDGE('',*,*,#21446,.F.); +#21448=ORIENTED_EDGE('',*,*,#21287,.T.); +#21449=ORIENTED_EDGE('',*,*,#21397,.F.); +#21450=ORIENTED_EDGE('',*,*,#21422,.F.); +#21451=EDGE_LOOP('',(#21447,#21448,#21449,#21450)); +#21452=FACE_OUTER_BOUND('',#21451,.F.); +#21454=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#21455=DIRECTION('',(9.961946980917E-1,-8.715574274766E-2,0.E0)); +#21456=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#21457=AXIS2_PLACEMENT_3D('',#21454,#21455,#21456); +#21458=PLANE('',#21457); +#21459=ORIENTED_EDGE('',*,*,#21346,.T.); +#21460=ORIENTED_EDGE('',*,*,#12835,.F.); +#21462=ORIENTED_EDGE('',*,*,#21461,.F.); +#21463=ORIENTED_EDGE('',*,*,#21289,.T.); +#21464=ORIENTED_EDGE('',*,*,#21446,.T.); +#21465=ORIENTED_EDGE('',*,*,#21420,.T.); +#21466=EDGE_LOOP('',(#21459,#21460,#21462,#21463,#21464,#21465)); +#21467=FACE_OUTER_BOUND('',#21466,.F.); +#21469=CARTESIAN_POINT('',(1.0965888E2,1.13E1,-5.E-1)); +#21470=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21471=DIRECTION('',(0.E0,0.E0,1.E0)); +#21472=AXIS2_PLACEMENT_3D('',#21469,#21470,#21471); +#21473=CYLINDRICAL_SURFACE('',#21472,5.E-1); +#21474=ORIENTED_EDGE('',*,*,#12833,.T.); +#21476=ORIENTED_EDGE('',*,*,#21475,.T.); +#21477=ORIENTED_EDGE('',*,*,#21291,.F.); +#21478=ORIENTED_EDGE('',*,*,#21461,.T.); +#21479=EDGE_LOOP('',(#21474,#21476,#21477,#21478)); +#21480=FACE_OUTER_BOUND('',#21479,.F.); +#21482=CARTESIAN_POINT('',(-1.55E1,5.6E0,-5.E-1)); +#21483=DIRECTION('',(0.E0,0.E0,1.E0)); +#21484=DIRECTION('',(1.904850004442E-2,9.998185608629E-1,0.E0)); +#21485=AXIS2_PLACEMENT_3D('',#21482,#21483,#21484); +#21486=TOROIDAL_SURFACE('',#21485,5.7E0,5.E-1); +#21487=ORIENTED_EDGE('',*,*,#12831,.T.); +#21489=ORIENTED_EDGE('',*,*,#21488,.T.); +#21491=ORIENTED_EDGE('',*,*,#21490,.F.); +#21492=ORIENTED_EDGE('',*,*,#21475,.F.); +#21493=EDGE_LOOP('',(#21487,#21489,#21491,#21492)); +#21494=FACE_OUTER_BOUND('',#21493,.F.); +#21496=CARTESIAN_POINT('',(-2.12E1,6.787429933025E0,-5.E-1)); +#21497=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21498=DIRECTION('',(0.E0,0.E0,1.E0)); +#21499=AXIS2_PLACEMENT_3D('',#21496,#21497,#21498); +#21500=CYLINDRICAL_SURFACE('',#21499,5.E-1); +#21501=ORIENTED_EDGE('',*,*,#12829,.T.); +#21503=ORIENTED_EDGE('',*,*,#21502,.T.); +#21505=ORIENTED_EDGE('',*,*,#21504,.F.); +#21506=ORIENTED_EDGE('',*,*,#21488,.F.); +#21507=EDGE_LOOP('',(#21501,#21503,#21505,#21506)); +#21508=FACE_OUTER_BOUND('',#21507,.F.); +#21510=CARTESIAN_POINT('',(-1.55E1,-5.6E0,-5.E-1)); +#21511=DIRECTION('',(0.E0,0.E0,1.E0)); +#21512=DIRECTION('',(-9.998185608629E-1,1.904850004442E-2,0.E0)); +#21513=AXIS2_PLACEMENT_3D('',#21510,#21511,#21512); +#21514=TOROIDAL_SURFACE('',#21513,5.7E0,5.E-1); +#21515=ORIENTED_EDGE('',*,*,#12827,.T.); +#21517=ORIENTED_EDGE('',*,*,#21516,.T.); +#21519=ORIENTED_EDGE('',*,*,#21518,.F.); +#21520=ORIENTED_EDGE('',*,*,#21502,.F.); +#21521=EDGE_LOOP('',(#21515,#21517,#21519,#21520)); +#21522=FACE_OUTER_BOUND('',#21521,.F.); +#21524=CARTESIAN_POINT('',(-1.561810784349E1,-1.13E1,-5.E-1)); +#21525=DIRECTION('',(1.E0,0.E0,0.E0)); +#21526=DIRECTION('',(0.E0,0.E0,1.E0)); +#21527=AXIS2_PLACEMENT_3D('',#21524,#21525,#21526); +#21528=CYLINDRICAL_SURFACE('',#21527,5.E-1); +#21529=ORIENTED_EDGE('',*,*,#12825,.T.); +#21531=ORIENTED_EDGE('',*,*,#21530,.T.); +#21533=ORIENTED_EDGE('',*,*,#21532,.F.); +#21534=ORIENTED_EDGE('',*,*,#21516,.F.); +#21535=EDGE_LOOP('',(#21529,#21531,#21533,#21534)); +#21536=FACE_OUTER_BOUND('',#21535,.F.); +#21538=CARTESIAN_POINT('',(1.55E1,-5.6E0,-5.E-1)); +#21539=DIRECTION('',(0.E0,0.E0,1.E0)); +#21540=DIRECTION('',(-1.904850004442E-2,-9.998185608629E-1,0.E0)); +#21541=AXIS2_PLACEMENT_3D('',#21538,#21539,#21540); +#21542=TOROIDAL_SURFACE('',#21541,5.7E0,5.E-1); +#21543=ORIENTED_EDGE('',*,*,#12823,.T.); +#21545=ORIENTED_EDGE('',*,*,#21544,.T.); +#21547=ORIENTED_EDGE('',*,*,#21546,.F.); +#21548=ORIENTED_EDGE('',*,*,#21530,.F.); +#21549=EDGE_LOOP('',(#21543,#21545,#21547,#21548)); +#21550=FACE_OUTER_BOUND('',#21549,.F.); +#21552=CARTESIAN_POINT('',(2.12E1,-5.718107843490E0,-5.E-1)); +#21553=DIRECTION('',(0.E0,1.E0,0.E0)); +#21554=DIRECTION('',(0.E0,0.E0,1.E0)); +#21555=AXIS2_PLACEMENT_3D('',#21552,#21553,#21554); +#21556=CYLINDRICAL_SURFACE('',#21555,5.E-1); +#21557=ORIENTED_EDGE('',*,*,#12821,.T.); +#21559=ORIENTED_EDGE('',*,*,#21558,.T.); +#21561=ORIENTED_EDGE('',*,*,#21560,.F.); +#21562=ORIENTED_EDGE('',*,*,#21544,.F.); +#21563=EDGE_LOOP('',(#21557,#21559,#21561,#21562)); +#21564=FACE_OUTER_BOUND('',#21563,.F.); +#21566=CARTESIAN_POINT('',(1.55E1,5.6E0,-5.E-1)); +#21567=DIRECTION('',(0.E0,0.E0,1.E0)); +#21568=DIRECTION('',(9.998185608629E-1,-1.904850004442E-2,0.E0)); +#21569=AXIS2_PLACEMENT_3D('',#21566,#21567,#21568); +#21570=TOROIDAL_SURFACE('',#21569,5.7E0,5.E-1); +#21571=ORIENTED_EDGE('',*,*,#12819,.T.); +#21572=ORIENTED_EDGE('',*,*,#21263,.T.); +#21574=ORIENTED_EDGE('',*,*,#21573,.F.); +#21575=ORIENTED_EDGE('',*,*,#21558,.F.); +#21576=EDGE_LOOP('',(#21571,#21572,#21574,#21575)); +#21577=FACE_OUTER_BOUND('',#21576,.F.); +#21579=CARTESIAN_POINT('',(1.55E1,5.6E0,0.E0)); +#21580=DIRECTION('',(0.E0,0.E0,1.E0)); +#21581=DIRECTION('',(1.E0,0.E0,0.E0)); +#21582=AXIS2_PLACEMENT_3D('',#21579,#21580,#21581); +#21583=CYLINDRICAL_SURFACE('',#21582,6.2E0); +#21584=ORIENTED_EDGE('',*,*,#21573,.T.); +#21585=ORIENTED_EDGE('',*,*,#21305,.T.); +#21587=ORIENTED_EDGE('',*,*,#21586,.F.); +#21589=ORIENTED_EDGE('',*,*,#21588,.F.); +#21590=EDGE_LOOP('',(#21584,#21585,#21587,#21589)); +#21591=FACE_OUTER_BOUND('',#21590,.F.); +#21593=CARTESIAN_POINT('',(0.E0,0.E0,-1.925E1)); +#21594=DIRECTION('',(0.E0,0.E0,1.E0)); +#21595=DIRECTION('',(1.E0,0.E0,0.E0)); +#21596=AXIS2_PLACEMENT_3D('',#21593,#21594,#21595); +#21597=PLANE('',#21596); +#21599=ORIENTED_EDGE('',*,*,#21598,.F.); +#21601=ORIENTED_EDGE('',*,*,#21600,.F.); +#21603=ORIENTED_EDGE('',*,*,#21602,.F.); +#21605=ORIENTED_EDGE('',*,*,#21604,.F.); +#21607=ORIENTED_EDGE('',*,*,#21606,.F.); +#21609=ORIENTED_EDGE('',*,*,#21608,.F.); +#21610=ORIENTED_EDGE('',*,*,#21295,.F.); +#21612=ORIENTED_EDGE('',*,*,#21611,.T.); +#21613=EDGE_LOOP('',(#21599,#21601,#21603,#21605,#21607,#21609,#21610,#21612)); +#21614=FACE_OUTER_BOUND('',#21613,.F.); +#21616=ORIENTED_EDGE('',*,*,#21615,.F.); +#21618=ORIENTED_EDGE('',*,*,#21617,.F.); +#21619=EDGE_LOOP('',(#21616,#21618)); +#21620=FACE_BOUND('',#21619,.F.); +#21622=CARTESIAN_POINT('',(0.E0,0.E0,-1.925E1)); +#21623=DIRECTION('',(0.E0,0.E0,1.E0)); +#21624=DIRECTION('',(1.E0,0.E0,0.E0)); +#21625=AXIS2_PLACEMENT_3D('',#21622,#21623,#21624); +#21626=PLANE('',#21625); +#21628=ORIENTED_EDGE('',*,*,#21627,.F.); +#21630=ORIENTED_EDGE('',*,*,#21629,.F.); +#21632=ORIENTED_EDGE('',*,*,#21631,.F.); +#21634=ORIENTED_EDGE('',*,*,#21633,.F.); +#21636=ORIENTED_EDGE('',*,*,#21635,.F.); +#21638=ORIENTED_EDGE('',*,*,#21637,.F.); +#21640=ORIENTED_EDGE('',*,*,#21639,.T.); +#21642=ORIENTED_EDGE('',*,*,#21641,.T.); +#21643=EDGE_LOOP('',(#21628,#21630,#21632,#21634,#21636,#21638,#21640,#21642)); +#21644=FACE_OUTER_BOUND('',#21643,.F.); +#21646=ORIENTED_EDGE('',*,*,#21645,.F.); +#21648=ORIENTED_EDGE('',*,*,#21647,.F.); +#21649=EDGE_LOOP('',(#21646,#21648)); +#21650=FACE_BOUND('',#21649,.F.); +#21652=CARTESIAN_POINT('',(0.E0,0.E0,-1.925E1)); +#21653=DIRECTION('',(0.E0,0.E0,1.E0)); +#21654=DIRECTION('',(1.E0,0.E0,0.E0)); +#21655=AXIS2_PLACEMENT_3D('',#21652,#21653,#21654); +#21656=PLANE('',#21655); +#21658=ORIENTED_EDGE('',*,*,#21657,.F.); +#21660=ORIENTED_EDGE('',*,*,#21659,.F.); +#21662=ORIENTED_EDGE('',*,*,#21661,.F.); +#21664=ORIENTED_EDGE('',*,*,#21663,.F.); +#21666=ORIENTED_EDGE('',*,*,#21665,.F.); +#21668=ORIENTED_EDGE('',*,*,#21667,.F.); +#21670=ORIENTED_EDGE('',*,*,#21669,.T.); +#21672=ORIENTED_EDGE('',*,*,#21671,.T.); +#21673=EDGE_LOOP('',(#21658,#21660,#21662,#21664,#21666,#21668,#21670,#21672)); +#21674=FACE_OUTER_BOUND('',#21673,.F.); +#21676=ORIENTED_EDGE('',*,*,#21675,.F.); +#21678=ORIENTED_EDGE('',*,*,#21677,.F.); +#21679=EDGE_LOOP('',(#21676,#21678)); +#21680=FACE_BOUND('',#21679,.F.); +#21682=CARTESIAN_POINT('',(0.E0,0.E0,-1.925E1)); +#21683=DIRECTION('',(0.E0,0.E0,1.E0)); +#21684=DIRECTION('',(1.E0,0.E0,0.E0)); +#21685=AXIS2_PLACEMENT_3D('',#21682,#21683,#21684); +#21686=PLANE('',#21685); +#21687=ORIENTED_EDGE('',*,*,#21303,.F.); +#21689=ORIENTED_EDGE('',*,*,#21688,.F.); +#21691=ORIENTED_EDGE('',*,*,#21690,.F.); +#21693=ORIENTED_EDGE('',*,*,#21692,.F.); +#21695=ORIENTED_EDGE('',*,*,#21694,.F.); +#21697=ORIENTED_EDGE('',*,*,#21696,.F.); +#21699=ORIENTED_EDGE('',*,*,#21698,.F.); +#21700=ORIENTED_EDGE('',*,*,#21586,.T.); +#21701=EDGE_LOOP('',(#21687,#21689,#21691,#21693,#21695,#21697,#21699,#21700)); +#21702=FACE_OUTER_BOUND('',#21701,.F.); +#21704=ORIENTED_EDGE('',*,*,#21703,.F.); +#21706=ORIENTED_EDGE('',*,*,#21705,.F.); +#21707=EDGE_LOOP('',(#21704,#21706)); +#21708=FACE_BOUND('',#21707,.F.); +#21710=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.E1)); +#21711=DIRECTION('',(0.E0,0.E0,1.E0)); +#21712=DIRECTION('',(1.E0,0.E0,0.E0)); +#21713=AXIS2_PLACEMENT_3D('',#21710,#21711,#21712); +#21714=CYLINDRICAL_SURFACE('',#21713,1.085E0); +#21716=ORIENTED_EDGE('',*,*,#21715,.T.); +#21718=ORIENTED_EDGE('',*,*,#21717,.T.); +#21719=ORIENTED_EDGE('',*,*,#21703,.T.); +#21721=ORIENTED_EDGE('',*,*,#21720,.F.); +#21722=EDGE_LOOP('',(#21716,#21718,#21719,#21721)); +#21723=FACE_OUTER_BOUND('',#21722,.F.); +#21725=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#21726=DIRECTION('',(0.E0,0.E0,1.E0)); +#21727=DIRECTION('',(1.E0,0.E0,0.E0)); +#21728=AXIS2_PLACEMENT_3D('',#21725,#21726,#21727); +#21729=PLANE('',#21728); +#21731=ORIENTED_EDGE('',*,*,#21730,.F.); +#21733=ORIENTED_EDGE('',*,*,#21732,.F.); +#21735=ORIENTED_EDGE('',*,*,#21734,.F.); +#21737=ORIENTED_EDGE('',*,*,#21736,.F.); +#21739=ORIENTED_EDGE('',*,*,#21738,.F.); +#21741=ORIENTED_EDGE('',*,*,#21740,.F.); +#21743=ORIENTED_EDGE('',*,*,#21742,.F.); +#21745=ORIENTED_EDGE('',*,*,#21744,.F.); +#21746=EDGE_LOOP('',(#21731,#21733,#21735,#21737,#21739,#21741,#21743,#21745)); +#21747=FACE_OUTER_BOUND('',#21746,.F.); +#21749=ORIENTED_EDGE('',*,*,#21748,.T.); +#21751=ORIENTED_EDGE('',*,*,#21750,.T.); +#21753=ORIENTED_EDGE('',*,*,#21752,.T.); +#21755=ORIENTED_EDGE('',*,*,#21754,.T.); +#21757=ORIENTED_EDGE('',*,*,#21756,.T.); +#21759=ORIENTED_EDGE('',*,*,#21758,.T.); +#21761=ORIENTED_EDGE('',*,*,#21760,.T.); +#21763=ORIENTED_EDGE('',*,*,#21762,.T.); +#21764=EDGE_LOOP('',(#21749,#21751,#21753,#21755,#21757,#21759,#21761,#21763)); +#21765=FACE_BOUND('',#21764,.F.); +#21767=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#21768=DIRECTION('',(0.E0,0.E0,1.E0)); +#21769=DIRECTION('',(1.E0,0.E0,0.E0)); +#21770=AXIS2_PLACEMENT_3D('',#21767,#21768,#21769); +#21771=PLANE('',#21770); +#21772=ORIENTED_EDGE('',*,*,#21715,.F.); +#21774=ORIENTED_EDGE('',*,*,#21773,.F.); +#21775=EDGE_LOOP('',(#21772,#21774)); +#21776=FACE_OUTER_BOUND('',#21775,.F.); +#21778=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#21779=DIRECTION('',(0.E0,0.E0,1.E0)); +#21780=DIRECTION('',(1.E0,0.E0,0.E0)); +#21781=AXIS2_PLACEMENT_3D('',#21778,#21779,#21780); +#21782=PLANE('',#21781); +#21784=ORIENTED_EDGE('',*,*,#21783,.F.); +#21786=ORIENTED_EDGE('',*,*,#21785,.F.); +#21787=EDGE_LOOP('',(#21784,#21786)); +#21788=FACE_OUTER_BOUND('',#21787,.F.); +#21790=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#21791=DIRECTION('',(0.E0,0.E0,1.E0)); +#21792=DIRECTION('',(1.E0,0.E0,0.E0)); +#21793=AXIS2_PLACEMENT_3D('',#21790,#21791,#21792); +#21794=PLANE('',#21793); +#21796=ORIENTED_EDGE('',*,*,#21795,.F.); +#21798=ORIENTED_EDGE('',*,*,#21797,.F.); +#21799=EDGE_LOOP('',(#21796,#21798)); +#21800=FACE_OUTER_BOUND('',#21799,.F.); +#21802=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#21803=DIRECTION('',(0.E0,0.E0,1.E0)); +#21804=DIRECTION('',(1.E0,0.E0,0.E0)); +#21805=AXIS2_PLACEMENT_3D('',#21802,#21803,#21804); +#21806=PLANE('',#21805); +#21808=ORIENTED_EDGE('',*,*,#21807,.F.); +#21810=ORIENTED_EDGE('',*,*,#21809,.F.); +#21811=EDGE_LOOP('',(#21808,#21810)); +#21812=FACE_OUTER_BOUND('',#21811,.F.); +#21814=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#21815=DIRECTION('',(0.E0,0.E0,1.E0)); +#21816=DIRECTION('',(1.E0,0.E0,0.E0)); +#21817=AXIS2_PLACEMENT_3D('',#21814,#21815,#21816); +#21818=PLANE('',#21817); +#21820=ORIENTED_EDGE('',*,*,#21819,.T.); +#21822=ORIENTED_EDGE('',*,*,#21821,.T.); +#21824=ORIENTED_EDGE('',*,*,#21823,.T.); +#21826=ORIENTED_EDGE('',*,*,#21825,.T.); +#21828=ORIENTED_EDGE('',*,*,#21827,.T.); +#21830=ORIENTED_EDGE('',*,*,#21829,.T.); +#21832=ORIENTED_EDGE('',*,*,#21831,.T.); +#21834=ORIENTED_EDGE('',*,*,#21833,.T.); +#21835=EDGE_LOOP('',(#21820,#21822,#21824,#21826,#21828,#21830,#21832,#21834)); +#21836=FACE_OUTER_BOUND('',#21835,.F.); +#21838=ORIENTED_EDGE('',*,*,#21837,.T.); +#21840=ORIENTED_EDGE('',*,*,#21839,.T.); +#21842=ORIENTED_EDGE('',*,*,#21841,.T.); +#21844=ORIENTED_EDGE('',*,*,#21843,.F.); +#21845=ORIENTED_EDGE('',*,*,#21299,.F.); +#21847=ORIENTED_EDGE('',*,*,#21846,.F.); +#21849=ORIENTED_EDGE('',*,*,#21848,.T.); +#21851=ORIENTED_EDGE('',*,*,#21850,.T.); +#21853=ORIENTED_EDGE('',*,*,#21852,.T.); +#21855=ORIENTED_EDGE('',*,*,#21854,.F.); +#21857=ORIENTED_EDGE('',*,*,#21856,.F.); +#21859=ORIENTED_EDGE('',*,*,#21858,.F.); +#21861=ORIENTED_EDGE('',*,*,#21860,.T.); +#21863=ORIENTED_EDGE('',*,*,#21862,.T.); +#21865=ORIENTED_EDGE('',*,*,#21864,.T.); +#21867=ORIENTED_EDGE('',*,*,#21866,.T.); +#21869=ORIENTED_EDGE('',*,*,#21868,.T.); +#21871=ORIENTED_EDGE('',*,*,#21870,.T.); +#21873=ORIENTED_EDGE('',*,*,#21872,.T.); +#21875=ORIENTED_EDGE('',*,*,#21874,.T.); +#21877=ORIENTED_EDGE('',*,*,#21876,.T.); +#21879=ORIENTED_EDGE('',*,*,#21878,.T.); +#21881=ORIENTED_EDGE('',*,*,#21880,.T.); +#21883=ORIENTED_EDGE('',*,*,#21882,.T.); +#21885=ORIENTED_EDGE('',*,*,#21884,.T.); +#21887=ORIENTED_EDGE('',*,*,#21886,.F.); +#21889=ORIENTED_EDGE('',*,*,#21888,.F.); +#21891=ORIENTED_EDGE('',*,*,#21890,.F.); +#21892=EDGE_LOOP('',(#21838,#21840,#21842,#21844,#21845,#21847,#21849,#21851, +#21853,#21855,#21857,#21859,#21861,#21863,#21865,#21867,#21869,#21871,#21873, +#21875,#21877,#21879,#21881,#21883,#21885,#21887,#21889,#21891)); +#21893=FACE_BOUND('',#21892,.F.); +#21895=CARTESIAN_POINT('',(-2.54E1,1.105E1,-2.E1)); +#21896=DIRECTION('',(0.E0,0.E0,1.E0)); +#21897=DIRECTION('',(1.E0,0.E0,0.E0)); +#21898=AXIS2_PLACEMENT_3D('',#21895,#21896,#21897); +#21899=CYLINDRICAL_SURFACE('',#21898,5.E0); +#21901=ORIENTED_EDGE('',*,*,#21900,.T.); +#21903=ORIENTED_EDGE('',*,*,#21902,.F.); +#21904=ORIENTED_EDGE('',*,*,#21730,.T.); +#21906=ORIENTED_EDGE('',*,*,#21905,.T.); +#21908=ORIENTED_EDGE('',*,*,#21907,.T.); +#21910=ORIENTED_EDGE('',*,*,#21909,.F.); +#21911=EDGE_LOOP('',(#21901,#21903,#21904,#21906,#21908,#21910)); +#21912=FACE_OUTER_BOUND('',#21911,.F.); +#21914=CARTESIAN_POINT('',(-2.54E1,1.105E1,-2.15E1)); +#21915=DIRECTION('',(0.E0,0.E0,1.E0)); +#21916=DIRECTION('',(7.064465069690E-1,-7.077664394356E-1,0.E0)); +#21917=AXIS2_PLACEMENT_3D('',#21914,#21915,#21916); +#21918=TOROIDAL_SURFACE('',#21917,4.5E0,5.E-1); +#21920=ORIENTED_EDGE('',*,*,#21919,.T.); +#21922=ORIENTED_EDGE('',*,*,#21921,.T.); +#21923=ORIENTED_EDGE('',*,*,#21900,.F.); +#21925=ORIENTED_EDGE('',*,*,#21924,.T.); +#21926=EDGE_LOOP('',(#21920,#21922,#21923,#21925)); +#21927=FACE_OUTER_BOUND('',#21926,.F.); +#21929=CARTESIAN_POINT('',(0.E0,0.E0,-2.2E1)); +#21930=DIRECTION('',(0.E0,0.E0,1.E0)); +#21931=DIRECTION('',(1.E0,0.E0,0.E0)); +#21932=AXIS2_PLACEMENT_3D('',#21929,#21930,#21931); +#21933=PLANE('',#21932); +#21935=ORIENTED_EDGE('',*,*,#21934,.F.); +#21937=ORIENTED_EDGE('',*,*,#21936,.T.); +#21939=ORIENTED_EDGE('',*,*,#21938,.F.); +#21941=ORIENTED_EDGE('',*,*,#21940,.F.); +#21943=ORIENTED_EDGE('',*,*,#21942,.F.); +#21945=ORIENTED_EDGE('',*,*,#21944,.F.); +#21947=ORIENTED_EDGE('',*,*,#21946,.T.); +#21949=ORIENTED_EDGE('',*,*,#21948,.F.); +#21951=ORIENTED_EDGE('',*,*,#21950,.F.); +#21952=ORIENTED_EDGE('',*,*,#21919,.F.); +#21954=ORIENTED_EDGE('',*,*,#21953,.T.); +#21956=ORIENTED_EDGE('',*,*,#21955,.F.); +#21958=ORIENTED_EDGE('',*,*,#21957,.F.); +#21960=ORIENTED_EDGE('',*,*,#21959,.F.); +#21962=ORIENTED_EDGE('',*,*,#21961,.F.); +#21964=ORIENTED_EDGE('',*,*,#21963,.F.); +#21966=ORIENTED_EDGE('',*,*,#21965,.F.); +#21968=ORIENTED_EDGE('',*,*,#21967,.T.); +#21970=ORIENTED_EDGE('',*,*,#21969,.F.); +#21972=ORIENTED_EDGE('',*,*,#21971,.F.); +#21974=ORIENTED_EDGE('',*,*,#21973,.F.); +#21976=ORIENTED_EDGE('',*,*,#21975,.F.); +#21978=ORIENTED_EDGE('',*,*,#21977,.F.); +#21980=ORIENTED_EDGE('',*,*,#21979,.F.); +#21982=ORIENTED_EDGE('',*,*,#21981,.F.); +#21984=ORIENTED_EDGE('',*,*,#21983,.F.); +#21986=ORIENTED_EDGE('',*,*,#21985,.F.); +#21988=ORIENTED_EDGE('',*,*,#21987,.F.); +#21990=ORIENTED_EDGE('',*,*,#21989,.T.); +#21992=ORIENTED_EDGE('',*,*,#21991,.F.); +#21994=ORIENTED_EDGE('',*,*,#21993,.F.); +#21996=ORIENTED_EDGE('',*,*,#21995,.F.); +#21998=ORIENTED_EDGE('',*,*,#21997,.F.); +#22000=ORIENTED_EDGE('',*,*,#21999,.F.); +#22001=EDGE_LOOP('',(#21935,#21937,#21939,#21941,#21943,#21945,#21947,#21949, +#21951,#21952,#21954,#21956,#21958,#21960,#21962,#21964,#21966,#21968,#21970, +#21972,#21974,#21976,#21978,#21980,#21982,#21984,#21986,#21988,#21990,#21992, +#21994,#21996,#21998,#22000)); +#22002=FACE_OUTER_BOUND('',#22001,.F.); +#22004=ORIENTED_EDGE('',*,*,#22003,.F.); +#22006=ORIENTED_EDGE('',*,*,#22005,.F.); +#22008=ORIENTED_EDGE('',*,*,#22007,.F.); +#22010=ORIENTED_EDGE('',*,*,#22009,.F.); +#22011=EDGE_LOOP('',(#22004,#22006,#22008,#22010)); +#22012=FACE_BOUND('',#22011,.F.); +#22014=CARTESIAN_POINT('',(-1.67E1,7.E0,-2.2E1)); +#22015=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22016=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22017=AXIS2_PLACEMENT_3D('',#22014,#22015,#22016); +#22018=PLANE('',#22017); +#22020=ORIENTED_EDGE('',*,*,#22019,.F.); +#22022=ORIENTED_EDGE('',*,*,#22021,.T.); +#22024=ORIENTED_EDGE('',*,*,#22023,.T.); +#22026=ORIENTED_EDGE('',*,*,#22025,.F.); +#22028=ORIENTED_EDGE('',*,*,#22027,.F.); +#22030=ORIENTED_EDGE('',*,*,#22029,.T.); +#22032=ORIENTED_EDGE('',*,*,#22031,.T.); +#22034=ORIENTED_EDGE('',*,*,#22033,.F.); +#22036=ORIENTED_EDGE('',*,*,#22035,.F.); +#22038=ORIENTED_EDGE('',*,*,#22037,.F.); +#22039=ORIENTED_EDGE('',*,*,#22003,.T.); +#22041=ORIENTED_EDGE('',*,*,#22040,.T.); +#22043=ORIENTED_EDGE('',*,*,#22042,.F.); +#22045=ORIENTED_EDGE('',*,*,#22044,.T.); +#22047=ORIENTED_EDGE('',*,*,#22046,.T.); +#22049=ORIENTED_EDGE('',*,*,#22048,.F.); +#22050=EDGE_LOOP('',(#22020,#22022,#22024,#22026,#22028,#22030,#22032,#22034, +#22036,#22038,#22039,#22041,#22043,#22045,#22047,#22049)); +#22051=FACE_OUTER_BOUND('',#22050,.F.); +#22053=CARTESIAN_POINT('',(0.E0,0.E0,-2.3E1)); +#22054=DIRECTION('',(0.E0,0.E0,1.E0)); +#22055=DIRECTION('',(1.E0,0.E0,0.E0)); +#22056=AXIS2_PLACEMENT_3D('',#22053,#22054,#22055); +#22057=PLANE('',#22056); +#22059=ORIENTED_EDGE('',*,*,#22058,.T.); +#22061=ORIENTED_EDGE('',*,*,#22060,.T.); +#22063=ORIENTED_EDGE('',*,*,#22062,.T.); +#22065=ORIENTED_EDGE('',*,*,#22064,.T.); +#22067=ORIENTED_EDGE('',*,*,#22066,.T.); +#22069=ORIENTED_EDGE('',*,*,#22068,.T.); +#22071=ORIENTED_EDGE('',*,*,#22070,.T.); +#22073=ORIENTED_EDGE('',*,*,#22072,.F.); +#22075=ORIENTED_EDGE('',*,*,#22074,.T.); +#22077=ORIENTED_EDGE('',*,*,#22076,.T.); +#22078=ORIENTED_EDGE('',*,*,#22035,.T.); +#22080=ORIENTED_EDGE('',*,*,#22079,.T.); +#22082=ORIENTED_EDGE('',*,*,#22081,.T.); +#22084=ORIENTED_EDGE('',*,*,#22083,.T.); +#22086=ORIENTED_EDGE('',*,*,#22085,.T.); +#22088=ORIENTED_EDGE('',*,*,#22087,.T.); +#22090=ORIENTED_EDGE('',*,*,#22089,.T.); +#22092=ORIENTED_EDGE('',*,*,#22091,.T.); +#22094=ORIENTED_EDGE('',*,*,#22093,.T.); +#22096=ORIENTED_EDGE('',*,*,#22095,.T.); +#22097=EDGE_LOOP('',(#22059,#22061,#22063,#22065,#22067,#22069,#22071,#22073, +#22075,#22077,#22078,#22080,#22082,#22084,#22086,#22088,#22090,#22092,#22094, +#22096)); +#22098=FACE_OUTER_BOUND('',#22097,.F.); +#22100=CARTESIAN_POINT('',(0.E0,0.E0,-2.3E1)); +#22101=DIRECTION('',(0.E0,0.E0,1.E0)); +#22102=DIRECTION('',(1.E0,0.E0,0.E0)); +#22103=AXIS2_PLACEMENT_3D('',#22100,#22101,#22102); +#22104=PLANE('',#22103); +#22106=ORIENTED_EDGE('',*,*,#22105,.T.); +#22108=ORIENTED_EDGE('',*,*,#22107,.T.); +#22110=ORIENTED_EDGE('',*,*,#22109,.T.); +#22112=ORIENTED_EDGE('',*,*,#22111,.T.); +#22114=ORIENTED_EDGE('',*,*,#22113,.T.); +#22116=ORIENTED_EDGE('',*,*,#22115,.T.); +#22118=ORIENTED_EDGE('',*,*,#22117,.T.); +#22120=ORIENTED_EDGE('',*,*,#22119,.T.); +#22122=ORIENTED_EDGE('',*,*,#22121,.T.); +#22124=ORIENTED_EDGE('',*,*,#22123,.T.); +#22126=ORIENTED_EDGE('',*,*,#22125,.T.); +#22128=ORIENTED_EDGE('',*,*,#22127,.T.); +#22130=ORIENTED_EDGE('',*,*,#22129,.T.); +#22132=ORIENTED_EDGE('',*,*,#22131,.T.); +#22134=ORIENTED_EDGE('',*,*,#22133,.T.); +#22136=ORIENTED_EDGE('',*,*,#22135,.T.); +#22138=ORIENTED_EDGE('',*,*,#22137,.F.); +#22139=ORIENTED_EDGE('',*,*,#22027,.T.); +#22141=ORIENTED_EDGE('',*,*,#22140,.T.); +#22143=ORIENTED_EDGE('',*,*,#22142,.T.); +#22145=ORIENTED_EDGE('',*,*,#22144,.T.); +#22147=ORIENTED_EDGE('',*,*,#22146,.T.); +#22149=ORIENTED_EDGE('',*,*,#22148,.T.); +#22151=ORIENTED_EDGE('',*,*,#22150,.T.); +#22153=ORIENTED_EDGE('',*,*,#22152,.T.); +#22155=ORIENTED_EDGE('',*,*,#22154,.T.); +#22157=ORIENTED_EDGE('',*,*,#22156,.T.); +#22159=ORIENTED_EDGE('',*,*,#22158,.T.); +#22161=ORIENTED_EDGE('',*,*,#22160,.T.); +#22163=ORIENTED_EDGE('',*,*,#22162,.T.); +#22165=ORIENTED_EDGE('',*,*,#22164,.T.); +#22167=ORIENTED_EDGE('',*,*,#22166,.T.); +#22169=ORIENTED_EDGE('',*,*,#22168,.F.); +#22171=ORIENTED_EDGE('',*,*,#22170,.T.); +#22172=EDGE_LOOP('',(#22106,#22108,#22110,#22112,#22114,#22116,#22118,#22120, +#22122,#22124,#22126,#22128,#22130,#22132,#22134,#22136,#22138,#22139,#22141, +#22143,#22145,#22147,#22149,#22151,#22153,#22155,#22157,#22159,#22161,#22163, +#22165,#22167,#22169,#22171)); +#22173=FACE_OUTER_BOUND('',#22172,.F.); +#22175=CARTESIAN_POINT('',(0.E0,0.E0,-2.3E1)); +#22176=DIRECTION('',(0.E0,0.E0,1.E0)); +#22177=DIRECTION('',(1.E0,0.E0,0.E0)); +#22178=AXIS2_PLACEMENT_3D('',#22175,#22176,#22177); +#22179=PLANE('',#22178); +#22181=ORIENTED_EDGE('',*,*,#22180,.T.); +#22183=ORIENTED_EDGE('',*,*,#22182,.T.); +#22185=ORIENTED_EDGE('',*,*,#22184,.T.); +#22187=ORIENTED_EDGE('',*,*,#22186,.T.); +#22189=ORIENTED_EDGE('',*,*,#22188,.T.); +#22191=ORIENTED_EDGE('',*,*,#22190,.T.); +#22193=ORIENTED_EDGE('',*,*,#22192,.T.); +#22195=ORIENTED_EDGE('',*,*,#22194,.T.); +#22197=ORIENTED_EDGE('',*,*,#22196,.T.); +#22199=ORIENTED_EDGE('',*,*,#22198,.T.); +#22201=ORIENTED_EDGE('',*,*,#22200,.T.); +#22203=ORIENTED_EDGE('',*,*,#22202,.T.); +#22205=ORIENTED_EDGE('',*,*,#22204,.T.); +#22207=ORIENTED_EDGE('',*,*,#22206,.T.); +#22209=ORIENTED_EDGE('',*,*,#22208,.F.); +#22210=ORIENTED_EDGE('',*,*,#22019,.T.); +#22212=ORIENTED_EDGE('',*,*,#22211,.T.); +#22214=ORIENTED_EDGE('',*,*,#22213,.T.); +#22216=ORIENTED_EDGE('',*,*,#22215,.T.); +#22218=ORIENTED_EDGE('',*,*,#22217,.T.); +#22220=ORIENTED_EDGE('',*,*,#22219,.T.); +#22222=ORIENTED_EDGE('',*,*,#22221,.T.); +#22224=ORIENTED_EDGE('',*,*,#22223,.T.); +#22226=ORIENTED_EDGE('',*,*,#22225,.T.); +#22228=ORIENTED_EDGE('',*,*,#22227,.T.); +#22230=ORIENTED_EDGE('',*,*,#22229,.T.); +#22232=ORIENTED_EDGE('',*,*,#22231,.T.); +#22234=ORIENTED_EDGE('',*,*,#22233,.T.); +#22236=ORIENTED_EDGE('',*,*,#22235,.T.); +#22238=ORIENTED_EDGE('',*,*,#22237,.T.); +#22240=ORIENTED_EDGE('',*,*,#22239,.T.); +#22242=ORIENTED_EDGE('',*,*,#22241,.T.); +#22244=ORIENTED_EDGE('',*,*,#22243,.F.); +#22246=ORIENTED_EDGE('',*,*,#22245,.T.); +#22247=EDGE_LOOP('',(#22181,#22183,#22185,#22187,#22189,#22191,#22193,#22195, +#22197,#22199,#22201,#22203,#22205,#22207,#22209,#22210,#22212,#22214,#22216, +#22218,#22220,#22222,#22224,#22226,#22228,#22230,#22232,#22234,#22236,#22238, +#22240,#22242,#22244,#22246)); +#22248=FACE_OUTER_BOUND('',#22247,.F.); +#22250=CARTESIAN_POINT('',(0.E0,0.E0,-2.3E1)); +#22251=DIRECTION('',(0.E0,0.E0,1.E0)); +#22252=DIRECTION('',(1.E0,0.E0,0.E0)); +#22253=AXIS2_PLACEMENT_3D('',#22250,#22251,#22252); +#22254=PLANE('',#22253); +#22256=ORIENTED_EDGE('',*,*,#22255,.T.); +#22258=ORIENTED_EDGE('',*,*,#22257,.T.); +#22260=ORIENTED_EDGE('',*,*,#22259,.T.); +#22262=ORIENTED_EDGE('',*,*,#22261,.T.); +#22264=ORIENTED_EDGE('',*,*,#22263,.T.); +#22266=ORIENTED_EDGE('',*,*,#22265,.T.); +#22268=ORIENTED_EDGE('',*,*,#22267,.T.); +#22270=ORIENTED_EDGE('',*,*,#22269,.T.); +#22272=ORIENTED_EDGE('',*,*,#22271,.T.); +#22274=ORIENTED_EDGE('',*,*,#22273,.T.); +#22276=ORIENTED_EDGE('',*,*,#22275,.T.); +#22278=ORIENTED_EDGE('',*,*,#22277,.T.); +#22280=ORIENTED_EDGE('',*,*,#22279,.T.); +#22282=ORIENTED_EDGE('',*,*,#22281,.T.); +#22284=ORIENTED_EDGE('',*,*,#22283,.T.); +#22286=ORIENTED_EDGE('',*,*,#22285,.T.); +#22288=ORIENTED_EDGE('',*,*,#22287,.T.); +#22290=ORIENTED_EDGE('',*,*,#22289,.F.); +#22291=ORIENTED_EDGE('',*,*,#22042,.T.); +#22293=ORIENTED_EDGE('',*,*,#22292,.T.); +#22294=EDGE_LOOP('',(#22256,#22258,#22260,#22262,#22264,#22266,#22268,#22270, +#22272,#22274,#22276,#22278,#22280,#22282,#22284,#22286,#22288,#22290,#22291, +#22293)); +#22295=FACE_OUTER_BOUND('',#22294,.F.); +#22297=CARTESIAN_POINT('',(2.E0,4.000000000001E0,-2.3E1)); +#22298=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22299=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22300=AXIS2_PLACEMENT_3D('',#22297,#22298,#22299); +#22301=CYLINDRICAL_SURFACE('',#22300,1.5E0); +#22302=ORIENTED_EDGE('',*,*,#22058,.F.); +#22304=ORIENTED_EDGE('',*,*,#22303,.T.); +#22306=ORIENTED_EDGE('',*,*,#22305,.T.); +#22308=ORIENTED_EDGE('',*,*,#22307,.F.); +#22309=EDGE_LOOP('',(#22302,#22304,#22306,#22308)); +#22310=FACE_OUTER_BOUND('',#22309,.F.); +#22312=CARTESIAN_POINT('',(-5.857864376269E-1,4.500000000001E0,-2.3E1)); +#22313=DIRECTION('',(0.E0,1.E0,0.E0)); +#22314=DIRECTION('',(1.E0,0.E0,0.E0)); +#22315=AXIS2_PLACEMENT_3D('',#22312,#22313,#22314); +#22316=PLANE('',#22315); +#22317=ORIENTED_EDGE('',*,*,#22095,.F.); +#22319=ORIENTED_EDGE('',*,*,#22318,.T.); +#22321=ORIENTED_EDGE('',*,*,#22320,.T.); +#22322=ORIENTED_EDGE('',*,*,#22303,.F.); +#22323=EDGE_LOOP('',(#22317,#22319,#22321,#22322)); +#22324=FACE_OUTER_BOUND('',#22323,.F.); +#22326=CARTESIAN_POINT('',(-2.E0,4.000000000001E0,-2.3E1)); +#22327=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22328=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22329=AXIS2_PLACEMENT_3D('',#22326,#22327,#22328); +#22330=CYLINDRICAL_SURFACE('',#22329,1.5E0); +#22331=ORIENTED_EDGE('',*,*,#22093,.F.); +#22333=ORIENTED_EDGE('',*,*,#22332,.T.); +#22335=ORIENTED_EDGE('',*,*,#22334,.T.); +#22336=ORIENTED_EDGE('',*,*,#22318,.F.); +#22337=EDGE_LOOP('',(#22331,#22333,#22335,#22336)); +#22338=FACE_OUTER_BOUND('',#22337,.F.); +#22340=CARTESIAN_POINT('',(-4.585786437627E0,4.500000000001E0,-2.3E1)); +#22341=DIRECTION('',(0.E0,1.E0,0.E0)); +#22342=DIRECTION('',(1.E0,0.E0,0.E0)); +#22343=AXIS2_PLACEMENT_3D('',#22340,#22341,#22342); +#22344=PLANE('',#22343); +#22345=ORIENTED_EDGE('',*,*,#22091,.F.); +#22347=ORIENTED_EDGE('',*,*,#22346,.T.); +#22349=ORIENTED_EDGE('',*,*,#22348,.T.); +#22350=ORIENTED_EDGE('',*,*,#22332,.F.); +#22351=EDGE_LOOP('',(#22345,#22347,#22349,#22350)); +#22352=FACE_OUTER_BOUND('',#22351,.F.); +#22354=CARTESIAN_POINT('',(-6.E0,4.000000000001E0,-2.3E1)); +#22355=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22356=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22357=AXIS2_PLACEMENT_3D('',#22354,#22355,#22356); +#22358=CYLINDRICAL_SURFACE('',#22357,1.5E0); +#22359=ORIENTED_EDGE('',*,*,#22089,.F.); +#22361=ORIENTED_EDGE('',*,*,#22360,.T.); +#22363=ORIENTED_EDGE('',*,*,#22362,.T.); +#22364=ORIENTED_EDGE('',*,*,#22346,.F.); +#22365=EDGE_LOOP('',(#22359,#22361,#22363,#22364)); +#22366=FACE_OUTER_BOUND('',#22365,.F.); +#22368=CARTESIAN_POINT('',(-8.585786437627E0,4.500000000001E0,-2.3E1)); +#22369=DIRECTION('',(0.E0,1.E0,0.E0)); +#22370=DIRECTION('',(1.E0,0.E0,0.E0)); +#22371=AXIS2_PLACEMENT_3D('',#22368,#22369,#22370); +#22372=PLANE('',#22371); +#22373=ORIENTED_EDGE('',*,*,#22087,.F.); +#22375=ORIENTED_EDGE('',*,*,#22374,.T.); +#22377=ORIENTED_EDGE('',*,*,#22376,.T.); +#22378=ORIENTED_EDGE('',*,*,#22360,.F.); +#22379=EDGE_LOOP('',(#22373,#22375,#22377,#22378)); +#22380=FACE_OUTER_BOUND('',#22379,.F.); +#22382=CARTESIAN_POINT('',(-1.E1,4.000000000001E0,-2.3E1)); +#22383=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22384=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22385=AXIS2_PLACEMENT_3D('',#22382,#22383,#22384); +#22386=CYLINDRICAL_SURFACE('',#22385,1.5E0); +#22387=ORIENTED_EDGE('',*,*,#22085,.F.); +#22389=ORIENTED_EDGE('',*,*,#22388,.T.); +#22391=ORIENTED_EDGE('',*,*,#22390,.T.); +#22392=ORIENTED_EDGE('',*,*,#22374,.F.); +#22393=EDGE_LOOP('',(#22387,#22389,#22391,#22392)); +#22394=FACE_OUTER_BOUND('',#22393,.F.); +#22396=CARTESIAN_POINT('',(-1.258578643763E1,4.500000000001E0,-2.3E1)); +#22397=DIRECTION('',(0.E0,1.E0,0.E0)); +#22398=DIRECTION('',(1.E0,0.E0,0.E0)); +#22399=AXIS2_PLACEMENT_3D('',#22396,#22397,#22398); +#22400=PLANE('',#22399); +#22401=ORIENTED_EDGE('',*,*,#22083,.F.); +#22403=ORIENTED_EDGE('',*,*,#22402,.T.); +#22405=ORIENTED_EDGE('',*,*,#22404,.T.); +#22406=ORIENTED_EDGE('',*,*,#22388,.F.); +#22407=EDGE_LOOP('',(#22401,#22403,#22405,#22406)); +#22408=FACE_OUTER_BOUND('',#22407,.F.); +#22410=CARTESIAN_POINT('',(-1.4E1,4.000000000001E0,-2.3E1)); +#22411=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22412=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22413=AXIS2_PLACEMENT_3D('',#22410,#22411,#22412); +#22414=CYLINDRICAL_SURFACE('',#22413,1.5E0); +#22415=ORIENTED_EDGE('',*,*,#22081,.F.); +#22417=ORIENTED_EDGE('',*,*,#22416,.T.); +#22419=ORIENTED_EDGE('',*,*,#22418,.T.); +#22420=ORIENTED_EDGE('',*,*,#22402,.F.); +#22421=EDGE_LOOP('',(#22415,#22417,#22419,#22420)); +#22422=FACE_OUTER_BOUND('',#22421,.F.); +#22424=CARTESIAN_POINT('',(-1.67E1,4.500000000001E0,-2.3E1)); +#22425=DIRECTION('',(0.E0,1.E0,0.E0)); +#22426=DIRECTION('',(1.E0,0.E0,0.E0)); +#22427=AXIS2_PLACEMENT_3D('',#22424,#22425,#22426); +#22428=PLANE('',#22427); +#22429=ORIENTED_EDGE('',*,*,#22079,.F.); +#22430=ORIENTED_EDGE('',*,*,#22033,.T.); +#22432=ORIENTED_EDGE('',*,*,#22431,.T.); +#22433=ORIENTED_EDGE('',*,*,#22416,.F.); +#22434=EDGE_LOOP('',(#22429,#22430,#22432,#22433)); +#22435=FACE_OUTER_BOUND('',#22434,.F.); +#22437=CARTESIAN_POINT('',(0.E0,0.E0,-2.6E1)); +#22438=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22439=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22440=AXIS2_PLACEMENT_3D('',#22437,#22438,#22439); +#22441=PLANE('',#22440); +#22442=ORIENTED_EDGE('',*,*,#22305,.F.); +#22443=ORIENTED_EDGE('',*,*,#22320,.F.); +#22444=ORIENTED_EDGE('',*,*,#22334,.F.); +#22445=ORIENTED_EDGE('',*,*,#22348,.F.); +#22446=ORIENTED_EDGE('',*,*,#22362,.F.); +#22447=ORIENTED_EDGE('',*,*,#22376,.F.); +#22448=ORIENTED_EDGE('',*,*,#22390,.F.); +#22449=ORIENTED_EDGE('',*,*,#22404,.F.); +#22450=ORIENTED_EDGE('',*,*,#22418,.F.); +#22451=ORIENTED_EDGE('',*,*,#22431,.F.); +#22452=ORIENTED_EDGE('',*,*,#22031,.F.); +#22454=ORIENTED_EDGE('',*,*,#22453,.F.); +#22456=ORIENTED_EDGE('',*,*,#22455,.F.); +#22458=ORIENTED_EDGE('',*,*,#22457,.F.); +#22460=ORIENTED_EDGE('',*,*,#22459,.F.); +#22462=ORIENTED_EDGE('',*,*,#22461,.F.); +#22464=ORIENTED_EDGE('',*,*,#22463,.F.); +#22466=ORIENTED_EDGE('',*,*,#22465,.F.); +#22468=ORIENTED_EDGE('',*,*,#22467,.F.); +#22470=ORIENTED_EDGE('',*,*,#22469,.F.); +#22472=ORIENTED_EDGE('',*,*,#22471,.F.); +#22474=ORIENTED_EDGE('',*,*,#22473,.F.); +#22476=ORIENTED_EDGE('',*,*,#22475,.F.); +#22478=ORIENTED_EDGE('',*,*,#22477,.F.); +#22480=ORIENTED_EDGE('',*,*,#22479,.F.); +#22482=ORIENTED_EDGE('',*,*,#22481,.F.); +#22484=ORIENTED_EDGE('',*,*,#22483,.F.); +#22486=ORIENTED_EDGE('',*,*,#22485,.F.); +#22488=ORIENTED_EDGE('',*,*,#22487,.F.); +#22490=ORIENTED_EDGE('',*,*,#22489,.F.); +#22492=ORIENTED_EDGE('',*,*,#22491,.F.); +#22494=ORIENTED_EDGE('',*,*,#22493,.F.); +#22496=ORIENTED_EDGE('',*,*,#22495,.F.); +#22498=ORIENTED_EDGE('',*,*,#22497,.F.); +#22500=ORIENTED_EDGE('',*,*,#22499,.F.); +#22502=ORIENTED_EDGE('',*,*,#22501,.F.); +#22503=EDGE_LOOP('',(#22442,#22443,#22444,#22445,#22446,#22447,#22448,#22449, +#22450,#22451,#22452,#22454,#22456,#22458,#22460,#22462,#22464,#22466,#22468, +#22470,#22472,#22474,#22476,#22478,#22480,#22482,#22484,#22486,#22488,#22490, +#22492,#22494,#22496,#22498,#22500,#22502)); +#22504=FACE_OUTER_BOUND('',#22503,.F.); +#22506=ORIENTED_EDGE('',*,*,#22505,.F.); +#22508=ORIENTED_EDGE('',*,*,#22507,.F.); +#22509=EDGE_LOOP('',(#22506,#22508)); +#22510=FACE_BOUND('',#22509,.F.); +#22512=ORIENTED_EDGE('',*,*,#22511,.F.); +#22514=ORIENTED_EDGE('',*,*,#22513,.F.); +#22515=EDGE_LOOP('',(#22512,#22514)); +#22516=FACE_BOUND('',#22515,.F.); +#22518=ORIENTED_EDGE('',*,*,#22517,.F.); +#22520=ORIENTED_EDGE('',*,*,#22519,.F.); +#22521=EDGE_LOOP('',(#22518,#22520)); +#22522=FACE_BOUND('',#22521,.F.); +#22524=ORIENTED_EDGE('',*,*,#22523,.F.); +#22526=ORIENTED_EDGE('',*,*,#22525,.F.); +#22527=EDGE_LOOP('',(#22524,#22526)); +#22528=FACE_BOUND('',#22527,.F.); +#22530=ORIENTED_EDGE('',*,*,#22529,.F.); +#22532=ORIENTED_EDGE('',*,*,#22531,.F.); +#22533=EDGE_LOOP('',(#22530,#22532)); +#22534=FACE_BOUND('',#22533,.F.); +#22536=ORIENTED_EDGE('',*,*,#22535,.F.); +#22538=ORIENTED_EDGE('',*,*,#22537,.F.); +#22539=EDGE_LOOP('',(#22536,#22538)); +#22540=FACE_BOUND('',#22539,.F.); +#22542=ORIENTED_EDGE('',*,*,#22541,.F.); +#22544=ORIENTED_EDGE('',*,*,#22543,.F.); +#22545=EDGE_LOOP('',(#22542,#22544)); +#22546=FACE_BOUND('',#22545,.F.); +#22548=ORIENTED_EDGE('',*,*,#22547,.F.); +#22550=ORIENTED_EDGE('',*,*,#22549,.F.); +#22551=EDGE_LOOP('',(#22548,#22550)); +#22552=FACE_BOUND('',#22551,.F.); +#22554=CARTESIAN_POINT('',(0.E0,0.E0,-2.6E1)); +#22555=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22556=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22557=AXIS2_PLACEMENT_3D('',#22554,#22555,#22556); +#22558=PLANE('',#22557); +#22560=ORIENTED_EDGE('',*,*,#22559,.F.); +#22562=ORIENTED_EDGE('',*,*,#22561,.F.); +#22564=ORIENTED_EDGE('',*,*,#22563,.F.); +#22566=ORIENTED_EDGE('',*,*,#22565,.F.); +#22568=ORIENTED_EDGE('',*,*,#22567,.F.); +#22570=ORIENTED_EDGE('',*,*,#22569,.F.); +#22572=ORIENTED_EDGE('',*,*,#22571,.F.); +#22574=ORIENTED_EDGE('',*,*,#22573,.F.); +#22575=ORIENTED_EDGE('',*,*,#22023,.F.); +#22577=ORIENTED_EDGE('',*,*,#22576,.F.); +#22579=ORIENTED_EDGE('',*,*,#22578,.F.); +#22581=ORIENTED_EDGE('',*,*,#22580,.F.); +#22583=ORIENTED_EDGE('',*,*,#22582,.F.); +#22585=ORIENTED_EDGE('',*,*,#22584,.F.); +#22587=ORIENTED_EDGE('',*,*,#22586,.F.); +#22589=ORIENTED_EDGE('',*,*,#22588,.F.); +#22591=ORIENTED_EDGE('',*,*,#22590,.F.); +#22593=ORIENTED_EDGE('',*,*,#22592,.F.); +#22595=ORIENTED_EDGE('',*,*,#22594,.F.); +#22597=ORIENTED_EDGE('',*,*,#22596,.F.); +#22599=ORIENTED_EDGE('',*,*,#22598,.F.); +#22601=ORIENTED_EDGE('',*,*,#22600,.F.); +#22603=ORIENTED_EDGE('',*,*,#22602,.F.); +#22605=ORIENTED_EDGE('',*,*,#22604,.F.); +#22607=ORIENTED_EDGE('',*,*,#22606,.F.); +#22609=ORIENTED_EDGE('',*,*,#22608,.F.); +#22611=ORIENTED_EDGE('',*,*,#22610,.F.); +#22613=ORIENTED_EDGE('',*,*,#22612,.F.); +#22615=ORIENTED_EDGE('',*,*,#22614,.F.); +#22617=ORIENTED_EDGE('',*,*,#22616,.F.); +#22619=ORIENTED_EDGE('',*,*,#22618,.F.); +#22621=ORIENTED_EDGE('',*,*,#22620,.F.); +#22622=EDGE_LOOP('',(#22560,#22562,#22564,#22566,#22568,#22570,#22572,#22574, +#22575,#22577,#22579,#22581,#22583,#22585,#22587,#22589,#22591,#22593,#22595, +#22597,#22599,#22601,#22603,#22605,#22607,#22609,#22611,#22613,#22615,#22617, +#22619,#22621)); +#22623=FACE_OUTER_BOUND('',#22622,.F.); +#22625=ORIENTED_EDGE('',*,*,#22624,.F.); +#22627=ORIENTED_EDGE('',*,*,#22626,.F.); +#22628=EDGE_LOOP('',(#22625,#22627)); +#22629=FACE_BOUND('',#22628,.F.); +#22631=ORIENTED_EDGE('',*,*,#22630,.F.); +#22633=ORIENTED_EDGE('',*,*,#22632,.F.); +#22634=EDGE_LOOP('',(#22631,#22633)); +#22635=FACE_BOUND('',#22634,.F.); +#22637=ORIENTED_EDGE('',*,*,#22636,.F.); +#22639=ORIENTED_EDGE('',*,*,#22638,.F.); +#22640=EDGE_LOOP('',(#22637,#22639)); +#22641=FACE_BOUND('',#22640,.F.); +#22643=ORIENTED_EDGE('',*,*,#22642,.F.); +#22645=ORIENTED_EDGE('',*,*,#22644,.F.); +#22646=EDGE_LOOP('',(#22643,#22645)); +#22647=FACE_BOUND('',#22646,.F.); +#22649=ORIENTED_EDGE('',*,*,#22648,.F.); +#22651=ORIENTED_EDGE('',*,*,#22650,.F.); +#22652=EDGE_LOOP('',(#22649,#22651)); +#22653=FACE_BOUND('',#22652,.F.); +#22655=ORIENTED_EDGE('',*,*,#22654,.F.); +#22657=ORIENTED_EDGE('',*,*,#22656,.F.); +#22658=EDGE_LOOP('',(#22655,#22657)); +#22659=FACE_BOUND('',#22658,.F.); +#22661=ORIENTED_EDGE('',*,*,#22660,.F.); +#22663=ORIENTED_EDGE('',*,*,#22662,.F.); +#22664=EDGE_LOOP('',(#22661,#22663)); +#22665=FACE_BOUND('',#22664,.F.); +#22667=CARTESIAN_POINT('',(0.E0,0.E0,-2.6E1)); +#22668=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22669=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22670=AXIS2_PLACEMENT_3D('',#22667,#22668,#22669); +#22671=PLANE('',#22670); +#22673=ORIENTED_EDGE('',*,*,#22672,.F.); +#22675=ORIENTED_EDGE('',*,*,#22674,.F.); +#22677=ORIENTED_EDGE('',*,*,#22676,.F.); +#22679=ORIENTED_EDGE('',*,*,#22678,.F.); +#22681=ORIENTED_EDGE('',*,*,#22680,.F.); +#22683=ORIENTED_EDGE('',*,*,#22682,.F.); +#22685=ORIENTED_EDGE('',*,*,#22684,.F.); +#22687=ORIENTED_EDGE('',*,*,#22686,.F.); +#22689=ORIENTED_EDGE('',*,*,#22688,.F.); +#22691=ORIENTED_EDGE('',*,*,#22690,.F.); +#22693=ORIENTED_EDGE('',*,*,#22692,.F.); +#22695=ORIENTED_EDGE('',*,*,#22694,.F.); +#22697=ORIENTED_EDGE('',*,*,#22696,.F.); +#22699=ORIENTED_EDGE('',*,*,#22698,.F.); +#22701=ORIENTED_EDGE('',*,*,#22700,.F.); +#22703=ORIENTED_EDGE('',*,*,#22702,.F.); +#22705=ORIENTED_EDGE('',*,*,#22704,.F.); +#22707=ORIENTED_EDGE('',*,*,#22706,.F.); +#22709=ORIENTED_EDGE('',*,*,#22708,.F.); +#22711=ORIENTED_EDGE('',*,*,#22710,.F.); +#22713=ORIENTED_EDGE('',*,*,#22712,.F.); +#22715=ORIENTED_EDGE('',*,*,#22714,.F.); +#22717=ORIENTED_EDGE('',*,*,#22716,.F.); +#22719=ORIENTED_EDGE('',*,*,#22718,.F.); +#22721=ORIENTED_EDGE('',*,*,#22720,.F.); +#22723=ORIENTED_EDGE('',*,*,#22722,.F.); +#22725=ORIENTED_EDGE('',*,*,#22724,.F.); +#22726=ORIENTED_EDGE('',*,*,#22046,.F.); +#22728=ORIENTED_EDGE('',*,*,#22727,.F.); +#22730=ORIENTED_EDGE('',*,*,#22729,.F.); +#22732=ORIENTED_EDGE('',*,*,#22731,.F.); +#22734=ORIENTED_EDGE('',*,*,#22733,.F.); +#22736=ORIENTED_EDGE('',*,*,#22735,.F.); +#22738=ORIENTED_EDGE('',*,*,#22737,.F.); +#22740=ORIENTED_EDGE('',*,*,#22739,.F.); +#22742=ORIENTED_EDGE('',*,*,#22741,.F.); +#22743=EDGE_LOOP('',(#22673,#22675,#22677,#22679,#22681,#22683,#22685,#22687, +#22689,#22691,#22693,#22695,#22697,#22699,#22701,#22703,#22705,#22707,#22709, +#22711,#22713,#22715,#22717,#22719,#22721,#22723,#22725,#22726,#22728,#22730, +#22732,#22734,#22736,#22738,#22740,#22742)); +#22744=FACE_OUTER_BOUND('',#22743,.F.); +#22746=ORIENTED_EDGE('',*,*,#22745,.F.); +#22748=ORIENTED_EDGE('',*,*,#22747,.F.); +#22749=EDGE_LOOP('',(#22746,#22748)); +#22750=FACE_BOUND('',#22749,.F.); +#22752=ORIENTED_EDGE('',*,*,#22751,.F.); +#22754=ORIENTED_EDGE('',*,*,#22753,.F.); +#22755=EDGE_LOOP('',(#22752,#22754)); +#22756=FACE_BOUND('',#22755,.F.); +#22758=ORIENTED_EDGE('',*,*,#22757,.F.); +#22760=ORIENTED_EDGE('',*,*,#22759,.F.); +#22761=EDGE_LOOP('',(#22758,#22760)); +#22762=FACE_BOUND('',#22761,.F.); +#22764=ORIENTED_EDGE('',*,*,#22763,.F.); +#22766=ORIENTED_EDGE('',*,*,#22765,.F.); +#22767=EDGE_LOOP('',(#22764,#22766)); +#22768=FACE_BOUND('',#22767,.F.); +#22770=ORIENTED_EDGE('',*,*,#22769,.F.); +#22772=ORIENTED_EDGE('',*,*,#22771,.F.); +#22773=EDGE_LOOP('',(#22770,#22772)); +#22774=FACE_BOUND('',#22773,.F.); +#22776=ORIENTED_EDGE('',*,*,#22775,.F.); +#22778=ORIENTED_EDGE('',*,*,#22777,.F.); +#22779=EDGE_LOOP('',(#22776,#22778)); +#22780=FACE_BOUND('',#22779,.F.); +#22782=ORIENTED_EDGE('',*,*,#22781,.F.); +#22784=ORIENTED_EDGE('',*,*,#22783,.F.); +#22785=EDGE_LOOP('',(#22782,#22784)); +#22786=FACE_BOUND('',#22785,.F.); +#22788=ORIENTED_EDGE('',*,*,#22787,.F.); +#22790=ORIENTED_EDGE('',*,*,#22789,.F.); +#22791=EDGE_LOOP('',(#22788,#22790)); +#22792=FACE_BOUND('',#22791,.F.); +#22794=CARTESIAN_POINT('',(-1.541421356237E1,3.500000000001E0,-2.3E1)); +#22795=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22796=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22797=AXIS2_PLACEMENT_3D('',#22794,#22795,#22796); +#22798=PLANE('',#22797); +#22799=ORIENTED_EDGE('',*,*,#22137,.T.); +#22801=ORIENTED_EDGE('',*,*,#22800,.T.); +#22802=ORIENTED_EDGE('',*,*,#22453,.T.); +#22803=ORIENTED_EDGE('',*,*,#22029,.F.); +#22804=EDGE_LOOP('',(#22799,#22801,#22802,#22803)); +#22805=FACE_OUTER_BOUND('',#22804,.F.); +#22807=CARTESIAN_POINT('',(-1.4E1,4.000000000001E0,-2.3E1)); +#22808=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22809=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22810=AXIS2_PLACEMENT_3D('',#22807,#22808,#22809); +#22811=CYLINDRICAL_SURFACE('',#22810,1.5E0); +#22812=ORIENTED_EDGE('',*,*,#22135,.F.); +#22814=ORIENTED_EDGE('',*,*,#22813,.T.); +#22815=ORIENTED_EDGE('',*,*,#22455,.T.); +#22816=ORIENTED_EDGE('',*,*,#22800,.F.); +#22817=EDGE_LOOP('',(#22812,#22814,#22815,#22816)); +#22818=FACE_OUTER_BOUND('',#22817,.F.); +#22820=CARTESIAN_POINT('',(-1.141421356237E1,3.500000000001E0,-2.3E1)); +#22821=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22822=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22823=AXIS2_PLACEMENT_3D('',#22820,#22821,#22822); +#22824=PLANE('',#22823); +#22825=ORIENTED_EDGE('',*,*,#22133,.F.); +#22827=ORIENTED_EDGE('',*,*,#22826,.T.); +#22828=ORIENTED_EDGE('',*,*,#22457,.T.); +#22829=ORIENTED_EDGE('',*,*,#22813,.F.); +#22830=EDGE_LOOP('',(#22825,#22827,#22828,#22829)); +#22831=FACE_OUTER_BOUND('',#22830,.F.); +#22833=CARTESIAN_POINT('',(-1.E1,4.000000000001E0,-2.3E1)); +#22834=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22835=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22836=AXIS2_PLACEMENT_3D('',#22833,#22834,#22835); +#22837=CYLINDRICAL_SURFACE('',#22836,1.5E0); +#22838=ORIENTED_EDGE('',*,*,#22131,.F.); +#22840=ORIENTED_EDGE('',*,*,#22839,.T.); +#22841=ORIENTED_EDGE('',*,*,#22459,.T.); +#22842=ORIENTED_EDGE('',*,*,#22826,.F.); +#22843=EDGE_LOOP('',(#22838,#22840,#22841,#22842)); +#22844=FACE_OUTER_BOUND('',#22843,.F.); +#22846=CARTESIAN_POINT('',(-7.414213562373E0,3.500000000001E0,-2.3E1)); +#22847=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22848=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22849=AXIS2_PLACEMENT_3D('',#22846,#22847,#22848); +#22850=PLANE('',#22849); +#22851=ORIENTED_EDGE('',*,*,#22129,.F.); +#22853=ORIENTED_EDGE('',*,*,#22852,.T.); +#22854=ORIENTED_EDGE('',*,*,#22461,.T.); +#22855=ORIENTED_EDGE('',*,*,#22839,.F.); +#22856=EDGE_LOOP('',(#22851,#22853,#22854,#22855)); +#22857=FACE_OUTER_BOUND('',#22856,.F.); +#22859=CARTESIAN_POINT('',(-6.E0,4.000000000001E0,-2.3E1)); +#22860=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22861=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22862=AXIS2_PLACEMENT_3D('',#22859,#22860,#22861); +#22863=CYLINDRICAL_SURFACE('',#22862,1.5E0); +#22864=ORIENTED_EDGE('',*,*,#22127,.F.); +#22866=ORIENTED_EDGE('',*,*,#22865,.T.); +#22867=ORIENTED_EDGE('',*,*,#22463,.T.); +#22868=ORIENTED_EDGE('',*,*,#22852,.F.); +#22869=EDGE_LOOP('',(#22864,#22866,#22867,#22868)); +#22870=FACE_OUTER_BOUND('',#22869,.F.); +#22872=CARTESIAN_POINT('',(-3.414213562373E0,3.500000000001E0,-2.3E1)); +#22873=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22874=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22875=AXIS2_PLACEMENT_3D('',#22872,#22873,#22874); +#22876=PLANE('',#22875); +#22877=ORIENTED_EDGE('',*,*,#22125,.F.); +#22879=ORIENTED_EDGE('',*,*,#22878,.T.); +#22880=ORIENTED_EDGE('',*,*,#22465,.T.); +#22881=ORIENTED_EDGE('',*,*,#22865,.F.); +#22882=EDGE_LOOP('',(#22877,#22879,#22880,#22881)); +#22883=FACE_OUTER_BOUND('',#22882,.F.); +#22885=CARTESIAN_POINT('',(-2.E0,4.000000000001E0,-2.3E1)); +#22886=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22887=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22888=AXIS2_PLACEMENT_3D('',#22885,#22886,#22887); +#22889=CYLINDRICAL_SURFACE('',#22888,1.5E0); +#22890=ORIENTED_EDGE('',*,*,#22123,.F.); +#22892=ORIENTED_EDGE('',*,*,#22891,.T.); +#22893=ORIENTED_EDGE('',*,*,#22467,.T.); +#22894=ORIENTED_EDGE('',*,*,#22878,.F.); +#22895=EDGE_LOOP('',(#22890,#22892,#22893,#22894)); +#22896=FACE_OUTER_BOUND('',#22895,.F.); +#22898=CARTESIAN_POINT('',(5.857864376269E-1,3.500000000001E0,-2.3E1)); +#22899=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22900=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22901=AXIS2_PLACEMENT_3D('',#22898,#22899,#22900); +#22902=PLANE('',#22901); +#22903=ORIENTED_EDGE('',*,*,#22121,.F.); +#22905=ORIENTED_EDGE('',*,*,#22904,.T.); +#22906=ORIENTED_EDGE('',*,*,#22469,.T.); +#22907=ORIENTED_EDGE('',*,*,#22891,.F.); +#22908=EDGE_LOOP('',(#22903,#22905,#22906,#22907)); +#22909=FACE_OUTER_BOUND('',#22908,.F.); +#22911=CARTESIAN_POINT('',(2.E0,4.000000000001E0,-2.3E1)); +#22912=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22913=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22914=AXIS2_PLACEMENT_3D('',#22911,#22912,#22913); +#22915=CYLINDRICAL_SURFACE('',#22914,1.5E0); +#22916=ORIENTED_EDGE('',*,*,#22119,.F.); +#22918=ORIENTED_EDGE('',*,*,#22917,.T.); +#22919=ORIENTED_EDGE('',*,*,#22471,.T.); +#22920=ORIENTED_EDGE('',*,*,#22904,.F.); +#22921=EDGE_LOOP('',(#22916,#22918,#22919,#22920)); +#22922=FACE_OUTER_BOUND('',#22921,.F.); +#22924=CARTESIAN_POINT('',(4.585786437627E0,3.500000000001E0,-2.3E1)); +#22925=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22926=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22927=AXIS2_PLACEMENT_3D('',#22924,#22925,#22926); +#22928=PLANE('',#22927); +#22929=ORIENTED_EDGE('',*,*,#22117,.F.); +#22931=ORIENTED_EDGE('',*,*,#22930,.T.); +#22932=ORIENTED_EDGE('',*,*,#22473,.T.); +#22933=ORIENTED_EDGE('',*,*,#22917,.F.); +#22934=EDGE_LOOP('',(#22929,#22931,#22932,#22933)); +#22935=FACE_OUTER_BOUND('',#22934,.F.); +#22937=CARTESIAN_POINT('',(6.E0,4.000000000001E0,-2.3E1)); +#22938=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22939=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22940=AXIS2_PLACEMENT_3D('',#22937,#22938,#22939); +#22941=CYLINDRICAL_SURFACE('',#22940,1.5E0); +#22942=ORIENTED_EDGE('',*,*,#22115,.F.); +#22944=ORIENTED_EDGE('',*,*,#22943,.T.); +#22945=ORIENTED_EDGE('',*,*,#22475,.T.); +#22946=ORIENTED_EDGE('',*,*,#22930,.F.); +#22947=EDGE_LOOP('',(#22942,#22944,#22945,#22946)); +#22948=FACE_OUTER_BOUND('',#22947,.F.); +#22950=CARTESIAN_POINT('',(8.585786437627E0,3.500000000001E0,-2.3E1)); +#22951=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22952=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22953=AXIS2_PLACEMENT_3D('',#22950,#22951,#22952); +#22954=PLANE('',#22953); +#22955=ORIENTED_EDGE('',*,*,#22113,.F.); +#22957=ORIENTED_EDGE('',*,*,#22956,.T.); +#22958=ORIENTED_EDGE('',*,*,#22477,.T.); +#22959=ORIENTED_EDGE('',*,*,#22943,.F.); +#22960=EDGE_LOOP('',(#22955,#22957,#22958,#22959)); +#22961=FACE_OUTER_BOUND('',#22960,.F.); +#22963=CARTESIAN_POINT('',(1.E1,4.000000000001E0,-2.3E1)); +#22964=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22965=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22966=AXIS2_PLACEMENT_3D('',#22963,#22964,#22965); +#22967=CYLINDRICAL_SURFACE('',#22966,1.5E0); +#22968=ORIENTED_EDGE('',*,*,#22111,.F.); +#22970=ORIENTED_EDGE('',*,*,#22969,.T.); +#22971=ORIENTED_EDGE('',*,*,#22479,.T.); +#22972=ORIENTED_EDGE('',*,*,#22956,.F.); +#22973=EDGE_LOOP('',(#22968,#22970,#22971,#22972)); +#22974=FACE_OUTER_BOUND('',#22973,.F.); +#22976=CARTESIAN_POINT('',(1.258578643763E1,3.500000000001E0,-2.3E1)); +#22977=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22978=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22979=AXIS2_PLACEMENT_3D('',#22976,#22977,#22978); +#22980=PLANE('',#22979); +#22981=ORIENTED_EDGE('',*,*,#22109,.F.); +#22983=ORIENTED_EDGE('',*,*,#22982,.T.); +#22984=ORIENTED_EDGE('',*,*,#22481,.T.); +#22985=ORIENTED_EDGE('',*,*,#22969,.F.); +#22986=EDGE_LOOP('',(#22981,#22983,#22984,#22985)); +#22987=FACE_OUTER_BOUND('',#22986,.F.); +#22989=CARTESIAN_POINT('',(1.4E1,4.000000000001E0,-2.3E1)); +#22990=DIRECTION('',(0.E0,0.E0,-1.E0)); +#22991=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22992=AXIS2_PLACEMENT_3D('',#22989,#22990,#22991); +#22993=CYLINDRICAL_SURFACE('',#22992,1.5E0); +#22994=ORIENTED_EDGE('',*,*,#22107,.F.); +#22996=ORIENTED_EDGE('',*,*,#22995,.T.); +#22997=ORIENTED_EDGE('',*,*,#22483,.T.); +#22998=ORIENTED_EDGE('',*,*,#22982,.F.); +#22999=EDGE_LOOP('',(#22994,#22996,#22997,#22998)); +#23000=FACE_OUTER_BOUND('',#22999,.F.); +#23002=CARTESIAN_POINT('',(1.67E1,3.500000000001E0,-2.3E1)); +#23003=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23004=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23005=AXIS2_PLACEMENT_3D('',#23002,#23003,#23004); +#23006=PLANE('',#23005); +#23007=ORIENTED_EDGE('',*,*,#22105,.F.); +#23009=ORIENTED_EDGE('',*,*,#23008,.T.); +#23010=ORIENTED_EDGE('',*,*,#22485,.T.); +#23011=ORIENTED_EDGE('',*,*,#22995,.F.); +#23012=EDGE_LOOP('',(#23007,#23009,#23010,#23011)); +#23013=FACE_OUTER_BOUND('',#23012,.F.); +#23015=CARTESIAN_POINT('',(1.67E1,-7.E0,-2.2E1)); +#23016=DIRECTION('',(1.E0,0.E0,0.E0)); +#23017=DIRECTION('',(0.E0,1.E0,0.E0)); +#23018=AXIS2_PLACEMENT_3D('',#23015,#23016,#23017); +#23019=PLANE('',#23018); +#23020=ORIENTED_EDGE('',*,*,#22170,.F.); +#23022=ORIENTED_EDGE('',*,*,#23021,.T.); +#23023=ORIENTED_EDGE('',*,*,#22606,.T.); +#23025=ORIENTED_EDGE('',*,*,#23024,.F.); +#23026=ORIENTED_EDGE('',*,*,#22245,.F.); +#23028=ORIENTED_EDGE('',*,*,#23027,.T.); +#23029=ORIENTED_EDGE('',*,*,#22690,.T.); +#23031=ORIENTED_EDGE('',*,*,#23030,.F.); +#23032=ORIENTED_EDGE('',*,*,#22255,.F.); +#23034=ORIENTED_EDGE('',*,*,#23033,.F.); +#23035=ORIENTED_EDGE('',*,*,#22007,.T.); +#23037=ORIENTED_EDGE('',*,*,#23036,.T.); +#23038=ORIENTED_EDGE('',*,*,#22074,.F.); +#23040=ORIENTED_EDGE('',*,*,#23039,.T.); +#23041=ORIENTED_EDGE('',*,*,#22487,.T.); +#23042=ORIENTED_EDGE('',*,*,#23008,.F.); +#23043=EDGE_LOOP('',(#23020,#23022,#23023,#23025,#23026,#23028,#23029,#23031, +#23032,#23034,#23035,#23037,#23038,#23040,#23041,#23042)); +#23044=FACE_OUTER_BOUND('',#23043,.F.); +#23046=CARTESIAN_POINT('',(1.341421356237E1,5.E-1,-2.3E1)); +#23047=DIRECTION('',(0.E0,1.E0,0.E0)); +#23048=DIRECTION('',(1.E0,0.E0,0.E0)); +#23049=AXIS2_PLACEMENT_3D('',#23046,#23047,#23048); +#23050=PLANE('',#23049); +#23051=ORIENTED_EDGE('',*,*,#22168,.T.); +#23053=ORIENTED_EDGE('',*,*,#23052,.T.); +#23054=ORIENTED_EDGE('',*,*,#22608,.T.); +#23055=ORIENTED_EDGE('',*,*,#23021,.F.); +#23056=EDGE_LOOP('',(#23051,#23053,#23054,#23055)); +#23057=FACE_OUTER_BOUND('',#23056,.F.); +#23059=CARTESIAN_POINT('',(1.2E1,1.077689183250E-14,-2.3E1)); +#23060=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23061=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23062=AXIS2_PLACEMENT_3D('',#23059,#23060,#23061); +#23063=CYLINDRICAL_SURFACE('',#23062,1.5E0); +#23064=ORIENTED_EDGE('',*,*,#22166,.F.); +#23066=ORIENTED_EDGE('',*,*,#23065,.T.); +#23067=ORIENTED_EDGE('',*,*,#22610,.T.); +#23068=ORIENTED_EDGE('',*,*,#23052,.F.); +#23069=EDGE_LOOP('',(#23064,#23066,#23067,#23068)); +#23070=FACE_OUTER_BOUND('',#23069,.F.); +#23072=CARTESIAN_POINT('',(9.414213562373E0,5.E-1,-2.3E1)); +#23073=DIRECTION('',(0.E0,1.E0,0.E0)); +#23074=DIRECTION('',(1.E0,0.E0,0.E0)); +#23075=AXIS2_PLACEMENT_3D('',#23072,#23073,#23074); +#23076=PLANE('',#23075); +#23077=ORIENTED_EDGE('',*,*,#22164,.F.); +#23079=ORIENTED_EDGE('',*,*,#23078,.T.); +#23080=ORIENTED_EDGE('',*,*,#22612,.T.); +#23081=ORIENTED_EDGE('',*,*,#23065,.F.); +#23082=EDGE_LOOP('',(#23077,#23079,#23080,#23081)); +#23083=FACE_OUTER_BOUND('',#23082,.F.); +#23085=CARTESIAN_POINT('',(8.E0,1.126675055216E-14,-2.3E1)); +#23086=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23087=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23088=AXIS2_PLACEMENT_3D('',#23085,#23086,#23087); +#23089=CYLINDRICAL_SURFACE('',#23088,1.5E0); +#23090=ORIENTED_EDGE('',*,*,#22162,.F.); +#23092=ORIENTED_EDGE('',*,*,#23091,.T.); +#23093=ORIENTED_EDGE('',*,*,#22614,.T.); +#23094=ORIENTED_EDGE('',*,*,#23078,.F.); +#23095=EDGE_LOOP('',(#23090,#23092,#23093,#23094)); +#23096=FACE_OUTER_BOUND('',#23095,.F.); +#23098=CARTESIAN_POINT('',(5.414213562373E0,5.E-1,-2.3E1)); +#23099=DIRECTION('',(0.E0,1.E0,0.E0)); +#23100=DIRECTION('',(1.E0,0.E0,0.E0)); +#23101=AXIS2_PLACEMENT_3D('',#23098,#23099,#23100); +#23102=PLANE('',#23101); +#23103=ORIENTED_EDGE('',*,*,#22160,.F.); +#23105=ORIENTED_EDGE('',*,*,#23104,.T.); +#23106=ORIENTED_EDGE('',*,*,#22616,.T.); +#23107=ORIENTED_EDGE('',*,*,#23091,.F.); +#23108=EDGE_LOOP('',(#23103,#23105,#23106,#23107)); +#23109=FACE_OUTER_BOUND('',#23108,.F.); +#23111=CARTESIAN_POINT('',(4.E0,1.175660927181E-14,-2.3E1)); +#23112=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23113=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23114=AXIS2_PLACEMENT_3D('',#23111,#23112,#23113); +#23115=CYLINDRICAL_SURFACE('',#23114,1.5E0); +#23116=ORIENTED_EDGE('',*,*,#22158,.F.); +#23118=ORIENTED_EDGE('',*,*,#23117,.T.); +#23119=ORIENTED_EDGE('',*,*,#22618,.T.); +#23120=ORIENTED_EDGE('',*,*,#23104,.F.); +#23121=EDGE_LOOP('',(#23116,#23118,#23119,#23120)); +#23122=FACE_OUTER_BOUND('',#23121,.F.); +#23124=CARTESIAN_POINT('',(1.414213562373E0,5.E-1,-2.3E1)); +#23125=DIRECTION('',(0.E0,1.E0,0.E0)); +#23126=DIRECTION('',(1.E0,0.E0,0.E0)); +#23127=AXIS2_PLACEMENT_3D('',#23124,#23125,#23126); +#23128=PLANE('',#23127); +#23129=ORIENTED_EDGE('',*,*,#22156,.F.); +#23131=ORIENTED_EDGE('',*,*,#23130,.T.); +#23132=ORIENTED_EDGE('',*,*,#22620,.T.); +#23133=ORIENTED_EDGE('',*,*,#23117,.F.); +#23134=EDGE_LOOP('',(#23129,#23131,#23132,#23133)); +#23135=FACE_OUTER_BOUND('',#23134,.F.); +#23137=CARTESIAN_POINT('',(0.E0,1.224646799147E-14,-2.3E1)); +#23138=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23139=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23140=AXIS2_PLACEMENT_3D('',#23137,#23138,#23139); +#23141=CYLINDRICAL_SURFACE('',#23140,1.5E0); +#23142=ORIENTED_EDGE('',*,*,#22154,.F.); +#23144=ORIENTED_EDGE('',*,*,#23143,.T.); +#23145=ORIENTED_EDGE('',*,*,#22559,.T.); +#23146=ORIENTED_EDGE('',*,*,#23130,.F.); +#23147=EDGE_LOOP('',(#23142,#23144,#23145,#23146)); +#23148=FACE_OUTER_BOUND('',#23147,.F.); +#23150=CARTESIAN_POINT('',(-2.585786437627E0,5.E-1,-2.3E1)); +#23151=DIRECTION('',(0.E0,1.E0,0.E0)); +#23152=DIRECTION('',(1.E0,0.E0,0.E0)); +#23153=AXIS2_PLACEMENT_3D('',#23150,#23151,#23152); +#23154=PLANE('',#23153); +#23155=ORIENTED_EDGE('',*,*,#22152,.F.); +#23157=ORIENTED_EDGE('',*,*,#23156,.T.); +#23158=ORIENTED_EDGE('',*,*,#22561,.T.); +#23159=ORIENTED_EDGE('',*,*,#23143,.F.); +#23160=EDGE_LOOP('',(#23155,#23157,#23158,#23159)); +#23161=FACE_OUTER_BOUND('',#23160,.F.); +#23163=CARTESIAN_POINT('',(-4.E0,1.175660927181E-14,-2.3E1)); +#23164=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23165=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23166=AXIS2_PLACEMENT_3D('',#23163,#23164,#23165); +#23167=CYLINDRICAL_SURFACE('',#23166,1.5E0); +#23168=ORIENTED_EDGE('',*,*,#22150,.F.); +#23170=ORIENTED_EDGE('',*,*,#23169,.T.); +#23171=ORIENTED_EDGE('',*,*,#22563,.T.); +#23172=ORIENTED_EDGE('',*,*,#23156,.F.); +#23173=EDGE_LOOP('',(#23168,#23170,#23171,#23172)); +#23174=FACE_OUTER_BOUND('',#23173,.F.); +#23176=CARTESIAN_POINT('',(-6.585786437627E0,5.E-1,-2.3E1)); +#23177=DIRECTION('',(0.E0,1.E0,0.E0)); +#23178=DIRECTION('',(1.E0,0.E0,0.E0)); +#23179=AXIS2_PLACEMENT_3D('',#23176,#23177,#23178); +#23180=PLANE('',#23179); +#23181=ORIENTED_EDGE('',*,*,#22148,.F.); +#23183=ORIENTED_EDGE('',*,*,#23182,.T.); +#23184=ORIENTED_EDGE('',*,*,#22565,.T.); +#23185=ORIENTED_EDGE('',*,*,#23169,.F.); +#23186=EDGE_LOOP('',(#23181,#23183,#23184,#23185)); +#23187=FACE_OUTER_BOUND('',#23186,.F.); +#23189=CARTESIAN_POINT('',(-8.E0,1.126675055216E-14,-2.3E1)); +#23190=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23191=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23192=AXIS2_PLACEMENT_3D('',#23189,#23190,#23191); +#23193=CYLINDRICAL_SURFACE('',#23192,1.5E0); +#23194=ORIENTED_EDGE('',*,*,#22146,.F.); +#23196=ORIENTED_EDGE('',*,*,#23195,.T.); +#23197=ORIENTED_EDGE('',*,*,#22567,.T.); +#23198=ORIENTED_EDGE('',*,*,#23182,.F.); +#23199=EDGE_LOOP('',(#23194,#23196,#23197,#23198)); +#23200=FACE_OUTER_BOUND('',#23199,.F.); +#23202=CARTESIAN_POINT('',(-1.058578643763E1,5.E-1,-2.3E1)); +#23203=DIRECTION('',(0.E0,1.E0,0.E0)); +#23204=DIRECTION('',(1.E0,0.E0,0.E0)); +#23205=AXIS2_PLACEMENT_3D('',#23202,#23203,#23204); +#23206=PLANE('',#23205); +#23207=ORIENTED_EDGE('',*,*,#22144,.F.); +#23209=ORIENTED_EDGE('',*,*,#23208,.T.); +#23210=ORIENTED_EDGE('',*,*,#22569,.T.); +#23211=ORIENTED_EDGE('',*,*,#23195,.F.); +#23212=EDGE_LOOP('',(#23207,#23209,#23210,#23211)); +#23213=FACE_OUTER_BOUND('',#23212,.F.); +#23215=CARTESIAN_POINT('',(-1.2E1,1.077689183250E-14,-2.3E1)); +#23216=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23217=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23218=AXIS2_PLACEMENT_3D('',#23215,#23216,#23217); +#23219=CYLINDRICAL_SURFACE('',#23218,1.5E0); +#23220=ORIENTED_EDGE('',*,*,#22142,.F.); +#23222=ORIENTED_EDGE('',*,*,#23221,.T.); +#23223=ORIENTED_EDGE('',*,*,#22571,.T.); +#23224=ORIENTED_EDGE('',*,*,#23208,.F.); +#23225=EDGE_LOOP('',(#23220,#23222,#23223,#23224)); +#23226=FACE_OUTER_BOUND('',#23225,.F.); +#23228=CARTESIAN_POINT('',(-1.67E1,5.E-1,-2.3E1)); +#23229=DIRECTION('',(0.E0,1.E0,0.E0)); +#23230=DIRECTION('',(1.E0,0.E0,0.E0)); +#23231=AXIS2_PLACEMENT_3D('',#23228,#23229,#23230); +#23232=PLANE('',#23231); +#23233=ORIENTED_EDGE('',*,*,#22140,.F.); +#23234=ORIENTED_EDGE('',*,*,#22025,.T.); +#23235=ORIENTED_EDGE('',*,*,#22573,.T.); +#23236=ORIENTED_EDGE('',*,*,#23221,.F.); +#23237=EDGE_LOOP('',(#23233,#23234,#23235,#23236)); +#23238=FACE_OUTER_BOUND('',#23237,.F.); +#23240=CARTESIAN_POINT('',(1.67E1,-5.E-1,-2.3E1)); +#23241=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23242=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23243=AXIS2_PLACEMENT_3D('',#23240,#23241,#23242); +#23244=PLANE('',#23243); +#23245=ORIENTED_EDGE('',*,*,#22180,.F.); +#23246=ORIENTED_EDGE('',*,*,#23024,.T.); +#23247=ORIENTED_EDGE('',*,*,#22604,.T.); +#23249=ORIENTED_EDGE('',*,*,#23248,.F.); +#23250=EDGE_LOOP('',(#23245,#23246,#23247,#23249)); +#23251=FACE_OUTER_BOUND('',#23250,.F.); +#23253=CARTESIAN_POINT('',(1.2E1,1.077689183250E-14,-2.3E1)); +#23254=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23255=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23256=AXIS2_PLACEMENT_3D('',#23253,#23254,#23255); +#23257=CYLINDRICAL_SURFACE('',#23256,1.5E0); +#23258=ORIENTED_EDGE('',*,*,#22182,.F.); +#23259=ORIENTED_EDGE('',*,*,#23248,.T.); +#23260=ORIENTED_EDGE('',*,*,#22602,.T.); +#23262=ORIENTED_EDGE('',*,*,#23261,.F.); +#23263=EDGE_LOOP('',(#23258,#23259,#23260,#23262)); +#23264=FACE_OUTER_BOUND('',#23263,.F.); +#23266=CARTESIAN_POINT('',(1.058578643763E1,-5.E-1,-2.3E1)); +#23267=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23268=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23269=AXIS2_PLACEMENT_3D('',#23266,#23267,#23268); +#23270=PLANE('',#23269); +#23271=ORIENTED_EDGE('',*,*,#22184,.F.); +#23272=ORIENTED_EDGE('',*,*,#23261,.T.); +#23273=ORIENTED_EDGE('',*,*,#22600,.T.); +#23275=ORIENTED_EDGE('',*,*,#23274,.F.); +#23276=EDGE_LOOP('',(#23271,#23272,#23273,#23275)); +#23277=FACE_OUTER_BOUND('',#23276,.F.); +#23279=CARTESIAN_POINT('',(8.E0,1.126675055216E-14,-2.3E1)); +#23280=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23281=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23282=AXIS2_PLACEMENT_3D('',#23279,#23280,#23281); +#23283=CYLINDRICAL_SURFACE('',#23282,1.5E0); +#23284=ORIENTED_EDGE('',*,*,#22186,.F.); +#23285=ORIENTED_EDGE('',*,*,#23274,.T.); +#23286=ORIENTED_EDGE('',*,*,#22598,.T.); +#23288=ORIENTED_EDGE('',*,*,#23287,.F.); +#23289=EDGE_LOOP('',(#23284,#23285,#23286,#23288)); +#23290=FACE_OUTER_BOUND('',#23289,.F.); +#23292=CARTESIAN_POINT('',(6.585786437627E0,-5.E-1,-2.3E1)); +#23293=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23294=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23295=AXIS2_PLACEMENT_3D('',#23292,#23293,#23294); +#23296=PLANE('',#23295); +#23297=ORIENTED_EDGE('',*,*,#22188,.F.); +#23298=ORIENTED_EDGE('',*,*,#23287,.T.); +#23299=ORIENTED_EDGE('',*,*,#22596,.T.); +#23301=ORIENTED_EDGE('',*,*,#23300,.F.); +#23302=EDGE_LOOP('',(#23297,#23298,#23299,#23301)); +#23303=FACE_OUTER_BOUND('',#23302,.F.); +#23305=CARTESIAN_POINT('',(4.E0,1.175660927181E-14,-2.3E1)); +#23306=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23307=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23308=AXIS2_PLACEMENT_3D('',#23305,#23306,#23307); +#23309=CYLINDRICAL_SURFACE('',#23308,1.5E0); +#23310=ORIENTED_EDGE('',*,*,#22190,.F.); +#23311=ORIENTED_EDGE('',*,*,#23300,.T.); +#23312=ORIENTED_EDGE('',*,*,#22594,.T.); +#23314=ORIENTED_EDGE('',*,*,#23313,.F.); +#23315=EDGE_LOOP('',(#23310,#23311,#23312,#23314)); +#23316=FACE_OUTER_BOUND('',#23315,.F.); +#23318=CARTESIAN_POINT('',(2.585786437627E0,-5.E-1,-2.3E1)); +#23319=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23320=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23321=AXIS2_PLACEMENT_3D('',#23318,#23319,#23320); +#23322=PLANE('',#23321); +#23323=ORIENTED_EDGE('',*,*,#22192,.F.); +#23324=ORIENTED_EDGE('',*,*,#23313,.T.); +#23325=ORIENTED_EDGE('',*,*,#22592,.T.); +#23327=ORIENTED_EDGE('',*,*,#23326,.F.); +#23328=EDGE_LOOP('',(#23323,#23324,#23325,#23327)); +#23329=FACE_OUTER_BOUND('',#23328,.F.); +#23331=CARTESIAN_POINT('',(0.E0,1.224646799147E-14,-2.3E1)); +#23332=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23333=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23334=AXIS2_PLACEMENT_3D('',#23331,#23332,#23333); +#23335=CYLINDRICAL_SURFACE('',#23334,1.5E0); +#23336=ORIENTED_EDGE('',*,*,#22194,.F.); +#23337=ORIENTED_EDGE('',*,*,#23326,.T.); +#23338=ORIENTED_EDGE('',*,*,#22590,.T.); +#23340=ORIENTED_EDGE('',*,*,#23339,.F.); +#23341=EDGE_LOOP('',(#23336,#23337,#23338,#23340)); +#23342=FACE_OUTER_BOUND('',#23341,.F.); +#23344=CARTESIAN_POINT('',(-1.414213562373E0,-5.E-1,-2.3E1)); +#23345=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23346=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23347=AXIS2_PLACEMENT_3D('',#23344,#23345,#23346); +#23348=PLANE('',#23347); +#23349=ORIENTED_EDGE('',*,*,#22196,.F.); +#23350=ORIENTED_EDGE('',*,*,#23339,.T.); +#23351=ORIENTED_EDGE('',*,*,#22588,.T.); +#23353=ORIENTED_EDGE('',*,*,#23352,.F.); +#23354=EDGE_LOOP('',(#23349,#23350,#23351,#23353)); +#23355=FACE_OUTER_BOUND('',#23354,.F.); +#23357=CARTESIAN_POINT('',(-4.E0,1.175660927181E-14,-2.3E1)); +#23358=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23359=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23360=AXIS2_PLACEMENT_3D('',#23357,#23358,#23359); +#23361=CYLINDRICAL_SURFACE('',#23360,1.5E0); +#23362=ORIENTED_EDGE('',*,*,#22198,.F.); +#23363=ORIENTED_EDGE('',*,*,#23352,.T.); +#23364=ORIENTED_EDGE('',*,*,#22586,.T.); +#23366=ORIENTED_EDGE('',*,*,#23365,.F.); +#23367=EDGE_LOOP('',(#23362,#23363,#23364,#23366)); +#23368=FACE_OUTER_BOUND('',#23367,.F.); +#23370=CARTESIAN_POINT('',(-5.414213562373E0,-5.E-1,-2.3E1)); +#23371=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23372=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23373=AXIS2_PLACEMENT_3D('',#23370,#23371,#23372); +#23374=PLANE('',#23373); +#23375=ORIENTED_EDGE('',*,*,#22200,.F.); +#23376=ORIENTED_EDGE('',*,*,#23365,.T.); +#23377=ORIENTED_EDGE('',*,*,#22584,.T.); +#23379=ORIENTED_EDGE('',*,*,#23378,.F.); +#23380=EDGE_LOOP('',(#23375,#23376,#23377,#23379)); +#23381=FACE_OUTER_BOUND('',#23380,.F.); +#23383=CARTESIAN_POINT('',(-8.E0,1.126675055216E-14,-2.3E1)); +#23384=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23385=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23386=AXIS2_PLACEMENT_3D('',#23383,#23384,#23385); +#23387=CYLINDRICAL_SURFACE('',#23386,1.5E0); +#23388=ORIENTED_EDGE('',*,*,#22202,.F.); +#23389=ORIENTED_EDGE('',*,*,#23378,.T.); +#23390=ORIENTED_EDGE('',*,*,#22582,.T.); +#23392=ORIENTED_EDGE('',*,*,#23391,.F.); +#23393=EDGE_LOOP('',(#23388,#23389,#23390,#23392)); +#23394=FACE_OUTER_BOUND('',#23393,.F.); +#23396=CARTESIAN_POINT('',(-9.414213562373E0,-5.E-1,-2.3E1)); +#23397=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23398=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23399=AXIS2_PLACEMENT_3D('',#23396,#23397,#23398); +#23400=PLANE('',#23399); +#23401=ORIENTED_EDGE('',*,*,#22204,.F.); +#23402=ORIENTED_EDGE('',*,*,#23391,.T.); +#23403=ORIENTED_EDGE('',*,*,#22580,.T.); +#23405=ORIENTED_EDGE('',*,*,#23404,.F.); +#23406=EDGE_LOOP('',(#23401,#23402,#23403,#23405)); +#23407=FACE_OUTER_BOUND('',#23406,.F.); +#23409=CARTESIAN_POINT('',(-1.2E1,1.077689183250E-14,-2.3E1)); +#23410=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23411=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23412=AXIS2_PLACEMENT_3D('',#23409,#23410,#23411); +#23413=CYLINDRICAL_SURFACE('',#23412,1.5E0); +#23414=ORIENTED_EDGE('',*,*,#22206,.F.); +#23415=ORIENTED_EDGE('',*,*,#23404,.T.); +#23416=ORIENTED_EDGE('',*,*,#22578,.T.); +#23418=ORIENTED_EDGE('',*,*,#23417,.F.); +#23419=EDGE_LOOP('',(#23414,#23415,#23416,#23418)); +#23420=FACE_OUTER_BOUND('',#23419,.F.); +#23422=CARTESIAN_POINT('',(-1.341421356237E1,-5.E-1,-2.3E1)); +#23423=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23424=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23425=AXIS2_PLACEMENT_3D('',#23422,#23423,#23424); +#23426=PLANE('',#23425); +#23427=ORIENTED_EDGE('',*,*,#22208,.T.); +#23428=ORIENTED_EDGE('',*,*,#23417,.T.); +#23429=ORIENTED_EDGE('',*,*,#22576,.T.); +#23430=ORIENTED_EDGE('',*,*,#22021,.F.); +#23431=EDGE_LOOP('',(#23427,#23428,#23429,#23430)); +#23432=FACE_OUTER_BOUND('',#23431,.F.); +#23434=CARTESIAN_POINT('',(1.541421356237E1,-3.500000000001E0,-2.3E1)); +#23435=DIRECTION('',(0.E0,1.E0,0.E0)); +#23436=DIRECTION('',(1.E0,0.E0,0.E0)); +#23437=AXIS2_PLACEMENT_3D('',#23434,#23435,#23436); +#23438=PLANE('',#23437); +#23439=ORIENTED_EDGE('',*,*,#22243,.T.); +#23441=ORIENTED_EDGE('',*,*,#23440,.T.); +#23442=ORIENTED_EDGE('',*,*,#22692,.T.); +#23443=ORIENTED_EDGE('',*,*,#23027,.F.); +#23444=EDGE_LOOP('',(#23439,#23441,#23442,#23443)); +#23445=FACE_OUTER_BOUND('',#23444,.F.); +#23447=CARTESIAN_POINT('',(1.4E1,-4.000000000001E0,-2.3E1)); +#23448=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23449=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23450=AXIS2_PLACEMENT_3D('',#23447,#23448,#23449); +#23451=CYLINDRICAL_SURFACE('',#23450,1.5E0); +#23452=ORIENTED_EDGE('',*,*,#22241,.F.); +#23454=ORIENTED_EDGE('',*,*,#23453,.T.); +#23455=ORIENTED_EDGE('',*,*,#22694,.T.); +#23456=ORIENTED_EDGE('',*,*,#23440,.F.); +#23457=EDGE_LOOP('',(#23452,#23454,#23455,#23456)); +#23458=FACE_OUTER_BOUND('',#23457,.F.); +#23460=CARTESIAN_POINT('',(1.141421356237E1,-3.500000000001E0,-2.3E1)); +#23461=DIRECTION('',(0.E0,1.E0,0.E0)); +#23462=DIRECTION('',(1.E0,0.E0,0.E0)); +#23463=AXIS2_PLACEMENT_3D('',#23460,#23461,#23462); +#23464=PLANE('',#23463); +#23465=ORIENTED_EDGE('',*,*,#22239,.F.); +#23467=ORIENTED_EDGE('',*,*,#23466,.T.); +#23468=ORIENTED_EDGE('',*,*,#22696,.T.); +#23469=ORIENTED_EDGE('',*,*,#23453,.F.); +#23470=EDGE_LOOP('',(#23465,#23467,#23468,#23469)); +#23471=FACE_OUTER_BOUND('',#23470,.F.); +#23473=CARTESIAN_POINT('',(1.E1,-4.000000000001E0,-2.3E1)); +#23474=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23475=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23476=AXIS2_PLACEMENT_3D('',#23473,#23474,#23475); +#23477=CYLINDRICAL_SURFACE('',#23476,1.5E0); +#23478=ORIENTED_EDGE('',*,*,#22237,.F.); +#23480=ORIENTED_EDGE('',*,*,#23479,.T.); +#23481=ORIENTED_EDGE('',*,*,#22698,.T.); +#23482=ORIENTED_EDGE('',*,*,#23466,.F.); +#23483=EDGE_LOOP('',(#23478,#23480,#23481,#23482)); +#23484=FACE_OUTER_BOUND('',#23483,.F.); +#23486=CARTESIAN_POINT('',(7.414213562373E0,-3.500000000001E0,-2.3E1)); +#23487=DIRECTION('',(0.E0,1.E0,0.E0)); +#23488=DIRECTION('',(1.E0,0.E0,0.E0)); +#23489=AXIS2_PLACEMENT_3D('',#23486,#23487,#23488); +#23490=PLANE('',#23489); +#23491=ORIENTED_EDGE('',*,*,#22235,.F.); +#23493=ORIENTED_EDGE('',*,*,#23492,.T.); +#23494=ORIENTED_EDGE('',*,*,#22700,.T.); +#23495=ORIENTED_EDGE('',*,*,#23479,.F.); +#23496=EDGE_LOOP('',(#23491,#23493,#23494,#23495)); +#23497=FACE_OUTER_BOUND('',#23496,.F.); +#23499=CARTESIAN_POINT('',(6.E0,-4.000000000001E0,-2.3E1)); +#23500=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23501=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23502=AXIS2_PLACEMENT_3D('',#23499,#23500,#23501); +#23503=CYLINDRICAL_SURFACE('',#23502,1.5E0); +#23504=ORIENTED_EDGE('',*,*,#22233,.F.); +#23506=ORIENTED_EDGE('',*,*,#23505,.T.); +#23507=ORIENTED_EDGE('',*,*,#22702,.T.); +#23508=ORIENTED_EDGE('',*,*,#23492,.F.); +#23509=EDGE_LOOP('',(#23504,#23506,#23507,#23508)); +#23510=FACE_OUTER_BOUND('',#23509,.F.); +#23512=CARTESIAN_POINT('',(3.414213562373E0,-3.500000000001E0,-2.3E1)); +#23513=DIRECTION('',(0.E0,1.E0,0.E0)); +#23514=DIRECTION('',(1.E0,0.E0,0.E0)); +#23515=AXIS2_PLACEMENT_3D('',#23512,#23513,#23514); +#23516=PLANE('',#23515); +#23517=ORIENTED_EDGE('',*,*,#22231,.F.); +#23519=ORIENTED_EDGE('',*,*,#23518,.T.); +#23520=ORIENTED_EDGE('',*,*,#22704,.T.); +#23521=ORIENTED_EDGE('',*,*,#23505,.F.); +#23522=EDGE_LOOP('',(#23517,#23519,#23520,#23521)); +#23523=FACE_OUTER_BOUND('',#23522,.F.); +#23525=CARTESIAN_POINT('',(2.E0,-4.000000000001E0,-2.3E1)); +#23526=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23527=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23528=AXIS2_PLACEMENT_3D('',#23525,#23526,#23527); +#23529=CYLINDRICAL_SURFACE('',#23528,1.5E0); +#23530=ORIENTED_EDGE('',*,*,#22229,.F.); +#23532=ORIENTED_EDGE('',*,*,#23531,.T.); +#23533=ORIENTED_EDGE('',*,*,#22706,.T.); +#23534=ORIENTED_EDGE('',*,*,#23518,.F.); +#23535=EDGE_LOOP('',(#23530,#23532,#23533,#23534)); +#23536=FACE_OUTER_BOUND('',#23535,.F.); +#23538=CARTESIAN_POINT('',(-5.857864376269E-1,-3.500000000001E0,-2.3E1)); +#23539=DIRECTION('',(0.E0,1.E0,0.E0)); +#23540=DIRECTION('',(1.E0,0.E0,0.E0)); +#23541=AXIS2_PLACEMENT_3D('',#23538,#23539,#23540); +#23542=PLANE('',#23541); +#23543=ORIENTED_EDGE('',*,*,#22227,.F.); +#23545=ORIENTED_EDGE('',*,*,#23544,.T.); +#23546=ORIENTED_EDGE('',*,*,#22708,.T.); +#23547=ORIENTED_EDGE('',*,*,#23531,.F.); +#23548=EDGE_LOOP('',(#23543,#23545,#23546,#23547)); +#23549=FACE_OUTER_BOUND('',#23548,.F.); +#23551=CARTESIAN_POINT('',(-2.E0,-4.000000000001E0,-2.3E1)); +#23552=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23553=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23554=AXIS2_PLACEMENT_3D('',#23551,#23552,#23553); +#23555=CYLINDRICAL_SURFACE('',#23554,1.5E0); +#23556=ORIENTED_EDGE('',*,*,#22225,.F.); +#23558=ORIENTED_EDGE('',*,*,#23557,.T.); +#23559=ORIENTED_EDGE('',*,*,#22710,.T.); +#23560=ORIENTED_EDGE('',*,*,#23544,.F.); +#23561=EDGE_LOOP('',(#23556,#23558,#23559,#23560)); +#23562=FACE_OUTER_BOUND('',#23561,.F.); +#23564=CARTESIAN_POINT('',(-4.585786437627E0,-3.500000000001E0,-2.3E1)); +#23565=DIRECTION('',(0.E0,1.E0,0.E0)); +#23566=DIRECTION('',(1.E0,0.E0,0.E0)); +#23567=AXIS2_PLACEMENT_3D('',#23564,#23565,#23566); +#23568=PLANE('',#23567); +#23569=ORIENTED_EDGE('',*,*,#22223,.F.); +#23571=ORIENTED_EDGE('',*,*,#23570,.T.); +#23572=ORIENTED_EDGE('',*,*,#22712,.T.); +#23573=ORIENTED_EDGE('',*,*,#23557,.F.); +#23574=EDGE_LOOP('',(#23569,#23571,#23572,#23573)); +#23575=FACE_OUTER_BOUND('',#23574,.F.); +#23577=CARTESIAN_POINT('',(-6.E0,-4.000000000001E0,-2.3E1)); +#23578=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23579=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23580=AXIS2_PLACEMENT_3D('',#23577,#23578,#23579); +#23581=CYLINDRICAL_SURFACE('',#23580,1.5E0); +#23582=ORIENTED_EDGE('',*,*,#22221,.F.); +#23584=ORIENTED_EDGE('',*,*,#23583,.T.); +#23585=ORIENTED_EDGE('',*,*,#22714,.T.); +#23586=ORIENTED_EDGE('',*,*,#23570,.F.); +#23587=EDGE_LOOP('',(#23582,#23584,#23585,#23586)); +#23588=FACE_OUTER_BOUND('',#23587,.F.); +#23590=CARTESIAN_POINT('',(-8.585786437627E0,-3.500000000001E0,-2.3E1)); +#23591=DIRECTION('',(0.E0,1.E0,0.E0)); +#23592=DIRECTION('',(1.E0,0.E0,0.E0)); +#23593=AXIS2_PLACEMENT_3D('',#23590,#23591,#23592); +#23594=PLANE('',#23593); +#23595=ORIENTED_EDGE('',*,*,#22219,.F.); +#23597=ORIENTED_EDGE('',*,*,#23596,.T.); +#23598=ORIENTED_EDGE('',*,*,#22716,.T.); +#23599=ORIENTED_EDGE('',*,*,#23583,.F.); +#23600=EDGE_LOOP('',(#23595,#23597,#23598,#23599)); +#23601=FACE_OUTER_BOUND('',#23600,.F.); +#23603=CARTESIAN_POINT('',(-1.E1,-4.000000000001E0,-2.3E1)); +#23604=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23605=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23606=AXIS2_PLACEMENT_3D('',#23603,#23604,#23605); +#23607=CYLINDRICAL_SURFACE('',#23606,1.5E0); +#23608=ORIENTED_EDGE('',*,*,#22217,.F.); +#23610=ORIENTED_EDGE('',*,*,#23609,.T.); +#23611=ORIENTED_EDGE('',*,*,#22718,.T.); +#23612=ORIENTED_EDGE('',*,*,#23596,.F.); +#23613=EDGE_LOOP('',(#23608,#23610,#23611,#23612)); +#23614=FACE_OUTER_BOUND('',#23613,.F.); +#23616=CARTESIAN_POINT('',(-1.258578643763E1,-3.500000000001E0,-2.3E1)); +#23617=DIRECTION('',(0.E0,1.E0,0.E0)); +#23618=DIRECTION('',(1.E0,0.E0,0.E0)); +#23619=AXIS2_PLACEMENT_3D('',#23616,#23617,#23618); +#23620=PLANE('',#23619); +#23621=ORIENTED_EDGE('',*,*,#22215,.F.); +#23623=ORIENTED_EDGE('',*,*,#23622,.T.); +#23624=ORIENTED_EDGE('',*,*,#22720,.T.); +#23625=ORIENTED_EDGE('',*,*,#23609,.F.); +#23626=EDGE_LOOP('',(#23621,#23623,#23624,#23625)); +#23627=FACE_OUTER_BOUND('',#23626,.F.); +#23629=CARTESIAN_POINT('',(-1.4E1,-4.000000000001E0,-2.3E1)); +#23630=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23631=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23632=AXIS2_PLACEMENT_3D('',#23629,#23630,#23631); +#23633=CYLINDRICAL_SURFACE('',#23632,1.5E0); +#23634=ORIENTED_EDGE('',*,*,#22213,.F.); +#23636=ORIENTED_EDGE('',*,*,#23635,.T.); +#23637=ORIENTED_EDGE('',*,*,#22722,.T.); +#23638=ORIENTED_EDGE('',*,*,#23622,.F.); +#23639=EDGE_LOOP('',(#23634,#23636,#23637,#23638)); +#23640=FACE_OUTER_BOUND('',#23639,.F.); +#23642=CARTESIAN_POINT('',(-1.67E1,-3.500000000001E0,-2.3E1)); +#23643=DIRECTION('',(0.E0,1.E0,0.E0)); +#23644=DIRECTION('',(1.E0,0.E0,0.E0)); +#23645=AXIS2_PLACEMENT_3D('',#23642,#23643,#23644); +#23646=PLANE('',#23645); +#23647=ORIENTED_EDGE('',*,*,#22211,.F.); +#23648=ORIENTED_EDGE('',*,*,#22048,.T.); +#23649=ORIENTED_EDGE('',*,*,#22724,.T.); +#23650=ORIENTED_EDGE('',*,*,#23635,.F.); +#23651=EDGE_LOOP('',(#23647,#23648,#23649,#23650)); +#23652=FACE_OUTER_BOUND('',#23651,.F.); +#23654=CARTESIAN_POINT('',(1.67E1,-4.500000000001E0,-2.3E1)); +#23655=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23656=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23657=AXIS2_PLACEMENT_3D('',#23654,#23655,#23656); +#23658=PLANE('',#23657); +#23659=ORIENTED_EDGE('',*,*,#22257,.F.); +#23660=ORIENTED_EDGE('',*,*,#23030,.T.); +#23661=ORIENTED_EDGE('',*,*,#22688,.T.); +#23663=ORIENTED_EDGE('',*,*,#23662,.F.); +#23664=EDGE_LOOP('',(#23659,#23660,#23661,#23663)); +#23665=FACE_OUTER_BOUND('',#23664,.F.); +#23667=CARTESIAN_POINT('',(1.4E1,-4.000000000001E0,-2.3E1)); +#23668=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23669=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23670=AXIS2_PLACEMENT_3D('',#23667,#23668,#23669); +#23671=CYLINDRICAL_SURFACE('',#23670,1.5E0); +#23672=ORIENTED_EDGE('',*,*,#22259,.F.); +#23673=ORIENTED_EDGE('',*,*,#23662,.T.); +#23674=ORIENTED_EDGE('',*,*,#22686,.T.); +#23676=ORIENTED_EDGE('',*,*,#23675,.F.); +#23677=EDGE_LOOP('',(#23672,#23673,#23674,#23676)); +#23678=FACE_OUTER_BOUND('',#23677,.F.); +#23680=CARTESIAN_POINT('',(1.258578643763E1,-4.500000000001E0,-2.3E1)); +#23681=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23682=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23683=AXIS2_PLACEMENT_3D('',#23680,#23681,#23682); +#23684=PLANE('',#23683); +#23685=ORIENTED_EDGE('',*,*,#22261,.F.); +#23686=ORIENTED_EDGE('',*,*,#23675,.T.); +#23687=ORIENTED_EDGE('',*,*,#22684,.T.); +#23689=ORIENTED_EDGE('',*,*,#23688,.F.); +#23690=EDGE_LOOP('',(#23685,#23686,#23687,#23689)); +#23691=FACE_OUTER_BOUND('',#23690,.F.); +#23693=CARTESIAN_POINT('',(1.E1,-4.000000000001E0,-2.3E1)); +#23694=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23695=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23696=AXIS2_PLACEMENT_3D('',#23693,#23694,#23695); +#23697=CYLINDRICAL_SURFACE('',#23696,1.5E0); +#23698=ORIENTED_EDGE('',*,*,#22263,.F.); +#23699=ORIENTED_EDGE('',*,*,#23688,.T.); +#23700=ORIENTED_EDGE('',*,*,#22682,.T.); +#23702=ORIENTED_EDGE('',*,*,#23701,.F.); +#23703=EDGE_LOOP('',(#23698,#23699,#23700,#23702)); +#23704=FACE_OUTER_BOUND('',#23703,.F.); +#23706=CARTESIAN_POINT('',(8.585786437627E0,-4.500000000001E0,-2.3E1)); +#23707=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23708=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23709=AXIS2_PLACEMENT_3D('',#23706,#23707,#23708); +#23710=PLANE('',#23709); +#23711=ORIENTED_EDGE('',*,*,#22265,.F.); +#23712=ORIENTED_EDGE('',*,*,#23701,.T.); +#23713=ORIENTED_EDGE('',*,*,#22680,.T.); +#23715=ORIENTED_EDGE('',*,*,#23714,.F.); +#23716=EDGE_LOOP('',(#23711,#23712,#23713,#23715)); +#23717=FACE_OUTER_BOUND('',#23716,.F.); +#23719=CARTESIAN_POINT('',(6.E0,-4.000000000001E0,-2.3E1)); +#23720=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23721=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23722=AXIS2_PLACEMENT_3D('',#23719,#23720,#23721); +#23723=CYLINDRICAL_SURFACE('',#23722,1.5E0); +#23724=ORIENTED_EDGE('',*,*,#22267,.F.); +#23725=ORIENTED_EDGE('',*,*,#23714,.T.); +#23726=ORIENTED_EDGE('',*,*,#22678,.T.); +#23728=ORIENTED_EDGE('',*,*,#23727,.F.); +#23729=EDGE_LOOP('',(#23724,#23725,#23726,#23728)); +#23730=FACE_OUTER_BOUND('',#23729,.F.); +#23732=CARTESIAN_POINT('',(4.585786437627E0,-4.500000000001E0,-2.3E1)); +#23733=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23734=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23735=AXIS2_PLACEMENT_3D('',#23732,#23733,#23734); +#23736=PLANE('',#23735); +#23737=ORIENTED_EDGE('',*,*,#22269,.F.); +#23738=ORIENTED_EDGE('',*,*,#23727,.T.); +#23739=ORIENTED_EDGE('',*,*,#22676,.T.); +#23741=ORIENTED_EDGE('',*,*,#23740,.F.); +#23742=EDGE_LOOP('',(#23737,#23738,#23739,#23741)); +#23743=FACE_OUTER_BOUND('',#23742,.F.); +#23745=CARTESIAN_POINT('',(2.E0,-4.000000000001E0,-2.3E1)); +#23746=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23747=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23748=AXIS2_PLACEMENT_3D('',#23745,#23746,#23747); +#23749=CYLINDRICAL_SURFACE('',#23748,1.5E0); +#23750=ORIENTED_EDGE('',*,*,#22271,.F.); +#23751=ORIENTED_EDGE('',*,*,#23740,.T.); +#23752=ORIENTED_EDGE('',*,*,#22674,.T.); +#23754=ORIENTED_EDGE('',*,*,#23753,.F.); +#23755=EDGE_LOOP('',(#23750,#23751,#23752,#23754)); +#23756=FACE_OUTER_BOUND('',#23755,.F.); +#23758=CARTESIAN_POINT('',(5.857864376269E-1,-4.500000000001E0,-2.3E1)); +#23759=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23760=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23761=AXIS2_PLACEMENT_3D('',#23758,#23759,#23760); +#23762=PLANE('',#23761); +#23763=ORIENTED_EDGE('',*,*,#22273,.F.); +#23764=ORIENTED_EDGE('',*,*,#23753,.T.); +#23765=ORIENTED_EDGE('',*,*,#22672,.T.); +#23767=ORIENTED_EDGE('',*,*,#23766,.F.); +#23768=EDGE_LOOP('',(#23763,#23764,#23765,#23767)); +#23769=FACE_OUTER_BOUND('',#23768,.F.); +#23771=CARTESIAN_POINT('',(-2.E0,-4.000000000001E0,-2.3E1)); +#23772=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23773=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23774=AXIS2_PLACEMENT_3D('',#23771,#23772,#23773); +#23775=CYLINDRICAL_SURFACE('',#23774,1.5E0); +#23776=ORIENTED_EDGE('',*,*,#22275,.F.); +#23777=ORIENTED_EDGE('',*,*,#23766,.T.); +#23778=ORIENTED_EDGE('',*,*,#22741,.T.); +#23780=ORIENTED_EDGE('',*,*,#23779,.F.); +#23781=EDGE_LOOP('',(#23776,#23777,#23778,#23780)); +#23782=FACE_OUTER_BOUND('',#23781,.F.); +#23784=CARTESIAN_POINT('',(-3.414213562373E0,-4.500000000001E0,-2.3E1)); +#23785=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23786=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23787=AXIS2_PLACEMENT_3D('',#23784,#23785,#23786); +#23788=PLANE('',#23787); +#23789=ORIENTED_EDGE('',*,*,#22277,.F.); +#23790=ORIENTED_EDGE('',*,*,#23779,.T.); +#23791=ORIENTED_EDGE('',*,*,#22739,.T.); +#23793=ORIENTED_EDGE('',*,*,#23792,.F.); +#23794=EDGE_LOOP('',(#23789,#23790,#23791,#23793)); +#23795=FACE_OUTER_BOUND('',#23794,.F.); +#23797=CARTESIAN_POINT('',(-6.E0,-4.000000000001E0,-2.3E1)); +#23798=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23799=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23800=AXIS2_PLACEMENT_3D('',#23797,#23798,#23799); +#23801=CYLINDRICAL_SURFACE('',#23800,1.5E0); +#23802=ORIENTED_EDGE('',*,*,#22279,.F.); +#23803=ORIENTED_EDGE('',*,*,#23792,.T.); +#23804=ORIENTED_EDGE('',*,*,#22737,.T.); +#23806=ORIENTED_EDGE('',*,*,#23805,.F.); +#23807=EDGE_LOOP('',(#23802,#23803,#23804,#23806)); +#23808=FACE_OUTER_BOUND('',#23807,.F.); +#23810=CARTESIAN_POINT('',(-7.414213562373E0,-4.500000000001E0,-2.3E1)); +#23811=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23812=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23813=AXIS2_PLACEMENT_3D('',#23810,#23811,#23812); +#23814=PLANE('',#23813); +#23815=ORIENTED_EDGE('',*,*,#22281,.F.); +#23816=ORIENTED_EDGE('',*,*,#23805,.T.); +#23817=ORIENTED_EDGE('',*,*,#22735,.T.); +#23819=ORIENTED_EDGE('',*,*,#23818,.F.); +#23820=EDGE_LOOP('',(#23815,#23816,#23817,#23819)); +#23821=FACE_OUTER_BOUND('',#23820,.F.); +#23823=CARTESIAN_POINT('',(-1.E1,-4.000000000001E0,-2.3E1)); +#23824=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23825=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23826=AXIS2_PLACEMENT_3D('',#23823,#23824,#23825); +#23827=CYLINDRICAL_SURFACE('',#23826,1.5E0); +#23828=ORIENTED_EDGE('',*,*,#22283,.F.); +#23829=ORIENTED_EDGE('',*,*,#23818,.T.); +#23830=ORIENTED_EDGE('',*,*,#22733,.T.); +#23832=ORIENTED_EDGE('',*,*,#23831,.F.); +#23833=EDGE_LOOP('',(#23828,#23829,#23830,#23832)); +#23834=FACE_OUTER_BOUND('',#23833,.F.); +#23836=CARTESIAN_POINT('',(-1.141421356237E1,-4.500000000001E0,-2.3E1)); +#23837=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23838=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23839=AXIS2_PLACEMENT_3D('',#23836,#23837,#23838); +#23840=PLANE('',#23839); +#23841=ORIENTED_EDGE('',*,*,#22285,.F.); +#23842=ORIENTED_EDGE('',*,*,#23831,.T.); +#23843=ORIENTED_EDGE('',*,*,#22731,.T.); +#23845=ORIENTED_EDGE('',*,*,#23844,.F.); +#23846=EDGE_LOOP('',(#23841,#23842,#23843,#23845)); +#23847=FACE_OUTER_BOUND('',#23846,.F.); +#23849=CARTESIAN_POINT('',(-1.4E1,-4.000000000001E0,-2.3E1)); +#23850=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23851=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23852=AXIS2_PLACEMENT_3D('',#23849,#23850,#23851); +#23853=CYLINDRICAL_SURFACE('',#23852,1.5E0); +#23854=ORIENTED_EDGE('',*,*,#22287,.F.); +#23855=ORIENTED_EDGE('',*,*,#23844,.T.); +#23856=ORIENTED_EDGE('',*,*,#22729,.T.); +#23858=ORIENTED_EDGE('',*,*,#23857,.F.); +#23859=EDGE_LOOP('',(#23854,#23855,#23856,#23858)); +#23860=FACE_OUTER_BOUND('',#23859,.F.); +#23862=CARTESIAN_POINT('',(-1.541421356237E1,-4.500000000001E0,-2.3E1)); +#23863=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23864=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23865=AXIS2_PLACEMENT_3D('',#23862,#23863,#23864); +#23866=PLANE('',#23865); +#23867=ORIENTED_EDGE('',*,*,#22289,.T.); +#23868=ORIENTED_EDGE('',*,*,#23857,.T.); +#23869=ORIENTED_EDGE('',*,*,#22727,.T.); +#23870=ORIENTED_EDGE('',*,*,#22044,.F.); +#23871=EDGE_LOOP('',(#23867,#23868,#23869,#23870)); +#23872=FACE_OUTER_BOUND('',#23871,.F.); +#23874=CARTESIAN_POINT('',(-1.67E1,-7.E0,-2.2E1)); +#23875=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23876=DIRECTION('',(1.E0,0.E0,0.E0)); +#23877=AXIS2_PLACEMENT_3D('',#23874,#23875,#23876); +#23878=PLANE('',#23877); +#23879=ORIENTED_EDGE('',*,*,#22009,.T.); +#23880=ORIENTED_EDGE('',*,*,#23033,.T.); +#23881=ORIENTED_EDGE('',*,*,#22292,.F.); +#23882=ORIENTED_EDGE('',*,*,#22040,.F.); +#23883=EDGE_LOOP('',(#23879,#23880,#23881,#23882)); +#23884=FACE_OUTER_BOUND('',#23883,.F.); +#23886=CARTESIAN_POINT('',(1.67E1,7.E0,-2.2E1)); +#23887=DIRECTION('',(0.E0,1.E0,0.E0)); +#23888=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23889=AXIS2_PLACEMENT_3D('',#23886,#23887,#23888); +#23890=PLANE('',#23889); +#23891=ORIENTED_EDGE('',*,*,#22005,.T.); +#23892=ORIENTED_EDGE('',*,*,#22037,.T.); +#23893=ORIENTED_EDGE('',*,*,#22076,.F.); +#23894=ORIENTED_EDGE('',*,*,#23036,.F.); +#23895=EDGE_LOOP('',(#23891,#23892,#23893,#23894)); +#23896=FACE_OUTER_BOUND('',#23895,.F.); +#23898=CARTESIAN_POINT('',(1.541421356237E1,4.500000000001E0,-2.3E1)); +#23899=DIRECTION('',(0.E0,1.E0,0.E0)); +#23900=DIRECTION('',(1.E0,0.E0,0.E0)); +#23901=AXIS2_PLACEMENT_3D('',#23898,#23899,#23900); +#23902=PLANE('',#23901); +#23903=ORIENTED_EDGE('',*,*,#22072,.T.); +#23905=ORIENTED_EDGE('',*,*,#23904,.T.); +#23906=ORIENTED_EDGE('',*,*,#22489,.T.); +#23907=ORIENTED_EDGE('',*,*,#23039,.F.); +#23908=EDGE_LOOP('',(#23903,#23905,#23906,#23907)); +#23909=FACE_OUTER_BOUND('',#23908,.F.); +#23911=CARTESIAN_POINT('',(1.4E1,4.000000000001E0,-2.3E1)); +#23912=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23913=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23914=AXIS2_PLACEMENT_3D('',#23911,#23912,#23913); +#23915=CYLINDRICAL_SURFACE('',#23914,1.5E0); +#23916=ORIENTED_EDGE('',*,*,#22070,.F.); +#23918=ORIENTED_EDGE('',*,*,#23917,.T.); +#23919=ORIENTED_EDGE('',*,*,#22491,.T.); +#23920=ORIENTED_EDGE('',*,*,#23904,.F.); +#23921=EDGE_LOOP('',(#23916,#23918,#23919,#23920)); +#23922=FACE_OUTER_BOUND('',#23921,.F.); +#23924=CARTESIAN_POINT('',(1.141421356237E1,4.500000000001E0,-2.3E1)); +#23925=DIRECTION('',(0.E0,1.E0,0.E0)); +#23926=DIRECTION('',(1.E0,0.E0,0.E0)); +#23927=AXIS2_PLACEMENT_3D('',#23924,#23925,#23926); +#23928=PLANE('',#23927); +#23929=ORIENTED_EDGE('',*,*,#22068,.F.); +#23931=ORIENTED_EDGE('',*,*,#23930,.T.); +#23932=ORIENTED_EDGE('',*,*,#22493,.T.); +#23933=ORIENTED_EDGE('',*,*,#23917,.F.); +#23934=EDGE_LOOP('',(#23929,#23931,#23932,#23933)); +#23935=FACE_OUTER_BOUND('',#23934,.F.); +#23937=CARTESIAN_POINT('',(1.E1,4.000000000001E0,-2.3E1)); +#23938=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23939=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23940=AXIS2_PLACEMENT_3D('',#23937,#23938,#23939); +#23941=CYLINDRICAL_SURFACE('',#23940,1.5E0); +#23942=ORIENTED_EDGE('',*,*,#22066,.F.); +#23944=ORIENTED_EDGE('',*,*,#23943,.T.); +#23945=ORIENTED_EDGE('',*,*,#22495,.T.); +#23946=ORIENTED_EDGE('',*,*,#23930,.F.); +#23947=EDGE_LOOP('',(#23942,#23944,#23945,#23946)); +#23948=FACE_OUTER_BOUND('',#23947,.F.); +#23950=CARTESIAN_POINT('',(7.414213562373E0,4.500000000001E0,-2.3E1)); +#23951=DIRECTION('',(0.E0,1.E0,0.E0)); +#23952=DIRECTION('',(1.E0,0.E0,0.E0)); +#23953=AXIS2_PLACEMENT_3D('',#23950,#23951,#23952); +#23954=PLANE('',#23953); +#23955=ORIENTED_EDGE('',*,*,#22064,.F.); +#23957=ORIENTED_EDGE('',*,*,#23956,.T.); +#23958=ORIENTED_EDGE('',*,*,#22497,.T.); +#23959=ORIENTED_EDGE('',*,*,#23943,.F.); +#23960=EDGE_LOOP('',(#23955,#23957,#23958,#23959)); +#23961=FACE_OUTER_BOUND('',#23960,.F.); +#23963=CARTESIAN_POINT('',(6.E0,4.000000000001E0,-2.3E1)); +#23964=DIRECTION('',(0.E0,0.E0,-1.E0)); +#23965=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23966=AXIS2_PLACEMENT_3D('',#23963,#23964,#23965); +#23967=CYLINDRICAL_SURFACE('',#23966,1.5E0); +#23968=ORIENTED_EDGE('',*,*,#22062,.F.); +#23970=ORIENTED_EDGE('',*,*,#23969,.T.); +#23971=ORIENTED_EDGE('',*,*,#22499,.T.); +#23972=ORIENTED_EDGE('',*,*,#23956,.F.); +#23973=EDGE_LOOP('',(#23968,#23970,#23971,#23972)); +#23974=FACE_OUTER_BOUND('',#23973,.F.); +#23976=CARTESIAN_POINT('',(3.414213562373E0,4.500000000001E0,-2.3E1)); +#23977=DIRECTION('',(0.E0,1.E0,0.E0)); +#23978=DIRECTION('',(1.E0,0.E0,0.E0)); +#23979=AXIS2_PLACEMENT_3D('',#23976,#23977,#23978); +#23980=PLANE('',#23979); +#23981=ORIENTED_EDGE('',*,*,#22060,.F.); +#23982=ORIENTED_EDGE('',*,*,#22307,.T.); +#23983=ORIENTED_EDGE('',*,*,#22501,.T.); +#23984=ORIENTED_EDGE('',*,*,#23969,.F.); +#23985=EDGE_LOOP('',(#23981,#23982,#23983,#23984)); +#23986=FACE_OUTER_BOUND('',#23985,.F.); +#23988=CARTESIAN_POINT('',(-1.4E1,4.000000000001E0,-2.6E1)); +#23989=DIRECTION('',(0.E0,0.E0,1.E0)); +#23990=DIRECTION('',(1.E0,0.E0,0.E0)); +#23991=AXIS2_PLACEMENT_3D('',#23988,#23989,#23990); +#23992=CYLINDRICAL_SURFACE('',#23991,6.5E-1); +#23993=ORIENTED_EDGE('',*,*,#22505,.T.); +#23995=ORIENTED_EDGE('',*,*,#23994,.T.); +#23997=ORIENTED_EDGE('',*,*,#23996,.F.); +#23999=ORIENTED_EDGE('',*,*,#23998,.F.); +#24000=EDGE_LOOP('',(#23993,#23995,#23997,#23999)); +#24001=FACE_OUTER_BOUND('',#24000,.F.); +#24003=CARTESIAN_POINT('',(-1.4E1,4.000000000001E0,-2.6E1)); +#24004=DIRECTION('',(0.E0,0.E0,1.E0)); +#24005=DIRECTION('',(1.E0,0.E0,0.E0)); +#24006=AXIS2_PLACEMENT_3D('',#24003,#24004,#24005); +#24007=CYLINDRICAL_SURFACE('',#24006,6.5E-1); +#24008=ORIENTED_EDGE('',*,*,#22507,.T.); +#24009=ORIENTED_EDGE('',*,*,#23998,.T.); +#24011=ORIENTED_EDGE('',*,*,#24010,.F.); +#24012=ORIENTED_EDGE('',*,*,#23994,.F.); +#24013=EDGE_LOOP('',(#24008,#24009,#24011,#24012)); +#24014=FACE_OUTER_BOUND('',#24013,.F.); +#24016=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24017=DIRECTION('',(0.E0,0.E0,1.E0)); +#24018=DIRECTION('',(1.E0,0.E0,0.E0)); +#24019=AXIS2_PLACEMENT_3D('',#24016,#24017,#24018); +#24020=PLANE('',#24019); +#24021=ORIENTED_EDGE('',*,*,#23996,.T.); +#24022=ORIENTED_EDGE('',*,*,#24010,.T.); +#24023=EDGE_LOOP('',(#24021,#24022)); +#24024=FACE_OUTER_BOUND('',#24023,.F.); +#24026=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24027=DIRECTION('',(0.E0,0.E0,1.E0)); +#24028=DIRECTION('',(1.E0,0.E0,0.E0)); +#24029=AXIS2_PLACEMENT_3D('',#24026,#24027,#24028); +#24030=PLANE('',#24029); +#24032=ORIENTED_EDGE('',*,*,#24031,.T.); +#24034=ORIENTED_EDGE('',*,*,#24033,.T.); +#24035=EDGE_LOOP('',(#24032,#24034)); +#24036=FACE_OUTER_BOUND('',#24035,.F.); +#24038=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24039=DIRECTION('',(0.E0,0.E0,1.E0)); +#24040=DIRECTION('',(1.E0,0.E0,0.E0)); +#24041=AXIS2_PLACEMENT_3D('',#24038,#24039,#24040); +#24042=PLANE('',#24041); +#24044=ORIENTED_EDGE('',*,*,#24043,.T.); +#24046=ORIENTED_EDGE('',*,*,#24045,.T.); +#24047=EDGE_LOOP('',(#24044,#24046)); +#24048=FACE_OUTER_BOUND('',#24047,.F.); +#24050=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24051=DIRECTION('',(0.E0,0.E0,1.E0)); +#24052=DIRECTION('',(1.E0,0.E0,0.E0)); +#24053=AXIS2_PLACEMENT_3D('',#24050,#24051,#24052); +#24054=PLANE('',#24053); +#24056=ORIENTED_EDGE('',*,*,#24055,.T.); +#24058=ORIENTED_EDGE('',*,*,#24057,.T.); +#24059=EDGE_LOOP('',(#24056,#24058)); +#24060=FACE_OUTER_BOUND('',#24059,.F.); +#24062=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24063=DIRECTION('',(0.E0,0.E0,1.E0)); +#24064=DIRECTION('',(1.E0,0.E0,0.E0)); +#24065=AXIS2_PLACEMENT_3D('',#24062,#24063,#24064); +#24066=PLANE('',#24065); +#24068=ORIENTED_EDGE('',*,*,#24067,.T.); +#24070=ORIENTED_EDGE('',*,*,#24069,.T.); +#24071=EDGE_LOOP('',(#24068,#24070)); +#24072=FACE_OUTER_BOUND('',#24071,.F.); +#24074=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24075=DIRECTION('',(0.E0,0.E0,1.E0)); +#24076=DIRECTION('',(1.E0,0.E0,0.E0)); +#24077=AXIS2_PLACEMENT_3D('',#24074,#24075,#24076); +#24078=PLANE('',#24077); +#24080=ORIENTED_EDGE('',*,*,#24079,.T.); +#24082=ORIENTED_EDGE('',*,*,#24081,.T.); +#24083=EDGE_LOOP('',(#24080,#24082)); +#24084=FACE_OUTER_BOUND('',#24083,.F.); +#24086=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24087=DIRECTION('',(0.E0,0.E0,1.E0)); +#24088=DIRECTION('',(1.E0,0.E0,0.E0)); +#24089=AXIS2_PLACEMENT_3D('',#24086,#24087,#24088); +#24090=PLANE('',#24089); +#24092=ORIENTED_EDGE('',*,*,#24091,.T.); +#24094=ORIENTED_EDGE('',*,*,#24093,.T.); +#24095=EDGE_LOOP('',(#24092,#24094)); +#24096=FACE_OUTER_BOUND('',#24095,.F.); +#24098=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24099=DIRECTION('',(0.E0,0.E0,1.E0)); +#24100=DIRECTION('',(1.E0,0.E0,0.E0)); +#24101=AXIS2_PLACEMENT_3D('',#24098,#24099,#24100); +#24102=PLANE('',#24101); +#24104=ORIENTED_EDGE('',*,*,#24103,.T.); +#24106=ORIENTED_EDGE('',*,*,#24105,.T.); +#24107=EDGE_LOOP('',(#24104,#24106)); +#24108=FACE_OUTER_BOUND('',#24107,.F.); +#24110=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24111=DIRECTION('',(0.E0,0.E0,1.E0)); +#24112=DIRECTION('',(1.E0,0.E0,0.E0)); +#24113=AXIS2_PLACEMENT_3D('',#24110,#24111,#24112); +#24114=PLANE('',#24113); +#24116=ORIENTED_EDGE('',*,*,#24115,.T.); +#24118=ORIENTED_EDGE('',*,*,#24117,.T.); +#24119=EDGE_LOOP('',(#24116,#24118)); +#24120=FACE_OUTER_BOUND('',#24119,.F.); +#24122=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24123=DIRECTION('',(0.E0,0.E0,1.E0)); +#24124=DIRECTION('',(1.E0,0.E0,0.E0)); +#24125=AXIS2_PLACEMENT_3D('',#24122,#24123,#24124); +#24126=PLANE('',#24125); +#24128=ORIENTED_EDGE('',*,*,#24127,.T.); +#24130=ORIENTED_EDGE('',*,*,#24129,.T.); +#24131=EDGE_LOOP('',(#24128,#24130)); +#24132=FACE_OUTER_BOUND('',#24131,.F.); +#24134=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24135=DIRECTION('',(0.E0,0.E0,1.E0)); +#24136=DIRECTION('',(1.E0,0.E0,0.E0)); +#24137=AXIS2_PLACEMENT_3D('',#24134,#24135,#24136); +#24138=PLANE('',#24137); +#24140=ORIENTED_EDGE('',*,*,#24139,.T.); +#24142=ORIENTED_EDGE('',*,*,#24141,.T.); +#24143=EDGE_LOOP('',(#24140,#24142)); +#24144=FACE_OUTER_BOUND('',#24143,.F.); +#24146=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24147=DIRECTION('',(0.E0,0.E0,1.E0)); +#24148=DIRECTION('',(1.E0,0.E0,0.E0)); +#24149=AXIS2_PLACEMENT_3D('',#24146,#24147,#24148); +#24150=PLANE('',#24149); +#24152=ORIENTED_EDGE('',*,*,#24151,.T.); +#24154=ORIENTED_EDGE('',*,*,#24153,.T.); +#24155=EDGE_LOOP('',(#24152,#24154)); +#24156=FACE_OUTER_BOUND('',#24155,.F.); +#24158=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24159=DIRECTION('',(0.E0,0.E0,1.E0)); +#24160=DIRECTION('',(1.E0,0.E0,0.E0)); +#24161=AXIS2_PLACEMENT_3D('',#24158,#24159,#24160); +#24162=PLANE('',#24161); +#24164=ORIENTED_EDGE('',*,*,#24163,.T.); +#24166=ORIENTED_EDGE('',*,*,#24165,.T.); +#24167=EDGE_LOOP('',(#24164,#24166)); +#24168=FACE_OUTER_BOUND('',#24167,.F.); +#24170=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24171=DIRECTION('',(0.E0,0.E0,1.E0)); +#24172=DIRECTION('',(1.E0,0.E0,0.E0)); +#24173=AXIS2_PLACEMENT_3D('',#24170,#24171,#24172); +#24174=PLANE('',#24173); +#24176=ORIENTED_EDGE('',*,*,#24175,.T.); +#24178=ORIENTED_EDGE('',*,*,#24177,.T.); +#24179=EDGE_LOOP('',(#24176,#24178)); +#24180=FACE_OUTER_BOUND('',#24179,.F.); +#24182=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24183=DIRECTION('',(0.E0,0.E0,1.E0)); +#24184=DIRECTION('',(1.E0,0.E0,0.E0)); +#24185=AXIS2_PLACEMENT_3D('',#24182,#24183,#24184); +#24186=PLANE('',#24185); +#24188=ORIENTED_EDGE('',*,*,#24187,.T.); +#24190=ORIENTED_EDGE('',*,*,#24189,.T.); +#24191=EDGE_LOOP('',(#24188,#24190)); +#24192=FACE_OUTER_BOUND('',#24191,.F.); +#24194=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24195=DIRECTION('',(0.E0,0.E0,1.E0)); +#24196=DIRECTION('',(1.E0,0.E0,0.E0)); +#24197=AXIS2_PLACEMENT_3D('',#24194,#24195,#24196); +#24198=PLANE('',#24197); +#24200=ORIENTED_EDGE('',*,*,#24199,.T.); +#24202=ORIENTED_EDGE('',*,*,#24201,.T.); +#24203=EDGE_LOOP('',(#24200,#24202)); +#24204=FACE_OUTER_BOUND('',#24203,.F.); +#24206=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24207=DIRECTION('',(0.E0,0.E0,1.E0)); +#24208=DIRECTION('',(1.E0,0.E0,0.E0)); +#24209=AXIS2_PLACEMENT_3D('',#24206,#24207,#24208); +#24210=PLANE('',#24209); +#24212=ORIENTED_EDGE('',*,*,#24211,.T.); +#24214=ORIENTED_EDGE('',*,*,#24213,.T.); +#24215=EDGE_LOOP('',(#24212,#24214)); +#24216=FACE_OUTER_BOUND('',#24215,.F.); +#24218=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24219=DIRECTION('',(0.E0,0.E0,1.E0)); +#24220=DIRECTION('',(1.E0,0.E0,0.E0)); +#24221=AXIS2_PLACEMENT_3D('',#24218,#24219,#24220); +#24222=PLANE('',#24221); +#24224=ORIENTED_EDGE('',*,*,#24223,.T.); +#24226=ORIENTED_EDGE('',*,*,#24225,.T.); +#24227=EDGE_LOOP('',(#24224,#24226)); +#24228=FACE_OUTER_BOUND('',#24227,.F.); +#24230=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24231=DIRECTION('',(0.E0,0.E0,1.E0)); +#24232=DIRECTION('',(1.E0,0.E0,0.E0)); +#24233=AXIS2_PLACEMENT_3D('',#24230,#24231,#24232); +#24234=PLANE('',#24233); +#24236=ORIENTED_EDGE('',*,*,#24235,.T.); +#24238=ORIENTED_EDGE('',*,*,#24237,.T.); +#24239=EDGE_LOOP('',(#24236,#24238)); +#24240=FACE_OUTER_BOUND('',#24239,.F.); +#24242=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24243=DIRECTION('',(0.E0,0.E0,1.E0)); +#24244=DIRECTION('',(1.E0,0.E0,0.E0)); +#24245=AXIS2_PLACEMENT_3D('',#24242,#24243,#24244); +#24246=PLANE('',#24245); +#24248=ORIENTED_EDGE('',*,*,#24247,.T.); +#24250=ORIENTED_EDGE('',*,*,#24249,.T.); +#24251=EDGE_LOOP('',(#24248,#24250)); +#24252=FACE_OUTER_BOUND('',#24251,.F.); +#24254=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24255=DIRECTION('',(0.E0,0.E0,1.E0)); +#24256=DIRECTION('',(1.E0,0.E0,0.E0)); +#24257=AXIS2_PLACEMENT_3D('',#24254,#24255,#24256); +#24258=PLANE('',#24257); +#24260=ORIENTED_EDGE('',*,*,#24259,.T.); +#24262=ORIENTED_EDGE('',*,*,#24261,.T.); +#24263=EDGE_LOOP('',(#24260,#24262)); +#24264=FACE_OUTER_BOUND('',#24263,.F.); +#24266=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24267=DIRECTION('',(0.E0,0.E0,1.E0)); +#24268=DIRECTION('',(1.E0,0.E0,0.E0)); +#24269=AXIS2_PLACEMENT_3D('',#24266,#24267,#24268); +#24270=PLANE('',#24269); +#24272=ORIENTED_EDGE('',*,*,#24271,.T.); +#24274=ORIENTED_EDGE('',*,*,#24273,.T.); +#24275=EDGE_LOOP('',(#24272,#24274)); +#24276=FACE_OUTER_BOUND('',#24275,.F.); +#24278=CARTESIAN_POINT('',(0.E0,0.E0,-3.8075E1)); +#24279=DIRECTION('',(0.E0,0.E0,1.E0)); +#24280=DIRECTION('',(1.E0,0.E0,0.E0)); +#24281=AXIS2_PLACEMENT_3D('',#24278,#24279,#24280); +#24282=PLANE('',#24281); +#24284=ORIENTED_EDGE('',*,*,#24283,.T.); +#24286=ORIENTED_EDGE('',*,*,#24285,.T.); +#24287=EDGE_LOOP('',(#24284,#24286)); +#24288=FACE_OUTER_BOUND('',#24287,.F.); +#24290=CARTESIAN_POINT('',(-1.E1,4.000000000001E0,-2.6E1)); +#24291=DIRECTION('',(0.E0,0.E0,1.E0)); +#24292=DIRECTION('',(1.E0,0.E0,0.E0)); +#24293=AXIS2_PLACEMENT_3D('',#24290,#24291,#24292); +#24294=CYLINDRICAL_SURFACE('',#24293,6.5E-1); +#24295=ORIENTED_EDGE('',*,*,#22511,.T.); +#24297=ORIENTED_EDGE('',*,*,#24296,.T.); +#24298=ORIENTED_EDGE('',*,*,#24031,.F.); +#24300=ORIENTED_EDGE('',*,*,#24299,.F.); +#24301=EDGE_LOOP('',(#24295,#24297,#24298,#24300)); +#24302=FACE_OUTER_BOUND('',#24301,.F.); +#24304=CARTESIAN_POINT('',(-1.E1,4.000000000001E0,-2.6E1)); +#24305=DIRECTION('',(0.E0,0.E0,1.E0)); +#24306=DIRECTION('',(1.E0,0.E0,0.E0)); +#24307=AXIS2_PLACEMENT_3D('',#24304,#24305,#24306); +#24308=CYLINDRICAL_SURFACE('',#24307,6.5E-1); +#24309=ORIENTED_EDGE('',*,*,#22513,.T.); +#24310=ORIENTED_EDGE('',*,*,#24299,.T.); +#24311=ORIENTED_EDGE('',*,*,#24033,.F.); +#24312=ORIENTED_EDGE('',*,*,#24296,.F.); +#24313=EDGE_LOOP('',(#24309,#24310,#24311,#24312)); +#24314=FACE_OUTER_BOUND('',#24313,.F.); +#24316=CARTESIAN_POINT('',(-6.E0,4.000000000001E0,-2.6E1)); +#24317=DIRECTION('',(0.E0,0.E0,1.E0)); +#24318=DIRECTION('',(1.E0,0.E0,0.E0)); +#24319=AXIS2_PLACEMENT_3D('',#24316,#24317,#24318); +#24320=CYLINDRICAL_SURFACE('',#24319,6.5E-1); +#24321=ORIENTED_EDGE('',*,*,#22517,.T.); +#24323=ORIENTED_EDGE('',*,*,#24322,.T.); +#24324=ORIENTED_EDGE('',*,*,#24043,.F.); +#24326=ORIENTED_EDGE('',*,*,#24325,.F.); +#24327=EDGE_LOOP('',(#24321,#24323,#24324,#24326)); +#24328=FACE_OUTER_BOUND('',#24327,.F.); +#24330=CARTESIAN_POINT('',(-6.E0,4.000000000001E0,-2.6E1)); +#24331=DIRECTION('',(0.E0,0.E0,1.E0)); +#24332=DIRECTION('',(1.E0,0.E0,0.E0)); +#24333=AXIS2_PLACEMENT_3D('',#24330,#24331,#24332); +#24334=CYLINDRICAL_SURFACE('',#24333,6.5E-1); +#24335=ORIENTED_EDGE('',*,*,#22519,.T.); +#24336=ORIENTED_EDGE('',*,*,#24325,.T.); +#24337=ORIENTED_EDGE('',*,*,#24045,.F.); +#24338=ORIENTED_EDGE('',*,*,#24322,.F.); +#24339=EDGE_LOOP('',(#24335,#24336,#24337,#24338)); +#24340=FACE_OUTER_BOUND('',#24339,.F.); +#24342=CARTESIAN_POINT('',(-2.E0,4.000000000001E0,-2.6E1)); +#24343=DIRECTION('',(0.E0,0.E0,1.E0)); +#24344=DIRECTION('',(1.E0,0.E0,0.E0)); +#24345=AXIS2_PLACEMENT_3D('',#24342,#24343,#24344); +#24346=CYLINDRICAL_SURFACE('',#24345,6.5E-1); +#24347=ORIENTED_EDGE('',*,*,#22523,.T.); +#24349=ORIENTED_EDGE('',*,*,#24348,.T.); +#24350=ORIENTED_EDGE('',*,*,#24055,.F.); +#24352=ORIENTED_EDGE('',*,*,#24351,.F.); +#24353=EDGE_LOOP('',(#24347,#24349,#24350,#24352)); +#24354=FACE_OUTER_BOUND('',#24353,.F.); +#24356=CARTESIAN_POINT('',(-2.E0,4.000000000001E0,-2.6E1)); +#24357=DIRECTION('',(0.E0,0.E0,1.E0)); +#24358=DIRECTION('',(1.E0,0.E0,0.E0)); +#24359=AXIS2_PLACEMENT_3D('',#24356,#24357,#24358); +#24360=CYLINDRICAL_SURFACE('',#24359,6.5E-1); +#24361=ORIENTED_EDGE('',*,*,#22525,.T.); +#24362=ORIENTED_EDGE('',*,*,#24351,.T.); +#24363=ORIENTED_EDGE('',*,*,#24057,.F.); +#24364=ORIENTED_EDGE('',*,*,#24348,.F.); +#24365=EDGE_LOOP('',(#24361,#24362,#24363,#24364)); +#24366=FACE_OUTER_BOUND('',#24365,.F.); +#24368=CARTESIAN_POINT('',(2.E0,4.000000000001E0,-2.6E1)); +#24369=DIRECTION('',(0.E0,0.E0,1.E0)); +#24370=DIRECTION('',(1.E0,0.E0,0.E0)); +#24371=AXIS2_PLACEMENT_3D('',#24368,#24369,#24370); +#24372=CYLINDRICAL_SURFACE('',#24371,6.5E-1); +#24373=ORIENTED_EDGE('',*,*,#22529,.T.); +#24375=ORIENTED_EDGE('',*,*,#24374,.T.); +#24376=ORIENTED_EDGE('',*,*,#24067,.F.); +#24378=ORIENTED_EDGE('',*,*,#24377,.F.); +#24379=EDGE_LOOP('',(#24373,#24375,#24376,#24378)); +#24380=FACE_OUTER_BOUND('',#24379,.F.); +#24382=CARTESIAN_POINT('',(2.E0,4.000000000001E0,-2.6E1)); +#24383=DIRECTION('',(0.E0,0.E0,1.E0)); +#24384=DIRECTION('',(1.E0,0.E0,0.E0)); +#24385=AXIS2_PLACEMENT_3D('',#24382,#24383,#24384); +#24386=CYLINDRICAL_SURFACE('',#24385,6.5E-1); +#24387=ORIENTED_EDGE('',*,*,#22531,.T.); +#24388=ORIENTED_EDGE('',*,*,#24377,.T.); +#24389=ORIENTED_EDGE('',*,*,#24069,.F.); +#24390=ORIENTED_EDGE('',*,*,#24374,.F.); +#24391=EDGE_LOOP('',(#24387,#24388,#24389,#24390)); +#24392=FACE_OUTER_BOUND('',#24391,.F.); +#24394=CARTESIAN_POINT('',(6.E0,4.000000000001E0,-2.6E1)); +#24395=DIRECTION('',(0.E0,0.E0,1.E0)); +#24396=DIRECTION('',(1.E0,0.E0,0.E0)); +#24397=AXIS2_PLACEMENT_3D('',#24394,#24395,#24396); +#24398=CYLINDRICAL_SURFACE('',#24397,6.5E-1); +#24399=ORIENTED_EDGE('',*,*,#22535,.T.); +#24401=ORIENTED_EDGE('',*,*,#24400,.T.); +#24402=ORIENTED_EDGE('',*,*,#24079,.F.); +#24404=ORIENTED_EDGE('',*,*,#24403,.F.); +#24405=EDGE_LOOP('',(#24399,#24401,#24402,#24404)); +#24406=FACE_OUTER_BOUND('',#24405,.F.); +#24408=CARTESIAN_POINT('',(6.E0,4.000000000001E0,-2.6E1)); +#24409=DIRECTION('',(0.E0,0.E0,1.E0)); +#24410=DIRECTION('',(1.E0,0.E0,0.E0)); +#24411=AXIS2_PLACEMENT_3D('',#24408,#24409,#24410); +#24412=CYLINDRICAL_SURFACE('',#24411,6.5E-1); +#24413=ORIENTED_EDGE('',*,*,#22537,.T.); +#24414=ORIENTED_EDGE('',*,*,#24403,.T.); +#24415=ORIENTED_EDGE('',*,*,#24081,.F.); +#24416=ORIENTED_EDGE('',*,*,#24400,.F.); +#24417=EDGE_LOOP('',(#24413,#24414,#24415,#24416)); +#24418=FACE_OUTER_BOUND('',#24417,.F.); +#24420=CARTESIAN_POINT('',(1.E1,4.000000000001E0,-2.6E1)); +#24421=DIRECTION('',(0.E0,0.E0,1.E0)); +#24422=DIRECTION('',(1.E0,0.E0,0.E0)); +#24423=AXIS2_PLACEMENT_3D('',#24420,#24421,#24422); +#24424=CYLINDRICAL_SURFACE('',#24423,6.5E-1); +#24425=ORIENTED_EDGE('',*,*,#22541,.T.); +#24427=ORIENTED_EDGE('',*,*,#24426,.T.); +#24428=ORIENTED_EDGE('',*,*,#24091,.F.); +#24430=ORIENTED_EDGE('',*,*,#24429,.F.); +#24431=EDGE_LOOP('',(#24425,#24427,#24428,#24430)); +#24432=FACE_OUTER_BOUND('',#24431,.F.); +#24434=CARTESIAN_POINT('',(1.E1,4.000000000001E0,-2.6E1)); +#24435=DIRECTION('',(0.E0,0.E0,1.E0)); +#24436=DIRECTION('',(1.E0,0.E0,0.E0)); +#24437=AXIS2_PLACEMENT_3D('',#24434,#24435,#24436); +#24438=CYLINDRICAL_SURFACE('',#24437,6.5E-1); +#24439=ORIENTED_EDGE('',*,*,#22543,.T.); +#24440=ORIENTED_EDGE('',*,*,#24429,.T.); +#24441=ORIENTED_EDGE('',*,*,#24093,.F.); +#24442=ORIENTED_EDGE('',*,*,#24426,.F.); +#24443=EDGE_LOOP('',(#24439,#24440,#24441,#24442)); +#24444=FACE_OUTER_BOUND('',#24443,.F.); +#24446=CARTESIAN_POINT('',(1.4E1,4.000000000001E0,-2.6E1)); +#24447=DIRECTION('',(0.E0,0.E0,1.E0)); +#24448=DIRECTION('',(1.E0,0.E0,0.E0)); +#24449=AXIS2_PLACEMENT_3D('',#24446,#24447,#24448); +#24450=CYLINDRICAL_SURFACE('',#24449,6.5E-1); +#24451=ORIENTED_EDGE('',*,*,#22547,.T.); +#24453=ORIENTED_EDGE('',*,*,#24452,.T.); +#24454=ORIENTED_EDGE('',*,*,#24103,.F.); +#24456=ORIENTED_EDGE('',*,*,#24455,.F.); +#24457=EDGE_LOOP('',(#24451,#24453,#24454,#24456)); +#24458=FACE_OUTER_BOUND('',#24457,.F.); +#24460=CARTESIAN_POINT('',(1.4E1,4.000000000001E0,-2.6E1)); +#24461=DIRECTION('',(0.E0,0.E0,1.E0)); +#24462=DIRECTION('',(1.E0,0.E0,0.E0)); +#24463=AXIS2_PLACEMENT_3D('',#24460,#24461,#24462); +#24464=CYLINDRICAL_SURFACE('',#24463,6.5E-1); +#24465=ORIENTED_EDGE('',*,*,#22549,.T.); +#24466=ORIENTED_EDGE('',*,*,#24455,.T.); +#24467=ORIENTED_EDGE('',*,*,#24105,.F.); +#24468=ORIENTED_EDGE('',*,*,#24452,.F.); +#24469=EDGE_LOOP('',(#24465,#24466,#24467,#24468)); +#24470=FACE_OUTER_BOUND('',#24469,.F.); +#24472=CARTESIAN_POINT('',(-1.2E1,1.077689183250E-14,-2.6E1)); +#24473=DIRECTION('',(0.E0,0.E0,1.E0)); +#24474=DIRECTION('',(1.E0,0.E0,0.E0)); +#24475=AXIS2_PLACEMENT_3D('',#24472,#24473,#24474); +#24476=CYLINDRICAL_SURFACE('',#24475,6.5E-1); +#24477=ORIENTED_EDGE('',*,*,#22624,.T.); +#24479=ORIENTED_EDGE('',*,*,#24478,.T.); +#24480=ORIENTED_EDGE('',*,*,#24115,.F.); +#24482=ORIENTED_EDGE('',*,*,#24481,.F.); +#24483=EDGE_LOOP('',(#24477,#24479,#24480,#24482)); +#24484=FACE_OUTER_BOUND('',#24483,.F.); +#24486=CARTESIAN_POINT('',(-1.2E1,1.077689183250E-14,-2.6E1)); +#24487=DIRECTION('',(0.E0,0.E0,1.E0)); +#24488=DIRECTION('',(1.E0,0.E0,0.E0)); +#24489=AXIS2_PLACEMENT_3D('',#24486,#24487,#24488); +#24490=CYLINDRICAL_SURFACE('',#24489,6.5E-1); +#24491=ORIENTED_EDGE('',*,*,#22626,.T.); +#24492=ORIENTED_EDGE('',*,*,#24481,.T.); +#24493=ORIENTED_EDGE('',*,*,#24117,.F.); +#24494=ORIENTED_EDGE('',*,*,#24478,.F.); +#24495=EDGE_LOOP('',(#24491,#24492,#24493,#24494)); +#24496=FACE_OUTER_BOUND('',#24495,.F.); +#24498=CARTESIAN_POINT('',(-8.E0,1.126675055216E-14,-2.6E1)); +#24499=DIRECTION('',(0.E0,0.E0,1.E0)); +#24500=DIRECTION('',(1.E0,0.E0,0.E0)); +#24501=AXIS2_PLACEMENT_3D('',#24498,#24499,#24500); +#24502=CYLINDRICAL_SURFACE('',#24501,6.5E-1); +#24503=ORIENTED_EDGE('',*,*,#22630,.T.); +#24505=ORIENTED_EDGE('',*,*,#24504,.T.); +#24506=ORIENTED_EDGE('',*,*,#24127,.F.); +#24508=ORIENTED_EDGE('',*,*,#24507,.F.); +#24509=EDGE_LOOP('',(#24503,#24505,#24506,#24508)); +#24510=FACE_OUTER_BOUND('',#24509,.F.); +#24512=CARTESIAN_POINT('',(-8.E0,1.126675055216E-14,-2.6E1)); +#24513=DIRECTION('',(0.E0,0.E0,1.E0)); +#24514=DIRECTION('',(1.E0,0.E0,0.E0)); +#24515=AXIS2_PLACEMENT_3D('',#24512,#24513,#24514); +#24516=CYLINDRICAL_SURFACE('',#24515,6.5E-1); +#24517=ORIENTED_EDGE('',*,*,#22632,.T.); +#24518=ORIENTED_EDGE('',*,*,#24507,.T.); +#24519=ORIENTED_EDGE('',*,*,#24129,.F.); +#24520=ORIENTED_EDGE('',*,*,#24504,.F.); +#24521=EDGE_LOOP('',(#24517,#24518,#24519,#24520)); +#24522=FACE_OUTER_BOUND('',#24521,.F.); +#24524=CARTESIAN_POINT('',(-4.E0,1.175660927181E-14,-2.6E1)); +#24525=DIRECTION('',(0.E0,0.E0,1.E0)); +#24526=DIRECTION('',(1.E0,0.E0,0.E0)); +#24527=AXIS2_PLACEMENT_3D('',#24524,#24525,#24526); +#24528=CYLINDRICAL_SURFACE('',#24527,6.5E-1); +#24529=ORIENTED_EDGE('',*,*,#22636,.T.); +#24531=ORIENTED_EDGE('',*,*,#24530,.T.); +#24532=ORIENTED_EDGE('',*,*,#24139,.F.); +#24534=ORIENTED_EDGE('',*,*,#24533,.F.); +#24535=EDGE_LOOP('',(#24529,#24531,#24532,#24534)); +#24536=FACE_OUTER_BOUND('',#24535,.F.); +#24538=CARTESIAN_POINT('',(-4.E0,1.175660927181E-14,-2.6E1)); +#24539=DIRECTION('',(0.E0,0.E0,1.E0)); +#24540=DIRECTION('',(1.E0,0.E0,0.E0)); +#24541=AXIS2_PLACEMENT_3D('',#24538,#24539,#24540); +#24542=CYLINDRICAL_SURFACE('',#24541,6.5E-1); +#24543=ORIENTED_EDGE('',*,*,#22638,.T.); +#24544=ORIENTED_EDGE('',*,*,#24533,.T.); +#24545=ORIENTED_EDGE('',*,*,#24141,.F.); +#24546=ORIENTED_EDGE('',*,*,#24530,.F.); +#24547=EDGE_LOOP('',(#24543,#24544,#24545,#24546)); +#24548=FACE_OUTER_BOUND('',#24547,.F.); +#24550=CARTESIAN_POINT('',(0.E0,1.224646799147E-14,-2.6E1)); +#24551=DIRECTION('',(0.E0,0.E0,1.E0)); +#24552=DIRECTION('',(1.E0,0.E0,0.E0)); +#24553=AXIS2_PLACEMENT_3D('',#24550,#24551,#24552); +#24554=CYLINDRICAL_SURFACE('',#24553,6.5E-1); +#24555=ORIENTED_EDGE('',*,*,#22642,.T.); +#24557=ORIENTED_EDGE('',*,*,#24556,.T.); +#24558=ORIENTED_EDGE('',*,*,#24151,.F.); +#24560=ORIENTED_EDGE('',*,*,#24559,.F.); +#24561=EDGE_LOOP('',(#24555,#24557,#24558,#24560)); +#24562=FACE_OUTER_BOUND('',#24561,.F.); +#24564=CARTESIAN_POINT('',(0.E0,1.224646799147E-14,-2.6E1)); +#24565=DIRECTION('',(0.E0,0.E0,1.E0)); +#24566=DIRECTION('',(1.E0,0.E0,0.E0)); +#24567=AXIS2_PLACEMENT_3D('',#24564,#24565,#24566); +#24568=CYLINDRICAL_SURFACE('',#24567,6.5E-1); +#24569=ORIENTED_EDGE('',*,*,#22644,.T.); +#24570=ORIENTED_EDGE('',*,*,#24559,.T.); +#24571=ORIENTED_EDGE('',*,*,#24153,.F.); +#24572=ORIENTED_EDGE('',*,*,#24556,.F.); +#24573=EDGE_LOOP('',(#24569,#24570,#24571,#24572)); +#24574=FACE_OUTER_BOUND('',#24573,.F.); +#24576=CARTESIAN_POINT('',(4.E0,1.175660927181E-14,-2.6E1)); +#24577=DIRECTION('',(0.E0,0.E0,1.E0)); +#24578=DIRECTION('',(1.E0,0.E0,0.E0)); +#24579=AXIS2_PLACEMENT_3D('',#24576,#24577,#24578); +#24580=CYLINDRICAL_SURFACE('',#24579,6.5E-1); +#24581=ORIENTED_EDGE('',*,*,#22648,.T.); +#24583=ORIENTED_EDGE('',*,*,#24582,.T.); +#24584=ORIENTED_EDGE('',*,*,#24163,.F.); +#24586=ORIENTED_EDGE('',*,*,#24585,.F.); +#24587=EDGE_LOOP('',(#24581,#24583,#24584,#24586)); +#24588=FACE_OUTER_BOUND('',#24587,.F.); +#24590=CARTESIAN_POINT('',(4.E0,1.175660927181E-14,-2.6E1)); +#24591=DIRECTION('',(0.E0,0.E0,1.E0)); +#24592=DIRECTION('',(1.E0,0.E0,0.E0)); +#24593=AXIS2_PLACEMENT_3D('',#24590,#24591,#24592); +#24594=CYLINDRICAL_SURFACE('',#24593,6.5E-1); +#24595=ORIENTED_EDGE('',*,*,#22650,.T.); +#24596=ORIENTED_EDGE('',*,*,#24585,.T.); +#24597=ORIENTED_EDGE('',*,*,#24165,.F.); +#24598=ORIENTED_EDGE('',*,*,#24582,.F.); +#24599=EDGE_LOOP('',(#24595,#24596,#24597,#24598)); +#24600=FACE_OUTER_BOUND('',#24599,.F.); +#24602=CARTESIAN_POINT('',(8.E0,1.126675055216E-14,-2.6E1)); +#24603=DIRECTION('',(0.E0,0.E0,1.E0)); +#24604=DIRECTION('',(1.E0,0.E0,0.E0)); +#24605=AXIS2_PLACEMENT_3D('',#24602,#24603,#24604); +#24606=CYLINDRICAL_SURFACE('',#24605,6.5E-1); +#24607=ORIENTED_EDGE('',*,*,#22654,.T.); +#24609=ORIENTED_EDGE('',*,*,#24608,.T.); +#24610=ORIENTED_EDGE('',*,*,#24175,.F.); +#24612=ORIENTED_EDGE('',*,*,#24611,.F.); +#24613=EDGE_LOOP('',(#24607,#24609,#24610,#24612)); +#24614=FACE_OUTER_BOUND('',#24613,.F.); +#24616=CARTESIAN_POINT('',(8.E0,1.126675055216E-14,-2.6E1)); +#24617=DIRECTION('',(0.E0,0.E0,1.E0)); +#24618=DIRECTION('',(1.E0,0.E0,0.E0)); +#24619=AXIS2_PLACEMENT_3D('',#24616,#24617,#24618); +#24620=CYLINDRICAL_SURFACE('',#24619,6.5E-1); +#24621=ORIENTED_EDGE('',*,*,#22656,.T.); +#24622=ORIENTED_EDGE('',*,*,#24611,.T.); +#24623=ORIENTED_EDGE('',*,*,#24177,.F.); +#24624=ORIENTED_EDGE('',*,*,#24608,.F.); +#24625=EDGE_LOOP('',(#24621,#24622,#24623,#24624)); +#24626=FACE_OUTER_BOUND('',#24625,.F.); +#24628=CARTESIAN_POINT('',(1.2E1,1.077689183250E-14,-2.6E1)); +#24629=DIRECTION('',(0.E0,0.E0,1.E0)); +#24630=DIRECTION('',(1.E0,0.E0,0.E0)); +#24631=AXIS2_PLACEMENT_3D('',#24628,#24629,#24630); +#24632=CYLINDRICAL_SURFACE('',#24631,6.5E-1); +#24633=ORIENTED_EDGE('',*,*,#22660,.T.); +#24635=ORIENTED_EDGE('',*,*,#24634,.T.); +#24636=ORIENTED_EDGE('',*,*,#24187,.F.); +#24638=ORIENTED_EDGE('',*,*,#24637,.F.); +#24639=EDGE_LOOP('',(#24633,#24635,#24636,#24638)); +#24640=FACE_OUTER_BOUND('',#24639,.F.); +#24642=CARTESIAN_POINT('',(1.2E1,1.077689183250E-14,-2.6E1)); +#24643=DIRECTION('',(0.E0,0.E0,1.E0)); +#24644=DIRECTION('',(1.E0,0.E0,0.E0)); +#24645=AXIS2_PLACEMENT_3D('',#24642,#24643,#24644); +#24646=CYLINDRICAL_SURFACE('',#24645,6.5E-1); +#24647=ORIENTED_EDGE('',*,*,#22662,.T.); +#24648=ORIENTED_EDGE('',*,*,#24637,.T.); +#24649=ORIENTED_EDGE('',*,*,#24189,.F.); +#24650=ORIENTED_EDGE('',*,*,#24634,.F.); +#24651=EDGE_LOOP('',(#24647,#24648,#24649,#24650)); +#24652=FACE_OUTER_BOUND('',#24651,.F.); +#24654=CARTESIAN_POINT('',(-1.4E1,-4.000000000001E0,-2.6E1)); +#24655=DIRECTION('',(0.E0,0.E0,1.E0)); +#24656=DIRECTION('',(1.E0,0.E0,0.E0)); +#24657=AXIS2_PLACEMENT_3D('',#24654,#24655,#24656); +#24658=CYLINDRICAL_SURFACE('',#24657,6.5E-1); +#24659=ORIENTED_EDGE('',*,*,#22745,.T.); +#24661=ORIENTED_EDGE('',*,*,#24660,.T.); +#24662=ORIENTED_EDGE('',*,*,#24199,.F.); +#24664=ORIENTED_EDGE('',*,*,#24663,.F.); +#24665=EDGE_LOOP('',(#24659,#24661,#24662,#24664)); +#24666=FACE_OUTER_BOUND('',#24665,.F.); +#24668=CARTESIAN_POINT('',(-1.4E1,-4.000000000001E0,-2.6E1)); +#24669=DIRECTION('',(0.E0,0.E0,1.E0)); +#24670=DIRECTION('',(1.E0,0.E0,0.E0)); +#24671=AXIS2_PLACEMENT_3D('',#24668,#24669,#24670); +#24672=CYLINDRICAL_SURFACE('',#24671,6.5E-1); +#24673=ORIENTED_EDGE('',*,*,#22747,.T.); +#24674=ORIENTED_EDGE('',*,*,#24663,.T.); +#24675=ORIENTED_EDGE('',*,*,#24201,.F.); +#24676=ORIENTED_EDGE('',*,*,#24660,.F.); +#24677=EDGE_LOOP('',(#24673,#24674,#24675,#24676)); +#24678=FACE_OUTER_BOUND('',#24677,.F.); +#24680=CARTESIAN_POINT('',(-1.E1,-4.000000000001E0,-2.6E1)); +#24681=DIRECTION('',(0.E0,0.E0,1.E0)); +#24682=DIRECTION('',(1.E0,0.E0,0.E0)); +#24683=AXIS2_PLACEMENT_3D('',#24680,#24681,#24682); +#24684=CYLINDRICAL_SURFACE('',#24683,6.5E-1); +#24685=ORIENTED_EDGE('',*,*,#22751,.T.); +#24687=ORIENTED_EDGE('',*,*,#24686,.T.); +#24688=ORIENTED_EDGE('',*,*,#24211,.F.); +#24690=ORIENTED_EDGE('',*,*,#24689,.F.); +#24691=EDGE_LOOP('',(#24685,#24687,#24688,#24690)); +#24692=FACE_OUTER_BOUND('',#24691,.F.); +#24694=CARTESIAN_POINT('',(-1.E1,-4.000000000001E0,-2.6E1)); +#24695=DIRECTION('',(0.E0,0.E0,1.E0)); +#24696=DIRECTION('',(1.E0,0.E0,0.E0)); +#24697=AXIS2_PLACEMENT_3D('',#24694,#24695,#24696); +#24698=CYLINDRICAL_SURFACE('',#24697,6.5E-1); +#24699=ORIENTED_EDGE('',*,*,#22753,.T.); +#24700=ORIENTED_EDGE('',*,*,#24689,.T.); +#24701=ORIENTED_EDGE('',*,*,#24213,.F.); +#24702=ORIENTED_EDGE('',*,*,#24686,.F.); +#24703=EDGE_LOOP('',(#24699,#24700,#24701,#24702)); +#24704=FACE_OUTER_BOUND('',#24703,.F.); +#24706=CARTESIAN_POINT('',(-6.E0,-4.000000000001E0,-2.6E1)); +#24707=DIRECTION('',(0.E0,0.E0,1.E0)); +#24708=DIRECTION('',(1.E0,0.E0,0.E0)); +#24709=AXIS2_PLACEMENT_3D('',#24706,#24707,#24708); +#24710=CYLINDRICAL_SURFACE('',#24709,6.5E-1); +#24711=ORIENTED_EDGE('',*,*,#22757,.T.); +#24713=ORIENTED_EDGE('',*,*,#24712,.T.); +#24714=ORIENTED_EDGE('',*,*,#24223,.F.); +#24716=ORIENTED_EDGE('',*,*,#24715,.F.); +#24717=EDGE_LOOP('',(#24711,#24713,#24714,#24716)); +#24718=FACE_OUTER_BOUND('',#24717,.F.); +#24720=CARTESIAN_POINT('',(-6.E0,-4.000000000001E0,-2.6E1)); +#24721=DIRECTION('',(0.E0,0.E0,1.E0)); +#24722=DIRECTION('',(1.E0,0.E0,0.E0)); +#24723=AXIS2_PLACEMENT_3D('',#24720,#24721,#24722); +#24724=CYLINDRICAL_SURFACE('',#24723,6.5E-1); +#24725=ORIENTED_EDGE('',*,*,#22759,.T.); +#24726=ORIENTED_EDGE('',*,*,#24715,.T.); +#24727=ORIENTED_EDGE('',*,*,#24225,.F.); +#24728=ORIENTED_EDGE('',*,*,#24712,.F.); +#24729=EDGE_LOOP('',(#24725,#24726,#24727,#24728)); +#24730=FACE_OUTER_BOUND('',#24729,.F.); +#24732=CARTESIAN_POINT('',(-2.E0,-4.000000000001E0,-2.6E1)); +#24733=DIRECTION('',(0.E0,0.E0,1.E0)); +#24734=DIRECTION('',(1.E0,0.E0,0.E0)); +#24735=AXIS2_PLACEMENT_3D('',#24732,#24733,#24734); +#24736=CYLINDRICAL_SURFACE('',#24735,6.5E-1); +#24737=ORIENTED_EDGE('',*,*,#22763,.T.); +#24739=ORIENTED_EDGE('',*,*,#24738,.T.); +#24740=ORIENTED_EDGE('',*,*,#24235,.F.); +#24742=ORIENTED_EDGE('',*,*,#24741,.F.); +#24743=EDGE_LOOP('',(#24737,#24739,#24740,#24742)); +#24744=FACE_OUTER_BOUND('',#24743,.F.); +#24746=CARTESIAN_POINT('',(-2.E0,-4.000000000001E0,-2.6E1)); +#24747=DIRECTION('',(0.E0,0.E0,1.E0)); +#24748=DIRECTION('',(1.E0,0.E0,0.E0)); +#24749=AXIS2_PLACEMENT_3D('',#24746,#24747,#24748); +#24750=CYLINDRICAL_SURFACE('',#24749,6.5E-1); +#24751=ORIENTED_EDGE('',*,*,#22765,.T.); +#24752=ORIENTED_EDGE('',*,*,#24741,.T.); +#24753=ORIENTED_EDGE('',*,*,#24237,.F.); +#24754=ORIENTED_EDGE('',*,*,#24738,.F.); +#24755=EDGE_LOOP('',(#24751,#24752,#24753,#24754)); +#24756=FACE_OUTER_BOUND('',#24755,.F.); +#24758=CARTESIAN_POINT('',(2.E0,-4.000000000001E0,-2.6E1)); +#24759=DIRECTION('',(0.E0,0.E0,1.E0)); +#24760=DIRECTION('',(1.E0,0.E0,0.E0)); +#24761=AXIS2_PLACEMENT_3D('',#24758,#24759,#24760); +#24762=CYLINDRICAL_SURFACE('',#24761,6.5E-1); +#24763=ORIENTED_EDGE('',*,*,#22769,.T.); +#24765=ORIENTED_EDGE('',*,*,#24764,.T.); +#24766=ORIENTED_EDGE('',*,*,#24247,.F.); +#24768=ORIENTED_EDGE('',*,*,#24767,.F.); +#24769=EDGE_LOOP('',(#24763,#24765,#24766,#24768)); +#24770=FACE_OUTER_BOUND('',#24769,.F.); +#24772=CARTESIAN_POINT('',(2.E0,-4.000000000001E0,-2.6E1)); +#24773=DIRECTION('',(0.E0,0.E0,1.E0)); +#24774=DIRECTION('',(1.E0,0.E0,0.E0)); +#24775=AXIS2_PLACEMENT_3D('',#24772,#24773,#24774); +#24776=CYLINDRICAL_SURFACE('',#24775,6.5E-1); +#24777=ORIENTED_EDGE('',*,*,#22771,.T.); +#24778=ORIENTED_EDGE('',*,*,#24767,.T.); +#24779=ORIENTED_EDGE('',*,*,#24249,.F.); +#24780=ORIENTED_EDGE('',*,*,#24764,.F.); +#24781=EDGE_LOOP('',(#24777,#24778,#24779,#24780)); +#24782=FACE_OUTER_BOUND('',#24781,.F.); +#24784=CARTESIAN_POINT('',(6.E0,-4.000000000001E0,-2.6E1)); +#24785=DIRECTION('',(0.E0,0.E0,1.E0)); +#24786=DIRECTION('',(1.E0,0.E0,0.E0)); +#24787=AXIS2_PLACEMENT_3D('',#24784,#24785,#24786); +#24788=CYLINDRICAL_SURFACE('',#24787,6.5E-1); +#24789=ORIENTED_EDGE('',*,*,#22775,.T.); +#24791=ORIENTED_EDGE('',*,*,#24790,.T.); +#24792=ORIENTED_EDGE('',*,*,#24259,.F.); +#24794=ORIENTED_EDGE('',*,*,#24793,.F.); +#24795=EDGE_LOOP('',(#24789,#24791,#24792,#24794)); +#24796=FACE_OUTER_BOUND('',#24795,.F.); +#24798=CARTESIAN_POINT('',(6.E0,-4.000000000001E0,-2.6E1)); +#24799=DIRECTION('',(0.E0,0.E0,1.E0)); +#24800=DIRECTION('',(1.E0,0.E0,0.E0)); +#24801=AXIS2_PLACEMENT_3D('',#24798,#24799,#24800); +#24802=CYLINDRICAL_SURFACE('',#24801,6.5E-1); +#24803=ORIENTED_EDGE('',*,*,#22777,.T.); +#24804=ORIENTED_EDGE('',*,*,#24793,.T.); +#24805=ORIENTED_EDGE('',*,*,#24261,.F.); +#24806=ORIENTED_EDGE('',*,*,#24790,.F.); +#24807=EDGE_LOOP('',(#24803,#24804,#24805,#24806)); +#24808=FACE_OUTER_BOUND('',#24807,.F.); +#24810=CARTESIAN_POINT('',(1.E1,-4.000000000001E0,-2.6E1)); +#24811=DIRECTION('',(0.E0,0.E0,1.E0)); +#24812=DIRECTION('',(1.E0,0.E0,0.E0)); +#24813=AXIS2_PLACEMENT_3D('',#24810,#24811,#24812); +#24814=CYLINDRICAL_SURFACE('',#24813,6.5E-1); +#24815=ORIENTED_EDGE('',*,*,#22781,.T.); +#24817=ORIENTED_EDGE('',*,*,#24816,.T.); +#24818=ORIENTED_EDGE('',*,*,#24271,.F.); +#24820=ORIENTED_EDGE('',*,*,#24819,.F.); +#24821=EDGE_LOOP('',(#24815,#24817,#24818,#24820)); +#24822=FACE_OUTER_BOUND('',#24821,.F.); +#24824=CARTESIAN_POINT('',(1.E1,-4.000000000001E0,-2.6E1)); +#24825=DIRECTION('',(0.E0,0.E0,1.E0)); +#24826=DIRECTION('',(1.E0,0.E0,0.E0)); +#24827=AXIS2_PLACEMENT_3D('',#24824,#24825,#24826); +#24828=CYLINDRICAL_SURFACE('',#24827,6.5E-1); +#24829=ORIENTED_EDGE('',*,*,#22783,.T.); +#24830=ORIENTED_EDGE('',*,*,#24819,.T.); +#24831=ORIENTED_EDGE('',*,*,#24273,.F.); +#24832=ORIENTED_EDGE('',*,*,#24816,.F.); +#24833=EDGE_LOOP('',(#24829,#24830,#24831,#24832)); +#24834=FACE_OUTER_BOUND('',#24833,.F.); +#24836=CARTESIAN_POINT('',(1.4E1,-4.000000000001E0,-2.6E1)); +#24837=DIRECTION('',(0.E0,0.E0,1.E0)); +#24838=DIRECTION('',(1.E0,0.E0,0.E0)); +#24839=AXIS2_PLACEMENT_3D('',#24836,#24837,#24838); +#24840=CYLINDRICAL_SURFACE('',#24839,6.5E-1); +#24841=ORIENTED_EDGE('',*,*,#22787,.T.); +#24843=ORIENTED_EDGE('',*,*,#24842,.T.); +#24844=ORIENTED_EDGE('',*,*,#24283,.F.); +#24846=ORIENTED_EDGE('',*,*,#24845,.F.); +#24847=EDGE_LOOP('',(#24841,#24843,#24844,#24846)); +#24848=FACE_OUTER_BOUND('',#24847,.F.); +#24850=CARTESIAN_POINT('',(1.4E1,-4.000000000001E0,-2.6E1)); +#24851=DIRECTION('',(0.E0,0.E0,1.E0)); +#24852=DIRECTION('',(1.E0,0.E0,0.E0)); +#24853=AXIS2_PLACEMENT_3D('',#24850,#24851,#24852); +#24854=CYLINDRICAL_SURFACE('',#24853,6.5E-1); +#24855=ORIENTED_EDGE('',*,*,#22789,.T.); +#24856=ORIENTED_EDGE('',*,*,#24845,.T.); +#24857=ORIENTED_EDGE('',*,*,#24285,.F.); +#24858=ORIENTED_EDGE('',*,*,#24842,.F.); +#24859=EDGE_LOOP('',(#24855,#24856,#24857,#24858)); +#24860=FACE_OUTER_BOUND('',#24859,.F.); +#24862=CARTESIAN_POINT('',(2.99E1,5.789556E1,-2.15E1)); +#24863=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24864=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24865=AXIS2_PLACEMENT_3D('',#24862,#24863,#24864); +#24866=CYLINDRICAL_SURFACE('',#24865,5.E-1); +#24868=ORIENTED_EDGE('',*,*,#24867,.F.); +#24870=ORIENTED_EDGE('',*,*,#24869,.T.); +#24871=ORIENTED_EDGE('',*,*,#21934,.T.); +#24873=ORIENTED_EDGE('',*,*,#24872,.T.); +#24874=EDGE_LOOP('',(#24868,#24870,#24871,#24873)); +#24875=FACE_OUTER_BOUND('',#24874,.F.); +#24877=CARTESIAN_POINT('',(3.04E1,-1.105E1,-2.E1)); +#24878=DIRECTION('',(1.E0,0.E0,0.E0)); +#24879=DIRECTION('',(0.E0,1.E0,0.E0)); +#24880=AXIS2_PLACEMENT_3D('',#24877,#24878,#24879); +#24881=PLANE('',#24880); +#24882=ORIENTED_EDGE('',*,*,#24867,.T.); +#24884=ORIENTED_EDGE('',*,*,#24883,.F.); +#24886=ORIENTED_EDGE('',*,*,#24885,.T.); +#24888=ORIENTED_EDGE('',*,*,#24887,.F.); +#24890=ORIENTED_EDGE('',*,*,#24889,.T.); +#24892=ORIENTED_EDGE('',*,*,#24891,.F.); +#24893=ORIENTED_EDGE('',*,*,#21736,.T.); +#24895=ORIENTED_EDGE('',*,*,#24894,.F.); +#24897=ORIENTED_EDGE('',*,*,#24896,.T.); +#24899=ORIENTED_EDGE('',*,*,#24898,.F.); +#24900=EDGE_LOOP('',(#24882,#24884,#24886,#24888,#24890,#24892,#24893,#24895, +#24897,#24899)); +#24901=FACE_OUTER_BOUND('',#24900,.F.); +#24903=CARTESIAN_POINT('',(2.860440890611E1,-6.200000000001E0,-2.2E1)); +#24904=DIRECTION('',(0.E0,1.E0,0.E0)); +#24905=DIRECTION('',(1.E0,0.E0,0.E0)); +#24906=AXIS2_PLACEMENT_3D('',#24903,#24904,#24905); +#24907=PLANE('',#24906); +#24908=ORIENTED_EDGE('',*,*,#24883,.T.); +#24909=ORIENTED_EDGE('',*,*,#24872,.F.); +#24910=ORIENTED_EDGE('',*,*,#21999,.T.); +#24912=ORIENTED_EDGE('',*,*,#24911,.T.); +#24914=ORIENTED_EDGE('',*,*,#24913,.T.); +#24915=EDGE_LOOP('',(#24908,#24909,#24910,#24912,#24914)); +#24916=FACE_OUTER_BOUND('',#24915,.F.); +#24918=CARTESIAN_POINT('',(2.860440890611E1,-5.200000000001E0,-2.2E1)); +#24919=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24920=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24921=AXIS2_PLACEMENT_3D('',#24918,#24919,#24920); +#24922=CYLINDRICAL_SURFACE('',#24921,1.E0); +#24923=ORIENTED_EDGE('',*,*,#21997,.T.); +#24925=ORIENTED_EDGE('',*,*,#24924,.F.); +#24927=ORIENTED_EDGE('',*,*,#24926,.T.); +#24928=ORIENTED_EDGE('',*,*,#24911,.F.); +#24929=EDGE_LOOP('',(#24923,#24925,#24927,#24928)); +#24930=FACE_OUTER_BOUND('',#24929,.F.); +#24932=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-2.2E1)); +#24933=DIRECTION('',(0.E0,0.E0,1.E0)); +#24934=DIRECTION('',(1.E0,0.E0,0.E0)); +#24935=AXIS2_PLACEMENT_3D('',#24932,#24933,#24934); +#24936=CYLINDRICAL_SURFACE('',#24935,3.E0); +#24938=ORIENTED_EDGE('',*,*,#24937,.T.); +#24940=ORIENTED_EDGE('',*,*,#24939,.F.); +#24942=ORIENTED_EDGE('',*,*,#24941,.T.); +#24943=ORIENTED_EDGE('',*,*,#24924,.T.); +#24944=ORIENTED_EDGE('',*,*,#21995,.T.); +#24946=ORIENTED_EDGE('',*,*,#24945,.T.); +#24947=EDGE_LOOP('',(#24938,#24940,#24942,#24943,#24944,#24946)); +#24948=FACE_OUTER_BOUND('',#24947,.F.); +#24950=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-3.375E1)); +#24951=DIRECTION('',(0.E0,0.E0,1.E0)); +#24952=DIRECTION('',(-9.984365346034E-1,-5.589710519501E-2,0.E0)); +#24953=AXIS2_PLACEMENT_3D('',#24950,#24951,#24952); +#24954=TOROIDAL_SURFACE('',#24953,2.5E0,5.E-1); +#24956=ORIENTED_EDGE('',*,*,#24955,.T.); +#24958=ORIENTED_EDGE('',*,*,#24957,.T.); +#24959=ORIENTED_EDGE('',*,*,#24937,.F.); +#24961=ORIENTED_EDGE('',*,*,#24960,.F.); +#24962=EDGE_LOOP('',(#24956,#24958,#24959,#24961)); +#24963=FACE_OUTER_BOUND('',#24962,.F.); +#24965=CARTESIAN_POINT('',(0.E0,0.E0,-3.425E1)); +#24966=DIRECTION('',(0.E0,0.E0,1.E0)); +#24967=DIRECTION('',(1.E0,0.E0,0.E0)); +#24968=AXIS2_PLACEMENT_3D('',#24965,#24966,#24967); +#24969=PLANE('',#24968); +#24971=ORIENTED_EDGE('',*,*,#24970,.F.); +#24973=ORIENTED_EDGE('',*,*,#24972,.F.); +#24974=EDGE_LOOP('',(#24971,#24973)); +#24975=FACE_OUTER_BOUND('',#24974,.F.); +#24977=ORIENTED_EDGE('',*,*,#24976,.T.); +#24979=ORIENTED_EDGE('',*,*,#24978,.T.); +#24980=EDGE_LOOP('',(#24977,#24979)); +#24981=FACE_BOUND('',#24980,.F.); +#24983=CARTESIAN_POINT('',(0.E0,0.E0,-3.425E1)); +#24984=DIRECTION('',(0.E0,0.E0,1.E0)); +#24985=DIRECTION('',(1.E0,0.E0,0.E0)); +#24986=AXIS2_PLACEMENT_3D('',#24983,#24984,#24985); +#24987=PLANE('',#24986); +#24989=ORIENTED_EDGE('',*,*,#24988,.F.); +#24991=ORIENTED_EDGE('',*,*,#24990,.F.); +#24992=EDGE_LOOP('',(#24989,#24991)); +#24993=FACE_OUTER_BOUND('',#24992,.F.); +#24995=CARTESIAN_POINT('',(0.E0,0.E0,-3.425E1)); +#24996=DIRECTION('',(0.E0,0.E0,1.E0)); +#24997=DIRECTION('',(1.E0,0.E0,0.E0)); +#24998=AXIS2_PLACEMENT_3D('',#24995,#24996,#24997); +#24999=PLANE('',#24998); +#25001=ORIENTED_EDGE('',*,*,#25000,.F.); +#25002=ORIENTED_EDGE('',*,*,#24955,.F.); +#25003=EDGE_LOOP('',(#25001,#25002)); +#25004=FACE_OUTER_BOUND('',#25003,.F.); +#25006=CARTESIAN_POINT('',(0.E0,0.E0,-3.425E1)); +#25007=DIRECTION('',(0.E0,0.E0,1.E0)); +#25008=DIRECTION('',(1.E0,0.E0,0.E0)); +#25009=AXIS2_PLACEMENT_3D('',#25006,#25007,#25008); +#25010=PLANE('',#25009); +#25012=ORIENTED_EDGE('',*,*,#25011,.F.); +#25014=ORIENTED_EDGE('',*,*,#25013,.F.); +#25015=EDGE_LOOP('',(#25012,#25014)); +#25016=FACE_OUTER_BOUND('',#25015,.F.); +#25018=ORIENTED_EDGE('',*,*,#25017,.T.); +#25020=ORIENTED_EDGE('',*,*,#25019,.T.); +#25021=EDGE_LOOP('',(#25018,#25020)); +#25022=FACE_BOUND('',#25021,.F.); +#25024=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-3.375E1)); +#25025=DIRECTION('',(0.E0,0.E0,1.E0)); +#25026=DIRECTION('',(9.984365346034E-1,5.589710519501E-2,0.E0)); +#25027=AXIS2_PLACEMENT_3D('',#25024,#25025,#25026); +#25028=TOROIDAL_SURFACE('',#25027,2.5E0,5.E-1); +#25029=ORIENTED_EDGE('',*,*,#24970,.T.); +#25031=ORIENTED_EDGE('',*,*,#25030,.T.); +#25033=ORIENTED_EDGE('',*,*,#25032,.F.); +#25035=ORIENTED_EDGE('',*,*,#25034,.F.); +#25036=EDGE_LOOP('',(#25029,#25031,#25033,#25035)); +#25037=FACE_OUTER_BOUND('',#25036,.F.); +#25039=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-3.375E1)); +#25040=DIRECTION('',(0.E0,0.E0,1.E0)); +#25041=DIRECTION('',(-9.984365346034E-1,-5.589710519501E-2,0.E0)); +#25042=AXIS2_PLACEMENT_3D('',#25039,#25040,#25041); +#25043=TOROIDAL_SURFACE('',#25042,2.5E0,5.E-1); +#25044=ORIENTED_EDGE('',*,*,#24972,.T.); +#25045=ORIENTED_EDGE('',*,*,#25034,.T.); +#25047=ORIENTED_EDGE('',*,*,#25046,.F.); +#25048=ORIENTED_EDGE('',*,*,#25030,.F.); +#25049=EDGE_LOOP('',(#25044,#25045,#25047,#25048)); +#25050=FACE_OUTER_BOUND('',#25049,.F.); +#25052=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-2.2E1)); +#25053=DIRECTION('',(0.E0,0.E0,1.E0)); +#25054=DIRECTION('',(1.E0,0.E0,0.E0)); +#25055=AXIS2_PLACEMENT_3D('',#25052,#25053,#25054); +#25056=CYLINDRICAL_SURFACE('',#25055,3.E0); +#25057=ORIENTED_EDGE('',*,*,#25046,.T.); +#25059=ORIENTED_EDGE('',*,*,#25058,.F.); +#25060=ORIENTED_EDGE('',*,*,#21971,.T.); +#25062=ORIENTED_EDGE('',*,*,#25061,.F.); +#25064=ORIENTED_EDGE('',*,*,#25063,.T.); +#25066=ORIENTED_EDGE('',*,*,#25065,.T.); +#25067=EDGE_LOOP('',(#25057,#25059,#25060,#25062,#25064,#25066)); +#25068=FACE_OUTER_BOUND('',#25067,.F.); +#25070=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-2.2E1)); +#25071=DIRECTION('',(0.E0,0.E0,1.E0)); +#25072=DIRECTION('',(1.E0,0.E0,0.E0)); +#25073=AXIS2_PLACEMENT_3D('',#25070,#25071,#25072); +#25074=CYLINDRICAL_SURFACE('',#25073,3.E0); +#25075=ORIENTED_EDGE('',*,*,#25032,.T.); +#25076=ORIENTED_EDGE('',*,*,#25065,.F.); +#25078=ORIENTED_EDGE('',*,*,#25077,.T.); +#25080=ORIENTED_EDGE('',*,*,#25079,.T.); +#25081=ORIENTED_EDGE('',*,*,#21973,.T.); +#25082=ORIENTED_EDGE('',*,*,#25058,.T.); +#25083=EDGE_LOOP('',(#25075,#25076,#25078,#25080,#25081,#25082)); +#25084=FACE_OUTER_BOUND('',#25083,.F.); +#25086=CARTESIAN_POINT('',(0.E0,0.E0,-2.85E1)); +#25087=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25088=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25089=AXIS2_PLACEMENT_3D('',#25086,#25087,#25088); +#25090=PLANE('',#25089); +#25092=ORIENTED_EDGE('',*,*,#25091,.F.); +#25094=ORIENTED_EDGE('',*,*,#25093,.F.); +#25096=ORIENTED_EDGE('',*,*,#25095,.F.); +#25098=ORIENTED_EDGE('',*,*,#25097,.F.); +#25099=ORIENTED_EDGE('',*,*,#24896,.F.); +#25101=ORIENTED_EDGE('',*,*,#25100,.F.); +#25103=ORIENTED_EDGE('',*,*,#25102,.F.); +#25105=ORIENTED_EDGE('',*,*,#25104,.F.); +#25106=EDGE_LOOP('',(#25092,#25094,#25096,#25098,#25099,#25101,#25103,#25105)); +#25107=FACE_OUTER_BOUND('',#25106,.F.); +#25109=CARTESIAN_POINT('',(0.E0,0.E0,-2.85E1)); +#25110=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25111=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25112=AXIS2_PLACEMENT_3D('',#25109,#25110,#25111); +#25113=PLANE('',#25112); +#25115=ORIENTED_EDGE('',*,*,#25114,.F.); +#25117=ORIENTED_EDGE('',*,*,#25116,.F.); +#25119=ORIENTED_EDGE('',*,*,#25118,.F.); +#25120=ORIENTED_EDGE('',*,*,#21907,.F.); +#25122=ORIENTED_EDGE('',*,*,#25121,.F.); +#25124=ORIENTED_EDGE('',*,*,#25123,.F.); +#25126=ORIENTED_EDGE('',*,*,#25125,.F.); +#25128=ORIENTED_EDGE('',*,*,#25127,.F.); +#25129=EDGE_LOOP('',(#25115,#25117,#25119,#25120,#25122,#25124,#25126,#25128)); +#25130=FACE_OUTER_BOUND('',#25129,.F.); +#25132=CARTESIAN_POINT('',(0.E0,0.E0,-2.85E1)); +#25133=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25134=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25135=AXIS2_PLACEMENT_3D('',#25132,#25133,#25134); +#25136=PLANE('',#25135); +#25137=ORIENTED_EDGE('',*,*,#25063,.F.); +#25139=ORIENTED_EDGE('',*,*,#25138,.F.); +#25141=ORIENTED_EDGE('',*,*,#25140,.F.); +#25143=ORIENTED_EDGE('',*,*,#25142,.F.); +#25145=ORIENTED_EDGE('',*,*,#25144,.F.); +#25147=ORIENTED_EDGE('',*,*,#25146,.F.); +#25148=ORIENTED_EDGE('',*,*,#25077,.F.); +#25149=EDGE_LOOP('',(#25137,#25139,#25141,#25143,#25145,#25147,#25148)); +#25150=FACE_OUTER_BOUND('',#25149,.F.); +#25152=CARTESIAN_POINT('',(0.E0,0.E0,-2.85E1)); +#25153=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25154=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25155=AXIS2_PLACEMENT_3D('',#25152,#25153,#25154); +#25156=PLANE('',#25155); +#25157=ORIENTED_EDGE('',*,*,#24941,.F.); +#25159=ORIENTED_EDGE('',*,*,#25158,.F.); +#25161=ORIENTED_EDGE('',*,*,#25160,.F.); +#25163=ORIENTED_EDGE('',*,*,#25162,.F.); +#25164=ORIENTED_EDGE('',*,*,#24885,.F.); +#25165=ORIENTED_EDGE('',*,*,#24913,.F.); +#25166=ORIENTED_EDGE('',*,*,#24926,.F.); +#25167=EDGE_LOOP('',(#25157,#25159,#25161,#25163,#25164,#25165,#25166)); +#25168=FACE_OUTER_BOUND('',#25167,.F.); +#25170=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.2E1)); +#25171=DIRECTION('',(0.E0,0.E0,1.E0)); +#25172=DIRECTION('',(1.E0,0.E0,0.E0)); +#25173=AXIS2_PLACEMENT_3D('',#25170,#25171,#25172); +#25174=CYLINDRICAL_SURFACE('',#25173,3.E0); +#25176=ORIENTED_EDGE('',*,*,#25175,.T.); +#25178=ORIENTED_EDGE('',*,*,#25177,.F.); +#25179=ORIENTED_EDGE('',*,*,#25091,.T.); +#25181=ORIENTED_EDGE('',*,*,#25180,.T.); +#25182=ORIENTED_EDGE('',*,*,#21942,.T.); +#25184=ORIENTED_EDGE('',*,*,#25183,.T.); +#25185=EDGE_LOOP('',(#25176,#25178,#25179,#25181,#25182,#25184)); +#25186=FACE_OUTER_BOUND('',#25185,.F.); +#25188=CARTESIAN_POINT('',(2.37E1,1.08E1,-3.375E1)); +#25189=DIRECTION('',(0.E0,0.E0,1.E0)); +#25190=DIRECTION('',(-9.984365346034E-1,-5.589710519501E-2,0.E0)); +#25191=AXIS2_PLACEMENT_3D('',#25188,#25189,#25190); +#25192=TOROIDAL_SURFACE('',#25191,2.5E0,5.E-1); +#25193=ORIENTED_EDGE('',*,*,#25013,.T.); +#25195=ORIENTED_EDGE('',*,*,#25194,.T.); +#25196=ORIENTED_EDGE('',*,*,#25175,.F.); +#25198=ORIENTED_EDGE('',*,*,#25197,.F.); +#25199=EDGE_LOOP('',(#25193,#25195,#25196,#25198)); +#25200=FACE_OUTER_BOUND('',#25199,.F.); +#25202=CARTESIAN_POINT('',(2.37E1,1.08E1,-3.375E1)); +#25203=DIRECTION('',(0.E0,0.E0,1.E0)); +#25204=DIRECTION('',(9.984365346034E-1,5.589710519501E-2,0.E0)); +#25205=AXIS2_PLACEMENT_3D('',#25202,#25203,#25204); +#25206=TOROIDAL_SURFACE('',#25205,2.5E0,5.E-1); +#25207=ORIENTED_EDGE('',*,*,#25011,.T.); +#25208=ORIENTED_EDGE('',*,*,#25197,.T.); +#25210=ORIENTED_EDGE('',*,*,#25209,.F.); +#25211=ORIENTED_EDGE('',*,*,#25194,.F.); +#25212=EDGE_LOOP('',(#25207,#25208,#25210,#25211)); +#25213=FACE_OUTER_BOUND('',#25212,.F.); +#25215=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.2E1)); +#25216=DIRECTION('',(0.E0,0.E0,1.E0)); +#25217=DIRECTION('',(1.E0,0.E0,0.E0)); +#25218=AXIS2_PLACEMENT_3D('',#25215,#25216,#25217); +#25219=CYLINDRICAL_SURFACE('',#25218,3.E0); +#25220=ORIENTED_EDGE('',*,*,#25209,.T.); +#25221=ORIENTED_EDGE('',*,*,#25183,.F.); +#25222=ORIENTED_EDGE('',*,*,#21940,.T.); +#25224=ORIENTED_EDGE('',*,*,#25223,.F.); +#25225=ORIENTED_EDGE('',*,*,#25093,.T.); +#25226=ORIENTED_EDGE('',*,*,#25177,.T.); +#25227=EDGE_LOOP('',(#25220,#25221,#25222,#25224,#25225,#25226)); +#25228=FACE_OUTER_BOUND('',#25227,.F.); +#25230=CARTESIAN_POINT('',(2.710440890611E1,8.699999999999E0,-2.2E1)); +#25231=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25232=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25233=AXIS2_PLACEMENT_3D('',#25230,#25231,#25232); +#25234=CYLINDRICAL_SURFACE('',#25233,1.E0); +#25235=ORIENTED_EDGE('',*,*,#21938,.T.); +#25237=ORIENTED_EDGE('',*,*,#25236,.T.); +#25238=ORIENTED_EDGE('',*,*,#25095,.T.); +#25239=ORIENTED_EDGE('',*,*,#25223,.T.); +#25240=EDGE_LOOP('',(#25235,#25237,#25238,#25239)); +#25241=FACE_OUTER_BOUND('',#25240,.F.); +#25243=CARTESIAN_POINT('',(3.04E1,9.699999999999E0,-2.2E1)); +#25244=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25245=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25246=AXIS2_PLACEMENT_3D('',#25243,#25244,#25245); +#25247=PLANE('',#25246); +#25248=ORIENTED_EDGE('',*,*,#21936,.F.); +#25249=ORIENTED_EDGE('',*,*,#24869,.F.); +#25250=ORIENTED_EDGE('',*,*,#24898,.T.); +#25251=ORIENTED_EDGE('',*,*,#25097,.T.); +#25252=ORIENTED_EDGE('',*,*,#25236,.F.); +#25253=EDGE_LOOP('',(#25248,#25249,#25250,#25251,#25252)); +#25254=FACE_OUTER_BOUND('',#25253,.F.); +#25256=CARTESIAN_POINT('',(2.710440890611E1,1.29E1,-2.2E1)); +#25257=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25258=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25259=AXIS2_PLACEMENT_3D('',#25256,#25257,#25258); +#25260=CYLINDRICAL_SURFACE('',#25259,1.E0); +#25261=ORIENTED_EDGE('',*,*,#21944,.T.); +#25262=ORIENTED_EDGE('',*,*,#25180,.F.); +#25263=ORIENTED_EDGE('',*,*,#25104,.T.); +#25265=ORIENTED_EDGE('',*,*,#25264,.F.); +#25266=EDGE_LOOP('',(#25261,#25262,#25263,#25265)); +#25267=FACE_OUTER_BOUND('',#25266,.F.); +#25269=CARTESIAN_POINT('',(2.710440890611E1,1.19E1,-2.2E1)); +#25270=DIRECTION('',(0.E0,1.E0,0.E0)); +#25271=DIRECTION('',(1.E0,0.E0,0.E0)); +#25272=AXIS2_PLACEMENT_3D('',#25269,#25270,#25271); +#25273=PLANE('',#25272); +#25275=ORIENTED_EDGE('',*,*,#25274,.T.); +#25277=ORIENTED_EDGE('',*,*,#25276,.F.); +#25278=ORIENTED_EDGE('',*,*,#21946,.F.); +#25279=ORIENTED_EDGE('',*,*,#25264,.T.); +#25280=ORIENTED_EDGE('',*,*,#25102,.T.); +#25281=EDGE_LOOP('',(#25275,#25277,#25278,#25279,#25280)); +#25282=FACE_OUTER_BOUND('',#25281,.F.); +#25284=CARTESIAN_POINT('',(2.54E1,1.105E1,-2.E1)); +#25285=DIRECTION('',(0.E0,0.E0,1.E0)); +#25286=DIRECTION('',(1.E0,0.E0,0.E0)); +#25287=AXIS2_PLACEMENT_3D('',#25284,#25285,#25286); +#25288=CYLINDRICAL_SURFACE('',#25287,5.E0); +#25290=ORIENTED_EDGE('',*,*,#25289,.T.); +#25291=ORIENTED_EDGE('',*,*,#25274,.F.); +#25292=ORIENTED_EDGE('',*,*,#25100,.T.); +#25293=ORIENTED_EDGE('',*,*,#24894,.T.); +#25294=ORIENTED_EDGE('',*,*,#21734,.T.); +#25296=ORIENTED_EDGE('',*,*,#25295,.T.); +#25297=EDGE_LOOP('',(#25290,#25291,#25292,#25293,#25294,#25296)); +#25298=FACE_OUTER_BOUND('',#25297,.F.); +#25300=CARTESIAN_POINT('',(2.54E1,1.105E1,-2.15E1)); +#25301=DIRECTION('',(0.E0,0.E0,1.E0)); +#25302=DIRECTION('',(-3.354945581555E-2,9.994370585557E-1,0.E0)); +#25303=AXIS2_PLACEMENT_3D('',#25300,#25301,#25302); +#25304=TOROIDAL_SURFACE('',#25303,4.5E0,5.E-1); +#25305=ORIENTED_EDGE('',*,*,#25289,.F.); +#25307=ORIENTED_EDGE('',*,*,#25306,.F.); +#25308=ORIENTED_EDGE('',*,*,#21948,.T.); +#25309=ORIENTED_EDGE('',*,*,#25276,.T.); +#25310=EDGE_LOOP('',(#25305,#25307,#25308,#25309)); +#25311=FACE_OUTER_BOUND('',#25310,.F.); +#25313=CARTESIAN_POINT('',(-2.556777876347E1,1.555E1,-2.15E1)); +#25314=DIRECTION('',(1.E0,0.E0,0.E0)); +#25315=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25316=AXIS2_PLACEMENT_3D('',#25313,#25314,#25315); +#25317=CYLINDRICAL_SURFACE('',#25316,5.E-1); +#25318=ORIENTED_EDGE('',*,*,#21950,.T.); +#25319=ORIENTED_EDGE('',*,*,#25306,.T.); +#25321=ORIENTED_EDGE('',*,*,#25320,.F.); +#25322=ORIENTED_EDGE('',*,*,#21921,.F.); +#25323=EDGE_LOOP('',(#25318,#25319,#25321,#25322)); +#25324=FACE_OUTER_BOUND('',#25323,.F.); +#25326=CARTESIAN_POINT('',(2.54E1,1.605E1,-2.E1)); +#25327=DIRECTION('',(0.E0,1.E0,0.E0)); +#25328=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25329=AXIS2_PLACEMENT_3D('',#25326,#25327,#25328); +#25330=PLANE('',#25329); +#25331=ORIENTED_EDGE('',*,*,#25320,.T.); +#25332=ORIENTED_EDGE('',*,*,#25295,.F.); +#25333=ORIENTED_EDGE('',*,*,#21732,.T.); +#25334=ORIENTED_EDGE('',*,*,#21902,.T.); +#25335=EDGE_LOOP('',(#25331,#25332,#25333,#25334)); +#25336=FACE_OUTER_BOUND('',#25335,.F.); +#25338=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.2E1)); +#25339=DIRECTION('',(0.E0,0.E0,1.E0)); +#25340=DIRECTION('',(1.E0,0.E0,0.E0)); +#25341=AXIS2_PLACEMENT_3D('',#25338,#25339,#25340); +#25342=CYLINDRICAL_SURFACE('',#25341,3.E0); +#25344=ORIENTED_EDGE('',*,*,#25343,.T.); +#25346=ORIENTED_EDGE('',*,*,#25345,.F.); +#25347=ORIENTED_EDGE('',*,*,#21957,.T.); +#25349=ORIENTED_EDGE('',*,*,#25348,.F.); +#25350=ORIENTED_EDGE('',*,*,#25114,.T.); +#25352=ORIENTED_EDGE('',*,*,#25351,.T.); +#25353=EDGE_LOOP('',(#25344,#25346,#25347,#25349,#25350,#25352)); +#25354=FACE_OUTER_BOUND('',#25353,.F.); +#25356=CARTESIAN_POINT('',(-2.37E1,1.08E1,-3.375E1)); +#25357=DIRECTION('',(0.E0,0.E0,1.E0)); +#25358=DIRECTION('',(-9.984365346034E-1,-5.589710519501E-2,0.E0)); +#25359=AXIS2_PLACEMENT_3D('',#25356,#25357,#25358); +#25360=TOROIDAL_SURFACE('',#25359,2.5E0,5.E-1); +#25361=ORIENTED_EDGE('',*,*,#24990,.T.); +#25363=ORIENTED_EDGE('',*,*,#25362,.T.); +#25364=ORIENTED_EDGE('',*,*,#25343,.F.); +#25366=ORIENTED_EDGE('',*,*,#25365,.F.); +#25367=EDGE_LOOP('',(#25361,#25363,#25364,#25366)); +#25368=FACE_OUTER_BOUND('',#25367,.F.); +#25370=CARTESIAN_POINT('',(-2.37E1,1.08E1,-3.375E1)); +#25371=DIRECTION('',(0.E0,0.E0,1.E0)); +#25372=DIRECTION('',(9.984365346034E-1,5.589710519501E-2,0.E0)); +#25373=AXIS2_PLACEMENT_3D('',#25370,#25371,#25372); +#25374=TOROIDAL_SURFACE('',#25373,2.5E0,5.E-1); +#25375=ORIENTED_EDGE('',*,*,#24988,.T.); +#25376=ORIENTED_EDGE('',*,*,#25365,.T.); +#25378=ORIENTED_EDGE('',*,*,#25377,.F.); +#25379=ORIENTED_EDGE('',*,*,#25362,.F.); +#25380=EDGE_LOOP('',(#25375,#25376,#25378,#25379)); +#25381=FACE_OUTER_BOUND('',#25380,.F.); +#25383=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.2E1)); +#25384=DIRECTION('',(0.E0,0.E0,1.E0)); +#25385=DIRECTION('',(1.E0,0.E0,0.E0)); +#25386=AXIS2_PLACEMENT_3D('',#25383,#25384,#25385); +#25387=CYLINDRICAL_SURFACE('',#25386,3.E0); +#25388=ORIENTED_EDGE('',*,*,#25377,.T.); +#25389=ORIENTED_EDGE('',*,*,#25351,.F.); +#25390=ORIENTED_EDGE('',*,*,#25127,.T.); +#25392=ORIENTED_EDGE('',*,*,#25391,.T.); +#25393=ORIENTED_EDGE('',*,*,#21959,.T.); +#25394=ORIENTED_EDGE('',*,*,#25345,.T.); +#25395=EDGE_LOOP('',(#25388,#25389,#25390,#25392,#25393,#25394)); +#25396=FACE_OUTER_BOUND('',#25395,.F.); +#25398=CARTESIAN_POINT('',(-2.710440890611E1,8.699999999999E0,-2.2E1)); +#25399=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25400=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25401=AXIS2_PLACEMENT_3D('',#25398,#25399,#25400); +#25402=CYLINDRICAL_SURFACE('',#25401,1.E0); +#25403=ORIENTED_EDGE('',*,*,#21961,.T.); +#25404=ORIENTED_EDGE('',*,*,#25391,.F.); +#25405=ORIENTED_EDGE('',*,*,#25125,.T.); +#25407=ORIENTED_EDGE('',*,*,#25406,.F.); +#25408=EDGE_LOOP('',(#25403,#25404,#25405,#25407)); +#25409=FACE_OUTER_BOUND('',#25408,.F.); +#25411=CARTESIAN_POINT('',(-2.710440890611E1,9.699999999999E0,-2.2E1)); +#25412=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25413=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25414=AXIS2_PLACEMENT_3D('',#25411,#25412,#25413); +#25415=PLANE('',#25414); +#25417=ORIENTED_EDGE('',*,*,#25416,.T.); +#25419=ORIENTED_EDGE('',*,*,#25418,.F.); +#25420=ORIENTED_EDGE('',*,*,#21963,.T.); +#25421=ORIENTED_EDGE('',*,*,#25406,.T.); +#25422=ORIENTED_EDGE('',*,*,#25123,.T.); +#25423=EDGE_LOOP('',(#25417,#25419,#25420,#25421,#25422)); +#25424=FACE_OUTER_BOUND('',#25423,.F.); +#25426=CARTESIAN_POINT('',(-3.04E1,1.105E1,-2.E1)); +#25427=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25428=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25429=AXIS2_PLACEMENT_3D('',#25426,#25427,#25428); +#25430=PLANE('',#25429); +#25432=ORIENTED_EDGE('',*,*,#25431,.T.); +#25434=ORIENTED_EDGE('',*,*,#25433,.F.); +#25435=ORIENTED_EDGE('',*,*,#25142,.T.); +#25437=ORIENTED_EDGE('',*,*,#25436,.F.); +#25439=ORIENTED_EDGE('',*,*,#25438,.T.); +#25440=ORIENTED_EDGE('',*,*,#25416,.F.); +#25441=ORIENTED_EDGE('',*,*,#25121,.T.); +#25442=ORIENTED_EDGE('',*,*,#21905,.F.); +#25443=ORIENTED_EDGE('',*,*,#21744,.T.); +#25445=ORIENTED_EDGE('',*,*,#25444,.T.); +#25446=EDGE_LOOP('',(#25432,#25434,#25435,#25437,#25439,#25440,#25441,#25442, +#25443,#25445)); +#25447=FACE_OUTER_BOUND('',#25446,.F.); +#25449=CARTESIAN_POINT('',(-2.99E1,-1.121777876347E1,-2.15E1)); +#25450=DIRECTION('',(0.E0,1.E0,0.E0)); +#25451=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25452=AXIS2_PLACEMENT_3D('',#25449,#25450,#25451); +#25453=CYLINDRICAL_SURFACE('',#25452,5.E-1); +#25454=ORIENTED_EDGE('',*,*,#25431,.F.); +#25456=ORIENTED_EDGE('',*,*,#25455,.F.); +#25457=ORIENTED_EDGE('',*,*,#21979,.T.); +#25459=ORIENTED_EDGE('',*,*,#25458,.T.); +#25460=EDGE_LOOP('',(#25454,#25456,#25457,#25459)); +#25461=FACE_OUTER_BOUND('',#25460,.F.); +#25463=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-2.15E1)); +#25464=DIRECTION('',(0.E0,0.E0,1.E0)); +#25465=DIRECTION('',(3.354945581555E-2,-9.994370585557E-1,0.E0)); +#25466=AXIS2_PLACEMENT_3D('',#25463,#25464,#25465); +#25467=TOROIDAL_SURFACE('',#25466,4.5E0,5.E-1); +#25468=ORIENTED_EDGE('',*,*,#21981,.T.); +#25469=ORIENTED_EDGE('',*,*,#25455,.T.); +#25471=ORIENTED_EDGE('',*,*,#25470,.F.); +#25473=ORIENTED_EDGE('',*,*,#25472,.F.); +#25474=EDGE_LOOP('',(#25468,#25469,#25471,#25473)); +#25475=FACE_OUTER_BOUND('',#25474,.F.); +#25477=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-2.E1)); +#25478=DIRECTION('',(0.E0,0.E0,1.E0)); +#25479=DIRECTION('',(1.E0,0.E0,0.E0)); +#25480=AXIS2_PLACEMENT_3D('',#25477,#25478,#25479); +#25481=CYLINDRICAL_SURFACE('',#25480,5.E0); +#25482=ORIENTED_EDGE('',*,*,#25470,.T.); +#25483=ORIENTED_EDGE('',*,*,#25444,.F.); +#25484=ORIENTED_EDGE('',*,*,#21742,.T.); +#25486=ORIENTED_EDGE('',*,*,#25485,.T.); +#25487=EDGE_LOOP('',(#25482,#25483,#25484,#25486)); +#25488=FACE_OUTER_BOUND('',#25487,.F.); +#25490=CARTESIAN_POINT('',(-2.54E1,-1.605E1,-2.E1)); +#25491=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25492=DIRECTION('',(1.E0,0.E0,0.E0)); +#25493=AXIS2_PLACEMENT_3D('',#25490,#25491,#25492); +#25494=PLANE('',#25493); +#25496=ORIENTED_EDGE('',*,*,#25495,.T.); +#25497=ORIENTED_EDGE('',*,*,#25485,.F.); +#25498=ORIENTED_EDGE('',*,*,#21740,.T.); +#25500=ORIENTED_EDGE('',*,*,#25499,.T.); +#25501=EDGE_LOOP('',(#25496,#25497,#25498,#25500)); +#25502=FACE_OUTER_BOUND('',#25501,.F.); +#25504=CARTESIAN_POINT('',(2.556777876347E1,-1.555E1,-2.15E1)); +#25505=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25506=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25507=AXIS2_PLACEMENT_3D('',#25504,#25505,#25506); +#25508=CYLINDRICAL_SURFACE('',#25507,5.E-1); +#25509=ORIENTED_EDGE('',*,*,#21983,.T.); +#25510=ORIENTED_EDGE('',*,*,#25472,.T.); +#25511=ORIENTED_EDGE('',*,*,#25495,.F.); +#25513=ORIENTED_EDGE('',*,*,#25512,.F.); +#25514=EDGE_LOOP('',(#25509,#25510,#25511,#25513)); +#25515=FACE_OUTER_BOUND('',#25514,.F.); +#25517=CARTESIAN_POINT('',(2.54E1,-1.105E1,-2.15E1)); +#25518=DIRECTION('',(0.E0,0.E0,1.E0)); +#25519=DIRECTION('',(9.994370585557E-1,3.354945581555E-2,0.E0)); +#25520=AXIS2_PLACEMENT_3D('',#25517,#25518,#25519); +#25521=TOROIDAL_SURFACE('',#25520,4.5E0,5.E-1); +#25522=ORIENTED_EDGE('',*,*,#21985,.T.); +#25523=ORIENTED_EDGE('',*,*,#25512,.T.); +#25525=ORIENTED_EDGE('',*,*,#25524,.F.); +#25527=ORIENTED_EDGE('',*,*,#25526,.F.); +#25528=EDGE_LOOP('',(#25522,#25523,#25525,#25527)); +#25529=FACE_OUTER_BOUND('',#25528,.F.); +#25531=CARTESIAN_POINT('',(2.54E1,-1.105E1,-2.E1)); +#25532=DIRECTION('',(0.E0,0.E0,1.E0)); +#25533=DIRECTION('',(1.E0,0.E0,0.E0)); +#25534=AXIS2_PLACEMENT_3D('',#25531,#25532,#25533); +#25535=CYLINDRICAL_SURFACE('',#25534,5.E0); +#25536=ORIENTED_EDGE('',*,*,#25524,.T.); +#25537=ORIENTED_EDGE('',*,*,#25499,.F.); +#25538=ORIENTED_EDGE('',*,*,#21738,.T.); +#25539=ORIENTED_EDGE('',*,*,#24891,.T.); +#25540=EDGE_LOOP('',(#25536,#25537,#25538,#25539)); +#25541=FACE_OUTER_BOUND('',#25540,.F.); +#25543=CARTESIAN_POINT('',(2.99E1,5.789556E1,-2.15E1)); +#25544=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25545=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25546=AXIS2_PLACEMENT_3D('',#25543,#25544,#25545); +#25547=CYLINDRICAL_SURFACE('',#25546,5.E-1); +#25548=ORIENTED_EDGE('',*,*,#21987,.T.); +#25549=ORIENTED_EDGE('',*,*,#25526,.T.); +#25550=ORIENTED_EDGE('',*,*,#24889,.F.); +#25552=ORIENTED_EDGE('',*,*,#25551,.T.); +#25553=EDGE_LOOP('',(#25548,#25549,#25550,#25552)); +#25554=FACE_OUTER_BOUND('',#25553,.F.); +#25556=CARTESIAN_POINT('',(3.04E1,-8.400000000001E0,-2.2E1)); +#25557=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25558=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25559=AXIS2_PLACEMENT_3D('',#25556,#25557,#25558); +#25560=PLANE('',#25559); +#25561=ORIENTED_EDGE('',*,*,#21989,.F.); +#25562=ORIENTED_EDGE('',*,*,#25551,.F.); +#25563=ORIENTED_EDGE('',*,*,#24887,.T.); +#25564=ORIENTED_EDGE('',*,*,#25162,.T.); +#25566=ORIENTED_EDGE('',*,*,#25565,.F.); +#25567=EDGE_LOOP('',(#25561,#25562,#25563,#25564,#25566)); +#25568=FACE_OUTER_BOUND('',#25567,.F.); +#25570=CARTESIAN_POINT('',(2.860440890611E1,-9.400000000001E0,-2.2E1)); +#25571=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25572=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25573=AXIS2_PLACEMENT_3D('',#25570,#25571,#25572); +#25574=CYLINDRICAL_SURFACE('',#25573,1.E0); +#25575=ORIENTED_EDGE('',*,*,#21991,.T.); +#25576=ORIENTED_EDGE('',*,*,#25565,.T.); +#25577=ORIENTED_EDGE('',*,*,#25160,.T.); +#25579=ORIENTED_EDGE('',*,*,#25578,.T.); +#25580=EDGE_LOOP('',(#25575,#25576,#25577,#25579)); +#25581=FACE_OUTER_BOUND('',#25580,.F.); +#25583=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-2.2E1)); +#25584=DIRECTION('',(0.E0,0.E0,1.E0)); +#25585=DIRECTION('',(1.E0,0.E0,0.E0)); +#25586=AXIS2_PLACEMENT_3D('',#25583,#25584,#25585); +#25587=CYLINDRICAL_SURFACE('',#25586,3.E0); +#25589=ORIENTED_EDGE('',*,*,#25588,.T.); +#25590=ORIENTED_EDGE('',*,*,#24945,.F.); +#25591=ORIENTED_EDGE('',*,*,#21993,.T.); +#25592=ORIENTED_EDGE('',*,*,#25578,.F.); +#25593=ORIENTED_EDGE('',*,*,#25158,.T.); +#25594=ORIENTED_EDGE('',*,*,#24939,.T.); +#25595=EDGE_LOOP('',(#25589,#25590,#25591,#25592,#25593,#25594)); +#25596=FACE_OUTER_BOUND('',#25595,.F.); +#25598=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-3.375E1)); +#25599=DIRECTION('',(0.E0,0.E0,1.E0)); +#25600=DIRECTION('',(9.984365346034E-1,5.589710519501E-2,0.E0)); +#25601=AXIS2_PLACEMENT_3D('',#25598,#25599,#25600); +#25602=TOROIDAL_SURFACE('',#25601,2.5E0,5.E-1); +#25603=ORIENTED_EDGE('',*,*,#25000,.T.); +#25604=ORIENTED_EDGE('',*,*,#24960,.T.); +#25605=ORIENTED_EDGE('',*,*,#25588,.F.); +#25606=ORIENTED_EDGE('',*,*,#24957,.F.); +#25607=EDGE_LOOP('',(#25603,#25604,#25605,#25606)); +#25608=FACE_OUTER_BOUND('',#25607,.F.); +#25610=CARTESIAN_POINT('',(-2.860440890611E1,-8.400000000001E0,-2.2E1)); +#25611=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25612=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25613=AXIS2_PLACEMENT_3D('',#25610,#25611,#25612); +#25614=PLANE('',#25613); +#25615=ORIENTED_EDGE('',*,*,#25433,.T.); +#25616=ORIENTED_EDGE('',*,*,#25458,.F.); +#25617=ORIENTED_EDGE('',*,*,#21977,.T.); +#25619=ORIENTED_EDGE('',*,*,#25618,.T.); +#25620=ORIENTED_EDGE('',*,*,#25144,.T.); +#25621=EDGE_LOOP('',(#25615,#25616,#25617,#25619,#25620)); +#25622=FACE_OUTER_BOUND('',#25621,.F.); +#25624=CARTESIAN_POINT('',(-2.860440890611E1,-9.400000000001E0,-2.2E1)); +#25625=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25626=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25627=AXIS2_PLACEMENT_3D('',#25624,#25625,#25626); +#25628=CYLINDRICAL_SURFACE('',#25627,1.E0); +#25629=ORIENTED_EDGE('',*,*,#21975,.T.); +#25630=ORIENTED_EDGE('',*,*,#25079,.F.); +#25631=ORIENTED_EDGE('',*,*,#25146,.T.); +#25632=ORIENTED_EDGE('',*,*,#25618,.F.); +#25633=EDGE_LOOP('',(#25629,#25630,#25631,#25632)); +#25634=FACE_OUTER_BOUND('',#25633,.F.); +#25636=CARTESIAN_POINT('',(-3.04E1,-6.200000000001E0,-2.2E1)); +#25637=DIRECTION('',(0.E0,1.E0,0.E0)); +#25638=DIRECTION('',(1.E0,0.E0,0.E0)); +#25639=AXIS2_PLACEMENT_3D('',#25636,#25637,#25638); +#25640=PLANE('',#25639); +#25641=ORIENTED_EDGE('',*,*,#21967,.F.); +#25643=ORIENTED_EDGE('',*,*,#25642,.F.); +#25644=ORIENTED_EDGE('',*,*,#25436,.T.); +#25645=ORIENTED_EDGE('',*,*,#25140,.T.); +#25647=ORIENTED_EDGE('',*,*,#25646,.F.); +#25648=EDGE_LOOP('',(#25641,#25643,#25644,#25645,#25647)); +#25649=FACE_OUTER_BOUND('',#25648,.F.); +#25651=CARTESIAN_POINT('',(-2.99E1,-5.789556E1,-2.15E1)); +#25652=DIRECTION('',(0.E0,1.E0,0.E0)); +#25653=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25654=AXIS2_PLACEMENT_3D('',#25651,#25652,#25653); +#25655=CYLINDRICAL_SURFACE('',#25654,5.E-1); +#25656=ORIENTED_EDGE('',*,*,#25438,.F.); +#25657=ORIENTED_EDGE('',*,*,#25642,.T.); +#25658=ORIENTED_EDGE('',*,*,#21965,.T.); +#25659=ORIENTED_EDGE('',*,*,#25418,.T.); +#25660=EDGE_LOOP('',(#25656,#25657,#25658,#25659)); +#25661=FACE_OUTER_BOUND('',#25660,.F.); +#25663=CARTESIAN_POINT('',(-2.860440890611E1,-5.200000000001E0,-2.2E1)); +#25664=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25665=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25666=AXIS2_PLACEMENT_3D('',#25663,#25664,#25665); +#25667=CYLINDRICAL_SURFACE('',#25666,1.E0); +#25668=ORIENTED_EDGE('',*,*,#21969,.T.); +#25669=ORIENTED_EDGE('',*,*,#25646,.T.); +#25670=ORIENTED_EDGE('',*,*,#25138,.T.); +#25671=ORIENTED_EDGE('',*,*,#25061,.T.); +#25672=EDGE_LOOP('',(#25668,#25669,#25670,#25671)); +#25673=FACE_OUTER_BOUND('',#25672,.F.); +#25675=CARTESIAN_POINT('',(-2.710440890611E1,1.29E1,-2.2E1)); +#25676=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25677=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25678=AXIS2_PLACEMENT_3D('',#25675,#25676,#25677); +#25679=CYLINDRICAL_SURFACE('',#25678,1.E0); +#25680=ORIENTED_EDGE('',*,*,#21955,.T.); +#25682=ORIENTED_EDGE('',*,*,#25681,.T.); +#25683=ORIENTED_EDGE('',*,*,#25116,.T.); +#25684=ORIENTED_EDGE('',*,*,#25348,.T.); +#25685=EDGE_LOOP('',(#25680,#25682,#25683,#25684)); +#25686=FACE_OUTER_BOUND('',#25685,.F.); +#25688=CARTESIAN_POINT('',(-3.032722031170E1,1.19E1,-2.2E1)); +#25689=DIRECTION('',(0.E0,1.E0,0.E0)); +#25690=DIRECTION('',(1.E0,0.E0,0.E0)); +#25691=AXIS2_PLACEMENT_3D('',#25688,#25689,#25690); +#25692=PLANE('',#25691); +#25693=ORIENTED_EDGE('',*,*,#21953,.F.); +#25694=ORIENTED_EDGE('',*,*,#21924,.F.); +#25695=ORIENTED_EDGE('',*,*,#21909,.T.); +#25696=ORIENTED_EDGE('',*,*,#25118,.T.); +#25697=ORIENTED_EDGE('',*,*,#25681,.F.); +#25698=EDGE_LOOP('',(#25693,#25694,#25695,#25696,#25697)); +#25699=FACE_OUTER_BOUND('',#25698,.F.); +#25701=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-3.425E1)); +#25702=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25703=DIRECTION('',(1.E0,0.E0,0.E0)); +#25704=AXIS2_PLACEMENT_3D('',#25701,#25702,#25703); +#25705=CYLINDRICAL_SURFACE('',#25704,7.5E-1); +#25706=ORIENTED_EDGE('',*,*,#24976,.F.); +#25708=ORIENTED_EDGE('',*,*,#25707,.T.); +#25710=ORIENTED_EDGE('',*,*,#25709,.T.); +#25712=ORIENTED_EDGE('',*,*,#25711,.F.); +#25713=EDGE_LOOP('',(#25706,#25708,#25710,#25712)); +#25714=FACE_OUTER_BOUND('',#25713,.F.); +#25716=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-3.425E1)); +#25717=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25718=DIRECTION('',(1.E0,0.E0,0.E0)); +#25719=AXIS2_PLACEMENT_3D('',#25716,#25717,#25718); +#25720=CYLINDRICAL_SURFACE('',#25719,7.5E-1); +#25721=ORIENTED_EDGE('',*,*,#24978,.F.); +#25722=ORIENTED_EDGE('',*,*,#25711,.T.); +#25724=ORIENTED_EDGE('',*,*,#25723,.T.); +#25725=ORIENTED_EDGE('',*,*,#25707,.F.); +#25726=EDGE_LOOP('',(#25721,#25722,#25724,#25725)); +#25727=FACE_OUTER_BOUND('',#25726,.F.); +#25729=CARTESIAN_POINT('',(0.E0,0.E0,-3.625E1)); +#25730=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25731=DIRECTION('',(1.E0,0.E0,0.E0)); +#25732=AXIS2_PLACEMENT_3D('',#25729,#25730,#25731); +#25733=PLANE('',#25732); +#25734=ORIENTED_EDGE('',*,*,#25709,.F.); +#25735=ORIENTED_EDGE('',*,*,#25723,.F.); +#25736=EDGE_LOOP('',(#25734,#25735)); +#25737=FACE_OUTER_BOUND('',#25736,.F.); +#25739=CARTESIAN_POINT('',(0.E0,0.E0,-3.625E1)); +#25740=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25741=DIRECTION('',(1.E0,0.E0,0.E0)); +#25742=AXIS2_PLACEMENT_3D('',#25739,#25740,#25741); +#25743=PLANE('',#25742); +#25745=ORIENTED_EDGE('',*,*,#25744,.F.); +#25747=ORIENTED_EDGE('',*,*,#25746,.F.); +#25748=EDGE_LOOP('',(#25745,#25747)); +#25749=FACE_OUTER_BOUND('',#25748,.F.); +#25751=CARTESIAN_POINT('',(2.37E1,1.08E1,-3.425E1)); +#25752=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25753=DIRECTION('',(1.E0,0.E0,0.E0)); +#25754=AXIS2_PLACEMENT_3D('',#25751,#25752,#25753); +#25755=CYLINDRICAL_SURFACE('',#25754,7.5E-1); +#25756=ORIENTED_EDGE('',*,*,#25017,.F.); +#25758=ORIENTED_EDGE('',*,*,#25757,.T.); +#25759=ORIENTED_EDGE('',*,*,#25744,.T.); +#25761=ORIENTED_EDGE('',*,*,#25760,.F.); +#25762=EDGE_LOOP('',(#25756,#25758,#25759,#25761)); +#25763=FACE_OUTER_BOUND('',#25762,.F.); +#25765=CARTESIAN_POINT('',(2.37E1,1.08E1,-3.425E1)); +#25766=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25767=DIRECTION('',(1.E0,0.E0,0.E0)); +#25768=AXIS2_PLACEMENT_3D('',#25765,#25766,#25767); +#25769=CYLINDRICAL_SURFACE('',#25768,7.5E-1); +#25770=ORIENTED_EDGE('',*,*,#25019,.F.); +#25771=ORIENTED_EDGE('',*,*,#25760,.T.); +#25772=ORIENTED_EDGE('',*,*,#25746,.T.); +#25773=ORIENTED_EDGE('',*,*,#25757,.F.); +#25774=EDGE_LOOP('',(#25770,#25771,#25772,#25773)); +#25775=FACE_OUTER_BOUND('',#25774,.F.); +#25777=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.E1)); +#25778=DIRECTION('',(0.E0,0.E0,1.E0)); +#25779=DIRECTION('',(1.E0,0.E0,0.E0)); +#25780=AXIS2_PLACEMENT_3D('',#25777,#25778,#25779); +#25781=CYLINDRICAL_SURFACE('',#25780,1.085E0); +#25782=ORIENTED_EDGE('',*,*,#21773,.T.); +#25783=ORIENTED_EDGE('',*,*,#21720,.T.); +#25784=ORIENTED_EDGE('',*,*,#21705,.T.); +#25785=ORIENTED_EDGE('',*,*,#21717,.F.); +#25786=EDGE_LOOP('',(#25782,#25783,#25784,#25785)); +#25787=FACE_OUTER_BOUND('',#25786,.F.); +#25789=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-2.E1)); +#25790=DIRECTION('',(0.E0,0.E0,1.E0)); +#25791=DIRECTION('',(1.E0,0.E0,0.E0)); +#25792=AXIS2_PLACEMENT_3D('',#25789,#25790,#25791); +#25793=CYLINDRICAL_SURFACE('',#25792,1.085E0); +#25794=ORIENTED_EDGE('',*,*,#21783,.T.); +#25796=ORIENTED_EDGE('',*,*,#25795,.T.); +#25797=ORIENTED_EDGE('',*,*,#21675,.T.); +#25799=ORIENTED_EDGE('',*,*,#25798,.F.); +#25800=EDGE_LOOP('',(#25794,#25796,#25797,#25799)); +#25801=FACE_OUTER_BOUND('',#25800,.F.); +#25803=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-2.E1)); +#25804=DIRECTION('',(0.E0,0.E0,1.E0)); +#25805=DIRECTION('',(1.E0,0.E0,0.E0)); +#25806=AXIS2_PLACEMENT_3D('',#25803,#25804,#25805); +#25807=CYLINDRICAL_SURFACE('',#25806,1.085E0); +#25808=ORIENTED_EDGE('',*,*,#21785,.T.); +#25809=ORIENTED_EDGE('',*,*,#25798,.T.); +#25810=ORIENTED_EDGE('',*,*,#21677,.T.); +#25811=ORIENTED_EDGE('',*,*,#25795,.F.); +#25812=EDGE_LOOP('',(#25808,#25809,#25810,#25811)); +#25813=FACE_OUTER_BOUND('',#25812,.F.); +#25815=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.E1)); +#25816=DIRECTION('',(0.E0,0.E0,1.E0)); +#25817=DIRECTION('',(1.E0,0.E0,0.E0)); +#25818=AXIS2_PLACEMENT_3D('',#25815,#25816,#25817); +#25819=CYLINDRICAL_SURFACE('',#25818,1.085E0); +#25820=ORIENTED_EDGE('',*,*,#21795,.T.); +#25822=ORIENTED_EDGE('',*,*,#25821,.T.); +#25823=ORIENTED_EDGE('',*,*,#21615,.T.); +#25825=ORIENTED_EDGE('',*,*,#25824,.F.); +#25826=EDGE_LOOP('',(#25820,#25822,#25823,#25825)); +#25827=FACE_OUTER_BOUND('',#25826,.F.); +#25829=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.E1)); +#25830=DIRECTION('',(0.E0,0.E0,1.E0)); +#25831=DIRECTION('',(1.E0,0.E0,0.E0)); +#25832=AXIS2_PLACEMENT_3D('',#25829,#25830,#25831); +#25833=CYLINDRICAL_SURFACE('',#25832,1.085E0); +#25834=ORIENTED_EDGE('',*,*,#21797,.T.); +#25835=ORIENTED_EDGE('',*,*,#25824,.T.); +#25836=ORIENTED_EDGE('',*,*,#21617,.T.); +#25837=ORIENTED_EDGE('',*,*,#25821,.F.); +#25838=EDGE_LOOP('',(#25834,#25835,#25836,#25837)); +#25839=FACE_OUTER_BOUND('',#25838,.F.); +#25841=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-2.E1)); +#25842=DIRECTION('',(0.E0,0.E0,1.E0)); +#25843=DIRECTION('',(1.E0,0.E0,0.E0)); +#25844=AXIS2_PLACEMENT_3D('',#25841,#25842,#25843); +#25845=CYLINDRICAL_SURFACE('',#25844,1.085E0); +#25846=ORIENTED_EDGE('',*,*,#21807,.T.); +#25848=ORIENTED_EDGE('',*,*,#25847,.T.); +#25849=ORIENTED_EDGE('',*,*,#21645,.T.); +#25851=ORIENTED_EDGE('',*,*,#25850,.F.); +#25852=EDGE_LOOP('',(#25846,#25848,#25849,#25851)); +#25853=FACE_OUTER_BOUND('',#25852,.F.); +#25855=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-2.E1)); +#25856=DIRECTION('',(0.E0,0.E0,1.E0)); +#25857=DIRECTION('',(1.E0,0.E0,0.E0)); +#25858=AXIS2_PLACEMENT_3D('',#25855,#25856,#25857); +#25859=CYLINDRICAL_SURFACE('',#25858,1.085E0); +#25860=ORIENTED_EDGE('',*,*,#21809,.T.); +#25861=ORIENTED_EDGE('',*,*,#25850,.T.); +#25862=ORIENTED_EDGE('',*,*,#21647,.T.); +#25863=ORIENTED_EDGE('',*,*,#25847,.F.); +#25864=EDGE_LOOP('',(#25860,#25861,#25862,#25863)); +#25865=FACE_OUTER_BOUND('',#25864,.F.); +#25867=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.E1)); +#25868=DIRECTION('',(0.E0,0.E0,1.E0)); +#25869=DIRECTION('',(1.E0,0.E0,0.E0)); +#25870=AXIS2_PLACEMENT_3D('',#25867,#25868,#25869); +#25871=CYLINDRICAL_SURFACE('',#25870,3.25E0); +#25872=ORIENTED_EDGE('',*,*,#21837,.F.); +#25874=ORIENTED_EDGE('',*,*,#25873,.T.); +#25875=ORIENTED_EDGE('',*,*,#21694,.T.); +#25877=ORIENTED_EDGE('',*,*,#25876,.F.); +#25878=EDGE_LOOP('',(#25872,#25874,#25875,#25877)); +#25879=FACE_OUTER_BOUND('',#25878,.F.); +#25881=CARTESIAN_POINT('',(2.27E1,6.669322089535E0,-2.E1)); +#25882=DIRECTION('',(0.E0,0.E0,1.E0)); +#25883=DIRECTION('',(1.E0,0.E0,0.E0)); +#25884=AXIS2_PLACEMENT_3D('',#25881,#25882,#25883); +#25885=CYLINDRICAL_SURFACE('',#25884,1.E0); +#25886=ORIENTED_EDGE('',*,*,#21890,.T.); +#25888=ORIENTED_EDGE('',*,*,#25887,.T.); +#25889=ORIENTED_EDGE('',*,*,#21696,.T.); +#25890=ORIENTED_EDGE('',*,*,#25873,.F.); +#25891=EDGE_LOOP('',(#25886,#25888,#25889,#25890)); +#25892=FACE_OUTER_BOUND('',#25891,.F.); +#25894=CARTESIAN_POINT('',(2.17E1,-5.6E0,0.E0)); +#25895=DIRECTION('',(1.E0,0.E0,0.E0)); +#25896=DIRECTION('',(0.E0,1.E0,0.E0)); +#25897=AXIS2_PLACEMENT_3D('',#25894,#25895,#25896); +#25898=PLANE('',#25897); +#25899=ORIENTED_EDGE('',*,*,#21560,.T.); +#25900=ORIENTED_EDGE('',*,*,#21588,.T.); +#25901=ORIENTED_EDGE('',*,*,#21698,.T.); +#25902=ORIENTED_EDGE('',*,*,#25887,.F.); +#25903=ORIENTED_EDGE('',*,*,#21888,.T.); +#25905=ORIENTED_EDGE('',*,*,#25904,.T.); +#25906=ORIENTED_EDGE('',*,*,#21671,.F.); +#25908=ORIENTED_EDGE('',*,*,#25907,.F.); +#25909=EDGE_LOOP('',(#25899,#25900,#25901,#25902,#25903,#25905,#25906,#25908)); +#25910=FACE_OUTER_BOUND('',#25909,.F.); +#25912=CARTESIAN_POINT('',(2.27E1,-3.050000000001E0,-2.E1)); +#25913=DIRECTION('',(0.E0,0.E0,1.E0)); +#25914=DIRECTION('',(1.E0,0.E0,0.E0)); +#25915=AXIS2_PLACEMENT_3D('',#25912,#25913,#25914); +#25916=CYLINDRICAL_SURFACE('',#25915,1.E0); +#25917=ORIENTED_EDGE('',*,*,#25904,.F.); +#25918=ORIENTED_EDGE('',*,*,#21886,.T.); +#25920=ORIENTED_EDGE('',*,*,#25919,.T.); +#25921=ORIENTED_EDGE('',*,*,#21657,.T.); +#25922=EDGE_LOOP('',(#25917,#25918,#25920,#25921)); +#25923=FACE_OUTER_BOUND('',#25922,.F.); +#25925=CARTESIAN_POINT('',(2.52E1,-4.050000000001E0,-2.E1)); +#25926=DIRECTION('',(0.E0,1.E0,0.E0)); +#25927=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25928=AXIS2_PLACEMENT_3D('',#25925,#25926,#25927); +#25929=PLANE('',#25928); +#25930=ORIENTED_EDGE('',*,*,#21884,.F.); +#25932=ORIENTED_EDGE('',*,*,#25931,.T.); +#25933=ORIENTED_EDGE('',*,*,#21659,.T.); +#25934=ORIENTED_EDGE('',*,*,#25919,.F.); +#25935=EDGE_LOOP('',(#25930,#25932,#25933,#25934)); +#25936=FACE_OUTER_BOUND('',#25935,.F.); +#25938=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-2.E1)); +#25939=DIRECTION('',(0.E0,0.E0,1.E0)); +#25940=DIRECTION('',(1.E0,0.E0,0.E0)); +#25941=AXIS2_PLACEMENT_3D('',#25938,#25939,#25940); +#25942=CYLINDRICAL_SURFACE('',#25941,3.25E0); +#25943=ORIENTED_EDGE('',*,*,#21882,.F.); +#25945=ORIENTED_EDGE('',*,*,#25944,.T.); +#25946=ORIENTED_EDGE('',*,*,#21661,.T.); +#25947=ORIENTED_EDGE('',*,*,#25931,.F.); +#25948=EDGE_LOOP('',(#25943,#25945,#25946,#25947)); +#25949=FACE_OUTER_BOUND('',#25948,.F.); +#25951=CARTESIAN_POINT('',(2.74E1,-9.692174742782E0,-2.E1)); +#25952=DIRECTION('',(1.E0,0.E0,0.E0)); +#25953=DIRECTION('',(0.E0,1.E0,0.E0)); +#25954=AXIS2_PLACEMENT_3D('',#25951,#25952,#25953); +#25955=PLANE('',#25954); +#25956=ORIENTED_EDGE('',*,*,#21880,.F.); +#25958=ORIENTED_EDGE('',*,*,#25957,.T.); +#25959=ORIENTED_EDGE('',*,*,#21663,.T.); +#25960=ORIENTED_EDGE('',*,*,#25944,.F.); +#25961=EDGE_LOOP('',(#25956,#25958,#25959,#25960)); +#25962=FACE_OUTER_BOUND('',#25961,.F.); +#25964=CARTESIAN_POINT('',(2.52E1,-7.300000000001E0,-2.E1)); +#25965=DIRECTION('',(0.E0,0.E0,1.E0)); +#25966=DIRECTION('',(1.E0,0.E0,0.E0)); +#25967=AXIS2_PLACEMENT_3D('',#25964,#25965,#25966); +#25968=CYLINDRICAL_SURFACE('',#25967,3.25E0); +#25969=ORIENTED_EDGE('',*,*,#21878,.F.); +#25971=ORIENTED_EDGE('',*,*,#25970,.T.); +#25972=ORIENTED_EDGE('',*,*,#21665,.T.); +#25973=ORIENTED_EDGE('',*,*,#25957,.F.); +#25974=EDGE_LOOP('',(#25969,#25971,#25972,#25973)); +#25975=FACE_OUTER_BOUND('',#25974,.F.); +#25977=CARTESIAN_POINT('',(1.923329613077E1,-1.055E1,-2.E1)); +#25978=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25979=DIRECTION('',(1.E0,0.E0,0.E0)); +#25980=AXIS2_PLACEMENT_3D('',#25977,#25978,#25979); +#25981=PLANE('',#25980); +#25983=ORIENTED_EDGE('',*,*,#25982,.T.); +#25984=ORIENTED_EDGE('',*,*,#21667,.T.); +#25985=ORIENTED_EDGE('',*,*,#25970,.F.); +#25986=ORIENTED_EDGE('',*,*,#21876,.F.); +#25987=EDGE_LOOP('',(#25983,#25984,#25985,#25986)); +#25988=FACE_OUTER_BOUND('',#25987,.F.); +#25990=CARTESIAN_POINT('',(1.55E1,-5.6E0,0.E0)); +#25991=DIRECTION('',(0.E0,0.E0,1.E0)); +#25992=DIRECTION('',(1.E0,0.E0,0.E0)); +#25993=AXIS2_PLACEMENT_3D('',#25990,#25991,#25992); +#25994=CYLINDRICAL_SURFACE('',#25993,6.2E0); +#25995=ORIENTED_EDGE('',*,*,#21546,.T.); +#25996=ORIENTED_EDGE('',*,*,#25907,.T.); +#25997=ORIENTED_EDGE('',*,*,#21669,.F.); +#25998=ORIENTED_EDGE('',*,*,#25982,.F.); +#25999=ORIENTED_EDGE('',*,*,#21874,.F.); +#26001=ORIENTED_EDGE('',*,*,#26000,.F.); +#26002=EDGE_LOOP('',(#25995,#25996,#25997,#25998,#25999,#26001)); +#26003=FACE_OUTER_BOUND('',#26002,.F.); +#26005=CARTESIAN_POINT('',(-1.55E1,-1.18E1,0.E0)); +#26006=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26007=DIRECTION('',(1.E0,0.E0,0.E0)); +#26008=AXIS2_PLACEMENT_3D('',#26005,#26006,#26007); +#26009=PLANE('',#26008); +#26010=ORIENTED_EDGE('',*,*,#21532,.T.); +#26011=ORIENTED_EDGE('',*,*,#26000,.T.); +#26012=ORIENTED_EDGE('',*,*,#21872,.F.); +#26014=ORIENTED_EDGE('',*,*,#26013,.F.); +#26015=EDGE_LOOP('',(#26010,#26011,#26012,#26014)); +#26016=FACE_OUTER_BOUND('',#26015,.F.); +#26018=CARTESIAN_POINT('',(-1.55E1,-5.6E0,0.E0)); +#26019=DIRECTION('',(0.E0,0.E0,1.E0)); +#26020=DIRECTION('',(1.E0,0.E0,0.E0)); +#26021=AXIS2_PLACEMENT_3D('',#26018,#26019,#26020); +#26022=CYLINDRICAL_SURFACE('',#26021,6.2E0); +#26023=ORIENTED_EDGE('',*,*,#21518,.T.); +#26024=ORIENTED_EDGE('',*,*,#26013,.T.); +#26025=ORIENTED_EDGE('',*,*,#21870,.F.); +#26027=ORIENTED_EDGE('',*,*,#26026,.T.); +#26028=ORIENTED_EDGE('',*,*,#21641,.F.); +#26030=ORIENTED_EDGE('',*,*,#26029,.F.); +#26031=EDGE_LOOP('',(#26023,#26024,#26025,#26027,#26028,#26030)); +#26032=FACE_OUTER_BOUND('',#26031,.F.); +#26034=CARTESIAN_POINT('',(-2.52E1,-1.055E1,-2.E1)); +#26035=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26036=DIRECTION('',(1.E0,0.E0,0.E0)); +#26037=AXIS2_PLACEMENT_3D('',#26034,#26035,#26036); +#26038=PLANE('',#26037); +#26039=ORIENTED_EDGE('',*,*,#26026,.F.); +#26040=ORIENTED_EDGE('',*,*,#21868,.F.); +#26042=ORIENTED_EDGE('',*,*,#26041,.T.); +#26043=ORIENTED_EDGE('',*,*,#21627,.T.); +#26044=EDGE_LOOP('',(#26039,#26040,#26042,#26043)); +#26045=FACE_OUTER_BOUND('',#26044,.F.); +#26047=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-2.E1)); +#26048=DIRECTION('',(0.E0,0.E0,1.E0)); +#26049=DIRECTION('',(1.E0,0.E0,0.E0)); +#26050=AXIS2_PLACEMENT_3D('',#26047,#26048,#26049); +#26051=CYLINDRICAL_SURFACE('',#26050,3.25E0); +#26052=ORIENTED_EDGE('',*,*,#21866,.F.); +#26054=ORIENTED_EDGE('',*,*,#26053,.T.); +#26055=ORIENTED_EDGE('',*,*,#21629,.T.); +#26056=ORIENTED_EDGE('',*,*,#26041,.F.); +#26057=EDGE_LOOP('',(#26052,#26054,#26055,#26056)); +#26058=FACE_OUTER_BOUND('',#26057,.F.); +#26060=CARTESIAN_POINT('',(-2.74E1,-4.907825257220E0,-2.E1)); +#26061=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26062=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26063=AXIS2_PLACEMENT_3D('',#26060,#26061,#26062); +#26064=PLANE('',#26063); +#26065=ORIENTED_EDGE('',*,*,#21864,.F.); +#26067=ORIENTED_EDGE('',*,*,#26066,.T.); +#26068=ORIENTED_EDGE('',*,*,#21631,.T.); +#26069=ORIENTED_EDGE('',*,*,#26053,.F.); +#26070=EDGE_LOOP('',(#26065,#26067,#26068,#26069)); +#26071=FACE_OUTER_BOUND('',#26070,.F.); +#26073=CARTESIAN_POINT('',(-2.52E1,-7.300000000001E0,-2.E1)); +#26074=DIRECTION('',(0.E0,0.E0,1.E0)); +#26075=DIRECTION('',(1.E0,0.E0,0.E0)); +#26076=AXIS2_PLACEMENT_3D('',#26073,#26074,#26075); +#26077=CYLINDRICAL_SURFACE('',#26076,3.25E0); +#26078=ORIENTED_EDGE('',*,*,#21862,.F.); +#26080=ORIENTED_EDGE('',*,*,#26079,.T.); +#26081=ORIENTED_EDGE('',*,*,#21633,.T.); +#26082=ORIENTED_EDGE('',*,*,#26066,.F.); +#26083=EDGE_LOOP('',(#26078,#26080,#26081,#26082)); +#26084=FACE_OUTER_BOUND('',#26083,.F.); +#26086=CARTESIAN_POINT('',(-2.27E1,-4.050000000001E0,-2.E1)); +#26087=DIRECTION('',(0.E0,1.E0,0.E0)); +#26088=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26089=AXIS2_PLACEMENT_3D('',#26086,#26087,#26088); +#26090=PLANE('',#26089); +#26091=ORIENTED_EDGE('',*,*,#21860,.F.); +#26093=ORIENTED_EDGE('',*,*,#26092,.T.); +#26094=ORIENTED_EDGE('',*,*,#21635,.T.); +#26095=ORIENTED_EDGE('',*,*,#26079,.F.); +#26096=EDGE_LOOP('',(#26091,#26093,#26094,#26095)); +#26097=FACE_OUTER_BOUND('',#26096,.F.); +#26099=CARTESIAN_POINT('',(-2.27E1,-3.050000000001E0,-2.E1)); +#26100=DIRECTION('',(0.E0,0.E0,1.E0)); +#26101=DIRECTION('',(1.E0,0.E0,0.E0)); +#26102=AXIS2_PLACEMENT_3D('',#26099,#26100,#26101); +#26103=CYLINDRICAL_SURFACE('',#26102,1.E0); +#26105=ORIENTED_EDGE('',*,*,#26104,.T.); +#26106=ORIENTED_EDGE('',*,*,#21637,.T.); +#26107=ORIENTED_EDGE('',*,*,#26092,.F.); +#26108=ORIENTED_EDGE('',*,*,#21858,.T.); +#26109=EDGE_LOOP('',(#26105,#26106,#26107,#26108)); +#26110=FACE_OUTER_BOUND('',#26109,.F.); +#26112=CARTESIAN_POINT('',(-2.17E1,5.6E0,0.E0)); +#26113=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26114=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26115=AXIS2_PLACEMENT_3D('',#26112,#26113,#26114); +#26116=PLANE('',#26115); +#26117=ORIENTED_EDGE('',*,*,#21504,.T.); +#26118=ORIENTED_EDGE('',*,*,#26029,.T.); +#26119=ORIENTED_EDGE('',*,*,#21639,.F.); +#26120=ORIENTED_EDGE('',*,*,#26104,.F.); +#26121=ORIENTED_EDGE('',*,*,#21856,.T.); +#26123=ORIENTED_EDGE('',*,*,#26122,.T.); +#26124=ORIENTED_EDGE('',*,*,#21598,.T.); +#26126=ORIENTED_EDGE('',*,*,#26125,.F.); +#26127=EDGE_LOOP('',(#26117,#26118,#26119,#26120,#26121,#26123,#26124,#26126)); +#26128=FACE_OUTER_BOUND('',#26127,.F.); +#26130=CARTESIAN_POINT('',(-2.27E1,6.669322089535E0,-2.E1)); +#26131=DIRECTION('',(0.E0,0.E0,1.E0)); +#26132=DIRECTION('',(1.E0,0.E0,0.E0)); +#26133=AXIS2_PLACEMENT_3D('',#26130,#26131,#26132); +#26134=CYLINDRICAL_SURFACE('',#26133,1.E0); +#26135=ORIENTED_EDGE('',*,*,#21854,.T.); +#26137=ORIENTED_EDGE('',*,*,#26136,.T.); +#26138=ORIENTED_EDGE('',*,*,#21600,.T.); +#26139=ORIENTED_EDGE('',*,*,#26122,.F.); +#26140=EDGE_LOOP('',(#26135,#26137,#26138,#26139)); +#26141=FACE_OUTER_BOUND('',#26140,.F.); +#26143=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.E1)); +#26144=DIRECTION('',(0.E0,0.E0,1.E0)); +#26145=DIRECTION('',(1.E0,0.E0,0.E0)); +#26146=AXIS2_PLACEMENT_3D('',#26143,#26144,#26145); +#26147=CYLINDRICAL_SURFACE('',#26146,3.25E0); +#26148=ORIENTED_EDGE('',*,*,#21852,.F.); +#26150=ORIENTED_EDGE('',*,*,#26149,.T.); +#26151=ORIENTED_EDGE('',*,*,#21602,.T.); +#26152=ORIENTED_EDGE('',*,*,#26136,.F.); +#26153=EDGE_LOOP('',(#26148,#26150,#26151,#26152)); +#26154=FACE_OUTER_BOUND('',#26153,.F.); +#26156=CARTESIAN_POINT('',(-2.135479212009E1,1.305E1,-2.E1)); +#26157=DIRECTION('',(0.E0,1.E0,0.E0)); +#26158=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26159=AXIS2_PLACEMENT_3D('',#26156,#26157,#26158); +#26160=PLANE('',#26159); +#26161=ORIENTED_EDGE('',*,*,#21850,.F.); +#26163=ORIENTED_EDGE('',*,*,#26162,.T.); +#26164=ORIENTED_EDGE('',*,*,#21604,.T.); +#26165=ORIENTED_EDGE('',*,*,#26149,.F.); +#26166=EDGE_LOOP('',(#26161,#26163,#26164,#26165)); +#26167=FACE_OUTER_BOUND('',#26166,.F.); +#26169=CARTESIAN_POINT('',(-2.37E1,1.08E1,-2.E1)); +#26170=DIRECTION('',(0.E0,0.E0,1.E0)); +#26171=DIRECTION('',(1.E0,0.E0,0.E0)); +#26172=AXIS2_PLACEMENT_3D('',#26169,#26170,#26171); +#26173=CYLINDRICAL_SURFACE('',#26172,3.25E0); +#26174=ORIENTED_EDGE('',*,*,#21848,.F.); +#26176=ORIENTED_EDGE('',*,*,#26175,.T.); +#26177=ORIENTED_EDGE('',*,*,#21606,.T.); +#26178=ORIENTED_EDGE('',*,*,#26162,.F.); +#26179=EDGE_LOOP('',(#26174,#26176,#26177,#26178)); +#26180=FACE_OUTER_BOUND('',#26179,.F.); +#26182=CARTESIAN_POINT('',(-1.995E1,1.28E1,-2.E1)); +#26183=DIRECTION('',(0.E0,0.E0,1.E0)); +#26184=DIRECTION('',(1.E0,0.E0,0.E0)); +#26185=AXIS2_PLACEMENT_3D('',#26182,#26183,#26184); +#26186=CYLINDRICAL_SURFACE('',#26185,1.E0); +#26187=ORIENTED_EDGE('',*,*,#21846,.T.); +#26188=ORIENTED_EDGE('',*,*,#21297,.T.); +#26189=ORIENTED_EDGE('',*,*,#21608,.T.); +#26190=ORIENTED_EDGE('',*,*,#26175,.F.); +#26191=EDGE_LOOP('',(#26187,#26188,#26189,#26190)); +#26192=FACE_OUTER_BOUND('',#26191,.F.); +#26194=CARTESIAN_POINT('',(-1.55E1,5.6E0,0.E0)); +#26195=DIRECTION('',(0.E0,0.E0,1.E0)); +#26196=DIRECTION('',(1.E0,0.E0,0.E0)); +#26197=AXIS2_PLACEMENT_3D('',#26194,#26195,#26196); +#26198=CYLINDRICAL_SURFACE('',#26197,6.2E0); +#26199=ORIENTED_EDGE('',*,*,#21490,.T.); +#26200=ORIENTED_EDGE('',*,*,#26125,.T.); +#26201=ORIENTED_EDGE('',*,*,#21611,.F.); +#26202=ORIENTED_EDGE('',*,*,#21293,.F.); +#26203=EDGE_LOOP('',(#26199,#26200,#26201,#26202)); +#26204=FACE_OUTER_BOUND('',#26203,.F.); +#26206=CARTESIAN_POINT('',(2.604520787991E1,1.305E1,-2.E1)); +#26207=DIRECTION('',(0.E0,1.E0,0.E0)); +#26208=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26209=AXIS2_PLACEMENT_3D('',#26206,#26207,#26208); +#26210=PLANE('',#26209); +#26211=ORIENTED_EDGE('',*,*,#21839,.F.); +#26212=ORIENTED_EDGE('',*,*,#25876,.T.); +#26213=ORIENTED_EDGE('',*,*,#21692,.T.); +#26215=ORIENTED_EDGE('',*,*,#26214,.F.); +#26216=EDGE_LOOP('',(#26211,#26212,#26213,#26215)); +#26217=FACE_OUTER_BOUND('',#26216,.F.); +#26219=CARTESIAN_POINT('',(2.37E1,1.08E1,-2.E1)); +#26220=DIRECTION('',(0.E0,0.E0,1.E0)); +#26221=DIRECTION('',(1.E0,0.E0,0.E0)); +#26222=AXIS2_PLACEMENT_3D('',#26219,#26220,#26221); +#26223=CYLINDRICAL_SURFACE('',#26222,3.25E0); +#26224=ORIENTED_EDGE('',*,*,#21841,.F.); +#26225=ORIENTED_EDGE('',*,*,#26214,.T.); +#26226=ORIENTED_EDGE('',*,*,#21690,.T.); +#26228=ORIENTED_EDGE('',*,*,#26227,.F.); +#26229=EDGE_LOOP('',(#26224,#26225,#26226,#26228)); +#26230=FACE_OUTER_BOUND('',#26229,.F.); +#26232=CARTESIAN_POINT('',(1.995E1,1.28E1,-2.E1)); +#26233=DIRECTION('',(0.E0,0.E0,1.E0)); +#26234=DIRECTION('',(1.E0,0.E0,0.E0)); +#26235=AXIS2_PLACEMENT_3D('',#26232,#26233,#26234); +#26236=CYLINDRICAL_SURFACE('',#26235,1.E0); +#26237=ORIENTED_EDGE('',*,*,#21843,.T.); +#26238=ORIENTED_EDGE('',*,*,#26227,.T.); +#26239=ORIENTED_EDGE('',*,*,#21688,.T.); +#26240=ORIENTED_EDGE('',*,*,#21301,.F.); +#26241=EDGE_LOOP('',(#26237,#26238,#26239,#26240)); +#26242=FACE_OUTER_BOUND('',#26241,.F.); +#26244=CARTESIAN_POINT('',(-2.54E1,1.105E1,-2.E1)); +#26245=DIRECTION('',(0.E0,0.E0,1.E0)); +#26246=DIRECTION('',(1.E0,0.E0,0.E0)); +#26247=AXIS2_PLACEMENT_3D('',#26244,#26245,#26246); +#26248=CYLINDRICAL_SURFACE('',#26247,2.3E0); +#26250=ORIENTED_EDGE('',*,*,#26249,.T.); +#26252=ORIENTED_EDGE('',*,*,#26251,.F.); +#26253=ORIENTED_EDGE('',*,*,#21819,.F.); +#26255=ORIENTED_EDGE('',*,*,#26254,.T.); +#26256=EDGE_LOOP('',(#26250,#26252,#26253,#26255)); +#26257=FACE_OUTER_BOUND('',#26256,.F.); +#26259=CARTESIAN_POINT('',(-2.54E1,1.105E1,-1.9E1)); +#26260=DIRECTION('',(0.E0,0.E0,1.E0)); +#26261=DIRECTION('',(-9.973405261898E-1,-7.288260985641E-2,0.E0)); +#26262=AXIS2_PLACEMENT_3D('',#26259,#26260,#26261); +#26263=TOROIDAL_SURFACE('',#26262,2.8E0,5.E-1); +#26265=ORIENTED_EDGE('',*,*,#26264,.T.); +#26267=ORIENTED_EDGE('',*,*,#26266,.T.); +#26268=ORIENTED_EDGE('',*,*,#26249,.F.); +#26270=ORIENTED_EDGE('',*,*,#26269,.F.); +#26271=EDGE_LOOP('',(#26265,#26267,#26268,#26270)); +#26272=FACE_OUTER_BOUND('',#26271,.F.); +#26274=CARTESIAN_POINT('',(0.E0,0.E0,-1.85E1)); +#26275=DIRECTION('',(0.E0,0.E0,1.E0)); +#26276=DIRECTION('',(1.E0,0.E0,0.E0)); +#26277=AXIS2_PLACEMENT_3D('',#26274,#26275,#26276); +#26278=PLANE('',#26277); +#26280=ORIENTED_EDGE('',*,*,#26279,.F.); +#26282=ORIENTED_EDGE('',*,*,#26281,.F.); +#26284=ORIENTED_EDGE('',*,*,#26283,.F.); +#26286=ORIENTED_EDGE('',*,*,#26285,.F.); +#26288=ORIENTED_EDGE('',*,*,#26287,.F.); +#26290=ORIENTED_EDGE('',*,*,#26289,.F.); +#26292=ORIENTED_EDGE('',*,*,#26291,.F.); +#26294=ORIENTED_EDGE('',*,*,#26293,.F.); +#26295=EDGE_LOOP('',(#26280,#26282,#26284,#26286,#26288,#26290,#26292,#26294)); +#26296=FACE_OUTER_BOUND('',#26295,.F.); +#26297=ORIENTED_EDGE('',*,*,#26264,.F.); +#26299=ORIENTED_EDGE('',*,*,#26298,.F.); +#26301=ORIENTED_EDGE('',*,*,#26300,.F.); +#26303=ORIENTED_EDGE('',*,*,#26302,.F.); +#26305=ORIENTED_EDGE('',*,*,#26304,.F.); +#26307=ORIENTED_EDGE('',*,*,#26306,.F.); +#26309=ORIENTED_EDGE('',*,*,#26308,.F.); +#26311=ORIENTED_EDGE('',*,*,#26310,.F.); +#26312=EDGE_LOOP('',(#26297,#26299,#26301,#26303,#26305,#26307,#26309,#26311)); +#26313=FACE_BOUND('',#26312,.F.); +#26315=CARTESIAN_POINT('',(-2.82E1,-1.116810784349E1,-1.9E1)); +#26316=DIRECTION('',(0.E0,1.E0,0.E0)); +#26317=DIRECTION('',(0.E0,0.E0,1.E0)); +#26318=AXIS2_PLACEMENT_3D('',#26315,#26316,#26317); +#26319=CYLINDRICAL_SURFACE('',#26318,5.E-1); +#26320=ORIENTED_EDGE('',*,*,#26298,.T.); +#26321=ORIENTED_EDGE('',*,*,#26269,.T.); +#26323=ORIENTED_EDGE('',*,*,#26322,.F.); +#26325=ORIENTED_EDGE('',*,*,#26324,.F.); +#26326=EDGE_LOOP('',(#26320,#26321,#26323,#26325)); +#26327=FACE_OUTER_BOUND('',#26326,.F.); +#26329=CARTESIAN_POINT('',(-2.77E1,-1.105E1,-2.E1)); +#26330=DIRECTION('',(1.E0,0.E0,0.E0)); +#26331=DIRECTION('',(0.E0,1.E0,0.E0)); +#26332=AXIS2_PLACEMENT_3D('',#26329,#26330,#26331); +#26333=PLANE('',#26332); +#26334=ORIENTED_EDGE('',*,*,#26322,.T.); +#26335=ORIENTED_EDGE('',*,*,#26254,.F.); +#26336=ORIENTED_EDGE('',*,*,#21833,.F.); +#26338=ORIENTED_EDGE('',*,*,#26337,.T.); +#26339=EDGE_LOOP('',(#26334,#26335,#26336,#26338)); +#26340=FACE_OUTER_BOUND('',#26339,.F.); +#26342=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-2.E1)); +#26343=DIRECTION('',(0.E0,0.E0,1.E0)); +#26344=DIRECTION('',(1.E0,0.E0,0.E0)); +#26345=AXIS2_PLACEMENT_3D('',#26342,#26343,#26344); +#26346=CYLINDRICAL_SURFACE('',#26345,2.3E0); +#26348=ORIENTED_EDGE('',*,*,#26347,.T.); +#26349=ORIENTED_EDGE('',*,*,#26337,.F.); +#26350=ORIENTED_EDGE('',*,*,#21831,.F.); +#26352=ORIENTED_EDGE('',*,*,#26351,.T.); +#26353=EDGE_LOOP('',(#26348,#26349,#26350,#26352)); +#26354=FACE_OUTER_BOUND('',#26353,.F.); +#26356=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-1.9E1)); +#26357=DIRECTION('',(0.E0,0.E0,1.E0)); +#26358=DIRECTION('',(7.288260985641E-2,-9.973405261898E-1,0.E0)); +#26359=AXIS2_PLACEMENT_3D('',#26356,#26357,#26358); +#26360=TOROIDAL_SURFACE('',#26359,2.8E0,5.E-1); +#26361=ORIENTED_EDGE('',*,*,#26300,.T.); +#26362=ORIENTED_EDGE('',*,*,#26324,.T.); +#26363=ORIENTED_EDGE('',*,*,#26347,.F.); +#26365=ORIENTED_EDGE('',*,*,#26364,.F.); +#26366=EDGE_LOOP('',(#26361,#26362,#26363,#26365)); +#26367=FACE_OUTER_BOUND('',#26366,.F.); +#26369=CARTESIAN_POINT('',(2.551810784349E1,-1.385E1,-1.9E1)); +#26370=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26371=DIRECTION('',(0.E0,0.E0,1.E0)); +#26372=AXIS2_PLACEMENT_3D('',#26369,#26370,#26371); +#26373=CYLINDRICAL_SURFACE('',#26372,5.E-1); +#26374=ORIENTED_EDGE('',*,*,#26302,.T.); +#26375=ORIENTED_EDGE('',*,*,#26364,.T.); +#26377=ORIENTED_EDGE('',*,*,#26376,.F.); +#26379=ORIENTED_EDGE('',*,*,#26378,.F.); +#26380=EDGE_LOOP('',(#26374,#26375,#26377,#26379)); +#26381=FACE_OUTER_BOUND('',#26380,.F.); +#26383=CARTESIAN_POINT('',(2.54E1,-1.335E1,-2.E1)); +#26384=DIRECTION('',(0.E0,1.E0,0.E0)); +#26385=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26386=AXIS2_PLACEMENT_3D('',#26383,#26384,#26385); +#26387=PLANE('',#26386); +#26388=ORIENTED_EDGE('',*,*,#26376,.T.); +#26389=ORIENTED_EDGE('',*,*,#26351,.F.); +#26390=ORIENTED_EDGE('',*,*,#21829,.F.); +#26392=ORIENTED_EDGE('',*,*,#26391,.T.); +#26393=EDGE_LOOP('',(#26388,#26389,#26390,#26392)); +#26394=FACE_OUTER_BOUND('',#26393,.F.); +#26396=CARTESIAN_POINT('',(2.54E1,-1.105E1,-2.E1)); +#26397=DIRECTION('',(0.E0,0.E0,1.E0)); +#26398=DIRECTION('',(1.E0,0.E0,0.E0)); +#26399=AXIS2_PLACEMENT_3D('',#26396,#26397,#26398); +#26400=CYLINDRICAL_SURFACE('',#26399,2.3E0); +#26402=ORIENTED_EDGE('',*,*,#26401,.T.); +#26403=ORIENTED_EDGE('',*,*,#26391,.F.); +#26404=ORIENTED_EDGE('',*,*,#21827,.F.); +#26406=ORIENTED_EDGE('',*,*,#26405,.T.); +#26407=EDGE_LOOP('',(#26402,#26403,#26404,#26406)); +#26408=FACE_OUTER_BOUND('',#26407,.F.); +#26410=CARTESIAN_POINT('',(2.54E1,-1.105E1,-1.9E1)); +#26411=DIRECTION('',(0.E0,0.E0,1.E0)); +#26412=DIRECTION('',(9.973405261898E-1,7.288260985641E-2,0.E0)); +#26413=AXIS2_PLACEMENT_3D('',#26410,#26411,#26412); +#26414=TOROIDAL_SURFACE('',#26413,2.8E0,5.E-1); +#26415=ORIENTED_EDGE('',*,*,#26304,.T.); +#26416=ORIENTED_EDGE('',*,*,#26378,.T.); +#26417=ORIENTED_EDGE('',*,*,#26401,.F.); +#26419=ORIENTED_EDGE('',*,*,#26418,.F.); +#26420=EDGE_LOOP('',(#26415,#26416,#26417,#26419)); +#26421=FACE_OUTER_BOUND('',#26420,.F.); +#26423=CARTESIAN_POINT('',(2.82E1,1.116810784349E1,-1.9E1)); +#26424=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26425=DIRECTION('',(0.E0,0.E0,1.E0)); +#26426=AXIS2_PLACEMENT_3D('',#26423,#26424,#26425); +#26427=CYLINDRICAL_SURFACE('',#26426,5.E-1); +#26428=ORIENTED_EDGE('',*,*,#26306,.T.); +#26429=ORIENTED_EDGE('',*,*,#26418,.T.); +#26431=ORIENTED_EDGE('',*,*,#26430,.F.); +#26433=ORIENTED_EDGE('',*,*,#26432,.F.); +#26434=EDGE_LOOP('',(#26428,#26429,#26431,#26433)); +#26435=FACE_OUTER_BOUND('',#26434,.F.); +#26437=CARTESIAN_POINT('',(2.77E1,1.105E1,-2.E1)); +#26438=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26439=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26440=AXIS2_PLACEMENT_3D('',#26437,#26438,#26439); +#26441=PLANE('',#26440); +#26442=ORIENTED_EDGE('',*,*,#26430,.T.); +#26443=ORIENTED_EDGE('',*,*,#26405,.F.); +#26444=ORIENTED_EDGE('',*,*,#21825,.F.); +#26446=ORIENTED_EDGE('',*,*,#26445,.T.); +#26447=EDGE_LOOP('',(#26442,#26443,#26444,#26446)); +#26448=FACE_OUTER_BOUND('',#26447,.F.); +#26450=CARTESIAN_POINT('',(2.54E1,1.105E1,-2.E1)); +#26451=DIRECTION('',(0.E0,0.E0,1.E0)); +#26452=DIRECTION('',(1.E0,0.E0,0.E0)); +#26453=AXIS2_PLACEMENT_3D('',#26450,#26451,#26452); +#26454=CYLINDRICAL_SURFACE('',#26453,2.3E0); +#26456=ORIENTED_EDGE('',*,*,#26455,.T.); +#26457=ORIENTED_EDGE('',*,*,#26445,.F.); +#26458=ORIENTED_EDGE('',*,*,#21823,.F.); +#26460=ORIENTED_EDGE('',*,*,#26459,.T.); +#26461=EDGE_LOOP('',(#26456,#26457,#26458,#26460)); +#26462=FACE_OUTER_BOUND('',#26461,.F.); +#26464=CARTESIAN_POINT('',(2.54E1,1.105E1,-1.9E1)); +#26465=DIRECTION('',(0.E0,0.E0,1.E0)); +#26466=DIRECTION('',(-7.288260985641E-2,9.973405261898E-1,0.E0)); +#26467=AXIS2_PLACEMENT_3D('',#26464,#26465,#26466); +#26468=TOROIDAL_SURFACE('',#26467,2.8E0,5.E-1); +#26469=ORIENTED_EDGE('',*,*,#26308,.T.); +#26470=ORIENTED_EDGE('',*,*,#26432,.T.); +#26471=ORIENTED_EDGE('',*,*,#26455,.F.); +#26473=ORIENTED_EDGE('',*,*,#26472,.F.); +#26474=EDGE_LOOP('',(#26469,#26470,#26471,#26473)); +#26475=FACE_OUTER_BOUND('',#26474,.F.); +#26477=CARTESIAN_POINT('',(-2.551810784349E1,1.385E1,-1.9E1)); +#26478=DIRECTION('',(1.E0,0.E0,0.E0)); +#26479=DIRECTION('',(0.E0,0.E0,1.E0)); +#26480=AXIS2_PLACEMENT_3D('',#26477,#26478,#26479); +#26481=CYLINDRICAL_SURFACE('',#26480,5.E-1); +#26482=ORIENTED_EDGE('',*,*,#26310,.T.); +#26483=ORIENTED_EDGE('',*,*,#26472,.T.); +#26485=ORIENTED_EDGE('',*,*,#26484,.F.); +#26486=ORIENTED_EDGE('',*,*,#26266,.F.); +#26487=EDGE_LOOP('',(#26482,#26483,#26485,#26486)); +#26488=FACE_OUTER_BOUND('',#26487,.F.); +#26490=CARTESIAN_POINT('',(-2.54E1,1.335E1,-2.E1)); +#26491=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26492=DIRECTION('',(1.E0,0.E0,0.E0)); +#26493=AXIS2_PLACEMENT_3D('',#26490,#26491,#26492); +#26494=PLANE('',#26493); +#26495=ORIENTED_EDGE('',*,*,#26484,.T.); +#26496=ORIENTED_EDGE('',*,*,#26459,.F.); +#26497=ORIENTED_EDGE('',*,*,#21821,.F.); +#26498=ORIENTED_EDGE('',*,*,#26251,.T.); +#26499=EDGE_LOOP('',(#26495,#26496,#26497,#26498)); +#26500=FACE_OUTER_BOUND('',#26499,.F.); +#26502=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-1.9E1)); +#26503=DIRECTION('',(0.E0,0.E0,1.E0)); +#26504=DIRECTION('',(-9.997095212966E-1,2.410130761857E-2,0.E0)); +#26505=AXIS2_PLACEMENT_3D('',#26502,#26503,#26504); +#26506=TOROIDAL_SURFACE('',#26505,4.4E0,5.E-1); +#26507=ORIENTED_EDGE('',*,*,#26279,.T.); +#26509=ORIENTED_EDGE('',*,*,#26508,.T.); +#26511=ORIENTED_EDGE('',*,*,#26510,.F.); +#26513=ORIENTED_EDGE('',*,*,#26512,.F.); +#26514=EDGE_LOOP('',(#26507,#26509,#26511,#26513)); +#26515=FACE_OUTER_BOUND('',#26514,.F.); +#26517=CARTESIAN_POINT('',(-2.551810784349E1,-1.545E1,-1.9E1)); +#26518=DIRECTION('',(1.E0,0.E0,0.E0)); +#26519=DIRECTION('',(0.E0,0.E0,1.E0)); +#26520=AXIS2_PLACEMENT_3D('',#26517,#26518,#26519); +#26521=CYLINDRICAL_SURFACE('',#26520,5.E-1); +#26522=ORIENTED_EDGE('',*,*,#26293,.T.); +#26524=ORIENTED_EDGE('',*,*,#26523,.T.); +#26526=ORIENTED_EDGE('',*,*,#26525,.F.); +#26527=ORIENTED_EDGE('',*,*,#26508,.F.); +#26528=EDGE_LOOP('',(#26522,#26524,#26526,#26527)); +#26529=FACE_OUTER_BOUND('',#26528,.F.); +#26531=CARTESIAN_POINT('',(2.54E1,-1.105E1,-1.9E1)); +#26532=DIRECTION('',(0.E0,0.E0,1.E0)); +#26533=DIRECTION('',(-2.410130761857E-2,-9.997095212966E-1,0.E0)); +#26534=AXIS2_PLACEMENT_3D('',#26531,#26532,#26533); +#26535=TOROIDAL_SURFACE('',#26534,4.4E0,5.E-1); +#26536=ORIENTED_EDGE('',*,*,#26291,.T.); +#26538=ORIENTED_EDGE('',*,*,#26537,.T.); +#26540=ORIENTED_EDGE('',*,*,#26539,.F.); +#26541=ORIENTED_EDGE('',*,*,#26523,.F.); +#26542=EDGE_LOOP('',(#26536,#26538,#26540,#26541)); +#26543=FACE_OUTER_BOUND('',#26542,.F.); +#26545=CARTESIAN_POINT('',(2.98E1,-1.116810784349E1,-1.9E1)); +#26546=DIRECTION('',(0.E0,1.E0,0.E0)); +#26547=DIRECTION('',(0.E0,0.E0,1.E0)); +#26548=AXIS2_PLACEMENT_3D('',#26545,#26546,#26547); +#26549=CYLINDRICAL_SURFACE('',#26548,5.E-1); +#26550=ORIENTED_EDGE('',*,*,#26289,.T.); +#26552=ORIENTED_EDGE('',*,*,#26551,.T.); +#26554=ORIENTED_EDGE('',*,*,#26553,.F.); +#26555=ORIENTED_EDGE('',*,*,#26537,.F.); +#26556=EDGE_LOOP('',(#26550,#26552,#26554,#26555)); +#26557=FACE_OUTER_BOUND('',#26556,.F.); +#26559=CARTESIAN_POINT('',(2.54E1,1.105E1,-1.9E1)); +#26560=DIRECTION('',(0.E0,0.E0,1.E0)); +#26561=DIRECTION('',(9.997095212966E-1,-2.410130761857E-2,0.E0)); +#26562=AXIS2_PLACEMENT_3D('',#26559,#26560,#26561); +#26563=TOROIDAL_SURFACE('',#26562,4.4E0,5.E-1); +#26564=ORIENTED_EDGE('',*,*,#26287,.T.); +#26566=ORIENTED_EDGE('',*,*,#26565,.T.); +#26568=ORIENTED_EDGE('',*,*,#26567,.F.); +#26569=ORIENTED_EDGE('',*,*,#26551,.F.); +#26570=EDGE_LOOP('',(#26564,#26566,#26568,#26569)); +#26571=FACE_OUTER_BOUND('',#26570,.F.); +#26573=CARTESIAN_POINT('',(2.551810784349E1,1.545E1,-1.9E1)); +#26574=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26575=DIRECTION('',(0.E0,0.E0,1.E0)); +#26576=AXIS2_PLACEMENT_3D('',#26573,#26574,#26575); +#26577=CYLINDRICAL_SURFACE('',#26576,5.E-1); +#26578=ORIENTED_EDGE('',*,*,#26285,.T.); +#26580=ORIENTED_EDGE('',*,*,#26579,.T.); +#26582=ORIENTED_EDGE('',*,*,#26581,.F.); +#26583=ORIENTED_EDGE('',*,*,#26565,.F.); +#26584=EDGE_LOOP('',(#26578,#26580,#26582,#26583)); +#26585=FACE_OUTER_BOUND('',#26584,.F.); +#26587=CARTESIAN_POINT('',(-2.54E1,1.105E1,-1.9E1)); +#26588=DIRECTION('',(0.E0,0.E0,1.E0)); +#26589=DIRECTION('',(2.410130761857E-2,9.997095212966E-1,0.E0)); +#26590=AXIS2_PLACEMENT_3D('',#26587,#26588,#26589); +#26591=TOROIDAL_SURFACE('',#26590,4.4E0,5.E-1); +#26592=ORIENTED_EDGE('',*,*,#26283,.T.); +#26594=ORIENTED_EDGE('',*,*,#26593,.T.); +#26596=ORIENTED_EDGE('',*,*,#26595,.F.); +#26597=ORIENTED_EDGE('',*,*,#26579,.F.); +#26598=EDGE_LOOP('',(#26592,#26594,#26596,#26597)); +#26599=FACE_OUTER_BOUND('',#26598,.F.); +#26601=CARTESIAN_POINT('',(-2.98E1,1.116810784349E1,-1.9E1)); +#26602=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26603=DIRECTION('',(0.E0,0.E0,1.E0)); +#26604=AXIS2_PLACEMENT_3D('',#26601,#26602,#26603); +#26605=CYLINDRICAL_SURFACE('',#26604,5.E-1); +#26606=ORIENTED_EDGE('',*,*,#26281,.T.); +#26607=ORIENTED_EDGE('',*,*,#26512,.T.); +#26609=ORIENTED_EDGE('',*,*,#26608,.F.); +#26610=ORIENTED_EDGE('',*,*,#26593,.F.); +#26611=EDGE_LOOP('',(#26606,#26607,#26609,#26610)); +#26612=FACE_OUTER_BOUND('',#26611,.F.); +#26614=CARTESIAN_POINT('',(-3.03E1,1.105E1,-2.E1)); +#26615=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26616=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26617=AXIS2_PLACEMENT_3D('',#26614,#26615,#26616); +#26618=PLANE('',#26617); +#26619=ORIENTED_EDGE('',*,*,#26608,.T.); +#26621=ORIENTED_EDGE('',*,*,#26620,.F.); +#26622=ORIENTED_EDGE('',*,*,#21750,.F.); +#26624=ORIENTED_EDGE('',*,*,#26623,.T.); +#26625=EDGE_LOOP('',(#26619,#26621,#26622,#26624)); +#26626=FACE_OUTER_BOUND('',#26625,.F.); +#26628=CARTESIAN_POINT('',(-2.54E1,-1.105E1,-2.E1)); +#26629=DIRECTION('',(0.E0,0.E0,1.E0)); +#26630=DIRECTION('',(1.E0,0.E0,0.E0)); +#26631=AXIS2_PLACEMENT_3D('',#26628,#26629,#26630); +#26632=CYLINDRICAL_SURFACE('',#26631,4.9E0); +#26633=ORIENTED_EDGE('',*,*,#26510,.T.); +#26635=ORIENTED_EDGE('',*,*,#26634,.F.); +#26636=ORIENTED_EDGE('',*,*,#21752,.F.); +#26637=ORIENTED_EDGE('',*,*,#26620,.T.); +#26638=EDGE_LOOP('',(#26633,#26635,#26636,#26637)); +#26639=FACE_OUTER_BOUND('',#26638,.F.); +#26641=CARTESIAN_POINT('',(-2.54E1,-1.595E1,-2.E1)); +#26642=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26643=DIRECTION('',(1.E0,0.E0,0.E0)); +#26644=AXIS2_PLACEMENT_3D('',#26641,#26642,#26643); +#26645=PLANE('',#26644); +#26646=ORIENTED_EDGE('',*,*,#26525,.T.); +#26648=ORIENTED_EDGE('',*,*,#26647,.F.); +#26649=ORIENTED_EDGE('',*,*,#21754,.F.); +#26650=ORIENTED_EDGE('',*,*,#26634,.T.); +#26651=EDGE_LOOP('',(#26646,#26648,#26649,#26650)); +#26652=FACE_OUTER_BOUND('',#26651,.F.); +#26654=CARTESIAN_POINT('',(2.54E1,-1.105E1,-2.E1)); +#26655=DIRECTION('',(0.E0,0.E0,1.E0)); +#26656=DIRECTION('',(1.E0,0.E0,0.E0)); +#26657=AXIS2_PLACEMENT_3D('',#26654,#26655,#26656); +#26658=CYLINDRICAL_SURFACE('',#26657,4.9E0); +#26659=ORIENTED_EDGE('',*,*,#26539,.T.); +#26661=ORIENTED_EDGE('',*,*,#26660,.F.); +#26662=ORIENTED_EDGE('',*,*,#21756,.F.); +#26663=ORIENTED_EDGE('',*,*,#26647,.T.); +#26664=EDGE_LOOP('',(#26659,#26661,#26662,#26663)); +#26665=FACE_OUTER_BOUND('',#26664,.F.); +#26667=CARTESIAN_POINT('',(3.03E1,-1.105E1,-2.E1)); +#26668=DIRECTION('',(1.E0,0.E0,0.E0)); +#26669=DIRECTION('',(0.E0,1.E0,0.E0)); +#26670=AXIS2_PLACEMENT_3D('',#26667,#26668,#26669); +#26671=PLANE('',#26670); +#26672=ORIENTED_EDGE('',*,*,#26553,.T.); +#26674=ORIENTED_EDGE('',*,*,#26673,.F.); +#26675=ORIENTED_EDGE('',*,*,#21758,.F.); +#26676=ORIENTED_EDGE('',*,*,#26660,.T.); +#26677=EDGE_LOOP('',(#26672,#26674,#26675,#26676)); +#26678=FACE_OUTER_BOUND('',#26677,.F.); +#26680=CARTESIAN_POINT('',(2.54E1,1.105E1,-2.E1)); +#26681=DIRECTION('',(0.E0,0.E0,1.E0)); +#26682=DIRECTION('',(1.E0,0.E0,0.E0)); +#26683=AXIS2_PLACEMENT_3D('',#26680,#26681,#26682); +#26684=CYLINDRICAL_SURFACE('',#26683,4.9E0); +#26685=ORIENTED_EDGE('',*,*,#26567,.T.); +#26687=ORIENTED_EDGE('',*,*,#26686,.F.); +#26688=ORIENTED_EDGE('',*,*,#21760,.F.); +#26689=ORIENTED_EDGE('',*,*,#26673,.T.); +#26690=EDGE_LOOP('',(#26685,#26687,#26688,#26689)); +#26691=FACE_OUTER_BOUND('',#26690,.F.); +#26693=CARTESIAN_POINT('',(2.54E1,1.595E1,-2.E1)); +#26694=DIRECTION('',(0.E0,1.E0,0.E0)); +#26695=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26696=AXIS2_PLACEMENT_3D('',#26693,#26694,#26695); +#26697=PLANE('',#26696); +#26698=ORIENTED_EDGE('',*,*,#26581,.T.); +#26700=ORIENTED_EDGE('',*,*,#26699,.F.); +#26701=ORIENTED_EDGE('',*,*,#21762,.F.); +#26702=ORIENTED_EDGE('',*,*,#26686,.T.); +#26703=EDGE_LOOP('',(#26698,#26700,#26701,#26702)); +#26704=FACE_OUTER_BOUND('',#26703,.F.); +#26706=CARTESIAN_POINT('',(-2.54E1,1.105E1,-2.E1)); +#26707=DIRECTION('',(0.E0,0.E0,1.E0)); +#26708=DIRECTION('',(1.E0,0.E0,0.E0)); +#26709=AXIS2_PLACEMENT_3D('',#26706,#26707,#26708); +#26710=CYLINDRICAL_SURFACE('',#26709,4.9E0); +#26711=ORIENTED_EDGE('',*,*,#26595,.T.); +#26712=ORIENTED_EDGE('',*,*,#26623,.F.); +#26713=ORIENTED_EDGE('',*,*,#21748,.F.); +#26714=ORIENTED_EDGE('',*,*,#26699,.T.); +#26715=EDGE_LOOP('',(#26711,#26712,#26713,#26714)); +#26716=FACE_OUTER_BOUND('',#26715,.F.); +#26718=CLOSED_SHELL('',(#12869,#12884,#12898,#12912,#12926,#12940,#12954,#12968, +#12981,#12996,#13074,#13089,#13137,#13185,#13225,#13265,#14114,#14129,#14143, +#14157,#14171,#14185,#14199,#14213,#14227,#14241,#14255,#14269,#14283,#14297, +#14311,#14325,#14339,#14353,#14367,#14381,#14394,#14416,#14526,#14548,#14678, +#14822,#14844,#14932,#14996,#15118,#15132,#15145,#15158,#15171,#15184,#15197, +#15209,#15223,#15236,#15249,#15262,#15275,#15288,#15301,#15314,#15327,#15340, +#15353,#15366,#15379,#15392,#15405,#15418,#15431,#15444,#15457,#15470,#15483, +#15496,#15509,#15522,#15535,#15548,#15561,#15574,#15587,#15600,#15613,#15626, +#15639,#15652,#15665,#15678,#15691,#15704,#15717,#15729,#15743,#15756,#15769, +#15782,#15795,#15808,#15820,#15834,#15847,#15860,#15873,#15886,#15899,#15912, +#15925,#15938,#15951,#15964,#15977,#15990,#16003,#16016,#16029,#16042,#16055, +#16068,#16081,#16094,#16107,#16120,#16133,#16146,#16159,#16172,#16185,#16198, +#16211,#16224,#16237,#16250,#16263,#16276,#16289,#16302,#16315,#16328,#16340, +#16354,#16367,#16380,#16393,#16406,#16419,#16432,#16445,#16458,#16471,#16484, +#16497,#16510,#16523,#16536,#16549,#16562,#16575,#16588,#16600,#16614,#16627, +#16640,#16653,#16666,#16679,#16692,#16705,#16718,#16731,#16744,#16757,#16770, +#16783,#16796,#16809,#16822,#16835,#16848,#16861,#16874,#16887,#16900,#16913, +#16926,#16939,#16952,#16965,#16978,#16991,#17004,#17017,#17030,#17042,#17056, +#17069,#17082,#17095,#17108,#17121,#17134,#17147,#17160,#17173,#17186,#17199, +#17212,#17225,#17238,#17250,#17264,#17277,#17290,#17303,#17316,#17329,#17342, +#17355,#17368,#17381,#17394,#17407,#17420,#17433,#17446,#17458,#17472,#17485, +#17498,#17511,#17524,#17537,#17549,#17563,#17576,#17589,#17602,#17615,#17628, +#17641,#17654,#17667,#17680,#17693,#17706,#17719,#17732,#17745,#17758,#17771, +#17784,#17797,#17810,#17823,#17836,#17849,#17862,#17875,#17888,#17901,#17914, +#17927,#17940,#17953,#17966,#17979,#17992,#18005,#18018,#18031,#18044,#18057, +#18069,#18083,#18096,#18109,#18122,#18135,#18148,#18161,#18174,#18187,#18200, +#18213,#18226,#18239,#18252,#18265,#18278,#18291,#18304,#18317,#18330,#18343, +#18356,#18369,#18382,#18395,#18408,#18421,#18433,#18447,#18460,#18473,#18486, +#18499,#18512,#18525,#18538,#18551,#18564,#18577,#18590,#18603,#18616,#18629, +#18642,#18655,#18668,#18681,#18694,#18707,#18720,#18733,#18746,#18759,#18772, +#18785,#18798,#18811,#18824,#18837,#18850,#18863,#18876,#18889,#18902,#18915, +#18928,#18941,#18954,#18967,#18980,#18993,#19006,#19019,#19032,#19045,#19058, +#19071,#19084,#19097,#19110,#19123,#19136,#19149,#19162,#19174,#19189,#19202, +#19212,#19224,#19236,#19248,#19260,#19272,#19284,#19296,#19308,#19320,#19332, +#19344,#19356,#19368,#19380,#19392,#19404,#19416,#19428,#19440,#19452,#19464, +#19476,#19490,#19502,#19516,#19528,#19542,#19554,#19568,#19580,#19594,#19606, +#19620,#19632,#19646,#19658,#19672,#19684,#19698,#19710,#19724,#19736,#19750, +#19762,#19776,#19788,#19802,#19814,#19828,#19840,#19854,#19866,#19880,#19892, +#19906,#19918,#19932,#19944,#19958,#19970,#19984,#19996,#20010,#20022,#20036, +#20048,#20062,#20077,#20092,#20107,#20122,#20137,#20152,#20168,#20184,#20200, +#20216,#20232,#20246,#20262,#20278,#20292,#20308,#20322,#20334,#20346,#20360, +#20372,#20384,#20398,#20410,#20422,#20436,#20448,#20460,#20474,#20486,#20498, +#20512,#20524,#20536,#20550,#20563,#20624,#20637,#20650,#20662,#20674,#20687, +#20700,#20712,#20725,#20738,#20750,#20763,#20790,#20804,#20818,#20831,#20847, +#20863,#20875,#20890,#20915,#20928,#20941,#20953,#20966,#20979,#20991,#21003, +#21016,#21029,#21041,#21053,#21066,#21079,#21091,#21104,#21117,#21129,#21142, +#21155,#21167,#21180,#21193,#21205,#21218,#21230,#21243,#21255,#21270,#21309, +#21326,#21340,#21355,#21372,#21385,#21401,#21414,#21428,#21440,#21453,#21468, +#21481,#21495,#21509,#21523,#21537,#21551,#21565,#21578,#21592,#21621,#21651, +#21681,#21709,#21724,#21766,#21777,#21789,#21801,#21813,#21894,#21913,#21928, +#22013,#22052,#22099,#22174,#22249,#22296,#22311,#22325,#22339,#22353,#22367, +#22381,#22395,#22409,#22423,#22436,#22553,#22666,#22793,#22806,#22819,#22832, +#22845,#22858,#22871,#22884,#22897,#22910,#22923,#22936,#22949,#22962,#22975, +#22988,#23001,#23014,#23045,#23058,#23071,#23084,#23097,#23110,#23123,#23136, +#23149,#23162,#23175,#23188,#23201,#23214,#23227,#23239,#23252,#23265,#23278, +#23291,#23304,#23317,#23330,#23343,#23356,#23369,#23382,#23395,#23408,#23421, +#23433,#23446,#23459,#23472,#23485,#23498,#23511,#23524,#23537,#23550,#23563, +#23576,#23589,#23602,#23615,#23628,#23641,#23653,#23666,#23679,#23692,#23705, +#23718,#23731,#23744,#23757,#23770,#23783,#23796,#23809,#23822,#23835,#23848, +#23861,#23873,#23885,#23897,#23910,#23923,#23936,#23949,#23962,#23975,#23987, +#24002,#24015,#24025,#24037,#24049,#24061,#24073,#24085,#24097,#24109,#24121, +#24133,#24145,#24157,#24169,#24181,#24193,#24205,#24217,#24229,#24241,#24253, +#24265,#24277,#24289,#24303,#24315,#24329,#24341,#24355,#24367,#24381,#24393, +#24407,#24419,#24433,#24445,#24459,#24471,#24485,#24497,#24511,#24523,#24537, +#24549,#24563,#24575,#24589,#24601,#24615,#24627,#24641,#24653,#24667,#24679, +#24693,#24705,#24719,#24731,#24745,#24757,#24771,#24783,#24797,#24809,#24823, +#24835,#24849,#24861,#24876,#24902,#24917,#24931,#24949,#24964,#24982,#24994, +#25005,#25023,#25038,#25051,#25069,#25085,#25108,#25131,#25151,#25169,#25187, +#25201,#25214,#25229,#25242,#25255,#25268,#25283,#25299,#25312,#25325,#25337, +#25355,#25369,#25382,#25397,#25410,#25425,#25448,#25462,#25476,#25489,#25503, +#25516,#25530,#25542,#25555,#25569,#25582,#25597,#25609,#25623,#25635,#25650, +#25662,#25674,#25687,#25700,#25715,#25728,#25738,#25750,#25764,#25776,#25788, +#25802,#25814,#25828,#25840,#25854,#25866,#25880,#25893,#25911,#25924,#25937, +#25950,#25963,#25976,#25989,#26004,#26017,#26033,#26046,#26059,#26072,#26085, +#26098,#26111,#26129,#26142,#26155,#26168,#26181,#26193,#26205,#26218,#26231, +#26243,#26258,#26273,#26314,#26328,#26341,#26355,#26368,#26382,#26395,#26409, +#26422,#26436,#26449,#26463,#26476,#26489,#26501,#26516,#26530,#26544,#26558, +#26572,#26586,#26600,#26613,#26627,#26640,#26653,#26666,#26679,#26692,#26705, +#26717)); +#26719=MANIFOLD_SOLID_BREP('',#26718); +#26722=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), +#26721); +#26723=(CONVERSION_BASED_UNIT('DEGREE',#26722)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); +#26725=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(7.858919451447E-3),#26720, +'distance_accuracy_value', +'Maximum model space distance between geometric entities at asserted connectivities'); +#26728=APPLICATION_CONTEXT('automotive_design'); +#26729=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2001,#26728); +#26730=PRODUCT_DEFINITION_CONTEXT('part definition',#26728,'design'); +#26731=PRODUCT_CONTEXT('',#26728,'mechanical'); +#26732=PRODUCT('C-776228-1','C-776228-1','NOT SPECIFIED',(#26731)); +#26733=PRODUCT_DEFINITION_FORMATION('1','LAST_VERSION',#26732); +#26741=DERIVED_UNIT_ELEMENT(#26740,2.E0); +#26742=DERIVED_UNIT((#26741)); +#26743=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +1.378276066449E4),#26742); +#26748=DERIVED_UNIT_ELEMENT(#26747,3.E0); +#26749=DERIVED_UNIT((#26748)); +#26750=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +1.168389926018E4),#26749); +#26754=CARTESIAN_POINT('centre point',(6.331575149903E-3,2.997042849672E-1, +-1.884336295079E1)); +#26759=DERIVED_UNIT_ELEMENT(#26758,2.E0); +#26760=DERIVED_UNIT((#26759)); +#26761=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +1.378276066449E4),#26760); +#26766=DERIVED_UNIT_ELEMENT(#26765,3.E0); +#26767=DERIVED_UNIT((#26766)); +#26768=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +1.168389926018E4),#26767); +#26772=CARTESIAN_POINT('centre point',(6.331575149903E-3,2.997042849672E-1, +-1.884336295079E1)); +#26777=PRODUCT_RELATED_PRODUCT_CATEGORY('part','',(#26732)); +#1=COLOUR_RGB('',8.784E-1,9.49E-1,1.E0); +#10=CIRCLE('',#9,5.235898384862E0); +#19=CIRCLE('',#18,5.235898384862E0); +#28=CIRCLE('',#27,5.235898384862E0); +#37=CIRCLE('',#36,5.235898384862E0); +#46=CIRCLE('',#45,5.7E0); +#55=CIRCLE('',#54,5.7E0); +#64=CIRCLE('',#63,5.7E0); +#73=CIRCLE('',#72,5.7E0); +#142=CIRCLE('',#141,4.7E0); +#1587=CIRCLE('',#1586,6.5E-1); +#1592=CIRCLE('',#1591,6.5E-1); +#1597=CIRCLE('',#1596,6.5E-1); +#1602=CIRCLE('',#1601,6.5E-1); +#1607=CIRCLE('',#1606,6.5E-1); +#1612=CIRCLE('',#1611,6.5E-1); +#1617=CIRCLE('',#1616,6.5E-1); +#1622=CIRCLE('',#1621,6.5E-1); +#1627=CIRCLE('',#1626,6.5E-1); +#1632=CIRCLE('',#1631,6.5E-1); +#1637=CIRCLE('',#1636,6.5E-1); +#1642=CIRCLE('',#1641,6.5E-1); +#1647=CIRCLE('',#1646,6.5E-1); +#1652=CIRCLE('',#1651,6.5E-1); +#1657=CIRCLE('',#1656,6.5E-1); +#1662=CIRCLE('',#1661,6.5E-1); +#1667=CIRCLE('',#1666,6.5E-1); +#1672=CIRCLE('',#1671,6.5E-1); +#1677=CIRCLE('',#1676,6.5E-1); +#1682=CIRCLE('',#1681,6.5E-1); +#1687=CIRCLE('',#1686,6.5E-1); +#1692=CIRCLE('',#1691,6.5E-1); +#1697=CIRCLE('',#1696,6.5E-1); +#1702=CIRCLE('',#1701,6.5E-1); +#1707=CIRCLE('',#1706,6.5E-1); +#1712=CIRCLE('',#1711,6.5E-1); +#1717=CIRCLE('',#1716,6.5E-1); +#1722=CIRCLE('',#1721,6.5E-1); +#1727=CIRCLE('',#1726,6.5E-1); +#1732=CIRCLE('',#1731,6.5E-1); +#1737=CIRCLE('',#1736,6.5E-1); +#1742=CIRCLE('',#1741,6.5E-1); +#1747=CIRCLE('',#1746,6.5E-1); +#1752=CIRCLE('',#1751,6.5E-1); +#1757=CIRCLE('',#1756,6.5E-1); +#1762=CIRCLE('',#1761,6.5E-1); +#1767=CIRCLE('',#1766,6.5E-1); +#1772=CIRCLE('',#1771,6.5E-1); +#1777=CIRCLE('',#1776,6.5E-1); +#1782=CIRCLE('',#1781,6.5E-1); +#1787=CIRCLE('',#1786,6.5E-1); +#1792=CIRCLE('',#1791,6.5E-1); +#1797=CIRCLE('',#1796,6.5E-1); +#1802=CIRCLE('',#1801,6.5E-1); +#1807=CIRCLE('',#1806,6.5E-1); +#1812=CIRCLE('',#1811,6.5E-1); +#1901=CIRCLE('',#1900,4.7E0); +#1914=CIRCLE('',#1913,5.E-1); +#1931=CIRCLE('',#1930,5.E-1); +#1944=CIRCLE('',#1943,4.7E0); +#2049=CIRCLE('',#2048,4.7E0); +#2062=CIRCLE('',#2061,5.E-1); +#2079=CIRCLE('',#2078,5.E-1); +#2092=CIRCLE('',#2091,4.7E0); +#4777=CIRCLE('',#4776,6.5E-1); +#4782=CIRCLE('',#4781,6.5E-1); +#4787=CIRCLE('',#4786,6.5E-1); +#4792=CIRCLE('',#4791,6.5E-1); +#4797=CIRCLE('',#4796,6.5E-1); +#4802=CIRCLE('',#4801,6.5E-1); +#4807=CIRCLE('',#4806,6.5E-1); +#4812=CIRCLE('',#4811,6.5E-1); +#4817=CIRCLE('',#4816,6.5E-1); +#4822=CIRCLE('',#4821,6.5E-1); +#4827=CIRCLE('',#4826,6.5E-1); +#4832=CIRCLE('',#4831,6.5E-1); +#4837=CIRCLE('',#4836,6.5E-1); +#4842=CIRCLE('',#4841,6.5E-1); +#4847=CIRCLE('',#4846,6.5E-1); +#4852=CIRCLE('',#4851,6.5E-1); +#4857=CIRCLE('',#4856,6.5E-1); +#4862=CIRCLE('',#4861,6.5E-1); +#4867=CIRCLE('',#4866,6.5E-1); +#4872=CIRCLE('',#4871,6.5E-1); +#4877=CIRCLE('',#4876,6.5E-1); +#4882=CIRCLE('',#4881,6.5E-1); +#4887=CIRCLE('',#4886,6.5E-1); +#4892=CIRCLE('',#4891,6.5E-1); +#4897=CIRCLE('',#4896,6.5E-1); +#4902=CIRCLE('',#4901,6.5E-1); +#4907=CIRCLE('',#4906,6.5E-1); +#4912=CIRCLE('',#4911,6.5E-1); +#4917=CIRCLE('',#4916,6.5E-1); +#4922=CIRCLE('',#4921,6.5E-1); +#4927=CIRCLE('',#4926,6.5E-1); +#4932=CIRCLE('',#4931,6.5E-1); +#4937=CIRCLE('',#4936,6.5E-1); +#4942=CIRCLE('',#4941,6.5E-1); +#4947=CIRCLE('',#4946,6.5E-1); +#4952=CIRCLE('',#4951,6.5E-1); +#4957=CIRCLE('',#4956,6.5E-1); +#4962=CIRCLE('',#4961,6.5E-1); +#4967=CIRCLE('',#4966,6.5E-1); +#4972=CIRCLE('',#4971,6.5E-1); +#4977=CIRCLE('',#4976,6.5E-1); +#4982=CIRCLE('',#4981,6.5E-1); +#4987=CIRCLE('',#4986,6.5E-1); +#4992=CIRCLE('',#4991,6.5E-1); +#4997=CIRCLE('',#4996,6.5E-1); +#5002=CIRCLE('',#5001,6.5E-1); +#5187=CIRCLE('',#5186,5.E-1); +#5200=CIRCLE('',#5199,5.E-1); +#5213=CIRCLE('',#5212,5.E-1); +#5226=CIRCLE('',#5225,6.75E-1); +#5239=CIRCLE('',#5238,5.E-1); +#5252=CIRCLE('',#5251,5.E-1); +#5265=CIRCLE('',#5264,6.75E-1); +#5278=CIRCLE('',#5277,5.E-1); +#5291=CIRCLE('',#5290,5.E-1); +#5304=CIRCLE('',#5303,5.E-1); +#5317=CIRCLE('',#5316,5.E-1); +#5338=CIRCLE('',#5337,5.E-1); +#5351=CIRCLE('',#5350,5.E-1); +#5364=CIRCLE('',#5363,5.E-1); +#5377=CIRCLE('',#5376,5.E-1); +#5386=CIRCLE('',#5385,5.E-1); +#5395=CIRCLE('',#5394,5.E-1); +#5408=CIRCLE('',#5407,5.E-1); +#5421=CIRCLE('',#5420,5.E-1); +#5434=CIRCLE('',#5433,6.75E-1); +#5447=CIRCLE('',#5446,5.E-1); +#5460=CIRCLE('',#5459,5.E-1); +#5473=CIRCLE('',#5472,6.75E-1); +#5590=CIRCLE('',#5589,5.E-1); +#5607=CIRCLE('',#5606,5.E-1); +#5620=CIRCLE('',#5619,5.E-1); +#5629=CIRCLE('',#5628,4.7E0); +#5682=CIRCLE('',#5681,5.E-1); +#5695=CIRCLE('',#5694,5.E-1); +#5708=CIRCLE('',#5707,5.E-1); +#5721=CIRCLE('',#5720,5.E-1); +#5778=CIRCLE('',#5777,4.7E0); +#5795=CIRCLE('',#5794,4.7E0); +#5808=CIRCLE('',#5807,5.E-1); +#5821=CIRCLE('',#5820,5.E-1); +#5834=CIRCLE('',#5833,5.E-1); +#5847=CIRCLE('',#5846,5.E-1); +#5860=CIRCLE('',#5859,5.E-1); +#5869=CIRCLE('',#5868,5.E-1); +#5874=CIRCLE('',#5873,5.E-1); +#5880=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5875,#5876,#5877,#5878,#5879), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#5958=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5953,#5954,#5955,#5956,#5957), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#5964=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5959,#5960,#5961,#5962,#5963), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#6010=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6005,#6006,#6007,#6008,#6009), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#6015=CIRCLE('',#6014,5.E-1); +#6020=CIRCLE('',#6019,5.E-1); +#6025=CIRCLE('',#6024,5.E-1); +#6030=CIRCLE('',#6029,5.E-1); +#6035=CIRCLE('',#6034,5.E-1); +#6040=CIRCLE('',#6039,5.E-1); +#6045=CIRCLE('',#6044,5.E-1); +#6050=CIRCLE('',#6049,6.2E0); +#6055=CIRCLE('',#6054,6.2E0); +#6064=CIRCLE('',#6063,1.085E0); +#6069=CIRCLE('',#6068,1.085E0); +#6074=CIRCLE('',#6073,1.085E0); +#6079=CIRCLE('',#6078,1.085E0); +#6084=CIRCLE('',#6083,1.085E0); +#6089=CIRCLE('',#6088,1.085E0); +#6094=CIRCLE('',#6093,1.085E0); +#6099=CIRCLE('',#6098,1.085E0); +#6108=CIRCLE('',#6107,1.E0); +#6113=CIRCLE('',#6112,3.25E0); +#6122=CIRCLE('',#6121,3.25E0); +#6127=CIRCLE('',#6126,1.E0); +#6140=CIRCLE('',#6139,3.25E0); +#6149=CIRCLE('',#6148,3.25E0); +#6158=CIRCLE('',#6157,1.E0); +#6163=CIRCLE('',#6162,1.E0); +#6172=CIRCLE('',#6171,3.25E0); +#6181=CIRCLE('',#6180,3.25E0); +#6194=CIRCLE('',#6193,1.E0); +#6199=CIRCLE('',#6198,3.25E0); +#6208=CIRCLE('',#6207,3.25E0); +#6213=CIRCLE('',#6212,1.E0); +#6226=CIRCLE('',#6225,5.E0); +#6235=CIRCLE('',#6234,5.E0); +#6244=CIRCLE('',#6243,5.E0); +#6253=CIRCLE('',#6252,5.E0); +#6262=CIRCLE('',#6261,1.085E0); +#6267=CIRCLE('',#6266,1.085E0); +#6272=CIRCLE('',#6271,1.085E0); +#6277=CIRCLE('',#6276,1.085E0); +#6282=CIRCLE('',#6281,1.085E0); +#6287=CIRCLE('',#6286,1.085E0); +#6292=CIRCLE('',#6291,1.085E0); +#6297=CIRCLE('',#6296,1.085E0); +#6302=CIRCLE('',#6301,3.25E0); +#6311=CIRCLE('',#6310,3.25E0); +#6316=CIRCLE('',#6315,1.E0); +#6321=CIRCLE('',#6320,1.E0); +#6326=CIRCLE('',#6325,3.25E0); +#6335=CIRCLE('',#6334,3.25E0); +#6340=CIRCLE('',#6339,1.E0); +#6345=CIRCLE('',#6344,1.E0); +#6354=CIRCLE('',#6353,3.25E0); +#6363=CIRCLE('',#6362,3.25E0); +#6376=CIRCLE('',#6375,3.25E0); +#6385=CIRCLE('',#6384,3.25E0); +#6394=CIRCLE('',#6393,1.E0); +#6399=CIRCLE('',#6398,1.E0); +#6404=CIRCLE('',#6403,2.3E0); +#6413=CIRCLE('',#6412,2.3E0); +#6422=CIRCLE('',#6421,2.3E0); +#6431=CIRCLE('',#6430,2.3E0); +#6440=CIRCLE('',#6439,4.9E0); +#6449=CIRCLE('',#6448,4.9E0); +#6458=CIRCLE('',#6457,4.9E0); +#6467=CIRCLE('',#6466,4.9E0); +#6476=CIRCLE('',#6475,5.E0); +#6493=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6485,#6486,#6487,#6488,#6489,#6490,#6491, +#6492),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#6522=CIRCLE('',#6521,1.E0); +#6527=CIRCLE('',#6526,3.E0); +#6532=CIRCLE('',#6531,3.E0); +#6537=CIRCLE('',#6536,1.E0); +#6546=CIRCLE('',#6545,4.5E0); +#6555=CIRCLE('',#6554,4.5E0); +#6564=CIRCLE('',#6563,1.E0); +#6569=CIRCLE('',#6568,3.E0); +#6574=CIRCLE('',#6573,3.E0); +#6579=CIRCLE('',#6578,1.E0); +#6596=CIRCLE('',#6595,1.E0); +#6601=CIRCLE('',#6600,3.E0); +#6606=CIRCLE('',#6605,3.E0); +#6611=CIRCLE('',#6610,1.E0); +#6624=CIRCLE('',#6623,4.5E0); +#6633=CIRCLE('',#6632,4.5E0); +#6646=CIRCLE('',#6645,1.E0); +#6651=CIRCLE('',#6650,3.E0); +#6656=CIRCLE('',#6655,3.E0); +#6661=CIRCLE('',#6660,1.E0); +#6686=CIRCLE('',#6685,1.5E0); +#6695=CIRCLE('',#6694,1.5E0); +#6704=CIRCLE('',#6703,1.5E0); +#6713=CIRCLE('',#6712,1.5E0); +#6738=CIRCLE('',#6737,1.5E0); +#6747=CIRCLE('',#6746,1.5E0); +#6756=CIRCLE('',#6755,1.5E0); +#6765=CIRCLE('',#6764,1.5E0); +#6778=CIRCLE('',#6777,1.5E0); +#6787=CIRCLE('',#6786,1.5E0); +#6796=CIRCLE('',#6795,1.5E0); +#6805=CIRCLE('',#6804,1.5E0); +#6814=CIRCLE('',#6813,1.5E0); +#6823=CIRCLE('',#6822,1.5E0); +#6832=CIRCLE('',#6831,1.5E0); +#6841=CIRCLE('',#6840,1.5E0); +#6858=CIRCLE('',#6857,1.5E0); +#6867=CIRCLE('',#6866,1.5E0); +#6876=CIRCLE('',#6875,1.5E0); +#6885=CIRCLE('',#6884,1.5E0); +#6894=CIRCLE('',#6893,1.5E0); +#6903=CIRCLE('',#6902,1.5E0); +#6912=CIRCLE('',#6911,1.5E0); +#6929=CIRCLE('',#6928,1.5E0); +#6938=CIRCLE('',#6937,1.5E0); +#6947=CIRCLE('',#6946,1.5E0); +#6956=CIRCLE('',#6955,1.5E0); +#6965=CIRCLE('',#6964,1.5E0); +#6974=CIRCLE('',#6973,1.5E0); +#6983=CIRCLE('',#6982,1.5E0); +#7000=CIRCLE('',#6999,1.5E0); +#7009=CIRCLE('',#7008,1.5E0); +#7018=CIRCLE('',#7017,1.5E0); +#7027=CIRCLE('',#7026,1.5E0); +#7036=CIRCLE('',#7035,1.5E0); +#7045=CIRCLE('',#7044,1.5E0); +#7054=CIRCLE('',#7053,1.5E0); +#7063=CIRCLE('',#7062,1.5E0); +#7084=CIRCLE('',#7083,1.5E0); +#7093=CIRCLE('',#7092,1.5E0); +#7102=CIRCLE('',#7101,1.5E0); +#7111=CIRCLE('',#7110,1.5E0); +#7120=CIRCLE('',#7119,1.5E0); +#7129=CIRCLE('',#7128,1.5E0); +#7138=CIRCLE('',#7137,1.5E0); +#7147=CIRCLE('',#7146,1.5E0); +#7204=CIRCLE('',#7203,1.5E0); +#7213=CIRCLE('',#7212,1.5E0); +#7222=CIRCLE('',#7221,1.5E0); +#7231=CIRCLE('',#7230,1.5E0); +#7240=CIRCLE('',#7239,1.5E0); +#7257=CIRCLE('',#7256,1.5E0); +#7266=CIRCLE('',#7265,1.5E0); +#7275=CIRCLE('',#7274,1.5E0); +#7284=CIRCLE('',#7283,1.5E0); +#7293=CIRCLE('',#7292,1.5E0); +#7302=CIRCLE('',#7301,1.5E0); +#7311=CIRCLE('',#7310,1.5E0); +#7320=CIRCLE('',#7319,1.5E0); +#7337=CIRCLE('',#7336,1.5E0); +#7346=CIRCLE('',#7345,1.5E0); +#7355=CIRCLE('',#7354,1.5E0); +#7364=CIRCLE('',#7363,1.5E0); +#7373=CIRCLE('',#7372,1.5E0); +#7382=CIRCLE('',#7381,1.5E0); +#7391=CIRCLE('',#7390,1.5E0); +#7408=CIRCLE('',#7407,1.5E0); +#7417=CIRCLE('',#7416,1.5E0); +#7426=CIRCLE('',#7425,1.5E0); +#7435=CIRCLE('',#7434,1.5E0); +#7444=CIRCLE('',#7443,1.5E0); +#7453=CIRCLE('',#7452,1.5E0); +#7462=CIRCLE('',#7461,1.5E0); +#7479=CIRCLE('',#7478,1.5E0); +#7488=CIRCLE('',#7487,1.5E0); +#7497=CIRCLE('',#7496,1.5E0); +#7510=CIRCLE('',#7509,1.5E0); +#7519=CIRCLE('',#7518,1.5E0); +#7528=CIRCLE('',#7527,1.5E0); +#7537=CIRCLE('',#7536,1.5E0); +#7554=CIRCLE('',#7553,1.5E0); +#7563=CIRCLE('',#7562,1.5E0); +#7572=CIRCLE('',#7571,1.5E0); +#7581=CIRCLE('',#7580,1.5E0); +#7590=CIRCLE('',#7589,1.5E0); +#7599=CIRCLE('',#7598,1.5E0); +#7608=CIRCLE('',#7607,1.5E0); +#7617=CIRCLE('',#7616,1.5E0); +#7634=CIRCLE('',#7633,1.5E0); +#7643=CIRCLE('',#7642,1.5E0); +#7652=CIRCLE('',#7651,1.5E0); +#7661=CIRCLE('',#7660,1.5E0); +#7666=CIRCLE('',#7665,6.5E-1); +#7671=CIRCLE('',#7670,6.5E-1); +#7676=CIRCLE('',#7675,6.5E-1); +#7681=CIRCLE('',#7680,6.5E-1); +#7686=CIRCLE('',#7685,6.5E-1); +#7691=CIRCLE('',#7690,6.5E-1); +#7696=CIRCLE('',#7695,6.5E-1); +#7701=CIRCLE('',#7700,6.5E-1); +#7706=CIRCLE('',#7705,6.5E-1); +#7711=CIRCLE('',#7710,6.5E-1); +#7716=CIRCLE('',#7715,6.5E-1); +#7721=CIRCLE('',#7720,6.5E-1); +#7726=CIRCLE('',#7725,6.5E-1); +#7731=CIRCLE('',#7730,6.5E-1); +#7736=CIRCLE('',#7735,6.5E-1); +#7741=CIRCLE('',#7740,6.5E-1); +#7746=CIRCLE('',#7745,6.5E-1); +#7751=CIRCLE('',#7750,6.5E-1); +#7756=CIRCLE('',#7755,6.5E-1); +#7761=CIRCLE('',#7760,6.5E-1); +#7766=CIRCLE('',#7765,6.5E-1); +#7771=CIRCLE('',#7770,6.5E-1); +#7776=CIRCLE('',#7775,6.5E-1); +#7781=CIRCLE('',#7780,6.5E-1); +#7786=CIRCLE('',#7785,6.5E-1); +#7791=CIRCLE('',#7790,6.5E-1); +#7796=CIRCLE('',#7795,6.5E-1); +#7801=CIRCLE('',#7800,6.5E-1); +#7806=CIRCLE('',#7805,6.5E-1); +#7811=CIRCLE('',#7810,6.5E-1); +#7816=CIRCLE('',#7815,6.5E-1); +#7821=CIRCLE('',#7820,6.5E-1); +#7826=CIRCLE('',#7825,6.5E-1); +#7831=CIRCLE('',#7830,6.5E-1); +#7836=CIRCLE('',#7835,6.5E-1); +#7841=CIRCLE('',#7840,6.5E-1); +#7846=CIRCLE('',#7845,6.5E-1); +#7851=CIRCLE('',#7850,6.5E-1); +#7856=CIRCLE('',#7855,6.5E-1); +#7861=CIRCLE('',#7860,6.5E-1); +#7866=CIRCLE('',#7865,6.5E-1); +#7871=CIRCLE('',#7870,6.5E-1); +#7876=CIRCLE('',#7875,6.5E-1); +#7881=CIRCLE('',#7880,6.5E-1); +#7886=CIRCLE('',#7885,6.5E-1); +#7891=CIRCLE('',#7890,6.5E-1); +#8280=CIRCLE('',#8279,6.5E-1); +#8285=CIRCLE('',#8284,6.5E-1); +#8290=CIRCLE('',#8289,6.5E-1); +#8295=CIRCLE('',#8294,6.5E-1); +#8300=CIRCLE('',#8299,6.5E-1); +#8305=CIRCLE('',#8304,6.5E-1); +#8310=CIRCLE('',#8309,6.5E-1); +#8315=CIRCLE('',#8314,6.5E-1); +#8320=CIRCLE('',#8319,6.5E-1); +#8325=CIRCLE('',#8324,6.5E-1); +#8330=CIRCLE('',#8329,6.5E-1); +#8335=CIRCLE('',#8334,6.5E-1); +#8340=CIRCLE('',#8339,6.5E-1); +#8345=CIRCLE('',#8344,6.5E-1); +#8350=CIRCLE('',#8349,6.5E-1); +#8355=CIRCLE('',#8354,6.5E-1); +#8360=CIRCLE('',#8359,6.5E-1); +#8365=CIRCLE('',#8364,6.5E-1); +#8370=CIRCLE('',#8369,6.5E-1); +#8375=CIRCLE('',#8374,6.5E-1); +#8380=CIRCLE('',#8379,6.5E-1); +#8385=CIRCLE('',#8384,6.5E-1); +#8390=CIRCLE('',#8389,6.5E-1); +#8395=CIRCLE('',#8394,6.5E-1); +#8400=CIRCLE('',#8399,6.5E-1); +#8405=CIRCLE('',#8404,6.5E-1); +#8410=CIRCLE('',#8409,6.5E-1); +#8415=CIRCLE('',#8414,6.5E-1); +#8420=CIRCLE('',#8419,6.5E-1); +#8425=CIRCLE('',#8424,6.5E-1); +#8430=CIRCLE('',#8429,6.5E-1); +#8435=CIRCLE('',#8434,6.5E-1); +#8440=CIRCLE('',#8439,6.5E-1); +#8445=CIRCLE('',#8444,6.5E-1); +#8450=CIRCLE('',#8449,6.5E-1); +#8455=CIRCLE('',#8454,6.5E-1); +#8460=CIRCLE('',#8459,6.5E-1); +#8465=CIRCLE('',#8464,6.5E-1); +#8470=CIRCLE('',#8469,6.5E-1); +#8475=CIRCLE('',#8474,6.5E-1); +#8480=CIRCLE('',#8479,6.5E-1); +#8485=CIRCLE('',#8484,6.5E-1); +#8490=CIRCLE('',#8489,6.5E-1); +#8495=CIRCLE('',#8494,6.5E-1); +#8500=CIRCLE('',#8499,6.5E-1); +#8505=CIRCLE('',#8504,6.5E-1); +#8686=CIRCLE('',#8685,5.E-1); +#8691=CIRCLE('',#8690,5.E-1); +#8716=CIRCLE('',#8715,3.E0); +#8725=CIRCLE('',#8724,3.E0); +#8734=CIRCLE('',#8733,5.E-1); +#8739=CIRCLE('',#8738,2.5E0); +#8744=CIRCLE('',#8743,2.5E0); +#8749=CIRCLE('',#8748,2.5E0); +#8754=CIRCLE('',#8753,2.5E0); +#8759=CIRCLE('',#8758,2.5E0); +#8764=CIRCLE('',#8763,2.5E0); +#8769=CIRCLE('',#8768,2.5E0); +#8774=CIRCLE('',#8773,2.5E0); +#8779=CIRCLE('',#8778,7.5E-1); +#8784=CIRCLE('',#8783,7.5E-1); +#8789=CIRCLE('',#8788,7.5E-1); +#8794=CIRCLE('',#8793,7.5E-1); +#8799=CIRCLE('',#8798,5.E-1); +#8804=CIRCLE('',#8803,5.E-1); +#8809=CIRCLE('',#8808,3.E0); +#8822=CIRCLE('',#8821,3.E0); +#8827=CIRCLE('',#8826,3.E0); +#8836=CIRCLE('',#8835,3.E0); +#8845=CIRCLE('',#8844,1.E0); +#8858=CIRCLE('',#8857,5.E0); +#8867=CIRCLE('',#8866,1.E0); +#8872=CIRCLE('',#8871,1.E0); +#8881=CIRCLE('',#8880,5.E0); +#8894=CIRCLE('',#8893,1.E0); +#8899=CIRCLE('',#8898,1.E0); +#8916=CIRCLE('',#8915,1.E0); +#8921=CIRCLE('',#8920,1.E0); +#8938=CIRCLE('',#8937,1.E0); +#8943=CIRCLE('',#8942,3.E0); +#8952=CIRCLE('',#8951,3.E0); +#8961=CIRCLE('',#8960,5.E-1); +#8966=CIRCLE('',#8965,5.E-1); +#8971=CIRCLE('',#8970,3.E0); +#8984=CIRCLE('',#8983,3.E0); +#9005=CIRCLE('',#9004,5.E0); +#9014=CIRCLE('',#9013,5.E-1); +#9023=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9015,#9016,#9017,#9018,#9019,#9020,#9021, +#9022),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#9028=CIRCLE('',#9027,5.E-1); +#9041=CIRCLE('',#9040,3.E0); +#9054=CIRCLE('',#9053,3.E0); +#9059=CIRCLE('',#9058,5.E-1); +#9064=CIRCLE('',#9063,5.E-1); +#9069=CIRCLE('',#9068,3.E0); +#9078=CIRCLE('',#9077,3.E0); +#9103=CIRCLE('',#9102,5.E-1); +#9108=CIRCLE('',#9107,5.E-1); +#9113=CIRCLE('',#9112,5.E-1); +#9118=CIRCLE('',#9117,5.E0); +#9135=CIRCLE('',#9134,5.E-1); +#9140=CIRCLE('',#9139,5.E-1); +#9145=CIRCLE('',#9144,5.E0); +#9154=CIRCLE('',#9153,5.E-1); +#9167=CIRCLE('',#9166,3.E0); +#9180=CIRCLE('',#9179,3.E0); +#9185=CIRCLE('',#9184,5.E-1); +#9202=CIRCLE('',#9201,5.E-1); +#9207=CIRCLE('',#9206,5.E-1); +#9232=CIRCLE('',#9231,7.5E-1); +#9237=CIRCLE('',#9236,7.5E-1); +#9242=CIRCLE('',#9241,7.5E-1); +#9247=CIRCLE('',#9246,7.5E-1); +#9336=CIRCLE('',#9335,6.2E0); +#9341=CIRCLE('',#9340,6.2E0); +#9350=CIRCLE('',#9349,6.2E0); +#9371=CIRCLE('',#9370,6.2E0); +#9376=CIRCLE('',#9375,6.2E0); +#9385=CIRCLE('',#9384,6.2E0); +#9458=CIRCLE('',#9457,6.2E0); +#9463=CIRCLE('',#9462,6.2E0); +#9484=CIRCLE('',#9483,2.3E0); +#9493=CIRCLE('',#9492,5.E-1); +#9498=CIRCLE('',#9497,2.8E0); +#9507=CIRCLE('',#9506,2.8E0); +#9516=CIRCLE('',#9515,2.8E0); +#9525=CIRCLE('',#9524,2.8E0); +#9534=CIRCLE('',#9533,4.4E0); +#9543=CIRCLE('',#9542,4.4E0); +#9552=CIRCLE('',#9551,4.4E0); +#9561=CIRCLE('',#9560,4.4E0); +#9570=CIRCLE('',#9569,5.E-1); +#9583=CIRCLE('',#9582,2.3E0); +#9592=CIRCLE('',#9591,5.E-1); +#9597=CIRCLE('',#9596,5.E-1); +#9610=CIRCLE('',#9609,2.3E0); +#9619=CIRCLE('',#9618,5.E-1); +#9624=CIRCLE('',#9623,5.E-1); +#9637=CIRCLE('',#9636,2.3E0); +#9646=CIRCLE('',#9645,5.E-1); +#9651=CIRCLE('',#9650,5.E-1); +#9664=CIRCLE('',#9663,5.E-1); +#9669=CIRCLE('',#9668,5.E-1); +#9674=CIRCLE('',#9673,5.E-1); +#9679=CIRCLE('',#9678,5.E-1); +#9684=CIRCLE('',#9683,5.E-1); +#9689=CIRCLE('',#9688,5.E-1); +#9694=CIRCLE('',#9693,5.E-1); +#9699=CIRCLE('',#9698,5.E-1); +#9712=CIRCLE('',#9711,4.9E0); +#9729=CIRCLE('',#9728,4.9E0); +#9746=CIRCLE('',#9745,4.9E0); +#9763=CIRCLE('',#9762,4.9E0); +#12817=EDGE_CURVE('',#12576,#12577,#41,.T.); +#12819=EDGE_CURVE('',#12579,#12576,#46,.T.); +#12821=EDGE_CURVE('',#12583,#12579,#50,.T.); +#12823=EDGE_CURVE('',#12587,#12583,#55,.T.); +#12825=EDGE_CURVE('',#12591,#12587,#59,.T.); +#12827=EDGE_CURVE('',#12595,#12591,#64,.T.); +#12829=EDGE_CURVE('',#12599,#12595,#68,.T.); +#12831=EDGE_CURVE('',#12603,#12599,#73,.T.); +#12833=EDGE_CURVE('',#12607,#12603,#77,.T.); +#12835=EDGE_CURVE('',#12607,#12611,#81,.T.); +#12837=EDGE_CURVE('',#12611,#12625,#85,.T.); +#12839=EDGE_CURVE('',#12621,#12625,#89,.T.); +#12841=EDGE_CURVE('',#12620,#12621,#93,.T.); +#12843=EDGE_CURVE('',#12620,#12623,#97,.T.); +#12845=EDGE_CURVE('',#12623,#12613,#101,.T.); +#12847=EDGE_CURVE('',#12577,#12613,#105,.T.); +#12851=EDGE_CURVE('',#10616,#10617,#5,.T.); +#12853=EDGE_CURVE('',#10629,#10616,#10,.T.); +#12855=EDGE_CURVE('',#10627,#10629,#14,.T.); +#12857=EDGE_CURVE('',#10625,#10627,#19,.T.); +#12859=EDGE_CURVE('',#10623,#10625,#23,.T.); +#12861=EDGE_CURVE('',#10621,#10623,#28,.T.); +#12863=EDGE_CURVE('',#10619,#10621,#32,.T.); +#12865=EDGE_CURVE('',#10617,#10619,#37,.T.); +#12869=ADVANCED_FACE('',(#12850,#12868),#12816,.T.); +#12876=EDGE_CURVE('',#10645,#10617,#109,.T.); +#12878=EDGE_CURVE('',#10645,#10632,#202,.T.); +#12880=EDGE_CURVE('',#10632,#10616,#133,.T.); +#12884=ADVANCED_FACE('',(#12883),#12874,.F.); +#12891=EDGE_CURVE('',#10643,#10619,#113,.T.); +#12893=EDGE_CURVE('',#10643,#10645,#5795,.T.); +#12898=ADVANCED_FACE('',(#12897),#12889,.F.); +#12905=EDGE_CURVE('',#10641,#10621,#117,.T.); +#12907=EDGE_CURVE('',#10641,#10643,#5637,.T.); +#12912=ADVANCED_FACE('',(#12911),#12903,.F.); +#12919=EDGE_CURVE('',#10639,#10623,#121,.T.); +#12921=EDGE_CURVE('',#10639,#10641,#5629,.T.); +#12926=ADVANCED_FACE('',(#12925),#12917,.F.); +#12933=EDGE_CURVE('',#10637,#10625,#125,.T.); +#12935=EDGE_CURVE('',#10637,#10639,#5529,.T.); +#12940=ADVANCED_FACE('',(#12939),#12931,.F.); +#12947=EDGE_CURVE('',#10635,#10627,#129,.T.); +#12949=EDGE_CURVE('',#10635,#10637,#5778,.T.); +#12954=ADVANCED_FACE('',(#12953),#12945,.F.); +#12961=EDGE_CURVE('',#10633,#10629,#137,.T.); +#12963=EDGE_CURVE('',#10633,#10635,#5729,.T.); +#12968=ADVANCED_FACE('',(#12967),#12959,.F.); +#12976=EDGE_CURVE('',#10632,#10633,#142,.T.); +#12981=ADVANCED_FACE('',(#12980),#12973,.F.); +#12988=EDGE_CURVE('',#10632,#9790,#146,.T.); +#12990=EDGE_CURVE('',#9790,#9791,#2092,.T.); +#12992=EDGE_CURVE('',#10633,#9791,#5733,.T.); +#12996=ADVANCED_FACE('',(#12995),#12986,.F.); +#13002=EDGE_CURVE('',#10026,#10027,#150,.T.); +#13004=EDGE_CURVE('',#10026,#10097,#1848,.T.); +#13006=EDGE_CURVE('',#10097,#10665,#154,.T.); +#13008=EDGE_CURVE('',#10663,#10665,#158,.T.); +#13010=EDGE_CURVE('',#10099,#10663,#162,.T.); +#13012=EDGE_CURVE('',#10099,#10062,#1836,.T.); +#13014=EDGE_CURVE('',#10062,#10063,#166,.T.); +#13016=EDGE_CURVE('',#10059,#10063,#170,.T.); +#13018=EDGE_CURVE('',#10058,#10059,#174,.T.); +#13020=EDGE_CURVE('',#10058,#10070,#1824,.T.); +#13022=EDGE_CURVE('',#10070,#10071,#178,.T.); +#13024=EDGE_CURVE('',#10067,#10071,#182,.T.); +#13026=EDGE_CURVE('',#10066,#10067,#186,.T.); +#13028=EDGE_CURVE('',#10066,#10078,#2108,.T.); +#13030=EDGE_CURVE('',#10078,#10079,#190,.T.); +#13032=EDGE_CURVE('',#10075,#10079,#194,.T.); +#13034=EDGE_CURVE('',#10074,#10075,#198,.T.); +#13036=EDGE_CURVE('',#10074,#9790,#2096,.T.); +#13040=EDGE_CURVE('',#10645,#9803,#206,.T.); +#13042=EDGE_CURVE('',#9803,#10054,#1896,.T.); +#13044=EDGE_CURVE('',#10054,#10055,#210,.T.); +#13046=EDGE_CURVE('',#10051,#10055,#214,.T.); +#13048=EDGE_CURVE('',#10050,#10051,#218,.T.); +#13050=EDGE_CURVE('',#10050,#10046,#1884,.T.); +#13052=EDGE_CURVE('',#10046,#10047,#222,.T.); +#13054=EDGE_CURVE('',#10043,#10047,#226,.T.); +#13056=EDGE_CURVE('',#10042,#10043,#230,.T.); +#13058=EDGE_CURVE('',#10042,#10038,#1872,.T.); +#13060=EDGE_CURVE('',#10038,#10039,#234,.T.); +#13062=EDGE_CURVE('',#10035,#10039,#238,.T.); +#13064=EDGE_CURVE('',#10034,#10035,#242,.T.); +#13066=EDGE_CURVE('',#10034,#10030,#1860,.T.); +#13068=EDGE_CURVE('',#10030,#10031,#246,.T.); +#13070=EDGE_CURVE('',#10027,#10031,#250,.T.); +#13074=ADVANCED_FACE('',(#13073),#13001,.F.); +#13080=EDGE_CURVE('',#10026,#10819,#1852,.T.); +#13083=EDGE_CURVE('',#10027,#10839,#5329,.T.); +#13085=EDGE_CURVE('',#10839,#10819,#254,.T.); +#13089=ADVANCED_FACE('',(#13088),#13079,.T.); +#13095=EDGE_CURVE('',#12002,#12003,#258,.T.); +#13097=EDGE_CURVE('',#12003,#12005,#262,.T.); +#13099=EDGE_CURVE('',#12005,#12007,#266,.T.); +#13101=EDGE_CURVE('',#12007,#12009,#270,.T.); +#13103=EDGE_CURVE('',#12009,#12011,#274,.T.); +#13105=EDGE_CURVE('',#12011,#12013,#278,.T.); +#13107=EDGE_CURVE('',#12013,#12015,#282,.T.); +#13109=EDGE_CURVE('',#12015,#12017,#286,.T.); +#13111=EDGE_CURVE('',#12017,#12019,#290,.T.); +#13113=EDGE_CURVE('',#12019,#12021,#294,.T.); +#13115=EDGE_CURVE('',#12021,#12023,#298,.T.); +#13117=EDGE_CURVE('',#12023,#12025,#302,.T.); +#13119=EDGE_CURVE('',#12025,#12027,#306,.T.); +#13121=EDGE_CURVE('',#12027,#12029,#310,.T.); +#13123=EDGE_CURVE('',#12029,#12031,#314,.T.); +#13125=EDGE_CURVE('',#12031,#12033,#318,.T.); +#13127=EDGE_CURVE('',#12033,#12035,#322,.T.); +#13129=EDGE_CURVE('',#12035,#12037,#326,.T.); +#13131=EDGE_CURVE('',#12037,#12039,#330,.T.); +#13133=EDGE_CURVE('',#12039,#12002,#334,.T.); +#13137=ADVANCED_FACE('',(#13136),#13094,.T.); +#13143=EDGE_CURVE('',#12136,#12137,#338,.T.); +#13145=EDGE_CURVE('',#12137,#12139,#342,.T.); +#13147=EDGE_CURVE('',#12139,#12141,#346,.T.); +#13149=EDGE_CURVE('',#12141,#12143,#350,.T.); +#13151=EDGE_CURVE('',#12143,#12145,#354,.T.); +#13153=EDGE_CURVE('',#12145,#12147,#358,.T.); +#13155=EDGE_CURVE('',#12147,#12149,#362,.T.); +#13157=EDGE_CURVE('',#12149,#12151,#366,.T.); +#13159=EDGE_CURVE('',#12151,#12153,#370,.T.); +#13161=EDGE_CURVE('',#12153,#12155,#374,.T.); +#13163=EDGE_CURVE('',#12155,#12157,#378,.T.); +#13165=EDGE_CURVE('',#12157,#12159,#382,.T.); +#13167=EDGE_CURVE('',#12159,#12161,#386,.T.); +#13169=EDGE_CURVE('',#12161,#12163,#390,.T.); +#13171=EDGE_CURVE('',#12163,#12165,#394,.T.); +#13173=EDGE_CURVE('',#12165,#12167,#398,.T.); +#13175=EDGE_CURVE('',#12167,#12169,#402,.T.); +#13177=EDGE_CURVE('',#12169,#12171,#406,.T.); +#13179=EDGE_CURVE('',#12171,#12173,#410,.T.); +#13181=EDGE_CURVE('',#12173,#12136,#414,.T.); +#13185=ADVANCED_FACE('',(#13184),#13142,.T.); +#13191=EDGE_CURVE('',#12244,#12245,#418,.T.); +#13193=EDGE_CURVE('',#12245,#12247,#422,.T.); +#13195=EDGE_CURVE('',#12247,#12249,#426,.T.); +#13197=EDGE_CURVE('',#12249,#12251,#430,.T.); +#13199=EDGE_CURVE('',#12251,#12253,#434,.T.); +#13201=EDGE_CURVE('',#12253,#12255,#438,.T.); +#13203=EDGE_CURVE('',#12255,#12257,#442,.T.); +#13205=EDGE_CURVE('',#12257,#12259,#446,.T.); +#13207=EDGE_CURVE('',#12259,#12261,#450,.T.); +#13209=EDGE_CURVE('',#12261,#12263,#454,.T.); +#13211=EDGE_CURVE('',#12263,#12265,#458,.T.); +#13213=EDGE_CURVE('',#12265,#12267,#462,.T.); +#13215=EDGE_CURVE('',#12267,#12269,#466,.T.); +#13217=EDGE_CURVE('',#12269,#12271,#470,.T.); +#13219=EDGE_CURVE('',#12271,#12273,#474,.T.); +#13221=EDGE_CURVE('',#12273,#12244,#478,.T.); +#13225=ADVANCED_FACE('',(#13224),#13190,.T.); +#13231=EDGE_CURVE('',#12276,#12277,#482,.T.); +#13233=EDGE_CURVE('',#12277,#12279,#486,.T.); +#13235=EDGE_CURVE('',#12279,#12281,#490,.T.); +#13237=EDGE_CURVE('',#12281,#12283,#494,.T.); +#13239=EDGE_CURVE('',#12283,#12285,#498,.T.); +#13241=EDGE_CURVE('',#12285,#12287,#502,.T.); +#13243=EDGE_CURVE('',#12287,#12289,#506,.T.); +#13245=EDGE_CURVE('',#12289,#12291,#510,.T.); +#13247=EDGE_CURVE('',#12291,#12293,#514,.T.); +#13249=EDGE_CURVE('',#12293,#12295,#518,.T.); +#13251=EDGE_CURVE('',#12295,#12297,#522,.T.); +#13253=EDGE_CURVE('',#12297,#12299,#526,.T.); +#13255=EDGE_CURVE('',#12299,#12301,#530,.T.); +#13257=EDGE_CURVE('',#12301,#12303,#534,.T.); +#13259=EDGE_CURVE('',#12303,#12305,#538,.T.); +#13261=EDGE_CURVE('',#12305,#12276,#542,.T.); +#13265=ADVANCED_FACE('',(#13264),#13230,.T.); +#13271=EDGE_CURVE('',#10066,#10831,#1816,.T.); +#13273=EDGE_CURVE('',#10833,#10831,#5869,.T.); +#13275=EDGE_CURVE('',#10070,#10833,#1820,.T.); +#13278=EDGE_CURVE('',#10058,#10765,#1828,.T.); +#13280=EDGE_CURVE('',#10769,#10765,#5447,.T.); +#13282=EDGE_CURVE('',#10062,#10769,#1832,.T.); +#13285=EDGE_CURVE('',#10099,#10757,#1840,.T.); +#13287=EDGE_CURVE('',#10761,#10757,#5434,.T.); +#13289=EDGE_CURVE('',#10097,#10761,#1844,.T.); +#13293=EDGE_CURVE('',#10821,#10819,#5860,.T.); +#13295=EDGE_CURVE('',#10030,#10821,#1856,.T.); +#13298=EDGE_CURVE('',#10034,#10749,#1864,.T.); +#13300=EDGE_CURVE('',#10753,#10749,#5421,.T.); +#13302=EDGE_CURVE('',#10038,#10753,#1868,.T.); +#13305=EDGE_CURVE('',#10042,#10741,#1876,.T.); +#13307=EDGE_CURVE('',#10745,#10741,#5408,.T.); +#13309=EDGE_CURVE('',#10046,#10745,#1880,.T.); +#13312=EDGE_CURVE('',#10050,#10733,#1888,.T.); +#13314=EDGE_CURVE('',#10737,#10733,#5395,.T.); +#13316=EDGE_CURVE('',#10054,#10737,#1892,.T.); +#13319=EDGE_CURVE('',#9802,#9803,#1901,.T.); +#13321=EDGE_CURVE('',#10683,#9802,#1905,.T.); +#13323=EDGE_CURVE('',#10683,#10687,#1909,.T.); +#13325=EDGE_CURVE('',#10687,#10689,#1914,.T.); +#13327=EDGE_CURVE('',#10685,#10689,#1918,.T.); +#13329=EDGE_CURVE('',#10701,#10685,#1922,.T.); +#13331=EDGE_CURVE('',#10701,#10719,#1926,.T.); +#13333=EDGE_CURVE('',#10719,#10721,#1931,.T.); +#13335=EDGE_CURVE('',#10699,#10721,#1935,.T.); +#13337=EDGE_CURVE('',#9799,#10699,#1939,.T.); +#13339=EDGE_CURVE('',#9798,#9799,#1944,.T.); +#13341=EDGE_CURVE('',#10010,#9798,#1948,.T.); +#13343=EDGE_CURVE('',#10010,#10827,#1952,.T.); +#13345=EDGE_CURVE('',#10829,#10827,#5620,.T.); +#13347=EDGE_CURVE('',#10014,#10829,#1956,.T.); +#13349=EDGE_CURVE('',#10002,#10014,#1960,.T.); +#13351=EDGE_CURVE('',#10002,#10823,#1964,.T.); +#13353=EDGE_CURVE('',#10825,#10823,#5607,.T.); +#13355=EDGE_CURVE('',#10006,#10825,#1968,.T.); +#13357=EDGE_CURVE('',#10095,#10006,#1972,.T.); +#13359=EDGE_CURVE('',#10095,#10785,#1976,.T.); +#13361=EDGE_CURVE('',#10783,#10785,#5473,.T.); +#13363=EDGE_CURVE('',#10093,#10783,#1980,.T.); +#13365=EDGE_CURVE('',#9998,#10093,#1984,.T.); +#13367=EDGE_CURVE('',#9998,#10793,#1988,.T.); +#13369=EDGE_CURVE('',#10791,#10793,#5590,.T.); +#13371=EDGE_CURVE('',#9994,#10791,#1992,.T.); +#13373=EDGE_CURVE('',#10022,#9994,#1996,.T.); +#13375=EDGE_CURVE('',#10022,#10837,#2000,.T.); +#13377=EDGE_CURVE('',#10835,#10837,#5847,.T.); +#13379=EDGE_CURVE('',#10018,#10835,#2004,.T.); +#13381=EDGE_CURVE('',#10091,#10018,#2008,.T.); +#13383=EDGE_CURVE('',#10091,#10801,#2012,.T.); +#13385=EDGE_CURVE('',#10799,#10801,#5834,.T.); +#13387=EDGE_CURVE('',#10089,#10799,#2016,.T.); +#13389=EDGE_CURVE('',#10088,#10089,#2020,.T.); +#13391=EDGE_CURVE('',#10088,#10809,#2024,.T.); +#13393=EDGE_CURVE('',#10807,#10809,#5821,.T.); +#13395=EDGE_CURVE('',#10085,#10807,#2028,.T.); +#13397=EDGE_CURVE('',#10084,#10085,#2032,.T.); +#13399=EDGE_CURVE('',#10084,#10817,#2036,.T.); +#13401=EDGE_CURVE('',#10815,#10817,#5808,.T.); +#13403=EDGE_CURVE('',#10081,#10815,#2040,.T.); +#13405=EDGE_CURVE('',#9795,#10081,#2044,.T.); +#13407=EDGE_CURVE('',#9794,#9795,#2049,.T.); +#13409=EDGE_CURVE('',#10697,#9794,#2053,.T.); +#13411=EDGE_CURVE('',#10697,#10727,#2057,.T.); +#13413=EDGE_CURVE('',#10727,#10729,#2062,.T.); +#13415=EDGE_CURVE('',#10695,#10729,#2066,.T.); +#13417=EDGE_CURVE('',#10694,#10695,#2070,.T.); +#13419=EDGE_CURVE('',#10694,#10723,#2074,.T.); +#13421=EDGE_CURVE('',#10723,#10725,#2079,.T.); +#13423=EDGE_CURVE('',#10691,#10725,#2083,.T.); +#13425=EDGE_CURVE('',#9791,#10691,#2087,.T.); +#13429=EDGE_CURVE('',#10074,#10773,#2100,.T.); +#13431=EDGE_CURVE('',#10777,#10773,#5460,.T.); +#13433=EDGE_CURVE('',#10078,#10777,#2104,.T.); +#13438=EDGE_CURVE('',#11908,#11909,#546,.T.); +#13440=EDGE_CURVE('',#11909,#11911,#550,.T.); +#13442=EDGE_CURVE('',#11911,#11913,#554,.T.); +#13444=EDGE_CURVE('',#11913,#11915,#558,.T.); +#13446=EDGE_CURVE('',#11915,#11917,#562,.T.); +#13448=EDGE_CURVE('',#11917,#11919,#566,.T.); +#13450=EDGE_CURVE('',#11919,#11908,#570,.T.); +#13454=EDGE_CURVE('',#11922,#11923,#574,.T.); +#13456=EDGE_CURVE('',#11923,#11925,#578,.T.); +#13458=EDGE_CURVE('',#11925,#11927,#582,.T.); +#13460=EDGE_CURVE('',#11927,#11929,#586,.T.); +#13462=EDGE_CURVE('',#11929,#11931,#590,.T.); +#13464=EDGE_CURVE('',#11931,#11933,#594,.T.); +#13466=EDGE_CURVE('',#11933,#11935,#598,.T.); +#13468=EDGE_CURVE('',#11935,#11937,#602,.T.); +#13470=EDGE_CURVE('',#11937,#11939,#606,.T.); +#13472=EDGE_CURVE('',#11939,#11941,#610,.T.); +#13474=EDGE_CURVE('',#11941,#11943,#614,.T.); +#13476=EDGE_CURVE('',#11943,#11945,#618,.T.); +#13478=EDGE_CURVE('',#11945,#11947,#622,.T.); +#13480=EDGE_CURVE('',#11947,#11949,#626,.T.); +#13482=EDGE_CURVE('',#11949,#11951,#630,.T.); +#13484=EDGE_CURVE('',#11951,#11953,#634,.T.); +#13486=EDGE_CURVE('',#11953,#11955,#638,.T.); +#13488=EDGE_CURVE('',#11955,#11957,#642,.T.); +#13490=EDGE_CURVE('',#11957,#11959,#646,.T.); +#13492=EDGE_CURVE('',#11959,#11961,#650,.T.); +#13494=EDGE_CURVE('',#11961,#11963,#654,.T.); +#13496=EDGE_CURVE('',#11963,#11965,#658,.T.); +#13498=EDGE_CURVE('',#11965,#11967,#662,.T.); +#13500=EDGE_CURVE('',#11967,#11969,#666,.T.); +#13502=EDGE_CURVE('',#11969,#11971,#670,.T.); +#13504=EDGE_CURVE('',#11971,#11973,#674,.T.); +#13506=EDGE_CURVE('',#11973,#11975,#678,.T.); +#13508=EDGE_CURVE('',#11975,#11977,#682,.T.); +#13510=EDGE_CURVE('',#11977,#11979,#686,.T.); +#13512=EDGE_CURVE('',#11979,#11981,#690,.T.); +#13514=EDGE_CURVE('',#11981,#11983,#694,.T.); +#13516=EDGE_CURVE('',#11983,#11985,#698,.T.); +#13518=EDGE_CURVE('',#11985,#11987,#702,.T.); +#13520=EDGE_CURVE('',#11987,#11989,#706,.T.); +#13522=EDGE_CURVE('',#11989,#11991,#710,.T.); +#13524=EDGE_CURVE('',#11991,#11993,#714,.T.); +#13526=EDGE_CURVE('',#11993,#11995,#718,.T.); +#13528=EDGE_CURVE('',#11995,#11997,#722,.T.); +#13530=EDGE_CURVE('',#11997,#11999,#726,.T.); +#13532=EDGE_CURVE('',#11999,#11922,#730,.T.); +#13536=EDGE_CURVE('',#12042,#12043,#734,.T.); +#13538=EDGE_CURVE('',#12043,#12045,#738,.T.); +#13540=EDGE_CURVE('',#12045,#12047,#742,.T.); +#13542=EDGE_CURVE('',#12047,#12049,#746,.T.); +#13544=EDGE_CURVE('',#12049,#12051,#750,.T.); +#13546=EDGE_CURVE('',#12051,#12053,#754,.T.); +#13548=EDGE_CURVE('',#12053,#12042,#758,.T.); +#13552=EDGE_CURVE('',#12056,#12057,#762,.T.); +#13554=EDGE_CURVE('',#12057,#12059,#766,.T.); +#13556=EDGE_CURVE('',#12059,#12061,#770,.T.); +#13558=EDGE_CURVE('',#12061,#12063,#774,.T.); +#13560=EDGE_CURVE('',#12063,#12065,#778,.T.); +#13562=EDGE_CURVE('',#12065,#12067,#782,.T.); +#13564=EDGE_CURVE('',#12067,#12069,#786,.T.); +#13566=EDGE_CURVE('',#12069,#12071,#790,.T.); +#13568=EDGE_CURVE('',#12071,#12073,#794,.T.); +#13570=EDGE_CURVE('',#12073,#12075,#798,.T.); +#13572=EDGE_CURVE('',#12075,#12077,#802,.T.); +#13574=EDGE_CURVE('',#12077,#12079,#806,.T.); +#13576=EDGE_CURVE('',#12079,#12081,#810,.T.); +#13578=EDGE_CURVE('',#12081,#12083,#814,.T.); +#13580=EDGE_CURVE('',#12083,#12085,#818,.T.); +#13582=EDGE_CURVE('',#12085,#12087,#822,.T.); +#13584=EDGE_CURVE('',#12087,#12089,#826,.T.); +#13586=EDGE_CURVE('',#12089,#12091,#830,.T.); +#13588=EDGE_CURVE('',#12091,#12093,#834,.T.); +#13590=EDGE_CURVE('',#12093,#12095,#838,.T.); +#13592=EDGE_CURVE('',#12095,#12097,#842,.T.); +#13594=EDGE_CURVE('',#12097,#12099,#846,.T.); +#13596=EDGE_CURVE('',#12099,#12101,#850,.T.); +#13598=EDGE_CURVE('',#12101,#12103,#854,.T.); +#13600=EDGE_CURVE('',#12103,#12105,#858,.T.); +#13602=EDGE_CURVE('',#12105,#12107,#862,.T.); +#13604=EDGE_CURVE('',#12107,#12109,#866,.T.); +#13606=EDGE_CURVE('',#12109,#12111,#870,.T.); +#13608=EDGE_CURVE('',#12111,#12113,#874,.T.); +#13610=EDGE_CURVE('',#12113,#12115,#878,.T.); +#13612=EDGE_CURVE('',#12115,#12117,#882,.T.); +#13614=EDGE_CURVE('',#12117,#12119,#886,.T.); +#13616=EDGE_CURVE('',#12119,#12121,#890,.T.); +#13618=EDGE_CURVE('',#12121,#12123,#894,.T.); +#13620=EDGE_CURVE('',#12123,#12125,#898,.T.); +#13622=EDGE_CURVE('',#12125,#12127,#902,.T.); +#13624=EDGE_CURVE('',#12127,#12129,#906,.T.); +#13626=EDGE_CURVE('',#12129,#12131,#910,.T.); +#13628=EDGE_CURVE('',#12131,#12133,#914,.T.); +#13630=EDGE_CURVE('',#12133,#12056,#918,.T.); +#13634=EDGE_CURVE('',#12176,#12177,#922,.T.); +#13636=EDGE_CURVE('',#12177,#12179,#926,.T.); +#13638=EDGE_CURVE('',#12179,#12181,#930,.T.); +#13640=EDGE_CURVE('',#12181,#12183,#934,.T.); +#13642=EDGE_CURVE('',#12183,#12185,#938,.T.); +#13644=EDGE_CURVE('',#12185,#12187,#942,.T.); +#13646=EDGE_CURVE('',#12187,#12189,#946,.T.); +#13648=EDGE_CURVE('',#12189,#12191,#950,.T.); +#13650=EDGE_CURVE('',#12191,#12193,#954,.T.); +#13652=EDGE_CURVE('',#12193,#12195,#958,.T.); +#13654=EDGE_CURVE('',#12195,#12197,#962,.T.); +#13656=EDGE_CURVE('',#12197,#12199,#966,.T.); +#13658=EDGE_CURVE('',#12199,#12201,#970,.T.); +#13660=EDGE_CURVE('',#12201,#12203,#974,.T.); +#13662=EDGE_CURVE('',#12203,#12205,#978,.T.); +#13664=EDGE_CURVE('',#12205,#12207,#982,.T.); +#13666=EDGE_CURVE('',#12207,#12209,#986,.T.); +#13668=EDGE_CURVE('',#12209,#12211,#990,.T.); +#13670=EDGE_CURVE('',#12211,#12213,#994,.T.); +#13672=EDGE_CURVE('',#12213,#12215,#998,.T.); +#13674=EDGE_CURVE('',#12215,#12217,#1002,.T.); +#13676=EDGE_CURVE('',#12217,#12219,#1006,.T.); +#13678=EDGE_CURVE('',#12219,#12221,#1010,.T.); +#13680=EDGE_CURVE('',#12221,#12223,#1014,.T.); +#13682=EDGE_CURVE('',#12223,#12225,#1018,.T.); +#13684=EDGE_CURVE('',#12225,#12227,#1022,.T.); +#13686=EDGE_CURVE('',#12227,#12229,#1026,.T.); +#13688=EDGE_CURVE('',#12229,#12231,#1030,.T.); +#13690=EDGE_CURVE('',#12231,#12233,#1034,.T.); +#13692=EDGE_CURVE('',#12233,#12235,#1038,.T.); +#13694=EDGE_CURVE('',#12235,#12237,#1042,.T.); +#13696=EDGE_CURVE('',#12237,#12239,#1046,.T.); +#13698=EDGE_CURVE('',#12239,#12241,#1050,.T.); +#13700=EDGE_CURVE('',#12241,#12176,#1054,.T.); +#13704=EDGE_CURVE('',#12308,#12309,#1058,.T.); +#13706=EDGE_CURVE('',#12309,#12311,#1062,.T.); +#13708=EDGE_CURVE('',#12311,#12313,#1066,.T.); +#13710=EDGE_CURVE('',#12313,#12315,#1070,.T.); +#13712=EDGE_CURVE('',#12315,#12317,#1074,.T.); +#13714=EDGE_CURVE('',#12317,#12319,#1078,.T.); +#13716=EDGE_CURVE('',#12319,#12308,#1082,.T.); +#13720=EDGE_CURVE('',#12322,#12323,#1086,.T.); +#13722=EDGE_CURVE('',#12323,#12325,#1090,.T.); +#13724=EDGE_CURVE('',#12325,#12327,#1094,.T.); +#13726=EDGE_CURVE('',#12327,#12329,#1098,.T.); +#13728=EDGE_CURVE('',#12329,#12331,#1102,.T.); +#13730=EDGE_CURVE('',#12331,#12333,#1106,.T.); +#13732=EDGE_CURVE('',#12333,#12335,#1110,.T.); +#13734=EDGE_CURVE('',#12335,#12337,#1114,.T.); +#13736=EDGE_CURVE('',#12337,#12339,#1118,.T.); +#13738=EDGE_CURVE('',#12339,#12341,#1122,.T.); +#13740=EDGE_CURVE('',#12341,#12343,#1126,.T.); +#13742=EDGE_CURVE('',#12343,#12345,#1130,.T.); +#13744=EDGE_CURVE('',#12345,#12347,#1134,.T.); +#13746=EDGE_CURVE('',#12347,#12349,#1138,.T.); +#13748=EDGE_CURVE('',#12349,#12351,#1142,.T.); +#13750=EDGE_CURVE('',#12351,#12353,#1146,.T.); +#13752=EDGE_CURVE('',#12353,#12355,#1150,.T.); +#13754=EDGE_CURVE('',#12355,#12357,#1154,.T.); +#13756=EDGE_CURVE('',#12357,#12359,#1158,.T.); +#13758=EDGE_CURVE('',#12359,#12361,#1162,.T.); +#13760=EDGE_CURVE('',#12361,#12363,#1166,.T.); +#13762=EDGE_CURVE('',#12363,#12365,#1170,.T.); +#13764=EDGE_CURVE('',#12365,#12367,#1174,.T.); +#13766=EDGE_CURVE('',#12367,#12369,#1178,.T.); +#13768=EDGE_CURVE('',#12369,#12371,#1182,.T.); +#13770=EDGE_CURVE('',#12371,#12373,#1186,.T.); +#13772=EDGE_CURVE('',#12373,#12375,#1190,.T.); +#13774=EDGE_CURVE('',#12375,#12377,#1194,.T.); +#13776=EDGE_CURVE('',#12377,#12379,#1198,.T.); +#13778=EDGE_CURVE('',#12379,#12381,#1202,.T.); +#13780=EDGE_CURVE('',#12381,#12383,#1206,.T.); +#13782=EDGE_CURVE('',#12383,#12385,#1210,.T.); +#13784=EDGE_CURVE('',#12385,#12387,#1214,.T.); +#13786=EDGE_CURVE('',#12387,#12389,#1218,.T.); +#13788=EDGE_CURVE('',#12389,#12391,#1222,.T.); +#13790=EDGE_CURVE('',#12391,#12393,#1226,.T.); +#13792=EDGE_CURVE('',#12393,#12395,#1230,.T.); +#13794=EDGE_CURVE('',#12395,#12397,#1234,.T.); +#13796=EDGE_CURVE('',#12397,#12399,#1238,.T.); +#13798=EDGE_CURVE('',#12399,#12322,#1242,.T.); +#13802=EDGE_CURVE('',#12402,#12403,#1246,.T.); +#13804=EDGE_CURVE('',#12403,#12405,#1250,.T.); +#13806=EDGE_CURVE('',#12405,#12407,#1254,.T.); +#13808=EDGE_CURVE('',#12407,#12409,#1258,.T.); +#13810=EDGE_CURVE('',#12409,#12411,#1262,.T.); +#13812=EDGE_CURVE('',#12411,#12413,#1266,.T.); +#13814=EDGE_CURVE('',#12413,#12415,#1270,.T.); +#13816=EDGE_CURVE('',#12415,#12417,#1274,.T.); +#13818=EDGE_CURVE('',#12417,#12419,#1278,.T.); +#13820=EDGE_CURVE('',#12419,#12421,#1282,.T.); +#13822=EDGE_CURVE('',#12421,#12423,#1286,.T.); +#13824=EDGE_CURVE('',#12423,#12425,#1290,.T.); +#13826=EDGE_CURVE('',#12425,#12427,#1294,.T.); +#13828=EDGE_CURVE('',#12427,#12429,#1298,.T.); +#13830=EDGE_CURVE('',#12429,#12431,#1302,.T.); +#13832=EDGE_CURVE('',#12431,#12433,#1306,.T.); +#13834=EDGE_CURVE('',#12433,#12435,#1310,.T.); +#13836=EDGE_CURVE('',#12435,#12437,#1314,.T.); +#13838=EDGE_CURVE('',#12437,#12439,#1318,.T.); +#13840=EDGE_CURVE('',#12439,#12441,#1322,.T.); +#13842=EDGE_CURVE('',#12441,#12443,#1326,.T.); +#13844=EDGE_CURVE('',#12443,#12445,#1330,.T.); +#13846=EDGE_CURVE('',#12445,#12447,#1334,.T.); +#13848=EDGE_CURVE('',#12447,#12449,#1338,.T.); +#13850=EDGE_CURVE('',#12449,#12451,#1342,.T.); +#13852=EDGE_CURVE('',#12451,#12453,#1346,.T.); +#13854=EDGE_CURVE('',#12453,#12455,#1350,.T.); +#13856=EDGE_CURVE('',#12455,#12402,#1354,.T.); +#13860=EDGE_CURVE('',#12458,#12459,#1358,.T.); +#13862=EDGE_CURVE('',#12459,#12461,#1362,.T.); +#13864=EDGE_CURVE('',#12461,#12463,#1366,.T.); +#13866=EDGE_CURVE('',#12463,#12465,#1370,.T.); +#13868=EDGE_CURVE('',#12465,#12467,#1374,.T.); +#13870=EDGE_CURVE('',#12467,#12469,#1378,.T.); +#13872=EDGE_CURVE('',#12469,#12471,#1382,.T.); +#13874=EDGE_CURVE('',#12471,#12473,#1386,.T.); +#13876=EDGE_CURVE('',#12473,#12475,#1390,.T.); +#13878=EDGE_CURVE('',#12475,#12477,#1394,.T.); +#13880=EDGE_CURVE('',#12477,#12479,#1398,.T.); +#13882=EDGE_CURVE('',#12479,#12481,#1402,.T.); +#13884=EDGE_CURVE('',#12481,#12483,#1406,.T.); +#13886=EDGE_CURVE('',#12483,#12485,#1410,.T.); +#13888=EDGE_CURVE('',#12485,#12487,#1414,.T.); +#13890=EDGE_CURVE('',#12487,#12489,#1418,.T.); +#13892=EDGE_CURVE('',#12489,#12491,#1422,.T.); +#13894=EDGE_CURVE('',#12491,#12493,#1426,.T.); +#13896=EDGE_CURVE('',#12493,#12495,#1430,.T.); +#13898=EDGE_CURVE('',#12495,#12497,#1434,.T.); +#13900=EDGE_CURVE('',#12497,#12499,#1438,.T.); +#13902=EDGE_CURVE('',#12499,#12501,#1442,.T.); +#13904=EDGE_CURVE('',#12501,#12503,#1446,.T.); +#13906=EDGE_CURVE('',#12503,#12505,#1450,.T.); +#13908=EDGE_CURVE('',#12505,#12507,#1454,.T.); +#13910=EDGE_CURVE('',#12507,#12509,#1458,.T.); +#13912=EDGE_CURVE('',#12509,#12511,#1462,.T.); +#13914=EDGE_CURVE('',#12511,#12513,#1466,.T.); +#13916=EDGE_CURVE('',#12513,#12515,#1470,.T.); +#13918=EDGE_CURVE('',#12515,#12517,#1474,.T.); +#13920=EDGE_CURVE('',#12517,#12519,#1478,.T.); +#13922=EDGE_CURVE('',#12519,#12521,#1482,.T.); +#13924=EDGE_CURVE('',#12521,#12523,#1486,.T.); +#13926=EDGE_CURVE('',#12523,#12525,#1490,.T.); +#13928=EDGE_CURVE('',#12525,#12527,#1494,.T.); +#13930=EDGE_CURVE('',#12527,#12529,#1498,.T.); +#13932=EDGE_CURVE('',#12529,#12531,#1502,.T.); +#13934=EDGE_CURVE('',#12531,#12533,#1506,.T.); +#13936=EDGE_CURVE('',#12533,#12535,#1510,.T.); +#13938=EDGE_CURVE('',#12535,#12537,#1514,.T.); +#13940=EDGE_CURVE('',#12537,#12539,#1518,.T.); +#13942=EDGE_CURVE('',#12539,#12541,#1522,.T.); +#13944=EDGE_CURVE('',#12541,#12543,#1526,.T.); +#13946=EDGE_CURVE('',#12543,#12545,#1530,.T.); +#13948=EDGE_CURVE('',#12545,#12547,#1534,.T.); +#13950=EDGE_CURVE('',#12547,#12549,#1538,.T.); +#13952=EDGE_CURVE('',#12549,#12551,#1542,.T.); +#13954=EDGE_CURVE('',#12551,#12553,#1546,.T.); +#13956=EDGE_CURVE('',#12553,#12555,#1550,.T.); +#13958=EDGE_CURVE('',#12555,#12557,#1554,.T.); +#13960=EDGE_CURVE('',#12557,#12559,#1558,.T.); +#13962=EDGE_CURVE('',#12559,#12561,#1562,.T.); +#13964=EDGE_CURVE('',#12561,#12563,#1566,.T.); +#13966=EDGE_CURVE('',#12563,#12565,#1570,.T.); +#13968=EDGE_CURVE('',#12565,#12567,#1574,.T.); +#13970=EDGE_CURVE('',#12567,#12569,#1578,.T.); +#13972=EDGE_CURVE('',#12569,#12458,#1582,.T.); +#13976=EDGE_CURVE('',#10968,#10969,#1587,.T.); +#13978=EDGE_CURVE('',#10969,#10968,#1592,.T.); +#13982=EDGE_CURVE('',#10972,#10973,#1597,.T.); +#13984=EDGE_CURVE('',#10973,#10972,#1602,.T.); +#13988=EDGE_CURVE('',#10976,#10977,#1607,.T.); +#13990=EDGE_CURVE('',#10977,#10976,#1612,.T.); +#13994=EDGE_CURVE('',#10980,#10981,#1617,.T.); +#13996=EDGE_CURVE('',#10981,#10980,#1622,.T.); +#14000=EDGE_CURVE('',#10984,#10985,#1627,.T.); +#14002=EDGE_CURVE('',#10985,#10984,#1632,.T.); +#14006=EDGE_CURVE('',#10988,#10989,#1637,.T.); +#14008=EDGE_CURVE('',#10989,#10988,#1642,.T.); +#14012=EDGE_CURVE('',#10992,#10993,#1647,.T.); +#14014=EDGE_CURVE('',#10993,#10992,#1652,.T.); +#14018=EDGE_CURVE('',#10996,#10997,#1657,.T.); +#14020=EDGE_CURVE('',#10997,#10996,#1662,.T.); +#14024=EDGE_CURVE('',#11000,#11001,#1667,.T.); +#14026=EDGE_CURVE('',#11001,#11000,#1672,.T.); +#14030=EDGE_CURVE('',#11004,#11005,#1677,.T.); +#14032=EDGE_CURVE('',#11005,#11004,#1682,.T.); +#14036=EDGE_CURVE('',#11008,#11009,#1687,.T.); +#14038=EDGE_CURVE('',#11009,#11008,#1692,.T.); +#14042=EDGE_CURVE('',#11012,#11013,#1697,.T.); +#14044=EDGE_CURVE('',#11013,#11012,#1702,.T.); +#14048=EDGE_CURVE('',#11016,#11017,#1707,.T.); +#14050=EDGE_CURVE('',#11017,#11016,#1712,.T.); +#14054=EDGE_CURVE('',#11020,#11021,#1717,.T.); +#14056=EDGE_CURVE('',#11021,#11020,#1722,.T.); +#14060=EDGE_CURVE('',#11024,#11025,#1727,.T.); +#14062=EDGE_CURVE('',#11025,#11024,#1732,.T.); +#14066=EDGE_CURVE('',#11028,#11029,#1737,.T.); +#14068=EDGE_CURVE('',#11029,#11028,#1742,.T.); +#14072=EDGE_CURVE('',#11032,#11033,#1747,.T.); +#14074=EDGE_CURVE('',#11033,#11032,#1752,.T.); +#14078=EDGE_CURVE('',#11036,#11037,#1757,.T.); +#14080=EDGE_CURVE('',#11037,#11036,#1762,.T.); +#14084=EDGE_CURVE('',#11040,#11041,#1767,.T.); +#14086=EDGE_CURVE('',#11041,#11040,#1772,.T.); +#14090=EDGE_CURVE('',#11044,#11045,#1777,.T.); +#14092=EDGE_CURVE('',#11045,#11044,#1782,.T.); +#14096=EDGE_CURVE('',#11048,#11049,#1787,.T.); +#14098=EDGE_CURVE('',#11049,#11048,#1792,.T.); +#14102=EDGE_CURVE('',#11052,#11053,#1797,.T.); +#14104=EDGE_CURVE('',#11053,#11052,#1802,.T.); +#14108=EDGE_CURVE('',#11056,#11057,#1807,.T.); +#14110=EDGE_CURVE('',#11057,#11056,#1812,.T.); +#14114=ADVANCED_FACE('',(#13437,#13453,#13535,#13551,#13633,#13703,#13719, +#13801,#13859,#13975,#13981,#13987,#13993,#13999,#14005,#14011,#14017,#14023, +#14029,#14035,#14041,#14047,#14053,#14059,#14065,#14071,#14077,#14083,#14089, +#14095,#14101,#14107,#14113),#13270,.T.); +#14121=EDGE_CURVE('',#12002,#11338,#2112,.T.); +#14123=EDGE_CURVE('',#11338,#11339,#2380,.T.); +#14125=EDGE_CURVE('',#12003,#11339,#2188,.T.); +#14129=ADVANCED_FACE('',(#14128),#14119,.T.); +#14136=EDGE_CURVE('',#12039,#11375,#2116,.T.); +#14138=EDGE_CURVE('',#11375,#11338,#2384,.T.); +#14143=ADVANCED_FACE('',(#14142),#14134,.T.); +#14150=EDGE_CURVE('',#12037,#11373,#2120,.T.); +#14152=EDGE_CURVE('',#11373,#11375,#2388,.T.); +#14157=ADVANCED_FACE('',(#14156),#14148,.T.); +#14164=EDGE_CURVE('',#12035,#11371,#2124,.T.); +#14166=EDGE_CURVE('',#11371,#11373,#2392,.T.); +#14171=ADVANCED_FACE('',(#14170),#14162,.T.); +#14178=EDGE_CURVE('',#12033,#11369,#2128,.T.); +#14180=EDGE_CURVE('',#11369,#11371,#2396,.T.); +#14185=ADVANCED_FACE('',(#14184),#14176,.T.); +#14192=EDGE_CURVE('',#12031,#11367,#2132,.T.); +#14194=EDGE_CURVE('',#11367,#11369,#2400,.T.); +#14199=ADVANCED_FACE('',(#14198),#14190,.T.); +#14206=EDGE_CURVE('',#12029,#11365,#2136,.T.); +#14208=EDGE_CURVE('',#11365,#11367,#2404,.T.); +#14213=ADVANCED_FACE('',(#14212),#14204,.T.); +#14220=EDGE_CURVE('',#12027,#11363,#2140,.T.); +#14222=EDGE_CURVE('',#11363,#11365,#2408,.T.); +#14227=ADVANCED_FACE('',(#14226),#14218,.T.); +#14234=EDGE_CURVE('',#12025,#11361,#2144,.T.); +#14236=EDGE_CURVE('',#11361,#11363,#2412,.T.); +#14241=ADVANCED_FACE('',(#14240),#14232,.T.); +#14248=EDGE_CURVE('',#12023,#11359,#2148,.T.); +#14250=EDGE_CURVE('',#11359,#11361,#2416,.T.); +#14255=ADVANCED_FACE('',(#14254),#14246,.T.); +#14262=EDGE_CURVE('',#12021,#11357,#2152,.T.); +#14264=EDGE_CURVE('',#11357,#11359,#2420,.T.); +#14269=ADVANCED_FACE('',(#14268),#14260,.T.); +#14276=EDGE_CURVE('',#12019,#11355,#2156,.T.); +#14278=EDGE_CURVE('',#11355,#11357,#2424,.T.); +#14283=ADVANCED_FACE('',(#14282),#14274,.T.); +#14290=EDGE_CURVE('',#12017,#11353,#2160,.T.); +#14292=EDGE_CURVE('',#11353,#11355,#2428,.T.); +#14297=ADVANCED_FACE('',(#14296),#14288,.T.); +#14304=EDGE_CURVE('',#12015,#11351,#2164,.T.); +#14306=EDGE_CURVE('',#11351,#11353,#2432,.T.); +#14311=ADVANCED_FACE('',(#14310),#14302,.T.); +#14318=EDGE_CURVE('',#12013,#11349,#2168,.T.); +#14320=EDGE_CURVE('',#11349,#11351,#2436,.T.); +#14325=ADVANCED_FACE('',(#14324),#14316,.T.); +#14332=EDGE_CURVE('',#12011,#11347,#2172,.T.); +#14334=EDGE_CURVE('',#11347,#11349,#2440,.T.); +#14339=ADVANCED_FACE('',(#14338),#14330,.T.); +#14346=EDGE_CURVE('',#12009,#11345,#2176,.T.); +#14348=EDGE_CURVE('',#11345,#11347,#2444,.T.); +#14353=ADVANCED_FACE('',(#14352),#14344,.T.); +#14360=EDGE_CURVE('',#12007,#11343,#2180,.T.); +#14362=EDGE_CURVE('',#11343,#11345,#2448,.T.); +#14367=ADVANCED_FACE('',(#14366),#14358,.T.); +#14374=EDGE_CURVE('',#12005,#11341,#2184,.T.); +#14376=EDGE_CURVE('',#11341,#11343,#2452,.T.); +#14381=ADVANCED_FACE('',(#14380),#14372,.T.); +#14389=EDGE_CURVE('',#11339,#11341,#2456,.T.); +#14394=ADVANCED_FACE('',(#14393),#14386,.T.); +#14400=EDGE_CURVE('',#11244,#11245,#2192,.T.); +#14402=EDGE_CURVE('',#11255,#11244,#2196,.T.); +#14404=EDGE_CURVE('',#11253,#11255,#2200,.T.); +#14406=EDGE_CURVE('',#11251,#11253,#2204,.T.); +#14408=EDGE_CURVE('',#11249,#11251,#2208,.T.); +#14410=EDGE_CURVE('',#11247,#11249,#2212,.T.); +#14412=EDGE_CURVE('',#11245,#11247,#2216,.T.); +#14416=ADVANCED_FACE('',(#14415),#14399,.T.); +#14422=EDGE_CURVE('',#11258,#11259,#2220,.T.); +#14424=EDGE_CURVE('',#11335,#11258,#2224,.T.); +#14426=EDGE_CURVE('',#11333,#11335,#2228,.T.); +#14428=EDGE_CURVE('',#11331,#11333,#2232,.T.); +#14430=EDGE_CURVE('',#11329,#11331,#2236,.T.); +#14432=EDGE_CURVE('',#11327,#11329,#2240,.T.); +#14434=EDGE_CURVE('',#11325,#11327,#2244,.T.); +#14436=EDGE_CURVE('',#11323,#11325,#2248,.T.); +#14438=EDGE_CURVE('',#11321,#11323,#2252,.T.); +#14440=EDGE_CURVE('',#11319,#11321,#2256,.T.); +#14442=EDGE_CURVE('',#11317,#11319,#2260,.T.); +#14444=EDGE_CURVE('',#11315,#11317,#2264,.T.); +#14446=EDGE_CURVE('',#11313,#11315,#2268,.T.); +#14448=EDGE_CURVE('',#11311,#11313,#2272,.T.); +#14450=EDGE_CURVE('',#11309,#11311,#2276,.T.); +#14452=EDGE_CURVE('',#11307,#11309,#2280,.T.); +#14454=EDGE_CURVE('',#11305,#11307,#2284,.T.); +#14456=EDGE_CURVE('',#11303,#11305,#2288,.T.); +#14458=EDGE_CURVE('',#11301,#11303,#2292,.T.); +#14460=EDGE_CURVE('',#11299,#11301,#2296,.T.); +#14462=EDGE_CURVE('',#11297,#11299,#2300,.T.); +#14464=EDGE_CURVE('',#11295,#11297,#2304,.T.); +#14466=EDGE_CURVE('',#11293,#11295,#2308,.T.); +#14468=EDGE_CURVE('',#11291,#11293,#2312,.T.); +#14470=EDGE_CURVE('',#11289,#11291,#2316,.T.); +#14472=EDGE_CURVE('',#11287,#11289,#2320,.T.); +#14474=EDGE_CURVE('',#11285,#11287,#2324,.T.); +#14476=EDGE_CURVE('',#11283,#11285,#2328,.T.); +#14478=EDGE_CURVE('',#11281,#11283,#2332,.T.); +#14480=EDGE_CURVE('',#11279,#11281,#2336,.T.); +#14482=EDGE_CURVE('',#11277,#11279,#2340,.T.); +#14484=EDGE_CURVE('',#11275,#11277,#2344,.T.); +#14486=EDGE_CURVE('',#11273,#11275,#2348,.T.); +#14488=EDGE_CURVE('',#11271,#11273,#2352,.T.); +#14490=EDGE_CURVE('',#11269,#11271,#2356,.T.); +#14492=EDGE_CURVE('',#11267,#11269,#2360,.T.); +#14494=EDGE_CURVE('',#11265,#11267,#2364,.T.); +#14496=EDGE_CURVE('',#11263,#11265,#2368,.T.); +#14498=EDGE_CURVE('',#11261,#11263,#2372,.T.); +#14500=EDGE_CURVE('',#11259,#11261,#2376,.T.); +#14526=ADVANCED_FACE('',(#14503,#14525),#14421,.T.); +#14532=EDGE_CURVE('',#11378,#11379,#2460,.T.); +#14534=EDGE_CURVE('',#11389,#11378,#2464,.T.); +#14536=EDGE_CURVE('',#11387,#11389,#2468,.T.); +#14538=EDGE_CURVE('',#11385,#11387,#2472,.T.); +#14540=EDGE_CURVE('',#11383,#11385,#2476,.T.); +#14542=EDGE_CURVE('',#11381,#11383,#2480,.T.); +#14544=EDGE_CURVE('',#11379,#11381,#2484,.T.); +#14548=ADVANCED_FACE('',(#14547),#14531,.T.); +#14554=EDGE_CURVE('',#11392,#11393,#2488,.T.); +#14556=EDGE_CURVE('',#11469,#11392,#2492,.T.); +#14558=EDGE_CURVE('',#11467,#11469,#2496,.T.); +#14560=EDGE_CURVE('',#11465,#11467,#2500,.T.); +#14562=EDGE_CURVE('',#11463,#11465,#2504,.T.); +#14564=EDGE_CURVE('',#11461,#11463,#2508,.T.); +#14566=EDGE_CURVE('',#11459,#11461,#2512,.T.); +#14568=EDGE_CURVE('',#11457,#11459,#2516,.T.); +#14570=EDGE_CURVE('',#11455,#11457,#2520,.T.); +#14572=EDGE_CURVE('',#11453,#11455,#2524,.T.); +#14574=EDGE_CURVE('',#11451,#11453,#2528,.T.); +#14576=EDGE_CURVE('',#11449,#11451,#2532,.T.); +#14578=EDGE_CURVE('',#11447,#11449,#2536,.T.); +#14580=EDGE_CURVE('',#11445,#11447,#2540,.T.); +#14582=EDGE_CURVE('',#11443,#11445,#2544,.T.); +#14584=EDGE_CURVE('',#11441,#11443,#2548,.T.); +#14586=EDGE_CURVE('',#11439,#11441,#2552,.T.); +#14588=EDGE_CURVE('',#11437,#11439,#2556,.T.); +#14590=EDGE_CURVE('',#11435,#11437,#2560,.T.); +#14592=EDGE_CURVE('',#11433,#11435,#2564,.T.); +#14594=EDGE_CURVE('',#11431,#11433,#2568,.T.); +#14596=EDGE_CURVE('',#11429,#11431,#2572,.T.); +#14598=EDGE_CURVE('',#11427,#11429,#2576,.T.); +#14600=EDGE_CURVE('',#11425,#11427,#2580,.T.); +#14602=EDGE_CURVE('',#11423,#11425,#2584,.T.); +#14604=EDGE_CURVE('',#11421,#11423,#2588,.T.); +#14606=EDGE_CURVE('',#11419,#11421,#2592,.T.); +#14608=EDGE_CURVE('',#11417,#11419,#2596,.T.); +#14610=EDGE_CURVE('',#11415,#11417,#2600,.T.); +#14612=EDGE_CURVE('',#11413,#11415,#2604,.T.); +#14614=EDGE_CURVE('',#11411,#11413,#2608,.T.); +#14616=EDGE_CURVE('',#11409,#11411,#2612,.T.); +#14618=EDGE_CURVE('',#11407,#11409,#2616,.T.); +#14620=EDGE_CURVE('',#11405,#11407,#2620,.T.); +#14622=EDGE_CURVE('',#11403,#11405,#2624,.T.); +#14624=EDGE_CURVE('',#11401,#11403,#2628,.T.); +#14626=EDGE_CURVE('',#11399,#11401,#2632,.T.); +#14628=EDGE_CURVE('',#11397,#11399,#2636,.T.); +#14630=EDGE_CURVE('',#11395,#11397,#2640,.T.); +#14632=EDGE_CURVE('',#11393,#11395,#2644,.T.); +#14636=EDGE_CURVE('',#11472,#11473,#2648,.T.); +#14638=EDGE_CURVE('',#11509,#11472,#2652,.T.); +#14640=EDGE_CURVE('',#11507,#11509,#2656,.T.); +#14642=EDGE_CURVE('',#11505,#11507,#2660,.T.); +#14644=EDGE_CURVE('',#11503,#11505,#2664,.T.); +#14646=EDGE_CURVE('',#11501,#11503,#2668,.T.); +#14648=EDGE_CURVE('',#11499,#11501,#2672,.T.); +#14650=EDGE_CURVE('',#11497,#11499,#2676,.T.); +#14652=EDGE_CURVE('',#11495,#11497,#2680,.T.); +#14654=EDGE_CURVE('',#11493,#11495,#2684,.T.); +#14656=EDGE_CURVE('',#11491,#11493,#2688,.T.); +#14658=EDGE_CURVE('',#11489,#11491,#2692,.T.); +#14660=EDGE_CURVE('',#11487,#11489,#2696,.T.); +#14662=EDGE_CURVE('',#11485,#11487,#2700,.T.); +#14664=EDGE_CURVE('',#11483,#11485,#2704,.T.); +#14666=EDGE_CURVE('',#11481,#11483,#2708,.T.); +#14668=EDGE_CURVE('',#11479,#11481,#2712,.T.); +#14670=EDGE_CURVE('',#11477,#11479,#2716,.T.); +#14672=EDGE_CURVE('',#11475,#11477,#2720,.T.); +#14674=EDGE_CURVE('',#11473,#11475,#2724,.T.); +#14678=ADVANCED_FACE('',(#14635,#14677),#14553,.T.); +#14684=EDGE_CURVE('',#11512,#11513,#2728,.T.); +#14686=EDGE_CURVE('',#11577,#11512,#2732,.T.); +#14688=EDGE_CURVE('',#11575,#11577,#2736,.T.); +#14690=EDGE_CURVE('',#11573,#11575,#2740,.T.); +#14692=EDGE_CURVE('',#11571,#11573,#2744,.T.); +#14694=EDGE_CURVE('',#11569,#11571,#2748,.T.); +#14696=EDGE_CURVE('',#11567,#11569,#2752,.T.); +#14698=EDGE_CURVE('',#11565,#11567,#2756,.T.); +#14700=EDGE_CURVE('',#11563,#11565,#2760,.T.); +#14702=EDGE_CURVE('',#11561,#11563,#2764,.T.); +#14704=EDGE_CURVE('',#11559,#11561,#2768,.T.); +#14706=EDGE_CURVE('',#11557,#11559,#2772,.T.); +#14708=EDGE_CURVE('',#11555,#11557,#2776,.T.); +#14710=EDGE_CURVE('',#11553,#11555,#2780,.T.); +#14712=EDGE_CURVE('',#11551,#11553,#2784,.T.); +#14714=EDGE_CURVE('',#11549,#11551,#2788,.T.); +#14716=EDGE_CURVE('',#11547,#11549,#2792,.T.); +#14718=EDGE_CURVE('',#11545,#11547,#2796,.T.); +#14720=EDGE_CURVE('',#11543,#11545,#2800,.T.); +#14722=EDGE_CURVE('',#11541,#11543,#2804,.T.); +#14724=EDGE_CURVE('',#11539,#11541,#2808,.T.); +#14726=EDGE_CURVE('',#11537,#11539,#2812,.T.); +#14728=EDGE_CURVE('',#11535,#11537,#2816,.T.); +#14730=EDGE_CURVE('',#11533,#11535,#2820,.T.); +#14732=EDGE_CURVE('',#11531,#11533,#2824,.T.); +#14734=EDGE_CURVE('',#11529,#11531,#2828,.T.); +#14736=EDGE_CURVE('',#11527,#11529,#2832,.T.); +#14738=EDGE_CURVE('',#11525,#11527,#2836,.T.); +#14740=EDGE_CURVE('',#11523,#11525,#2840,.T.); +#14742=EDGE_CURVE('',#11521,#11523,#2844,.T.); +#14744=EDGE_CURVE('',#11519,#11521,#2848,.T.); +#14746=EDGE_CURVE('',#11517,#11519,#2852,.T.); +#14748=EDGE_CURVE('',#11515,#11517,#2856,.T.); +#14750=EDGE_CURVE('',#11513,#11515,#2860,.T.); +#14754=EDGE_CURVE('',#11580,#11581,#2864,.T.); +#14756=EDGE_CURVE('',#11609,#11580,#2868,.T.); +#14758=EDGE_CURVE('',#11607,#11609,#2872,.T.); +#14760=EDGE_CURVE('',#11605,#11607,#2876,.T.); +#14762=EDGE_CURVE('',#11603,#11605,#2880,.T.); +#14764=EDGE_CURVE('',#11601,#11603,#2884,.T.); +#14766=EDGE_CURVE('',#11599,#11601,#2888,.T.); +#14768=EDGE_CURVE('',#11597,#11599,#2892,.T.); +#14770=EDGE_CURVE('',#11595,#11597,#2896,.T.); +#14772=EDGE_CURVE('',#11593,#11595,#2900,.T.); +#14774=EDGE_CURVE('',#11591,#11593,#2904,.T.); +#14776=EDGE_CURVE('',#11589,#11591,#2908,.T.); +#14778=EDGE_CURVE('',#11587,#11589,#2912,.T.); +#14780=EDGE_CURVE('',#11585,#11587,#2916,.T.); +#14782=EDGE_CURVE('',#11583,#11585,#2920,.T.); +#14784=EDGE_CURVE('',#11581,#11583,#2924,.T.); +#14788=EDGE_CURVE('',#11612,#11613,#2928,.T.); +#14790=EDGE_CURVE('',#11641,#11612,#2932,.T.); +#14792=EDGE_CURVE('',#11639,#11641,#2936,.T.); +#14794=EDGE_CURVE('',#11637,#11639,#2940,.T.); +#14796=EDGE_CURVE('',#11635,#11637,#2944,.T.); +#14798=EDGE_CURVE('',#11633,#11635,#2948,.T.); +#14800=EDGE_CURVE('',#11631,#11633,#2952,.T.); +#14802=EDGE_CURVE('',#11629,#11631,#2956,.T.); +#14804=EDGE_CURVE('',#11627,#11629,#2960,.T.); +#14806=EDGE_CURVE('',#11625,#11627,#2964,.T.); +#14808=EDGE_CURVE('',#11623,#11625,#2968,.T.); +#14810=EDGE_CURVE('',#11621,#11623,#2972,.T.); +#14812=EDGE_CURVE('',#11619,#11621,#2976,.T.); +#14814=EDGE_CURVE('',#11617,#11619,#2980,.T.); +#14816=EDGE_CURVE('',#11615,#11617,#2984,.T.); +#14818=EDGE_CURVE('',#11613,#11615,#2988,.T.); +#14822=ADVANCED_FACE('',(#14753,#14787,#14821),#14683,.T.); +#14828=EDGE_CURVE('',#11644,#11645,#2992,.T.); +#14830=EDGE_CURVE('',#11655,#11644,#2996,.T.); +#14832=EDGE_CURVE('',#11653,#11655,#3000,.T.); +#14834=EDGE_CURVE('',#11651,#11653,#3004,.T.); +#14836=EDGE_CURVE('',#11649,#11651,#3008,.T.); +#14838=EDGE_CURVE('',#11647,#11649,#3012,.T.); +#14840=EDGE_CURVE('',#11645,#11647,#3016,.T.); +#14844=ADVANCED_FACE('',(#14843),#14827,.T.); +#14850=EDGE_CURVE('',#11658,#11659,#3020,.T.); +#14852=EDGE_CURVE('',#11735,#11658,#3024,.T.); +#14854=EDGE_CURVE('',#11733,#11735,#3028,.T.); +#14856=EDGE_CURVE('',#11731,#11733,#3032,.T.); +#14858=EDGE_CURVE('',#11729,#11731,#3036,.T.); +#14860=EDGE_CURVE('',#11727,#11729,#3040,.T.); +#14862=EDGE_CURVE('',#11725,#11727,#3044,.T.); +#14864=EDGE_CURVE('',#11723,#11725,#3048,.T.); +#14866=EDGE_CURVE('',#11721,#11723,#3052,.T.); +#14868=EDGE_CURVE('',#11719,#11721,#3056,.T.); +#14870=EDGE_CURVE('',#11717,#11719,#3060,.T.); +#14872=EDGE_CURVE('',#11715,#11717,#3064,.T.); +#14874=EDGE_CURVE('',#11713,#11715,#3068,.T.); +#14876=EDGE_CURVE('',#11711,#11713,#3072,.T.); +#14878=EDGE_CURVE('',#11709,#11711,#3076,.T.); +#14880=EDGE_CURVE('',#11707,#11709,#3080,.T.); +#14882=EDGE_CURVE('',#11705,#11707,#3084,.T.); +#14884=EDGE_CURVE('',#11703,#11705,#3088,.T.); +#14886=EDGE_CURVE('',#11701,#11703,#3092,.T.); +#14888=EDGE_CURVE('',#11699,#11701,#3096,.T.); +#14890=EDGE_CURVE('',#11697,#11699,#3100,.T.); +#14892=EDGE_CURVE('',#11695,#11697,#3104,.T.); +#14894=EDGE_CURVE('',#11693,#11695,#3108,.T.); +#14896=EDGE_CURVE('',#11691,#11693,#3112,.T.); +#14898=EDGE_CURVE('',#11689,#11691,#3116,.T.); +#14900=EDGE_CURVE('',#11687,#11689,#3120,.T.); +#14902=EDGE_CURVE('',#11685,#11687,#3124,.T.); +#14904=EDGE_CURVE('',#11683,#11685,#3128,.T.); +#14906=EDGE_CURVE('',#11681,#11683,#3132,.T.); +#14908=EDGE_CURVE('',#11679,#11681,#3136,.T.); +#14910=EDGE_CURVE('',#11677,#11679,#3140,.T.); +#14912=EDGE_CURVE('',#11675,#11677,#3144,.T.); +#14914=EDGE_CURVE('',#11673,#11675,#3148,.T.); +#14916=EDGE_CURVE('',#11671,#11673,#3152,.T.); +#14918=EDGE_CURVE('',#11669,#11671,#3156,.T.); +#14920=EDGE_CURVE('',#11667,#11669,#3160,.T.); +#14922=EDGE_CURVE('',#11665,#11667,#3164,.T.); +#14924=EDGE_CURVE('',#11663,#11665,#3168,.T.); +#14926=EDGE_CURVE('',#11661,#11663,#3172,.T.); +#14928=EDGE_CURVE('',#11659,#11661,#3176,.T.); +#14932=ADVANCED_FACE('',(#14931),#14849,.T.); +#14938=EDGE_CURVE('',#11738,#11739,#3180,.T.); +#14940=EDGE_CURVE('',#11791,#11738,#3184,.T.); +#14942=EDGE_CURVE('',#11789,#11791,#3188,.T.); +#14944=EDGE_CURVE('',#11787,#11789,#3192,.T.); +#14946=EDGE_CURVE('',#11785,#11787,#3196,.T.); +#14948=EDGE_CURVE('',#11783,#11785,#3200,.T.); +#14950=EDGE_CURVE('',#11781,#11783,#3204,.T.); +#14952=EDGE_CURVE('',#11779,#11781,#3208,.T.); +#14954=EDGE_CURVE('',#11777,#11779,#3212,.T.); +#14956=EDGE_CURVE('',#11775,#11777,#3216,.T.); +#14958=EDGE_CURVE('',#11773,#11775,#3220,.T.); +#14960=EDGE_CURVE('',#11771,#11773,#3224,.T.); +#14962=EDGE_CURVE('',#11769,#11771,#3228,.T.); +#14964=EDGE_CURVE('',#11767,#11769,#3232,.T.); +#14966=EDGE_CURVE('',#11765,#11767,#3236,.T.); +#14968=EDGE_CURVE('',#11763,#11765,#3240,.T.); +#14970=EDGE_CURVE('',#11761,#11763,#3244,.T.); +#14972=EDGE_CURVE('',#11759,#11761,#3248,.T.); +#14974=EDGE_CURVE('',#11757,#11759,#3252,.T.); +#14976=EDGE_CURVE('',#11755,#11757,#3256,.T.); +#14978=EDGE_CURVE('',#11753,#11755,#3260,.T.); +#14980=EDGE_CURVE('',#11751,#11753,#3264,.T.); +#14982=EDGE_CURVE('',#11749,#11751,#3268,.T.); +#14984=EDGE_CURVE('',#11747,#11749,#3272,.T.); +#14986=EDGE_CURVE('',#11745,#11747,#3276,.T.); +#14988=EDGE_CURVE('',#11743,#11745,#3280,.T.); +#14990=EDGE_CURVE('',#11741,#11743,#3284,.T.); +#14992=EDGE_CURVE('',#11739,#11741,#3288,.T.); +#14996=ADVANCED_FACE('',(#14995),#14937,.T.); +#15002=EDGE_CURVE('',#11794,#11795,#3292,.T.); +#15004=EDGE_CURVE('',#11905,#11794,#3296,.T.); +#15006=EDGE_CURVE('',#11903,#11905,#3300,.T.); +#15008=EDGE_CURVE('',#11901,#11903,#3304,.T.); +#15010=EDGE_CURVE('',#11899,#11901,#3308,.T.); +#15012=EDGE_CURVE('',#11897,#11899,#3312,.T.); +#15014=EDGE_CURVE('',#11895,#11897,#3316,.T.); +#15016=EDGE_CURVE('',#11893,#11895,#3320,.T.); +#15018=EDGE_CURVE('',#11891,#11893,#3324,.T.); +#15020=EDGE_CURVE('',#11889,#11891,#3328,.T.); +#15022=EDGE_CURVE('',#11887,#11889,#3332,.T.); +#15024=EDGE_CURVE('',#11885,#11887,#3336,.T.); +#15026=EDGE_CURVE('',#11883,#11885,#3340,.T.); +#15028=EDGE_CURVE('',#11881,#11883,#3344,.T.); +#15030=EDGE_CURVE('',#11879,#11881,#3348,.T.); +#15032=EDGE_CURVE('',#11877,#11879,#3352,.T.); +#15034=EDGE_CURVE('',#11875,#11877,#3356,.T.); +#15036=EDGE_CURVE('',#11873,#11875,#3360,.T.); +#15038=EDGE_CURVE('',#11871,#11873,#3364,.T.); +#15040=EDGE_CURVE('',#11869,#11871,#3368,.T.); +#15042=EDGE_CURVE('',#11867,#11869,#3372,.T.); +#15044=EDGE_CURVE('',#11865,#11867,#3376,.T.); +#15046=EDGE_CURVE('',#11863,#11865,#3380,.T.); +#15048=EDGE_CURVE('',#11861,#11863,#3384,.T.); +#15050=EDGE_CURVE('',#11859,#11861,#3388,.T.); +#15052=EDGE_CURVE('',#11857,#11859,#3392,.T.); +#15054=EDGE_CURVE('',#11855,#11857,#3396,.T.); +#15056=EDGE_CURVE('',#11853,#11855,#3400,.T.); +#15058=EDGE_CURVE('',#11851,#11853,#3404,.T.); +#15060=EDGE_CURVE('',#11849,#11851,#3408,.T.); +#15062=EDGE_CURVE('',#11847,#11849,#3412,.T.); +#15064=EDGE_CURVE('',#11845,#11847,#3416,.T.); +#15066=EDGE_CURVE('',#11843,#11845,#3420,.T.); +#15068=EDGE_CURVE('',#11841,#11843,#3424,.T.); +#15070=EDGE_CURVE('',#11839,#11841,#3428,.T.); +#15072=EDGE_CURVE('',#11837,#11839,#3432,.T.); +#15074=EDGE_CURVE('',#11835,#11837,#3436,.T.); +#15076=EDGE_CURVE('',#11833,#11835,#3440,.T.); +#15078=EDGE_CURVE('',#11831,#11833,#3444,.T.); +#15080=EDGE_CURVE('',#11829,#11831,#3448,.T.); +#15082=EDGE_CURVE('',#11827,#11829,#3452,.T.); +#15084=EDGE_CURVE('',#11825,#11827,#3456,.T.); +#15086=EDGE_CURVE('',#11823,#11825,#3460,.T.); +#15088=EDGE_CURVE('',#11821,#11823,#3464,.T.); +#15090=EDGE_CURVE('',#11819,#11821,#3468,.T.); +#15092=EDGE_CURVE('',#11817,#11819,#3472,.T.); +#15094=EDGE_CURVE('',#11815,#11817,#3476,.T.); +#15096=EDGE_CURVE('',#11813,#11815,#3480,.T.); +#15098=EDGE_CURVE('',#11811,#11813,#3484,.T.); +#15100=EDGE_CURVE('',#11809,#11811,#3488,.T.); +#15102=EDGE_CURVE('',#11807,#11809,#3492,.T.); +#15104=EDGE_CURVE('',#11805,#11807,#3496,.T.); +#15106=EDGE_CURVE('',#11803,#11805,#3500,.T.); +#15108=EDGE_CURVE('',#11801,#11803,#3504,.T.); +#15110=EDGE_CURVE('',#11799,#11801,#3508,.T.); +#15112=EDGE_CURVE('',#11797,#11799,#3512,.T.); +#15114=EDGE_CURVE('',#11795,#11797,#3516,.T.); +#15118=ADVANCED_FACE('',(#15117),#15001,.T.); +#15125=EDGE_CURVE('',#11908,#11244,#3520,.T.); +#15128=EDGE_CURVE('',#11909,#11245,#3544,.T.); +#15132=ADVANCED_FACE('',(#15131),#15123,.T.); +#15139=EDGE_CURVE('',#11919,#11255,#3524,.T.); +#15145=ADVANCED_FACE('',(#15144),#15137,.T.); +#15152=EDGE_CURVE('',#11917,#11253,#3528,.T.); +#15158=ADVANCED_FACE('',(#15157),#15150,.T.); +#15165=EDGE_CURVE('',#11915,#11251,#3532,.T.); +#15171=ADVANCED_FACE('',(#15170),#15163,.T.); +#15178=EDGE_CURVE('',#11913,#11249,#3536,.T.); +#15184=ADVANCED_FACE('',(#15183),#15176,.T.); +#15191=EDGE_CURVE('',#11911,#11247,#3540,.T.); +#15197=ADVANCED_FACE('',(#15196),#15189,.T.); +#15209=ADVANCED_FACE('',(#15208),#15202,.T.); +#15216=EDGE_CURVE('',#11922,#11258,#3548,.T.); +#15219=EDGE_CURVE('',#11923,#11259,#3704,.T.); +#15223=ADVANCED_FACE('',(#15222),#15214,.T.); +#15230=EDGE_CURVE('',#11999,#11335,#3552,.T.); +#15236=ADVANCED_FACE('',(#15235),#15228,.T.); +#15243=EDGE_CURVE('',#11997,#11333,#3556,.T.); +#15249=ADVANCED_FACE('',(#15248),#15241,.T.); +#15256=EDGE_CURVE('',#11995,#11331,#3560,.T.); +#15262=ADVANCED_FACE('',(#15261),#15254,.T.); +#15269=EDGE_CURVE('',#11993,#11329,#3564,.T.); +#15275=ADVANCED_FACE('',(#15274),#15267,.T.); +#15282=EDGE_CURVE('',#11991,#11327,#3568,.T.); +#15288=ADVANCED_FACE('',(#15287),#15280,.T.); +#15295=EDGE_CURVE('',#11989,#11325,#3572,.T.); +#15301=ADVANCED_FACE('',(#15300),#15293,.T.); +#15308=EDGE_CURVE('',#11987,#11323,#3576,.T.); +#15314=ADVANCED_FACE('',(#15313),#15306,.T.); +#15321=EDGE_CURVE('',#11985,#11321,#3580,.T.); +#15327=ADVANCED_FACE('',(#15326),#15319,.T.); +#15334=EDGE_CURVE('',#11983,#11319,#3584,.T.); +#15340=ADVANCED_FACE('',(#15339),#15332,.T.); +#15347=EDGE_CURVE('',#11981,#11317,#3588,.T.); +#15353=ADVANCED_FACE('',(#15352),#15345,.T.); +#15360=EDGE_CURVE('',#11979,#11315,#3592,.T.); +#15366=ADVANCED_FACE('',(#15365),#15358,.T.); +#15373=EDGE_CURVE('',#11977,#11313,#3596,.T.); +#15379=ADVANCED_FACE('',(#15378),#15371,.T.); +#15386=EDGE_CURVE('',#11975,#11311,#3600,.T.); +#15392=ADVANCED_FACE('',(#15391),#15384,.T.); +#15399=EDGE_CURVE('',#11973,#11309,#3604,.T.); +#15405=ADVANCED_FACE('',(#15404),#15397,.T.); +#15412=EDGE_CURVE('',#11971,#11307,#3608,.T.); +#15418=ADVANCED_FACE('',(#15417),#15410,.T.); +#15425=EDGE_CURVE('',#11969,#11305,#3612,.T.); +#15431=ADVANCED_FACE('',(#15430),#15423,.T.); +#15438=EDGE_CURVE('',#11967,#11303,#3616,.T.); +#15444=ADVANCED_FACE('',(#15443),#15436,.T.); +#15451=EDGE_CURVE('',#11965,#11301,#3620,.T.); +#15457=ADVANCED_FACE('',(#15456),#15449,.T.); +#15464=EDGE_CURVE('',#11963,#11299,#3624,.T.); +#15470=ADVANCED_FACE('',(#15469),#15462,.T.); +#15477=EDGE_CURVE('',#11961,#11297,#3628,.T.); +#15483=ADVANCED_FACE('',(#15482),#15475,.T.); +#15490=EDGE_CURVE('',#11959,#11295,#3632,.T.); +#15496=ADVANCED_FACE('',(#15495),#15488,.T.); +#15503=EDGE_CURVE('',#11957,#11293,#3636,.T.); +#15509=ADVANCED_FACE('',(#15508),#15501,.T.); +#15516=EDGE_CURVE('',#11955,#11291,#3640,.T.); +#15522=ADVANCED_FACE('',(#15521),#15514,.T.); +#15529=EDGE_CURVE('',#11953,#11289,#3644,.T.); +#15535=ADVANCED_FACE('',(#15534),#15527,.T.); +#15542=EDGE_CURVE('',#11951,#11287,#3648,.T.); +#15548=ADVANCED_FACE('',(#15547),#15540,.T.); +#15555=EDGE_CURVE('',#11949,#11285,#3652,.T.); +#15561=ADVANCED_FACE('',(#15560),#15553,.T.); +#15568=EDGE_CURVE('',#11947,#11283,#3656,.T.); +#15574=ADVANCED_FACE('',(#15573),#15566,.T.); +#15581=EDGE_CURVE('',#11945,#11281,#3660,.T.); +#15587=ADVANCED_FACE('',(#15586),#15579,.T.); +#15594=EDGE_CURVE('',#11943,#11279,#3664,.T.); +#15600=ADVANCED_FACE('',(#15599),#15592,.T.); +#15607=EDGE_CURVE('',#11941,#11277,#3668,.T.); +#15613=ADVANCED_FACE('',(#15612),#15605,.T.); +#15620=EDGE_CURVE('',#11939,#11275,#3672,.T.); +#15626=ADVANCED_FACE('',(#15625),#15618,.T.); +#15633=EDGE_CURVE('',#11937,#11273,#3676,.T.); +#15639=ADVANCED_FACE('',(#15638),#15631,.T.); +#15646=EDGE_CURVE('',#11935,#11271,#3680,.T.); +#15652=ADVANCED_FACE('',(#15651),#15644,.T.); +#15659=EDGE_CURVE('',#11933,#11269,#3684,.T.); +#15665=ADVANCED_FACE('',(#15664),#15657,.T.); +#15672=EDGE_CURVE('',#11931,#11267,#3688,.T.); +#15678=ADVANCED_FACE('',(#15677),#15670,.T.); +#15685=EDGE_CURVE('',#11929,#11265,#3692,.T.); +#15691=ADVANCED_FACE('',(#15690),#15683,.T.); +#15698=EDGE_CURVE('',#11927,#11263,#3696,.T.); +#15704=ADVANCED_FACE('',(#15703),#15696,.T.); +#15711=EDGE_CURVE('',#11925,#11261,#3700,.T.); +#15717=ADVANCED_FACE('',(#15716),#15709,.T.); +#15729=ADVANCED_FACE('',(#15728),#15722,.T.); +#15736=EDGE_CURVE('',#12042,#11378,#3708,.T.); +#15739=EDGE_CURVE('',#12043,#11379,#3732,.T.); +#15743=ADVANCED_FACE('',(#15742),#15734,.T.); +#15750=EDGE_CURVE('',#12053,#11389,#3712,.T.); +#15756=ADVANCED_FACE('',(#15755),#15748,.T.); +#15763=EDGE_CURVE('',#12051,#11387,#3716,.T.); +#15769=ADVANCED_FACE('',(#15768),#15761,.T.); +#15776=EDGE_CURVE('',#12049,#11385,#3720,.T.); +#15782=ADVANCED_FACE('',(#15781),#15774,.T.); +#15789=EDGE_CURVE('',#12047,#11383,#3724,.T.); +#15795=ADVANCED_FACE('',(#15794),#15787,.T.); +#15802=EDGE_CURVE('',#12045,#11381,#3728,.T.); +#15808=ADVANCED_FACE('',(#15807),#15800,.T.); +#15820=ADVANCED_FACE('',(#15819),#15813,.T.); +#15827=EDGE_CURVE('',#12056,#11392,#3736,.T.); +#15830=EDGE_CURVE('',#12057,#11393,#3892,.T.); +#15834=ADVANCED_FACE('',(#15833),#15825,.T.); +#15841=EDGE_CURVE('',#12133,#11469,#3740,.T.); +#15847=ADVANCED_FACE('',(#15846),#15839,.T.); +#15854=EDGE_CURVE('',#12131,#11467,#3744,.T.); +#15860=ADVANCED_FACE('',(#15859),#15852,.T.); +#15867=EDGE_CURVE('',#12129,#11465,#3748,.T.); +#15873=ADVANCED_FACE('',(#15872),#15865,.T.); +#15880=EDGE_CURVE('',#12127,#11463,#3752,.T.); +#15886=ADVANCED_FACE('',(#15885),#15878,.T.); +#15893=EDGE_CURVE('',#12125,#11461,#3756,.T.); +#15899=ADVANCED_FACE('',(#15898),#15891,.T.); +#15906=EDGE_CURVE('',#12123,#11459,#3760,.T.); +#15912=ADVANCED_FACE('',(#15911),#15904,.T.); +#15919=EDGE_CURVE('',#12121,#11457,#3764,.T.); +#15925=ADVANCED_FACE('',(#15924),#15917,.T.); +#15932=EDGE_CURVE('',#12119,#11455,#3768,.T.); +#15938=ADVANCED_FACE('',(#15937),#15930,.T.); +#15945=EDGE_CURVE('',#12117,#11453,#3772,.T.); +#15951=ADVANCED_FACE('',(#15950),#15943,.T.); +#15958=EDGE_CURVE('',#12115,#11451,#3776,.T.); +#15964=ADVANCED_FACE('',(#15963),#15956,.T.); +#15971=EDGE_CURVE('',#12113,#11449,#3780,.T.); +#15977=ADVANCED_FACE('',(#15976),#15969,.T.); +#15984=EDGE_CURVE('',#12111,#11447,#3784,.T.); +#15990=ADVANCED_FACE('',(#15989),#15982,.T.); +#15997=EDGE_CURVE('',#12109,#11445,#3788,.T.); +#16003=ADVANCED_FACE('',(#16002),#15995,.T.); +#16010=EDGE_CURVE('',#12107,#11443,#3792,.T.); +#16016=ADVANCED_FACE('',(#16015),#16008,.T.); +#16023=EDGE_CURVE('',#12105,#11441,#3796,.T.); +#16029=ADVANCED_FACE('',(#16028),#16021,.T.); +#16036=EDGE_CURVE('',#12103,#11439,#3800,.T.); +#16042=ADVANCED_FACE('',(#16041),#16034,.T.); +#16049=EDGE_CURVE('',#12101,#11437,#3804,.T.); +#16055=ADVANCED_FACE('',(#16054),#16047,.T.); +#16062=EDGE_CURVE('',#12099,#11435,#3808,.T.); +#16068=ADVANCED_FACE('',(#16067),#16060,.T.); +#16075=EDGE_CURVE('',#12097,#11433,#3812,.T.); +#16081=ADVANCED_FACE('',(#16080),#16073,.T.); +#16088=EDGE_CURVE('',#12095,#11431,#3816,.T.); +#16094=ADVANCED_FACE('',(#16093),#16086,.T.); +#16101=EDGE_CURVE('',#12093,#11429,#3820,.T.); +#16107=ADVANCED_FACE('',(#16106),#16099,.T.); +#16114=EDGE_CURVE('',#12091,#11427,#3824,.T.); +#16120=ADVANCED_FACE('',(#16119),#16112,.T.); +#16127=EDGE_CURVE('',#12089,#11425,#3828,.T.); +#16133=ADVANCED_FACE('',(#16132),#16125,.T.); +#16140=EDGE_CURVE('',#12087,#11423,#3832,.T.); +#16146=ADVANCED_FACE('',(#16145),#16138,.T.); +#16153=EDGE_CURVE('',#12085,#11421,#3836,.T.); +#16159=ADVANCED_FACE('',(#16158),#16151,.T.); +#16166=EDGE_CURVE('',#12083,#11419,#3840,.T.); +#16172=ADVANCED_FACE('',(#16171),#16164,.T.); +#16179=EDGE_CURVE('',#12081,#11417,#3844,.T.); +#16185=ADVANCED_FACE('',(#16184),#16177,.T.); +#16192=EDGE_CURVE('',#12079,#11415,#3848,.T.); +#16198=ADVANCED_FACE('',(#16197),#16190,.T.); +#16205=EDGE_CURVE('',#12077,#11413,#3852,.T.); +#16211=ADVANCED_FACE('',(#16210),#16203,.T.); +#16218=EDGE_CURVE('',#12075,#11411,#3856,.T.); +#16224=ADVANCED_FACE('',(#16223),#16216,.T.); +#16231=EDGE_CURVE('',#12073,#11409,#3860,.T.); +#16237=ADVANCED_FACE('',(#16236),#16229,.T.); +#16244=EDGE_CURVE('',#12071,#11407,#3864,.T.); +#16250=ADVANCED_FACE('',(#16249),#16242,.T.); +#16257=EDGE_CURVE('',#12069,#11405,#3868,.T.); +#16263=ADVANCED_FACE('',(#16262),#16255,.T.); +#16270=EDGE_CURVE('',#12067,#11403,#3872,.T.); +#16276=ADVANCED_FACE('',(#16275),#16268,.T.); +#16283=EDGE_CURVE('',#12065,#11401,#3876,.T.); +#16289=ADVANCED_FACE('',(#16288),#16281,.T.); +#16296=EDGE_CURVE('',#12063,#11399,#3880,.T.); +#16302=ADVANCED_FACE('',(#16301),#16294,.T.); +#16309=EDGE_CURVE('',#12061,#11397,#3884,.T.); +#16315=ADVANCED_FACE('',(#16314),#16307,.T.); +#16322=EDGE_CURVE('',#12059,#11395,#3888,.T.); +#16328=ADVANCED_FACE('',(#16327),#16320,.T.); +#16340=ADVANCED_FACE('',(#16339),#16333,.T.); +#16347=EDGE_CURVE('',#12136,#11472,#3896,.T.); +#16350=EDGE_CURVE('',#12137,#11473,#3972,.T.); +#16354=ADVANCED_FACE('',(#16353),#16345,.T.); +#16361=EDGE_CURVE('',#12173,#11509,#3900,.T.); +#16367=ADVANCED_FACE('',(#16366),#16359,.T.); +#16374=EDGE_CURVE('',#12171,#11507,#3904,.T.); +#16380=ADVANCED_FACE('',(#16379),#16372,.T.); +#16387=EDGE_CURVE('',#12169,#11505,#3908,.T.); +#16393=ADVANCED_FACE('',(#16392),#16385,.T.); +#16400=EDGE_CURVE('',#12167,#11503,#3912,.T.); +#16406=ADVANCED_FACE('',(#16405),#16398,.T.); +#16413=EDGE_CURVE('',#12165,#11501,#3916,.T.); +#16419=ADVANCED_FACE('',(#16418),#16411,.T.); +#16426=EDGE_CURVE('',#12163,#11499,#3920,.T.); +#16432=ADVANCED_FACE('',(#16431),#16424,.T.); +#16439=EDGE_CURVE('',#12161,#11497,#3924,.T.); +#16445=ADVANCED_FACE('',(#16444),#16437,.T.); +#16452=EDGE_CURVE('',#12159,#11495,#3928,.T.); +#16458=ADVANCED_FACE('',(#16457),#16450,.T.); +#16465=EDGE_CURVE('',#12157,#11493,#3932,.T.); +#16471=ADVANCED_FACE('',(#16470),#16463,.T.); +#16478=EDGE_CURVE('',#12155,#11491,#3936,.T.); +#16484=ADVANCED_FACE('',(#16483),#16476,.T.); +#16491=EDGE_CURVE('',#12153,#11489,#3940,.T.); +#16497=ADVANCED_FACE('',(#16496),#16489,.T.); +#16504=EDGE_CURVE('',#12151,#11487,#3944,.T.); +#16510=ADVANCED_FACE('',(#16509),#16502,.T.); +#16517=EDGE_CURVE('',#12149,#11485,#3948,.T.); +#16523=ADVANCED_FACE('',(#16522),#16515,.T.); +#16530=EDGE_CURVE('',#12147,#11483,#3952,.T.); +#16536=ADVANCED_FACE('',(#16535),#16528,.T.); +#16543=EDGE_CURVE('',#12145,#11481,#3956,.T.); +#16549=ADVANCED_FACE('',(#16548),#16541,.T.); +#16556=EDGE_CURVE('',#12143,#11479,#3960,.T.); +#16562=ADVANCED_FACE('',(#16561),#16554,.T.); +#16569=EDGE_CURVE('',#12141,#11477,#3964,.T.); +#16575=ADVANCED_FACE('',(#16574),#16567,.T.); +#16582=EDGE_CURVE('',#12139,#11475,#3968,.T.); +#16588=ADVANCED_FACE('',(#16587),#16580,.T.); +#16600=ADVANCED_FACE('',(#16599),#16593,.T.); +#16607=EDGE_CURVE('',#12176,#11512,#3976,.T.); +#16610=EDGE_CURVE('',#12177,#11513,#4108,.T.); +#16614=ADVANCED_FACE('',(#16613),#16605,.T.); +#16621=EDGE_CURVE('',#12241,#11577,#3980,.T.); +#16627=ADVANCED_FACE('',(#16626),#16619,.T.); +#16634=EDGE_CURVE('',#12239,#11575,#3984,.T.); +#16640=ADVANCED_FACE('',(#16639),#16632,.T.); +#16647=EDGE_CURVE('',#12237,#11573,#3988,.T.); +#16653=ADVANCED_FACE('',(#16652),#16645,.T.); +#16660=EDGE_CURVE('',#12235,#11571,#3992,.T.); +#16666=ADVANCED_FACE('',(#16665),#16658,.T.); +#16673=EDGE_CURVE('',#12233,#11569,#3996,.T.); +#16679=ADVANCED_FACE('',(#16678),#16671,.T.); +#16686=EDGE_CURVE('',#12231,#11567,#4000,.T.); +#16692=ADVANCED_FACE('',(#16691),#16684,.T.); +#16699=EDGE_CURVE('',#12229,#11565,#4004,.T.); +#16705=ADVANCED_FACE('',(#16704),#16697,.T.); +#16712=EDGE_CURVE('',#12227,#11563,#4008,.T.); +#16718=ADVANCED_FACE('',(#16717),#16710,.T.); +#16725=EDGE_CURVE('',#12225,#11561,#4012,.T.); +#16731=ADVANCED_FACE('',(#16730),#16723,.T.); +#16738=EDGE_CURVE('',#12223,#11559,#4016,.T.); +#16744=ADVANCED_FACE('',(#16743),#16736,.T.); +#16751=EDGE_CURVE('',#12221,#11557,#4020,.T.); +#16757=ADVANCED_FACE('',(#16756),#16749,.T.); +#16764=EDGE_CURVE('',#12219,#11555,#4024,.T.); +#16770=ADVANCED_FACE('',(#16769),#16762,.T.); +#16777=EDGE_CURVE('',#12217,#11553,#4028,.T.); +#16783=ADVANCED_FACE('',(#16782),#16775,.T.); +#16790=EDGE_CURVE('',#12215,#11551,#4032,.T.); +#16796=ADVANCED_FACE('',(#16795),#16788,.T.); +#16803=EDGE_CURVE('',#12213,#11549,#4036,.T.); +#16809=ADVANCED_FACE('',(#16808),#16801,.T.); +#16816=EDGE_CURVE('',#12211,#11547,#4040,.T.); +#16822=ADVANCED_FACE('',(#16821),#16814,.T.); +#16829=EDGE_CURVE('',#12209,#11545,#4044,.T.); +#16835=ADVANCED_FACE('',(#16834),#16827,.T.); +#16842=EDGE_CURVE('',#12207,#11543,#4048,.T.); +#16848=ADVANCED_FACE('',(#16847),#16840,.T.); +#16855=EDGE_CURVE('',#12205,#11541,#4052,.T.); +#16861=ADVANCED_FACE('',(#16860),#16853,.T.); +#16868=EDGE_CURVE('',#12203,#11539,#4056,.T.); +#16874=ADVANCED_FACE('',(#16873),#16866,.T.); +#16881=EDGE_CURVE('',#12201,#11537,#4060,.T.); +#16887=ADVANCED_FACE('',(#16886),#16879,.T.); +#16894=EDGE_CURVE('',#12199,#11535,#4064,.T.); +#16900=ADVANCED_FACE('',(#16899),#16892,.T.); +#16907=EDGE_CURVE('',#12197,#11533,#4068,.T.); +#16913=ADVANCED_FACE('',(#16912),#16905,.T.); +#16920=EDGE_CURVE('',#12195,#11531,#4072,.T.); +#16926=ADVANCED_FACE('',(#16925),#16918,.T.); +#16933=EDGE_CURVE('',#12193,#11529,#4076,.T.); +#16939=ADVANCED_FACE('',(#16938),#16931,.T.); +#16946=EDGE_CURVE('',#12191,#11527,#4080,.T.); +#16952=ADVANCED_FACE('',(#16951),#16944,.T.); +#16959=EDGE_CURVE('',#12189,#11525,#4084,.T.); +#16965=ADVANCED_FACE('',(#16964),#16957,.T.); +#16972=EDGE_CURVE('',#12187,#11523,#4088,.T.); +#16978=ADVANCED_FACE('',(#16977),#16970,.T.); +#16985=EDGE_CURVE('',#12185,#11521,#4092,.T.); +#16991=ADVANCED_FACE('',(#16990),#16983,.T.); +#16998=EDGE_CURVE('',#12183,#11519,#4096,.T.); +#17004=ADVANCED_FACE('',(#17003),#16996,.T.); +#17011=EDGE_CURVE('',#12181,#11517,#4100,.T.); +#17017=ADVANCED_FACE('',(#17016),#17009,.T.); +#17024=EDGE_CURVE('',#12179,#11515,#4104,.T.); +#17030=ADVANCED_FACE('',(#17029),#17022,.T.); +#17042=ADVANCED_FACE('',(#17041),#17035,.T.); +#17049=EDGE_CURVE('',#12244,#11580,#4112,.T.); +#17052=EDGE_CURVE('',#12245,#11581,#4172,.T.); +#17056=ADVANCED_FACE('',(#17055),#17047,.T.); +#17063=EDGE_CURVE('',#12273,#11609,#4116,.T.); +#17069=ADVANCED_FACE('',(#17068),#17061,.T.); +#17076=EDGE_CURVE('',#12271,#11607,#4120,.T.); +#17082=ADVANCED_FACE('',(#17081),#17074,.T.); +#17089=EDGE_CURVE('',#12269,#11605,#4124,.T.); +#17095=ADVANCED_FACE('',(#17094),#17087,.T.); +#17102=EDGE_CURVE('',#12267,#11603,#4128,.T.); +#17108=ADVANCED_FACE('',(#17107),#17100,.T.); +#17115=EDGE_CURVE('',#12265,#11601,#4132,.T.); +#17121=ADVANCED_FACE('',(#17120),#17113,.T.); +#17128=EDGE_CURVE('',#12263,#11599,#4136,.T.); +#17134=ADVANCED_FACE('',(#17133),#17126,.T.); +#17141=EDGE_CURVE('',#12261,#11597,#4140,.T.); +#17147=ADVANCED_FACE('',(#17146),#17139,.T.); +#17154=EDGE_CURVE('',#12259,#11595,#4144,.T.); +#17160=ADVANCED_FACE('',(#17159),#17152,.T.); +#17167=EDGE_CURVE('',#12257,#11593,#4148,.T.); +#17173=ADVANCED_FACE('',(#17172),#17165,.T.); +#17180=EDGE_CURVE('',#12255,#11591,#4152,.T.); +#17186=ADVANCED_FACE('',(#17185),#17178,.T.); +#17193=EDGE_CURVE('',#12253,#11589,#4156,.T.); +#17199=ADVANCED_FACE('',(#17198),#17191,.T.); +#17206=EDGE_CURVE('',#12251,#11587,#4160,.T.); +#17212=ADVANCED_FACE('',(#17211),#17204,.T.); +#17219=EDGE_CURVE('',#12249,#11585,#4164,.T.); +#17225=ADVANCED_FACE('',(#17224),#17217,.T.); +#17232=EDGE_CURVE('',#12247,#11583,#4168,.T.); +#17238=ADVANCED_FACE('',(#17237),#17230,.T.); +#17250=ADVANCED_FACE('',(#17249),#17243,.T.); +#17257=EDGE_CURVE('',#12276,#11612,#4176,.T.); +#17260=EDGE_CURVE('',#12277,#11613,#4236,.T.); +#17264=ADVANCED_FACE('',(#17263),#17255,.T.); +#17271=EDGE_CURVE('',#12305,#11641,#4180,.T.); +#17277=ADVANCED_FACE('',(#17276),#17269,.T.); +#17284=EDGE_CURVE('',#12303,#11639,#4184,.T.); +#17290=ADVANCED_FACE('',(#17289),#17282,.T.); +#17297=EDGE_CURVE('',#12301,#11637,#4188,.T.); +#17303=ADVANCED_FACE('',(#17302),#17295,.T.); +#17310=EDGE_CURVE('',#12299,#11635,#4192,.T.); +#17316=ADVANCED_FACE('',(#17315),#17308,.T.); +#17323=EDGE_CURVE('',#12297,#11633,#4196,.T.); +#17329=ADVANCED_FACE('',(#17328),#17321,.T.); +#17336=EDGE_CURVE('',#12295,#11631,#4200,.T.); +#17342=ADVANCED_FACE('',(#17341),#17334,.T.); +#17349=EDGE_CURVE('',#12293,#11629,#4204,.T.); +#17355=ADVANCED_FACE('',(#17354),#17347,.T.); +#17362=EDGE_CURVE('',#12291,#11627,#4208,.T.); +#17368=ADVANCED_FACE('',(#17367),#17360,.T.); +#17375=EDGE_CURVE('',#12289,#11625,#4212,.T.); +#17381=ADVANCED_FACE('',(#17380),#17373,.T.); +#17388=EDGE_CURVE('',#12287,#11623,#4216,.T.); +#17394=ADVANCED_FACE('',(#17393),#17386,.T.); +#17401=EDGE_CURVE('',#12285,#11621,#4220,.T.); +#17407=ADVANCED_FACE('',(#17406),#17399,.T.); +#17414=EDGE_CURVE('',#12283,#11619,#4224,.T.); +#17420=ADVANCED_FACE('',(#17419),#17412,.T.); +#17427=EDGE_CURVE('',#12281,#11617,#4228,.T.); +#17433=ADVANCED_FACE('',(#17432),#17425,.T.); +#17440=EDGE_CURVE('',#12279,#11615,#4232,.T.); +#17446=ADVANCED_FACE('',(#17445),#17438,.T.); +#17458=ADVANCED_FACE('',(#17457),#17451,.T.); +#17465=EDGE_CURVE('',#12308,#11644,#4240,.T.); +#17468=EDGE_CURVE('',#12309,#11645,#4264,.T.); +#17472=ADVANCED_FACE('',(#17471),#17463,.T.); +#17479=EDGE_CURVE('',#12319,#11655,#4244,.T.); +#17485=ADVANCED_FACE('',(#17484),#17477,.T.); +#17492=EDGE_CURVE('',#12317,#11653,#4248,.T.); +#17498=ADVANCED_FACE('',(#17497),#17490,.T.); +#17505=EDGE_CURVE('',#12315,#11651,#4252,.T.); +#17511=ADVANCED_FACE('',(#17510),#17503,.T.); +#17518=EDGE_CURVE('',#12313,#11649,#4256,.T.); +#17524=ADVANCED_FACE('',(#17523),#17516,.T.); +#17531=EDGE_CURVE('',#12311,#11647,#4260,.T.); +#17537=ADVANCED_FACE('',(#17536),#17529,.T.); +#17549=ADVANCED_FACE('',(#17548),#17542,.T.); +#17556=EDGE_CURVE('',#12322,#11658,#4268,.T.); +#17559=EDGE_CURVE('',#12323,#11659,#4424,.T.); +#17563=ADVANCED_FACE('',(#17562),#17554,.T.); +#17570=EDGE_CURVE('',#12399,#11735,#4272,.T.); +#17576=ADVANCED_FACE('',(#17575),#17568,.T.); +#17583=EDGE_CURVE('',#12397,#11733,#4276,.T.); +#17589=ADVANCED_FACE('',(#17588),#17581,.T.); +#17596=EDGE_CURVE('',#12395,#11731,#4280,.T.); +#17602=ADVANCED_FACE('',(#17601),#17594,.T.); +#17609=EDGE_CURVE('',#12393,#11729,#4284,.T.); +#17615=ADVANCED_FACE('',(#17614),#17607,.T.); +#17622=EDGE_CURVE('',#12391,#11727,#4288,.T.); +#17628=ADVANCED_FACE('',(#17627),#17620,.T.); +#17635=EDGE_CURVE('',#12389,#11725,#4292,.T.); +#17641=ADVANCED_FACE('',(#17640),#17633,.T.); +#17648=EDGE_CURVE('',#12387,#11723,#4296,.T.); +#17654=ADVANCED_FACE('',(#17653),#17646,.T.); +#17661=EDGE_CURVE('',#12385,#11721,#4300,.T.); +#17667=ADVANCED_FACE('',(#17666),#17659,.T.); +#17674=EDGE_CURVE('',#12383,#11719,#4304,.T.); +#17680=ADVANCED_FACE('',(#17679),#17672,.T.); +#17687=EDGE_CURVE('',#12381,#11717,#4308,.T.); +#17693=ADVANCED_FACE('',(#17692),#17685,.T.); +#17700=EDGE_CURVE('',#12379,#11715,#4312,.T.); +#17706=ADVANCED_FACE('',(#17705),#17698,.T.); +#17713=EDGE_CURVE('',#12377,#11713,#4316,.T.); +#17719=ADVANCED_FACE('',(#17718),#17711,.T.); +#17726=EDGE_CURVE('',#12375,#11711,#4320,.T.); +#17732=ADVANCED_FACE('',(#17731),#17724,.T.); +#17739=EDGE_CURVE('',#12373,#11709,#4324,.T.); +#17745=ADVANCED_FACE('',(#17744),#17737,.T.); +#17752=EDGE_CURVE('',#12371,#11707,#4328,.T.); +#17758=ADVANCED_FACE('',(#17757),#17750,.T.); +#17765=EDGE_CURVE('',#12369,#11705,#4332,.T.); +#17771=ADVANCED_FACE('',(#17770),#17763,.T.); +#17778=EDGE_CURVE('',#12367,#11703,#4336,.T.); +#17784=ADVANCED_FACE('',(#17783),#17776,.T.); +#17791=EDGE_CURVE('',#12365,#11701,#4340,.T.); +#17797=ADVANCED_FACE('',(#17796),#17789,.T.); +#17804=EDGE_CURVE('',#12363,#11699,#4344,.T.); +#17810=ADVANCED_FACE('',(#17809),#17802,.T.); +#17817=EDGE_CURVE('',#12361,#11697,#4348,.T.); +#17823=ADVANCED_FACE('',(#17822),#17815,.T.); +#17830=EDGE_CURVE('',#12359,#11695,#4352,.T.); +#17836=ADVANCED_FACE('',(#17835),#17828,.T.); +#17843=EDGE_CURVE('',#12357,#11693,#4356,.T.); +#17849=ADVANCED_FACE('',(#17848),#17841,.T.); +#17856=EDGE_CURVE('',#12355,#11691,#4360,.T.); +#17862=ADVANCED_FACE('',(#17861),#17854,.T.); +#17869=EDGE_CURVE('',#12353,#11689,#4364,.T.); +#17875=ADVANCED_FACE('',(#17874),#17867,.T.); +#17882=EDGE_CURVE('',#12351,#11687,#4368,.T.); +#17888=ADVANCED_FACE('',(#17887),#17880,.T.); +#17895=EDGE_CURVE('',#12349,#11685,#4372,.T.); +#17901=ADVANCED_FACE('',(#17900),#17893,.T.); +#17908=EDGE_CURVE('',#12347,#11683,#4376,.T.); +#17914=ADVANCED_FACE('',(#17913),#17906,.T.); +#17921=EDGE_CURVE('',#12345,#11681,#4380,.T.); +#17927=ADVANCED_FACE('',(#17926),#17919,.T.); +#17934=EDGE_CURVE('',#12343,#11679,#4384,.T.); +#17940=ADVANCED_FACE('',(#17939),#17932,.T.); +#17947=EDGE_CURVE('',#12341,#11677,#4388,.T.); +#17953=ADVANCED_FACE('',(#17952),#17945,.T.); +#17960=EDGE_CURVE('',#12339,#11675,#4392,.T.); +#17966=ADVANCED_FACE('',(#17965),#17958,.T.); +#17973=EDGE_CURVE('',#12337,#11673,#4396,.T.); +#17979=ADVANCED_FACE('',(#17978),#17971,.T.); +#17986=EDGE_CURVE('',#12335,#11671,#4400,.T.); +#17992=ADVANCED_FACE('',(#17991),#17984,.T.); +#17999=EDGE_CURVE('',#12333,#11669,#4404,.T.); +#18005=ADVANCED_FACE('',(#18004),#17997,.T.); +#18012=EDGE_CURVE('',#12331,#11667,#4408,.T.); +#18018=ADVANCED_FACE('',(#18017),#18010,.T.); +#18025=EDGE_CURVE('',#12329,#11665,#4412,.T.); +#18031=ADVANCED_FACE('',(#18030),#18023,.T.); +#18038=EDGE_CURVE('',#12327,#11663,#4416,.T.); +#18044=ADVANCED_FACE('',(#18043),#18036,.T.); +#18051=EDGE_CURVE('',#12325,#11661,#4420,.T.); +#18057=ADVANCED_FACE('',(#18056),#18049,.T.); +#18069=ADVANCED_FACE('',(#18068),#18062,.T.); +#18076=EDGE_CURVE('',#12402,#11738,#4428,.T.); +#18079=EDGE_CURVE('',#12403,#11739,#4536,.T.); +#18083=ADVANCED_FACE('',(#18082),#18074,.T.); +#18090=EDGE_CURVE('',#12455,#11791,#4432,.T.); +#18096=ADVANCED_FACE('',(#18095),#18088,.T.); +#18103=EDGE_CURVE('',#12453,#11789,#4436,.T.); +#18109=ADVANCED_FACE('',(#18108),#18101,.T.); +#18116=EDGE_CURVE('',#12451,#11787,#4440,.T.); +#18122=ADVANCED_FACE('',(#18121),#18114,.T.); +#18129=EDGE_CURVE('',#12449,#11785,#4444,.T.); +#18135=ADVANCED_FACE('',(#18134),#18127,.T.); +#18142=EDGE_CURVE('',#12447,#11783,#4448,.T.); +#18148=ADVANCED_FACE('',(#18147),#18140,.T.); +#18155=EDGE_CURVE('',#12445,#11781,#4452,.T.); +#18161=ADVANCED_FACE('',(#18160),#18153,.T.); +#18168=EDGE_CURVE('',#12443,#11779,#4456,.T.); +#18174=ADVANCED_FACE('',(#18173),#18166,.T.); +#18181=EDGE_CURVE('',#12441,#11777,#4460,.T.); +#18187=ADVANCED_FACE('',(#18186),#18179,.T.); +#18194=EDGE_CURVE('',#12439,#11775,#4464,.T.); +#18200=ADVANCED_FACE('',(#18199),#18192,.T.); +#18207=EDGE_CURVE('',#12437,#11773,#4468,.T.); +#18213=ADVANCED_FACE('',(#18212),#18205,.T.); +#18220=EDGE_CURVE('',#12435,#11771,#4472,.T.); +#18226=ADVANCED_FACE('',(#18225),#18218,.T.); +#18233=EDGE_CURVE('',#12433,#11769,#4476,.T.); +#18239=ADVANCED_FACE('',(#18238),#18231,.T.); +#18246=EDGE_CURVE('',#12431,#11767,#4480,.T.); +#18252=ADVANCED_FACE('',(#18251),#18244,.T.); +#18259=EDGE_CURVE('',#12429,#11765,#4484,.T.); +#18265=ADVANCED_FACE('',(#18264),#18257,.T.); +#18272=EDGE_CURVE('',#12427,#11763,#4488,.T.); +#18278=ADVANCED_FACE('',(#18277),#18270,.T.); +#18285=EDGE_CURVE('',#12425,#11761,#4492,.T.); +#18291=ADVANCED_FACE('',(#18290),#18283,.T.); +#18298=EDGE_CURVE('',#12423,#11759,#4496,.T.); +#18304=ADVANCED_FACE('',(#18303),#18296,.T.); +#18311=EDGE_CURVE('',#12421,#11757,#4500,.T.); +#18317=ADVANCED_FACE('',(#18316),#18309,.T.); +#18324=EDGE_CURVE('',#12419,#11755,#4504,.T.); +#18330=ADVANCED_FACE('',(#18329),#18322,.T.); +#18337=EDGE_CURVE('',#12417,#11753,#4508,.T.); +#18343=ADVANCED_FACE('',(#18342),#18335,.T.); +#18350=EDGE_CURVE('',#12415,#11751,#4512,.T.); +#18356=ADVANCED_FACE('',(#18355),#18348,.T.); +#18363=EDGE_CURVE('',#12413,#11749,#4516,.T.); +#18369=ADVANCED_FACE('',(#18368),#18361,.T.); +#18376=EDGE_CURVE('',#12411,#11747,#4520,.T.); +#18382=ADVANCED_FACE('',(#18381),#18374,.T.); +#18389=EDGE_CURVE('',#12409,#11745,#4524,.T.); +#18395=ADVANCED_FACE('',(#18394),#18387,.T.); +#18402=EDGE_CURVE('',#12407,#11743,#4528,.T.); +#18408=ADVANCED_FACE('',(#18407),#18400,.T.); +#18415=EDGE_CURVE('',#12405,#11741,#4532,.T.); +#18421=ADVANCED_FACE('',(#18420),#18413,.T.); +#18433=ADVANCED_FACE('',(#18432),#18426,.T.); +#18440=EDGE_CURVE('',#12565,#11794,#4540,.T.); +#18443=EDGE_CURVE('',#12567,#11795,#4764,.T.); +#18447=ADVANCED_FACE('',(#18446),#18438,.T.); +#18454=EDGE_CURVE('',#12563,#11905,#4544,.T.); +#18460=ADVANCED_FACE('',(#18459),#18452,.T.); +#18467=EDGE_CURVE('',#12561,#11903,#4548,.T.); +#18473=ADVANCED_FACE('',(#18472),#18465,.T.); +#18480=EDGE_CURVE('',#12559,#11901,#4552,.T.); +#18486=ADVANCED_FACE('',(#18485),#18478,.T.); +#18493=EDGE_CURVE('',#12557,#11899,#4556,.T.); +#18499=ADVANCED_FACE('',(#18498),#18491,.T.); +#18506=EDGE_CURVE('',#12555,#11897,#4560,.T.); +#18512=ADVANCED_FACE('',(#18511),#18504,.T.); +#18519=EDGE_CURVE('',#12553,#11895,#4564,.T.); +#18525=ADVANCED_FACE('',(#18524),#18517,.T.); +#18532=EDGE_CURVE('',#12551,#11893,#4568,.T.); +#18538=ADVANCED_FACE('',(#18537),#18530,.T.); +#18545=EDGE_CURVE('',#12549,#11891,#4572,.T.); +#18551=ADVANCED_FACE('',(#18550),#18543,.T.); +#18558=EDGE_CURVE('',#12547,#11889,#4576,.T.); +#18564=ADVANCED_FACE('',(#18563),#18556,.T.); +#18571=EDGE_CURVE('',#12545,#11887,#4580,.T.); +#18577=ADVANCED_FACE('',(#18576),#18569,.T.); +#18584=EDGE_CURVE('',#12543,#11885,#4584,.T.); +#18590=ADVANCED_FACE('',(#18589),#18582,.T.); +#18597=EDGE_CURVE('',#12541,#11883,#4588,.T.); +#18603=ADVANCED_FACE('',(#18602),#18595,.T.); +#18610=EDGE_CURVE('',#12539,#11881,#4592,.T.); +#18616=ADVANCED_FACE('',(#18615),#18608,.T.); +#18623=EDGE_CURVE('',#12537,#11879,#4596,.T.); +#18629=ADVANCED_FACE('',(#18628),#18621,.T.); +#18636=EDGE_CURVE('',#12535,#11877,#4600,.T.); +#18642=ADVANCED_FACE('',(#18641),#18634,.T.); +#18649=EDGE_CURVE('',#12533,#11875,#4604,.T.); +#18655=ADVANCED_FACE('',(#18654),#18647,.T.); +#18662=EDGE_CURVE('',#12531,#11873,#4608,.T.); +#18668=ADVANCED_FACE('',(#18667),#18660,.T.); +#18675=EDGE_CURVE('',#12529,#11871,#4612,.T.); +#18681=ADVANCED_FACE('',(#18680),#18673,.T.); +#18688=EDGE_CURVE('',#12527,#11869,#4616,.T.); +#18694=ADVANCED_FACE('',(#18693),#18686,.T.); +#18701=EDGE_CURVE('',#12525,#11867,#4620,.T.); +#18707=ADVANCED_FACE('',(#18706),#18699,.T.); +#18714=EDGE_CURVE('',#12523,#11865,#4624,.T.); +#18720=ADVANCED_FACE('',(#18719),#18712,.T.); +#18727=EDGE_CURVE('',#12521,#11863,#4628,.T.); +#18733=ADVANCED_FACE('',(#18732),#18725,.T.); +#18740=EDGE_CURVE('',#12519,#11861,#4632,.T.); +#18746=ADVANCED_FACE('',(#18745),#18738,.T.); +#18753=EDGE_CURVE('',#12517,#11859,#4636,.T.); +#18759=ADVANCED_FACE('',(#18758),#18751,.T.); +#18766=EDGE_CURVE('',#12515,#11857,#4640,.T.); +#18772=ADVANCED_FACE('',(#18771),#18764,.T.); +#18779=EDGE_CURVE('',#12513,#11855,#4644,.T.); +#18785=ADVANCED_FACE('',(#18784),#18777,.T.); +#18792=EDGE_CURVE('',#12511,#11853,#4648,.T.); +#18798=ADVANCED_FACE('',(#18797),#18790,.T.); +#18805=EDGE_CURVE('',#12509,#11851,#4652,.T.); +#18811=ADVANCED_FACE('',(#18810),#18803,.T.); +#18818=EDGE_CURVE('',#12507,#11849,#4656,.T.); +#18824=ADVANCED_FACE('',(#18823),#18816,.T.); +#18831=EDGE_CURVE('',#12505,#11847,#4660,.T.); +#18837=ADVANCED_FACE('',(#18836),#18829,.T.); +#18844=EDGE_CURVE('',#12503,#11845,#4664,.T.); +#18850=ADVANCED_FACE('',(#18849),#18842,.T.); +#18857=EDGE_CURVE('',#12501,#11843,#4668,.T.); +#18863=ADVANCED_FACE('',(#18862),#18855,.T.); +#18870=EDGE_CURVE('',#12499,#11841,#4672,.T.); +#18876=ADVANCED_FACE('',(#18875),#18868,.T.); +#18883=EDGE_CURVE('',#12497,#11839,#4676,.T.); +#18889=ADVANCED_FACE('',(#18888),#18881,.T.); +#18896=EDGE_CURVE('',#12495,#11837,#4680,.T.); +#18902=ADVANCED_FACE('',(#18901),#18894,.T.); +#18909=EDGE_CURVE('',#12493,#11835,#4684,.T.); +#18915=ADVANCED_FACE('',(#18914),#18907,.T.); +#18922=EDGE_CURVE('',#12491,#11833,#4688,.T.); +#18928=ADVANCED_FACE('',(#18927),#18920,.T.); +#18935=EDGE_CURVE('',#12489,#11831,#4692,.T.); +#18941=ADVANCED_FACE('',(#18940),#18933,.T.); +#18948=EDGE_CURVE('',#12487,#11829,#4696,.T.); +#18954=ADVANCED_FACE('',(#18953),#18946,.T.); +#18961=EDGE_CURVE('',#12485,#11827,#4700,.T.); +#18967=ADVANCED_FACE('',(#18966),#18959,.T.); +#18974=EDGE_CURVE('',#12483,#11825,#4704,.T.); +#18980=ADVANCED_FACE('',(#18979),#18972,.T.); +#18987=EDGE_CURVE('',#12481,#11823,#4708,.T.); +#18993=ADVANCED_FACE('',(#18992),#18985,.T.); +#19000=EDGE_CURVE('',#12479,#11821,#4712,.T.); +#19006=ADVANCED_FACE('',(#19005),#18998,.T.); +#19013=EDGE_CURVE('',#12477,#11819,#4716,.T.); +#19019=ADVANCED_FACE('',(#19018),#19011,.T.); +#19026=EDGE_CURVE('',#12475,#11817,#4720,.T.); +#19032=ADVANCED_FACE('',(#19031),#19024,.T.); +#19039=EDGE_CURVE('',#12473,#11815,#4724,.T.); +#19045=ADVANCED_FACE('',(#19044),#19037,.T.); +#19052=EDGE_CURVE('',#12471,#11813,#4728,.T.); +#19058=ADVANCED_FACE('',(#19057),#19050,.T.); +#19065=EDGE_CURVE('',#12469,#11811,#4732,.T.); +#19071=ADVANCED_FACE('',(#19070),#19063,.T.); +#19078=EDGE_CURVE('',#12467,#11809,#4736,.T.); +#19084=ADVANCED_FACE('',(#19083),#19076,.T.); +#19091=EDGE_CURVE('',#12465,#11807,#4740,.T.); +#19097=ADVANCED_FACE('',(#19096),#19089,.T.); +#19104=EDGE_CURVE('',#12463,#11805,#4744,.T.); +#19110=ADVANCED_FACE('',(#19109),#19102,.T.); +#19117=EDGE_CURVE('',#12461,#11803,#4748,.T.); +#19123=ADVANCED_FACE('',(#19122),#19115,.T.); +#19130=EDGE_CURVE('',#12459,#11801,#4752,.T.); +#19136=ADVANCED_FACE('',(#19135),#19128,.T.); +#19143=EDGE_CURVE('',#12458,#11799,#4756,.T.); +#19149=ADVANCED_FACE('',(#19148),#19141,.T.); +#19156=EDGE_CURVE('',#12569,#11797,#4760,.T.); +#19162=ADVANCED_FACE('',(#19161),#19154,.T.); +#19174=ADVANCED_FACE('',(#19173),#19167,.T.); +#19181=EDGE_CURVE('',#10968,#10876,#4768,.T.); +#19183=EDGE_CURVE('',#10876,#10877,#4777,.T.); +#19185=EDGE_CURVE('',#10969,#10877,#4772,.T.); +#19189=ADVANCED_FACE('',(#19188),#19179,.T.); +#19197=EDGE_CURVE('',#10877,#10876,#4782,.T.); +#19202=ADVANCED_FACE('',(#19201),#19194,.T.); +#19212=ADVANCED_FACE('',(#19211),#19207,.T.); +#19218=EDGE_CURVE('',#10880,#10881,#4787,.T.); +#19220=EDGE_CURVE('',#10881,#10880,#4792,.T.); +#19224=ADVANCED_FACE('',(#19223),#19217,.T.); +#19230=EDGE_CURVE('',#10884,#10885,#4797,.T.); +#19232=EDGE_CURVE('',#10885,#10884,#4802,.T.); +#19236=ADVANCED_FACE('',(#19235),#19229,.T.); +#19242=EDGE_CURVE('',#10888,#10889,#4807,.T.); +#19244=EDGE_CURVE('',#10889,#10888,#4812,.T.); +#19248=ADVANCED_FACE('',(#19247),#19241,.T.); +#19254=EDGE_CURVE('',#10892,#10893,#4817,.T.); +#19256=EDGE_CURVE('',#10893,#10892,#4822,.T.); +#19260=ADVANCED_FACE('',(#19259),#19253,.T.); +#19266=EDGE_CURVE('',#10896,#10897,#4827,.T.); +#19268=EDGE_CURVE('',#10897,#10896,#4832,.T.); +#19272=ADVANCED_FACE('',(#19271),#19265,.T.); +#19278=EDGE_CURVE('',#10900,#10901,#4837,.T.); +#19280=EDGE_CURVE('',#10901,#10900,#4842,.T.); +#19284=ADVANCED_FACE('',(#19283),#19277,.T.); +#19290=EDGE_CURVE('',#10904,#10905,#4847,.T.); +#19292=EDGE_CURVE('',#10905,#10904,#4852,.T.); +#19296=ADVANCED_FACE('',(#19295),#19289,.T.); +#19302=EDGE_CURVE('',#10908,#10909,#4857,.T.); +#19304=EDGE_CURVE('',#10909,#10908,#4862,.T.); +#19308=ADVANCED_FACE('',(#19307),#19301,.T.); +#19314=EDGE_CURVE('',#10912,#10913,#4867,.T.); +#19316=EDGE_CURVE('',#10913,#10912,#4872,.T.); +#19320=ADVANCED_FACE('',(#19319),#19313,.T.); +#19326=EDGE_CURVE('',#10916,#10917,#4877,.T.); +#19328=EDGE_CURVE('',#10917,#10916,#4882,.T.); +#19332=ADVANCED_FACE('',(#19331),#19325,.T.); +#19338=EDGE_CURVE('',#10920,#10921,#4887,.T.); +#19340=EDGE_CURVE('',#10921,#10920,#4892,.T.); +#19344=ADVANCED_FACE('',(#19343),#19337,.T.); +#19350=EDGE_CURVE('',#10924,#10925,#4897,.T.); +#19352=EDGE_CURVE('',#10925,#10924,#4902,.T.); +#19356=ADVANCED_FACE('',(#19355),#19349,.T.); +#19362=EDGE_CURVE('',#10928,#10929,#4907,.T.); +#19364=EDGE_CURVE('',#10929,#10928,#4912,.T.); +#19368=ADVANCED_FACE('',(#19367),#19361,.T.); +#19374=EDGE_CURVE('',#10932,#10933,#4917,.T.); +#19376=EDGE_CURVE('',#10933,#10932,#4922,.T.); +#19380=ADVANCED_FACE('',(#19379),#19373,.T.); +#19386=EDGE_CURVE('',#10936,#10937,#4927,.T.); +#19388=EDGE_CURVE('',#10937,#10936,#4932,.T.); +#19392=ADVANCED_FACE('',(#19391),#19385,.T.); +#19398=EDGE_CURVE('',#10940,#10941,#4937,.T.); +#19400=EDGE_CURVE('',#10941,#10940,#4942,.T.); +#19404=ADVANCED_FACE('',(#19403),#19397,.T.); +#19410=EDGE_CURVE('',#10944,#10945,#4947,.T.); +#19412=EDGE_CURVE('',#10945,#10944,#4952,.T.); +#19416=ADVANCED_FACE('',(#19415),#19409,.T.); +#19422=EDGE_CURVE('',#10948,#10949,#4957,.T.); +#19424=EDGE_CURVE('',#10949,#10948,#4962,.T.); +#19428=ADVANCED_FACE('',(#19427),#19421,.T.); +#19434=EDGE_CURVE('',#10952,#10953,#4967,.T.); +#19436=EDGE_CURVE('',#10953,#10952,#4972,.T.); +#19440=ADVANCED_FACE('',(#19439),#19433,.T.); +#19446=EDGE_CURVE('',#10956,#10957,#4977,.T.); +#19448=EDGE_CURVE('',#10957,#10956,#4982,.T.); +#19452=ADVANCED_FACE('',(#19451),#19445,.T.); +#19458=EDGE_CURVE('',#10960,#10961,#4987,.T.); +#19460=EDGE_CURVE('',#10961,#10960,#4992,.T.); +#19464=ADVANCED_FACE('',(#19463),#19457,.T.); +#19470=EDGE_CURVE('',#10964,#10965,#4997,.T.); +#19472=EDGE_CURVE('',#10965,#10964,#5002,.T.); +#19476=ADVANCED_FACE('',(#19475),#19469,.T.); +#19483=EDGE_CURVE('',#10972,#10880,#5006,.T.); +#19486=EDGE_CURVE('',#10973,#10881,#5010,.T.); +#19490=ADVANCED_FACE('',(#19489),#19481,.T.); +#19502=ADVANCED_FACE('',(#19501),#19495,.T.); +#19509=EDGE_CURVE('',#10976,#10884,#5014,.T.); +#19512=EDGE_CURVE('',#10977,#10885,#5018,.T.); +#19516=ADVANCED_FACE('',(#19515),#19507,.T.); +#19528=ADVANCED_FACE('',(#19527),#19521,.T.); +#19535=EDGE_CURVE('',#10980,#10888,#5022,.T.); +#19538=EDGE_CURVE('',#10981,#10889,#5026,.T.); +#19542=ADVANCED_FACE('',(#19541),#19533,.T.); +#19554=ADVANCED_FACE('',(#19553),#19547,.T.); +#19561=EDGE_CURVE('',#10984,#10892,#5030,.T.); +#19564=EDGE_CURVE('',#10985,#10893,#5034,.T.); +#19568=ADVANCED_FACE('',(#19567),#19559,.T.); +#19580=ADVANCED_FACE('',(#19579),#19573,.T.); +#19587=EDGE_CURVE('',#10988,#10896,#5038,.T.); +#19590=EDGE_CURVE('',#10989,#10897,#5042,.T.); +#19594=ADVANCED_FACE('',(#19593),#19585,.T.); +#19606=ADVANCED_FACE('',(#19605),#19599,.T.); +#19613=EDGE_CURVE('',#10992,#10900,#5046,.T.); +#19616=EDGE_CURVE('',#10993,#10901,#5050,.T.); +#19620=ADVANCED_FACE('',(#19619),#19611,.T.); +#19632=ADVANCED_FACE('',(#19631),#19625,.T.); +#19639=EDGE_CURVE('',#10996,#10904,#5054,.T.); +#19642=EDGE_CURVE('',#10997,#10905,#5058,.T.); +#19646=ADVANCED_FACE('',(#19645),#19637,.T.); +#19658=ADVANCED_FACE('',(#19657),#19651,.T.); +#19665=EDGE_CURVE('',#11000,#10908,#5062,.T.); +#19668=EDGE_CURVE('',#11001,#10909,#5066,.T.); +#19672=ADVANCED_FACE('',(#19671),#19663,.T.); +#19684=ADVANCED_FACE('',(#19683),#19677,.T.); +#19691=EDGE_CURVE('',#11004,#10912,#5070,.T.); +#19694=EDGE_CURVE('',#11005,#10913,#5074,.T.); +#19698=ADVANCED_FACE('',(#19697),#19689,.T.); +#19710=ADVANCED_FACE('',(#19709),#19703,.T.); +#19717=EDGE_CURVE('',#11008,#10916,#5078,.T.); +#19720=EDGE_CURVE('',#11009,#10917,#5082,.T.); +#19724=ADVANCED_FACE('',(#19723),#19715,.T.); +#19736=ADVANCED_FACE('',(#19735),#19729,.T.); +#19743=EDGE_CURVE('',#11012,#10920,#5086,.T.); +#19746=EDGE_CURVE('',#11013,#10921,#5090,.T.); +#19750=ADVANCED_FACE('',(#19749),#19741,.T.); +#19762=ADVANCED_FACE('',(#19761),#19755,.T.); +#19769=EDGE_CURVE('',#11016,#10924,#5094,.T.); +#19772=EDGE_CURVE('',#11017,#10925,#5098,.T.); +#19776=ADVANCED_FACE('',(#19775),#19767,.T.); +#19788=ADVANCED_FACE('',(#19787),#19781,.T.); +#19795=EDGE_CURVE('',#11020,#10928,#5102,.T.); +#19798=EDGE_CURVE('',#11021,#10929,#5106,.T.); +#19802=ADVANCED_FACE('',(#19801),#19793,.T.); +#19814=ADVANCED_FACE('',(#19813),#19807,.T.); +#19821=EDGE_CURVE('',#11024,#10932,#5110,.T.); +#19824=EDGE_CURVE('',#11025,#10933,#5114,.T.); +#19828=ADVANCED_FACE('',(#19827),#19819,.T.); +#19840=ADVANCED_FACE('',(#19839),#19833,.T.); +#19847=EDGE_CURVE('',#11028,#10936,#5118,.T.); +#19850=EDGE_CURVE('',#11029,#10937,#5122,.T.); +#19854=ADVANCED_FACE('',(#19853),#19845,.T.); +#19866=ADVANCED_FACE('',(#19865),#19859,.T.); +#19873=EDGE_CURVE('',#11032,#10940,#5126,.T.); +#19876=EDGE_CURVE('',#11033,#10941,#5130,.T.); +#19880=ADVANCED_FACE('',(#19879),#19871,.T.); +#19892=ADVANCED_FACE('',(#19891),#19885,.T.); +#19899=EDGE_CURVE('',#11036,#10944,#5134,.T.); +#19902=EDGE_CURVE('',#11037,#10945,#5138,.T.); +#19906=ADVANCED_FACE('',(#19905),#19897,.T.); +#19918=ADVANCED_FACE('',(#19917),#19911,.T.); +#19925=EDGE_CURVE('',#11040,#10948,#5142,.T.); +#19928=EDGE_CURVE('',#11041,#10949,#5146,.T.); +#19932=ADVANCED_FACE('',(#19931),#19923,.T.); +#19944=ADVANCED_FACE('',(#19943),#19937,.T.); +#19951=EDGE_CURVE('',#11044,#10952,#5150,.T.); +#19954=EDGE_CURVE('',#11045,#10953,#5154,.T.); +#19958=ADVANCED_FACE('',(#19957),#19949,.T.); +#19970=ADVANCED_FACE('',(#19969),#19963,.T.); +#19977=EDGE_CURVE('',#11048,#10956,#5158,.T.); +#19980=EDGE_CURVE('',#11049,#10957,#5162,.T.); +#19984=ADVANCED_FACE('',(#19983),#19975,.T.); +#19996=ADVANCED_FACE('',(#19995),#19989,.T.); +#20003=EDGE_CURVE('',#11052,#10960,#5166,.T.); +#20006=EDGE_CURVE('',#11053,#10961,#5170,.T.); +#20010=ADVANCED_FACE('',(#20009),#20001,.T.); +#20022=ADVANCED_FACE('',(#20021),#20015,.T.); +#20029=EDGE_CURVE('',#11056,#10964,#5174,.T.); +#20032=EDGE_CURVE('',#11057,#10965,#5178,.T.); +#20036=ADVANCED_FACE('',(#20035),#20027,.T.); +#20048=ADVANCED_FACE('',(#20047),#20041,.T.); +#20056=EDGE_CURVE('',#10067,#10851,#5368,.T.); +#20058=EDGE_CURVE('',#10851,#10831,#5182,.T.); +#20062=ADVANCED_FACE('',(#20061),#20053,.T.); +#20068=EDGE_CURVE('',#10732,#10735,#5187,.T.); +#20070=EDGE_CURVE('',#10051,#10732,#5191,.T.); +#20073=EDGE_CURVE('',#10735,#10055,#5195,.T.); +#20077=ADVANCED_FACE('',(#20076),#20067,.T.); +#20083=EDGE_CURVE('',#10740,#10743,#5200,.T.); +#20085=EDGE_CURVE('',#10043,#10740,#5204,.T.); +#20088=EDGE_CURVE('',#10743,#10047,#5208,.T.); +#20092=ADVANCED_FACE('',(#20091),#20082,.T.); +#20098=EDGE_CURVE('',#10748,#10751,#5213,.T.); +#20100=EDGE_CURVE('',#10035,#10748,#5217,.T.); +#20103=EDGE_CURVE('',#10751,#10039,#5221,.T.); +#20107=ADVANCED_FACE('',(#20106),#20097,.T.); +#20113=EDGE_CURVE('',#10756,#10759,#5226,.T.); +#20115=EDGE_CURVE('',#10663,#10756,#5230,.T.); +#20118=EDGE_CURVE('',#10759,#10665,#5234,.T.); +#20122=ADVANCED_FACE('',(#20121),#20112,.T.); +#20128=EDGE_CURVE('',#10764,#10767,#5239,.T.); +#20130=EDGE_CURVE('',#10059,#10764,#5243,.T.); +#20133=EDGE_CURVE('',#10767,#10063,#5247,.T.); +#20137=ADVANCED_FACE('',(#20136),#20127,.T.); +#20143=EDGE_CURVE('',#10772,#10775,#5252,.T.); +#20145=EDGE_CURVE('',#10075,#10772,#5256,.T.); +#20148=EDGE_CURVE('',#10775,#10079,#5260,.T.); +#20152=ADVANCED_FACE('',(#20151),#20142,.T.); +#20158=EDGE_CURVE('',#10780,#10781,#5265,.T.); +#20160=EDGE_CURVE('',#10649,#10780,#5269,.T.); +#20162=EDGE_CURVE('',#10647,#10649,#5497,.T.); +#20164=EDGE_CURVE('',#10781,#10647,#5273,.T.); +#20168=ADVANCED_FACE('',(#20167),#20157,.T.); +#20174=EDGE_CURVE('',#10788,#10789,#5278,.T.); +#20176=EDGE_CURVE('',#9999,#10788,#5282,.T.); +#20178=EDGE_CURVE('',#9995,#9999,#5485,.T.); +#20180=EDGE_CURVE('',#10789,#9995,#5286,.T.); +#20184=ADVANCED_FACE('',(#20183),#20173,.T.); +#20190=EDGE_CURVE('',#10796,#10797,#5291,.T.); +#20192=EDGE_CURVE('',#10651,#10796,#5295,.T.); +#20194=EDGE_CURVE('',#10653,#10651,#5565,.T.); +#20196=EDGE_CURVE('',#10797,#10653,#5299,.T.); +#20200=ADVANCED_FACE('',(#20199),#20189,.T.); +#20206=EDGE_CURVE('',#10804,#10805,#5304,.T.); +#20208=EDGE_CURVE('',#10655,#10804,#5308,.T.); +#20210=EDGE_CURVE('',#10657,#10655,#5553,.T.); +#20212=EDGE_CURVE('',#10805,#10657,#5312,.T.); +#20216=ADVANCED_FACE('',(#20215),#20205,.T.); +#20222=EDGE_CURVE('',#10812,#10813,#5317,.T.); +#20224=EDGE_CURVE('',#10659,#10812,#5321,.T.); +#20226=EDGE_CURVE('',#10661,#10659,#5541,.T.); +#20228=EDGE_CURVE('',#10813,#10661,#5325,.T.); +#20232=ADVANCED_FACE('',(#20231),#20221,.T.); +#20240=EDGE_CURVE('',#10841,#10031,#5333,.T.); +#20242=EDGE_CURVE('',#10839,#10841,#5338,.T.); +#20246=ADVANCED_FACE('',(#20245),#20237,.T.); +#20252=EDGE_CURVE('',#10003,#10843,#5342,.T.); +#20254=EDGE_CURVE('',#10007,#10003,#5509,.T.); +#20256=EDGE_CURVE('',#10845,#10007,#5346,.T.); +#20258=EDGE_CURVE('',#10843,#10845,#5351,.T.); +#20262=ADVANCED_FACE('',(#20261),#20251,.T.); +#20268=EDGE_CURVE('',#10011,#10847,#5355,.T.); +#20270=EDGE_CURVE('',#10015,#10011,#5521,.T.); +#20272=EDGE_CURVE('',#10849,#10015,#5359,.T.); +#20274=EDGE_CURVE('',#10847,#10849,#5364,.T.); +#20278=ADVANCED_FACE('',(#20277),#20267,.T.); +#20286=EDGE_CURVE('',#10853,#10071,#5372,.T.); +#20288=EDGE_CURVE('',#10851,#10853,#5377,.T.); +#20292=ADVANCED_FACE('',(#20291),#20283,.T.); +#20298=EDGE_CURVE('',#10855,#10019,#5381,.T.); +#20300=EDGE_CURVE('',#10857,#10855,#5386,.T.); +#20302=EDGE_CURVE('',#10023,#10857,#5390,.T.); +#20304=EDGE_CURVE('',#10019,#10023,#5577,.T.); +#20308=ADVANCED_FACE('',(#20307),#20297,.T.); +#20314=EDGE_CURVE('',#10732,#10733,#5399,.T.); +#20317=EDGE_CURVE('',#10735,#10737,#5403,.T.); +#20322=ADVANCED_FACE('',(#20321),#20313,.T.); +#20334=ADVANCED_FACE('',(#20333),#20327,.T.); +#20346=ADVANCED_FACE('',(#20345),#20339,.T.); +#20352=EDGE_CURVE('',#10740,#10741,#5412,.T.); +#20355=EDGE_CURVE('',#10743,#10745,#5416,.T.); +#20360=ADVANCED_FACE('',(#20359),#20351,.T.); +#20372=ADVANCED_FACE('',(#20371),#20365,.T.); +#20384=ADVANCED_FACE('',(#20383),#20377,.T.); +#20390=EDGE_CURVE('',#10748,#10749,#5425,.T.); +#20393=EDGE_CURVE('',#10751,#10753,#5429,.T.); +#20398=ADVANCED_FACE('',(#20397),#20389,.T.); +#20410=ADVANCED_FACE('',(#20409),#20403,.T.); +#20422=ADVANCED_FACE('',(#20421),#20415,.T.); +#20428=EDGE_CURVE('',#10756,#10757,#5438,.T.); +#20431=EDGE_CURVE('',#10759,#10761,#5442,.T.); +#20436=ADVANCED_FACE('',(#20435),#20427,.T.); +#20448=ADVANCED_FACE('',(#20447),#20441,.T.); +#20460=ADVANCED_FACE('',(#20459),#20453,.T.); +#20466=EDGE_CURVE('',#10764,#10765,#5451,.T.); +#20469=EDGE_CURVE('',#10767,#10769,#5455,.T.); +#20474=ADVANCED_FACE('',(#20473),#20465,.T.); +#20486=ADVANCED_FACE('',(#20485),#20479,.T.); +#20498=ADVANCED_FACE('',(#20497),#20491,.T.); +#20504=EDGE_CURVE('',#10772,#10773,#5464,.T.); +#20507=EDGE_CURVE('',#10775,#10777,#5468,.T.); +#20512=ADVANCED_FACE('',(#20511),#20503,.T.); +#20524=ADVANCED_FACE('',(#20523),#20517,.T.); +#20536=ADVANCED_FACE('',(#20535),#20529,.T.); +#20543=EDGE_CURVE('',#10783,#10781,#5477,.T.); +#20546=EDGE_CURVE('',#10785,#10780,#5598,.T.); +#20550=ADVANCED_FACE('',(#20549),#20541,.T.); +#20558=EDGE_CURVE('',#10093,#10647,#5493,.T.); +#20563=ADVANCED_FACE('',(#20562),#20555,.T.); +#20569=EDGE_CURVE('',#9994,#9995,#5481,.T.); +#20572=EDGE_CURVE('',#9998,#9999,#5489,.T.); +#20577=EDGE_CURVE('',#10095,#10649,#5501,.T.); +#20580=EDGE_CURVE('',#10006,#10007,#5505,.T.); +#20583=EDGE_CURVE('',#10002,#10003,#5513,.T.); +#20586=EDGE_CURVE('',#10014,#10015,#5517,.T.); +#20589=EDGE_CURVE('',#10010,#10011,#5525,.T.); +#20592=EDGE_CURVE('',#10639,#9798,#5633,.T.); +#20595=EDGE_CURVE('',#10637,#9795,#5533,.T.); +#20598=EDGE_CURVE('',#10081,#10661,#5537,.T.); +#20601=EDGE_CURVE('',#10084,#10659,#5545,.T.); +#20604=EDGE_CURVE('',#10085,#10657,#5549,.T.); +#20607=EDGE_CURVE('',#10088,#10655,#5557,.T.); +#20610=EDGE_CURVE('',#10089,#10653,#5561,.T.); +#20613=EDGE_CURVE('',#10091,#10651,#5569,.T.); +#20616=EDGE_CURVE('',#10018,#10019,#5573,.T.); +#20619=EDGE_CURVE('',#10022,#10023,#5581,.T.); +#20624=ADVANCED_FACE('',(#20623),#20568,.F.); +#20630=EDGE_CURVE('',#10791,#10789,#5585,.T.); +#20637=ADVANCED_FACE('',(#20636),#20629,.T.); +#20646=EDGE_CURVE('',#10793,#10788,#5594,.T.); +#20650=ADVANCED_FACE('',(#20649),#20642,.T.); +#20662=ADVANCED_FACE('',(#20661),#20655,.T.); +#20674=ADVANCED_FACE('',(#20673),#20667,.T.); +#20681=EDGE_CURVE('',#10845,#10825,#5602,.T.); +#20687=ADVANCED_FACE('',(#20686),#20679,.T.); +#20693=EDGE_CURVE('',#10843,#10823,#5611,.T.); +#20700=ADVANCED_FACE('',(#20699),#20692,.T.); +#20712=ADVANCED_FACE('',(#20711),#20705,.T.); +#20719=EDGE_CURVE('',#10849,#10829,#5615,.T.); +#20725=ADVANCED_FACE('',(#20724),#20717,.T.); +#20731=EDGE_CURVE('',#10847,#10827,#5624,.T.); +#20738=ADVANCED_FACE('',(#20737),#20730,.T.); +#20750=ADVANCED_FACE('',(#20749),#20743,.T.); +#20759=EDGE_CURVE('',#10641,#9799,#5641,.T.); +#20763=ADVANCED_FACE('',(#20762),#20755,.F.); +#20772=EDGE_CURVE('',#10699,#10676,#5645,.T.); +#20774=EDGE_CURVE('',#10676,#10677,#5649,.T.); +#20776=EDGE_CURVE('',#10701,#10677,#5653,.T.); +#20779=EDGE_CURVE('',#10685,#10680,#5657,.T.); +#20781=EDGE_CURVE('',#10680,#10681,#5661,.T.); +#20783=EDGE_CURVE('',#10683,#10681,#5665,.T.); +#20786=EDGE_CURVE('',#10643,#9802,#5799,.T.); +#20790=ADVANCED_FACE('',(#20789),#20768,.F.); +#20798=EDGE_CURVE('',#10721,#10709,#5669,.T.); +#20800=EDGE_CURVE('',#10709,#10676,#5703,.T.); +#20804=ADVANCED_FACE('',(#20803),#20795,.T.); +#20811=EDGE_CURVE('',#10719,#10708,#5673,.T.); +#20813=EDGE_CURVE('',#10708,#10709,#5708,.T.); +#20818=ADVANCED_FACE('',(#20817),#20809,.T.); +#20825=EDGE_CURVE('',#10677,#10708,#5712,.T.); +#20831=ADVANCED_FACE('',(#20830),#20823,.T.); +#20837=EDGE_CURVE('',#10668,#10669,#5753,.T.); +#20839=EDGE_CURVE('',#10717,#10669,#5677,.T.); +#20841=EDGE_CURVE('',#10716,#10717,#5682,.T.); +#20843=EDGE_CURVE('',#10668,#10716,#5686,.T.); +#20847=ADVANCED_FACE('',(#20846),#20836,.T.); +#20853=EDGE_CURVE('',#10672,#10673,#5741,.T.); +#20855=EDGE_CURVE('',#10713,#10673,#5690,.T.); +#20857=EDGE_CURVE('',#10712,#10713,#5695,.T.); +#20859=EDGE_CURVE('',#10672,#10712,#5699,.T.); +#20863=ADVANCED_FACE('',(#20862),#20852,.T.); +#20875=ADVANCED_FACE('',(#20874),#20868,.T.); +#20882=EDGE_CURVE('',#10705,#10680,#5716,.T.); +#20884=EDGE_CURVE('',#10703,#10705,#5721,.T.); +#20886=EDGE_CURVE('',#10681,#10703,#5725,.T.); +#20890=ADVANCED_FACE('',(#20889),#20880,.T.); +#20899=EDGE_CURVE('',#10691,#10673,#5737,.T.); +#20902=EDGE_CURVE('',#10694,#10672,#5745,.T.); +#20905=EDGE_CURVE('',#10695,#10669,#5749,.T.); +#20908=EDGE_CURVE('',#10697,#10668,#5757,.T.); +#20911=EDGE_CURVE('',#10635,#9794,#5782,.T.); +#20915=ADVANCED_FACE('',(#20914),#20895,.F.); +#20923=EDGE_CURVE('',#10725,#10713,#5761,.T.); +#20928=ADVANCED_FACE('',(#20927),#20920,.T.); +#20935=EDGE_CURVE('',#10723,#10712,#5765,.T.); +#20941=ADVANCED_FACE('',(#20940),#20933,.T.); +#20953=ADVANCED_FACE('',(#20952),#20946,.T.); +#20961=EDGE_CURVE('',#10729,#10717,#5769,.T.); +#20966=ADVANCED_FACE('',(#20965),#20958,.T.); +#20973=EDGE_CURVE('',#10727,#10716,#5773,.T.); +#20979=ADVANCED_FACE('',(#20978),#20971,.T.); +#20991=ADVANCED_FACE('',(#20990),#20984,.T.); +#21003=ADVANCED_FACE('',(#21002),#20996,.F.); +#21011=EDGE_CURVE('',#10689,#10705,#5786,.T.); +#21016=ADVANCED_FACE('',(#21015),#21008,.T.); +#21023=EDGE_CURVE('',#10687,#10703,#5790,.T.); +#21029=ADVANCED_FACE('',(#21028),#21021,.T.); +#21041=ADVANCED_FACE('',(#21040),#21034,.T.); +#21053=ADVANCED_FACE('',(#21052),#21046,.F.); +#21059=EDGE_CURVE('',#10815,#10813,#5803,.T.); +#21066=ADVANCED_FACE('',(#21065),#21058,.T.); +#21075=EDGE_CURVE('',#10817,#10812,#5812,.T.); +#21079=ADVANCED_FACE('',(#21078),#21071,.T.); +#21091=ADVANCED_FACE('',(#21090),#21084,.T.); +#21097=EDGE_CURVE('',#10807,#10805,#5816,.T.); +#21104=ADVANCED_FACE('',(#21103),#21096,.T.); +#21113=EDGE_CURVE('',#10809,#10804,#5825,.T.); +#21117=ADVANCED_FACE('',(#21116),#21109,.T.); +#21129=ADVANCED_FACE('',(#21128),#21122,.T.); +#21135=EDGE_CURVE('',#10799,#10797,#5829,.T.); +#21142=ADVANCED_FACE('',(#21141),#21134,.T.); +#21151=EDGE_CURVE('',#10801,#10796,#5838,.T.); +#21155=ADVANCED_FACE('',(#21154),#21147,.T.); +#21167=ADVANCED_FACE('',(#21166),#21160,.T.); +#21174=EDGE_CURVE('',#10855,#10835,#5842,.T.); +#21180=ADVANCED_FACE('',(#21179),#21172,.T.); +#21186=EDGE_CURVE('',#10857,#10837,#5851,.T.); +#21193=ADVANCED_FACE('',(#21192),#21185,.T.); +#21205=ADVANCED_FACE('',(#21204),#21198,.T.); +#21212=EDGE_CURVE('',#10841,#10821,#5855,.T.); +#21218=ADVANCED_FACE('',(#21217),#21210,.T.); +#21230=ADVANCED_FACE('',(#21229),#21223,.T.); +#21237=EDGE_CURVE('',#10853,#10833,#5864,.T.); +#21243=ADVANCED_FACE('',(#21242),#21235,.T.); +#21255=ADVANCED_FACE('',(#21254),#21248,.T.); +#21261=EDGE_CURVE('',#12572,#12573,#5884,.T.); +#21263=EDGE_CURVE('',#12576,#12572,#5874,.T.); +#21266=EDGE_CURVE('',#12577,#12573,#5880,.T.); +#21270=ADVANCED_FACE('',(#21269),#21260,.T.); +#21277=EDGE_CURVE('',#12573,#9971,#5888,.T.); +#21279=EDGE_CURVE('',#9974,#9971,#5892,.T.); +#21281=EDGE_CURVE('',#12616,#9974,#5896,.T.); +#21283=EDGE_CURVE('',#12616,#12617,#5900,.T.); +#21285=EDGE_CURVE('',#12617,#9983,#5904,.T.); +#21287=EDGE_CURVE('',#9978,#9983,#5908,.T.); +#21289=EDGE_CURVE('',#12609,#9978,#5912,.T.); +#21291=EDGE_CURVE('',#12609,#12605,#5916,.T.); +#21293=EDGE_CURVE('',#12605,#9882,#9467,.T.); +#21295=EDGE_CURVE('',#9882,#9860,#6131,.T.); +#21297=EDGE_CURVE('',#9949,#9860,#9453,.T.); +#21299=EDGE_CURVE('',#9949,#9933,#5920,.T.); +#21301=EDGE_CURVE('',#9933,#9845,#5924,.T.); +#21303=EDGE_CURVE('',#9845,#9907,#6189,.T.); +#21305=EDGE_CURVE('',#12572,#9907,#5928,.T.); +#21309=ADVANCED_FACE('',(#21308),#21275,.T.); +#21318=EDGE_CURVE('',#9985,#12613,#5932,.T.); +#21320=EDGE_CURVE('',#9970,#9985,#5968,.T.); +#21322=EDGE_CURVE('',#9970,#9971,#5992,.T.); +#21326=ADVANCED_FACE('',(#21325),#21314,.F.); +#21334=EDGE_CURVE('',#9987,#12623,#5936,.T.); +#21336=EDGE_CURVE('',#9987,#9985,#5940,.T.); +#21340=ADVANCED_FACE('',(#21339),#21331,.T.); +#21346=EDGE_CURVE('',#9989,#12611,#5944,.T.); +#21348=EDGE_CURVE('',#9989,#9991,#5948,.T.); +#21350=EDGE_CURVE('',#9991,#12625,#5952,.T.); +#21355=ADVANCED_FACE('',(#21354),#21345,.T.); +#21363=EDGE_CURVE('',#12616,#12620,#5958,.T.); +#21366=EDGE_CURVE('',#9974,#9975,#5996,.T.); +#21368=EDGE_CURVE('',#9975,#9987,#5972,.T.); +#21372=ADVANCED_FACE('',(#21371),#21360,.F.); +#21381=EDGE_CURVE('',#12621,#12617,#5964,.T.); +#21385=ADVANCED_FACE('',(#21384),#21377,.T.); +#21395=EDGE_CURVE('',#9982,#9991,#5988,.T.); +#21397=EDGE_CURVE('',#9982,#9983,#6004,.T.); +#21401=ADVANCED_FACE('',(#21400),#21390,.F.); +#21410=EDGE_CURVE('',#9975,#9970,#5976,.T.); +#21414=ADVANCED_FACE('',(#21413),#21406,.T.); +#21420=EDGE_CURVE('',#9979,#9989,#5980,.T.); +#21422=EDGE_CURVE('',#9979,#9982,#5984,.T.); +#21428=ADVANCED_FACE('',(#21427),#21419,.T.); +#21440=ADVANCED_FACE('',(#21439),#21433,.T.); +#21446=EDGE_CURVE('',#9978,#9979,#6000,.T.); +#21453=ADVANCED_FACE('',(#21452),#21445,.T.); +#21461=EDGE_CURVE('',#12609,#12607,#6010,.T.); +#21468=ADVANCED_FACE('',(#21467),#21458,.F.); +#21475=EDGE_CURVE('',#12603,#12605,#6015,.T.); +#21481=ADVANCED_FACE('',(#21480),#21473,.T.); +#21488=EDGE_CURVE('',#12599,#12601,#6020,.T.); +#21490=EDGE_CURVE('',#12605,#12601,#9458,.T.); +#21495=ADVANCED_FACE('',(#21494),#21486,.T.); +#21502=EDGE_CURVE('',#12595,#12597,#6025,.T.); +#21504=EDGE_CURVE('',#12601,#12597,#9413,.T.); +#21509=ADVANCED_FACE('',(#21508),#21500,.T.); +#21516=EDGE_CURVE('',#12591,#12593,#6030,.T.); +#21518=EDGE_CURVE('',#12597,#12593,#9371,.T.); +#21523=ADVANCED_FACE('',(#21522),#21514,.T.); +#21530=EDGE_CURVE('',#12587,#12589,#6035,.T.); +#21532=EDGE_CURVE('',#12593,#12589,#9358,.T.); +#21537=ADVANCED_FACE('',(#21536),#21528,.T.); +#21544=EDGE_CURVE('',#12583,#12585,#6040,.T.); +#21546=EDGE_CURVE('',#12589,#12585,#9336,.T.); +#21551=ADVANCED_FACE('',(#21550),#21542,.T.); +#21558=EDGE_CURVE('',#12579,#12581,#6045,.T.); +#21560=EDGE_CURVE('',#12585,#12581,#9295,.T.); +#21565=ADVANCED_FACE('',(#21564),#21556,.T.); +#21573=EDGE_CURVE('',#12581,#12572,#6050,.T.); +#21578=ADVANCED_FACE('',(#21577),#21570,.T.); +#21586=EDGE_CURVE('',#9906,#9907,#6055,.T.); +#21588=EDGE_CURVE('',#12581,#9906,#6059,.T.); +#21592=ADVANCED_FACE('',(#21591),#21583,.T.); +#21598=EDGE_CURVE('',#9869,#9883,#6103,.T.); +#21600=EDGE_CURVE('',#9867,#9869,#6108,.T.); +#21602=EDGE_CURVE('',#9865,#9867,#6113,.T.); +#21604=EDGE_CURVE('',#9863,#9865,#6117,.T.); +#21606=EDGE_CURVE('',#9861,#9863,#6122,.T.); +#21608=EDGE_CURVE('',#9860,#9861,#6127,.T.); +#21611=EDGE_CURVE('',#9882,#9883,#9463,.T.); +#21615=EDGE_CURVE('',#9830,#9831,#6084,.T.); +#21617=EDGE_CURVE('',#9831,#9830,#6089,.T.); +#21621=ADVANCED_FACE('',(#21614,#21620),#21597,.T.); +#21627=EDGE_CURVE('',#9877,#9891,#6135,.T.); +#21629=EDGE_CURVE('',#9875,#9877,#6140,.T.); +#21631=EDGE_CURVE('',#9873,#9875,#6144,.T.); +#21633=EDGE_CURVE('',#9872,#9873,#6149,.T.); +#21635=EDGE_CURVE('',#9879,#9872,#6153,.T.); +#21637=EDGE_CURVE('',#9886,#9879,#6158,.T.); +#21639=EDGE_CURVE('',#9886,#9887,#9417,.T.); +#21641=EDGE_CURVE('',#9887,#9891,#9385,.T.); +#21645=EDGE_CURVE('',#9834,#9835,#6094,.T.); +#21647=EDGE_CURVE('',#9835,#9834,#6099,.T.); +#21651=ADVANCED_FACE('',(#21644,#21650),#21626,.T.); +#21657=EDGE_CURVE('',#9851,#9901,#6163,.T.); +#21659=EDGE_CURVE('',#9850,#9851,#6167,.T.); +#21661=EDGE_CURVE('',#9857,#9850,#6172,.T.); +#21663=EDGE_CURVE('',#9855,#9857,#6176,.T.); +#21665=EDGE_CURVE('',#9854,#9855,#6181,.T.); +#21667=EDGE_CURVE('',#9896,#9854,#6185,.T.); +#21669=EDGE_CURVE('',#9896,#9897,#9341,.T.); +#21671=EDGE_CURVE('',#9897,#9901,#9307,.T.); +#21675=EDGE_CURVE('',#9826,#9827,#6074,.T.); +#21677=EDGE_CURVE('',#9827,#9826,#6079,.T.); +#21681=ADVANCED_FACE('',(#21674,#21680),#21656,.T.); +#21688=EDGE_CURVE('',#9843,#9845,#6194,.T.); +#21690=EDGE_CURVE('',#9841,#9843,#6199,.T.); +#21692=EDGE_CURVE('',#9839,#9841,#6203,.T.); +#21694=EDGE_CURVE('',#9838,#9839,#6208,.T.); +#21696=EDGE_CURVE('',#9847,#9838,#6213,.T.); +#21698=EDGE_CURVE('',#9906,#9847,#6217,.T.); +#21703=EDGE_CURVE('',#9822,#9823,#6064,.T.); +#21705=EDGE_CURVE('',#9823,#9822,#6069,.T.); +#21709=ADVANCED_FACE('',(#21702,#21708),#21686,.T.); +#21715=EDGE_CURVE('',#9910,#9911,#6262,.T.); +#21717=EDGE_CURVE('',#9911,#9822,#6221,.T.); +#21720=EDGE_CURVE('',#9910,#9823,#9259,.T.); +#21724=ADVANCED_FACE('',(#21723),#21714,.F.); +#21730=EDGE_CURVE('',#9770,#9771,#6226,.T.); +#21732=EDGE_CURVE('',#9783,#9770,#6230,.T.); +#21734=EDGE_CURVE('',#9781,#9783,#6235,.T.); +#21736=EDGE_CURVE('',#9779,#9781,#6239,.T.); +#21738=EDGE_CURVE('',#9777,#9779,#6244,.T.); +#21740=EDGE_CURVE('',#9775,#9777,#6248,.T.); +#21742=EDGE_CURVE('',#9773,#9775,#6253,.T.); +#21744=EDGE_CURVE('',#9771,#9773,#6257,.T.); +#21748=EDGE_CURVE('',#10184,#10185,#6440,.T.); +#21750=EDGE_CURVE('',#10185,#10187,#6444,.T.); +#21752=EDGE_CURVE('',#10187,#10189,#6449,.T.); +#21754=EDGE_CURVE('',#10189,#10191,#6453,.T.); +#21756=EDGE_CURVE('',#10191,#10193,#6458,.T.); +#21758=EDGE_CURVE('',#10193,#10195,#6462,.T.); +#21760=EDGE_CURVE('',#10195,#10197,#6467,.T.); +#21762=EDGE_CURVE('',#10197,#10184,#6471,.T.); +#21766=ADVANCED_FACE('',(#21747,#21765),#21729,.T.); +#21773=EDGE_CURVE('',#9911,#9910,#6267,.T.); +#21777=ADVANCED_FACE('',(#21776),#21771,.T.); +#21783=EDGE_CURVE('',#9914,#9915,#6272,.T.); +#21785=EDGE_CURVE('',#9915,#9914,#6277,.T.); +#21789=ADVANCED_FACE('',(#21788),#21782,.T.); +#21795=EDGE_CURVE('',#9918,#9919,#6282,.T.); +#21797=EDGE_CURVE('',#9919,#9918,#6287,.T.); +#21801=ADVANCED_FACE('',(#21800),#21794,.T.); +#21807=EDGE_CURVE('',#9922,#9923,#6292,.T.); +#21809=EDGE_CURVE('',#9923,#9922,#6297,.T.); +#21813=ADVANCED_FACE('',(#21812),#21806,.T.); +#21819=EDGE_CURVE('',#10102,#10103,#6404,.T.); +#21821=EDGE_CURVE('',#10103,#10115,#6408,.T.); +#21823=EDGE_CURVE('',#10115,#10113,#6413,.T.); +#21825=EDGE_CURVE('',#10113,#10111,#6417,.T.); +#21827=EDGE_CURVE('',#10111,#10109,#6422,.T.); +#21829=EDGE_CURVE('',#10109,#10107,#6426,.T.); +#21831=EDGE_CURVE('',#10107,#10105,#6431,.T.); +#21833=EDGE_CURVE('',#10105,#10102,#6435,.T.); +#21837=EDGE_CURVE('',#9926,#9927,#6302,.T.); +#21839=EDGE_CURVE('',#9927,#9929,#6306,.T.); +#21841=EDGE_CURVE('',#9929,#9931,#6311,.T.); +#21843=EDGE_CURVE('',#9933,#9931,#6316,.T.); +#21846=EDGE_CURVE('',#9948,#9949,#6321,.T.); +#21848=EDGE_CURVE('',#9948,#9951,#6326,.T.); +#21850=EDGE_CURVE('',#9951,#9953,#6330,.T.); +#21852=EDGE_CURVE('',#9953,#9955,#6335,.T.); +#21854=EDGE_CURVE('',#9957,#9955,#6340,.T.); +#21856=EDGE_CURVE('',#9889,#9957,#9425,.T.); +#21858=EDGE_CURVE('',#9967,#9889,#6345,.T.); +#21860=EDGE_CURVE('',#9967,#9960,#6349,.T.); +#21862=EDGE_CURVE('',#9960,#9961,#6354,.T.); +#21864=EDGE_CURVE('',#9961,#9963,#6358,.T.); +#21866=EDGE_CURVE('',#9963,#9965,#6363,.T.); +#21868=EDGE_CURVE('',#9965,#9893,#6367,.T.); +#21870=EDGE_CURVE('',#9893,#9786,#9376,.T.); +#21872=EDGE_CURVE('',#9786,#9787,#9362,.T.); +#21874=EDGE_CURVE('',#9787,#9899,#9350,.T.); +#21876=EDGE_CURVE('',#9899,#9941,#6371,.T.); +#21878=EDGE_CURVE('',#9941,#9943,#6376,.T.); +#21880=EDGE_CURVE('',#9943,#9945,#6380,.T.); +#21882=EDGE_CURVE('',#9945,#9938,#6385,.T.); +#21884=EDGE_CURVE('',#9938,#9939,#6389,.T.); +#21886=EDGE_CURVE('',#9903,#9939,#6394,.T.); +#21888=EDGE_CURVE('',#9935,#9903,#9299,.T.); +#21890=EDGE_CURVE('',#9926,#9935,#6399,.T.); +#21894=ADVANCED_FACE('',(#21836,#21893),#21818,.T.); +#21900=EDGE_CURVE('',#12681,#12677,#6476,.T.); +#21902=EDGE_CURVE('',#9770,#12677,#6480,.T.); +#21905=EDGE_CURVE('',#9771,#10129,#6484,.T.); +#21907=EDGE_CURVE('',#10129,#10131,#8881,.T.); +#21909=EDGE_CURVE('',#12681,#10131,#9219,.T.); +#21913=ADVANCED_FACE('',(#21912),#21899,.T.); +#21919=EDGE_CURVE('',#12679,#12675,#6555,.T.); +#21921=EDGE_CURVE('',#12675,#12677,#9028,.T.); +#21924=EDGE_CURVE('',#12681,#12679,#6493,.T.); +#21928=ADVANCED_FACE('',(#21927),#21918,.T.); +#21934=EDGE_CURVE('',#12632,#12633,#6513,.T.); +#21936=EDGE_CURVE('',#12632,#12745,#6517,.T.); +#21938=EDGE_CURVE('',#10139,#12745,#6522,.T.); +#21940=EDGE_CURVE('',#10137,#10139,#6527,.T.); +#21942=EDGE_CURVE('',#10136,#10137,#6532,.T.); +#21944=EDGE_CURVE('',#12747,#10136,#6537,.T.); +#21946=EDGE_CURVE('',#12747,#12673,#6541,.T.); +#21948=EDGE_CURVE('',#12672,#12673,#6546,.T.); +#21950=EDGE_CURVE('',#12675,#12672,#6550,.T.); +#21953=EDGE_CURVE('',#12679,#12721,#6559,.T.); +#21955=EDGE_CURVE('',#10143,#12721,#6564,.T.); +#21957=EDGE_CURVE('',#10142,#10143,#6569,.T.); +#21959=EDGE_CURVE('',#10145,#10142,#6574,.T.); +#21961=EDGE_CURVE('',#12719,#10145,#6579,.T.); +#21963=EDGE_CURVE('',#12665,#12719,#6583,.T.); +#21965=EDGE_CURVE('',#12664,#12665,#6587,.T.); +#21967=EDGE_CURVE('',#12664,#12717,#6591,.T.); +#21969=EDGE_CURVE('',#10149,#12717,#6596,.T.); +#21971=EDGE_CURVE('',#10148,#10149,#6601,.T.); +#21973=EDGE_CURVE('',#10151,#10148,#6606,.T.); +#21975=EDGE_CURVE('',#12715,#10151,#6611,.T.); +#21977=EDGE_CURVE('',#12641,#12715,#6615,.T.); +#21979=EDGE_CURVE('',#12640,#12641,#6619,.T.); +#21981=EDGE_CURVE('',#12643,#12640,#6624,.T.); +#21983=EDGE_CURVE('',#12647,#12643,#6628,.T.); +#21985=EDGE_CURVE('',#12651,#12647,#6633,.T.); +#21987=EDGE_CURVE('',#12655,#12651,#6637,.T.); +#21989=EDGE_CURVE('',#12655,#12741,#6641,.T.); +#21991=EDGE_CURVE('',#10157,#12741,#6646,.T.); +#21993=EDGE_CURVE('',#10155,#10157,#6651,.T.); +#21995=EDGE_CURVE('',#10154,#10155,#6656,.T.); +#21997=EDGE_CURVE('',#12743,#10154,#6661,.T.); +#21999=EDGE_CURVE('',#12633,#12743,#6665,.T.); +#22003=EDGE_CURVE('',#9814,#9815,#6497,.T.); +#22005=EDGE_CURVE('',#9819,#9814,#6501,.T.); +#22007=EDGE_CURVE('',#9817,#9819,#6505,.T.); +#22009=EDGE_CURVE('',#9815,#9817,#6509,.T.); +#22013=ADVANCED_FACE('',(#22002,#22012),#21933,.F.); +#22019=EDGE_CURVE('',#10455,#10471,#6991,.T.); +#22021=EDGE_CURVE('',#10455,#10319,#6669,.T.); +#22023=EDGE_CURVE('',#10319,#10321,#7399,.T.); +#22025=EDGE_CURVE('',#10458,#10321,#8035,.T.); +#22027=EDGE_CURVE('',#10387,#10458,#6849,.T.); +#22029=EDGE_CURVE('',#10387,#10251,#6673,.T.); +#22031=EDGE_CURVE('',#10251,#10253,#7248,.T.); +#22033=EDGE_CURVE('',#10390,#10253,#7199,.T.); +#22035=EDGE_CURVE('',#9811,#10390,#6729,.T.); +#22037=EDGE_CURVE('',#9814,#9811,#6677,.T.); +#22040=EDGE_CURVE('',#9815,#9806,#8235,.T.); +#22042=EDGE_CURVE('',#10567,#9806,#7155,.T.); +#22044=EDGE_CURVE('',#10567,#10495,#6681,.T.); +#22046=EDGE_CURVE('',#10495,#10497,#7625,.T.); +#22048=EDGE_CURVE('',#10471,#10497,#8163,.T.); +#22052=ADVANCED_FACE('',(#22051),#22018,.T.); +#22058=EDGE_CURVE('',#10336,#10337,#6686,.T.); +#22060=EDGE_CURVE('',#10337,#10339,#6690,.T.); +#22062=EDGE_CURVE('',#10339,#10341,#6695,.T.); +#22064=EDGE_CURVE('',#10341,#10343,#6699,.T.); +#22066=EDGE_CURVE('',#10343,#10345,#6704,.T.); +#22068=EDGE_CURVE('',#10345,#10347,#6708,.T.); +#22070=EDGE_CURVE('',#10347,#10349,#6713,.T.); +#22072=EDGE_CURVE('',#10351,#10349,#6717,.T.); +#22074=EDGE_CURVE('',#10351,#9810,#6721,.T.); +#22076=EDGE_CURVE('',#9810,#9811,#6725,.T.); +#22079=EDGE_CURVE('',#10390,#10391,#6733,.T.); +#22081=EDGE_CURVE('',#10391,#10393,#6738,.T.); +#22083=EDGE_CURVE('',#10393,#10395,#6742,.T.); +#22085=EDGE_CURVE('',#10395,#10397,#6747,.T.); +#22087=EDGE_CURVE('',#10397,#10399,#6751,.T.); +#22089=EDGE_CURVE('',#10399,#10401,#6756,.T.); +#22091=EDGE_CURVE('',#10401,#10403,#6760,.T.); +#22093=EDGE_CURVE('',#10403,#10405,#6765,.T.); +#22095=EDGE_CURVE('',#10405,#10336,#6769,.T.); +#22099=ADVANCED_FACE('',(#22098),#22057,.F.); +#22105=EDGE_CURVE('',#10354,#10355,#6773,.T.); +#22107=EDGE_CURVE('',#10355,#10357,#6778,.T.); +#22109=EDGE_CURVE('',#10357,#10359,#6782,.T.); +#22111=EDGE_CURVE('',#10359,#10361,#6787,.T.); +#22113=EDGE_CURVE('',#10361,#10363,#6791,.T.); +#22115=EDGE_CURVE('',#10363,#10365,#6796,.T.); +#22117=EDGE_CURVE('',#10365,#10367,#6800,.T.); +#22119=EDGE_CURVE('',#10367,#10369,#6805,.T.); +#22121=EDGE_CURVE('',#10369,#10371,#6809,.T.); +#22123=EDGE_CURVE('',#10371,#10373,#6814,.T.); +#22125=EDGE_CURVE('',#10373,#10375,#6818,.T.); +#22127=EDGE_CURVE('',#10375,#10377,#6823,.T.); +#22129=EDGE_CURVE('',#10377,#10379,#6827,.T.); +#22131=EDGE_CURVE('',#10379,#10381,#6832,.T.); +#22133=EDGE_CURVE('',#10381,#10383,#6836,.T.); +#22135=EDGE_CURVE('',#10383,#10385,#6841,.T.); +#22137=EDGE_CURVE('',#10387,#10385,#6845,.T.); +#22140=EDGE_CURVE('',#10458,#10459,#6853,.T.); +#22142=EDGE_CURVE('',#10459,#10461,#6858,.T.); +#22144=EDGE_CURVE('',#10461,#10463,#6862,.T.); +#22146=EDGE_CURVE('',#10463,#10465,#6867,.T.); +#22148=EDGE_CURVE('',#10465,#10467,#6871,.T.); +#22150=EDGE_CURVE('',#10467,#10469,#6876,.T.); +#22152=EDGE_CURVE('',#10469,#10408,#6880,.T.); +#22154=EDGE_CURVE('',#10408,#10409,#6885,.T.); +#22156=EDGE_CURVE('',#10409,#10411,#6889,.T.); +#22158=EDGE_CURVE('',#10411,#10413,#6894,.T.); +#22160=EDGE_CURVE('',#10413,#10415,#6898,.T.); +#22162=EDGE_CURVE('',#10415,#10417,#6903,.T.); +#22164=EDGE_CURVE('',#10417,#10419,#6907,.T.); +#22166=EDGE_CURVE('',#10419,#10421,#6912,.T.); +#22168=EDGE_CURVE('',#10423,#10421,#6916,.T.); +#22170=EDGE_CURVE('',#10423,#10354,#6920,.T.); +#22174=ADVANCED_FACE('',(#22173),#22104,.F.); +#22180=EDGE_CURVE('',#10426,#10427,#6924,.T.); +#22182=EDGE_CURVE('',#10427,#10429,#6929,.T.); +#22184=EDGE_CURVE('',#10429,#10431,#6933,.T.); +#22186=EDGE_CURVE('',#10431,#10433,#6938,.T.); +#22188=EDGE_CURVE('',#10433,#10435,#6942,.T.); +#22190=EDGE_CURVE('',#10435,#10437,#6947,.T.); +#22192=EDGE_CURVE('',#10437,#10439,#6951,.T.); +#22194=EDGE_CURVE('',#10439,#10441,#6956,.T.); +#22196=EDGE_CURVE('',#10441,#10443,#6960,.T.); +#22198=EDGE_CURVE('',#10443,#10445,#6965,.T.); +#22200=EDGE_CURVE('',#10445,#10447,#6969,.T.); +#22202=EDGE_CURVE('',#10447,#10449,#6974,.T.); +#22204=EDGE_CURVE('',#10449,#10451,#6978,.T.); +#22206=EDGE_CURVE('',#10451,#10453,#6983,.T.); +#22208=EDGE_CURVE('',#10455,#10453,#6987,.T.); +#22211=EDGE_CURVE('',#10471,#10569,#6995,.T.); +#22213=EDGE_CURVE('',#10569,#10571,#7000,.T.); +#22215=EDGE_CURVE('',#10571,#10573,#7004,.T.); +#22217=EDGE_CURVE('',#10573,#10575,#7009,.T.); +#22219=EDGE_CURVE('',#10575,#10577,#7013,.T.); +#22221=EDGE_CURVE('',#10577,#10579,#7018,.T.); +#22223=EDGE_CURVE('',#10579,#10581,#7022,.T.); +#22225=EDGE_CURVE('',#10581,#10583,#7027,.T.); +#22227=EDGE_CURVE('',#10583,#10585,#7031,.T.); +#22229=EDGE_CURVE('',#10585,#10587,#7036,.T.); +#22231=EDGE_CURVE('',#10587,#10589,#7040,.T.); +#22233=EDGE_CURVE('',#10589,#10591,#7045,.T.); +#22235=EDGE_CURVE('',#10591,#10593,#7049,.T.); +#22237=EDGE_CURVE('',#10593,#10595,#7054,.T.); +#22239=EDGE_CURVE('',#10595,#10597,#7058,.T.); +#22241=EDGE_CURVE('',#10597,#10599,#7063,.T.); +#22243=EDGE_CURVE('',#10475,#10599,#7067,.T.); +#22245=EDGE_CURVE('',#10475,#10426,#7071,.T.); +#22249=ADVANCED_FACE('',(#22248),#22179,.F.); +#22255=EDGE_CURVE('',#9807,#10473,#7075,.T.); +#22257=EDGE_CURVE('',#10473,#10601,#7079,.T.); +#22259=EDGE_CURVE('',#10601,#10603,#7084,.T.); +#22261=EDGE_CURVE('',#10603,#10605,#7088,.T.); +#22263=EDGE_CURVE('',#10605,#10607,#7093,.T.); +#22265=EDGE_CURVE('',#10607,#10609,#7097,.T.); +#22267=EDGE_CURVE('',#10609,#10611,#7102,.T.); +#22269=EDGE_CURVE('',#10611,#10613,#7106,.T.); +#22271=EDGE_CURVE('',#10613,#10550,#7111,.T.); +#22273=EDGE_CURVE('',#10550,#10551,#7115,.T.); +#22275=EDGE_CURVE('',#10551,#10553,#7120,.T.); +#22277=EDGE_CURVE('',#10553,#10555,#7124,.T.); +#22279=EDGE_CURVE('',#10555,#10557,#7129,.T.); +#22281=EDGE_CURVE('',#10557,#10559,#7133,.T.); +#22283=EDGE_CURVE('',#10559,#10561,#7138,.T.); +#22285=EDGE_CURVE('',#10561,#10563,#7142,.T.); +#22287=EDGE_CURVE('',#10563,#10565,#7147,.T.); +#22289=EDGE_CURVE('',#10567,#10565,#7151,.T.); +#22292=EDGE_CURVE('',#9806,#9807,#7159,.T.); +#22296=ADVANCED_FACE('',(#22295),#22254,.F.); +#22303=EDGE_CURVE('',#10336,#10200,#7163,.T.); +#22305=EDGE_CURVE('',#10200,#10201,#7204,.T.); +#22307=EDGE_CURVE('',#10337,#10201,#8267,.T.); +#22311=ADVANCED_FACE('',(#22310),#22301,.T.); +#22318=EDGE_CURVE('',#10405,#10269,#7167,.T.); +#22320=EDGE_CURVE('',#10269,#10200,#7208,.T.); +#22325=ADVANCED_FACE('',(#22324),#22316,.T.); +#22332=EDGE_CURVE('',#10403,#10267,#7171,.T.); +#22334=EDGE_CURVE('',#10267,#10269,#7213,.T.); +#22339=ADVANCED_FACE('',(#22338),#22330,.T.); +#22346=EDGE_CURVE('',#10401,#10265,#7175,.T.); +#22348=EDGE_CURVE('',#10265,#10267,#7217,.T.); +#22353=ADVANCED_FACE('',(#22352),#22344,.T.); +#22360=EDGE_CURVE('',#10399,#10263,#7179,.T.); +#22362=EDGE_CURVE('',#10263,#10265,#7222,.T.); +#22367=ADVANCED_FACE('',(#22366),#22358,.T.); +#22374=EDGE_CURVE('',#10397,#10261,#7183,.T.); +#22376=EDGE_CURVE('',#10261,#10263,#7226,.T.); +#22381=ADVANCED_FACE('',(#22380),#22372,.T.); +#22388=EDGE_CURVE('',#10395,#10259,#7187,.T.); +#22390=EDGE_CURVE('',#10259,#10261,#7231,.T.); +#22395=ADVANCED_FACE('',(#22394),#22386,.T.); +#22402=EDGE_CURVE('',#10393,#10257,#7191,.T.); +#22404=EDGE_CURVE('',#10257,#10259,#7235,.T.); +#22409=ADVANCED_FACE('',(#22408),#22400,.T.); +#22416=EDGE_CURVE('',#10391,#10255,#7195,.T.); +#22418=EDGE_CURVE('',#10255,#10257,#7240,.T.); +#22423=ADVANCED_FACE('',(#22422),#22414,.T.); +#22431=EDGE_CURVE('',#10253,#10255,#7244,.T.); +#22436=ADVANCED_FACE('',(#22435),#22428,.T.); +#22453=EDGE_CURVE('',#10249,#10251,#7252,.T.); +#22455=EDGE_CURVE('',#10247,#10249,#7257,.T.); +#22457=EDGE_CURVE('',#10245,#10247,#7261,.T.); +#22459=EDGE_CURVE('',#10243,#10245,#7266,.T.); +#22461=EDGE_CURVE('',#10241,#10243,#7270,.T.); +#22463=EDGE_CURVE('',#10239,#10241,#7275,.T.); +#22465=EDGE_CURVE('',#10237,#10239,#7279,.T.); +#22467=EDGE_CURVE('',#10235,#10237,#7284,.T.); +#22469=EDGE_CURVE('',#10233,#10235,#7288,.T.); +#22471=EDGE_CURVE('',#10231,#10233,#7293,.T.); +#22473=EDGE_CURVE('',#10229,#10231,#7297,.T.); +#22475=EDGE_CURVE('',#10227,#10229,#7302,.T.); +#22477=EDGE_CURVE('',#10225,#10227,#7306,.T.); +#22479=EDGE_CURVE('',#10223,#10225,#7311,.T.); +#22481=EDGE_CURVE('',#10221,#10223,#7315,.T.); +#22483=EDGE_CURVE('',#10219,#10221,#7320,.T.); +#22485=EDGE_CURVE('',#10217,#10219,#7324,.T.); +#22487=EDGE_CURVE('',#10215,#10217,#7328,.T.); +#22489=EDGE_CURVE('',#10213,#10215,#7332,.T.); +#22491=EDGE_CURVE('',#10211,#10213,#7337,.T.); +#22493=EDGE_CURVE('',#10209,#10211,#7341,.T.); +#22495=EDGE_CURVE('',#10207,#10209,#7346,.T.); +#22497=EDGE_CURVE('',#10205,#10207,#7350,.T.); +#22499=EDGE_CURVE('',#10203,#10205,#7355,.T.); +#22501=EDGE_CURVE('',#10201,#10203,#7359,.T.); +#22505=EDGE_CURVE('',#11152,#11153,#7666,.T.); +#22507=EDGE_CURVE('',#11153,#11152,#7671,.T.); +#22511=EDGE_CURVE('',#11156,#11157,#7676,.T.); +#22513=EDGE_CURVE('',#11157,#11156,#7681,.T.); +#22517=EDGE_CURVE('',#11160,#11161,#7686,.T.); +#22519=EDGE_CURVE('',#11161,#11160,#7691,.T.); +#22523=EDGE_CURVE('',#11164,#11165,#7696,.T.); +#22525=EDGE_CURVE('',#11165,#11164,#7701,.T.); +#22529=EDGE_CURVE('',#11168,#11169,#7706,.T.); +#22531=EDGE_CURVE('',#11169,#11168,#7711,.T.); +#22535=EDGE_CURVE('',#11172,#11173,#7716,.T.); +#22537=EDGE_CURVE('',#11173,#11172,#7721,.T.); +#22541=EDGE_CURVE('',#11176,#11177,#7726,.T.); +#22543=EDGE_CURVE('',#11177,#11176,#7731,.T.); +#22547=EDGE_CURVE('',#11180,#11181,#7736,.T.); +#22549=EDGE_CURVE('',#11181,#11180,#7741,.T.); +#22553=ADVANCED_FACE('',(#22504,#22510,#22516,#22522,#22528,#22534,#22540, +#22546,#22552),#22441,.T.); +#22559=EDGE_CURVE('',#10272,#10273,#7364,.T.); +#22561=EDGE_CURVE('',#10333,#10272,#7368,.T.); +#22563=EDGE_CURVE('',#10331,#10333,#7373,.T.); +#22565=EDGE_CURVE('',#10329,#10331,#7377,.T.); +#22567=EDGE_CURVE('',#10327,#10329,#7382,.T.); +#22569=EDGE_CURVE('',#10325,#10327,#7386,.T.); +#22571=EDGE_CURVE('',#10323,#10325,#7391,.T.); +#22573=EDGE_CURVE('',#10321,#10323,#7395,.T.); +#22576=EDGE_CURVE('',#10317,#10319,#7403,.T.); +#22578=EDGE_CURVE('',#10315,#10317,#7408,.T.); +#22580=EDGE_CURVE('',#10313,#10315,#7412,.T.); +#22582=EDGE_CURVE('',#10311,#10313,#7417,.T.); +#22584=EDGE_CURVE('',#10309,#10311,#7421,.T.); +#22586=EDGE_CURVE('',#10307,#10309,#7426,.T.); +#22588=EDGE_CURVE('',#10305,#10307,#7430,.T.); +#22590=EDGE_CURVE('',#10303,#10305,#7435,.T.); +#22592=EDGE_CURVE('',#10301,#10303,#7439,.T.); +#22594=EDGE_CURVE('',#10299,#10301,#7444,.T.); +#22596=EDGE_CURVE('',#10297,#10299,#7448,.T.); +#22598=EDGE_CURVE('',#10295,#10297,#7453,.T.); +#22600=EDGE_CURVE('',#10293,#10295,#7457,.T.); +#22602=EDGE_CURVE('',#10291,#10293,#7462,.T.); +#22604=EDGE_CURVE('',#10289,#10291,#7466,.T.); +#22606=EDGE_CURVE('',#10287,#10289,#7470,.T.); +#22608=EDGE_CURVE('',#10285,#10287,#7474,.T.); +#22610=EDGE_CURVE('',#10283,#10285,#7479,.T.); +#22612=EDGE_CURVE('',#10281,#10283,#7483,.T.); +#22614=EDGE_CURVE('',#10279,#10281,#7488,.T.); +#22616=EDGE_CURVE('',#10277,#10279,#7492,.T.); +#22618=EDGE_CURVE('',#10275,#10277,#7497,.T.); +#22620=EDGE_CURVE('',#10273,#10275,#7501,.T.); +#22624=EDGE_CURVE('',#11184,#11185,#7746,.T.); +#22626=EDGE_CURVE('',#11185,#11184,#7751,.T.); +#22630=EDGE_CURVE('',#11188,#11189,#7756,.T.); +#22632=EDGE_CURVE('',#11189,#11188,#7761,.T.); +#22636=EDGE_CURVE('',#11192,#11193,#7766,.T.); +#22638=EDGE_CURVE('',#11193,#11192,#7771,.T.); +#22642=EDGE_CURVE('',#11196,#11197,#7776,.T.); +#22644=EDGE_CURVE('',#11197,#11196,#7781,.T.); +#22648=EDGE_CURVE('',#11200,#11201,#7786,.T.); +#22650=EDGE_CURVE('',#11201,#11200,#7791,.T.); +#22654=EDGE_CURVE('',#11204,#11205,#7796,.T.); +#22656=EDGE_CURVE('',#11205,#11204,#7801,.T.); +#22660=EDGE_CURVE('',#11208,#11209,#7806,.T.); +#22662=EDGE_CURVE('',#11209,#11208,#7811,.T.); +#22666=ADVANCED_FACE('',(#22623,#22629,#22635,#22641,#22647,#22653,#22659, +#22665),#22558,.T.); +#22672=EDGE_CURVE('',#10478,#10479,#7505,.T.); +#22674=EDGE_CURVE('',#10547,#10478,#7510,.T.); +#22676=EDGE_CURVE('',#10545,#10547,#7514,.T.); +#22678=EDGE_CURVE('',#10543,#10545,#7519,.T.); +#22680=EDGE_CURVE('',#10541,#10543,#7523,.T.); +#22682=EDGE_CURVE('',#10539,#10541,#7528,.T.); +#22684=EDGE_CURVE('',#10537,#10539,#7532,.T.); +#22686=EDGE_CURVE('',#10535,#10537,#7537,.T.); +#22688=EDGE_CURVE('',#10533,#10535,#7541,.T.); +#22690=EDGE_CURVE('',#10531,#10533,#7545,.T.); +#22692=EDGE_CURVE('',#10529,#10531,#7549,.T.); +#22694=EDGE_CURVE('',#10527,#10529,#7554,.T.); +#22696=EDGE_CURVE('',#10525,#10527,#7558,.T.); +#22698=EDGE_CURVE('',#10523,#10525,#7563,.T.); +#22700=EDGE_CURVE('',#10521,#10523,#7567,.T.); +#22702=EDGE_CURVE('',#10519,#10521,#7572,.T.); +#22704=EDGE_CURVE('',#10517,#10519,#7576,.T.); +#22706=EDGE_CURVE('',#10515,#10517,#7581,.T.); +#22708=EDGE_CURVE('',#10513,#10515,#7585,.T.); +#22710=EDGE_CURVE('',#10511,#10513,#7590,.T.); +#22712=EDGE_CURVE('',#10509,#10511,#7594,.T.); +#22714=EDGE_CURVE('',#10507,#10509,#7599,.T.); +#22716=EDGE_CURVE('',#10505,#10507,#7603,.T.); +#22718=EDGE_CURVE('',#10503,#10505,#7608,.T.); +#22720=EDGE_CURVE('',#10501,#10503,#7612,.T.); +#22722=EDGE_CURVE('',#10499,#10501,#7617,.T.); +#22724=EDGE_CURVE('',#10497,#10499,#7621,.T.); +#22727=EDGE_CURVE('',#10493,#10495,#7629,.T.); +#22729=EDGE_CURVE('',#10491,#10493,#7634,.T.); +#22731=EDGE_CURVE('',#10489,#10491,#7638,.T.); +#22733=EDGE_CURVE('',#10487,#10489,#7643,.T.); +#22735=EDGE_CURVE('',#10485,#10487,#7647,.T.); +#22737=EDGE_CURVE('',#10483,#10485,#7652,.T.); +#22739=EDGE_CURVE('',#10481,#10483,#7656,.T.); +#22741=EDGE_CURVE('',#10479,#10481,#7661,.T.); +#22745=EDGE_CURVE('',#11212,#11213,#7816,.T.); +#22747=EDGE_CURVE('',#11213,#11212,#7821,.T.); +#22751=EDGE_CURVE('',#11216,#11217,#7826,.T.); +#22753=EDGE_CURVE('',#11217,#11216,#7831,.T.); +#22757=EDGE_CURVE('',#11220,#11221,#7836,.T.); +#22759=EDGE_CURVE('',#11221,#11220,#7841,.T.); +#22763=EDGE_CURVE('',#11224,#11225,#7846,.T.); +#22765=EDGE_CURVE('',#11225,#11224,#7851,.T.); +#22769=EDGE_CURVE('',#11228,#11229,#7856,.T.); +#22771=EDGE_CURVE('',#11229,#11228,#7861,.T.); +#22775=EDGE_CURVE('',#11232,#11233,#7866,.T.); +#22777=EDGE_CURVE('',#11233,#11232,#7871,.T.); +#22781=EDGE_CURVE('',#11236,#11237,#7876,.T.); +#22783=EDGE_CURVE('',#11237,#11236,#7881,.T.); +#22787=EDGE_CURVE('',#11240,#11241,#7886,.T.); +#22789=EDGE_CURVE('',#11241,#11240,#7891,.T.); +#22793=ADVANCED_FACE('',(#22744,#22750,#22756,#22762,#22768,#22774,#22780, +#22786,#22792),#22671,.T.); +#22800=EDGE_CURVE('',#10385,#10249,#7895,.T.); +#22806=ADVANCED_FACE('',(#22805),#22798,.T.); +#22813=EDGE_CURVE('',#10383,#10247,#7899,.T.); +#22819=ADVANCED_FACE('',(#22818),#22811,.T.); +#22826=EDGE_CURVE('',#10381,#10245,#7903,.T.); +#22832=ADVANCED_FACE('',(#22831),#22824,.T.); +#22839=EDGE_CURVE('',#10379,#10243,#7907,.T.); +#22845=ADVANCED_FACE('',(#22844),#22837,.T.); +#22852=EDGE_CURVE('',#10377,#10241,#7911,.T.); +#22858=ADVANCED_FACE('',(#22857),#22850,.T.); +#22865=EDGE_CURVE('',#10375,#10239,#7915,.T.); +#22871=ADVANCED_FACE('',(#22870),#22863,.T.); +#22878=EDGE_CURVE('',#10373,#10237,#7919,.T.); +#22884=ADVANCED_FACE('',(#22883),#22876,.T.); +#22891=EDGE_CURVE('',#10371,#10235,#7923,.T.); +#22897=ADVANCED_FACE('',(#22896),#22889,.T.); +#22904=EDGE_CURVE('',#10369,#10233,#7927,.T.); +#22910=ADVANCED_FACE('',(#22909),#22902,.T.); +#22917=EDGE_CURVE('',#10367,#10231,#7931,.T.); +#22923=ADVANCED_FACE('',(#22922),#22915,.T.); +#22930=EDGE_CURVE('',#10365,#10229,#7935,.T.); +#22936=ADVANCED_FACE('',(#22935),#22928,.T.); +#22943=EDGE_CURVE('',#10363,#10227,#7939,.T.); +#22949=ADVANCED_FACE('',(#22948),#22941,.T.); +#22956=EDGE_CURVE('',#10361,#10225,#7943,.T.); +#22962=ADVANCED_FACE('',(#22961),#22954,.T.); +#22969=EDGE_CURVE('',#10359,#10223,#7947,.T.); +#22975=ADVANCED_FACE('',(#22974),#22967,.T.); +#22982=EDGE_CURVE('',#10357,#10221,#7951,.T.); +#22988=ADVANCED_FACE('',(#22987),#22980,.T.); +#22995=EDGE_CURVE('',#10355,#10219,#7955,.T.); +#23001=ADVANCED_FACE('',(#23000),#22993,.T.); +#23008=EDGE_CURVE('',#10354,#10217,#7959,.T.); +#23014=ADVANCED_FACE('',(#23013),#23006,.T.); +#23021=EDGE_CURVE('',#10423,#10287,#7963,.T.); +#23024=EDGE_CURVE('',#10426,#10289,#8039,.T.); +#23027=EDGE_CURVE('',#10475,#10531,#7967,.T.); +#23030=EDGE_CURVE('',#10473,#10533,#8167,.T.); +#23033=EDGE_CURVE('',#9817,#9807,#7971,.T.); +#23036=EDGE_CURVE('',#9819,#9810,#8239,.T.); +#23039=EDGE_CURVE('',#10351,#10215,#7975,.T.); +#23045=ADVANCED_FACE('',(#23044),#23019,.T.); +#23052=EDGE_CURVE('',#10421,#10285,#7979,.T.); +#23058=ADVANCED_FACE('',(#23057),#23050,.T.); +#23065=EDGE_CURVE('',#10419,#10283,#7983,.T.); +#23071=ADVANCED_FACE('',(#23070),#23063,.T.); +#23078=EDGE_CURVE('',#10417,#10281,#7987,.T.); +#23084=ADVANCED_FACE('',(#23083),#23076,.T.); +#23091=EDGE_CURVE('',#10415,#10279,#7991,.T.); +#23097=ADVANCED_FACE('',(#23096),#23089,.T.); +#23104=EDGE_CURVE('',#10413,#10277,#7995,.T.); +#23110=ADVANCED_FACE('',(#23109),#23102,.T.); +#23117=EDGE_CURVE('',#10411,#10275,#7999,.T.); +#23123=ADVANCED_FACE('',(#23122),#23115,.T.); +#23130=EDGE_CURVE('',#10409,#10273,#8003,.T.); +#23136=ADVANCED_FACE('',(#23135),#23128,.T.); +#23143=EDGE_CURVE('',#10408,#10272,#8007,.T.); +#23149=ADVANCED_FACE('',(#23148),#23141,.T.); +#23156=EDGE_CURVE('',#10469,#10333,#8011,.T.); +#23162=ADVANCED_FACE('',(#23161),#23154,.T.); +#23169=EDGE_CURVE('',#10467,#10331,#8015,.T.); +#23175=ADVANCED_FACE('',(#23174),#23167,.T.); +#23182=EDGE_CURVE('',#10465,#10329,#8019,.T.); +#23188=ADVANCED_FACE('',(#23187),#23180,.T.); +#23195=EDGE_CURVE('',#10463,#10327,#8023,.T.); +#23201=ADVANCED_FACE('',(#23200),#23193,.T.); +#23208=EDGE_CURVE('',#10461,#10325,#8027,.T.); +#23214=ADVANCED_FACE('',(#23213),#23206,.T.); +#23221=EDGE_CURVE('',#10459,#10323,#8031,.T.); +#23227=ADVANCED_FACE('',(#23226),#23219,.T.); +#23239=ADVANCED_FACE('',(#23238),#23232,.T.); +#23248=EDGE_CURVE('',#10427,#10291,#8043,.T.); +#23252=ADVANCED_FACE('',(#23251),#23244,.T.); +#23261=EDGE_CURVE('',#10429,#10293,#8047,.T.); +#23265=ADVANCED_FACE('',(#23264),#23257,.T.); +#23274=EDGE_CURVE('',#10431,#10295,#8051,.T.); +#23278=ADVANCED_FACE('',(#23277),#23270,.T.); +#23287=EDGE_CURVE('',#10433,#10297,#8055,.T.); +#23291=ADVANCED_FACE('',(#23290),#23283,.T.); +#23300=EDGE_CURVE('',#10435,#10299,#8059,.T.); +#23304=ADVANCED_FACE('',(#23303),#23296,.T.); +#23313=EDGE_CURVE('',#10437,#10301,#8063,.T.); +#23317=ADVANCED_FACE('',(#23316),#23309,.T.); +#23326=EDGE_CURVE('',#10439,#10303,#8067,.T.); +#23330=ADVANCED_FACE('',(#23329),#23322,.T.); +#23339=EDGE_CURVE('',#10441,#10305,#8071,.T.); +#23343=ADVANCED_FACE('',(#23342),#23335,.T.); +#23352=EDGE_CURVE('',#10443,#10307,#8075,.T.); +#23356=ADVANCED_FACE('',(#23355),#23348,.T.); +#23365=EDGE_CURVE('',#10445,#10309,#8079,.T.); +#23369=ADVANCED_FACE('',(#23368),#23361,.T.); +#23378=EDGE_CURVE('',#10447,#10311,#8083,.T.); +#23382=ADVANCED_FACE('',(#23381),#23374,.T.); +#23391=EDGE_CURVE('',#10449,#10313,#8087,.T.); +#23395=ADVANCED_FACE('',(#23394),#23387,.T.); +#23404=EDGE_CURVE('',#10451,#10315,#8091,.T.); +#23408=ADVANCED_FACE('',(#23407),#23400,.T.); +#23417=EDGE_CURVE('',#10453,#10317,#8095,.T.); +#23421=ADVANCED_FACE('',(#23420),#23413,.T.); +#23433=ADVANCED_FACE('',(#23432),#23426,.T.); +#23440=EDGE_CURVE('',#10599,#10529,#8099,.T.); +#23446=ADVANCED_FACE('',(#23445),#23438,.T.); +#23453=EDGE_CURVE('',#10597,#10527,#8103,.T.); +#23459=ADVANCED_FACE('',(#23458),#23451,.T.); +#23466=EDGE_CURVE('',#10595,#10525,#8107,.T.); +#23472=ADVANCED_FACE('',(#23471),#23464,.T.); +#23479=EDGE_CURVE('',#10593,#10523,#8111,.T.); +#23485=ADVANCED_FACE('',(#23484),#23477,.T.); +#23492=EDGE_CURVE('',#10591,#10521,#8115,.T.); +#23498=ADVANCED_FACE('',(#23497),#23490,.T.); +#23505=EDGE_CURVE('',#10589,#10519,#8119,.T.); +#23511=ADVANCED_FACE('',(#23510),#23503,.T.); +#23518=EDGE_CURVE('',#10587,#10517,#8123,.T.); +#23524=ADVANCED_FACE('',(#23523),#23516,.T.); +#23531=EDGE_CURVE('',#10585,#10515,#8127,.T.); +#23537=ADVANCED_FACE('',(#23536),#23529,.T.); +#23544=EDGE_CURVE('',#10583,#10513,#8131,.T.); +#23550=ADVANCED_FACE('',(#23549),#23542,.T.); +#23557=EDGE_CURVE('',#10581,#10511,#8135,.T.); +#23563=ADVANCED_FACE('',(#23562),#23555,.T.); +#23570=EDGE_CURVE('',#10579,#10509,#8139,.T.); +#23576=ADVANCED_FACE('',(#23575),#23568,.T.); +#23583=EDGE_CURVE('',#10577,#10507,#8143,.T.); +#23589=ADVANCED_FACE('',(#23588),#23581,.T.); +#23596=EDGE_CURVE('',#10575,#10505,#8147,.T.); +#23602=ADVANCED_FACE('',(#23601),#23594,.T.); +#23609=EDGE_CURVE('',#10573,#10503,#8151,.T.); +#23615=ADVANCED_FACE('',(#23614),#23607,.T.); +#23622=EDGE_CURVE('',#10571,#10501,#8155,.T.); +#23628=ADVANCED_FACE('',(#23627),#23620,.T.); +#23635=EDGE_CURVE('',#10569,#10499,#8159,.T.); +#23641=ADVANCED_FACE('',(#23640),#23633,.T.); +#23653=ADVANCED_FACE('',(#23652),#23646,.T.); +#23662=EDGE_CURVE('',#10601,#10535,#8171,.T.); +#23666=ADVANCED_FACE('',(#23665),#23658,.T.); +#23675=EDGE_CURVE('',#10603,#10537,#8175,.T.); +#23679=ADVANCED_FACE('',(#23678),#23671,.T.); +#23688=EDGE_CURVE('',#10605,#10539,#8179,.T.); +#23692=ADVANCED_FACE('',(#23691),#23684,.T.); +#23701=EDGE_CURVE('',#10607,#10541,#8183,.T.); +#23705=ADVANCED_FACE('',(#23704),#23697,.T.); +#23714=EDGE_CURVE('',#10609,#10543,#8187,.T.); +#23718=ADVANCED_FACE('',(#23717),#23710,.T.); +#23727=EDGE_CURVE('',#10611,#10545,#8191,.T.); +#23731=ADVANCED_FACE('',(#23730),#23723,.T.); +#23740=EDGE_CURVE('',#10613,#10547,#8195,.T.); +#23744=ADVANCED_FACE('',(#23743),#23736,.T.); +#23753=EDGE_CURVE('',#10550,#10478,#8199,.T.); +#23757=ADVANCED_FACE('',(#23756),#23749,.T.); +#23766=EDGE_CURVE('',#10551,#10479,#8203,.T.); +#23770=ADVANCED_FACE('',(#23769),#23762,.T.); +#23779=EDGE_CURVE('',#10553,#10481,#8207,.T.); +#23783=ADVANCED_FACE('',(#23782),#23775,.T.); +#23792=EDGE_CURVE('',#10555,#10483,#8211,.T.); +#23796=ADVANCED_FACE('',(#23795),#23788,.T.); +#23805=EDGE_CURVE('',#10557,#10485,#8215,.T.); +#23809=ADVANCED_FACE('',(#23808),#23801,.T.); +#23818=EDGE_CURVE('',#10559,#10487,#8219,.T.); +#23822=ADVANCED_FACE('',(#23821),#23814,.T.); +#23831=EDGE_CURVE('',#10561,#10489,#8223,.T.); +#23835=ADVANCED_FACE('',(#23834),#23827,.T.); +#23844=EDGE_CURVE('',#10563,#10491,#8227,.T.); +#23848=ADVANCED_FACE('',(#23847),#23840,.T.); +#23857=EDGE_CURVE('',#10565,#10493,#8231,.T.); +#23861=ADVANCED_FACE('',(#23860),#23853,.T.); +#23873=ADVANCED_FACE('',(#23872),#23866,.T.); +#23885=ADVANCED_FACE('',(#23884),#23878,.T.); +#23897=ADVANCED_FACE('',(#23896),#23890,.T.); +#23904=EDGE_CURVE('',#10349,#10213,#8243,.T.); +#23910=ADVANCED_FACE('',(#23909),#23902,.T.); +#23917=EDGE_CURVE('',#10347,#10211,#8247,.T.); +#23923=ADVANCED_FACE('',(#23922),#23915,.T.); +#23930=EDGE_CURVE('',#10345,#10209,#8251,.T.); +#23936=ADVANCED_FACE('',(#23935),#23928,.T.); +#23943=EDGE_CURVE('',#10343,#10207,#8255,.T.); +#23949=ADVANCED_FACE('',(#23948),#23941,.T.); +#23956=EDGE_CURVE('',#10341,#10205,#8259,.T.); +#23962=ADVANCED_FACE('',(#23961),#23954,.T.); +#23969=EDGE_CURVE('',#10339,#10203,#8263,.T.); +#23975=ADVANCED_FACE('',(#23974),#23967,.T.); +#23987=ADVANCED_FACE('',(#23986),#23980,.T.); +#23994=EDGE_CURVE('',#11153,#11061,#8275,.T.); +#23996=EDGE_CURVE('',#11060,#11061,#8280,.T.); +#23998=EDGE_CURVE('',#11152,#11060,#8271,.T.); +#24002=ADVANCED_FACE('',(#24001),#23992,.T.); +#24010=EDGE_CURVE('',#11061,#11060,#8285,.T.); +#24015=ADVANCED_FACE('',(#24014),#24007,.T.); +#24025=ADVANCED_FACE('',(#24024),#24020,.F.); +#24031=EDGE_CURVE('',#11064,#11065,#8290,.T.); +#24033=EDGE_CURVE('',#11065,#11064,#8295,.T.); +#24037=ADVANCED_FACE('',(#24036),#24030,.F.); +#24043=EDGE_CURVE('',#11068,#11069,#8300,.T.); +#24045=EDGE_CURVE('',#11069,#11068,#8305,.T.); +#24049=ADVANCED_FACE('',(#24048),#24042,.F.); +#24055=EDGE_CURVE('',#11072,#11073,#8310,.T.); +#24057=EDGE_CURVE('',#11073,#11072,#8315,.T.); +#24061=ADVANCED_FACE('',(#24060),#24054,.F.); +#24067=EDGE_CURVE('',#11076,#11077,#8320,.T.); +#24069=EDGE_CURVE('',#11077,#11076,#8325,.T.); +#24073=ADVANCED_FACE('',(#24072),#24066,.F.); +#24079=EDGE_CURVE('',#11080,#11081,#8330,.T.); +#24081=EDGE_CURVE('',#11081,#11080,#8335,.T.); +#24085=ADVANCED_FACE('',(#24084),#24078,.F.); +#24091=EDGE_CURVE('',#11084,#11085,#8340,.T.); +#24093=EDGE_CURVE('',#11085,#11084,#8345,.T.); +#24097=ADVANCED_FACE('',(#24096),#24090,.F.); +#24103=EDGE_CURVE('',#11088,#11089,#8350,.T.); +#24105=EDGE_CURVE('',#11089,#11088,#8355,.T.); +#24109=ADVANCED_FACE('',(#24108),#24102,.F.); +#24115=EDGE_CURVE('',#11092,#11093,#8360,.T.); +#24117=EDGE_CURVE('',#11093,#11092,#8365,.T.); +#24121=ADVANCED_FACE('',(#24120),#24114,.F.); +#24127=EDGE_CURVE('',#11096,#11097,#8370,.T.); +#24129=EDGE_CURVE('',#11097,#11096,#8375,.T.); +#24133=ADVANCED_FACE('',(#24132),#24126,.F.); +#24139=EDGE_CURVE('',#11100,#11101,#8380,.T.); +#24141=EDGE_CURVE('',#11101,#11100,#8385,.T.); +#24145=ADVANCED_FACE('',(#24144),#24138,.F.); +#24151=EDGE_CURVE('',#11104,#11105,#8390,.T.); +#24153=EDGE_CURVE('',#11105,#11104,#8395,.T.); +#24157=ADVANCED_FACE('',(#24156),#24150,.F.); +#24163=EDGE_CURVE('',#11108,#11109,#8400,.T.); +#24165=EDGE_CURVE('',#11109,#11108,#8405,.T.); +#24169=ADVANCED_FACE('',(#24168),#24162,.F.); +#24175=EDGE_CURVE('',#11112,#11113,#8410,.T.); +#24177=EDGE_CURVE('',#11113,#11112,#8415,.T.); +#24181=ADVANCED_FACE('',(#24180),#24174,.F.); +#24187=EDGE_CURVE('',#11116,#11117,#8420,.T.); +#24189=EDGE_CURVE('',#11117,#11116,#8425,.T.); +#24193=ADVANCED_FACE('',(#24192),#24186,.F.); +#24199=EDGE_CURVE('',#11120,#11121,#8430,.T.); +#24201=EDGE_CURVE('',#11121,#11120,#8435,.T.); +#24205=ADVANCED_FACE('',(#24204),#24198,.F.); +#24211=EDGE_CURVE('',#11124,#11125,#8440,.T.); +#24213=EDGE_CURVE('',#11125,#11124,#8445,.T.); +#24217=ADVANCED_FACE('',(#24216),#24210,.F.); +#24223=EDGE_CURVE('',#11128,#11129,#8450,.T.); +#24225=EDGE_CURVE('',#11129,#11128,#8455,.T.); +#24229=ADVANCED_FACE('',(#24228),#24222,.F.); +#24235=EDGE_CURVE('',#11132,#11133,#8460,.T.); +#24237=EDGE_CURVE('',#11133,#11132,#8465,.T.); +#24241=ADVANCED_FACE('',(#24240),#24234,.F.); +#24247=EDGE_CURVE('',#11136,#11137,#8470,.T.); +#24249=EDGE_CURVE('',#11137,#11136,#8475,.T.); +#24253=ADVANCED_FACE('',(#24252),#24246,.F.); +#24259=EDGE_CURVE('',#11140,#11141,#8480,.T.); +#24261=EDGE_CURVE('',#11141,#11140,#8485,.T.); +#24265=ADVANCED_FACE('',(#24264),#24258,.F.); +#24271=EDGE_CURVE('',#11144,#11145,#8490,.T.); +#24273=EDGE_CURVE('',#11145,#11144,#8495,.T.); +#24277=ADVANCED_FACE('',(#24276),#24270,.F.); +#24283=EDGE_CURVE('',#11148,#11149,#8500,.T.); +#24285=EDGE_CURVE('',#11149,#11148,#8505,.T.); +#24289=ADVANCED_FACE('',(#24288),#24282,.F.); +#24296=EDGE_CURVE('',#11157,#11065,#8513,.T.); +#24299=EDGE_CURVE('',#11156,#11064,#8509,.T.); +#24303=ADVANCED_FACE('',(#24302),#24294,.T.); +#24315=ADVANCED_FACE('',(#24314),#24308,.T.); +#24322=EDGE_CURVE('',#11161,#11069,#8521,.T.); +#24325=EDGE_CURVE('',#11160,#11068,#8517,.T.); +#24329=ADVANCED_FACE('',(#24328),#24320,.T.); +#24341=ADVANCED_FACE('',(#24340),#24334,.T.); +#24348=EDGE_CURVE('',#11165,#11073,#8529,.T.); +#24351=EDGE_CURVE('',#11164,#11072,#8525,.T.); +#24355=ADVANCED_FACE('',(#24354),#24346,.T.); +#24367=ADVANCED_FACE('',(#24366),#24360,.T.); +#24374=EDGE_CURVE('',#11169,#11077,#8537,.T.); +#24377=EDGE_CURVE('',#11168,#11076,#8533,.T.); +#24381=ADVANCED_FACE('',(#24380),#24372,.T.); +#24393=ADVANCED_FACE('',(#24392),#24386,.T.); +#24400=EDGE_CURVE('',#11173,#11081,#8545,.T.); +#24403=EDGE_CURVE('',#11172,#11080,#8541,.T.); +#24407=ADVANCED_FACE('',(#24406),#24398,.T.); +#24419=ADVANCED_FACE('',(#24418),#24412,.T.); +#24426=EDGE_CURVE('',#11177,#11085,#8553,.T.); +#24429=EDGE_CURVE('',#11176,#11084,#8549,.T.); +#24433=ADVANCED_FACE('',(#24432),#24424,.T.); +#24445=ADVANCED_FACE('',(#24444),#24438,.T.); +#24452=EDGE_CURVE('',#11181,#11089,#8561,.T.); +#24455=EDGE_CURVE('',#11180,#11088,#8557,.T.); +#24459=ADVANCED_FACE('',(#24458),#24450,.T.); +#24471=ADVANCED_FACE('',(#24470),#24464,.T.); +#24478=EDGE_CURVE('',#11185,#11093,#8569,.T.); +#24481=EDGE_CURVE('',#11184,#11092,#8565,.T.); +#24485=ADVANCED_FACE('',(#24484),#24476,.T.); +#24497=ADVANCED_FACE('',(#24496),#24490,.T.); +#24504=EDGE_CURVE('',#11189,#11097,#8577,.T.); +#24507=EDGE_CURVE('',#11188,#11096,#8573,.T.); +#24511=ADVANCED_FACE('',(#24510),#24502,.T.); +#24523=ADVANCED_FACE('',(#24522),#24516,.T.); +#24530=EDGE_CURVE('',#11193,#11101,#8585,.T.); +#24533=EDGE_CURVE('',#11192,#11100,#8581,.T.); +#24537=ADVANCED_FACE('',(#24536),#24528,.T.); +#24549=ADVANCED_FACE('',(#24548),#24542,.T.); +#24556=EDGE_CURVE('',#11197,#11105,#8593,.T.); +#24559=EDGE_CURVE('',#11196,#11104,#8589,.T.); +#24563=ADVANCED_FACE('',(#24562),#24554,.T.); +#24575=ADVANCED_FACE('',(#24574),#24568,.T.); +#24582=EDGE_CURVE('',#11201,#11109,#8601,.T.); +#24585=EDGE_CURVE('',#11200,#11108,#8597,.T.); +#24589=ADVANCED_FACE('',(#24588),#24580,.T.); +#24601=ADVANCED_FACE('',(#24600),#24594,.T.); +#24608=EDGE_CURVE('',#11205,#11113,#8609,.T.); +#24611=EDGE_CURVE('',#11204,#11112,#8605,.T.); +#24615=ADVANCED_FACE('',(#24614),#24606,.T.); +#24627=ADVANCED_FACE('',(#24626),#24620,.T.); +#24634=EDGE_CURVE('',#11209,#11117,#8617,.T.); +#24637=EDGE_CURVE('',#11208,#11116,#8613,.T.); +#24641=ADVANCED_FACE('',(#24640),#24632,.T.); +#24653=ADVANCED_FACE('',(#24652),#24646,.T.); +#24660=EDGE_CURVE('',#11213,#11121,#8625,.T.); +#24663=EDGE_CURVE('',#11212,#11120,#8621,.T.); +#24667=ADVANCED_FACE('',(#24666),#24658,.T.); +#24679=ADVANCED_FACE('',(#24678),#24672,.T.); +#24686=EDGE_CURVE('',#11217,#11125,#8633,.T.); +#24689=EDGE_CURVE('',#11216,#11124,#8629,.T.); +#24693=ADVANCED_FACE('',(#24692),#24684,.T.); +#24705=ADVANCED_FACE('',(#24704),#24698,.T.); +#24712=EDGE_CURVE('',#11221,#11129,#8641,.T.); +#24715=EDGE_CURVE('',#11220,#11128,#8637,.T.); +#24719=ADVANCED_FACE('',(#24718),#24710,.T.); +#24731=ADVANCED_FACE('',(#24730),#24724,.T.); +#24738=EDGE_CURVE('',#11225,#11133,#8649,.T.); +#24741=EDGE_CURVE('',#11224,#11132,#8645,.T.); +#24745=ADVANCED_FACE('',(#24744),#24736,.T.); +#24757=ADVANCED_FACE('',(#24756),#24750,.T.); +#24764=EDGE_CURVE('',#11229,#11137,#8657,.T.); +#24767=EDGE_CURVE('',#11228,#11136,#8653,.T.); +#24771=ADVANCED_FACE('',(#24770),#24762,.T.); +#24783=ADVANCED_FACE('',(#24782),#24776,.T.); +#24790=EDGE_CURVE('',#11233,#11141,#8665,.T.); +#24793=EDGE_CURVE('',#11232,#11140,#8661,.T.); +#24797=ADVANCED_FACE('',(#24796),#24788,.T.); +#24809=ADVANCED_FACE('',(#24808),#24802,.T.); +#24816=EDGE_CURVE('',#11237,#11145,#8673,.T.); +#24819=EDGE_CURVE('',#11236,#11144,#8669,.T.); +#24823=ADVANCED_FACE('',(#24822),#24814,.T.); +#24835=ADVANCED_FACE('',(#24834),#24828,.T.); +#24842=EDGE_CURVE('',#11241,#11149,#8681,.T.); +#24845=EDGE_CURVE('',#11240,#11148,#8677,.T.); +#24849=ADVANCED_FACE('',(#24848),#24840,.T.); +#24861=ADVANCED_FACE('',(#24860),#24854,.T.); +#24867=EDGE_CURVE('',#12628,#12629,#8695,.T.); +#24869=EDGE_CURVE('',#12628,#12632,#8686,.T.); +#24872=EDGE_CURVE('',#12633,#12629,#8691,.T.); +#24876=ADVANCED_FACE('',(#24875),#24866,.T.); +#24883=EDGE_CURVE('',#12725,#12629,#8707,.T.); +#24885=EDGE_CURVE('',#12725,#12727,#8929,.T.); +#24887=EDGE_CURVE('',#12657,#12727,#9158,.T.); +#24889=EDGE_CURVE('',#12657,#12653,#8699,.T.); +#24891=EDGE_CURVE('',#9779,#12653,#8703,.T.); +#24894=EDGE_CURVE('',#12735,#9781,#9009,.T.); +#24896=EDGE_CURVE('',#12735,#12737,#8853,.T.); +#24898=EDGE_CURVE('',#12628,#12737,#8992,.T.); +#24902=ADVANCED_FACE('',(#24901),#24881,.T.); +#24911=EDGE_CURVE('',#12743,#12724,#8711,.T.); +#24913=EDGE_CURVE('',#12724,#12725,#8933,.T.); +#24917=ADVANCED_FACE('',(#24916),#24907,.T.); +#24924=EDGE_CURVE('',#10179,#10154,#8729,.T.); +#24926=EDGE_CURVE('',#10179,#12724,#8938,.T.); +#24931=ADVANCED_FACE('',(#24930),#24922,.F.); +#24937=EDGE_CURVE('',#12705,#12704,#8716,.T.); +#24939=EDGE_CURVE('',#10178,#12704,#8720,.T.); +#24941=EDGE_CURVE('',#10178,#10179,#8725,.T.); +#24945=EDGE_CURVE('',#10155,#12705,#9171,.T.); +#24949=ADVANCED_FACE('',(#24948),#24936,.T.); +#24955=EDGE_CURVE('',#12701,#12700,#8764,.T.); +#24957=EDGE_CURVE('',#12700,#12704,#9185,.T.); +#24960=EDGE_CURVE('',#12701,#12705,#8734,.T.); +#24964=ADVANCED_FACE('',(#24963),#24954,.T.); +#24970=EDGE_CURVE('',#12684,#12685,#8739,.T.); +#24972=EDGE_CURVE('',#12685,#12684,#8744,.T.); +#24976=EDGE_CURVE('',#10868,#10869,#8779,.T.); +#24978=EDGE_CURVE('',#10869,#10868,#8784,.T.); +#24982=ADVANCED_FACE('',(#24975,#24981),#24969,.F.); +#24988=EDGE_CURVE('',#12692,#12693,#8749,.T.); +#24990=EDGE_CURVE('',#12693,#12692,#8754,.T.); +#24994=ADVANCED_FACE('',(#24993),#24987,.F.); +#25000=EDGE_CURVE('',#12700,#12701,#8759,.T.); +#25005=ADVANCED_FACE('',(#25004),#24999,.F.); +#25011=EDGE_CURVE('',#12708,#12709,#8769,.T.); +#25013=EDGE_CURVE('',#12709,#12708,#8774,.T.); +#25017=EDGE_CURVE('',#10872,#10873,#8789,.T.); +#25019=EDGE_CURVE('',#10873,#10872,#8794,.T.); +#25023=ADVANCED_FACE('',(#25016,#25022),#25010,.F.); +#25030=EDGE_CURVE('',#12685,#12689,#8804,.T.); +#25032=EDGE_CURVE('',#12688,#12689,#8827,.T.); +#25034=EDGE_CURVE('',#12684,#12688,#8799,.T.); +#25038=ADVANCED_FACE('',(#25037),#25028,.T.); +#25046=EDGE_CURVE('',#12689,#12688,#8809,.T.); +#25051=ADVANCED_FACE('',(#25050),#25043,.T.); +#25058=EDGE_CURVE('',#10148,#12688,#8813,.T.); +#25061=EDGE_CURVE('',#10172,#10149,#8817,.T.); +#25063=EDGE_CURVE('',#10172,#10173,#8822,.T.); +#25065=EDGE_CURVE('',#10173,#12689,#8831,.T.); +#25069=ADVANCED_FACE('',(#25068),#25056,.T.); +#25077=EDGE_CURVE('',#10173,#10175,#8836,.T.); +#25079=EDGE_CURVE('',#10175,#10151,#8840,.T.); +#25085=ADVANCED_FACE('',(#25084),#25074,.T.); +#25091=EDGE_CURVE('',#10160,#10161,#8952,.T.); +#25093=EDGE_CURVE('',#10163,#10160,#8984,.T.); +#25095=EDGE_CURVE('',#12739,#10163,#8845,.T.); +#25097=EDGE_CURVE('',#12737,#12739,#8849,.T.); +#25100=EDGE_CURVE('',#12733,#12735,#8858,.T.); +#25102=EDGE_CURVE('',#12732,#12733,#8862,.T.); +#25104=EDGE_CURVE('',#10161,#12732,#8867,.T.); +#25108=ADVANCED_FACE('',(#25107),#25090,.T.); +#25114=EDGE_CURVE('',#10166,#10167,#9054,.T.); +#25116=EDGE_CURVE('',#10133,#10166,#8872,.T.); +#25118=EDGE_CURVE('',#10131,#10133,#8876,.T.); +#25121=EDGE_CURVE('',#10127,#10129,#8885,.T.); +#25123=EDGE_CURVE('',#10126,#10127,#8889,.T.); +#25125=EDGE_CURVE('',#10169,#10126,#8894,.T.); +#25127=EDGE_CURVE('',#10167,#10169,#9078,.T.); +#25131=ADVANCED_FACE('',(#25130),#25113,.T.); +#25138=EDGE_CURVE('',#10123,#10172,#8899,.T.); +#25140=EDGE_CURVE('',#10121,#10123,#8903,.T.); +#25142=EDGE_CURVE('',#10119,#10121,#8907,.T.); +#25144=EDGE_CURVE('',#10118,#10119,#8911,.T.); +#25146=EDGE_CURVE('',#10175,#10118,#8916,.T.); +#25151=ADVANCED_FACE('',(#25150),#25136,.T.); +#25158=EDGE_CURVE('',#10181,#10178,#9180,.T.); +#25160=EDGE_CURVE('',#12729,#10181,#8921,.T.); +#25162=EDGE_CURVE('',#12727,#12729,#8925,.T.); +#25169=ADVANCED_FACE('',(#25168),#25156,.T.); +#25175=EDGE_CURVE('',#12713,#12712,#8943,.T.); +#25177=EDGE_CURVE('',#10160,#12712,#8947,.T.); +#25180=EDGE_CURVE('',#10161,#10136,#8956,.T.); +#25183=EDGE_CURVE('',#10137,#12713,#8975,.T.); +#25187=ADVANCED_FACE('',(#25186),#25174,.T.); +#25194=EDGE_CURVE('',#12708,#12712,#8966,.T.); +#25197=EDGE_CURVE('',#12709,#12713,#8961,.T.); +#25201=ADVANCED_FACE('',(#25200),#25192,.T.); +#25209=EDGE_CURVE('',#12712,#12713,#8971,.T.); +#25214=ADVANCED_FACE('',(#25213),#25206,.T.); +#25223=EDGE_CURVE('',#10163,#10139,#8979,.T.); +#25229=ADVANCED_FACE('',(#25228),#25219,.T.); +#25236=EDGE_CURVE('',#12745,#12739,#8988,.T.); +#25242=ADVANCED_FACE('',(#25241),#25234,.F.); +#25255=ADVANCED_FACE('',(#25254),#25247,.T.); +#25264=EDGE_CURVE('',#12747,#12732,#9000,.T.); +#25268=ADVANCED_FACE('',(#25267),#25260,.F.); +#25274=EDGE_CURVE('',#12733,#12669,#8996,.T.); +#25276=EDGE_CURVE('',#12673,#12669,#9023,.T.); +#25283=ADVANCED_FACE('',(#25282),#25273,.T.); +#25289=EDGE_CURVE('',#12668,#12669,#9005,.T.); +#25295=EDGE_CURVE('',#9783,#12668,#9036,.T.); +#25299=ADVANCED_FACE('',(#25298),#25288,.T.); +#25306=EDGE_CURVE('',#12672,#12668,#9014,.T.); +#25312=ADVANCED_FACE('',(#25311),#25304,.T.); +#25320=EDGE_CURVE('',#12677,#12668,#9032,.T.); +#25325=ADVANCED_FACE('',(#25324),#25317,.T.); +#25337=ADVANCED_FACE('',(#25336),#25330,.T.); +#25343=EDGE_CURVE('',#12697,#12696,#9041,.T.); +#25345=EDGE_CURVE('',#10142,#12696,#9045,.T.); +#25348=EDGE_CURVE('',#10166,#10143,#9049,.T.); +#25351=EDGE_CURVE('',#10167,#12697,#9073,.T.); +#25355=ADVANCED_FACE('',(#25354),#25342,.T.); +#25362=EDGE_CURVE('',#12692,#12696,#9064,.T.); +#25365=EDGE_CURVE('',#12693,#12697,#9059,.T.); +#25369=ADVANCED_FACE('',(#25368),#25360,.T.); +#25377=EDGE_CURVE('',#12696,#12697,#9069,.T.); +#25382=ADVANCED_FACE('',(#25381),#25374,.T.); +#25391=EDGE_CURVE('',#10169,#10145,#9082,.T.); +#25397=ADVANCED_FACE('',(#25396),#25387,.T.); +#25406=EDGE_CURVE('',#12719,#10126,#9090,.T.); +#25410=ADVANCED_FACE('',(#25409),#25402,.F.); +#25416=EDGE_CURVE('',#10127,#12661,#9086,.T.); +#25418=EDGE_CURVE('',#12665,#12661,#9207,.T.); +#25425=ADVANCED_FACE('',(#25424),#25415,.T.); +#25431=EDGE_CURVE('',#12636,#12637,#9094,.T.); +#25433=EDGE_CURVE('',#10119,#12637,#9189,.T.); +#25436=EDGE_CURVE('',#12660,#10121,#9197,.T.); +#25438=EDGE_CURVE('',#12660,#12661,#9098,.T.); +#25444=EDGE_CURVE('',#9773,#12636,#9122,.T.); +#25448=ADVANCED_FACE('',(#25447),#25430,.T.); +#25455=EDGE_CURVE('',#12640,#12636,#9103,.T.); +#25458=EDGE_CURVE('',#12641,#12637,#9108,.T.); +#25462=ADVANCED_FACE('',(#25461),#25453,.T.); +#25470=EDGE_CURVE('',#12645,#12636,#9118,.T.); +#25472=EDGE_CURVE('',#12643,#12645,#9113,.T.); +#25476=ADVANCED_FACE('',(#25475),#25467,.T.); +#25485=EDGE_CURVE('',#9775,#12645,#9130,.T.); +#25489=ADVANCED_FACE('',(#25488),#25481,.T.); +#25495=EDGE_CURVE('',#12649,#12645,#9126,.T.); +#25499=EDGE_CURVE('',#9777,#12649,#9149,.T.); +#25503=ADVANCED_FACE('',(#25502),#25494,.T.); +#25512=EDGE_CURVE('',#12647,#12649,#9135,.T.); +#25516=ADVANCED_FACE('',(#25515),#25508,.T.); +#25524=EDGE_CURVE('',#12653,#12649,#9145,.T.); +#25526=EDGE_CURVE('',#12651,#12653,#9140,.T.); +#25530=ADVANCED_FACE('',(#25529),#25521,.T.); +#25542=ADVANCED_FACE('',(#25541),#25535,.T.); +#25551=EDGE_CURVE('',#12657,#12655,#9154,.T.); +#25555=ADVANCED_FACE('',(#25554),#25547,.T.); +#25565=EDGE_CURVE('',#12741,#12729,#9162,.T.); +#25569=ADVANCED_FACE('',(#25568),#25560,.T.); +#25578=EDGE_CURVE('',#10181,#10157,#9175,.T.); +#25582=ADVANCED_FACE('',(#25581),#25574,.F.); +#25588=EDGE_CURVE('',#12704,#12705,#9167,.T.); +#25597=ADVANCED_FACE('',(#25596),#25587,.T.); +#25609=ADVANCED_FACE('',(#25608),#25602,.T.); +#25618=EDGE_CURVE('',#12715,#10118,#9193,.T.); +#25623=ADVANCED_FACE('',(#25622),#25614,.T.); +#25635=ADVANCED_FACE('',(#25634),#25628,.F.); +#25642=EDGE_CURVE('',#12660,#12664,#9202,.T.); +#25646=EDGE_CURVE('',#12717,#10123,#9211,.T.); +#25650=ADVANCED_FACE('',(#25649),#25640,.T.); +#25662=ADVANCED_FACE('',(#25661),#25655,.T.); +#25674=ADVANCED_FACE('',(#25673),#25667,.F.); +#25681=EDGE_CURVE('',#12721,#10133,#9215,.T.); +#25687=ADVANCED_FACE('',(#25686),#25679,.F.); +#25700=ADVANCED_FACE('',(#25699),#25692,.T.); +#25707=EDGE_CURVE('',#10868,#10860,#9223,.T.); +#25709=EDGE_CURVE('',#10860,#10861,#9232,.T.); +#25711=EDGE_CURVE('',#10869,#10861,#9227,.T.); +#25715=ADVANCED_FACE('',(#25714),#25705,.T.); +#25723=EDGE_CURVE('',#10861,#10860,#9237,.T.); +#25728=ADVANCED_FACE('',(#25727),#25720,.T.); +#25738=ADVANCED_FACE('',(#25737),#25733,.T.); +#25744=EDGE_CURVE('',#10864,#10865,#9242,.T.); +#25746=EDGE_CURVE('',#10865,#10864,#9247,.T.); +#25750=ADVANCED_FACE('',(#25749),#25743,.T.); +#25757=EDGE_CURVE('',#10872,#10864,#9251,.T.); +#25760=EDGE_CURVE('',#10873,#10865,#9255,.T.); +#25764=ADVANCED_FACE('',(#25763),#25755,.T.); +#25776=ADVANCED_FACE('',(#25775),#25769,.T.); +#25788=ADVANCED_FACE('',(#25787),#25781,.F.); +#25795=EDGE_CURVE('',#9915,#9826,#9263,.T.); +#25798=EDGE_CURVE('',#9914,#9827,#9267,.T.); +#25802=ADVANCED_FACE('',(#25801),#25793,.F.); +#25814=ADVANCED_FACE('',(#25813),#25807,.F.); +#25821=EDGE_CURVE('',#9919,#9830,#9271,.T.); +#25824=EDGE_CURVE('',#9918,#9831,#9275,.T.); +#25828=ADVANCED_FACE('',(#25827),#25819,.F.); +#25840=ADVANCED_FACE('',(#25839),#25833,.F.); +#25847=EDGE_CURVE('',#9923,#9834,#9279,.T.); +#25850=EDGE_CURVE('',#9922,#9835,#9283,.T.); +#25854=ADVANCED_FACE('',(#25853),#25845,.F.); +#25866=ADVANCED_FACE('',(#25865),#25859,.F.); +#25873=EDGE_CURVE('',#9926,#9838,#9287,.T.); +#25876=EDGE_CURVE('',#9927,#9839,#9471,.T.); +#25880=ADVANCED_FACE('',(#25879),#25871,.T.); +#25887=EDGE_CURVE('',#9935,#9847,#9291,.T.); +#25893=ADVANCED_FACE('',(#25892),#25885,.F.); +#25904=EDGE_CURVE('',#9903,#9901,#9303,.T.); +#25907=EDGE_CURVE('',#12585,#9897,#9311,.T.); +#25911=ADVANCED_FACE('',(#25910),#25898,.T.); +#25919=EDGE_CURVE('',#9939,#9851,#9315,.T.); +#25924=ADVANCED_FACE('',(#25923),#25916,.F.); +#25931=EDGE_CURVE('',#9938,#9850,#9319,.T.); +#25937=ADVANCED_FACE('',(#25936),#25929,.T.); +#25944=EDGE_CURVE('',#9945,#9857,#9323,.T.); +#25950=ADVANCED_FACE('',(#25949),#25942,.T.); +#25957=EDGE_CURVE('',#9943,#9855,#9327,.T.); +#25963=ADVANCED_FACE('',(#25962),#25955,.T.); +#25970=EDGE_CURVE('',#9941,#9854,#9331,.T.); +#25976=ADVANCED_FACE('',(#25975),#25968,.T.); +#25982=EDGE_CURVE('',#9899,#9896,#9345,.T.); +#25989=ADVANCED_FACE('',(#25988),#25981,.T.); +#26000=EDGE_CURVE('',#12589,#9787,#9354,.T.); +#26004=ADVANCED_FACE('',(#26003),#25994,.T.); +#26013=EDGE_CURVE('',#12593,#9786,#9366,.T.); +#26017=ADVANCED_FACE('',(#26016),#26009,.T.); +#26026=EDGE_CURVE('',#9893,#9891,#9380,.T.); +#26029=EDGE_CURVE('',#12597,#9887,#9389,.T.); +#26033=ADVANCED_FACE('',(#26032),#26022,.T.); +#26041=EDGE_CURVE('',#9965,#9877,#9393,.T.); +#26046=ADVANCED_FACE('',(#26045),#26038,.T.); +#26053=EDGE_CURVE('',#9963,#9875,#9397,.T.); +#26059=ADVANCED_FACE('',(#26058),#26051,.T.); +#26066=EDGE_CURVE('',#9961,#9873,#9401,.T.); +#26072=ADVANCED_FACE('',(#26071),#26064,.T.); +#26079=EDGE_CURVE('',#9960,#9872,#9405,.T.); +#26085=ADVANCED_FACE('',(#26084),#26077,.T.); +#26092=EDGE_CURVE('',#9967,#9879,#9409,.T.); +#26098=ADVANCED_FACE('',(#26097),#26090,.T.); +#26104=EDGE_CURVE('',#9889,#9886,#9421,.T.); +#26111=ADVANCED_FACE('',(#26110),#26103,.F.); +#26122=EDGE_CURVE('',#9957,#9869,#9429,.T.); +#26125=EDGE_CURVE('',#12601,#9883,#9433,.T.); +#26129=ADVANCED_FACE('',(#26128),#26116,.T.); +#26136=EDGE_CURVE('',#9955,#9867,#9437,.T.); +#26142=ADVANCED_FACE('',(#26141),#26134,.F.); +#26149=EDGE_CURVE('',#9953,#9865,#9441,.T.); +#26155=ADVANCED_FACE('',(#26154),#26147,.T.); +#26162=EDGE_CURVE('',#9951,#9863,#9445,.T.); +#26168=ADVANCED_FACE('',(#26167),#26160,.T.); +#26175=EDGE_CURVE('',#9948,#9861,#9449,.T.); +#26181=ADVANCED_FACE('',(#26180),#26173,.T.); +#26193=ADVANCED_FACE('',(#26192),#26186,.F.); +#26205=ADVANCED_FACE('',(#26204),#26198,.T.); +#26214=EDGE_CURVE('',#9929,#9841,#9475,.T.); +#26218=ADVANCED_FACE('',(#26217),#26210,.T.); +#26227=EDGE_CURVE('',#9931,#9843,#9479,.T.); +#26231=ADVANCED_FACE('',(#26230),#26223,.T.); +#26243=ADVANCED_FACE('',(#26242),#26236,.F.); +#26249=EDGE_CURVE('',#12754,#12755,#9484,.T.); +#26251=EDGE_CURVE('',#10103,#12755,#9659,.T.); +#26254=EDGE_CURVE('',#10102,#12754,#9488,.T.); +#26258=ADVANCED_FACE('',(#26257),#26248,.F.); +#26264=EDGE_CURVE('',#12750,#12751,#9498,.T.); +#26266=EDGE_CURVE('',#12751,#12755,#9651,.T.); +#26269=EDGE_CURVE('',#12750,#12754,#9493,.T.); +#26273=ADVANCED_FACE('',(#26272),#26263,.T.); +#26279=EDGE_CURVE('',#12782,#12783,#9534,.T.); +#26281=EDGE_CURVE('',#12809,#12782,#9538,.T.); +#26283=EDGE_CURVE('',#12805,#12809,#9543,.T.); +#26285=EDGE_CURVE('',#12801,#12805,#9547,.T.); +#26287=EDGE_CURVE('',#12797,#12801,#9552,.T.); +#26289=EDGE_CURVE('',#12793,#12797,#9556,.T.); +#26291=EDGE_CURVE('',#12789,#12793,#9561,.T.); +#26293=EDGE_CURVE('',#12783,#12789,#9565,.T.); +#26298=EDGE_CURVE('',#12777,#12750,#9502,.T.); +#26300=EDGE_CURVE('',#12773,#12777,#9507,.T.); +#26302=EDGE_CURVE('',#12769,#12773,#9511,.T.); +#26304=EDGE_CURVE('',#12765,#12769,#9516,.T.); +#26306=EDGE_CURVE('',#12761,#12765,#9520,.T.); +#26308=EDGE_CURVE('',#12757,#12761,#9525,.T.); +#26310=EDGE_CURVE('',#12751,#12757,#9529,.T.); +#26314=ADVANCED_FACE('',(#26296,#26313),#26278,.T.); +#26322=EDGE_CURVE('',#12779,#12754,#9574,.T.); +#26324=EDGE_CURVE('',#12777,#12779,#9570,.T.); +#26328=ADVANCED_FACE('',(#26327),#26319,.T.); +#26337=EDGE_CURVE('',#10105,#12779,#9578,.T.); +#26341=ADVANCED_FACE('',(#26340),#26333,.T.); +#26347=EDGE_CURVE('',#12775,#12779,#9583,.T.); +#26351=EDGE_CURVE('',#10107,#12775,#9587,.T.); +#26355=ADVANCED_FACE('',(#26354),#26346,.F.); +#26364=EDGE_CURVE('',#12773,#12775,#9592,.T.); +#26368=ADVANCED_FACE('',(#26367),#26360,.T.); +#26376=EDGE_CURVE('',#12771,#12775,#9601,.T.); +#26378=EDGE_CURVE('',#12769,#12771,#9597,.T.); +#26382=ADVANCED_FACE('',(#26381),#26373,.T.); +#26391=EDGE_CURVE('',#10109,#12771,#9605,.T.); +#26395=ADVANCED_FACE('',(#26394),#26387,.T.); +#26401=EDGE_CURVE('',#12767,#12771,#9610,.T.); +#26405=EDGE_CURVE('',#10111,#12767,#9614,.T.); +#26409=ADVANCED_FACE('',(#26408),#26400,.F.); +#26418=EDGE_CURVE('',#12765,#12767,#9619,.T.); +#26422=ADVANCED_FACE('',(#26421),#26414,.T.); +#26430=EDGE_CURVE('',#12763,#12767,#9628,.T.); +#26432=EDGE_CURVE('',#12761,#12763,#9624,.T.); +#26436=ADVANCED_FACE('',(#26435),#26427,.T.); +#26445=EDGE_CURVE('',#10113,#12763,#9632,.T.); +#26449=ADVANCED_FACE('',(#26448),#26441,.T.); +#26455=EDGE_CURVE('',#12759,#12763,#9637,.T.); +#26459=EDGE_CURVE('',#10115,#12759,#9641,.T.); +#26463=ADVANCED_FACE('',(#26462),#26454,.F.); +#26472=EDGE_CURVE('',#12757,#12759,#9646,.T.); +#26476=ADVANCED_FACE('',(#26475),#26468,.T.); +#26484=EDGE_CURVE('',#12755,#12759,#9655,.T.); +#26489=ADVANCED_FACE('',(#26488),#26481,.T.); +#26501=ADVANCED_FACE('',(#26500),#26494,.T.); +#26508=EDGE_CURVE('',#12783,#12787,#9669,.T.); +#26510=EDGE_CURVE('',#12786,#12787,#9712,.T.); +#26512=EDGE_CURVE('',#12782,#12786,#9664,.T.); +#26516=ADVANCED_FACE('',(#26515),#26506,.T.); +#26523=EDGE_CURVE('',#12789,#12791,#9674,.T.); +#26525=EDGE_CURVE('',#12787,#12791,#9720,.T.); +#26530=ADVANCED_FACE('',(#26529),#26521,.T.); +#26537=EDGE_CURVE('',#12793,#12795,#9679,.T.); +#26539=EDGE_CURVE('',#12791,#12795,#9729,.T.); +#26544=ADVANCED_FACE('',(#26543),#26535,.T.); +#26551=EDGE_CURVE('',#12797,#12799,#9684,.T.); +#26553=EDGE_CURVE('',#12795,#12799,#9737,.T.); +#26558=ADVANCED_FACE('',(#26557),#26549,.T.); +#26565=EDGE_CURVE('',#12801,#12803,#9689,.T.); +#26567=EDGE_CURVE('',#12799,#12803,#9746,.T.); +#26572=ADVANCED_FACE('',(#26571),#26563,.T.); +#26579=EDGE_CURVE('',#12805,#12807,#9694,.T.); +#26581=EDGE_CURVE('',#12803,#12807,#9754,.T.); +#26586=ADVANCED_FACE('',(#26585),#26577,.T.); +#26593=EDGE_CURVE('',#12809,#12811,#9699,.T.); +#26595=EDGE_CURVE('',#12807,#12811,#9763,.T.); +#26600=ADVANCED_FACE('',(#26599),#26591,.T.); +#26608=EDGE_CURVE('',#12811,#12786,#9703,.T.); +#26613=ADVANCED_FACE('',(#26612),#26605,.T.); +#26620=EDGE_CURVE('',#10187,#12786,#9716,.T.); +#26623=EDGE_CURVE('',#10185,#12811,#9707,.T.); +#26627=ADVANCED_FACE('',(#26626),#26618,.T.); +#26634=EDGE_CURVE('',#10189,#12787,#9724,.T.); +#26640=ADVANCED_FACE('',(#26639),#26632,.T.); +#26647=EDGE_CURVE('',#10191,#12791,#9733,.T.); +#26653=ADVANCED_FACE('',(#26652),#26645,.T.); +#26660=EDGE_CURVE('',#10193,#12795,#9741,.T.); +#26666=ADVANCED_FACE('',(#26665),#26658,.T.); +#26673=EDGE_CURVE('',#10195,#12799,#9750,.T.); +#26679=ADVANCED_FACE('',(#26678),#26671,.T.); +#26686=EDGE_CURVE('',#10197,#12803,#9758,.T.); +#26692=ADVANCED_FACE('',(#26691),#26684,.T.); +#26699=EDGE_CURVE('',#10184,#12807,#9767,.T.); +#26705=ADVANCED_FACE('',(#26704),#26697,.T.); +#26717=ADVANCED_FACE('',(#26716),#26710,.T.); +#26720=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#26721=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#26724=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#26726=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( +#26725))GLOBAL_UNIT_ASSIGNED_CONTEXT((#26720,#26723,#26724))REPRESENTATION_CONTEXT('ID1','3')); +#26727=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#26719),#26726); +#26734=PRODUCT_DEFINITION('part definition','',#26733,#26730); +#26735=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR C-776228-1.',#26734); +#26736=SHAPE_ASPECT('','solid data associated with C-776228-1',#26735,.F.); +#26737=PROPERTY_DEFINITION('', +'shape for solid data with which properties are associated',#26736); +#26738=SHAPE_REPRESENTATION('',(#26719),#26726); +#26739=SHAPE_DEFINITION_REPRESENTATION(#26737,#26738); +#26740=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#26744=PROPERTY_DEFINITION('geometric validation property','area of C-776228-1', +#26736); +#26745=REPRESENTATION('surface area',(#26743),#26726); +#26746=PROPERTY_DEFINITION_REPRESENTATION(#26744,#26745); +#26747=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#26751=PROPERTY_DEFINITION('geometric validation property', +'volume of C-776228-1',#26736); +#26752=REPRESENTATION('volume',(#26750),#26726); +#26753=PROPERTY_DEFINITION_REPRESENTATION(#26751,#26752); +#26755=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-776228-1',#26736); +#26756=REPRESENTATION('centroid',(#26754),#26726); +#26757=PROPERTY_DEFINITION_REPRESENTATION(#26755,#26756); +#26758=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#26762=PROPERTY_DEFINITION('geometric validation property','area of C-776228-1', +#26735); +#26763=REPRESENTATION('surface area',(#26761),#26726); +#26764=PROPERTY_DEFINITION_REPRESENTATION(#26762,#26763); +#26765=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#26769=PROPERTY_DEFINITION('geometric validation property', +'volume of C-776228-1',#26735); +#26770=REPRESENTATION('volume',(#26768),#26726); +#26771=PROPERTY_DEFINITION_REPRESENTATION(#26769,#26770); +#26773=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-776228-1',#26735); +#26774=REPRESENTATION('centroid',(#26772),#26726); +#26775=PROPERTY_DEFINITION_REPRESENTATION(#26773,#26774); +#26776=SHAPE_DEFINITION_REPRESENTATION(#26735,#26727); +ENDSEC; +END-ISO-10303-21; diff --git a/lib/c-776231-1-d-3d.stp b/lib/c-776231-1-d-3d.stp new file mode 100644 index 0000000..d67613e --- /dev/null +++ b/lib/c-776231-1-d-3d.stp @@ -0,0 +1,22366 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION((''),'2;1'); +FILE_NAME('C-776231-1','2017-12-29T',('workeradm'),('TE Connectivity Ltd.'), +'CREO PARAMETRIC BY PTC INC, 2016050','CREO PARAMETRIC BY PTC INC, 2016050',''); +FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); +ENDSEC; +DATA; +#2=DIRECTION('',(1.E0,0.E0,0.E0)); +#3=VECTOR('',#2,4.7E1); +#4=CARTESIAN_POINT('',(-2.35E1,1.083589838486E1,0.E0)); +#5=LINE('',#4,#3); +#6=CARTESIAN_POINT('',(-2.35E1,5.6E0,0.E0)); +#7=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9=AXIS2_PLACEMENT_3D('',#6,#7,#8); +#11=DIRECTION('',(0.E0,1.E0,0.E0)); +#12=VECTOR('',#11,1.12E1); +#13=CARTESIAN_POINT('',(-2.873589838486E1,-5.6E0,0.E0)); +#14=LINE('',#13,#12); +#15=CARTESIAN_POINT('',(-2.35E1,-5.6E0,0.E0)); +#16=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18=AXIS2_PLACEMENT_3D('',#15,#16,#17); +#20=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21=VECTOR('',#20,4.7E1); +#22=CARTESIAN_POINT('',(2.35E1,-1.083589838486E1,0.E0)); +#23=LINE('',#22,#21); +#24=CARTESIAN_POINT('',(2.35E1,-5.6E0,0.E0)); +#25=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26=DIRECTION('',(1.E0,0.E0,0.E0)); +#27=AXIS2_PLACEMENT_3D('',#24,#25,#26); +#29=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30=VECTOR('',#29,1.12E1); +#31=CARTESIAN_POINT('',(2.873589838486E1,5.6E0,0.E0)); +#32=LINE('',#31,#30); +#33=CARTESIAN_POINT('',(2.35E1,5.6E0,0.E0)); +#34=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35=DIRECTION('',(0.E0,1.E0,0.E0)); +#36=AXIS2_PLACEMENT_3D('',#33,#34,#35); +#38=CARTESIAN_POINT('',(2.35E1,5.6E0,0.E0)); +#39=DIRECTION('',(0.E0,0.E0,1.E0)); +#40=DIRECTION('',(1.E0,0.E0,0.E0)); +#41=AXIS2_PLACEMENT_3D('',#38,#39,#40); +#43=DIRECTION('',(0.E0,1.E0,0.E0)); +#44=VECTOR('',#43,1.12E1); +#45=CARTESIAN_POINT('',(2.92E1,-5.6E0,0.E0)); +#46=LINE('',#45,#44); +#47=CARTESIAN_POINT('',(2.35E1,-5.6E0,0.E0)); +#48=DIRECTION('',(0.E0,0.E0,1.E0)); +#49=DIRECTION('',(0.E0,-1.E0,0.E0)); +#50=AXIS2_PLACEMENT_3D('',#47,#48,#49); +#52=DIRECTION('',(1.E0,0.E0,0.E0)); +#53=VECTOR('',#52,4.7E1); +#54=CARTESIAN_POINT('',(-2.35E1,-1.13E1,0.E0)); +#55=LINE('',#54,#53); +#56=CARTESIAN_POINT('',(-2.35E1,-5.6E0,0.E0)); +#57=DIRECTION('',(0.E0,0.E0,1.E0)); +#58=DIRECTION('',(-1.E0,0.E0,0.E0)); +#59=AXIS2_PLACEMENT_3D('',#56,#57,#58); +#61=DIRECTION('',(0.E0,-1.E0,0.E0)); +#62=VECTOR('',#61,1.12E1); +#63=CARTESIAN_POINT('',(-2.92E1,5.6E0,0.E0)); +#64=LINE('',#63,#62); +#65=CARTESIAN_POINT('',(-2.35E1,5.6E0,0.E0)); +#66=DIRECTION('',(0.E0,0.E0,1.E0)); +#67=DIRECTION('',(0.E0,1.E0,0.E0)); +#68=AXIS2_PLACEMENT_3D('',#65,#66,#67); +#70=DIRECTION('',(-1.E0,0.E0,0.E0)); +#71=VECTOR('',#70,4.7E1); +#72=CARTESIAN_POINT('',(2.35E1,1.13E1,0.E0)); +#73=LINE('',#72,#71); +#74=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); +#75=VECTOR('',#74,2.070552360820E0); +#76=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E0)); +#77=LINE('',#76,#75); +#78=DIRECTION('',(2.588190451025E-1,0.E0,9.659258262891E-1)); +#79=VECTOR('',#78,2.070552360820E0); +#80=CARTESIAN_POINT('',(2.82E1,5.6E0,-2.E0)); +#81=LINE('',#80,#79); +#82=DIRECTION('',(2.588190451025E-1,0.E0,9.659258262891E-1)); +#83=VECTOR('',#82,2.070552360820E0); +#84=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E0)); +#85=LINE('',#84,#83); +#86=DIRECTION('',(0.E0,-2.588190451025E-1,9.659258262891E-1)); +#87=VECTOR('',#86,2.070552360820E0); +#88=CARTESIAN_POINT('',(2.35E1,-1.03E1,-2.E0)); +#89=LINE('',#88,#87); +#90=DIRECTION('',(0.E0,-2.588190451025E-1,9.659258262891E-1)); +#91=VECTOR('',#90,2.070552360820E0); +#92=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E0)); +#93=LINE('',#92,#91); +#94=DIRECTION('',(-2.588190451025E-1,0.E0,9.659258262891E-1)); +#95=VECTOR('',#94,2.070552360820E0); +#96=CARTESIAN_POINT('',(-2.82E1,-5.6E0,-2.E0)); +#97=LINE('',#96,#95); +#98=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); +#99=VECTOR('',#98,2.070552360820E0); +#100=CARTESIAN_POINT('',(-2.35E1,1.03E1,-2.E0)); +#101=LINE('',#100,#99); +#102=DIRECTION('',(-2.588190451025E-1,0.E0,9.659258262891E-1)); +#103=VECTOR('',#102,2.070552360820E0); +#104=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E0)); +#105=LINE('',#104,#103); +#106=CARTESIAN_POINT('',(-2.35E1,5.6E0,-2.E0)); +#107=DIRECTION('',(0.E0,0.E0,1.E0)); +#108=DIRECTION('',(0.E0,1.E0,0.E0)); +#109=AXIS2_PLACEMENT_3D('',#106,#107,#108); +#111=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112=VECTOR('',#111,1.8E1); +#113=CARTESIAN_POINT('',(-2.35E1,1.03E1,-2.E0)); +#114=LINE('',#113,#112); +#115=CARTESIAN_POINT('',(1.885E1,1.03E1,-9.9E0)); +#116=CARTESIAN_POINT('',(1.883142081123E1,1.03E1,-9.918579188768E0)); +#117=CARTESIAN_POINT('',(1.879660340412E1,1.03E1,-9.953546520062E0)); +#118=CARTESIAN_POINT('',(1.875882225745E1,1.03E1,-1.001283399170E1)); +#119=CARTESIAN_POINT('',(1.875E1,1.03E1,-1.005277555995E1)); +#120=CARTESIAN_POINT('',(1.875E1,1.03E1,-1.007320508076E1)); +#122=DIRECTION('',(0.E0,2.183137016226E-14,1.E0)); +#123=VECTOR('',#122,9.926794919245E0); +#124=CARTESIAN_POINT('',(1.875E1,1.03E1,-2.E1)); +#125=LINE('',#124,#123); +#126=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#127=VECTOR('',#126,9.926794919245E0); +#128=CARTESIAN_POINT('',(1.625E1,1.03E1,-2.E1)); +#129=LINE('',#128,#127); +#130=CARTESIAN_POINT('',(1.625E1,1.03E1,-1.007320508075E1)); +#131=CARTESIAN_POINT('',(1.625E1,1.03E1,-1.005277633148E1)); +#132=CARTESIAN_POINT('',(1.624117843809E1,1.03E1,-1.001283577128E1)); +#133=CARTESIAN_POINT('',(1.620339785E1,1.03E1,-9.953547863190E0)); +#134=CARTESIAN_POINT('',(1.616857975156E1,1.03E1,-9.918579751560E0)); +#135=CARTESIAN_POINT('',(1.615E1,1.03E1,-9.9E0)); +#137=DIRECTION('',(-1.E0,0.E0,0.E0)); +#138=VECTOR('',#137,8.E-1); +#139=CARTESIAN_POINT('',(1.615E1,1.03E1,-9.9E0)); +#140=LINE('',#139,#138); +#141=CARTESIAN_POINT('',(1.535E1,1.03E1,-9.9E0)); +#142=CARTESIAN_POINT('',(1.533142081123E1,1.03E1,-9.918579188768E0)); +#143=CARTESIAN_POINT('',(1.529660340412E1,1.03E1,-9.953546520062E0)); +#144=CARTESIAN_POINT('',(1.525882225745E1,1.03E1,-1.001283399170E1)); +#145=CARTESIAN_POINT('',(1.525E1,1.03E1,-1.005277555995E1)); +#146=CARTESIAN_POINT('',(1.525E1,1.03E1,-1.007320508076E1)); +#148=DIRECTION('',(0.E0,2.165242450519E-14,1.E0)); +#149=VECTOR('',#148,9.926794919245E0); +#150=CARTESIAN_POINT('',(1.525E1,1.03E1,-2.E1)); +#151=LINE('',#150,#149); +#152=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#153=VECTOR('',#152,9.926794919245E0); +#154=CARTESIAN_POINT('',(1.275E1,1.03E1,-2.E1)); +#155=LINE('',#154,#153); +#156=CARTESIAN_POINT('',(1.275E1,1.03E1,-1.007320508075E1)); +#157=CARTESIAN_POINT('',(1.275E1,1.03E1,-1.005277633148E1)); +#158=CARTESIAN_POINT('',(1.274117843809E1,1.03E1,-1.001283577128E1)); +#159=CARTESIAN_POINT('',(1.270339785E1,1.03E1,-9.953547863190E0)); +#160=CARTESIAN_POINT('',(1.266857975156E1,1.03E1,-9.918579751560E0)); +#161=CARTESIAN_POINT('',(1.265E1,1.03E1,-9.9E0)); +#163=DIRECTION('',(-1.E0,0.E0,0.E0)); +#164=VECTOR('',#163,8.E-1); +#165=CARTESIAN_POINT('',(1.265E1,1.03E1,-9.9E0)); +#166=LINE('',#165,#164); +#167=CARTESIAN_POINT('',(1.185E1,1.03E1,-9.9E0)); +#168=CARTESIAN_POINT('',(1.183142081123E1,1.03E1,-9.918579188768E0)); +#169=CARTESIAN_POINT('',(1.179660340412E1,1.03E1,-9.953546520062E0)); +#170=CARTESIAN_POINT('',(1.175882225745E1,1.03E1,-1.001283399170E1)); +#171=CARTESIAN_POINT('',(1.175E1,1.03E1,-1.005277555995E1)); +#172=CARTESIAN_POINT('',(1.175E1,1.03E1,-1.007320508076E1)); +#174=DIRECTION('',(0.E0,2.147347884812E-14,1.E0)); +#175=VECTOR('',#174,9.926794919245E0); +#176=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#177=LINE('',#176,#175); +#178=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#179=VECTOR('',#178,9.926794919245E0); +#180=CARTESIAN_POINT('',(9.25E0,1.03E1,-2.E1)); +#181=LINE('',#180,#179); +#182=CARTESIAN_POINT('',(9.25E0,1.03E1,-1.007320508075E1)); +#183=CARTESIAN_POINT('',(9.249999999999E0,1.03E1,-1.005277633148E1)); +#184=CARTESIAN_POINT('',(9.241178438088E0,1.03E1,-1.001283577128E1)); +#185=CARTESIAN_POINT('',(9.203397850001E0,1.03E1,-9.953547863190E0)); +#186=CARTESIAN_POINT('',(9.168579751560E0,1.03E1,-9.918579751560E0)); +#187=CARTESIAN_POINT('',(9.15E0,1.03E1,-9.9E0)); +#189=DIRECTION('',(-1.E0,0.E0,0.E0)); +#190=VECTOR('',#189,8.E-1); +#191=CARTESIAN_POINT('',(9.15E0,1.03E1,-9.9E0)); +#192=LINE('',#191,#190); +#193=CARTESIAN_POINT('',(8.35E0,1.03E1,-9.9E0)); +#194=CARTESIAN_POINT('',(8.331420811232E0,1.03E1,-9.918579188768E0)); +#195=CARTESIAN_POINT('',(8.296603404117E0,1.03E1,-9.953546520062E0)); +#196=CARTESIAN_POINT('',(8.258822257453E0,1.03E1,-1.001283399170E1)); +#197=CARTESIAN_POINT('',(8.250000000001E0,1.03E1,-1.005277555995E1)); +#198=CARTESIAN_POINT('',(8.25E0,1.03E1,-1.007320508076E1)); +#200=DIRECTION('',(0.E0,2.165242450519E-14,1.E0)); +#201=VECTOR('',#200,9.926794919245E0); +#202=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#203=LINE('',#202,#201); +#204=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#205=VECTOR('',#204,9.926794919245E0); +#206=CARTESIAN_POINT('',(5.75E0,1.03E1,-2.E1)); +#207=LINE('',#206,#205); +#208=CARTESIAN_POINT('',(5.75E0,1.03E1,-1.007320508075E1)); +#209=CARTESIAN_POINT('',(5.749999999999E0,1.03E1,-1.005277633148E1)); +#210=CARTESIAN_POINT('',(5.741178438088E0,1.03E1,-1.001283577128E1)); +#211=CARTESIAN_POINT('',(5.703397850001E0,1.03E1,-9.953547863190E0)); +#212=CARTESIAN_POINT('',(5.668579751560E0,1.03E1,-9.918579751560E0)); +#213=CARTESIAN_POINT('',(5.65E0,1.03E1,-9.9E0)); +#215=DIRECTION('',(-1.E0,0.E0,0.E0)); +#216=VECTOR('',#215,8.E-1); +#217=CARTESIAN_POINT('',(5.65E0,1.03E1,-9.9E0)); +#218=LINE('',#217,#216); +#219=CARTESIAN_POINT('',(4.85E0,1.03E1,-9.9E0)); +#220=CARTESIAN_POINT('',(4.831420811232E0,1.03E1,-9.918579188768E0)); +#221=CARTESIAN_POINT('',(4.796603404117E0,1.03E1,-9.953546520062E0)); +#222=CARTESIAN_POINT('',(4.758822257453E0,1.03E1,-1.001283399170E1)); +#223=CARTESIAN_POINT('',(4.750000000001E0,1.03E1,-1.005277555995E1)); +#224=CARTESIAN_POINT('',(4.75E0,1.03E1,-1.007320508076E1)); +#226=DIRECTION('',(0.E0,2.183137016226E-14,1.E0)); +#227=VECTOR('',#226,9.926794919245E0); +#228=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#229=LINE('',#228,#227); +#230=DIRECTION('',(0.E0,2.809446815962E-14,1.E0)); +#231=VECTOR('',#230,9.926794919245E0); +#232=CARTESIAN_POINT('',(2.25E0,1.03E1,-2.E1)); +#233=LINE('',#232,#231); +#234=CARTESIAN_POINT('',(2.25E0,1.03E1,-1.007320508075E1)); +#235=CARTESIAN_POINT('',(2.249999999999E0,1.03E1,-1.005277633148E1)); +#236=CARTESIAN_POINT('',(2.241178438088E0,1.03E1,-1.001283577128E1)); +#237=CARTESIAN_POINT('',(2.203397850001E0,1.03E1,-9.953547863190E0)); +#238=CARTESIAN_POINT('',(2.168579751560E0,1.03E1,-9.918579751560E0)); +#239=CARTESIAN_POINT('',(2.15E0,1.03E1,-9.9E0)); +#241=DIRECTION('',(-1.E0,0.E0,0.E0)); +#242=VECTOR('',#241,8.E-1); +#243=CARTESIAN_POINT('',(2.15E0,1.03E1,-9.9E0)); +#244=LINE('',#243,#242); +#245=CARTESIAN_POINT('',(1.35E0,1.03E1,-9.9E0)); +#246=CARTESIAN_POINT('',(1.331420811232E0,1.03E1,-9.918579188768E0)); +#247=CARTESIAN_POINT('',(1.296603404118E0,1.03E1,-9.953546520062E0)); +#248=CARTESIAN_POINT('',(1.258822257453E0,1.03E1,-1.001283399170E1)); +#249=CARTESIAN_POINT('',(1.250000000001E0,1.03E1,-1.005277555995E1)); +#250=CARTESIAN_POINT('',(1.25E0,1.03E1,-1.007320508076E1)); +#252=DIRECTION('',(0.E0,2.147347884812E-14,1.E0)); +#253=VECTOR('',#252,9.926794919245E0); +#254=CARTESIAN_POINT('',(1.25E0,1.03E1,-2.E1)); +#255=LINE('',#254,#253); +#256=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#257=VECTOR('',#256,9.926794919245E0); +#258=CARTESIAN_POINT('',(-1.075E0,1.03E1,-2.E1)); +#259=LINE('',#258,#257); +#260=CARTESIAN_POINT('',(-1.075E0,1.03E1,-1.007320508075E1)); +#261=CARTESIAN_POINT('',(-1.075000000001E0,1.03E1,-1.005277633148E1)); +#262=CARTESIAN_POINT('',(-1.083821561912E0,1.03E1,-1.001283577128E1)); +#263=CARTESIAN_POINT('',(-1.121602149999E0,1.03E1,-9.953547863190E0)); +#264=CARTESIAN_POINT('',(-1.156420248440E0,1.03E1,-9.918579751560E0)); +#265=CARTESIAN_POINT('',(-1.175E0,1.03E1,-9.9E0)); +#267=DIRECTION('',(-1.E0,0.E0,0.E0)); +#268=VECTOR('',#267,1.15E0); +#269=CARTESIAN_POINT('',(-1.175E0,1.03E1,-9.9E0)); +#270=LINE('',#269,#268); +#271=CARTESIAN_POINT('',(-2.325E0,1.03E1,-9.9E0)); +#272=CARTESIAN_POINT('',(-2.343579188768E0,1.03E1,-9.918579188768E0)); +#273=CARTESIAN_POINT('',(-2.378396595883E0,1.03E1,-9.953546520062E0)); +#274=CARTESIAN_POINT('',(-2.416177742547E0,1.03E1,-1.001283399170E1)); +#275=CARTESIAN_POINT('',(-2.424999999999E0,1.03E1,-1.005277555995E1)); +#276=CARTESIAN_POINT('',(-2.425E0,1.03E1,-1.007320508076E1)); +#278=DIRECTION('',(0.E0,2.147347884812E-14,1.E0)); +#279=VECTOR('',#278,9.926794919245E0); +#280=CARTESIAN_POINT('',(-2.425E0,1.03E1,-2.E1)); +#281=LINE('',#280,#279); +#282=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#283=VECTOR('',#282,9.926794919245E0); +#284=CARTESIAN_POINT('',(-4.75E0,1.03E1,-2.E1)); +#285=LINE('',#284,#283); +#286=CARTESIAN_POINT('',(-4.75E0,1.03E1,-1.007320508075E1)); +#287=CARTESIAN_POINT('',(-4.750000000001E0,1.03E1,-1.005277633148E1)); +#288=CARTESIAN_POINT('',(-4.758821561913E0,1.03E1,-1.001283577128E1)); +#289=CARTESIAN_POINT('',(-4.796602149999E0,1.03E1,-9.953547863190E0)); +#290=CARTESIAN_POINT('',(-4.831420248440E0,1.03E1,-9.918579751560E0)); +#291=CARTESIAN_POINT('',(-4.85E0,1.03E1,-9.9E0)); +#293=DIRECTION('',(-1.E0,0.E0,0.E0)); +#294=VECTOR('',#293,8.E-1); +#295=CARTESIAN_POINT('',(-4.85E0,1.03E1,-9.9E0)); +#296=LINE('',#295,#294); +#297=CARTESIAN_POINT('',(-5.65E0,1.03E1,-9.9E0)); +#298=CARTESIAN_POINT('',(-5.668579188768E0,1.03E1,-9.918579188768E0)); +#299=CARTESIAN_POINT('',(-5.703396595883E0,1.03E1,-9.953546520062E0)); +#300=CARTESIAN_POINT('',(-5.741177742547E0,1.03E1,-1.001283399170E1)); +#301=CARTESIAN_POINT('',(-5.749999999999E0,1.03E1,-1.005277555995E1)); +#302=CARTESIAN_POINT('',(-5.75E0,1.03E1,-1.007320508076E1)); +#304=DIRECTION('',(0.E0,2.147347884812E-14,1.E0)); +#305=VECTOR('',#304,9.926794919245E0); +#306=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#307=LINE('',#306,#305); +#308=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#309=VECTOR('',#308,9.926794919245E0); +#310=CARTESIAN_POINT('',(-8.25E0,1.03E1,-2.E1)); +#311=LINE('',#310,#309); +#312=CARTESIAN_POINT('',(-8.25E0,1.03E1,-1.007320508075E1)); +#313=CARTESIAN_POINT('',(-8.250000000001E0,1.03E1,-1.005277633148E1)); +#314=CARTESIAN_POINT('',(-8.258821561913E0,1.03E1,-1.001283577128E1)); +#315=CARTESIAN_POINT('',(-8.296602149999E0,1.03E1,-9.953547863190E0)); +#316=CARTESIAN_POINT('',(-8.331420248440E0,1.03E1,-9.918579751560E0)); +#317=CARTESIAN_POINT('',(-8.35E0,1.03E1,-9.9E0)); +#319=DIRECTION('',(-1.E0,0.E0,0.E0)); +#320=VECTOR('',#319,8.E-1); +#321=CARTESIAN_POINT('',(-8.35E0,1.03E1,-9.9E0)); +#322=LINE('',#321,#320); +#323=CARTESIAN_POINT('',(-9.15E0,1.03E1,-9.9E0)); +#324=CARTESIAN_POINT('',(-9.168579188768E0,1.03E1,-9.918579188768E0)); +#325=CARTESIAN_POINT('',(-9.203396595883E0,1.03E1,-9.953546520062E0)); +#326=CARTESIAN_POINT('',(-9.241177742547E0,1.03E1,-1.001283399170E1)); +#327=CARTESIAN_POINT('',(-9.249999999999E0,1.03E1,-1.005277555995E1)); +#328=CARTESIAN_POINT('',(-9.25E0,1.03E1,-1.007320508076E1)); +#330=DIRECTION('',(0.E0,2.165242450519E-14,1.E0)); +#331=VECTOR('',#330,9.926794919245E0); +#332=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#333=LINE('',#332,#331); +#334=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#335=VECTOR('',#334,9.926794919245E0); +#336=CARTESIAN_POINT('',(-1.175E1,1.03E1,-2.E1)); +#337=LINE('',#336,#335); +#338=CARTESIAN_POINT('',(-1.175E1,1.03E1,-1.007320508075E1)); +#339=CARTESIAN_POINT('',(-1.175E1,1.03E1,-1.005277633148E1)); +#340=CARTESIAN_POINT('',(-1.175882156191E1,1.03E1,-1.001283577128E1)); +#341=CARTESIAN_POINT('',(-1.179660215E1,1.03E1,-9.953547863190E0)); +#342=CARTESIAN_POINT('',(-1.183142024844E1,1.03E1,-9.918579751560E0)); +#343=CARTESIAN_POINT('',(-1.185E1,1.03E1,-9.9E0)); +#345=DIRECTION('',(-1.E0,0.E0,0.E0)); +#346=VECTOR('',#345,8.E-1); +#347=CARTESIAN_POINT('',(-1.185E1,1.03E1,-9.9E0)); +#348=LINE('',#347,#346); +#349=CARTESIAN_POINT('',(-1.265E1,1.03E1,-9.9E0)); +#350=CARTESIAN_POINT('',(-1.266857918877E1,1.03E1,-9.918579188768E0)); +#351=CARTESIAN_POINT('',(-1.270339659588E1,1.03E1,-9.953546520062E0)); +#352=CARTESIAN_POINT('',(-1.274117774255E1,1.03E1,-1.001283399170E1)); +#353=CARTESIAN_POINT('',(-1.275E1,1.03E1,-1.005277555995E1)); +#354=CARTESIAN_POINT('',(-1.275E1,1.03E1,-1.007320508076E1)); +#356=DIRECTION('',(0.E0,2.147347884812E-14,1.E0)); +#357=VECTOR('',#356,9.926794919245E0); +#358=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#359=LINE('',#358,#357); +#360=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#361=VECTOR('',#360,9.926794919245E0); +#362=CARTESIAN_POINT('',(-1.525E1,1.03E1,-2.E1)); +#363=LINE('',#362,#361); +#364=CARTESIAN_POINT('',(-1.525E1,1.03E1,-1.007320508075E1)); +#365=CARTESIAN_POINT('',(-1.525E1,1.03E1,-1.005277633148E1)); +#366=CARTESIAN_POINT('',(-1.525882156191E1,1.03E1,-1.001283577128E1)); +#367=CARTESIAN_POINT('',(-1.529660215E1,1.03E1,-9.953547863190E0)); +#368=CARTESIAN_POINT('',(-1.533142024844E1,1.03E1,-9.918579751560E0)); +#369=CARTESIAN_POINT('',(-1.535E1,1.03E1,-9.9E0)); +#371=DIRECTION('',(-1.E0,0.E0,0.E0)); +#372=VECTOR('',#371,8.E-1); +#373=CARTESIAN_POINT('',(-1.535E1,1.03E1,-9.9E0)); +#374=LINE('',#373,#372); +#375=CARTESIAN_POINT('',(-1.615E1,1.03E1,-9.9E0)); +#376=CARTESIAN_POINT('',(-1.616857918877E1,1.03E1,-9.918579188768E0)); +#377=CARTESIAN_POINT('',(-1.620339659588E1,1.03E1,-9.953546520062E0)); +#378=CARTESIAN_POINT('',(-1.624117774255E1,1.03E1,-1.001283399170E1)); +#379=CARTESIAN_POINT('',(-1.625E1,1.03E1,-1.005277555995E1)); +#380=CARTESIAN_POINT('',(-1.625E1,1.03E1,-1.007320508076E1)); +#382=DIRECTION('',(0.E0,2.183137016226E-14,1.E0)); +#383=VECTOR('',#382,9.926794919245E0); +#384=CARTESIAN_POINT('',(-1.625E1,1.03E1,-2.E1)); +#385=LINE('',#384,#383); +#386=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#387=VECTOR('',#386,9.926794919245E0); +#388=CARTESIAN_POINT('',(-1.875E1,1.03E1,-2.E1)); +#389=LINE('',#388,#387); +#390=CARTESIAN_POINT('',(-1.875E1,1.03E1,-1.007320508075E1)); +#391=CARTESIAN_POINT('',(-1.875E1,1.03E1,-1.005277633148E1)); +#392=CARTESIAN_POINT('',(-1.875882156191E1,1.03E1,-1.001283577128E1)); +#393=CARTESIAN_POINT('',(-1.879660215E1,1.03E1,-9.953547863190E0)); +#394=CARTESIAN_POINT('',(-1.883142024844E1,1.03E1,-9.918579751560E0)); +#395=CARTESIAN_POINT('',(-1.885E1,1.03E1,-9.9E0)); +#397=DIRECTION('',(-1.E0,0.E0,0.E0)); +#398=VECTOR('',#397,8.E-1); +#399=CARTESIAN_POINT('',(-1.885E1,1.03E1,-9.9E0)); +#400=LINE('',#399,#398); +#401=CARTESIAN_POINT('',(-1.965E1,1.03E1,-9.9E0)); +#402=CARTESIAN_POINT('',(-1.966857918877E1,1.03E1,-9.918579188768E0)); +#403=CARTESIAN_POINT('',(-1.970339659588E1,1.03E1,-9.953546520062E0)); +#404=CARTESIAN_POINT('',(-1.974117774255E1,1.03E1,-1.001283399170E1)); +#405=CARTESIAN_POINT('',(-1.975E1,1.03E1,-1.005277555995E1)); +#406=CARTESIAN_POINT('',(-1.975E1,1.03E1,-1.007320508076E1)); +#408=DIRECTION('',(0.E0,2.183137016226E-14,1.E0)); +#409=VECTOR('',#408,9.926794919245E0); +#410=CARTESIAN_POINT('',(-1.975E1,1.03E1,-2.E1)); +#411=LINE('',#410,#409); +#412=DIRECTION('',(-1.E0,0.E0,0.E0)); +#413=VECTOR('',#412,4.7E1); +#414=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E0)); +#415=LINE('',#414,#413); +#416=DIRECTION('',(0.E0,0.E0,-1.E0)); +#417=VECTOR('',#416,1.8E1); +#418=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E0)); +#419=LINE('',#418,#417); +#420=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#421=VECTOR('',#420,9.926794919245E0); +#422=CARTESIAN_POINT('',(1.975E1,1.03E1,-2.E1)); +#423=LINE('',#422,#421); +#424=CARTESIAN_POINT('',(1.975E1,1.03E1,-1.007320508075E1)); +#425=CARTESIAN_POINT('',(1.975E1,1.03E1,-1.005277633148E1)); +#426=CARTESIAN_POINT('',(1.974117843809E1,1.03E1,-1.001283577128E1)); +#427=CARTESIAN_POINT('',(1.970339785E1,1.03E1,-9.953547863190E0)); +#428=CARTESIAN_POINT('',(1.966857975156E1,1.03E1,-9.918579751560E0)); +#429=CARTESIAN_POINT('',(1.965E1,1.03E1,-9.9E0)); +#431=DIRECTION('',(-1.E0,0.E0,0.E0)); +#432=VECTOR('',#431,8.E-1); +#433=CARTESIAN_POINT('',(1.965E1,1.03E1,-9.9E0)); +#434=LINE('',#433,#432); +#435=CARTESIAN_POINT('',(1.885E1,1.02E1,-9.9E0)); +#436=DIRECTION('',(1.E0,0.E0,0.E0)); +#437=DIRECTION('',(0.E0,0.E0,-1.E0)); +#438=AXIS2_PLACEMENT_3D('',#435,#436,#437); +#440=CARTESIAN_POINT('',(1.885E1,1.02E1,-1.01E1)); +#441=DIRECTION('',(0.E0,1.E0,-3.103073353827E-14)); +#442=DIRECTION('',(-1.E0,0.E0,-1.776356839400E-14)); +#443=AXIS2_PLACEMENT_3D('',#440,#441,#442); +#445=DIRECTION('',(0.E0,-1.E0,-5.006096483335E-14)); +#446=VECTOR('',#445,1.100000014077E0); +#447=CARTESIAN_POINT('',(1.875E1,1.02E1,-1.01E1)); +#448=LINE('',#447,#446); +#449=CARTESIAN_POINT('',(1.875E1,1.02E1,-9.9E0)); +#450=DIRECTION('',(1.E0,0.E0,0.E0)); +#451=DIRECTION('',(0.E0,0.E0,-1.E0)); +#452=AXIS2_PLACEMENT_3D('',#449,#450,#451); +#454=DIRECTION('',(4.037174634999E-13,-1.E0,0.E0)); +#455=VECTOR('',#454,1.1E0); +#456=CARTESIAN_POINT('',(1.885E1,1.02E1,-1.E1)); +#457=LINE('',#456,#455); +#458=DIRECTION('',(-1.E0,0.E0,0.E0)); +#459=VECTOR('',#458,8.E-1); +#460=CARTESIAN_POINT('',(1.965E1,1.02E1,-1.E1)); +#461=LINE('',#460,#459); +#462=DIRECTION('',(0.E0,1.E0,0.E0)); +#463=VECTOR('',#462,1.1E0); +#464=CARTESIAN_POINT('',(1.965E1,9.1E0,-1.E1)); +#465=LINE('',#464,#463); +#466=CARTESIAN_POINT('',(1.925E1,9.1E0,-1.E1)); +#467=DIRECTION('',(0.E0,0.E0,1.E0)); +#468=DIRECTION('',(-1.E0,0.E0,0.E0)); +#469=AXIS2_PLACEMENT_3D('',#466,#467,#468); +#471=DIRECTION('',(4.247107716019E-13,-1.E0,0.E0)); +#472=VECTOR('',#471,1.1E0); +#473=CARTESIAN_POINT('',(1.535E1,1.02E1,-1.E1)); +#474=LINE('',#473,#472); +#475=DIRECTION('',(-1.E0,0.E0,0.E0)); +#476=VECTOR('',#475,8.E-1); +#477=CARTESIAN_POINT('',(1.615E1,1.02E1,-1.E1)); +#478=LINE('',#477,#476); +#479=DIRECTION('',(-1.291895883200E-14,1.E0,0.E0)); +#480=VECTOR('',#479,1.1E0); +#481=CARTESIAN_POINT('',(1.615E1,9.1E0,-1.E1)); +#482=LINE('',#481,#480); +#483=CARTESIAN_POINT('',(1.575E1,9.1E0,-1.E1)); +#484=DIRECTION('',(0.E0,0.E0,1.E0)); +#485=DIRECTION('',(-1.E0,0.E0,0.E0)); +#486=AXIS2_PLACEMENT_3D('',#483,#484,#485); +#488=DIRECTION('',(-1.E0,0.E0,0.E0)); +#489=VECTOR('',#488,8.E-1); +#490=CARTESIAN_POINT('',(1.265E1,1.02E1,-1.E1)); +#491=LINE('',#490,#489); +#492=DIRECTION('',(0.E0,1.E0,0.E0)); +#493=VECTOR('',#492,1.1E0); +#494=CARTESIAN_POINT('',(1.265E1,9.1E0,-1.E1)); +#495=LINE('',#494,#493); +#496=CARTESIAN_POINT('',(1.225E1,9.1E0,-1.E1)); +#497=DIRECTION('',(0.E0,0.E0,1.E0)); +#498=DIRECTION('',(-1.E0,0.E0,0.E0)); +#499=AXIS2_PLACEMENT_3D('',#496,#497,#498); +#501=DIRECTION('',(4.037174634999E-13,-1.E0,0.E0)); +#502=VECTOR('',#501,1.1E0); +#503=CARTESIAN_POINT('',(1.185E1,1.02E1,-1.E1)); +#504=LINE('',#503,#502); +#505=DIRECTION('',(-1.E0,0.E0,0.E0)); +#506=VECTOR('',#505,8.E-1); +#507=CARTESIAN_POINT('',(9.15E0,1.02E1,-1.E1)); +#508=LINE('',#507,#506); +#509=DIRECTION('',(0.E0,1.E0,0.E0)); +#510=VECTOR('',#509,1.1E0); +#511=CARTESIAN_POINT('',(9.15E0,9.1E0,-1.E1)); +#512=LINE('',#511,#510); +#513=CARTESIAN_POINT('',(8.75E0,9.1E0,-1.E1)); +#514=DIRECTION('',(0.E0,0.E0,1.E0)); +#515=DIRECTION('',(-1.E0,0.E0,0.E0)); +#516=AXIS2_PLACEMENT_3D('',#513,#514,#515); +#518=DIRECTION('',(4.069472032079E-13,-1.E0,0.E0)); +#519=VECTOR('',#518,1.1E0); +#520=CARTESIAN_POINT('',(8.35E0,1.02E1,-1.E1)); +#521=LINE('',#520,#519); +#522=DIRECTION('',(-1.E0,0.E0,0.E0)); +#523=VECTOR('',#522,8.E-1); +#524=CARTESIAN_POINT('',(5.65E0,1.02E1,-1.E1)); +#525=LINE('',#524,#523); +#526=DIRECTION('',(0.E0,1.E0,0.E0)); +#527=VECTOR('',#526,1.1E0); +#528=CARTESIAN_POINT('',(5.65E0,9.1E0,-1.E1)); +#529=LINE('',#528,#527); +#530=CARTESIAN_POINT('',(5.25E0,9.1E0,-1.E1)); +#531=DIRECTION('',(0.E0,0.E0,1.E0)); +#532=DIRECTION('',(-1.E0,0.E0,0.E0)); +#533=AXIS2_PLACEMENT_3D('',#530,#531,#532); +#535=DIRECTION('',(4.134066826239E-13,-1.E0,0.E0)); +#536=VECTOR('',#535,1.1E0); +#537=CARTESIAN_POINT('',(4.85E0,1.02E1,-1.E1)); +#538=LINE('',#537,#536); +#539=DIRECTION('',(-1.E0,0.E0,0.E0)); +#540=VECTOR('',#539,8.E-1); +#541=CARTESIAN_POINT('',(2.15E0,1.02E1,-1.E1)); +#542=LINE('',#541,#540); +#543=DIRECTION('',(0.E0,1.E0,0.E0)); +#544=VECTOR('',#543,1.1E0); +#545=CARTESIAN_POINT('',(2.15E0,9.1E0,-1.E1)); +#546=LINE('',#545,#544); +#547=CARTESIAN_POINT('',(1.75E0,9.1E0,-1.E1)); +#548=DIRECTION('',(0.E0,0.E0,1.E0)); +#549=DIRECTION('',(-1.E0,0.E0,0.E0)); +#550=AXIS2_PLACEMENT_3D('',#547,#548,#549); +#552=DIRECTION('',(4.063416270126E-13,-1.E0,0.E0)); +#553=VECTOR('',#552,1.1E0); +#554=CARTESIAN_POINT('',(1.35E0,1.02E1,-1.E1)); +#555=LINE('',#554,#553); +#556=DIRECTION('',(-1.E0,0.E0,0.E0)); +#557=VECTOR('',#556,1.15E0); +#558=CARTESIAN_POINT('',(-1.175E0,1.02E1,-1.E1)); +#559=LINE('',#558,#557); +#560=DIRECTION('',(0.E0,1.E0,0.E0)); +#561=VECTOR('',#560,2.125E0); +#562=CARTESIAN_POINT('',(-1.175E0,8.075E0,-1.E1)); +#563=LINE('',#562,#561); +#564=CARTESIAN_POINT('',(-1.75E0,8.075E0,-1.E1)); +#565=DIRECTION('',(0.E0,0.E0,1.E0)); +#566=DIRECTION('',(-1.E0,0.E0,0.E0)); +#567=AXIS2_PLACEMENT_3D('',#564,#565,#566); +#569=DIRECTION('',(4.591359971955E-13,-1.E0,0.E0)); +#570=VECTOR('',#569,2.125E0); +#571=CARTESIAN_POINT('',(-2.325E0,1.02E1,-1.E1)); +#572=LINE('',#571,#570); +#573=DIRECTION('',(-1.E0,0.E0,0.E0)); +#574=VECTOR('',#573,8.E-1); +#575=CARTESIAN_POINT('',(-4.85E0,1.02E1,-1.E1)); +#576=LINE('',#575,#574); +#577=DIRECTION('',(0.E0,1.E0,0.E0)); +#578=VECTOR('',#577,1.1E0); +#579=CARTESIAN_POINT('',(-4.85E0,9.1E0,-1.E1)); +#580=LINE('',#579,#578); +#581=CARTESIAN_POINT('',(-5.25E0,9.1E0,-1.E1)); +#582=DIRECTION('',(0.E0,0.E0,1.E0)); +#583=DIRECTION('',(-1.E0,0.E0,0.E0)); +#584=AXIS2_PLACEMENT_3D('',#581,#582,#583); +#586=DIRECTION('',(4.061397682809E-13,-1.E0,0.E0)); +#587=VECTOR('',#586,1.1E0); +#588=CARTESIAN_POINT('',(-5.65E0,1.02E1,-1.E1)); +#589=LINE('',#588,#587); +#590=DIRECTION('',(-1.E0,0.E0,0.E0)); +#591=VECTOR('',#590,8.E-1); +#592=CARTESIAN_POINT('',(-8.35E0,1.02E1,-1.E1)); +#593=LINE('',#592,#591); +#594=DIRECTION('',(0.E0,1.E0,0.E0)); +#595=VECTOR('',#594,1.1E0); +#596=CARTESIAN_POINT('',(-8.35E0,9.1E0,-1.E1)); +#597=LINE('',#596,#595); +#598=CARTESIAN_POINT('',(-8.75E0,9.1E0,-1.E1)); +#599=DIRECTION('',(0.E0,0.E0,1.E0)); +#600=DIRECTION('',(-1.E0,0.E0,0.E0)); +#601=AXIS2_PLACEMENT_3D('',#598,#599,#600); +#603=DIRECTION('',(4.101769429159E-13,-1.E0,0.E0)); +#604=VECTOR('',#603,1.1E0); +#605=CARTESIAN_POINT('',(-9.15E0,1.02E1,-1.E1)); +#606=LINE('',#605,#604); +#607=DIRECTION('',(-1.E0,0.E0,0.E0)); +#608=VECTOR('',#607,8.E-1); +#609=CARTESIAN_POINT('',(-1.185E1,1.02E1,-1.E1)); +#610=LINE('',#609,#608); +#611=DIRECTION('',(0.E0,1.E0,0.E0)); +#612=VECTOR('',#611,1.1E0); +#613=CARTESIAN_POINT('',(-1.185E1,9.1E0,-1.E1)); +#614=LINE('',#613,#612); +#615=CARTESIAN_POINT('',(-1.225E1,9.1E0,-1.E1)); +#616=DIRECTION('',(0.E0,0.E0,1.E0)); +#617=DIRECTION('',(-1.E0,0.E0,0.E0)); +#618=AXIS2_PLACEMENT_3D('',#615,#616,#617); +#620=DIRECTION('',(4.021025936459E-13,-1.E0,0.E0)); +#621=VECTOR('',#620,1.1E0); +#622=CARTESIAN_POINT('',(-1.265E1,1.02E1,-1.E1)); +#623=LINE('',#622,#621); +#624=DIRECTION('',(-1.E0,0.E0,0.E0)); +#625=VECTOR('',#624,8.E-1); +#626=CARTESIAN_POINT('',(-1.535E1,1.02E1,-1.E1)); +#627=LINE('',#626,#625); +#628=DIRECTION('',(1.291895883200E-14,1.E0,0.E0)); +#629=VECTOR('',#628,1.1E0); +#630=CARTESIAN_POINT('',(-1.535E1,9.1E0,-1.E1)); +#631=LINE('',#630,#629); +#632=CARTESIAN_POINT('',(-1.575E1,9.1E0,-1.E1)); +#633=DIRECTION('',(0.E0,0.E0,1.E0)); +#634=DIRECTION('',(-1.E0,0.E0,0.E0)); +#635=AXIS2_PLACEMENT_3D('',#632,#633,#634); +#637=DIRECTION('',(3.972579840839E-13,-1.E0,0.E0)); +#638=VECTOR('',#637,1.1E0); +#639=CARTESIAN_POINT('',(-1.615E1,1.02E1,-1.E1)); +#640=LINE('',#639,#638); +#641=DIRECTION('',(-1.E0,0.E0,0.E0)); +#642=VECTOR('',#641,8.E-1); +#643=CARTESIAN_POINT('',(-1.885E1,1.02E1,-1.E1)); +#644=LINE('',#643,#642); +#645=DIRECTION('',(0.E0,1.E0,0.E0)); +#646=VECTOR('',#645,1.1E0); +#647=CARTESIAN_POINT('',(-1.885E1,9.1E0,-1.E1)); +#648=LINE('',#647,#646); +#649=CARTESIAN_POINT('',(-1.925E1,9.1E0,-1.E1)); +#650=DIRECTION('',(0.E0,0.E0,1.E0)); +#651=DIRECTION('',(-1.E0,0.E0,0.E0)); +#652=AXIS2_PLACEMENT_3D('',#649,#650,#651); +#654=DIRECTION('',(4.101769429159E-13,-1.E0,0.E0)); +#655=VECTOR('',#654,1.1E0); +#656=CARTESIAN_POINT('',(-1.965E1,1.02E1,-1.E1)); +#657=LINE('',#656,#655); +#658=DIRECTION('',(1.E0,0.E0,0.E0)); +#659=VECTOR('',#658,8.E-1); +#660=CARTESIAN_POINT('',(-1.615E1,-1.02E1,-1.E1)); +#661=LINE('',#660,#659); +#662=DIRECTION('',(1.291895883200E-14,-1.E0,0.E0)); +#663=VECTOR('',#662,1.1E0); +#664=CARTESIAN_POINT('',(-1.615E1,-9.1E0,-1.E1)); +#665=LINE('',#664,#663); +#666=CARTESIAN_POINT('',(-1.575E1,-9.1E0,-1.E1)); +#667=DIRECTION('',(0.E0,0.E0,1.E0)); +#668=DIRECTION('',(1.E0,0.E0,0.E0)); +#669=AXIS2_PLACEMENT_3D('',#666,#667,#668); +#671=DIRECTION('',(-4.247107716019E-13,1.E0,0.E0)); +#672=VECTOR('',#671,1.1E0); +#673=CARTESIAN_POINT('',(-1.535E1,-1.02E1,-1.E1)); +#674=LINE('',#673,#672); +#675=DIRECTION('',(-4.069472032079E-13,1.E0,0.E0)); +#676=VECTOR('',#675,1.1E0); +#677=CARTESIAN_POINT('',(-1.885E1,-1.02E1,-1.E1)); +#678=LINE('',#677,#676); +#679=DIRECTION('',(1.E0,0.E0,0.E0)); +#680=VECTOR('',#679,8.E-1); +#681=CARTESIAN_POINT('',(-1.965E1,-1.02E1,-1.E1)); +#682=LINE('',#681,#680); +#683=DIRECTION('',(0.E0,-1.E0,0.E0)); +#684=VECTOR('',#683,1.1E0); +#685=CARTESIAN_POINT('',(-1.965E1,-9.1E0,-1.E1)); +#686=LINE('',#685,#684); +#687=CARTESIAN_POINT('',(-1.925E1,-9.1E0,-1.E1)); +#688=DIRECTION('',(0.E0,0.E0,1.E0)); +#689=DIRECTION('',(1.E0,0.E0,0.E0)); +#690=AXIS2_PLACEMENT_3D('',#687,#688,#689); +#692=DIRECTION('',(-4.085620730619E-13,1.E0,0.E0)); +#693=VECTOR('',#692,1.1E0); +#694=CARTESIAN_POINT('',(-1.185E1,-1.02E1,-1.E1)); +#695=LINE('',#694,#693); +#696=DIRECTION('',(1.E0,0.E0,0.E0)); +#697=VECTOR('',#696,8.E-1); +#698=CARTESIAN_POINT('',(-1.265E1,-1.02E1,-1.E1)); +#699=LINE('',#698,#697); +#700=DIRECTION('',(0.E0,-1.E0,0.E0)); +#701=VECTOR('',#700,1.1E0); +#702=CARTESIAN_POINT('',(-1.265E1,-9.1E0,-1.E1)); +#703=LINE('',#702,#701); +#704=CARTESIAN_POINT('',(-1.225E1,-9.1E0,-1.E1)); +#705=DIRECTION('',(0.E0,0.E0,1.E0)); +#706=DIRECTION('',(1.E0,0.E0,0.E0)); +#707=AXIS2_PLACEMENT_3D('',#704,#705,#706); +#709=DIRECTION('',(-4.069472032079E-13,1.E0,0.E0)); +#710=VECTOR('',#709,1.1E0); +#711=CARTESIAN_POINT('',(-8.35E0,-1.02E1,-1.E1)); +#712=LINE('',#711,#710); +#713=DIRECTION('',(1.E0,0.E0,0.E0)); +#714=VECTOR('',#713,8.E-1); +#715=CARTESIAN_POINT('',(-9.15E0,-1.02E1,-1.E1)); +#716=LINE('',#715,#714); +#717=DIRECTION('',(0.E0,-1.E0,0.E0)); +#718=VECTOR('',#717,1.1E0); +#719=CARTESIAN_POINT('',(-9.15E0,-9.1E0,-1.E1)); +#720=LINE('',#719,#718); +#721=CARTESIAN_POINT('',(-8.75E0,-9.1E0,-1.E1)); +#722=DIRECTION('',(0.E0,0.E0,1.E0)); +#723=DIRECTION('',(1.E0,0.E0,0.E0)); +#724=AXIS2_PLACEMENT_3D('',#721,#722,#723); +#726=DIRECTION('',(-4.117918127699E-13,1.E0,0.E0)); +#727=VECTOR('',#726,1.1E0); +#728=CARTESIAN_POINT('',(-4.85E0,-1.02E1,-1.E1)); +#729=LINE('',#728,#727); +#730=DIRECTION('',(1.E0,0.E0,0.E0)); +#731=VECTOR('',#730,8.E-1); +#732=CARTESIAN_POINT('',(-5.65E0,-1.02E1,-1.E1)); +#733=LINE('',#732,#731); +#734=DIRECTION('',(0.E0,-1.E0,0.E0)); +#735=VECTOR('',#734,1.1E0); +#736=CARTESIAN_POINT('',(-5.65E0,-9.1E0,-1.E1)); +#737=LINE('',#736,#735); +#738=CARTESIAN_POINT('',(-5.25E0,-9.1E0,-1.E1)); +#739=DIRECTION('',(0.E0,0.E0,1.E0)); +#740=DIRECTION('',(1.E0,0.E0,0.E0)); +#741=AXIS2_PLACEMENT_3D('',#738,#739,#740); +#743=DIRECTION('',(-4.059379095491E-13,1.E0,0.E0)); +#744=VECTOR('',#743,1.1E0); +#745=CARTESIAN_POINT('',(-1.35E0,-1.02E1,-1.E1)); +#746=LINE('',#745,#744); +#747=DIRECTION('',(1.E0,0.E0,0.E0)); +#748=VECTOR('',#747,8.E-1); +#749=CARTESIAN_POINT('',(-2.15E0,-1.02E1,-1.E1)); +#750=LINE('',#749,#748); +#751=DIRECTION('',(0.E0,-1.E0,0.E0)); +#752=VECTOR('',#751,1.1E0); +#753=CARTESIAN_POINT('',(-2.15E0,-9.1E0,-1.E1)); +#754=LINE('',#753,#752); +#755=CARTESIAN_POINT('',(-1.75E0,-9.1E0,-1.E1)); +#756=DIRECTION('',(0.E0,0.E0,1.E0)); +#757=DIRECTION('',(1.E0,0.E0,0.E0)); +#758=AXIS2_PLACEMENT_3D('',#755,#756,#757); +#760=DIRECTION('',(-4.113880953064E-13,1.E0,0.E0)); +#761=VECTOR('',#760,1.1E0); +#762=CARTESIAN_POINT('',(2.15E0,-1.02E1,-1.E1)); +#763=LINE('',#762,#761); +#764=DIRECTION('',(1.E0,0.E0,0.E0)); +#765=VECTOR('',#764,8.E-1); +#766=CARTESIAN_POINT('',(1.35E0,-1.02E1,-1.E1)); +#767=LINE('',#766,#765); +#768=DIRECTION('',(0.E0,-1.E0,0.E0)); +#769=VECTOR('',#768,1.1E0); +#770=CARTESIAN_POINT('',(1.35E0,-9.1E0,-1.E1)); +#771=LINE('',#770,#769); +#772=CARTESIAN_POINT('',(1.75E0,-9.1E0,-1.E1)); +#773=DIRECTION('',(0.E0,0.E0,1.E0)); +#774=DIRECTION('',(1.E0,0.E0,0.E0)); +#775=AXIS2_PLACEMENT_3D('',#772,#773,#774); +#777=DIRECTION('',(-4.631066771895E-13,1.E0,0.E0)); +#778=VECTOR('',#777,2.125E0); +#779=CARTESIAN_POINT('',(5.825E0,-1.02E1,-1.E1)); +#780=LINE('',#779,#778); +#781=DIRECTION('',(1.E0,0.E0,0.E0)); +#782=VECTOR('',#781,1.15E0); +#783=CARTESIAN_POINT('',(4.675E0,-1.02E1,-1.E1)); +#784=LINE('',#783,#782); +#785=DIRECTION('',(0.E0,-1.E0,0.E0)); +#786=VECTOR('',#785,2.125E0); +#787=CARTESIAN_POINT('',(4.675E0,-8.075E0,-1.E1)); +#788=LINE('',#787,#786); +#789=CARTESIAN_POINT('',(5.25E0,-8.075E0,-1.E1)); +#790=DIRECTION('',(0.E0,0.E0,1.E0)); +#791=DIRECTION('',(1.E0,0.E0,0.E0)); +#792=AXIS2_PLACEMENT_3D('',#789,#790,#791); +#794=DIRECTION('',(-4.101769429159E-13,1.E0,0.E0)); +#795=VECTOR('',#794,1.1E0); +#796=CARTESIAN_POINT('',(9.15E0,-1.02E1,-1.E1)); +#797=LINE('',#796,#795); +#798=DIRECTION('',(1.E0,0.E0,0.E0)); +#799=VECTOR('',#798,8.E-1); +#800=CARTESIAN_POINT('',(8.35E0,-1.02E1,-1.E1)); +#801=LINE('',#800,#799); +#802=DIRECTION('',(0.E0,-1.E0,0.E0)); +#803=VECTOR('',#802,1.1E0); +#804=CARTESIAN_POINT('',(8.35E0,-9.1E0,-1.E1)); +#805=LINE('',#804,#803); +#806=CARTESIAN_POINT('',(8.75E0,-9.1E0,-1.E1)); +#807=DIRECTION('',(0.E0,0.E0,1.E0)); +#808=DIRECTION('',(1.E0,0.E0,0.E0)); +#809=AXIS2_PLACEMENT_3D('',#806,#807,#808); +#811=DIRECTION('',(-4.037174634999E-13,1.E0,0.E0)); +#812=VECTOR('',#811,1.1E0); +#813=CARTESIAN_POINT('',(1.265E1,-1.02E1,-1.E1)); +#814=LINE('',#813,#812); +#815=DIRECTION('',(1.E0,0.E0,0.E0)); +#816=VECTOR('',#815,8.E-1); +#817=CARTESIAN_POINT('',(1.185E1,-1.02E1,-1.E1)); +#818=LINE('',#817,#816); +#819=DIRECTION('',(0.E0,-1.E0,0.E0)); +#820=VECTOR('',#819,1.1E0); +#821=CARTESIAN_POINT('',(1.185E1,-9.1E0,-1.E1)); +#822=LINE('',#821,#820); +#823=CARTESIAN_POINT('',(1.225E1,-9.1E0,-1.E1)); +#824=DIRECTION('',(0.E0,0.E0,1.E0)); +#825=DIRECTION('',(1.E0,0.E0,0.E0)); +#826=AXIS2_PLACEMENT_3D('',#823,#824,#825); +#828=DIRECTION('',(-3.972579840839E-13,1.E0,0.E0)); +#829=VECTOR('',#828,1.1E0); +#830=CARTESIAN_POINT('',(1.615E1,-1.02E1,-1.E1)); +#831=LINE('',#830,#829); +#832=DIRECTION('',(1.E0,0.E0,0.E0)); +#833=VECTOR('',#832,8.E-1); +#834=CARTESIAN_POINT('',(1.535E1,-1.02E1,-1.E1)); +#835=LINE('',#834,#833); +#836=DIRECTION('',(-1.291895883200E-14,-1.E0,0.E0)); +#837=VECTOR('',#836,1.1E0); +#838=CARTESIAN_POINT('',(1.535E1,-9.1E0,-1.E1)); +#839=LINE('',#838,#837); +#840=CARTESIAN_POINT('',(1.575E1,-9.1E0,-1.E1)); +#841=DIRECTION('',(0.E0,0.E0,1.E0)); +#842=DIRECTION('',(1.E0,0.E0,0.E0)); +#843=AXIS2_PLACEMENT_3D('',#840,#841,#842); +#845=DIRECTION('',(-4.069472032079E-13,1.E0,0.E0)); +#846=VECTOR('',#845,1.1E0); +#847=CARTESIAN_POINT('',(1.965E1,-1.02E1,-1.E1)); +#848=LINE('',#847,#846); +#849=DIRECTION('',(1.E0,0.E0,0.E0)); +#850=VECTOR('',#849,8.E-1); +#851=CARTESIAN_POINT('',(1.885E1,-1.02E1,-1.E1)); +#852=LINE('',#851,#850); +#853=DIRECTION('',(0.E0,-1.E0,0.E0)); +#854=VECTOR('',#853,1.1E0); +#855=CARTESIAN_POINT('',(1.885E1,-9.1E0,-1.E1)); +#856=LINE('',#855,#854); +#857=CARTESIAN_POINT('',(1.925E1,-9.1E0,-1.E1)); +#858=DIRECTION('',(0.E0,0.E0,1.E0)); +#859=DIRECTION('',(1.E0,0.E0,0.E0)); +#860=AXIS2_PLACEMENT_3D('',#857,#858,#859); +#862=CARTESIAN_POINT('',(1.965E1,1.02E1,-1.01E1)); +#863=DIRECTION('',(0.E0,1.E0,-2.253752739989E-14)); +#864=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#865=AXIS2_PLACEMENT_3D('',#862,#863,#864); +#867=CARTESIAN_POINT('',(1.965E1,1.02E1,-9.9E0)); +#868=DIRECTION('',(-1.E0,0.E0,0.E0)); +#869=DIRECTION('',(0.E0,1.E0,0.E0)); +#870=AXIS2_PLACEMENT_3D('',#867,#868,#869); +#872=DIRECTION('',(0.E0,1.E0,4.198661620400E-14)); +#873=VECTOR('',#872,1.1E0); +#874=CARTESIAN_POINT('',(1.975E1,9.1E0,-1.01E1)); +#875=LINE('',#874,#873); +#876=CARTESIAN_POINT('',(1.975E1,1.02E1,-9.9E0)); +#877=DIRECTION('',(1.E0,0.E0,0.E0)); +#878=DIRECTION('',(0.E0,0.E0,-1.E0)); +#879=AXIS2_PLACEMENT_3D('',#876,#877,#878); +#881=DIRECTION('',(0.E0,3.606542673934E-14,1.E0)); +#882=VECTOR('',#881,9.9E0); +#883=CARTESIAN_POINT('',(1.975E1,9.1E0,-2.E1)); +#884=LINE('',#883,#882); +#885=CARTESIAN_POINT('',(1.965E1,9.1E0,-1.01E1)); +#886=DIRECTION('',(0.E0,1.E0,0.E0)); +#887=DIRECTION('',(3.552713678801E-14,0.E0,1.E0)); +#888=AXIS2_PLACEMENT_3D('',#885,#886,#887); +#890=CARTESIAN_POINT('',(1.885E1,9.1E0,-1.01E1)); +#891=DIRECTION('',(0.E0,-1.E0,0.E0)); +#892=DIRECTION('',(3.552713678801E-14,0.E0,1.E0)); +#893=AXIS2_PLACEMENT_3D('',#890,#891,#892); +#895=CARTESIAN_POINT('',(1.925E1,9.1E0,-1.01E1)); +#896=DIRECTION('',(0.E0,0.E0,1.E0)); +#897=DIRECTION('',(-1.E0,0.E0,0.E0)); +#898=AXIS2_PLACEMENT_3D('',#895,#896,#897); +#900=DIRECTION('',(0.E0,-1.421909772866E-9,1.E0)); +#901=VECTOR('',#900,9.9E0); +#902=CARTESIAN_POINT('',(1.875E1,9.1E0,-2.E1)); +#903=LINE('',#902,#901); +#904=DIRECTION('',(0.E0,-1.E0,0.E0)); +#905=VECTOR('',#904,1.2E0); +#906=CARTESIAN_POINT('',(1.25E0,1.03E1,-2.E1)); +#907=LINE('',#906,#905); +#908=CARTESIAN_POINT('',(1.75E0,9.1E0,-2.E1)); +#909=DIRECTION('',(0.E0,0.E0,1.E0)); +#910=DIRECTION('',(-1.E0,0.E0,0.E0)); +#911=AXIS2_PLACEMENT_3D('',#908,#909,#910); +#913=DIRECTION('',(0.E0,-1.E0,0.E0)); +#914=VECTOR('',#913,1.2E0); +#915=CARTESIAN_POINT('',(2.25E0,1.03E1,-2.E1)); +#916=LINE('',#915,#914); +#917=DIRECTION('',(-1.E0,0.E0,0.E0)); +#918=VECTOR('',#917,2.5E0); +#919=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#920=LINE('',#919,#918); +#921=DIRECTION('',(0.E0,-1.E0,0.E0)); +#922=VECTOR('',#921,1.2E0); +#923=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#924=LINE('',#923,#922); +#925=CARTESIAN_POINT('',(5.25E0,9.1E0,-2.E1)); +#926=DIRECTION('',(0.E0,0.E0,1.E0)); +#927=DIRECTION('',(-1.E0,1.065814103640E-14,0.E0)); +#928=AXIS2_PLACEMENT_3D('',#925,#926,#927); +#930=DIRECTION('',(0.E0,-1.E0,0.E0)); +#931=VECTOR('',#930,1.2E0); +#932=CARTESIAN_POINT('',(5.75E0,1.03E1,-2.E1)); +#933=LINE('',#932,#931); +#934=DIRECTION('',(-1.E0,0.E0,0.E0)); +#935=VECTOR('',#934,2.5E0); +#936=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#937=LINE('',#936,#935); +#938=DIRECTION('',(0.E0,-1.E0,0.E0)); +#939=VECTOR('',#938,1.2E0); +#940=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#941=LINE('',#940,#939); +#942=CARTESIAN_POINT('',(8.75E0,9.1E0,-2.E1)); +#943=DIRECTION('',(0.E0,0.E0,1.E0)); +#944=DIRECTION('',(-1.E0,0.E0,0.E0)); +#945=AXIS2_PLACEMENT_3D('',#942,#943,#944); +#947=DIRECTION('',(0.E0,-1.E0,0.E0)); +#948=VECTOR('',#947,1.2E0); +#949=CARTESIAN_POINT('',(9.25E0,1.03E1,-2.E1)); +#950=LINE('',#949,#948); +#951=DIRECTION('',(-1.E0,0.E0,0.E0)); +#952=VECTOR('',#951,2.5E0); +#953=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#954=LINE('',#953,#952); +#955=DIRECTION('',(0.E0,-1.E0,0.E0)); +#956=VECTOR('',#955,1.2E0); +#957=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#958=LINE('',#957,#956); +#959=CARTESIAN_POINT('',(1.225E1,9.1E0,-2.E1)); +#960=DIRECTION('',(0.E0,0.E0,1.E0)); +#961=DIRECTION('',(-1.E0,0.E0,0.E0)); +#962=AXIS2_PLACEMENT_3D('',#959,#960,#961); +#964=DIRECTION('',(0.E0,-1.E0,0.E0)); +#965=VECTOR('',#964,1.2E0); +#966=CARTESIAN_POINT('',(1.275E1,1.03E1,-2.E1)); +#967=LINE('',#966,#965); +#968=DIRECTION('',(-1.E0,0.E0,0.E0)); +#969=VECTOR('',#968,2.5E0); +#970=CARTESIAN_POINT('',(1.525E1,1.03E1,-2.E1)); +#971=LINE('',#970,#969); +#972=DIRECTION('',(0.E0,-1.E0,0.E0)); +#973=VECTOR('',#972,1.2E0); +#974=CARTESIAN_POINT('',(1.525E1,1.03E1,-2.E1)); +#975=LINE('',#974,#973); +#976=CARTESIAN_POINT('',(1.575E1,9.1E0,-2.E1)); +#977=DIRECTION('',(0.E0,0.E0,1.E0)); +#978=DIRECTION('',(-1.E0,3.232969447708E-13,0.E0)); +#979=AXIS2_PLACEMENT_3D('',#976,#977,#978); +#981=DIRECTION('',(0.E0,-1.E0,0.E0)); +#982=VECTOR('',#981,1.200000000001E0); +#983=CARTESIAN_POINT('',(1.625E1,1.03E1,-2.E1)); +#984=LINE('',#983,#982); +#985=DIRECTION('',(-1.E0,0.E0,0.E0)); +#986=VECTOR('',#985,2.5E0); +#987=CARTESIAN_POINT('',(1.875E1,1.03E1,-2.E1)); +#988=LINE('',#987,#986); +#989=DIRECTION('',(0.E0,-1.E0,0.E0)); +#990=VECTOR('',#989,1.2E0); +#991=CARTESIAN_POINT('',(1.875E1,1.03E1,-2.E1)); +#992=LINE('',#991,#990); +#993=CARTESIAN_POINT('',(1.925E1,9.1E0,-2.E1)); +#994=DIRECTION('',(0.E0,0.E0,1.E0)); +#995=DIRECTION('',(-1.E0,-3.907985046681E-14,0.E0)); +#996=AXIS2_PLACEMENT_3D('',#993,#994,#995); +#998=DIRECTION('',(0.E0,-1.E0,0.E0)); +#999=VECTOR('',#998,1.2E0); +#1000=CARTESIAN_POINT('',(1.975E1,1.03E1,-2.E1)); +#1001=LINE('',#1000,#999); +#1002=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1003=VECTOR('',#1002,3.75E0); +#1004=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E1)); +#1005=LINE('',#1004,#1003); +#1006=CARTESIAN_POINT('',(2.35E1,5.6E0,-2.E1)); +#1007=DIRECTION('',(0.E0,0.E0,1.E0)); +#1008=DIRECTION('',(1.E0,0.E0,0.E0)); +#1009=AXIS2_PLACEMENT_3D('',#1006,#1007,#1008); +#1011=DIRECTION('',(0.E0,1.E0,0.E0)); +#1012=VECTOR('',#1011,4.E-1); +#1013=CARTESIAN_POINT('',(2.82E1,5.2E0,-2.E1)); +#1014=LINE('',#1013,#1012); +#1015=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1016=VECTOR('',#1015,1.2E0); +#1017=CARTESIAN_POINT('',(2.82E1,5.2E0,-2.E1)); +#1018=LINE('',#1017,#1016); +#1019=CARTESIAN_POINT('',(2.7E1,4.7E0,-2.E1)); +#1020=DIRECTION('',(0.E0,0.E0,1.E0)); +#1021=DIRECTION('',(0.E0,1.E0,0.E0)); +#1022=AXIS2_PLACEMENT_3D('',#1019,#1020,#1021); +#1024=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1025=VECTOR('',#1024,1.2E0); +#1026=CARTESIAN_POINT('',(2.82E1,4.2E0,-2.E1)); +#1027=LINE('',#1026,#1025); +#1028=DIRECTION('',(0.E0,1.E0,0.E0)); +#1029=VECTOR('',#1028,8.4E0); +#1030=CARTESIAN_POINT('',(2.82E1,-4.2E0,-2.E1)); +#1031=LINE('',#1030,#1029); +#1032=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1033=VECTOR('',#1032,1.2E0); +#1034=CARTESIAN_POINT('',(2.82E1,-4.2E0,-2.E1)); +#1035=LINE('',#1034,#1033); +#1036=CARTESIAN_POINT('',(2.7E1,-4.7E0,-2.E1)); +#1037=DIRECTION('',(0.E0,0.E0,1.E0)); +#1038=DIRECTION('',(0.E0,1.E0,0.E0)); +#1039=AXIS2_PLACEMENT_3D('',#1036,#1037,#1038); +#1041=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1042=VECTOR('',#1041,1.2E0); +#1043=CARTESIAN_POINT('',(2.82E1,-5.2E0,-2.E1)); +#1044=LINE('',#1043,#1042); +#1045=DIRECTION('',(0.E0,1.E0,0.E0)); +#1046=VECTOR('',#1045,4.E-1); +#1047=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E1)); +#1048=LINE('',#1047,#1046); +#1049=CARTESIAN_POINT('',(2.35E1,-5.6E0,-2.E1)); +#1050=DIRECTION('',(0.E0,0.E0,1.E0)); +#1051=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1052=AXIS2_PLACEMENT_3D('',#1049,#1050,#1051); +#1054=DIRECTION('',(1.E0,0.E0,0.E0)); +#1055=VECTOR('',#1054,3.75E0); +#1056=CARTESIAN_POINT('',(1.975E1,-1.03E1,-2.E1)); +#1057=LINE('',#1056,#1055); +#1058=DIRECTION('',(0.E0,1.E0,0.E0)); +#1059=VECTOR('',#1058,1.2E0); +#1060=CARTESIAN_POINT('',(1.975E1,-1.03E1,-2.E1)); +#1061=LINE('',#1060,#1059); +#1062=CARTESIAN_POINT('',(1.925E1,-9.1E0,-2.E1)); +#1063=DIRECTION('',(0.E0,0.E0,1.E0)); +#1064=DIRECTION('',(1.E0,3.907985046681E-14,0.E0)); +#1065=AXIS2_PLACEMENT_3D('',#1062,#1063,#1064); +#1067=DIRECTION('',(0.E0,1.E0,0.E0)); +#1068=VECTOR('',#1067,1.2E0); +#1069=CARTESIAN_POINT('',(1.875E1,-1.03E1,-2.E1)); +#1070=LINE('',#1069,#1068); +#1071=DIRECTION('',(1.E0,0.E0,0.E0)); +#1072=VECTOR('',#1071,2.5E0); +#1073=CARTESIAN_POINT('',(1.625E1,-1.03E1,-2.E1)); +#1074=LINE('',#1073,#1072); +#1075=DIRECTION('',(0.E0,1.E0,0.E0)); +#1076=VECTOR('',#1075,1.200000000001E0); +#1077=CARTESIAN_POINT('',(1.625E1,-1.03E1,-2.E1)); +#1078=LINE('',#1077,#1076); +#1079=CARTESIAN_POINT('',(1.575E1,-9.1E0,-2.E1)); +#1080=DIRECTION('',(0.E0,0.E0,1.E0)); +#1081=DIRECTION('',(1.E0,3.623767952376E-13,0.E0)); +#1082=AXIS2_PLACEMENT_3D('',#1079,#1080,#1081); +#1084=DIRECTION('',(0.E0,1.E0,0.E0)); +#1085=VECTOR('',#1084,1.2E0); +#1086=CARTESIAN_POINT('',(1.525E1,-1.03E1,-2.E1)); +#1087=LINE('',#1086,#1085); +#1088=DIRECTION('',(1.E0,0.E0,0.E0)); +#1089=VECTOR('',#1088,2.5E0); +#1090=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#1091=LINE('',#1090,#1089); +#1092=DIRECTION('',(1.332267629550E-14,1.E0,0.E0)); +#1093=VECTOR('',#1092,1.2E0); +#1094=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#1095=LINE('',#1094,#1093); +#1096=CARTESIAN_POINT('',(1.225E1,-9.1E0,-2.E1)); +#1097=DIRECTION('',(0.E0,0.E0,1.E0)); +#1098=DIRECTION('',(1.E0,0.E0,0.E0)); +#1099=AXIS2_PLACEMENT_3D('',#1096,#1097,#1098); +#1101=DIRECTION('',(1.184237892933E-14,1.E0,0.E0)); +#1102=VECTOR('',#1101,1.2E0); +#1103=CARTESIAN_POINT('',(1.175E1,-1.03E1,-2.E1)); +#1104=LINE('',#1103,#1102); +#1105=DIRECTION('',(1.E0,0.E0,0.E0)); +#1106=VECTOR('',#1105,2.5E0); +#1107=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#1108=LINE('',#1107,#1106); +#1109=DIRECTION('',(1.628327102783E-14,1.E0,0.E0)); +#1110=VECTOR('',#1109,1.2E0); +#1111=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#1112=LINE('',#1111,#1110); +#1113=CARTESIAN_POINT('',(8.75E0,-9.1E0,-2.E1)); +#1114=DIRECTION('',(0.E0,0.E0,1.E0)); +#1115=DIRECTION('',(1.E0,0.E0,0.E0)); +#1116=AXIS2_PLACEMENT_3D('',#1113,#1114,#1115); +#1118=DIRECTION('',(1.480297366166E-14,1.E0,0.E0)); +#1119=VECTOR('',#1118,1.2E0); +#1120=CARTESIAN_POINT('',(8.25E0,-1.03E1,-2.E1)); +#1121=LINE('',#1120,#1119); +#1122=DIRECTION('',(1.E0,0.E0,0.E0)); +#1123=VECTOR('',#1122,2.325E0); +#1124=CARTESIAN_POINT('',(5.925E0,-1.03E1,-2.E1)); +#1125=LINE('',#1124,#1123); +#1126=DIRECTION('',(0.E0,1.E0,0.E0)); +#1127=VECTOR('',#1126,2.225E0); +#1128=CARTESIAN_POINT('',(5.925E0,-1.03E1,-2.E1)); +#1129=LINE('',#1128,#1127); +#1130=CARTESIAN_POINT('',(5.25E0,-8.075E0,-2.E1)); +#1131=DIRECTION('',(0.E0,0.E0,1.E0)); +#1132=DIRECTION('',(1.E0,0.E0,0.E0)); +#1133=AXIS2_PLACEMENT_3D('',#1130,#1131,#1132); +#1135=DIRECTION('',(0.E0,1.E0,0.E0)); +#1136=VECTOR('',#1135,2.225E0); +#1137=CARTESIAN_POINT('',(4.575E0,-1.03E1,-2.E1)); +#1138=LINE('',#1137,#1136); +#1139=DIRECTION('',(1.E0,0.E0,0.E0)); +#1140=VECTOR('',#1139,2.325E0); +#1141=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#1142=LINE('',#1141,#1140); +#1143=DIRECTION('',(0.E0,1.E0,0.E0)); +#1144=VECTOR('',#1143,1.2E0); +#1145=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#1146=LINE('',#1145,#1144); +#1147=CARTESIAN_POINT('',(1.75E0,-9.1E0,-2.E1)); +#1148=DIRECTION('',(0.E0,0.E0,1.E0)); +#1149=DIRECTION('',(1.E0,0.E0,0.E0)); +#1150=AXIS2_PLACEMENT_3D('',#1147,#1148,#1149); +#1152=DIRECTION('',(0.E0,1.E0,0.E0)); +#1153=VECTOR('',#1152,1.2E0); +#1154=CARTESIAN_POINT('',(1.25E0,-1.03E1,-2.E1)); +#1155=LINE('',#1154,#1153); +#1156=DIRECTION('',(1.E0,0.E0,0.E0)); +#1157=VECTOR('',#1156,2.5E0); +#1158=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#1159=LINE('',#1158,#1157); +#1160=DIRECTION('',(0.E0,1.E0,0.E0)); +#1161=VECTOR('',#1160,1.2E0); +#1162=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#1163=LINE('',#1162,#1161); +#1164=CARTESIAN_POINT('',(-1.75E0,-9.1E0,-2.E1)); +#1165=DIRECTION('',(0.E0,0.E0,1.E0)); +#1166=DIRECTION('',(1.E0,0.E0,0.E0)); +#1167=AXIS2_PLACEMENT_3D('',#1164,#1165,#1166); +#1169=DIRECTION('',(0.E0,1.E0,0.E0)); +#1170=VECTOR('',#1169,1.2E0); +#1171=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-2.E1)); +#1172=LINE('',#1171,#1170); +#1173=DIRECTION('',(1.E0,0.E0,0.E0)); +#1174=VECTOR('',#1173,2.5E0); +#1175=CARTESIAN_POINT('',(-4.75E0,-1.03E1,-2.E1)); +#1176=LINE('',#1175,#1174); +#1177=DIRECTION('',(0.E0,1.E0,0.E0)); +#1178=VECTOR('',#1177,1.2E0); +#1179=CARTESIAN_POINT('',(-4.75E0,-1.03E1,-2.E1)); +#1180=LINE('',#1179,#1178); +#1181=CARTESIAN_POINT('',(-5.25E0,-9.1E0,-2.E1)); +#1182=DIRECTION('',(0.E0,0.E0,1.E0)); +#1183=DIRECTION('',(1.E0,0.E0,0.E0)); +#1184=AXIS2_PLACEMENT_3D('',#1181,#1182,#1183); +#1186=DIRECTION('',(0.E0,1.E0,0.E0)); +#1187=VECTOR('',#1186,1.2E0); +#1188=CARTESIAN_POINT('',(-5.75E0,-1.03E1,-2.E1)); +#1189=LINE('',#1188,#1187); +#1190=DIRECTION('',(1.E0,0.E0,0.E0)); +#1191=VECTOR('',#1190,2.5E0); +#1192=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#1193=LINE('',#1192,#1191); +#1194=DIRECTION('',(0.E0,1.E0,0.E0)); +#1195=VECTOR('',#1194,1.2E0); +#1196=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#1197=LINE('',#1196,#1195); +#1198=CARTESIAN_POINT('',(-8.75E0,-9.1E0,-2.E1)); +#1199=DIRECTION('',(0.E0,0.E0,1.E0)); +#1200=DIRECTION('',(1.E0,0.E0,0.E0)); +#1201=AXIS2_PLACEMENT_3D('',#1198,#1199,#1200); +#1203=DIRECTION('',(0.E0,1.E0,0.E0)); +#1204=VECTOR('',#1203,1.2E0); +#1205=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-2.E1)); +#1206=LINE('',#1205,#1204); +#1207=DIRECTION('',(1.E0,0.E0,0.E0)); +#1208=VECTOR('',#1207,2.5E0); +#1209=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#1210=LINE('',#1209,#1208); +#1211=DIRECTION('',(0.E0,1.E0,0.E0)); +#1212=VECTOR('',#1211,1.2E0); +#1213=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#1214=LINE('',#1213,#1212); +#1215=CARTESIAN_POINT('',(-1.225E1,-9.1E0,-2.E1)); +#1216=DIRECTION('',(0.E0,0.E0,1.E0)); +#1217=DIRECTION('',(1.E0,0.E0,0.E0)); +#1218=AXIS2_PLACEMENT_3D('',#1215,#1216,#1217); +#1220=DIRECTION('',(0.E0,1.E0,0.E0)); +#1221=VECTOR('',#1220,1.2E0); +#1222=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-2.E1)); +#1223=LINE('',#1222,#1221); +#1224=DIRECTION('',(1.E0,0.E0,0.E0)); +#1225=VECTOR('',#1224,2.5E0); +#1226=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-2.E1)); +#1227=LINE('',#1226,#1225); +#1228=DIRECTION('',(0.E0,1.E0,0.E0)); +#1229=VECTOR('',#1228,1.2E0); +#1230=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-2.E1)); +#1231=LINE('',#1230,#1229); +#1232=CARTESIAN_POINT('',(-1.575E1,-9.1E0,-2.E1)); +#1233=DIRECTION('',(0.E0,0.E0,1.E0)); +#1234=DIRECTION('',(1.E0,-3.232969447708E-13,0.E0)); +#1235=AXIS2_PLACEMENT_3D('',#1232,#1233,#1234); +#1237=DIRECTION('',(0.E0,1.E0,0.E0)); +#1238=VECTOR('',#1237,1.200000000001E0); +#1239=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-2.E1)); +#1240=LINE('',#1239,#1238); +#1241=DIRECTION('',(1.E0,0.E0,0.E0)); +#1242=VECTOR('',#1241,2.5E0); +#1243=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-2.E1)); +#1244=LINE('',#1243,#1242); +#1245=DIRECTION('',(0.E0,1.E0,0.E0)); +#1246=VECTOR('',#1245,1.2E0); +#1247=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-2.E1)); +#1248=LINE('',#1247,#1246); +#1249=CARTESIAN_POINT('',(-1.925E1,-9.1E0,-2.E1)); +#1250=DIRECTION('',(0.E0,0.E0,1.E0)); +#1251=DIRECTION('',(1.E0,3.907985046681E-14,0.E0)); +#1252=AXIS2_PLACEMENT_3D('',#1249,#1250,#1251); +#1254=DIRECTION('',(0.E0,1.E0,0.E0)); +#1255=VECTOR('',#1254,1.2E0); +#1256=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-2.E1)); +#1257=LINE('',#1256,#1255); +#1258=DIRECTION('',(1.E0,0.E0,0.E0)); +#1259=VECTOR('',#1258,3.75E0); +#1260=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E1)); +#1261=LINE('',#1260,#1259); +#1262=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-2.E1)); +#1263=DIRECTION('',(0.E0,0.E0,1.E0)); +#1264=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1265=AXIS2_PLACEMENT_3D('',#1262,#1263,#1264); +#1267=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1268=VECTOR('',#1267,4.E-1); +#1269=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-2.E1)); +#1270=LINE('',#1269,#1268); +#1271=DIRECTION('',(1.E0,0.E0,0.E0)); +#1272=VECTOR('',#1271,1.2E0); +#1273=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-2.E1)); +#1274=LINE('',#1273,#1272); +#1275=CARTESIAN_POINT('',(-2.7E1,-4.7E0,-2.E1)); +#1276=DIRECTION('',(0.E0,0.E0,1.E0)); +#1277=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1278=AXIS2_PLACEMENT_3D('',#1275,#1276,#1277); +#1280=DIRECTION('',(1.E0,0.E0,0.E0)); +#1281=VECTOR('',#1280,1.2E0); +#1282=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-2.E1)); +#1283=LINE('',#1282,#1281); +#1284=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1285=VECTOR('',#1284,8.4E0); +#1286=CARTESIAN_POINT('',(-2.82E1,4.2E0,-2.E1)); +#1287=LINE('',#1286,#1285); +#1288=DIRECTION('',(1.E0,0.E0,0.E0)); +#1289=VECTOR('',#1288,1.2E0); +#1290=CARTESIAN_POINT('',(-2.82E1,4.2E0,-2.E1)); +#1291=LINE('',#1290,#1289); +#1292=CARTESIAN_POINT('',(-2.7E1,4.7E0,-2.E1)); +#1293=DIRECTION('',(0.E0,0.E0,1.E0)); +#1294=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1295=AXIS2_PLACEMENT_3D('',#1292,#1293,#1294); +#1297=DIRECTION('',(1.E0,0.E0,0.E0)); +#1298=VECTOR('',#1297,1.2E0); +#1299=CARTESIAN_POINT('',(-2.82E1,5.2E0,-2.E1)); +#1300=LINE('',#1299,#1298); +#1301=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1302=VECTOR('',#1301,4.E-1); +#1303=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E1)); +#1304=LINE('',#1303,#1302); +#1305=CARTESIAN_POINT('',(-2.35E1,5.6E0,-2.E1)); +#1306=DIRECTION('',(0.E0,0.E0,1.E0)); +#1307=DIRECTION('',(0.E0,1.E0,0.E0)); +#1308=AXIS2_PLACEMENT_3D('',#1305,#1306,#1307); +#1310=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1311=VECTOR('',#1310,3.75E0); +#1312=CARTESIAN_POINT('',(-1.975E1,1.03E1,-2.E1)); +#1313=LINE('',#1312,#1311); +#1314=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1315=VECTOR('',#1314,1.2E0); +#1316=CARTESIAN_POINT('',(-1.975E1,1.03E1,-2.E1)); +#1317=LINE('',#1316,#1315); +#1318=CARTESIAN_POINT('',(-1.925E1,9.1E0,-2.E1)); +#1319=DIRECTION('',(0.E0,0.E0,1.E0)); +#1320=DIRECTION('',(-1.E0,-3.907985046681E-14,0.E0)); +#1321=AXIS2_PLACEMENT_3D('',#1318,#1319,#1320); +#1323=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1324=VECTOR('',#1323,1.2E0); +#1325=CARTESIAN_POINT('',(-1.875E1,1.03E1,-2.E1)); +#1326=LINE('',#1325,#1324); +#1327=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1328=VECTOR('',#1327,2.5E0); +#1329=CARTESIAN_POINT('',(-1.625E1,1.03E1,-2.E1)); +#1330=LINE('',#1329,#1328); +#1331=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1332=VECTOR('',#1331,1.200000000001E0); +#1333=CARTESIAN_POINT('',(-1.625E1,1.03E1,-2.E1)); +#1334=LINE('',#1333,#1332); +#1335=CARTESIAN_POINT('',(-1.575E1,9.1E0,-2.E1)); +#1336=DIRECTION('',(0.E0,0.E0,1.E0)); +#1337=DIRECTION('',(-1.E0,-3.623767952376E-13,0.E0)); +#1338=AXIS2_PLACEMENT_3D('',#1335,#1336,#1337); +#1340=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1341=VECTOR('',#1340,1.2E0); +#1342=CARTESIAN_POINT('',(-1.525E1,1.03E1,-2.E1)); +#1343=LINE('',#1342,#1341); +#1344=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1345=VECTOR('',#1344,2.5E0); +#1346=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#1347=LINE('',#1346,#1345); +#1348=DIRECTION('',(-1.332267629550E-14,-1.E0,0.E0)); +#1349=VECTOR('',#1348,1.2E0); +#1350=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#1351=LINE('',#1350,#1349); +#1352=CARTESIAN_POINT('',(-1.225E1,9.1E0,-2.E1)); +#1353=DIRECTION('',(0.E0,0.E0,1.E0)); +#1354=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1355=AXIS2_PLACEMENT_3D('',#1352,#1353,#1354); +#1357=DIRECTION('',(-1.184237892933E-14,-1.E0,0.E0)); +#1358=VECTOR('',#1357,1.2E0); +#1359=CARTESIAN_POINT('',(-1.175E1,1.03E1,-2.E1)); +#1360=LINE('',#1359,#1358); +#1361=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1362=VECTOR('',#1361,2.5E0); +#1363=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#1364=LINE('',#1363,#1362); +#1365=DIRECTION('',(-1.628327102783E-14,-1.E0,0.E0)); +#1366=VECTOR('',#1365,1.2E0); +#1367=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#1368=LINE('',#1367,#1366); +#1369=CARTESIAN_POINT('',(-8.75E0,9.1E0,-2.E1)); +#1370=DIRECTION('',(0.E0,0.E0,1.E0)); +#1371=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1372=AXIS2_PLACEMENT_3D('',#1369,#1370,#1371); +#1374=DIRECTION('',(-1.480297366166E-14,-1.E0,0.E0)); +#1375=VECTOR('',#1374,1.2E0); +#1376=CARTESIAN_POINT('',(-8.25E0,1.03E1,-2.E1)); +#1377=LINE('',#1376,#1375); +#1378=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1379=VECTOR('',#1378,2.5E0); +#1380=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#1381=LINE('',#1380,#1379); +#1382=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1383=VECTOR('',#1382,1.2E0); +#1384=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#1385=LINE('',#1384,#1383); +#1386=CARTESIAN_POINT('',(-5.25E0,9.1E0,-2.E1)); +#1387=DIRECTION('',(0.E0,0.E0,1.E0)); +#1388=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1389=AXIS2_PLACEMENT_3D('',#1386,#1387,#1388); +#1391=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1392=VECTOR('',#1391,1.2E0); +#1393=CARTESIAN_POINT('',(-4.75E0,1.03E1,-2.E1)); +#1394=LINE('',#1393,#1392); +#1395=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1396=VECTOR('',#1395,2.325E0); +#1397=CARTESIAN_POINT('',(-2.425E0,1.03E1,-2.E1)); +#1398=LINE('',#1397,#1396); +#1399=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1400=VECTOR('',#1399,2.225E0); +#1401=CARTESIAN_POINT('',(-2.425E0,1.03E1,-2.E1)); +#1402=LINE('',#1401,#1400); +#1403=CARTESIAN_POINT('',(-1.75E0,8.075E0,-2.E1)); +#1404=DIRECTION('',(0.E0,0.E0,1.E0)); +#1405=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1406=AXIS2_PLACEMENT_3D('',#1403,#1404,#1405); +#1408=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1409=VECTOR('',#1408,2.225E0); +#1410=CARTESIAN_POINT('',(-1.075E0,1.03E1,-2.E1)); +#1411=LINE('',#1410,#1409); +#1412=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1413=VECTOR('',#1412,2.325E0); +#1414=CARTESIAN_POINT('',(1.25E0,1.03E1,-2.E1)); +#1415=LINE('',#1414,#1413); +#1416=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.E1)); +#1417=DIRECTION('',(0.E0,0.E0,1.E0)); +#1418=DIRECTION('',(1.E0,0.E0,0.E0)); +#1419=AXIS2_PLACEMENT_3D('',#1416,#1417,#1418); +#1421=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.E1)); +#1422=DIRECTION('',(0.E0,0.E0,1.E0)); +#1423=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1424=AXIS2_PLACEMENT_3D('',#1421,#1422,#1423); +#1426=CARTESIAN_POINT('',(-2.E1,0.E0,-2.E1)); +#1427=DIRECTION('',(0.E0,0.E0,1.E0)); +#1428=DIRECTION('',(1.E0,0.E0,0.E0)); +#1429=AXIS2_PLACEMENT_3D('',#1426,#1427,#1428); +#1431=CARTESIAN_POINT('',(-2.E1,0.E0,-2.E1)); +#1432=DIRECTION('',(0.E0,0.E0,1.E0)); +#1433=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1434=AXIS2_PLACEMENT_3D('',#1431,#1432,#1433); +#1436=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.E1)); +#1437=DIRECTION('',(0.E0,0.E0,1.E0)); +#1438=DIRECTION('',(1.E0,0.E0,0.E0)); +#1439=AXIS2_PLACEMENT_3D('',#1436,#1437,#1438); +#1441=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.E1)); +#1442=DIRECTION('',(0.E0,0.E0,1.E0)); +#1443=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1444=AXIS2_PLACEMENT_3D('',#1441,#1442,#1443); +#1446=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.E1)); +#1447=DIRECTION('',(0.E0,0.E0,1.E0)); +#1448=DIRECTION('',(1.E0,0.E0,0.E0)); +#1449=AXIS2_PLACEMENT_3D('',#1446,#1447,#1448); +#1451=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.E1)); +#1452=DIRECTION('',(0.E0,0.E0,1.E0)); +#1453=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1454=AXIS2_PLACEMENT_3D('',#1451,#1452,#1453); +#1456=CARTESIAN_POINT('',(-1.6E1,0.E0,-2.E1)); +#1457=DIRECTION('',(0.E0,0.E0,1.E0)); +#1458=DIRECTION('',(1.E0,0.E0,0.E0)); +#1459=AXIS2_PLACEMENT_3D('',#1456,#1457,#1458); +#1461=CARTESIAN_POINT('',(-1.6E1,0.E0,-2.E1)); +#1462=DIRECTION('',(0.E0,0.E0,1.E0)); +#1463=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1464=AXIS2_PLACEMENT_3D('',#1461,#1462,#1463); +#1466=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.E1)); +#1467=DIRECTION('',(0.E0,0.E0,1.E0)); +#1468=DIRECTION('',(1.E0,0.E0,0.E0)); +#1469=AXIS2_PLACEMENT_3D('',#1466,#1467,#1468); +#1471=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.E1)); +#1472=DIRECTION('',(0.E0,0.E0,1.E0)); +#1473=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1474=AXIS2_PLACEMENT_3D('',#1471,#1472,#1473); +#1476=CARTESIAN_POINT('',(-6.E0,4.E0,-2.E1)); +#1477=DIRECTION('',(0.E0,0.E0,1.E0)); +#1478=DIRECTION('',(1.E0,0.E0,0.E0)); +#1479=AXIS2_PLACEMENT_3D('',#1476,#1477,#1478); +#1481=CARTESIAN_POINT('',(-6.E0,4.E0,-2.E1)); +#1482=DIRECTION('',(0.E0,0.E0,1.E0)); +#1483=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1484=AXIS2_PLACEMENT_3D('',#1481,#1482,#1483); +#1486=CARTESIAN_POINT('',(-4.E0,0.E0,-2.E1)); +#1487=DIRECTION('',(0.E0,0.E0,1.E0)); +#1488=DIRECTION('',(1.E0,0.E0,0.E0)); +#1489=AXIS2_PLACEMENT_3D('',#1486,#1487,#1488); +#1491=CARTESIAN_POINT('',(-4.E0,0.E0,-2.E1)); +#1492=DIRECTION('',(0.E0,0.E0,1.E0)); +#1493=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1494=AXIS2_PLACEMENT_3D('',#1491,#1492,#1493); +#1496=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.E1)); +#1497=DIRECTION('',(0.E0,0.E0,1.E0)); +#1498=DIRECTION('',(1.E0,0.E0,0.E0)); +#1499=AXIS2_PLACEMENT_3D('',#1496,#1497,#1498); +#1501=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.E1)); +#1502=DIRECTION('',(0.E0,0.E0,1.E0)); +#1503=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1504=AXIS2_PLACEMENT_3D('',#1501,#1502,#1503); +#1506=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.E1)); +#1507=DIRECTION('',(0.E0,0.E0,1.E0)); +#1508=DIRECTION('',(1.E0,0.E0,0.E0)); +#1509=AXIS2_PLACEMENT_3D('',#1506,#1507,#1508); +#1511=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.E1)); +#1512=DIRECTION('',(0.E0,0.E0,1.E0)); +#1513=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1514=AXIS2_PLACEMENT_3D('',#1511,#1512,#1513); +#1516=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#1517=DIRECTION('',(0.E0,0.E0,1.E0)); +#1518=DIRECTION('',(1.E0,0.E0,0.E0)); +#1519=AXIS2_PLACEMENT_3D('',#1516,#1517,#1518); +#1521=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#1522=DIRECTION('',(0.E0,0.E0,1.E0)); +#1523=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1524=AXIS2_PLACEMENT_3D('',#1521,#1522,#1523); +#1526=CARTESIAN_POINT('',(-2.E0,4.E0,-2.E1)); +#1527=DIRECTION('',(0.E0,0.E0,1.E0)); +#1528=DIRECTION('',(1.E0,0.E0,0.E0)); +#1529=AXIS2_PLACEMENT_3D('',#1526,#1527,#1528); +#1531=CARTESIAN_POINT('',(-2.E0,4.E0,-2.E1)); +#1532=DIRECTION('',(0.E0,0.E0,1.E0)); +#1533=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1534=AXIS2_PLACEMENT_3D('',#1531,#1532,#1533); +#1536=CARTESIAN_POINT('',(2.E0,4.E0,-2.E1)); +#1537=DIRECTION('',(0.E0,0.E0,1.E0)); +#1538=DIRECTION('',(1.E0,0.E0,0.E0)); +#1539=AXIS2_PLACEMENT_3D('',#1536,#1537,#1538); +#1541=CARTESIAN_POINT('',(2.E0,4.E0,-2.E1)); +#1542=DIRECTION('',(0.E0,0.E0,1.E0)); +#1543=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1544=AXIS2_PLACEMENT_3D('',#1541,#1542,#1543); +#1546=CARTESIAN_POINT('',(4.E0,0.E0,-2.E1)); +#1547=DIRECTION('',(0.E0,0.E0,1.E0)); +#1548=DIRECTION('',(1.E0,0.E0,0.E0)); +#1549=AXIS2_PLACEMENT_3D('',#1546,#1547,#1548); +#1551=CARTESIAN_POINT('',(4.E0,0.E0,-2.E1)); +#1552=DIRECTION('',(0.E0,0.E0,1.E0)); +#1553=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1554=AXIS2_PLACEMENT_3D('',#1551,#1552,#1553); +#1556=CARTESIAN_POINT('',(2.E0,-4.E0,-2.E1)); +#1557=DIRECTION('',(0.E0,0.E0,1.E0)); +#1558=DIRECTION('',(1.E0,0.E0,0.E0)); +#1559=AXIS2_PLACEMENT_3D('',#1556,#1557,#1558); +#1561=CARTESIAN_POINT('',(2.E0,-4.E0,-2.E1)); +#1562=DIRECTION('',(0.E0,0.E0,1.E0)); +#1563=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1564=AXIS2_PLACEMENT_3D('',#1561,#1562,#1563); +#1566=CARTESIAN_POINT('',(6.E0,-4.E0,-2.E1)); +#1567=DIRECTION('',(0.E0,0.E0,1.E0)); +#1568=DIRECTION('',(1.E0,0.E0,0.E0)); +#1569=AXIS2_PLACEMENT_3D('',#1566,#1567,#1568); +#1571=CARTESIAN_POINT('',(6.E0,-4.E0,-2.E1)); +#1572=DIRECTION('',(0.E0,0.E0,1.E0)); +#1573=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1574=AXIS2_PLACEMENT_3D('',#1571,#1572,#1573); +#1576=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-2.E1)); +#1577=DIRECTION('',(0.E0,0.E0,1.E0)); +#1578=DIRECTION('',(1.E0,0.E0,0.E0)); +#1579=AXIS2_PLACEMENT_3D('',#1576,#1577,#1578); +#1581=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-2.E1)); +#1582=DIRECTION('',(0.E0,0.E0,1.E0)); +#1583=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1584=AXIS2_PLACEMENT_3D('',#1581,#1582,#1583); +#1586=CARTESIAN_POINT('',(6.E0,4.E0,-2.E1)); +#1587=DIRECTION('',(0.E0,0.E0,1.E0)); +#1588=DIRECTION('',(1.E0,0.E0,0.E0)); +#1589=AXIS2_PLACEMENT_3D('',#1586,#1587,#1588); +#1591=CARTESIAN_POINT('',(6.E0,4.E0,-2.E1)); +#1592=DIRECTION('',(0.E0,0.E0,1.E0)); +#1593=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1594=AXIS2_PLACEMENT_3D('',#1591,#1592,#1593); +#1596=CARTESIAN_POINT('',(1.4E1,4.E0,-2.E1)); +#1597=DIRECTION('',(0.E0,0.E0,1.E0)); +#1598=DIRECTION('',(1.E0,0.E0,0.E0)); +#1599=AXIS2_PLACEMENT_3D('',#1596,#1597,#1598); +#1601=CARTESIAN_POINT('',(1.4E1,4.E0,-2.E1)); +#1602=DIRECTION('',(0.E0,0.E0,1.E0)); +#1603=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1604=AXIS2_PLACEMENT_3D('',#1601,#1602,#1603); +#1606=CARTESIAN_POINT('',(2.2E1,4.E0,-2.E1)); +#1607=DIRECTION('',(0.E0,0.E0,1.E0)); +#1608=DIRECTION('',(1.E0,0.E0,0.E0)); +#1609=AXIS2_PLACEMENT_3D('',#1606,#1607,#1608); +#1611=CARTESIAN_POINT('',(2.2E1,4.E0,-2.E1)); +#1612=DIRECTION('',(0.E0,0.E0,1.E0)); +#1613=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1614=AXIS2_PLACEMENT_3D('',#1611,#1612,#1613); +#1616=CARTESIAN_POINT('',(1.2E1,0.E0,-2.E1)); +#1617=DIRECTION('',(0.E0,0.E0,1.E0)); +#1618=DIRECTION('',(1.E0,0.E0,0.E0)); +#1619=AXIS2_PLACEMENT_3D('',#1616,#1617,#1618); +#1621=CARTESIAN_POINT('',(1.2E1,0.E0,-2.E1)); +#1622=DIRECTION('',(0.E0,0.E0,1.E0)); +#1623=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1624=AXIS2_PLACEMENT_3D('',#1621,#1622,#1623); +#1626=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.E1)); +#1627=DIRECTION('',(0.E0,0.E0,1.E0)); +#1628=DIRECTION('',(1.E0,0.E0,0.E0)); +#1629=AXIS2_PLACEMENT_3D('',#1626,#1627,#1628); +#1631=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.E1)); +#1632=DIRECTION('',(0.E0,0.E0,1.E0)); +#1633=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1634=AXIS2_PLACEMENT_3D('',#1631,#1632,#1633); +#1636=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.E1)); +#1637=DIRECTION('',(0.E0,0.E0,1.E0)); +#1638=DIRECTION('',(1.E0,0.E0,0.E0)); +#1639=AXIS2_PLACEMENT_3D('',#1636,#1637,#1638); +#1641=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.E1)); +#1642=DIRECTION('',(0.E0,0.E0,1.E0)); +#1643=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1644=AXIS2_PLACEMENT_3D('',#1641,#1642,#1643); +#1646=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.E1)); +#1647=DIRECTION('',(0.E0,0.E0,1.E0)); +#1648=DIRECTION('',(1.E0,0.E0,0.E0)); +#1649=AXIS2_PLACEMENT_3D('',#1646,#1647,#1648); +#1651=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.E1)); +#1652=DIRECTION('',(0.E0,0.E0,1.E0)); +#1653=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1654=AXIS2_PLACEMENT_3D('',#1651,#1652,#1653); +#1656=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.E1)); +#1657=DIRECTION('',(0.E0,0.E0,1.E0)); +#1658=DIRECTION('',(1.E0,0.E0,0.E0)); +#1659=AXIS2_PLACEMENT_3D('',#1656,#1657,#1658); +#1661=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.E1)); +#1662=DIRECTION('',(0.E0,0.E0,1.E0)); +#1663=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1664=AXIS2_PLACEMENT_3D('',#1661,#1662,#1663); +#1666=CARTESIAN_POINT('',(-1.E1,4.E0,-2.E1)); +#1667=DIRECTION('',(0.E0,0.E0,1.E0)); +#1668=DIRECTION('',(1.E0,0.E0,0.E0)); +#1669=AXIS2_PLACEMENT_3D('',#1666,#1667,#1668); +#1671=CARTESIAN_POINT('',(-1.E1,4.E0,-2.E1)); +#1672=DIRECTION('',(0.E0,0.E0,1.E0)); +#1673=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1674=AXIS2_PLACEMENT_3D('',#1671,#1672,#1673); +#1676=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-2.E1)); +#1677=DIRECTION('',(0.E0,0.E0,1.E0)); +#1678=DIRECTION('',(1.E0,0.E0,0.E0)); +#1679=AXIS2_PLACEMENT_3D('',#1676,#1677,#1678); +#1681=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-2.E1)); +#1682=DIRECTION('',(0.E0,0.E0,1.E0)); +#1683=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1684=AXIS2_PLACEMENT_3D('',#1681,#1682,#1683); +#1686=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.E1)); +#1687=DIRECTION('',(0.E0,0.E0,1.E0)); +#1688=DIRECTION('',(1.E0,0.E0,0.E0)); +#1689=AXIS2_PLACEMENT_3D('',#1686,#1687,#1688); +#1691=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.E1)); +#1692=DIRECTION('',(0.E0,0.E0,1.E0)); +#1693=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1694=AXIS2_PLACEMENT_3D('',#1691,#1692,#1693); +#1696=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.E1)); +#1697=DIRECTION('',(0.E0,0.E0,1.E0)); +#1698=DIRECTION('',(1.E0,0.E0,0.E0)); +#1699=AXIS2_PLACEMENT_3D('',#1696,#1697,#1698); +#1701=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.E1)); +#1702=DIRECTION('',(0.E0,0.E0,1.E0)); +#1703=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1704=AXIS2_PLACEMENT_3D('',#1701,#1702,#1703); +#1706=CARTESIAN_POINT('',(1.E1,4.E0,-2.E1)); +#1707=DIRECTION('',(0.E0,0.E0,1.E0)); +#1708=DIRECTION('',(1.E0,0.E0,0.E0)); +#1709=AXIS2_PLACEMENT_3D('',#1706,#1707,#1708); +#1711=CARTESIAN_POINT('',(1.E1,4.E0,-2.E1)); +#1712=DIRECTION('',(0.E0,0.E0,1.E0)); +#1713=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1714=AXIS2_PLACEMENT_3D('',#1711,#1712,#1713); +#1716=CARTESIAN_POINT('',(1.E1,-4.E0,-2.E1)); +#1717=DIRECTION('',(0.E0,0.E0,1.E0)); +#1718=DIRECTION('',(1.E0,0.E0,0.E0)); +#1719=AXIS2_PLACEMENT_3D('',#1716,#1717,#1718); +#1721=CARTESIAN_POINT('',(1.E1,-4.E0,-2.E1)); +#1722=DIRECTION('',(0.E0,0.E0,1.E0)); +#1723=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1724=AXIS2_PLACEMENT_3D('',#1721,#1722,#1723); +#1726=CARTESIAN_POINT('',(1.8E1,4.E0,-2.E1)); +#1727=DIRECTION('',(0.E0,0.E0,1.E0)); +#1728=DIRECTION('',(1.E0,0.E0,0.E0)); +#1729=AXIS2_PLACEMENT_3D('',#1726,#1727,#1728); +#1731=CARTESIAN_POINT('',(1.8E1,4.E0,-2.E1)); +#1732=DIRECTION('',(0.E0,0.E0,1.E0)); +#1733=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1734=AXIS2_PLACEMENT_3D('',#1731,#1732,#1733); +#1736=CARTESIAN_POINT('',(1.6E1,0.E0,-2.E1)); +#1737=DIRECTION('',(0.E0,0.E0,1.E0)); +#1738=DIRECTION('',(1.E0,0.E0,0.E0)); +#1739=AXIS2_PLACEMENT_3D('',#1736,#1737,#1738); +#1741=CARTESIAN_POINT('',(1.6E1,0.E0,-2.E1)); +#1742=DIRECTION('',(0.E0,0.E0,1.E0)); +#1743=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1744=AXIS2_PLACEMENT_3D('',#1741,#1742,#1743); +#1746=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.E1)); +#1747=DIRECTION('',(0.E0,0.E0,1.E0)); +#1748=DIRECTION('',(1.E0,0.E0,0.E0)); +#1749=AXIS2_PLACEMENT_3D('',#1746,#1747,#1748); +#1751=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.E1)); +#1752=DIRECTION('',(0.E0,0.E0,1.E0)); +#1753=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1754=AXIS2_PLACEMENT_3D('',#1751,#1752,#1753); +#1756=CARTESIAN_POINT('',(2.E1,0.E0,-2.E1)); +#1757=DIRECTION('',(0.E0,0.E0,1.E0)); +#1758=DIRECTION('',(1.E0,0.E0,0.E0)); +#1759=AXIS2_PLACEMENT_3D('',#1756,#1757,#1758); +#1761=CARTESIAN_POINT('',(2.E1,0.E0,-2.E1)); +#1762=DIRECTION('',(0.E0,0.E0,1.E0)); +#1763=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1764=AXIS2_PLACEMENT_3D('',#1761,#1762,#1763); +#1766=DIRECTION('',(0.E0,-1.E0,-4.844609500001E-14)); +#1767=VECTOR('',#1766,1.100000014077E0); +#1768=CARTESIAN_POINT('',(1.25E0,1.02E1,-1.01E1)); +#1769=LINE('',#1768,#1767); +#1770=CARTESIAN_POINT('',(1.25E0,1.02E1,-9.9E0)); +#1771=DIRECTION('',(1.E0,0.E0,0.E0)); +#1772=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1773=AXIS2_PLACEMENT_3D('',#1770,#1771,#1772); +#1775=CARTESIAN_POINT('',(1.35E0,9.1E0,-1.01E1)); +#1776=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1777=DIRECTION('',(0.E0,0.E0,1.E0)); +#1778=AXIS2_PLACEMENT_3D('',#1775,#1776,#1777); +#1780=CARTESIAN_POINT('',(2.15E0,9.1E0,-1.01E1)); +#1781=DIRECTION('',(0.E0,1.E0,0.E0)); +#1782=DIRECTION('',(0.E0,0.E0,1.E0)); +#1783=AXIS2_PLACEMENT_3D('',#1780,#1781,#1782); +#1785=DIRECTION('',(0.E0,1.E0,4.198661620400E-14)); +#1786=VECTOR('',#1785,1.1E0); +#1787=CARTESIAN_POINT('',(2.25E0,9.1E0,-1.01E1)); +#1788=LINE('',#1787,#1786); +#1789=CARTESIAN_POINT('',(2.25E0,1.02E1,-9.9E0)); +#1790=DIRECTION('',(1.E0,0.E0,0.E0)); +#1791=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1792=AXIS2_PLACEMENT_3D('',#1789,#1790,#1791); +#1794=DIRECTION('',(0.E0,3.534770680423E-14,1.E0)); +#1795=VECTOR('',#1794,9.9E0); +#1796=CARTESIAN_POINT('',(2.25E0,9.1E0,-2.E1)); +#1797=LINE('',#1796,#1795); +#1798=CARTESIAN_POINT('',(2.15E0,1.02E1,-1.01E1)); +#1799=DIRECTION('',(0.E0,1.E0,-2.958744360626E-14)); +#1800=DIRECTION('',(-1.332267629550E-14,3.552713678801E-14,1.E0)); +#1801=AXIS2_PLACEMENT_3D('',#1798,#1799,#1800); +#1803=CARTESIAN_POINT('',(2.15E0,1.02E1,-9.9E0)); +#1804=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1805=DIRECTION('',(0.E0,1.E0,0.E0)); +#1806=AXIS2_PLACEMENT_3D('',#1803,#1804,#1805); +#1808=CARTESIAN_POINT('',(1.35E0,1.02E1,-9.9E0)); +#1809=DIRECTION('',(1.E0,0.E0,0.E0)); +#1810=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1811=AXIS2_PLACEMENT_3D('',#1808,#1809,#1810); +#1813=CARTESIAN_POINT('',(1.35E0,1.02E1,-1.01E1)); +#1814=DIRECTION('',(0.E0,1.E0,2.031708135064E-14)); +#1815=DIRECTION('',(-1.E0,0.E0,-1.776356839400E-14)); +#1816=AXIS2_PLACEMENT_3D('',#1813,#1814,#1815); +#1818=CARTESIAN_POINT('',(1.75E0,9.1E0,-1.01E1)); +#1819=DIRECTION('',(0.E0,0.E0,1.E0)); +#1820=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1821=AXIS2_PLACEMENT_3D('',#1818,#1819,#1820); +#1823=DIRECTION('',(0.E0,-1.421910490586E-9,1.E0)); +#1824=VECTOR('',#1823,9.9E0); +#1825=CARTESIAN_POINT('',(1.25E0,9.1E0,-2.E1)); +#1826=LINE('',#1825,#1824); +#1827=DIRECTION('',(0.E0,-1.E0,-5.167583466668E-14)); +#1828=VECTOR('',#1827,1.100000014077E0); +#1829=CARTESIAN_POINT('',(4.75E0,1.02E1,-1.01E1)); +#1830=LINE('',#1829,#1828); +#1831=CARTESIAN_POINT('',(4.75E0,1.02E1,-9.9E0)); +#1832=DIRECTION('',(1.E0,0.E0,0.E0)); +#1833=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1834=AXIS2_PLACEMENT_3D('',#1831,#1832,#1833); +#1836=CARTESIAN_POINT('',(4.85E0,9.1E0,-1.01E1)); +#1837=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1838=DIRECTION('',(0.E0,0.E0,1.E0)); +#1839=AXIS2_PLACEMENT_3D('',#1836,#1837,#1838); +#1841=CARTESIAN_POINT('',(5.65E0,9.1E0,-1.01E1)); +#1842=DIRECTION('',(0.E0,1.E0,0.E0)); +#1843=DIRECTION('',(0.E0,0.E0,1.E0)); +#1844=AXIS2_PLACEMENT_3D('',#1841,#1842,#1843); +#1846=DIRECTION('',(0.E0,1.E0,3.875687649600E-14)); +#1847=VECTOR('',#1846,1.1E0); +#1848=CARTESIAN_POINT('',(5.75E0,9.1E0,-1.01E1)); +#1849=LINE('',#1848,#1847); +#1850=CARTESIAN_POINT('',(5.75E0,1.02E1,-9.9E0)); +#1851=DIRECTION('',(1.E0,0.E0,0.E0)); +#1852=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1853=AXIS2_PLACEMENT_3D('',#1850,#1851,#1852); +#1855=DIRECTION('',(0.E0,3.534770680423E-14,1.E0)); +#1856=VECTOR('',#1855,9.9E0); +#1857=CARTESIAN_POINT('',(5.75E0,9.1E0,-2.E1)); +#1858=LINE('',#1857,#1856); +#1859=CARTESIAN_POINT('',(5.65E0,1.02E1,-1.01E1)); +#1860=DIRECTION('',(0.E0,1.E0,2.808864252302E-14)); +#1861=DIRECTION('',(1.776356839400E-14,-3.552713678801E-14,1.E0)); +#1862=AXIS2_PLACEMENT_3D('',#1859,#1860,#1861); +#1864=CARTESIAN_POINT('',(5.65E0,1.02E1,-9.9E0)); +#1865=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1866=DIRECTION('',(0.E0,1.E0,0.E0)); +#1867=AXIS2_PLACEMENT_3D('',#1864,#1865,#1866); +#1869=CARTESIAN_POINT('',(4.85E0,1.02E1,-9.9E0)); +#1870=DIRECTION('',(1.E0,0.E0,0.E0)); +#1871=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1872=AXIS2_PLACEMENT_3D('',#1869,#1870,#1871); +#1874=CARTESIAN_POINT('',(4.85E0,1.02E1,-1.01E1)); +#1875=DIRECTION('',(0.E0,1.E0,-5.040412531798E-14)); +#1876=DIRECTION('',(-1.E0,0.E0,-1.776356839400E-14)); +#1877=AXIS2_PLACEMENT_3D('',#1874,#1875,#1876); +#1879=CARTESIAN_POINT('',(5.25E0,9.1E0,-1.01E1)); +#1880=DIRECTION('',(0.E0,0.E0,1.E0)); +#1881=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1882=AXIS2_PLACEMENT_3D('',#1879,#1880,#1881); +#1884=DIRECTION('',(0.E0,-1.421910670016E-9,1.E0)); +#1885=VECTOR('',#1884,9.9E0); +#1886=CARTESIAN_POINT('',(4.75E0,9.1E0,-2.E1)); +#1887=LINE('',#1886,#1885); +#1888=DIRECTION('',(0.E0,-1.E0,-4.844609500001E-14)); +#1889=VECTOR('',#1888,1.100000014077E0); +#1890=CARTESIAN_POINT('',(8.25E0,1.02E1,-1.01E1)); +#1891=LINE('',#1890,#1889); +#1892=CARTESIAN_POINT('',(8.25E0,1.02E1,-9.9E0)); +#1893=DIRECTION('',(1.E0,0.E0,0.E0)); +#1894=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1895=AXIS2_PLACEMENT_3D('',#1892,#1893,#1894); +#1897=CARTESIAN_POINT('',(8.35E0,9.1E0,-1.01E1)); +#1898=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1899=DIRECTION('',(-1.776356839400E-14,0.E0,1.E0)); +#1900=AXIS2_PLACEMENT_3D('',#1897,#1898,#1899); +#1902=CARTESIAN_POINT('',(9.15E0,9.1E0,-1.01E1)); +#1903=DIRECTION('',(0.E0,1.E0,0.E0)); +#1904=DIRECTION('',(0.E0,0.E0,1.E0)); +#1905=AXIS2_PLACEMENT_3D('',#1902,#1903,#1904); +#1907=DIRECTION('',(0.E0,1.E0,4.198661620400E-14)); +#1908=VECTOR('',#1907,1.1E0); +#1909=CARTESIAN_POINT('',(9.25E0,9.1E0,-1.01E1)); +#1910=LINE('',#1909,#1908); +#1911=CARTESIAN_POINT('',(9.25E0,1.02E1,-9.9E0)); +#1912=DIRECTION('',(1.E0,0.E0,0.E0)); +#1913=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1914=AXIS2_PLACEMENT_3D('',#1911,#1912,#1913); +#1916=DIRECTION('',(0.E0,3.534770680423E-14,1.E0)); +#1917=VECTOR('',#1916,9.9E0); +#1918=CARTESIAN_POINT('',(9.25E0,9.1E0,-2.E1)); +#1919=LINE('',#1918,#1917); +#1920=CARTESIAN_POINT('',(9.15E0,1.02E1,-1.01E1)); +#1921=DIRECTION('',(0.E0,1.E0,0.E0)); +#1922=DIRECTION('',(0.E0,0.E0,1.E0)); +#1923=AXIS2_PLACEMENT_3D('',#1920,#1921,#1922); +#1925=CARTESIAN_POINT('',(9.15E0,1.02E1,-9.9E0)); +#1926=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1927=DIRECTION('',(0.E0,1.E0,0.E0)); +#1928=AXIS2_PLACEMENT_3D('',#1925,#1926,#1927); +#1930=CARTESIAN_POINT('',(8.35E0,1.02E1,-9.9E0)); +#1931=DIRECTION('',(1.E0,0.E0,0.E0)); +#1932=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1933=AXIS2_PLACEMENT_3D('',#1930,#1931,#1932); +#1935=CARTESIAN_POINT('',(8.35E0,1.02E1,-1.01E1)); +#1936=DIRECTION('',(0.E0,1.E0,0.E0)); +#1937=DIRECTION('',(-1.E0,0.E0,-1.776356839400E-14)); +#1938=AXIS2_PLACEMENT_3D('',#1935,#1936,#1937); +#1940=CARTESIAN_POINT('',(8.75E0,9.1E0,-1.01E1)); +#1941=DIRECTION('',(0.E0,0.E0,1.E0)); +#1942=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1943=AXIS2_PLACEMENT_3D('',#1940,#1941,#1942); +#1945=DIRECTION('',(0.E0,-1.421910490586E-9,1.E0)); +#1946=VECTOR('',#1945,9.9E0); +#1947=CARTESIAN_POINT('',(8.25E0,9.1E0,-2.E1)); +#1948=LINE('',#1947,#1946); +#1949=DIRECTION('',(0.E0,-1.E0,-4.844609500001E-14)); +#1950=VECTOR('',#1949,1.100000014077E0); +#1951=CARTESIAN_POINT('',(1.175E1,1.02E1,-1.01E1)); +#1952=LINE('',#1951,#1950); +#1953=CARTESIAN_POINT('',(1.175E1,1.02E1,-9.9E0)); +#1954=DIRECTION('',(1.E0,0.E0,0.E0)); +#1955=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1956=AXIS2_PLACEMENT_3D('',#1953,#1954,#1955); +#1958=CARTESIAN_POINT('',(1.185E1,9.1E0,-1.01E1)); +#1959=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1960=DIRECTION('',(0.E0,0.E0,1.E0)); +#1961=AXIS2_PLACEMENT_3D('',#1958,#1959,#1960); +#1963=CARTESIAN_POINT('',(1.265E1,9.1E0,-1.01E1)); +#1964=DIRECTION('',(0.E0,1.E0,0.E0)); +#1965=DIRECTION('',(0.E0,0.E0,1.E0)); +#1966=AXIS2_PLACEMENT_3D('',#1963,#1964,#1965); +#1968=DIRECTION('',(0.E0,1.E0,4.198661620400E-14)); +#1969=VECTOR('',#1968,1.1E0); +#1970=CARTESIAN_POINT('',(1.275E1,9.1E0,-1.01E1)); +#1971=LINE('',#1970,#1969); +#1972=CARTESIAN_POINT('',(1.275E1,1.02E1,-9.9E0)); +#1973=DIRECTION('',(1.E0,0.E0,0.E0)); +#1974=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1975=AXIS2_PLACEMENT_3D('',#1972,#1973,#1974); +#1977=DIRECTION('',(0.E0,3.534770680423E-14,1.E0)); +#1978=VECTOR('',#1977,9.9E0); +#1979=CARTESIAN_POINT('',(1.275E1,9.1E0,-2.E1)); +#1980=LINE('',#1979,#1978); +#1981=CARTESIAN_POINT('',(1.265E1,1.02E1,-1.01E1)); +#1982=DIRECTION('',(0.E0,1.E0,-1.121325254871E-14)); +#1983=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#1984=AXIS2_PLACEMENT_3D('',#1981,#1982,#1983); +#1986=CARTESIAN_POINT('',(1.265E1,1.02E1,-9.9E0)); +#1987=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1988=DIRECTION('',(0.E0,1.E0,0.E0)); +#1989=AXIS2_PLACEMENT_3D('',#1986,#1987,#1988); +#1991=CARTESIAN_POINT('',(1.185E1,1.02E1,-9.9E0)); +#1992=DIRECTION('',(1.E0,0.E0,0.E0)); +#1993=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1994=AXIS2_PLACEMENT_3D('',#1991,#1992,#1993); +#1996=CARTESIAN_POINT('',(1.185E1,1.02E1,-1.01E1)); +#1997=DIRECTION('',(0.E0,1.E0,3.097522238704E-14)); +#1998=DIRECTION('',(-1.E0,0.E0,-1.776356839400E-14)); +#1999=AXIS2_PLACEMENT_3D('',#1996,#1997,#1998); +#2001=CARTESIAN_POINT('',(1.225E1,9.1E0,-1.01E1)); +#2002=DIRECTION('',(0.E0,0.E0,1.E0)); +#2003=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2004=AXIS2_PLACEMENT_3D('',#2001,#2002,#2003); +#2006=DIRECTION('',(0.E0,-1.421910311156E-9,1.E0)); +#2007=VECTOR('',#2006,9.9E0); +#2008=CARTESIAN_POINT('',(1.175E1,9.1E0,-2.E1)); +#2009=LINE('',#2008,#2007); +#2010=DIRECTION('',(1.291895866667E-14,-1.E0,-4.844609500001E-14)); +#2011=VECTOR('',#2010,1.100000014077E0); +#2012=CARTESIAN_POINT('',(1.525E1,1.02E1,-1.01E1)); +#2013=LINE('',#2012,#2011); +#2014=CARTESIAN_POINT('',(1.525E1,1.02E1,-9.9E0)); +#2015=DIRECTION('',(1.E0,0.E0,0.E0)); +#2016=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2017=AXIS2_PLACEMENT_3D('',#2014,#2015,#2016); +#2019=CARTESIAN_POINT('',(1.535E1,9.1E0,-1.01E1)); +#2020=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2021=DIRECTION('',(-1.421085471520E-13,0.E0,1.E0)); +#2022=AXIS2_PLACEMENT_3D('',#2019,#2020,#2021); +#2024=CARTESIAN_POINT('',(1.615E1,9.1E0,-1.01E1)); +#2025=DIRECTION('',(0.E0,1.E0,0.E0)); +#2026=DIRECTION('',(1.776356839400E-13,0.E0,1.E0)); +#2027=AXIS2_PLACEMENT_3D('',#2024,#2025,#2026); +#2029=DIRECTION('',(-1.291895883200E-14,1.E0,4.198661620400E-14)); +#2030=VECTOR('',#2029,1.1E0); +#2031=CARTESIAN_POINT('',(1.625E1,9.1E0,-1.01E1)); +#2032=LINE('',#2031,#2030); +#2033=CARTESIAN_POINT('',(1.625E1,1.02E1,-9.9E0)); +#2034=DIRECTION('',(1.E0,0.E0,0.E0)); +#2035=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2036=AXIS2_PLACEMENT_3D('',#2033,#2034,#2035); +#2038=DIRECTION('',(0.E0,4.144832625267E-14,1.E0)); +#2039=VECTOR('',#2038,9.9E0); +#2040=CARTESIAN_POINT('',(1.625E1,9.099999999999E0,-2.E1)); +#2041=LINE('',#2040,#2039); +#2042=CARTESIAN_POINT('',(1.615E1,1.02E1,-1.01E1)); +#2043=DIRECTION('',(0.E0,1.E0,-2.237099394620E-14)); +#2044=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#2045=AXIS2_PLACEMENT_3D('',#2042,#2043,#2044); +#2047=CARTESIAN_POINT('',(1.615E1,1.02E1,-9.9E0)); +#2048=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2049=DIRECTION('',(0.E0,1.E0,0.E0)); +#2050=AXIS2_PLACEMENT_3D('',#2047,#2048,#2049); +#2052=CARTESIAN_POINT('',(1.535E1,1.02E1,-9.9E0)); +#2053=DIRECTION('',(1.E0,0.E0,0.E0)); +#2054=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2055=AXIS2_PLACEMENT_3D('',#2052,#2053,#2054); +#2057=CARTESIAN_POINT('',(1.535E1,1.02E1,-1.01E1)); +#2058=DIRECTION('',(0.E0,1.E0,-2.331468351713E-14)); +#2059=DIRECTION('',(-1.E0,0.E0,-1.776356839400E-14)); +#2060=AXIS2_PLACEMENT_3D('',#2057,#2058,#2059); +#2062=CARTESIAN_POINT('',(1.575E1,9.1E0,-1.01E1)); +#2063=DIRECTION('',(0.E0,0.E0,1.E0)); +#2064=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2065=AXIS2_PLACEMENT_3D('',#2062,#2063,#2064); +#2067=DIRECTION('',(0.E0,-1.421915694055E-9,1.E0)); +#2068=VECTOR('',#2067,9.9E0); +#2069=CARTESIAN_POINT('',(1.525E1,9.1E0,-2.E1)); +#2070=LINE('',#2069,#2068); +#2071=CARTESIAN_POINT('',(2.35E1,5.6E0,-2.E0)); +#2072=DIRECTION('',(0.E0,0.E0,1.E0)); +#2073=DIRECTION('',(1.E0,0.E0,0.E0)); +#2074=AXIS2_PLACEMENT_3D('',#2071,#2072,#2073); +#2076=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2077=VECTOR('',#2076,1.8E1); +#2078=CARTESIAN_POINT('',(2.82E1,5.6E0,-2.E0)); +#2079=LINE('',#2078,#2077); +#2080=DIRECTION('',(0.E0,0.E0,1.E0)); +#2081=VECTOR('',#2080,9.9E0); +#2082=CARTESIAN_POINT('',(2.82E1,-5.2E0,-2.E1)); +#2083=LINE('',#2082,#2081); +#2084=DIRECTION('',(0.E0,1.E0,0.E0)); +#2085=VECTOR('',#2084,8.E-1); +#2086=CARTESIAN_POINT('',(2.82E1,-5.1E0,-1.E1)); +#2087=LINE('',#2086,#2085); +#2088=DIRECTION('',(0.E0,0.E0,1.E0)); +#2089=VECTOR('',#2088,9.9E0); +#2090=CARTESIAN_POINT('',(2.82E1,-4.2E0,-2.E1)); +#2091=LINE('',#2090,#2089); +#2092=DIRECTION('',(2.791552250256E-14,0.E0,1.E0)); +#2093=VECTOR('',#2092,9.926794919245E0); +#2094=CARTESIAN_POINT('',(2.82E1,4.2E0,-2.E1)); +#2095=LINE('',#2094,#2093); +#2096=CARTESIAN_POINT('',(2.82E1,4.2E0,-1.007320508075E1)); +#2097=CARTESIAN_POINT('',(2.82E1,4.200000000001E0,-1.005277633148E1)); +#2098=CARTESIAN_POINT('',(2.82E1,4.208821561912E0,-1.001283577128E1)); +#2099=CARTESIAN_POINT('',(2.82E1,4.246602149999E0,-9.953547863190E0)); +#2100=CARTESIAN_POINT('',(2.82E1,4.281420248440E0,-9.918579751560E0)); +#2101=CARTESIAN_POINT('',(2.82E1,4.3E0,-9.9E0)); +#2103=DIRECTION('',(0.E0,1.E0,0.E0)); +#2104=VECTOR('',#2103,8.E-1); +#2105=CARTESIAN_POINT('',(2.82E1,4.3E0,-9.9E0)); +#2106=LINE('',#2105,#2104); +#2107=CARTESIAN_POINT('',(2.82E1,5.1E0,-9.9E0)); +#2108=CARTESIAN_POINT('',(2.82E1,5.118579188768E0,-9.918579188768E0)); +#2109=CARTESIAN_POINT('',(2.82E1,5.153396595883E0,-9.953546520062E0)); +#2110=CARTESIAN_POINT('',(2.82E1,5.191177742547E0,-1.001283399170E1)); +#2111=CARTESIAN_POINT('',(2.82E1,5.199999999999E0,-1.005277555995E1)); +#2112=CARTESIAN_POINT('',(2.82E1,5.2E0,-1.007320508076E1)); +#2114=DIRECTION('',(2.147347884812E-14,0.E0,1.E0)); +#2115=VECTOR('',#2114,9.926794919245E0); +#2116=CARTESIAN_POINT('',(2.82E1,5.2E0,-2.E1)); +#2117=LINE('',#2116,#2115); +#2118=DIRECTION('',(0.E0,1.E0,0.E0)); +#2119=VECTOR('',#2118,1.12E1); +#2120=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E0)); +#2121=LINE('',#2120,#2119); +#2122=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2123=VECTOR('',#2122,1.8E1); +#2124=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E0)); +#2125=LINE('',#2124,#2123); +#2126=DIRECTION('',(1.E0,0.E0,0.E0)); +#2127=VECTOR('',#2126,1.2E0); +#2128=CARTESIAN_POINT('',(2.7E1,-5.2E0,-1.01E1)); +#2129=LINE('',#2128,#2127); +#2130=DIRECTION('',(3.480941685289E-14,0.E0,1.E0)); +#2131=VECTOR('',#2130,9.9E0); +#2132=CARTESIAN_POINT('',(2.7E1,-5.2E0,-2.E1)); +#2133=LINE('',#2132,#2131); +#2134=CARTESIAN_POINT('',(2.7E1,-5.1E0,-1.01E1)); +#2135=DIRECTION('',(1.E0,0.E0,0.E0)); +#2136=DIRECTION('',(0.E0,0.E0,1.E0)); +#2137=AXIS2_PLACEMENT_3D('',#2134,#2135,#2136); +#2139=CARTESIAN_POINT('',(2.82E1,-5.1E0,-1.01E1)); +#2140=DIRECTION('',(1.E0,0.E0,0.E0)); +#2141=DIRECTION('',(0.E0,0.E0,1.E0)); +#2142=AXIS2_PLACEMENT_3D('',#2139,#2140,#2141); +#2144=CARTESIAN_POINT('',(2.7E1,-4.3E0,-1.01E1)); +#2145=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2146=DIRECTION('',(0.E0,0.E0,1.E0)); +#2147=AXIS2_PLACEMENT_3D('',#2144,#2145,#2146); +#2149=DIRECTION('',(1.E0,4.085620730621E-13,0.E0)); +#2150=VECTOR('',#2149,1.1E0); +#2151=CARTESIAN_POINT('',(-2.81E1,4.3E0,-1.E1)); +#2152=LINE('',#2151,#2150); +#2153=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2154=VECTOR('',#2153,8.E-1); +#2155=CARTESIAN_POINT('',(-2.81E1,5.1E0,-1.E1)); +#2156=LINE('',#2155,#2154); +#2157=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2158=VECTOR('',#2157,1.1E0); +#2159=CARTESIAN_POINT('',(-2.7E1,5.1E0,-1.E1)); +#2160=LINE('',#2159,#2158); +#2161=CARTESIAN_POINT('',(-2.7E1,4.7E0,-1.E1)); +#2162=DIRECTION('',(0.E0,0.E0,1.E0)); +#2163=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2164=AXIS2_PLACEMENT_3D('',#2161,#2162,#2163); +#2166=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2167=VECTOR('',#2166,8.E-1); +#2168=CARTESIAN_POINT('',(-2.81E1,-4.3E0,-1.E1)); +#2169=LINE('',#2168,#2167); +#2170=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2171=VECTOR('',#2170,1.1E0); +#2172=CARTESIAN_POINT('',(-2.7E1,-4.3E0,-1.E1)); +#2173=LINE('',#2172,#2171); +#2174=CARTESIAN_POINT('',(-2.7E1,-4.7E0,-1.E1)); +#2175=DIRECTION('',(0.E0,0.E0,1.E0)); +#2176=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2177=AXIS2_PLACEMENT_3D('',#2174,#2175,#2176); +#2179=DIRECTION('',(1.E0,4.085620730621E-13,0.E0)); +#2180=VECTOR('',#2179,1.1E0); +#2181=CARTESIAN_POINT('',(-2.81E1,-5.1E0,-1.E1)); +#2182=LINE('',#2181,#2180); +#2183=DIRECTION('',(-1.E0,-4.085620730621E-13,0.E0)); +#2184=VECTOR('',#2183,1.1E0); +#2185=CARTESIAN_POINT('',(2.81E1,5.1E0,-1.E1)); +#2186=LINE('',#2185,#2184); +#2187=DIRECTION('',(0.E0,1.E0,0.E0)); +#2188=VECTOR('',#2187,8.E-1); +#2189=CARTESIAN_POINT('',(2.81E1,4.3E0,-1.E1)); +#2190=LINE('',#2189,#2188); +#2191=DIRECTION('',(1.E0,0.E0,0.E0)); +#2192=VECTOR('',#2191,1.1E0); +#2193=CARTESIAN_POINT('',(2.7E1,4.3E0,-1.E1)); +#2194=LINE('',#2193,#2192); +#2195=CARTESIAN_POINT('',(2.7E1,4.7E0,-1.E1)); +#2196=DIRECTION('',(0.E0,0.E0,1.E0)); +#2197=DIRECTION('',(0.E0,1.E0,0.E0)); +#2198=AXIS2_PLACEMENT_3D('',#2195,#2196,#2197); +#2200=DIRECTION('',(1.E0,0.E0,0.E0)); +#2201=VECTOR('',#2200,1.2E0); +#2202=CARTESIAN_POINT('',(2.7E1,-5.1E0,-1.E1)); +#2203=LINE('',#2202,#2201); +#2204=CARTESIAN_POINT('',(2.7E1,-4.7E0,-1.E1)); +#2205=DIRECTION('',(0.E0,0.E0,1.E0)); +#2206=DIRECTION('',(0.E0,1.E0,0.E0)); +#2207=AXIS2_PLACEMENT_3D('',#2204,#2205,#2206); +#2209=DIRECTION('',(-1.E0,-3.745152336402E-13,0.E0)); +#2210=VECTOR('',#2209,1.2E0); +#2211=CARTESIAN_POINT('',(2.82E1,-4.3E0,-1.E1)); +#2212=LINE('',#2211,#2210); +#2213=CARTESIAN_POINT('',(-2.7E1,4.3E0,-1.01E1)); +#2214=DIRECTION('',(1.E0,0.E0,0.E0)); +#2215=DIRECTION('',(0.E0,0.E0,1.E0)); +#2216=AXIS2_PLACEMENT_3D('',#2213,#2214,#2215); +#2218=CARTESIAN_POINT('',(-2.7E1,5.1E0,-1.01E1)); +#2219=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2220=DIRECTION('',(0.E0,0.E0,1.E0)); +#2221=AXIS2_PLACEMENT_3D('',#2218,#2219,#2220); +#2223=DIRECTION('',(-1.E0,0.E0,4.198661620402E-14)); +#2224=VECTOR('',#2223,1.1E0); +#2225=CARTESIAN_POINT('',(-2.7E1,5.2E0,-1.01E1)); +#2226=LINE('',#2225,#2224); +#2227=CARTESIAN_POINT('',(-2.81E1,5.2E0,-9.9E0)); +#2228=DIRECTION('',(0.E0,1.E0,0.E0)); +#2229=DIRECTION('',(-1.776356839400E-14,0.E0,-1.E0)); +#2230=AXIS2_PLACEMENT_3D('',#2227,#2228,#2229); +#2232=DIRECTION('',(-3.480941685289E-14,0.E0,1.E0)); +#2233=VECTOR('',#2232,9.9E0); +#2234=CARTESIAN_POINT('',(-2.7E1,5.2E0,-2.E1)); +#2235=LINE('',#2234,#2233); +#2236=CARTESIAN_POINT('',(-2.81E1,5.1E0,-1.01E1)); +#2237=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2238=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#2239=AXIS2_PLACEMENT_3D('',#2236,#2237,#2238); +#2241=CARTESIAN_POINT('',(-2.81E1,5.1E0,-9.9E0)); +#2242=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2243=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2244=AXIS2_PLACEMENT_3D('',#2241,#2242,#2243); +#2246=CARTESIAN_POINT('',(-2.82E1,4.3E0,-9.9E0)); +#2247=CARTESIAN_POINT('',(-2.82E1,4.281420811232E0,-9.918579188768E0)); +#2248=CARTESIAN_POINT('',(-2.82E1,4.246603404117E0,-9.953546520062E0)); +#2249=CARTESIAN_POINT('',(-2.82E1,4.208822257453E0,-1.001283399170E1)); +#2250=CARTESIAN_POINT('',(-2.82E1,4.200000000001E0,-1.005277555995E1)); +#2251=CARTESIAN_POINT('',(-2.82E1,4.2E0,-1.007320508076E1)); +#2253=DIRECTION('',(-2.147347884812E-14,0.E0,1.E0)); +#2254=VECTOR('',#2253,9.926794919245E0); +#2255=CARTESIAN_POINT('',(-2.82E1,4.2E0,-2.E1)); +#2256=LINE('',#2255,#2254); +#2257=DIRECTION('',(-2.791552250256E-14,0.E0,1.E0)); +#2258=VECTOR('',#2257,9.926794919245E0); +#2259=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-2.E1)); +#2260=LINE('',#2259,#2258); +#2261=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-1.007320508075E1)); +#2262=CARTESIAN_POINT('',(-2.82E1,-4.200000000001E0,-1.005277633148E1)); +#2263=CARTESIAN_POINT('',(-2.82E1,-4.208821561912E0,-1.001283577128E1)); +#2264=CARTESIAN_POINT('',(-2.82E1,-4.246602149999E0,-9.953547863190E0)); +#2265=CARTESIAN_POINT('',(-2.82E1,-4.281420248440E0,-9.918579751560E0)); +#2266=CARTESIAN_POINT('',(-2.82E1,-4.3E0,-9.9E0)); +#2268=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2269=VECTOR('',#2268,8.E-1); +#2270=CARTESIAN_POINT('',(-2.82E1,-4.3E0,-9.9E0)); +#2271=LINE('',#2270,#2269); +#2272=CARTESIAN_POINT('',(-2.82E1,-5.1E0,-9.9E0)); +#2273=CARTESIAN_POINT('',(-2.82E1,-5.118579188768E0,-9.918579188768E0)); +#2274=CARTESIAN_POINT('',(-2.82E1,-5.153396595883E0,-9.953546520062E0)); +#2275=CARTESIAN_POINT('',(-2.82E1,-5.191177742547E0,-1.001283399170E1)); +#2276=CARTESIAN_POINT('',(-2.82E1,-5.199999999999E0,-1.005277555995E1)); +#2277=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-1.007320508076E1)); +#2279=DIRECTION('',(-2.147347884812E-14,0.E0,1.E0)); +#2280=VECTOR('',#2279,9.926794919245E0); +#2281=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-2.E1)); +#2282=LINE('',#2281,#2280); +#2283=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2284=VECTOR('',#2283,1.12E1); +#2285=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E0)); +#2286=LINE('',#2285,#2284); +#2287=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2288=VECTOR('',#2287,1.8E1); +#2289=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E0)); +#2290=LINE('',#2289,#2288); +#2291=DIRECTION('',(-2.791552250256E-14,0.E0,1.E0)); +#2292=VECTOR('',#2291,9.926794919245E0); +#2293=CARTESIAN_POINT('',(-2.82E1,5.2E0,-2.E1)); +#2294=LINE('',#2293,#2292); +#2295=CARTESIAN_POINT('',(-2.82E1,5.2E0,-1.007320508075E1)); +#2296=CARTESIAN_POINT('',(-2.82E1,5.199999999999E0,-1.005277633148E1)); +#2297=CARTESIAN_POINT('',(-2.82E1,5.191178438088E0,-1.001283577128E1)); +#2298=CARTESIAN_POINT('',(-2.82E1,5.153397850001E0,-9.953547863190E0)); +#2299=CARTESIAN_POINT('',(-2.82E1,5.118579751560E0,-9.918579751560E0)); +#2300=CARTESIAN_POINT('',(-2.82E1,5.1E0,-9.9E0)); +#2302=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2303=VECTOR('',#2302,8.E-1); +#2304=CARTESIAN_POINT('',(-2.82E1,5.1E0,-9.9E0)); +#2305=LINE('',#2304,#2303); +#2306=CARTESIAN_POINT('',(-2.81E1,4.3E0,-9.9E0)); +#2307=DIRECTION('',(0.E0,1.E0,0.E0)); +#2308=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2309=AXIS2_PLACEMENT_3D('',#2306,#2307,#2308); +#2311=CARTESIAN_POINT('',(-2.81E1,4.3E0,-1.01E1)); +#2312=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2313=DIRECTION('',(0.E0,-1.E0,-1.776356839400E-14)); +#2314=AXIS2_PLACEMENT_3D('',#2311,#2312,#2313); +#2316=DIRECTION('',(1.E0,0.E0,-4.844609500003E-14)); +#2317=VECTOR('',#2316,1.100000014077E0); +#2318=CARTESIAN_POINT('',(-2.81E1,4.2E0,-1.01E1)); +#2319=LINE('',#2318,#2317); +#2320=CARTESIAN_POINT('',(-2.81E1,4.2E0,-9.9E0)); +#2321=DIRECTION('',(0.E0,1.E0,0.E0)); +#2322=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2323=AXIS2_PLACEMENT_3D('',#2320,#2321,#2322); +#2325=CARTESIAN_POINT('',(-2.7E1,4.7E0,-1.01E1)); +#2326=DIRECTION('',(0.E0,0.E0,1.E0)); +#2327=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2328=AXIS2_PLACEMENT_3D('',#2325,#2326,#2327); +#2330=DIRECTION('',(1.421910131726E-9,0.E0,1.E0)); +#2331=VECTOR('',#2330,9.9E0); +#2332=CARTESIAN_POINT('',(-2.7E1,4.2E0,-2.E1)); +#2333=LINE('',#2332,#2331); +#2334=DIRECTION('',(-1.E0,0.E0,4.198661620402E-14)); +#2335=VECTOR('',#2334,1.1E0); +#2336=CARTESIAN_POINT('',(-2.7E1,-4.2E0,-1.01E1)); +#2337=LINE('',#2336,#2335); +#2338=CARTESIAN_POINT('',(-2.81E1,-4.2E0,-9.9E0)); +#2339=DIRECTION('',(0.E0,1.E0,0.E0)); +#2340=DIRECTION('',(-1.776356839400E-14,0.E0,-1.E0)); +#2341=AXIS2_PLACEMENT_3D('',#2338,#2339,#2340); +#2343=DIRECTION('',(-3.480941685289E-14,0.E0,1.E0)); +#2344=VECTOR('',#2343,9.9E0); +#2345=CARTESIAN_POINT('',(-2.7E1,-4.2E0,-2.E1)); +#2346=LINE('',#2345,#2344); +#2347=CARTESIAN_POINT('',(-2.7E1,-4.3E0,-1.01E1)); +#2348=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2349=DIRECTION('',(0.E0,0.E0,1.E0)); +#2350=AXIS2_PLACEMENT_3D('',#2347,#2348,#2349); +#2352=CARTESIAN_POINT('',(-2.7E1,-5.1E0,-1.01E1)); +#2353=DIRECTION('',(1.E0,0.E0,0.E0)); +#2354=DIRECTION('',(0.E0,0.E0,1.E0)); +#2355=AXIS2_PLACEMENT_3D('',#2352,#2353,#2354); +#2357=CARTESIAN_POINT('',(-2.7E1,-4.7E0,-1.01E1)); +#2358=DIRECTION('',(0.E0,0.E0,1.E0)); +#2359=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2360=AXIS2_PLACEMENT_3D('',#2357,#2358,#2359); +#2362=DIRECTION('',(1.421910131726E-9,0.E0,1.E0)); +#2363=VECTOR('',#2362,9.9E0); +#2364=CARTESIAN_POINT('',(-2.7E1,-5.2E0,-2.E1)); +#2365=LINE('',#2364,#2363); +#2366=DIRECTION('',(1.E0,0.E0,-4.844609500003E-14)); +#2367=VECTOR('',#2366,1.100000014077E0); +#2368=CARTESIAN_POINT('',(-2.81E1,-5.2E0,-1.01E1)); +#2369=LINE('',#2368,#2367); +#2370=CARTESIAN_POINT('',(-2.81E1,-5.2E0,-9.9E0)); +#2371=DIRECTION('',(0.E0,1.E0,0.E0)); +#2372=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2373=AXIS2_PLACEMENT_3D('',#2370,#2371,#2372); +#2375=CARTESIAN_POINT('',(-2.81E1,-5.1E0,-9.9E0)); +#2376=DIRECTION('',(0.E0,1.E0,0.E0)); +#2377=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2378=AXIS2_PLACEMENT_3D('',#2375,#2376,#2377); +#2380=CARTESIAN_POINT('',(-2.81E1,-5.1E0,-1.01E1)); +#2381=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2382=DIRECTION('',(0.E0,-1.E0,-1.776356839400E-14)); +#2383=AXIS2_PLACEMENT_3D('',#2380,#2381,#2382); +#2385=CARTESIAN_POINT('',(-2.81E1,-4.3E0,-1.01E1)); +#2386=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2387=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#2388=AXIS2_PLACEMENT_3D('',#2385,#2386,#2387); +#2390=CARTESIAN_POINT('',(-2.81E1,-4.3E0,-9.9E0)); +#2391=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2392=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2393=AXIS2_PLACEMENT_3D('',#2390,#2391,#2392); +#2395=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-2.E0)); +#2396=DIRECTION('',(0.E0,0.E0,1.E0)); +#2397=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2398=AXIS2_PLACEMENT_3D('',#2395,#2396,#2397); +#2400=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2401=VECTOR('',#2400,1.8E1); +#2402=CARTESIAN_POINT('',(-2.82E1,-5.6E0,-2.E0)); +#2403=LINE('',#2402,#2401); +#2404=CARTESIAN_POINT('',(-1.535E1,-1.03E1,-9.9E0)); +#2405=CARTESIAN_POINT('',(-1.533142081123E1,-1.03E1,-9.918579188768E0)); +#2406=CARTESIAN_POINT('',(-1.529660340412E1,-1.03E1,-9.953546520062E0)); +#2407=CARTESIAN_POINT('',(-1.525882225745E1,-1.03E1,-1.001283399170E1)); +#2408=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-1.005277555995E1)); +#2409=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-1.007320508076E1)); +#2411=DIRECTION('',(0.E0,-2.165242450519E-14,1.E0)); +#2412=VECTOR('',#2411,9.926794919245E0); +#2413=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-2.E1)); +#2414=LINE('',#2413,#2412); +#2415=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#2416=VECTOR('',#2415,9.926794919245E0); +#2417=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-2.E1)); +#2418=LINE('',#2417,#2416); +#2419=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-1.007320508075E1)); +#2420=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-1.005277633148E1)); +#2421=CARTESIAN_POINT('',(-1.274117843809E1,-1.03E1,-1.001283577128E1)); +#2422=CARTESIAN_POINT('',(-1.270339785E1,-1.03E1,-9.953547863190E0)); +#2423=CARTESIAN_POINT('',(-1.266857975156E1,-1.03E1,-9.918579751560E0)); +#2424=CARTESIAN_POINT('',(-1.265E1,-1.03E1,-9.9E0)); +#2426=DIRECTION('',(1.E0,0.E0,0.E0)); +#2427=VECTOR('',#2426,8.E-1); +#2428=CARTESIAN_POINT('',(-1.265E1,-1.03E1,-9.9E0)); +#2429=LINE('',#2428,#2427); +#2430=CARTESIAN_POINT('',(-1.185E1,-1.03E1,-9.9E0)); +#2431=CARTESIAN_POINT('',(-1.183142081123E1,-1.03E1,-9.918579188768E0)); +#2432=CARTESIAN_POINT('',(-1.179660340412E1,-1.03E1,-9.953546520062E0)); +#2433=CARTESIAN_POINT('',(-1.175882225745E1,-1.03E1,-1.001283399170E1)); +#2434=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-1.005277555995E1)); +#2435=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-1.007320508076E1)); +#2437=DIRECTION('',(0.E0,-2.165242450519E-14,1.E0)); +#2438=VECTOR('',#2437,9.926794919245E0); +#2439=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#2440=LINE('',#2439,#2438); +#2441=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#2442=VECTOR('',#2441,9.926794919245E0); +#2443=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-2.E1)); +#2444=LINE('',#2443,#2442); +#2445=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-1.007320508075E1)); +#2446=CARTESIAN_POINT('',(-9.249999999999E0,-1.03E1,-1.005277633148E1)); +#2447=CARTESIAN_POINT('',(-9.241178438088E0,-1.03E1,-1.001283577128E1)); +#2448=CARTESIAN_POINT('',(-9.203397850001E0,-1.03E1,-9.953547863190E0)); +#2449=CARTESIAN_POINT('',(-9.168579751560E0,-1.03E1,-9.918579751560E0)); +#2450=CARTESIAN_POINT('',(-9.15E0,-1.03E1,-9.9E0)); +#2452=DIRECTION('',(1.E0,0.E0,0.E0)); +#2453=VECTOR('',#2452,8.E-1); +#2454=CARTESIAN_POINT('',(-9.15E0,-1.03E1,-9.9E0)); +#2455=LINE('',#2454,#2453); +#2456=CARTESIAN_POINT('',(-8.35E0,-1.03E1,-9.9E0)); +#2457=CARTESIAN_POINT('',(-8.331420811232E0,-1.03E1,-9.918579188768E0)); +#2458=CARTESIAN_POINT('',(-8.296603404117E0,-1.03E1,-9.953546520062E0)); +#2459=CARTESIAN_POINT('',(-8.258822257453E0,-1.03E1,-1.001283399170E1)); +#2460=CARTESIAN_POINT('',(-8.250000000001E0,-1.03E1,-1.005277555995E1)); +#2461=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-1.007320508076E1)); +#2463=DIRECTION('',(0.E0,-2.165242450519E-14,1.E0)); +#2464=VECTOR('',#2463,9.926794919245E0); +#2465=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#2466=LINE('',#2465,#2464); +#2467=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#2468=VECTOR('',#2467,9.926794919245E0); +#2469=CARTESIAN_POINT('',(-5.75E0,-1.03E1,-2.E1)); +#2470=LINE('',#2469,#2468); +#2471=CARTESIAN_POINT('',(-5.75E0,-1.03E1,-1.007320508075E1)); +#2472=CARTESIAN_POINT('',(-5.749999999999E0,-1.03E1,-1.005277633148E1)); +#2473=CARTESIAN_POINT('',(-5.741178438088E0,-1.03E1,-1.001283577128E1)); +#2474=CARTESIAN_POINT('',(-5.703397850001E0,-1.03E1,-9.953547863190E0)); +#2475=CARTESIAN_POINT('',(-5.668579751560E0,-1.03E1,-9.918579751560E0)); +#2476=CARTESIAN_POINT('',(-5.65E0,-1.03E1,-9.9E0)); +#2478=DIRECTION('',(1.E0,0.E0,0.E0)); +#2479=VECTOR('',#2478,8.E-1); +#2480=CARTESIAN_POINT('',(-5.65E0,-1.03E1,-9.9E0)); +#2481=LINE('',#2480,#2479); +#2482=CARTESIAN_POINT('',(-4.85E0,-1.03E1,-9.9E0)); +#2483=CARTESIAN_POINT('',(-4.831420811232E0,-1.03E1,-9.918579188768E0)); +#2484=CARTESIAN_POINT('',(-4.796603404117E0,-1.03E1,-9.953546520062E0)); +#2485=CARTESIAN_POINT('',(-4.758822257453E0,-1.03E1,-1.001283399170E1)); +#2486=CARTESIAN_POINT('',(-4.750000000001E0,-1.03E1,-1.005277555995E1)); +#2487=CARTESIAN_POINT('',(-4.75E0,-1.03E1,-1.007320508076E1)); +#2489=DIRECTION('',(0.E0,-2.183137016226E-14,1.E0)); +#2490=VECTOR('',#2489,9.926794919245E0); +#2491=CARTESIAN_POINT('',(-4.75E0,-1.03E1,-2.E1)); +#2492=LINE('',#2491,#2490); +#2493=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#2494=VECTOR('',#2493,9.926794919245E0); +#2495=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-2.E1)); +#2496=LINE('',#2495,#2494); +#2497=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-1.007320508075E1)); +#2498=CARTESIAN_POINT('',(-2.249999999999E0,-1.03E1,-1.005277633148E1)); +#2499=CARTESIAN_POINT('',(-2.241178438088E0,-1.03E1,-1.001283577128E1)); +#2500=CARTESIAN_POINT('',(-2.203397850001E0,-1.03E1,-9.953547863190E0)); +#2501=CARTESIAN_POINT('',(-2.168579751560E0,-1.03E1,-9.918579751560E0)); +#2502=CARTESIAN_POINT('',(-2.15E0,-1.03E1,-9.9E0)); +#2504=DIRECTION('',(1.E0,0.E0,0.E0)); +#2505=VECTOR('',#2504,8.E-1); +#2506=CARTESIAN_POINT('',(-2.15E0,-1.03E1,-9.9E0)); +#2507=LINE('',#2506,#2505); +#2508=CARTESIAN_POINT('',(-1.35E0,-1.03E1,-9.9E0)); +#2509=CARTESIAN_POINT('',(-1.331420811232E0,-1.03E1,-9.918579188768E0)); +#2510=CARTESIAN_POINT('',(-1.296603404118E0,-1.03E1,-9.953546520062E0)); +#2511=CARTESIAN_POINT('',(-1.258822257453E0,-1.03E1,-1.001283399170E1)); +#2512=CARTESIAN_POINT('',(-1.250000000001E0,-1.03E1,-1.005277555995E1)); +#2513=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-1.007320508076E1)); +#2515=DIRECTION('',(0.E0,-2.147347884812E-14,1.E0)); +#2516=VECTOR('',#2515,9.926794919245E0); +#2517=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#2518=LINE('',#2517,#2516); +#2519=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#2520=VECTOR('',#2519,9.926794919245E0); +#2521=CARTESIAN_POINT('',(1.25E0,-1.03E1,-2.E1)); +#2522=LINE('',#2521,#2520); +#2523=CARTESIAN_POINT('',(1.25E0,-1.03E1,-1.007320508075E1)); +#2524=CARTESIAN_POINT('',(1.250000000001E0,-1.03E1,-1.005277633148E1)); +#2525=CARTESIAN_POINT('',(1.258821561913E0,-1.03E1,-1.001283577128E1)); +#2526=CARTESIAN_POINT('',(1.296602149999E0,-1.03E1,-9.953547863190E0)); +#2527=CARTESIAN_POINT('',(1.331420248440E0,-1.03E1,-9.918579751560E0)); +#2528=CARTESIAN_POINT('',(1.35E0,-1.03E1,-9.9E0)); +#2530=DIRECTION('',(1.E0,0.E0,0.E0)); +#2531=VECTOR('',#2530,8.E-1); +#2532=CARTESIAN_POINT('',(1.35E0,-1.03E1,-9.9E0)); +#2533=LINE('',#2532,#2531); +#2534=CARTESIAN_POINT('',(2.15E0,-1.03E1,-9.9E0)); +#2535=CARTESIAN_POINT('',(2.168579188768E0,-1.03E1,-9.918579188768E0)); +#2536=CARTESIAN_POINT('',(2.203396595883E0,-1.03E1,-9.953546520062E0)); +#2537=CARTESIAN_POINT('',(2.241177742547E0,-1.03E1,-1.001283399170E1)); +#2538=CARTESIAN_POINT('',(2.249999999999E0,-1.03E1,-1.005277555995E1)); +#2539=CARTESIAN_POINT('',(2.25E0,-1.03E1,-1.007320508076E1)); +#2541=DIRECTION('',(0.E0,-2.183137016226E-14,1.E0)); +#2542=VECTOR('',#2541,9.926794919245E0); +#2543=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#2544=LINE('',#2543,#2542); +#2545=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#2546=VECTOR('',#2545,9.926794919245E0); +#2547=CARTESIAN_POINT('',(4.575E0,-1.03E1,-2.E1)); +#2548=LINE('',#2547,#2546); +#2549=CARTESIAN_POINT('',(4.575E0,-1.03E1,-1.007320508075E1)); +#2550=CARTESIAN_POINT('',(4.575000000001E0,-1.03E1,-1.005277633148E1)); +#2551=CARTESIAN_POINT('',(4.583821561913E0,-1.03E1,-1.001283577128E1)); +#2552=CARTESIAN_POINT('',(4.621602149999E0,-1.03E1,-9.953547863190E0)); +#2553=CARTESIAN_POINT('',(4.656420248440E0,-1.03E1,-9.918579751560E0)); +#2554=CARTESIAN_POINT('',(4.675E0,-1.03E1,-9.9E0)); +#2556=DIRECTION('',(1.E0,0.E0,0.E0)); +#2557=VECTOR('',#2556,1.15E0); +#2558=CARTESIAN_POINT('',(4.675E0,-1.03E1,-9.9E0)); +#2559=LINE('',#2558,#2557); +#2560=CARTESIAN_POINT('',(5.825E0,-1.03E1,-9.9E0)); +#2561=CARTESIAN_POINT('',(5.843579188768E0,-1.03E1,-9.918579188768E0)); +#2562=CARTESIAN_POINT('',(5.878396595883E0,-1.03E1,-9.953546520062E0)); +#2563=CARTESIAN_POINT('',(5.916177742547E0,-1.03E1,-1.001283399170E1)); +#2564=CARTESIAN_POINT('',(5.924999999999E0,-1.03E1,-1.005277555995E1)); +#2565=CARTESIAN_POINT('',(5.925E0,-1.03E1,-1.007320508076E1)); +#2567=DIRECTION('',(0.E0,-2.165242450519E-14,1.E0)); +#2568=VECTOR('',#2567,9.926794919245E0); +#2569=CARTESIAN_POINT('',(5.925E0,-1.03E1,-2.E1)); +#2570=LINE('',#2569,#2568); +#2571=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#2572=VECTOR('',#2571,9.926794919245E0); +#2573=CARTESIAN_POINT('',(8.25E0,-1.03E1,-2.E1)); +#2574=LINE('',#2573,#2572); +#2575=CARTESIAN_POINT('',(8.25E0,-1.03E1,-1.007320508075E1)); +#2576=CARTESIAN_POINT('',(8.250000000001E0,-1.03E1,-1.005277633148E1)); +#2577=CARTESIAN_POINT('',(8.258821561913E0,-1.03E1,-1.001283577128E1)); +#2578=CARTESIAN_POINT('',(8.296602149999E0,-1.03E1,-9.953547863190E0)); +#2579=CARTESIAN_POINT('',(8.331420248440E0,-1.03E1,-9.918579751560E0)); +#2580=CARTESIAN_POINT('',(8.35E0,-1.03E1,-9.9E0)); +#2582=DIRECTION('',(1.E0,0.E0,0.E0)); +#2583=VECTOR('',#2582,8.E-1); +#2584=CARTESIAN_POINT('',(8.35E0,-1.03E1,-9.9E0)); +#2585=LINE('',#2584,#2583); +#2586=CARTESIAN_POINT('',(9.15E0,-1.03E1,-9.9E0)); +#2587=CARTESIAN_POINT('',(9.168579188768E0,-1.03E1,-9.918579188768E0)); +#2588=CARTESIAN_POINT('',(9.203396595883E0,-1.03E1,-9.953546520062E0)); +#2589=CARTESIAN_POINT('',(9.241177742547E0,-1.03E1,-1.001283399170E1)); +#2590=CARTESIAN_POINT('',(9.249999999999E0,-1.03E1,-1.005277555995E1)); +#2591=CARTESIAN_POINT('',(9.25E0,-1.03E1,-1.007320508076E1)); +#2593=DIRECTION('',(0.E0,-2.165242450519E-14,1.E0)); +#2594=VECTOR('',#2593,9.926794919245E0); +#2595=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#2596=LINE('',#2595,#2594); +#2597=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#2598=VECTOR('',#2597,9.926794919245E0); +#2599=CARTESIAN_POINT('',(1.175E1,-1.03E1,-2.E1)); +#2600=LINE('',#2599,#2598); +#2601=CARTESIAN_POINT('',(1.175E1,-1.03E1,-1.007320508075E1)); +#2602=CARTESIAN_POINT('',(1.175E1,-1.03E1,-1.005277633148E1)); +#2603=CARTESIAN_POINT('',(1.175882156191E1,-1.03E1,-1.001283577128E1)); +#2604=CARTESIAN_POINT('',(1.179660215E1,-1.03E1,-9.953547863190E0)); +#2605=CARTESIAN_POINT('',(1.183142024844E1,-1.03E1,-9.918579751560E0)); +#2606=CARTESIAN_POINT('',(1.185E1,-1.03E1,-9.9E0)); +#2608=DIRECTION('',(1.E0,0.E0,0.E0)); +#2609=VECTOR('',#2608,8.E-1); +#2610=CARTESIAN_POINT('',(1.185E1,-1.03E1,-9.9E0)); +#2611=LINE('',#2610,#2609); +#2612=CARTESIAN_POINT('',(1.265E1,-1.03E1,-9.9E0)); +#2613=CARTESIAN_POINT('',(1.266857918877E1,-1.03E1,-9.918579188768E0)); +#2614=CARTESIAN_POINT('',(1.270339659588E1,-1.03E1,-9.953546520062E0)); +#2615=CARTESIAN_POINT('',(1.274117774255E1,-1.03E1,-1.001283399170E1)); +#2616=CARTESIAN_POINT('',(1.275E1,-1.03E1,-1.005277555995E1)); +#2617=CARTESIAN_POINT('',(1.275E1,-1.03E1,-1.007320508076E1)); +#2619=DIRECTION('',(0.E0,-2.147347884812E-14,1.E0)); +#2620=VECTOR('',#2619,9.926794919245E0); +#2621=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#2622=LINE('',#2621,#2620); +#2623=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#2624=VECTOR('',#2623,9.926794919245E0); +#2625=CARTESIAN_POINT('',(1.525E1,-1.03E1,-2.E1)); +#2626=LINE('',#2625,#2624); +#2627=CARTESIAN_POINT('',(1.525E1,-1.03E1,-1.007320508075E1)); +#2628=CARTESIAN_POINT('',(1.525E1,-1.03E1,-1.005277633148E1)); +#2629=CARTESIAN_POINT('',(1.525882156191E1,-1.03E1,-1.001283577128E1)); +#2630=CARTESIAN_POINT('',(1.529660215E1,-1.03E1,-9.953547863190E0)); +#2631=CARTESIAN_POINT('',(1.533142024844E1,-1.03E1,-9.918579751560E0)); +#2632=CARTESIAN_POINT('',(1.535E1,-1.03E1,-9.9E0)); +#2634=DIRECTION('',(1.E0,0.E0,0.E0)); +#2635=VECTOR('',#2634,8.E-1); +#2636=CARTESIAN_POINT('',(1.535E1,-1.03E1,-9.9E0)); +#2637=LINE('',#2636,#2635); +#2638=CARTESIAN_POINT('',(1.615E1,-1.03E1,-9.9E0)); +#2639=CARTESIAN_POINT('',(1.616857918877E1,-1.03E1,-9.918579188768E0)); +#2640=CARTESIAN_POINT('',(1.620339659588E1,-1.03E1,-9.953546520062E0)); +#2641=CARTESIAN_POINT('',(1.624117774255E1,-1.03E1,-1.001283399170E1)); +#2642=CARTESIAN_POINT('',(1.625E1,-1.03E1,-1.005277555995E1)); +#2643=CARTESIAN_POINT('',(1.625E1,-1.03E1,-1.007320508076E1)); +#2645=DIRECTION('',(0.E0,-2.183137016226E-14,1.E0)); +#2646=VECTOR('',#2645,9.926794919245E0); +#2647=CARTESIAN_POINT('',(1.625E1,-1.03E1,-2.E1)); +#2648=LINE('',#2647,#2646); +#2649=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#2650=VECTOR('',#2649,9.926794919245E0); +#2651=CARTESIAN_POINT('',(1.875E1,-1.03E1,-2.E1)); +#2652=LINE('',#2651,#2650); +#2653=CARTESIAN_POINT('',(1.875E1,-1.03E1,-1.007320508075E1)); +#2654=CARTESIAN_POINT('',(1.875E1,-1.03E1,-1.005277633148E1)); +#2655=CARTESIAN_POINT('',(1.875882156191E1,-1.03E1,-1.001283577128E1)); +#2656=CARTESIAN_POINT('',(1.879660215E1,-1.03E1,-9.953547863190E0)); +#2657=CARTESIAN_POINT('',(1.883142024844E1,-1.03E1,-9.918579751560E0)); +#2658=CARTESIAN_POINT('',(1.885E1,-1.03E1,-9.9E0)); +#2660=DIRECTION('',(1.E0,0.E0,0.E0)); +#2661=VECTOR('',#2660,8.E-1); +#2662=CARTESIAN_POINT('',(1.885E1,-1.03E1,-9.9E0)); +#2663=LINE('',#2662,#2661); +#2664=CARTESIAN_POINT('',(1.965E1,-1.03E1,-9.9E0)); +#2665=CARTESIAN_POINT('',(1.966857918877E1,-1.03E1,-9.918579188768E0)); +#2666=CARTESIAN_POINT('',(1.970339659588E1,-1.03E1,-9.953546520062E0)); +#2667=CARTESIAN_POINT('',(1.974117774255E1,-1.03E1,-1.001283399170E1)); +#2668=CARTESIAN_POINT('',(1.975E1,-1.03E1,-1.005277555995E1)); +#2669=CARTESIAN_POINT('',(1.975E1,-1.03E1,-1.007320508076E1)); +#2671=DIRECTION('',(0.E0,-2.183137016226E-14,1.E0)); +#2672=VECTOR('',#2671,9.926794919245E0); +#2673=CARTESIAN_POINT('',(1.975E1,-1.03E1,-2.E1)); +#2674=LINE('',#2673,#2672); +#2675=DIRECTION('',(1.E0,0.E0,0.E0)); +#2676=VECTOR('',#2675,4.7E1); +#2677=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E0)); +#2678=LINE('',#2677,#2676); +#2679=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2680=VECTOR('',#2679,1.8E1); +#2681=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E0)); +#2682=LINE('',#2681,#2680); +#2683=DIRECTION('',(0.E0,-2.827341381669E-14,1.E0)); +#2684=VECTOR('',#2683,9.926794919245E0); +#2685=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-2.E1)); +#2686=LINE('',#2685,#2684); +#2687=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-1.007320508075E1)); +#2688=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-1.005277633148E1)); +#2689=CARTESIAN_POINT('',(-1.974117843809E1,-1.03E1,-1.001283577128E1)); +#2690=CARTESIAN_POINT('',(-1.970339785E1,-1.03E1,-9.953547863190E0)); +#2691=CARTESIAN_POINT('',(-1.966857975156E1,-1.03E1,-9.918579751560E0)); +#2692=CARTESIAN_POINT('',(-1.965E1,-1.03E1,-9.9E0)); +#2694=DIRECTION('',(1.E0,0.E0,0.E0)); +#2695=VECTOR('',#2694,8.E-1); +#2696=CARTESIAN_POINT('',(-1.965E1,-1.03E1,-9.9E0)); +#2697=LINE('',#2696,#2695); +#2698=CARTESIAN_POINT('',(-1.885E1,-1.03E1,-9.9E0)); +#2699=CARTESIAN_POINT('',(-1.883142081123E1,-1.03E1,-9.918579188768E0)); +#2700=CARTESIAN_POINT('',(-1.879660340412E1,-1.03E1,-9.953546520062E0)); +#2701=CARTESIAN_POINT('',(-1.875882225745E1,-1.03E1,-1.001283399170E1)); +#2702=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-1.005277555995E1)); +#2703=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-1.007320508076E1)); +#2705=DIRECTION('',(0.E0,-2.183137016226E-14,1.E0)); +#2706=VECTOR('',#2705,9.926794919245E0); +#2707=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-2.E1)); +#2708=LINE('',#2707,#2706); +#2709=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#2710=VECTOR('',#2709,9.926794919245E0); +#2711=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-2.E1)); +#2712=LINE('',#2711,#2710); +#2713=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-1.007320508075E1)); +#2714=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-1.005277633148E1)); +#2715=CARTESIAN_POINT('',(-1.624117843809E1,-1.03E1,-1.001283577128E1)); +#2716=CARTESIAN_POINT('',(-1.620339785E1,-1.03E1,-9.953547863190E0)); +#2717=CARTESIAN_POINT('',(-1.616857975156E1,-1.03E1,-9.918579751560E0)); +#2718=CARTESIAN_POINT('',(-1.615E1,-1.03E1,-9.9E0)); +#2720=DIRECTION('',(1.E0,0.E0,0.E0)); +#2721=VECTOR('',#2720,8.E-1); +#2722=CARTESIAN_POINT('',(-1.615E1,-1.03E1,-9.9E0)); +#2723=LINE('',#2722,#2721); +#2724=CARTESIAN_POINT('',(-1.535E1,-1.02E1,-9.9E0)); +#2725=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2726=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2727=AXIS2_PLACEMENT_3D('',#2724,#2725,#2726); +#2729=CARTESIAN_POINT('',(-1.535E1,-1.02E1,-1.01E1)); +#2730=DIRECTION('',(0.E0,-1.E0,-2.314815006343E-14)); +#2731=DIRECTION('',(1.E0,0.E0,-1.776356839400E-14)); +#2732=AXIS2_PLACEMENT_3D('',#2729,#2730,#2731); +#2734=DIRECTION('',(-1.291895866667E-14,1.E0,-4.844609500001E-14)); +#2735=VECTOR('',#2734,1.100000014077E0); +#2736=CARTESIAN_POINT('',(-1.525E1,-1.02E1,-1.01E1)); +#2737=LINE('',#2736,#2735); +#2738=CARTESIAN_POINT('',(-1.525E1,-1.02E1,-9.9E0)); +#2739=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2740=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2741=AXIS2_PLACEMENT_3D('',#2738,#2739,#2740); +#2743=CARTESIAN_POINT('',(-1.535E1,-9.1E0,-1.01E1)); +#2744=DIRECTION('',(0.E0,1.E0,0.E0)); +#2745=DIRECTION('',(1.421085471520E-13,0.E0,1.E0)); +#2746=AXIS2_PLACEMENT_3D('',#2743,#2744,#2745); +#2748=CARTESIAN_POINT('',(-1.615E1,-9.1E0,-1.01E1)); +#2749=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2750=DIRECTION('',(-1.776356839400E-13,0.E0,1.E0)); +#2751=AXIS2_PLACEMENT_3D('',#2748,#2749,#2750); +#2753=DIRECTION('',(1.291895883200E-14,-1.E0,4.198661620400E-14)); +#2754=VECTOR('',#2753,1.1E0); +#2755=CARTESIAN_POINT('',(-1.625E1,-9.1E0,-1.01E1)); +#2756=LINE('',#2755,#2754); +#2757=CARTESIAN_POINT('',(-1.625E1,-1.02E1,-9.9E0)); +#2758=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2759=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2760=AXIS2_PLACEMENT_3D('',#2757,#2758,#2759); +#2762=DIRECTION('',(0.E0,-4.144832625267E-14,1.E0)); +#2763=VECTOR('',#2762,9.9E0); +#2764=CARTESIAN_POINT('',(-1.625E1,-9.099999999999E0,-2.E1)); +#2765=LINE('',#2764,#2763); +#2766=CARTESIAN_POINT('',(-1.615E1,-1.02E1,-1.01E1)); +#2767=DIRECTION('',(0.E0,-1.E0,-2.237099394620E-14)); +#2768=DIRECTION('',(0.E0,-1.776356839400E-14,1.E0)); +#2769=AXIS2_PLACEMENT_3D('',#2766,#2767,#2768); +#2771=CARTESIAN_POINT('',(-1.615E1,-1.02E1,-9.9E0)); +#2772=DIRECTION('',(1.E0,0.E0,0.E0)); +#2773=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2774=AXIS2_PLACEMENT_3D('',#2771,#2772,#2773); +#2776=CARTESIAN_POINT('',(-1.575E1,-9.1E0,-1.01E1)); +#2777=DIRECTION('',(0.E0,0.E0,1.E0)); +#2778=DIRECTION('',(1.E0,0.E0,0.E0)); +#2779=AXIS2_PLACEMENT_3D('',#2776,#2777,#2778); +#2781=DIRECTION('',(0.E0,1.421915873485E-9,1.E0)); +#2782=VECTOR('',#2781,9.9E0); +#2783=CARTESIAN_POINT('',(-1.525E1,-9.1E0,-2.E1)); +#2784=LINE('',#2783,#2782); +#2785=DIRECTION('',(0.E0,-1.E0,4.198661620400E-14)); +#2786=VECTOR('',#2785,1.1E0); +#2787=CARTESIAN_POINT('',(-1.275E1,-9.1E0,-1.01E1)); +#2788=LINE('',#2787,#2786); +#2789=CARTESIAN_POINT('',(-1.275E1,-1.02E1,-9.9E0)); +#2790=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2791=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2792=AXIS2_PLACEMENT_3D('',#2789,#2790,#2791); +#2794=DIRECTION('',(0.E0,-3.516827682045E-14,1.E0)); +#2795=VECTOR('',#2794,9.9E0); +#2796=CARTESIAN_POINT('',(-1.275E1,-9.1E0,-2.E1)); +#2797=LINE('',#2796,#2795); +#2798=CARTESIAN_POINT('',(-1.265E1,-9.1E0,-1.01E1)); +#2799=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2800=DIRECTION('',(0.E0,0.E0,1.E0)); +#2801=AXIS2_PLACEMENT_3D('',#2798,#2799,#2800); +#2803=CARTESIAN_POINT('',(-1.185E1,-9.1E0,-1.01E1)); +#2804=DIRECTION('',(0.E0,1.E0,0.E0)); +#2805=DIRECTION('',(1.776356839400E-14,0.E0,1.E0)); +#2806=AXIS2_PLACEMENT_3D('',#2803,#2804,#2805); +#2808=CARTESIAN_POINT('',(-1.225E1,-9.1E0,-1.01E1)); +#2809=DIRECTION('',(0.E0,0.E0,1.E0)); +#2810=DIRECTION('',(1.E0,0.E0,0.E0)); +#2811=AXIS2_PLACEMENT_3D('',#2808,#2809,#2810); +#2813=DIRECTION('',(0.E0,1.421910311156E-9,1.E0)); +#2814=VECTOR('',#2813,9.9E0); +#2815=CARTESIAN_POINT('',(-1.175E1,-9.1E0,-2.E1)); +#2816=LINE('',#2815,#2814); +#2817=DIRECTION('',(0.E0,1.E0,-5.006096483335E-14)); +#2818=VECTOR('',#2817,1.100000014077E0); +#2819=CARTESIAN_POINT('',(-1.175E1,-1.02E1,-1.01E1)); +#2820=LINE('',#2819,#2818); +#2821=CARTESIAN_POINT('',(-1.175E1,-1.02E1,-9.9E0)); +#2822=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2823=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2824=AXIS2_PLACEMENT_3D('',#2821,#2822,#2823); +#2826=CARTESIAN_POINT('',(-1.185E1,-1.02E1,-9.9E0)); +#2827=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2828=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2829=AXIS2_PLACEMENT_3D('',#2826,#2827,#2828); +#2831=CARTESIAN_POINT('',(-1.185E1,-1.02E1,-1.01E1)); +#2832=DIRECTION('',(0.E0,-1.E0,-1.548761119352E-14)); +#2833=DIRECTION('',(1.E0,0.E0,-1.776356839400E-14)); +#2834=AXIS2_PLACEMENT_3D('',#2831,#2832,#2833); +#2836=CARTESIAN_POINT('',(-1.265E1,-1.02E1,-1.01E1)); +#2837=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2838=DIRECTION('',(0.E0,0.E0,1.E0)); +#2839=AXIS2_PLACEMENT_3D('',#2836,#2837,#2838); +#2841=CARTESIAN_POINT('',(-1.265E1,-1.02E1,-9.9E0)); +#2842=DIRECTION('',(1.E0,0.E0,0.E0)); +#2843=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2844=AXIS2_PLACEMENT_3D('',#2841,#2842,#2843); +#2846=DIRECTION('',(0.E0,-1.E0,4.198661620400E-14)); +#2847=VECTOR('',#2846,1.1E0); +#2848=CARTESIAN_POINT('',(-9.25E0,-9.1E0,-1.01E1)); +#2849=LINE('',#2848,#2847); +#2850=CARTESIAN_POINT('',(-9.25E0,-1.02E1,-9.9E0)); +#2851=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2852=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2853=AXIS2_PLACEMENT_3D('',#2850,#2851,#2852); +#2855=DIRECTION('',(0.E0,-3.534770680423E-14,1.E0)); +#2856=VECTOR('',#2855,9.9E0); +#2857=CARTESIAN_POINT('',(-9.25E0,-9.1E0,-2.E1)); +#2858=LINE('',#2857,#2856); +#2859=CARTESIAN_POINT('',(-9.15E0,-9.1E0,-1.01E1)); +#2860=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2861=DIRECTION('',(0.E0,0.E0,1.E0)); +#2862=AXIS2_PLACEMENT_3D('',#2859,#2860,#2861); +#2864=CARTESIAN_POINT('',(-8.35E0,-9.1E0,-1.01E1)); +#2865=DIRECTION('',(0.E0,1.E0,0.E0)); +#2866=DIRECTION('',(0.E0,0.E0,1.E0)); +#2867=AXIS2_PLACEMENT_3D('',#2864,#2865,#2866); +#2869=CARTESIAN_POINT('',(-8.75E0,-9.1E0,-1.01E1)); +#2870=DIRECTION('',(0.E0,0.E0,1.E0)); +#2871=DIRECTION('',(1.E0,0.E0,0.E0)); +#2872=AXIS2_PLACEMENT_3D('',#2869,#2870,#2871); +#2874=DIRECTION('',(0.E0,1.421910311156E-9,1.E0)); +#2875=VECTOR('',#2874,9.9E0); +#2876=CARTESIAN_POINT('',(-8.25E0,-9.1E0,-2.E1)); +#2877=LINE('',#2876,#2875); +#2878=DIRECTION('',(0.E0,1.E0,-5.006096483335E-14)); +#2879=VECTOR('',#2878,1.100000014077E0); +#2880=CARTESIAN_POINT('',(-8.25E0,-1.02E1,-1.01E1)); +#2881=LINE('',#2880,#2879); +#2882=CARTESIAN_POINT('',(-8.25E0,-1.02E1,-9.9E0)); +#2883=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2884=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2885=AXIS2_PLACEMENT_3D('',#2882,#2883,#2884); +#2887=CARTESIAN_POINT('',(-8.35E0,-1.02E1,-9.9E0)); +#2888=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2889=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2890=AXIS2_PLACEMENT_3D('',#2887,#2888,#2889); +#2892=CARTESIAN_POINT('',(-8.35E0,-1.02E1,-1.01E1)); +#2893=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2894=DIRECTION('',(1.E0,0.E0,-1.776356839400E-14)); +#2895=AXIS2_PLACEMENT_3D('',#2892,#2893,#2894); +#2897=CARTESIAN_POINT('',(-9.15E0,-1.02E1,-1.01E1)); +#2898=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2899=DIRECTION('',(0.E0,0.E0,1.E0)); +#2900=AXIS2_PLACEMENT_3D('',#2897,#2898,#2899); +#2902=CARTESIAN_POINT('',(-9.15E0,-1.02E1,-9.9E0)); +#2903=DIRECTION('',(1.E0,0.E0,0.E0)); +#2904=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2905=AXIS2_PLACEMENT_3D('',#2902,#2903,#2904); +#2907=DIRECTION('',(0.E0,-1.E0,3.875687649600E-14)); +#2908=VECTOR('',#2907,1.1E0); +#2909=CARTESIAN_POINT('',(-5.75E0,-9.1E0,-1.01E1)); +#2910=LINE('',#2909,#2908); +#2911=CARTESIAN_POINT('',(-5.75E0,-1.02E1,-9.9E0)); +#2912=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2913=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2914=AXIS2_PLACEMENT_3D('',#2911,#2912,#2913); +#2916=DIRECTION('',(0.E0,-3.516827682045E-14,1.E0)); +#2917=VECTOR('',#2916,9.9E0); +#2918=CARTESIAN_POINT('',(-5.75E0,-9.1E0,-2.E1)); +#2919=LINE('',#2918,#2917); +#2920=CARTESIAN_POINT('',(-5.65E0,-9.1E0,-1.01E1)); +#2921=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2922=DIRECTION('',(0.E0,0.E0,1.E0)); +#2923=AXIS2_PLACEMENT_3D('',#2920,#2921,#2922); +#2925=CARTESIAN_POINT('',(-4.85E0,-9.1E0,-1.01E1)); +#2926=DIRECTION('',(0.E0,1.E0,0.E0)); +#2927=DIRECTION('',(0.E0,0.E0,1.E0)); +#2928=AXIS2_PLACEMENT_3D('',#2925,#2926,#2927); +#2930=CARTESIAN_POINT('',(-5.25E0,-9.1E0,-1.01E1)); +#2931=DIRECTION('',(0.E0,0.E0,1.E0)); +#2932=DIRECTION('',(1.E0,0.E0,0.E0)); +#2933=AXIS2_PLACEMENT_3D('',#2930,#2931,#2932); +#2935=DIRECTION('',(0.E0,1.421910311156E-9,1.E0)); +#2936=VECTOR('',#2935,9.9E0); +#2937=CARTESIAN_POINT('',(-4.75E0,-9.1E0,-2.E1)); +#2938=LINE('',#2937,#2936); +#2939=DIRECTION('',(0.E0,1.E0,-4.844609500001E-14)); +#2940=VECTOR('',#2939,1.100000014077E0); +#2941=CARTESIAN_POINT('',(-4.75E0,-1.02E1,-1.01E1)); +#2942=LINE('',#2941,#2940); +#2943=CARTESIAN_POINT('',(-4.75E0,-1.02E1,-9.9E0)); +#2944=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2945=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2946=AXIS2_PLACEMENT_3D('',#2943,#2944,#2945); +#2948=CARTESIAN_POINT('',(-4.85E0,-1.02E1,-9.9E0)); +#2949=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2950=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2951=AXIS2_PLACEMENT_3D('',#2948,#2949,#2950); +#2953=CARTESIAN_POINT('',(-4.85E0,-1.02E1,-1.01E1)); +#2954=DIRECTION('',(0.E0,-1.E0,-5.423439475294E-14)); +#2955=DIRECTION('',(1.E0,0.E0,-1.776356839400E-14)); +#2956=AXIS2_PLACEMENT_3D('',#2953,#2954,#2955); +#2958=CARTESIAN_POINT('',(-5.65E0,-1.02E1,-1.01E1)); +#2959=DIRECTION('',(0.E0,-1.E0,2.808864252302E-14)); +#2960=DIRECTION('',(-1.776356839400E-14,3.552713678801E-14,1.E0)); +#2961=AXIS2_PLACEMENT_3D('',#2958,#2959,#2960); +#2963=CARTESIAN_POINT('',(-5.65E0,-1.02E1,-9.9E0)); +#2964=DIRECTION('',(1.E0,0.E0,0.E0)); +#2965=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2966=AXIS2_PLACEMENT_3D('',#2963,#2964,#2965); +#2968=DIRECTION('',(0.E0,-1.E0,4.198661620400E-14)); +#2969=VECTOR('',#2968,1.1E0); +#2970=CARTESIAN_POINT('',(-2.25E0,-9.1E0,-1.01E1)); +#2971=LINE('',#2970,#2969); +#2972=CARTESIAN_POINT('',(-2.25E0,-1.02E1,-9.9E0)); +#2973=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2974=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2975=AXIS2_PLACEMENT_3D('',#2972,#2973,#2974); +#2977=DIRECTION('',(0.E0,-3.534770680423E-14,1.E0)); +#2978=VECTOR('',#2977,9.9E0); +#2979=CARTESIAN_POINT('',(-2.25E0,-9.1E0,-2.E1)); +#2980=LINE('',#2979,#2978); +#2981=CARTESIAN_POINT('',(-2.15E0,-9.1E0,-1.01E1)); +#2982=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2983=DIRECTION('',(0.E0,0.E0,1.E0)); +#2984=AXIS2_PLACEMENT_3D('',#2981,#2982,#2983); +#2986=CARTESIAN_POINT('',(-1.35E0,-9.1E0,-1.01E1)); +#2987=DIRECTION('',(0.E0,1.E0,0.E0)); +#2988=DIRECTION('',(0.E0,0.E0,1.E0)); +#2989=AXIS2_PLACEMENT_3D('',#2986,#2987,#2988); +#2991=CARTESIAN_POINT('',(-1.75E0,-9.1E0,-1.01E1)); +#2992=DIRECTION('',(0.E0,0.E0,1.E0)); +#2993=DIRECTION('',(1.E0,0.E0,0.E0)); +#2994=AXIS2_PLACEMENT_3D('',#2991,#2992,#2993); +#2996=DIRECTION('',(0.E0,1.421910311156E-9,1.E0)); +#2997=VECTOR('',#2996,9.9E0); +#2998=CARTESIAN_POINT('',(-1.25E0,-9.1E0,-2.E1)); +#2999=LINE('',#2998,#2997); +#3000=DIRECTION('',(0.E0,1.E0,-4.844609500001E-14)); +#3001=VECTOR('',#3000,1.100000014077E0); +#3002=CARTESIAN_POINT('',(-1.25E0,-1.02E1,-1.01E1)); +#3003=LINE('',#3002,#3001); +#3004=CARTESIAN_POINT('',(-1.25E0,-1.02E1,-9.9E0)); +#3005=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3006=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3007=AXIS2_PLACEMENT_3D('',#3004,#3005,#3006); +#3009=CARTESIAN_POINT('',(-1.35E0,-1.02E1,-9.9E0)); +#3010=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3011=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3012=AXIS2_PLACEMENT_3D('',#3009,#3010,#3011); +#3014=CARTESIAN_POINT('',(-1.35E0,-1.02E1,-1.01E1)); +#3015=DIRECTION('',(0.E0,-1.E0,2.031708135064E-14)); +#3016=DIRECTION('',(1.E0,0.E0,-1.776356839400E-14)); +#3017=AXIS2_PLACEMENT_3D('',#3014,#3015,#3016); +#3019=CARTESIAN_POINT('',(-2.15E0,-1.02E1,-1.01E1)); +#3020=DIRECTION('',(0.E0,-1.E0,-2.958744360626E-14)); +#3021=DIRECTION('',(1.332267629550E-14,-3.552713678801E-14,1.E0)); +#3022=AXIS2_PLACEMENT_3D('',#3019,#3020,#3021); +#3024=CARTESIAN_POINT('',(-2.15E0,-1.02E1,-9.9E0)); +#3025=DIRECTION('',(1.E0,0.E0,0.E0)); +#3026=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3027=AXIS2_PLACEMENT_3D('',#3024,#3025,#3026); +#3029=DIRECTION('',(0.E0,-1.E0,4.037174635E-14)); +#3030=VECTOR('',#3029,1.1E0); +#3031=CARTESIAN_POINT('',(1.25E0,-9.1E0,-1.01E1)); +#3032=LINE('',#3031,#3030); +#3033=CARTESIAN_POINT('',(1.25E0,-1.02E1,-9.9E0)); +#3034=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3035=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3036=AXIS2_PLACEMENT_3D('',#3033,#3034,#3035); +#3038=DIRECTION('',(0.E0,-3.516827682045E-14,1.E0)); +#3039=VECTOR('',#3038,9.9E0); +#3040=CARTESIAN_POINT('',(1.25E0,-9.1E0,-2.E1)); +#3041=LINE('',#3040,#3039); +#3042=CARTESIAN_POINT('',(1.35E0,-9.1E0,-1.01E1)); +#3043=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3044=DIRECTION('',(0.E0,0.E0,1.E0)); +#3045=AXIS2_PLACEMENT_3D('',#3042,#3043,#3044); +#3047=CARTESIAN_POINT('',(2.15E0,-9.1E0,-1.01E1)); +#3048=DIRECTION('',(0.E0,1.E0,0.E0)); +#3049=DIRECTION('',(0.E0,0.E0,1.E0)); +#3050=AXIS2_PLACEMENT_3D('',#3047,#3048,#3049); +#3052=CARTESIAN_POINT('',(1.75E0,-9.1E0,-1.01E1)); +#3053=DIRECTION('',(0.E0,0.E0,1.E0)); +#3054=DIRECTION('',(1.E0,0.E0,0.E0)); +#3055=AXIS2_PLACEMENT_3D('',#3052,#3053,#3054); +#3057=DIRECTION('',(0.E0,1.421910490586E-9,1.E0)); +#3058=VECTOR('',#3057,9.9E0); +#3059=CARTESIAN_POINT('',(2.25E0,-9.1E0,-2.E1)); +#3060=LINE('',#3059,#3058); +#3061=DIRECTION('',(0.E0,1.E0,-4.844609500001E-14)); +#3062=VECTOR('',#3061,1.100000014077E0); +#3063=CARTESIAN_POINT('',(2.25E0,-1.02E1,-1.01E1)); +#3064=LINE('',#3063,#3062); +#3065=CARTESIAN_POINT('',(2.25E0,-1.02E1,-9.9E0)); +#3066=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3067=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3068=AXIS2_PLACEMENT_3D('',#3065,#3066,#3067); +#3070=CARTESIAN_POINT('',(2.15E0,-1.02E1,-9.9E0)); +#3071=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3072=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3073=AXIS2_PLACEMENT_3D('',#3070,#3071,#3072); +#3075=CARTESIAN_POINT('',(2.15E0,-1.02E1,-1.01E1)); +#3076=DIRECTION('',(0.E0,-1.E0,-4.074518500374E-14)); +#3077=DIRECTION('',(1.E0,0.E0,-1.776356839400E-14)); +#3078=AXIS2_PLACEMENT_3D('',#3075,#3076,#3077); +#3080=CARTESIAN_POINT('',(1.35E0,-1.02E1,-1.01E1)); +#3081=DIRECTION('',(0.E0,-1.E0,1.487698852998E-14)); +#3082=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#3083=AXIS2_PLACEMENT_3D('',#3080,#3081,#3082); +#3085=CARTESIAN_POINT('',(1.35E0,-1.02E1,-9.9E0)); +#3086=DIRECTION('',(1.E0,0.E0,0.E0)); +#3087=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3088=AXIS2_PLACEMENT_3D('',#3085,#3086,#3087); +#3090=DIRECTION('',(0.E0,-1.E0,2.173424837902E-14)); +#3091=VECTOR('',#3090,2.125000000874E0); +#3092=CARTESIAN_POINT('',(4.575E0,-8.074999999126E0,-1.01E1)); +#3093=LINE('',#3092,#3091); +#3094=CARTESIAN_POINT('',(4.575E0,-1.02E1,-9.9E0)); +#3095=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3096=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3097=AXIS2_PLACEMENT_3D('',#3094,#3095,#3096); +#3099=DIRECTION('',(0.E0,8.821083033489E-11,1.E0)); +#3100=VECTOR('',#3099,9.9E0); +#3101=CARTESIAN_POINT('',(4.575E0,-8.075E0,-2.E1)); +#3102=LINE('',#3101,#3100); +#3103=CARTESIAN_POINT('',(4.675E0,-8.075E0,-1.01E1)); +#3104=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3105=DIRECTION('',(0.E0,0.E0,1.E0)); +#3106=AXIS2_PLACEMENT_3D('',#3103,#3104,#3105); +#3108=CARTESIAN_POINT('',(5.825E0,-8.075E0,-1.01E1)); +#3109=DIRECTION('',(0.E0,1.E0,0.E0)); +#3110=DIRECTION('',(0.E0,0.E0,1.E0)); +#3111=AXIS2_PLACEMENT_3D('',#3108,#3109,#3110); +#3113=CARTESIAN_POINT('',(5.25E0,-8.075E0,-1.01E1)); +#3114=DIRECTION('',(0.E0,0.E0,1.E0)); +#3115=DIRECTION('',(1.E0,0.E0,0.E0)); +#3116=AXIS2_PLACEMENT_3D('',#3113,#3114,#3115); +#3118=DIRECTION('',(0.E0,6.680278776839E-10,1.E0)); +#3119=VECTOR('',#3118,9.9E0); +#3120=CARTESIAN_POINT('',(5.925E0,-8.075E0,-2.E1)); +#3121=LINE('',#3120,#3119); +#3122=DIRECTION('',(0.E0,1.E0,-2.591391145883E-14)); +#3123=VECTOR('',#3122,2.125000006614E0); +#3124=CARTESIAN_POINT('',(5.925E0,-1.02E1,-1.01E1)); +#3125=LINE('',#3124,#3123); +#3126=CARTESIAN_POINT('',(5.925E0,-1.02E1,-9.9E0)); +#3127=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3128=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3129=AXIS2_PLACEMENT_3D('',#3126,#3127,#3128); +#3131=CARTESIAN_POINT('',(5.825E0,-1.02E1,-9.9E0)); +#3132=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3133=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3134=AXIS2_PLACEMENT_3D('',#3131,#3132,#3133); +#3136=CARTESIAN_POINT('',(5.825E0,-1.02E1,-1.01E1)); +#3137=DIRECTION('',(0.E0,-1.E0,-2.714495295209E-14)); +#3138=DIRECTION('',(1.E0,0.E0,-1.776356839400E-14)); +#3139=AXIS2_PLACEMENT_3D('',#3136,#3137,#3138); +#3141=CARTESIAN_POINT('',(4.675E0,-1.02E1,-1.01E1)); +#3142=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3143=DIRECTION('',(0.E0,0.E0,1.E0)); +#3144=AXIS2_PLACEMENT_3D('',#3141,#3142,#3143); +#3146=CARTESIAN_POINT('',(4.675E0,-1.02E1,-9.9E0)); +#3147=DIRECTION('',(1.E0,0.E0,0.E0)); +#3148=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3149=AXIS2_PLACEMENT_3D('',#3146,#3147,#3148); +#3151=DIRECTION('',(0.E0,-1.E0,4.198661620400E-14)); +#3152=VECTOR('',#3151,1.1E0); +#3153=CARTESIAN_POINT('',(8.25E0,-9.1E0,-1.01E1)); +#3154=LINE('',#3153,#3152); +#3155=CARTESIAN_POINT('',(8.25E0,-1.02E1,-9.9E0)); +#3156=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3157=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3158=AXIS2_PLACEMENT_3D('',#3155,#3156,#3157); +#3160=DIRECTION('',(0.E0,-3.516827682045E-14,1.E0)); +#3161=VECTOR('',#3160,9.9E0); +#3162=CARTESIAN_POINT('',(8.25E0,-9.1E0,-2.E1)); +#3163=LINE('',#3162,#3161); +#3164=CARTESIAN_POINT('',(8.35E0,-9.1E0,-1.01E1)); +#3165=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3166=DIRECTION('',(0.E0,0.E0,1.E0)); +#3167=AXIS2_PLACEMENT_3D('',#3164,#3165,#3166); +#3169=CARTESIAN_POINT('',(9.15E0,-9.1E0,-1.01E1)); +#3170=DIRECTION('',(0.E0,1.E0,0.E0)); +#3171=DIRECTION('',(0.E0,0.E0,1.E0)); +#3172=AXIS2_PLACEMENT_3D('',#3169,#3170,#3171); +#3174=CARTESIAN_POINT('',(8.75E0,-9.1E0,-1.01E1)); +#3175=DIRECTION('',(0.E0,0.E0,1.E0)); +#3176=DIRECTION('',(1.E0,0.E0,0.E0)); +#3177=AXIS2_PLACEMENT_3D('',#3174,#3175,#3176); +#3179=DIRECTION('',(0.E0,1.421910311156E-9,1.E0)); +#3180=VECTOR('',#3179,9.9E0); +#3181=CARTESIAN_POINT('',(9.25E0,-9.1E0,-2.E1)); +#3182=LINE('',#3181,#3180); +#3183=DIRECTION('',(0.E0,1.E0,-5.006096483335E-14)); +#3184=VECTOR('',#3183,1.100000014077E0); +#3185=CARTESIAN_POINT('',(9.25E0,-1.02E1,-1.01E1)); +#3186=LINE('',#3185,#3184); +#3187=CARTESIAN_POINT('',(9.25E0,-1.02E1,-9.9E0)); +#3188=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3189=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3190=AXIS2_PLACEMENT_3D('',#3187,#3188,#3189); +#3192=CARTESIAN_POINT('',(9.15E0,-1.02E1,-9.9E0)); +#3193=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3194=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3195=AXIS2_PLACEMENT_3D('',#3192,#3193,#3194); +#3197=CARTESIAN_POINT('',(9.15E0,-1.02E1,-1.01E1)); +#3198=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3199=DIRECTION('',(1.E0,0.E0,-1.776356839400E-14)); +#3200=AXIS2_PLACEMENT_3D('',#3197,#3198,#3199); +#3202=CARTESIAN_POINT('',(8.35E0,-1.02E1,-1.01E1)); +#3203=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3204=DIRECTION('',(0.E0,0.E0,1.E0)); +#3205=AXIS2_PLACEMENT_3D('',#3202,#3203,#3204); +#3207=CARTESIAN_POINT('',(8.35E0,-1.02E1,-9.9E0)); +#3208=DIRECTION('',(1.E0,0.E0,0.E0)); +#3209=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3210=AXIS2_PLACEMENT_3D('',#3207,#3208,#3209); +#3212=DIRECTION('',(0.E0,-1.E0,4.037174635E-14)); +#3213=VECTOR('',#3212,1.1E0); +#3214=CARTESIAN_POINT('',(1.175E1,-9.1E0,-1.01E1)); +#3215=LINE('',#3214,#3213); +#3216=CARTESIAN_POINT('',(1.175E1,-1.02E1,-9.9E0)); +#3217=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3218=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3219=AXIS2_PLACEMENT_3D('',#3216,#3217,#3218); +#3221=DIRECTION('',(0.E0,-3.534770680423E-14,1.E0)); +#3222=VECTOR('',#3221,9.9E0); +#3223=CARTESIAN_POINT('',(1.175E1,-9.1E0,-2.E1)); +#3224=LINE('',#3223,#3222); +#3225=CARTESIAN_POINT('',(1.185E1,-9.1E0,-1.01E1)); +#3226=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3227=DIRECTION('',(0.E0,0.E0,1.E0)); +#3228=AXIS2_PLACEMENT_3D('',#3225,#3226,#3227); +#3230=CARTESIAN_POINT('',(1.265E1,-9.1E0,-1.01E1)); +#3231=DIRECTION('',(0.E0,1.E0,0.E0)); +#3232=DIRECTION('',(0.E0,0.E0,1.E0)); +#3233=AXIS2_PLACEMENT_3D('',#3230,#3231,#3232); +#3235=CARTESIAN_POINT('',(1.225E1,-9.1E0,-1.01E1)); +#3236=DIRECTION('',(0.E0,0.E0,1.E0)); +#3237=DIRECTION('',(1.E0,0.E0,0.E0)); +#3238=AXIS2_PLACEMENT_3D('',#3235,#3236,#3237); +#3240=DIRECTION('',(0.E0,1.421910490586E-9,1.E0)); +#3241=VECTOR('',#3240,9.9E0); +#3242=CARTESIAN_POINT('',(1.275E1,-9.1E0,-2.E1)); +#3243=LINE('',#3242,#3241); +#3244=DIRECTION('',(0.E0,1.E0,-4.683122516668E-14)); +#3245=VECTOR('',#3244,1.100000014077E0); +#3246=CARTESIAN_POINT('',(1.275E1,-1.02E1,-1.01E1)); +#3247=LINE('',#3246,#3245); +#3248=CARTESIAN_POINT('',(1.275E1,-1.02E1,-9.9E0)); +#3249=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3250=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3251=AXIS2_PLACEMENT_3D('',#3248,#3249,#3250); +#3253=CARTESIAN_POINT('',(1.265E1,-1.02E1,-9.9E0)); +#3254=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3255=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3256=AXIS2_PLACEMENT_3D('',#3253,#3254,#3255); +#3258=CARTESIAN_POINT('',(1.265E1,-1.02E1,-1.01E1)); +#3259=DIRECTION('',(0.E0,-1.E0,4.657385588303E-14)); +#3260=DIRECTION('',(1.E0,0.E0,-1.776356839400E-14)); +#3261=AXIS2_PLACEMENT_3D('',#3258,#3259,#3260); +#3263=CARTESIAN_POINT('',(1.185E1,-1.02E1,-1.01E1)); +#3264=DIRECTION('',(0.E0,-1.E0,2.237099394620E-14)); +#3265=DIRECTION('',(-1.776356839400E-14,1.776356839400E-14,1.E0)); +#3266=AXIS2_PLACEMENT_3D('',#3263,#3264,#3265); +#3268=CARTESIAN_POINT('',(1.185E1,-1.02E1,-9.9E0)); +#3269=DIRECTION('',(1.E0,0.E0,0.E0)); +#3270=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3271=AXIS2_PLACEMENT_3D('',#3268,#3269,#3270); +#3273=DIRECTION('',(-1.291895883200E-14,-1.E0,4.360148605800E-14)); +#3274=VECTOR('',#3273,1.1E0); +#3275=CARTESIAN_POINT('',(1.525E1,-9.1E0,-1.01E1)); +#3276=LINE('',#3275,#3274); +#3277=CARTESIAN_POINT('',(1.525E1,-1.02E1,-9.9E0)); +#3278=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3279=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3280=AXIS2_PLACEMENT_3D('',#3277,#3278,#3279); +#3282=DIRECTION('',(0.E0,-2.996480729089E-14,1.E0)); +#3283=VECTOR('',#3282,9.9E0); +#3284=CARTESIAN_POINT('',(1.525E1,-9.1E0,-2.E1)); +#3285=LINE('',#3284,#3283); +#3286=CARTESIAN_POINT('',(1.535E1,-9.1E0,-1.01E1)); +#3287=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3288=DIRECTION('',(1.421085471520E-13,0.E0,1.E0)); +#3289=AXIS2_PLACEMENT_3D('',#3286,#3287,#3288); +#3291=CARTESIAN_POINT('',(1.615E1,-9.1E0,-1.01E1)); +#3292=DIRECTION('',(0.E0,1.E0,0.E0)); +#3293=DIRECTION('',(-1.776356839400E-13,0.E0,1.E0)); +#3294=AXIS2_PLACEMENT_3D('',#3291,#3292,#3293); +#3296=CARTESIAN_POINT('',(1.575E1,-9.1E0,-1.01E1)); +#3297=DIRECTION('',(0.E0,0.E0,1.E0)); +#3298=DIRECTION('',(1.E0,0.E0,0.E0)); +#3299=AXIS2_PLACEMENT_3D('',#3296,#3297,#3298); +#3301=DIRECTION('',(0.E0,1.421904389966E-9,1.E0)); +#3302=VECTOR('',#3301,9.9E0); +#3303=CARTESIAN_POINT('',(1.625E1,-9.099999999999E0,-2.E1)); +#3304=LINE('',#3303,#3302); +#3305=DIRECTION('',(1.291895866667E-14,1.E0,-4.844609500001E-14)); +#3306=VECTOR('',#3305,1.100000014077E0); +#3307=CARTESIAN_POINT('',(1.625E1,-1.02E1,-1.01E1)); +#3308=LINE('',#3307,#3306); +#3309=CARTESIAN_POINT('',(1.625E1,-1.02E1,-9.9E0)); +#3310=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3311=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3312=AXIS2_PLACEMENT_3D('',#3309,#3310,#3311); +#3314=CARTESIAN_POINT('',(1.615E1,-1.02E1,-9.9E0)); +#3315=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3316=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3317=AXIS2_PLACEMENT_3D('',#3314,#3315,#3316); +#3319=CARTESIAN_POINT('',(1.615E1,-1.02E1,-1.01E1)); +#3320=DIRECTION('',(0.E0,-1.E0,-3.103073353827E-14)); +#3321=DIRECTION('',(1.E0,0.E0,-1.776356839400E-14)); +#3322=AXIS2_PLACEMENT_3D('',#3319,#3320,#3321); +#3324=CARTESIAN_POINT('',(1.535E1,-1.02E1,-1.01E1)); +#3325=DIRECTION('',(0.E0,-1.E0,-1.698641227676E-14)); +#3326=DIRECTION('',(0.E0,-1.776356839400E-14,1.E0)); +#3327=AXIS2_PLACEMENT_3D('',#3324,#3325,#3326); +#3329=CARTESIAN_POINT('',(1.535E1,-1.02E1,-9.9E0)); +#3330=DIRECTION('',(1.E0,0.E0,0.E0)); +#3331=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3332=AXIS2_PLACEMENT_3D('',#3329,#3330,#3331); +#3334=DIRECTION('',(0.E0,-1.E0,4.198661620400E-14)); +#3335=VECTOR('',#3334,1.1E0); +#3336=CARTESIAN_POINT('',(1.875E1,-9.1E0,-1.01E1)); +#3337=LINE('',#3336,#3335); +#3338=CARTESIAN_POINT('',(1.875E1,-1.02E1,-9.9E0)); +#3339=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3340=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3341=AXIS2_PLACEMENT_3D('',#3338,#3339,#3340); +#3343=DIRECTION('',(0.E0,-3.588599675556E-14,1.E0)); +#3344=VECTOR('',#3343,9.9E0); +#3345=CARTESIAN_POINT('',(1.875E1,-9.1E0,-2.E1)); +#3346=LINE('',#3345,#3344); +#3347=CARTESIAN_POINT('',(1.885E1,-9.1E0,-1.01E1)); +#3348=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3349=DIRECTION('',(-3.552713678801E-14,0.E0,1.E0)); +#3350=AXIS2_PLACEMENT_3D('',#3347,#3348,#3349); +#3352=CARTESIAN_POINT('',(1.965E1,-9.1E0,-1.01E1)); +#3353=DIRECTION('',(0.E0,1.E0,0.E0)); +#3354=DIRECTION('',(-3.552713678801E-14,0.E0,1.E0)); +#3355=AXIS2_PLACEMENT_3D('',#3352,#3353,#3354); +#3357=CARTESIAN_POINT('',(1.925E1,-9.1E0,-1.01E1)); +#3358=DIRECTION('',(0.E0,0.E0,1.E0)); +#3359=DIRECTION('',(1.E0,0.E0,0.E0)); +#3360=AXIS2_PLACEMENT_3D('',#3357,#3358,#3359); +#3362=DIRECTION('',(0.E0,1.421909593436E-9,1.E0)); +#3363=VECTOR('',#3362,9.9E0); +#3364=CARTESIAN_POINT('',(1.975E1,-9.1E0,-2.E1)); +#3365=LINE('',#3364,#3363); +#3366=DIRECTION('',(0.E0,1.E0,-4.844609500001E-14)); +#3367=VECTOR('',#3366,1.100000014077E0); +#3368=CARTESIAN_POINT('',(1.975E1,-1.02E1,-1.01E1)); +#3369=LINE('',#3368,#3367); +#3370=CARTESIAN_POINT('',(1.975E1,-1.02E1,-9.9E0)); +#3371=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3372=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3373=AXIS2_PLACEMENT_3D('',#3370,#3371,#3372); +#3375=CARTESIAN_POINT('',(1.965E1,-1.02E1,-9.9E0)); +#3376=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3377=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3378=AXIS2_PLACEMENT_3D('',#3375,#3376,#3377); +#3380=CARTESIAN_POINT('',(1.965E1,-1.02E1,-1.01E1)); +#3381=DIRECTION('',(0.E0,-1.E0,-3.103073353827E-14)); +#3382=DIRECTION('',(1.E0,0.E0,-1.776356839400E-14)); +#3383=AXIS2_PLACEMENT_3D('',#3380,#3381,#3382); +#3385=CARTESIAN_POINT('',(1.885E1,-1.02E1,-1.01E1)); +#3386=DIRECTION('',(0.E0,-1.E0,-2.242650509743E-14)); +#3387=DIRECTION('',(0.E0,-1.776356839400E-14,1.E0)); +#3388=AXIS2_PLACEMENT_3D('',#3385,#3386,#3387); +#3390=CARTESIAN_POINT('',(1.885E1,-1.02E1,-9.9E0)); +#3391=DIRECTION('',(1.E0,0.E0,0.E0)); +#3392=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3393=AXIS2_PLACEMENT_3D('',#3390,#3391,#3392); +#3395=CARTESIAN_POINT('',(2.35E1,-5.6E0,-2.E0)); +#3396=DIRECTION('',(0.E0,0.E0,1.E0)); +#3397=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3398=AXIS2_PLACEMENT_3D('',#3395,#3396,#3397); +#3400=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3401=VECTOR('',#3400,1.8E1); +#3402=CARTESIAN_POINT('',(2.35E1,-1.03E1,-2.E0)); +#3403=LINE('',#3402,#3401); +#3404=DIRECTION('',(0.E0,-1.E0,4.198661620400E-14)); +#3405=VECTOR('',#3404,1.1E0); +#3406=CARTESIAN_POINT('',(-1.975E1,-9.1E0,-1.01E1)); +#3407=LINE('',#3406,#3405); +#3408=CARTESIAN_POINT('',(-1.975E1,-1.02E1,-9.9E0)); +#3409=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3410=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3411=AXIS2_PLACEMENT_3D('',#3408,#3409,#3410); +#3413=DIRECTION('',(0.E0,-3.588599675556E-14,1.E0)); +#3414=VECTOR('',#3413,9.9E0); +#3415=CARTESIAN_POINT('',(-1.975E1,-9.1E0,-2.E1)); +#3416=LINE('',#3415,#3414); +#3417=CARTESIAN_POINT('',(-1.965E1,-9.1E0,-1.01E1)); +#3418=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3419=DIRECTION('',(-3.552713678801E-14,0.E0,1.E0)); +#3420=AXIS2_PLACEMENT_3D('',#3417,#3418,#3419); +#3422=CARTESIAN_POINT('',(-1.885E1,-9.1E0,-1.01E1)); +#3423=DIRECTION('',(0.E0,1.E0,0.E0)); +#3424=DIRECTION('',(-3.552713678801E-14,0.E0,1.E0)); +#3425=AXIS2_PLACEMENT_3D('',#3422,#3423,#3424); +#3427=CARTESIAN_POINT('',(-1.925E1,-9.1E0,-1.01E1)); +#3428=DIRECTION('',(0.E0,0.E0,1.E0)); +#3429=DIRECTION('',(1.E0,0.E0,0.E0)); +#3430=AXIS2_PLACEMENT_3D('',#3427,#3428,#3429); +#3432=DIRECTION('',(0.E0,1.421909593436E-9,1.E0)); +#3433=VECTOR('',#3432,9.9E0); +#3434=CARTESIAN_POINT('',(-1.875E1,-9.1E0,-2.E1)); +#3435=LINE('',#3434,#3433); +#3436=DIRECTION('',(0.E0,1.E0,-4.844609500001E-14)); +#3437=VECTOR('',#3436,1.100000014077E0); +#3438=CARTESIAN_POINT('',(-1.875E1,-1.02E1,-1.01E1)); +#3439=LINE('',#3438,#3437); +#3440=CARTESIAN_POINT('',(-1.875E1,-1.02E1,-9.9E0)); +#3441=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3442=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3443=AXIS2_PLACEMENT_3D('',#3440,#3441,#3442); +#3445=CARTESIAN_POINT('',(-1.885E1,-1.02E1,-9.9E0)); +#3446=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3447=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3448=AXIS2_PLACEMENT_3D('',#3445,#3446,#3447); +#3450=CARTESIAN_POINT('',(-1.885E1,-1.02E1,-1.01E1)); +#3451=DIRECTION('',(0.E0,-1.E0,-3.103073353827E-14)); +#3452=DIRECTION('',(1.E0,0.E0,-1.776356839400E-14)); +#3453=AXIS2_PLACEMENT_3D('',#3450,#3451,#3452); +#3455=CARTESIAN_POINT('',(-1.965E1,-1.02E1,-1.01E1)); +#3456=DIRECTION('',(0.E0,-1.E0,-2.242650509743E-14)); +#3457=DIRECTION('',(0.E0,-1.776356839400E-14,1.E0)); +#3458=AXIS2_PLACEMENT_3D('',#3455,#3456,#3457); +#3460=CARTESIAN_POINT('',(-1.965E1,-1.02E1,-9.9E0)); +#3461=DIRECTION('',(1.E0,0.E0,0.E0)); +#3462=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3463=AXIS2_PLACEMENT_3D('',#3460,#3461,#3462); +#3465=CARTESIAN_POINT('',(2.7E1,5.1E0,-1.01E1)); +#3466=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3467=DIRECTION('',(0.E0,0.E0,1.E0)); +#3468=AXIS2_PLACEMENT_3D('',#3465,#3466,#3467); +#3470=CARTESIAN_POINT('',(2.7E1,4.3E0,-1.01E1)); +#3471=DIRECTION('',(1.E0,0.E0,0.E0)); +#3472=DIRECTION('',(0.E0,0.E0,1.E0)); +#3473=AXIS2_PLACEMENT_3D('',#3470,#3471,#3472); +#3475=DIRECTION('',(1.E0,0.E0,4.198661620402E-14)); +#3476=VECTOR('',#3475,1.1E0); +#3477=CARTESIAN_POINT('',(2.7E1,4.2E0,-1.01E1)); +#3478=LINE('',#3477,#3476); +#3479=CARTESIAN_POINT('',(2.81E1,4.2E0,-9.9E0)); +#3480=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3481=DIRECTION('',(1.776356839400E-14,0.E0,-1.E0)); +#3482=AXIS2_PLACEMENT_3D('',#3479,#3480,#3481); +#3484=DIRECTION('',(3.480941685289E-14,0.E0,1.E0)); +#3485=VECTOR('',#3484,9.9E0); +#3486=CARTESIAN_POINT('',(2.7E1,4.2E0,-2.E1)); +#3487=LINE('',#3486,#3485); +#3488=CARTESIAN_POINT('',(2.81E1,4.3E0,-1.01E1)); +#3489=DIRECTION('',(1.E0,0.E0,0.E0)); +#3490=DIRECTION('',(0.E0,-1.776356839400E-14,1.E0)); +#3491=AXIS2_PLACEMENT_3D('',#3488,#3489,#3490); +#3493=CARTESIAN_POINT('',(2.81E1,4.3E0,-9.9E0)); +#3494=DIRECTION('',(0.E0,1.E0,0.E0)); +#3495=DIRECTION('',(1.E0,0.E0,0.E0)); +#3496=AXIS2_PLACEMENT_3D('',#3493,#3494,#3495); +#3498=CARTESIAN_POINT('',(2.81E1,5.1E0,-9.9E0)); +#3499=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3500=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3501=AXIS2_PLACEMENT_3D('',#3498,#3499,#3500); +#3503=CARTESIAN_POINT('',(2.81E1,5.1E0,-1.01E1)); +#3504=DIRECTION('',(1.E0,0.E0,0.E0)); +#3505=DIRECTION('',(0.E0,1.E0,-1.776356839400E-14)); +#3506=AXIS2_PLACEMENT_3D('',#3503,#3504,#3505); +#3508=DIRECTION('',(-1.E0,0.E0,-4.844609500003E-14)); +#3509=VECTOR('',#3508,1.100000014077E0); +#3510=CARTESIAN_POINT('',(2.81E1,5.2E0,-1.01E1)); +#3511=LINE('',#3510,#3509); +#3512=CARTESIAN_POINT('',(2.81E1,5.2E0,-9.9E0)); +#3513=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3514=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3515=AXIS2_PLACEMENT_3D('',#3512,#3513,#3514); +#3517=CARTESIAN_POINT('',(2.7E1,4.7E0,-1.01E1)); +#3518=DIRECTION('',(0.E0,0.E0,1.E0)); +#3519=DIRECTION('',(0.E0,1.E0,0.E0)); +#3520=AXIS2_PLACEMENT_3D('',#3517,#3518,#3519); +#3522=DIRECTION('',(-1.421910131726E-9,0.E0,1.E0)); +#3523=VECTOR('',#3522,9.9E0); +#3524=CARTESIAN_POINT('',(2.7E1,5.2E0,-2.E1)); +#3525=LINE('',#3524,#3523); +#3526=CARTESIAN_POINT('',(2.82E1,-4.3E0,-1.01E1)); +#3527=DIRECTION('',(1.E0,0.E0,0.E0)); +#3528=DIRECTION('',(0.E0,1.E0,0.E0)); +#3529=AXIS2_PLACEMENT_3D('',#3526,#3527,#3528); +#3531=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3532=VECTOR('',#3531,1.200000014077E0); +#3533=CARTESIAN_POINT('',(2.82E1,-4.2E0,-1.01E1)); +#3534=LINE('',#3533,#3532); +#3535=CARTESIAN_POINT('',(2.7E1,-4.7E0,-1.01E1)); +#3536=DIRECTION('',(0.E0,0.E0,1.E0)); +#3537=DIRECTION('',(0.E0,1.E0,0.E0)); +#3538=AXIS2_PLACEMENT_3D('',#3535,#3536,#3537); +#3540=DIRECTION('',(-1.421910131726E-9,0.E0,1.E0)); +#3541=VECTOR('',#3540,9.9E0); +#3542=CARTESIAN_POINT('',(2.7E1,-4.2E0,-2.E1)); +#3543=LINE('',#3542,#3541); +#3544=DIRECTION('',(0.E0,-1.E0,-4.844609500001E-14)); +#3545=VECTOR('',#3544,1.100000014077E0); +#3546=CARTESIAN_POINT('',(-1.975E1,1.02E1,-1.01E1)); +#3547=LINE('',#3546,#3545); +#3548=CARTESIAN_POINT('',(-1.975E1,1.02E1,-9.9E0)); +#3549=DIRECTION('',(1.E0,0.E0,0.E0)); +#3550=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3551=AXIS2_PLACEMENT_3D('',#3548,#3549,#3550); +#3553=CARTESIAN_POINT('',(-1.965E1,9.1E0,-1.01E1)); +#3554=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3555=DIRECTION('',(3.552713678801E-14,0.E0,1.E0)); +#3556=AXIS2_PLACEMENT_3D('',#3553,#3554,#3555); +#3558=CARTESIAN_POINT('',(-1.885E1,9.1E0,-1.01E1)); +#3559=DIRECTION('',(0.E0,1.E0,0.E0)); +#3560=DIRECTION('',(3.552713678801E-14,0.E0,1.E0)); +#3561=AXIS2_PLACEMENT_3D('',#3558,#3559,#3560); +#3563=DIRECTION('',(0.E0,1.E0,4.198661620400E-14)); +#3564=VECTOR('',#3563,1.1E0); +#3565=CARTESIAN_POINT('',(-1.875E1,9.1E0,-1.01E1)); +#3566=LINE('',#3565,#3564); +#3567=CARTESIAN_POINT('',(-1.875E1,1.02E1,-9.9E0)); +#3568=DIRECTION('',(1.E0,0.E0,0.E0)); +#3569=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3570=AXIS2_PLACEMENT_3D('',#3567,#3568,#3569); +#3572=DIRECTION('',(0.E0,3.588599675556E-14,1.E0)); +#3573=VECTOR('',#3572,9.9E0); +#3574=CARTESIAN_POINT('',(-1.875E1,9.1E0,-2.E1)); +#3575=LINE('',#3574,#3573); +#3576=CARTESIAN_POINT('',(-1.885E1,1.02E1,-1.01E1)); +#3577=DIRECTION('',(0.E0,1.E0,-2.253752739989E-14)); +#3578=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#3579=AXIS2_PLACEMENT_3D('',#3576,#3577,#3578); +#3581=CARTESIAN_POINT('',(-1.885E1,1.02E1,-9.9E0)); +#3582=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3583=DIRECTION('',(0.E0,1.E0,0.E0)); +#3584=AXIS2_PLACEMENT_3D('',#3581,#3582,#3583); +#3586=CARTESIAN_POINT('',(-1.965E1,1.02E1,-9.9E0)); +#3587=DIRECTION('',(1.E0,0.E0,0.E0)); +#3588=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3589=AXIS2_PLACEMENT_3D('',#3586,#3587,#3588); +#3591=CARTESIAN_POINT('',(-1.965E1,1.02E1,-1.01E1)); +#3592=DIRECTION('',(0.E0,1.E0,-3.103073353827E-14)); +#3593=DIRECTION('',(-1.E0,0.E0,-1.776356839400E-14)); +#3594=AXIS2_PLACEMENT_3D('',#3591,#3592,#3593); +#3596=CARTESIAN_POINT('',(-1.925E1,9.1E0,-1.01E1)); +#3597=DIRECTION('',(0.E0,0.E0,1.E0)); +#3598=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3599=AXIS2_PLACEMENT_3D('',#3596,#3597,#3598); +#3601=DIRECTION('',(0.E0,-1.421909772866E-9,1.E0)); +#3602=VECTOR('',#3601,9.9E0); +#3603=CARTESIAN_POINT('',(-1.975E1,9.1E0,-2.E1)); +#3604=LINE('',#3603,#3602); +#3605=DIRECTION('',(-1.291895866667E-14,-1.E0,-5.006096483335E-14)); +#3606=VECTOR('',#3605,1.100000014077E0); +#3607=CARTESIAN_POINT('',(-1.625E1,1.02E1,-1.01E1)); +#3608=LINE('',#3607,#3606); +#3609=CARTESIAN_POINT('',(-1.625E1,1.02E1,-9.9E0)); +#3610=DIRECTION('',(1.E0,0.E0,0.E0)); +#3611=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3612=AXIS2_PLACEMENT_3D('',#3609,#3610,#3611); +#3614=CARTESIAN_POINT('',(-1.615E1,9.1E0,-1.01E1)); +#3615=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3616=DIRECTION('',(1.776356839400E-13,0.E0,1.E0)); +#3617=AXIS2_PLACEMENT_3D('',#3614,#3615,#3616); +#3619=CARTESIAN_POINT('',(-1.535E1,9.1E0,-1.01E1)); +#3620=DIRECTION('',(0.E0,1.E0,0.E0)); +#3621=DIRECTION('',(-1.421085471520E-13,0.E0,1.E0)); +#3622=AXIS2_PLACEMENT_3D('',#3619,#3620,#3621); +#3624=DIRECTION('',(1.291895883200E-14,1.E0,4.360148605800E-14)); +#3625=VECTOR('',#3624,1.1E0); +#3626=CARTESIAN_POINT('',(-1.525E1,9.1E0,-1.01E1)); +#3627=LINE('',#3626,#3625); +#3628=CARTESIAN_POINT('',(-1.525E1,1.02E1,-9.9E0)); +#3629=DIRECTION('',(1.E0,0.E0,0.E0)); +#3630=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3631=AXIS2_PLACEMENT_3D('',#3628,#3629,#3630); +#3633=DIRECTION('',(0.E0,2.996480729089E-14,1.E0)); +#3634=VECTOR('',#3633,9.9E0); +#3635=CARTESIAN_POINT('',(-1.525E1,9.1E0,-2.E1)); +#3636=LINE('',#3635,#3634); +#3637=CARTESIAN_POINT('',(-1.535E1,1.02E1,-1.01E1)); +#3638=DIRECTION('',(0.E0,1.E0,-1.698641227676E-14)); +#3639=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#3640=AXIS2_PLACEMENT_3D('',#3637,#3638,#3639); +#3642=CARTESIAN_POINT('',(-1.535E1,1.02E1,-9.9E0)); +#3643=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3644=DIRECTION('',(0.E0,1.E0,0.E0)); +#3645=AXIS2_PLACEMENT_3D('',#3642,#3643,#3644); +#3647=CARTESIAN_POINT('',(-1.615E1,1.02E1,-9.9E0)); +#3648=DIRECTION('',(1.E0,0.E0,0.E0)); +#3649=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3650=AXIS2_PLACEMENT_3D('',#3647,#3648,#3649); +#3652=CARTESIAN_POINT('',(-1.615E1,1.02E1,-1.01E1)); +#3653=DIRECTION('',(0.E0,1.E0,-3.103073353827E-14)); +#3654=DIRECTION('',(-1.E0,0.E0,-1.776356839400E-14)); +#3655=AXIS2_PLACEMENT_3D('',#3652,#3653,#3654); +#3657=CARTESIAN_POINT('',(-1.575E1,9.1E0,-1.01E1)); +#3658=DIRECTION('',(0.E0,0.E0,1.E0)); +#3659=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3660=AXIS2_PLACEMENT_3D('',#3657,#3658,#3659); +#3662=DIRECTION('',(0.E0,-1.421904389966E-9,1.E0)); +#3663=VECTOR('',#3662,9.9E0); +#3664=CARTESIAN_POINT('',(-1.625E1,9.099999999999E0,-2.E1)); +#3665=LINE('',#3664,#3663); +#3666=DIRECTION('',(0.E0,-1.E0,-4.683122516668E-14)); +#3667=VECTOR('',#3666,1.100000014077E0); +#3668=CARTESIAN_POINT('',(-1.275E1,1.02E1,-1.01E1)); +#3669=LINE('',#3668,#3667); +#3670=CARTESIAN_POINT('',(-1.275E1,1.02E1,-9.9E0)); +#3671=DIRECTION('',(1.E0,0.E0,0.E0)); +#3672=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3673=AXIS2_PLACEMENT_3D('',#3670,#3671,#3672); +#3675=CARTESIAN_POINT('',(-1.265E1,9.1E0,-1.01E1)); +#3676=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3677=DIRECTION('',(0.E0,0.E0,1.E0)); +#3678=AXIS2_PLACEMENT_3D('',#3675,#3676,#3677); +#3680=CARTESIAN_POINT('',(-1.185E1,9.1E0,-1.01E1)); +#3681=DIRECTION('',(0.E0,1.E0,0.E0)); +#3682=DIRECTION('',(0.E0,0.E0,1.E0)); +#3683=AXIS2_PLACEMENT_3D('',#3680,#3681,#3682); +#3685=DIRECTION('',(0.E0,1.E0,4.037174635E-14)); +#3686=VECTOR('',#3685,1.1E0); +#3687=CARTESIAN_POINT('',(-1.175E1,9.1E0,-1.01E1)); +#3688=LINE('',#3687,#3686); +#3689=CARTESIAN_POINT('',(-1.175E1,1.02E1,-9.9E0)); +#3690=DIRECTION('',(1.E0,0.E0,0.E0)); +#3691=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3692=AXIS2_PLACEMENT_3D('',#3689,#3690,#3691); +#3694=DIRECTION('',(0.E0,3.534770680423E-14,1.E0)); +#3695=VECTOR('',#3694,9.9E0); +#3696=CARTESIAN_POINT('',(-1.175E1,9.1E0,-2.E1)); +#3697=LINE('',#3696,#3695); +#3698=CARTESIAN_POINT('',(-1.185E1,1.02E1,-1.01E1)); +#3699=DIRECTION('',(0.E0,1.E0,2.237099394620E-14)); +#3700=DIRECTION('',(1.776356839400E-14,-1.776356839400E-14,1.E0)); +#3701=AXIS2_PLACEMENT_3D('',#3698,#3699,#3700); +#3703=CARTESIAN_POINT('',(-1.185E1,1.02E1,-9.9E0)); +#3704=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3705=DIRECTION('',(0.E0,1.E0,0.E0)); +#3706=AXIS2_PLACEMENT_3D('',#3703,#3704,#3705); +#3708=CARTESIAN_POINT('',(-1.265E1,1.02E1,-9.9E0)); +#3709=DIRECTION('',(1.E0,0.E0,0.E0)); +#3710=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3711=AXIS2_PLACEMENT_3D('',#3708,#3709,#3710); +#3713=CARTESIAN_POINT('',(-1.265E1,1.02E1,-1.01E1)); +#3714=DIRECTION('',(0.E0,1.E0,4.657385588303E-14)); +#3715=DIRECTION('',(-1.E0,0.E0,-1.776356839400E-14)); +#3716=AXIS2_PLACEMENT_3D('',#3713,#3714,#3715); +#3718=CARTESIAN_POINT('',(-1.225E1,9.1E0,-1.01E1)); +#3719=DIRECTION('',(0.E0,0.E0,1.E0)); +#3720=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3721=AXIS2_PLACEMENT_3D('',#3718,#3719,#3720); +#3723=DIRECTION('',(0.E0,-1.421910311156E-9,1.E0)); +#3724=VECTOR('',#3723,9.9E0); +#3725=CARTESIAN_POINT('',(-1.275E1,9.1E0,-2.E1)); +#3726=LINE('',#3725,#3724); +#3727=DIRECTION('',(0.E0,-1.E0,-4.844609500001E-14)); +#3728=VECTOR('',#3727,1.100000014077E0); +#3729=CARTESIAN_POINT('',(-9.25E0,1.02E1,-1.01E1)); +#3730=LINE('',#3729,#3728); +#3731=CARTESIAN_POINT('',(-9.25E0,1.02E1,-9.9E0)); +#3732=DIRECTION('',(1.E0,0.E0,0.E0)); +#3733=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3734=AXIS2_PLACEMENT_3D('',#3731,#3732,#3733); +#3736=CARTESIAN_POINT('',(-9.15E0,9.1E0,-1.01E1)); +#3737=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3738=DIRECTION('',(-1.776356839400E-14,0.E0,1.E0)); +#3739=AXIS2_PLACEMENT_3D('',#3736,#3737,#3738); +#3741=CARTESIAN_POINT('',(-8.35E0,9.1E0,-1.01E1)); +#3742=DIRECTION('',(0.E0,1.E0,0.E0)); +#3743=DIRECTION('',(0.E0,0.E0,1.E0)); +#3744=AXIS2_PLACEMENT_3D('',#3741,#3742,#3743); +#3746=DIRECTION('',(0.E0,1.E0,4.198661620400E-14)); +#3747=VECTOR('',#3746,1.1E0); +#3748=CARTESIAN_POINT('',(-8.25E0,9.1E0,-1.01E1)); +#3749=LINE('',#3748,#3747); +#3750=CARTESIAN_POINT('',(-8.25E0,1.02E1,-9.9E0)); +#3751=DIRECTION('',(1.E0,0.E0,0.E0)); +#3752=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3753=AXIS2_PLACEMENT_3D('',#3750,#3751,#3752); +#3755=DIRECTION('',(0.E0,3.534770680423E-14,1.E0)); +#3756=VECTOR('',#3755,9.9E0); +#3757=CARTESIAN_POINT('',(-8.25E0,9.1E0,-2.E1)); +#3758=LINE('',#3757,#3756); +#3759=CARTESIAN_POINT('',(-8.35E0,1.02E1,-1.01E1)); +#3760=DIRECTION('',(0.E0,1.E0,0.E0)); +#3761=DIRECTION('',(0.E0,0.E0,1.E0)); +#3762=AXIS2_PLACEMENT_3D('',#3759,#3760,#3761); +#3764=CARTESIAN_POINT('',(-8.35E0,1.02E1,-9.9E0)); +#3765=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3766=DIRECTION('',(0.E0,1.E0,0.E0)); +#3767=AXIS2_PLACEMENT_3D('',#3764,#3765,#3766); +#3769=CARTESIAN_POINT('',(-9.15E0,1.02E1,-9.9E0)); +#3770=DIRECTION('',(1.E0,0.E0,0.E0)); +#3771=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3772=AXIS2_PLACEMENT_3D('',#3769,#3770,#3771); +#3774=CARTESIAN_POINT('',(-9.15E0,1.02E1,-1.01E1)); +#3775=DIRECTION('',(0.E0,1.E0,0.E0)); +#3776=DIRECTION('',(-1.E0,0.E0,-1.776356839400E-14)); +#3777=AXIS2_PLACEMENT_3D('',#3774,#3775,#3776); +#3779=CARTESIAN_POINT('',(-8.75E0,9.1E0,-1.01E1)); +#3780=DIRECTION('',(0.E0,0.E0,1.E0)); +#3781=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3782=AXIS2_PLACEMENT_3D('',#3779,#3780,#3781); +#3784=DIRECTION('',(0.E0,-1.421910490586E-9,1.E0)); +#3785=VECTOR('',#3784,9.9E0); +#3786=CARTESIAN_POINT('',(-9.25E0,9.1E0,-2.E1)); +#3787=LINE('',#3786,#3785); +#3788=DIRECTION('',(0.E0,-1.E0,-4.844609500001E-14)); +#3789=VECTOR('',#3788,1.100000014077E0); +#3790=CARTESIAN_POINT('',(-5.75E0,1.02E1,-1.01E1)); +#3791=LINE('',#3790,#3789); +#3792=CARTESIAN_POINT('',(-5.75E0,1.02E1,-9.9E0)); +#3793=DIRECTION('',(1.E0,0.E0,0.E0)); +#3794=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3795=AXIS2_PLACEMENT_3D('',#3792,#3793,#3794); +#3797=CARTESIAN_POINT('',(-5.65E0,9.1E0,-1.01E1)); +#3798=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3799=DIRECTION('',(0.E0,0.E0,1.E0)); +#3800=AXIS2_PLACEMENT_3D('',#3797,#3798,#3799); +#3802=CARTESIAN_POINT('',(-4.85E0,9.1E0,-1.01E1)); +#3803=DIRECTION('',(0.E0,1.E0,0.E0)); +#3804=DIRECTION('',(0.E0,0.E0,1.E0)); +#3805=AXIS2_PLACEMENT_3D('',#3802,#3803,#3804); +#3807=DIRECTION('',(0.E0,1.E0,3.875687649600E-14)); +#3808=VECTOR('',#3807,1.1E0); +#3809=CARTESIAN_POINT('',(-4.75E0,9.1E0,-1.01E1)); +#3810=LINE('',#3809,#3808); +#3811=CARTESIAN_POINT('',(-4.75E0,1.02E1,-9.9E0)); +#3812=DIRECTION('',(1.E0,0.E0,0.E0)); +#3813=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3814=AXIS2_PLACEMENT_3D('',#3811,#3812,#3813); +#3816=DIRECTION('',(0.E0,3.534770680423E-14,1.E0)); +#3817=VECTOR('',#3816,9.9E0); +#3818=CARTESIAN_POINT('',(-4.75E0,9.1E0,-2.E1)); +#3819=LINE('',#3818,#3817); +#3820=CARTESIAN_POINT('',(-4.85E0,1.02E1,-1.01E1)); +#3821=DIRECTION('',(0.E0,1.E0,3.658184866140E-14)); +#3822=DIRECTION('',(1.776356839400E-14,-3.552713678801E-14,1.E0)); +#3823=AXIS2_PLACEMENT_3D('',#3820,#3821,#3822); +#3825=CARTESIAN_POINT('',(-4.85E0,1.02E1,-9.9E0)); +#3826=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3827=DIRECTION('',(0.E0,1.E0,0.E0)); +#3828=AXIS2_PLACEMENT_3D('',#3825,#3826,#3827); +#3830=CARTESIAN_POINT('',(-5.65E0,1.02E1,-9.9E0)); +#3831=DIRECTION('',(1.E0,0.E0,0.E0)); +#3832=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3833=AXIS2_PLACEMENT_3D('',#3830,#3831,#3832); +#3835=CARTESIAN_POINT('',(-5.65E0,1.02E1,-1.01E1)); +#3836=DIRECTION('',(0.E0,1.E0,2.725597525455E-14)); +#3837=DIRECTION('',(-1.E0,0.E0,-1.776356839400E-14)); +#3838=AXIS2_PLACEMENT_3D('',#3835,#3836,#3837); +#3840=CARTESIAN_POINT('',(-5.25E0,9.1E0,-1.01E1)); +#3841=DIRECTION('',(0.E0,0.E0,1.E0)); +#3842=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3843=AXIS2_PLACEMENT_3D('',#3840,#3841,#3842); +#3845=DIRECTION('',(0.E0,-1.421910311156E-9,1.E0)); +#3846=VECTOR('',#3845,9.9E0); +#3847=CARTESIAN_POINT('',(-5.75E0,9.1E0,-2.E1)); +#3848=LINE('',#3847,#3846); +#3849=DIRECTION('',(0.E0,-1.E0,-2.424204620342E-14)); +#3850=VECTOR('',#3849,2.125000006614E0); +#3851=CARTESIAN_POINT('',(-2.425E0,1.02E1,-1.01E1)); +#3852=LINE('',#3851,#3850); +#3853=CARTESIAN_POINT('',(-2.425E0,1.02E1,-9.9E0)); +#3854=DIRECTION('',(1.E0,0.E0,0.E0)); +#3855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3856=AXIS2_PLACEMENT_3D('',#3853,#3854,#3855); +#3858=CARTESIAN_POINT('',(-2.325E0,8.075E0,-1.01E1)); +#3859=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3860=DIRECTION('',(0.E0,0.E0,1.E0)); +#3861=AXIS2_PLACEMENT_3D('',#3858,#3859,#3860); +#3863=CARTESIAN_POINT('',(-1.175E0,8.075E0,-1.01E1)); +#3864=DIRECTION('',(0.E0,1.E0,0.E0)); +#3865=DIRECTION('',(0.E0,0.E0,1.E0)); +#3866=AXIS2_PLACEMENT_3D('',#3863,#3864,#3865); +#3868=DIRECTION('',(0.E0,1.E0,2.089831574906E-14)); +#3869=VECTOR('',#3868,2.125000000874E0); +#3870=CARTESIAN_POINT('',(-1.075E0,8.074999999126E0,-1.01E1)); +#3871=LINE('',#3870,#3869); +#3872=CARTESIAN_POINT('',(-1.075E0,1.02E1,-9.9E0)); +#3873=DIRECTION('',(1.E0,0.E0,0.E0)); +#3874=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3875=AXIS2_PLACEMENT_3D('',#3872,#3873,#3874); +#3877=DIRECTION('',(0.E0,-8.821083033489E-11,1.E0)); +#3878=VECTOR('',#3877,9.9E0); +#3879=CARTESIAN_POINT('',(-1.075E0,8.075E0,-2.E1)); +#3880=LINE('',#3879,#3878); +#3881=CARTESIAN_POINT('',(-1.175E0,1.02E1,-1.01E1)); +#3882=DIRECTION('',(0.E0,1.E0,2.892130979149E-14)); +#3883=DIRECTION('',(1.554312234475E-14,-3.552713678801E-14,1.E0)); +#3884=AXIS2_PLACEMENT_3D('',#3881,#3882,#3883); +#3886=CARTESIAN_POINT('',(-1.175E0,1.02E1,-9.9E0)); +#3887=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3888=DIRECTION('',(0.E0,1.E0,0.E0)); +#3889=AXIS2_PLACEMENT_3D('',#3886,#3887,#3888); +#3891=CARTESIAN_POINT('',(-2.325E0,1.02E1,-9.9E0)); +#3892=DIRECTION('',(1.E0,0.E0,0.E0)); +#3893=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3894=AXIS2_PLACEMENT_3D('',#3891,#3892,#3893); +#3896=CARTESIAN_POINT('',(-2.325E0,1.02E1,-1.01E1)); +#3897=DIRECTION('',(0.E0,1.E0,5.623279619726E-14)); +#3898=DIRECTION('',(-1.E0,0.E0,-1.776356839400E-14)); +#3899=AXIS2_PLACEMENT_3D('',#3896,#3897,#3898); +#3901=CARTESIAN_POINT('',(-1.75E0,8.075E0,-1.01E1)); +#3902=DIRECTION('',(0.E0,0.E0,1.E0)); +#3903=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3904=AXIS2_PLACEMENT_3D('',#3901,#3902,#3903); +#3906=DIRECTION('',(0.E0,-6.680278776839E-10,1.E0)); +#3907=VECTOR('',#3906,9.9E0); +#3908=CARTESIAN_POINT('',(-2.425E0,8.075E0,-2.E1)); +#3909=LINE('',#3908,#3907); +#3910=DIRECTION('',(0.E0,0.E0,1.E0)); +#3911=VECTOR('',#3910,7.55E0); +#3912=CARTESIAN_POINT('',(-2.135E1,4.E0,-2.E1)); +#3913=LINE('',#3912,#3911); +#3914=DIRECTION('',(0.E0,0.E0,1.E0)); +#3915=VECTOR('',#3914,7.55E0); +#3916=CARTESIAN_POINT('',(-2.265E1,4.E0,-2.E1)); +#3917=LINE('',#3916,#3915); +#3918=CARTESIAN_POINT('',(-2.2E1,4.E0,-1.245E1)); +#3919=DIRECTION('',(0.E0,0.E0,1.E0)); +#3920=DIRECTION('',(1.E0,0.E0,0.E0)); +#3921=AXIS2_PLACEMENT_3D('',#3918,#3919,#3920); +#3923=CARTESIAN_POINT('',(-2.2E1,4.E0,-1.245E1)); +#3924=DIRECTION('',(0.E0,0.E0,1.E0)); +#3925=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3926=AXIS2_PLACEMENT_3D('',#3923,#3924,#3925); +#3928=CARTESIAN_POINT('',(-2.E1,0.E0,-1.245E1)); +#3929=DIRECTION('',(0.E0,0.E0,1.E0)); +#3930=DIRECTION('',(1.E0,0.E0,0.E0)); +#3931=AXIS2_PLACEMENT_3D('',#3928,#3929,#3930); +#3933=CARTESIAN_POINT('',(-2.E1,0.E0,-1.245E1)); +#3934=DIRECTION('',(0.E0,0.E0,1.E0)); +#3935=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3936=AXIS2_PLACEMENT_3D('',#3933,#3934,#3935); +#3938=CARTESIAN_POINT('',(-2.2E1,-4.E0,-1.245E1)); +#3939=DIRECTION('',(0.E0,0.E0,1.E0)); +#3940=DIRECTION('',(1.E0,0.E0,0.E0)); +#3941=AXIS2_PLACEMENT_3D('',#3938,#3939,#3940); +#3943=CARTESIAN_POINT('',(-2.2E1,-4.E0,-1.245E1)); +#3944=DIRECTION('',(0.E0,0.E0,1.E0)); +#3945=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3946=AXIS2_PLACEMENT_3D('',#3943,#3944,#3945); +#3948=CARTESIAN_POINT('',(-1.4E1,-4.E0,-1.245E1)); +#3949=DIRECTION('',(0.E0,0.E0,1.E0)); +#3950=DIRECTION('',(1.E0,0.E0,0.E0)); +#3951=AXIS2_PLACEMENT_3D('',#3948,#3949,#3950); +#3953=CARTESIAN_POINT('',(-1.4E1,-4.E0,-1.245E1)); +#3954=DIRECTION('',(0.E0,0.E0,1.E0)); +#3955=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3956=AXIS2_PLACEMENT_3D('',#3953,#3954,#3955); +#3958=CARTESIAN_POINT('',(-1.6E1,0.E0,-1.245E1)); +#3959=DIRECTION('',(0.E0,0.E0,1.E0)); +#3960=DIRECTION('',(1.E0,0.E0,0.E0)); +#3961=AXIS2_PLACEMENT_3D('',#3958,#3959,#3960); +#3963=CARTESIAN_POINT('',(-1.6E1,0.E0,-1.245E1)); +#3964=DIRECTION('',(0.E0,0.E0,1.E0)); +#3965=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3966=AXIS2_PLACEMENT_3D('',#3963,#3964,#3965); +#3968=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.245E1)); +#3969=DIRECTION('',(0.E0,0.E0,1.E0)); +#3970=DIRECTION('',(1.E0,0.E0,0.E0)); +#3971=AXIS2_PLACEMENT_3D('',#3968,#3969,#3970); +#3973=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.245E1)); +#3974=DIRECTION('',(0.E0,0.E0,1.E0)); +#3975=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3976=AXIS2_PLACEMENT_3D('',#3973,#3974,#3975); +#3978=CARTESIAN_POINT('',(-6.E0,4.E0,-1.245E1)); +#3979=DIRECTION('',(0.E0,0.E0,1.E0)); +#3980=DIRECTION('',(1.E0,0.E0,0.E0)); +#3981=AXIS2_PLACEMENT_3D('',#3978,#3979,#3980); +#3983=CARTESIAN_POINT('',(-6.E0,4.E0,-1.245E1)); +#3984=DIRECTION('',(0.E0,0.E0,1.E0)); +#3985=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3986=AXIS2_PLACEMENT_3D('',#3983,#3984,#3985); +#3988=CARTESIAN_POINT('',(-4.E0,0.E0,-1.245E1)); +#3989=DIRECTION('',(0.E0,0.E0,1.E0)); +#3990=DIRECTION('',(1.E0,0.E0,0.E0)); +#3991=AXIS2_PLACEMENT_3D('',#3988,#3989,#3990); +#3993=CARTESIAN_POINT('',(-4.E0,0.E0,-1.245E1)); +#3994=DIRECTION('',(0.E0,0.E0,1.E0)); +#3995=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3996=AXIS2_PLACEMENT_3D('',#3993,#3994,#3995); +#3998=CARTESIAN_POINT('',(-6.E0,-4.E0,-1.245E1)); +#3999=DIRECTION('',(0.E0,0.E0,1.E0)); +#4000=DIRECTION('',(1.E0,0.E0,0.E0)); +#4001=AXIS2_PLACEMENT_3D('',#3998,#3999,#4000); +#4003=CARTESIAN_POINT('',(-6.E0,-4.E0,-1.245E1)); +#4004=DIRECTION('',(0.E0,0.E0,1.E0)); +#4005=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4006=AXIS2_PLACEMENT_3D('',#4003,#4004,#4005); +#4008=CARTESIAN_POINT('',(-2.E0,-4.E0,-1.245E1)); +#4009=DIRECTION('',(0.E0,0.E0,1.E0)); +#4010=DIRECTION('',(1.E0,0.E0,0.E0)); +#4011=AXIS2_PLACEMENT_3D('',#4008,#4009,#4010); +#4013=CARTESIAN_POINT('',(-2.E0,-4.E0,-1.245E1)); +#4014=DIRECTION('',(0.E0,0.E0,1.E0)); +#4015=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4016=AXIS2_PLACEMENT_3D('',#4013,#4014,#4015); +#4018=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#4019=DIRECTION('',(0.E0,0.E0,1.E0)); +#4020=DIRECTION('',(1.E0,0.E0,0.E0)); +#4021=AXIS2_PLACEMENT_3D('',#4018,#4019,#4020); +#4023=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#4024=DIRECTION('',(0.E0,0.E0,1.E0)); +#4025=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4026=AXIS2_PLACEMENT_3D('',#4023,#4024,#4025); +#4028=CARTESIAN_POINT('',(-2.E0,4.E0,-1.245E1)); +#4029=DIRECTION('',(0.E0,0.E0,1.E0)); +#4030=DIRECTION('',(1.E0,0.E0,0.E0)); +#4031=AXIS2_PLACEMENT_3D('',#4028,#4029,#4030); +#4033=CARTESIAN_POINT('',(-2.E0,4.E0,-1.245E1)); +#4034=DIRECTION('',(0.E0,0.E0,1.E0)); +#4035=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4036=AXIS2_PLACEMENT_3D('',#4033,#4034,#4035); +#4038=CARTESIAN_POINT('',(2.E0,4.E0,-1.245E1)); +#4039=DIRECTION('',(0.E0,0.E0,1.E0)); +#4040=DIRECTION('',(1.E0,0.E0,0.E0)); +#4041=AXIS2_PLACEMENT_3D('',#4038,#4039,#4040); +#4043=CARTESIAN_POINT('',(2.E0,4.E0,-1.245E1)); +#4044=DIRECTION('',(0.E0,0.E0,1.E0)); +#4045=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4046=AXIS2_PLACEMENT_3D('',#4043,#4044,#4045); +#4048=CARTESIAN_POINT('',(4.E0,0.E0,-1.245E1)); +#4049=DIRECTION('',(0.E0,0.E0,1.E0)); +#4050=DIRECTION('',(1.E0,0.E0,0.E0)); +#4051=AXIS2_PLACEMENT_3D('',#4048,#4049,#4050); +#4053=CARTESIAN_POINT('',(4.E0,0.E0,-1.245E1)); +#4054=DIRECTION('',(0.E0,0.E0,1.E0)); +#4055=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4056=AXIS2_PLACEMENT_3D('',#4053,#4054,#4055); +#4058=CARTESIAN_POINT('',(2.E0,-4.E0,-1.245E1)); +#4059=DIRECTION('',(0.E0,0.E0,1.E0)); +#4060=DIRECTION('',(1.E0,0.E0,0.E0)); +#4061=AXIS2_PLACEMENT_3D('',#4058,#4059,#4060); +#4063=CARTESIAN_POINT('',(2.E0,-4.E0,-1.245E1)); +#4064=DIRECTION('',(0.E0,0.E0,1.E0)); +#4065=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4066=AXIS2_PLACEMENT_3D('',#4063,#4064,#4065); +#4068=CARTESIAN_POINT('',(6.E0,-4.E0,-1.245E1)); +#4069=DIRECTION('',(0.E0,0.E0,1.E0)); +#4070=DIRECTION('',(1.E0,0.E0,0.E0)); +#4071=AXIS2_PLACEMENT_3D('',#4068,#4069,#4070); +#4073=CARTESIAN_POINT('',(6.E0,-4.E0,-1.245E1)); +#4074=DIRECTION('',(0.E0,0.E0,1.E0)); +#4075=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4076=AXIS2_PLACEMENT_3D('',#4073,#4074,#4075); +#4078=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-1.245E1)); +#4079=DIRECTION('',(0.E0,0.E0,1.E0)); +#4080=DIRECTION('',(1.E0,0.E0,0.E0)); +#4081=AXIS2_PLACEMENT_3D('',#4078,#4079,#4080); +#4083=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-1.245E1)); +#4084=DIRECTION('',(0.E0,0.E0,1.E0)); +#4085=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4086=AXIS2_PLACEMENT_3D('',#4083,#4084,#4085); +#4088=CARTESIAN_POINT('',(6.E0,4.E0,-1.245E1)); +#4089=DIRECTION('',(0.E0,0.E0,1.E0)); +#4090=DIRECTION('',(1.E0,0.E0,0.E0)); +#4091=AXIS2_PLACEMENT_3D('',#4088,#4089,#4090); +#4093=CARTESIAN_POINT('',(6.E0,4.E0,-1.245E1)); +#4094=DIRECTION('',(0.E0,0.E0,1.E0)); +#4095=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4096=AXIS2_PLACEMENT_3D('',#4093,#4094,#4095); +#4098=CARTESIAN_POINT('',(1.4E1,4.E0,-1.245E1)); +#4099=DIRECTION('',(0.E0,0.E0,1.E0)); +#4100=DIRECTION('',(1.E0,0.E0,0.E0)); +#4101=AXIS2_PLACEMENT_3D('',#4098,#4099,#4100); +#4103=CARTESIAN_POINT('',(1.4E1,4.E0,-1.245E1)); +#4104=DIRECTION('',(0.E0,0.E0,1.E0)); +#4105=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4106=AXIS2_PLACEMENT_3D('',#4103,#4104,#4105); +#4108=CARTESIAN_POINT('',(2.2E1,4.E0,-1.245E1)); +#4109=DIRECTION('',(0.E0,0.E0,1.E0)); +#4110=DIRECTION('',(1.E0,0.E0,0.E0)); +#4111=AXIS2_PLACEMENT_3D('',#4108,#4109,#4110); +#4113=CARTESIAN_POINT('',(2.2E1,4.E0,-1.245E1)); +#4114=DIRECTION('',(0.E0,0.E0,1.E0)); +#4115=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4116=AXIS2_PLACEMENT_3D('',#4113,#4114,#4115); +#4118=CARTESIAN_POINT('',(1.2E1,0.E0,-1.245E1)); +#4119=DIRECTION('',(0.E0,0.E0,1.E0)); +#4120=DIRECTION('',(1.E0,0.E0,0.E0)); +#4121=AXIS2_PLACEMENT_3D('',#4118,#4119,#4120); +#4123=CARTESIAN_POINT('',(1.2E1,0.E0,-1.245E1)); +#4124=DIRECTION('',(0.E0,0.E0,1.E0)); +#4125=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4126=AXIS2_PLACEMENT_3D('',#4123,#4124,#4125); +#4128=CARTESIAN_POINT('',(1.4E1,-4.E0,-1.245E1)); +#4129=DIRECTION('',(0.E0,0.E0,1.E0)); +#4130=DIRECTION('',(1.E0,0.E0,0.E0)); +#4131=AXIS2_PLACEMENT_3D('',#4128,#4129,#4130); +#4133=CARTESIAN_POINT('',(1.4E1,-4.E0,-1.245E1)); +#4134=DIRECTION('',(0.E0,0.E0,1.E0)); +#4135=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4136=AXIS2_PLACEMENT_3D('',#4133,#4134,#4135); +#4138=CARTESIAN_POINT('',(2.2E1,-4.E0,-1.245E1)); +#4139=DIRECTION('',(0.E0,0.E0,1.E0)); +#4140=DIRECTION('',(1.E0,0.E0,0.E0)); +#4141=AXIS2_PLACEMENT_3D('',#4138,#4139,#4140); +#4143=CARTESIAN_POINT('',(2.2E1,-4.E0,-1.245E1)); +#4144=DIRECTION('',(0.E0,0.E0,1.E0)); +#4145=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4146=AXIS2_PLACEMENT_3D('',#4143,#4144,#4145); +#4148=CARTESIAN_POINT('',(-1.8E1,4.E0,-1.245E1)); +#4149=DIRECTION('',(0.E0,0.E0,1.E0)); +#4150=DIRECTION('',(1.E0,0.E0,0.E0)); +#4151=AXIS2_PLACEMENT_3D('',#4148,#4149,#4150); +#4153=CARTESIAN_POINT('',(-1.8E1,4.E0,-1.245E1)); +#4154=DIRECTION('',(0.E0,0.E0,1.E0)); +#4155=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4156=AXIS2_PLACEMENT_3D('',#4153,#4154,#4155); +#4158=CARTESIAN_POINT('',(-1.2E1,0.E0,-1.245E1)); +#4159=DIRECTION('',(0.E0,0.E0,1.E0)); +#4160=DIRECTION('',(1.E0,0.E0,0.E0)); +#4161=AXIS2_PLACEMENT_3D('',#4158,#4159,#4160); +#4163=CARTESIAN_POINT('',(-1.2E1,0.E0,-1.245E1)); +#4164=DIRECTION('',(0.E0,0.E0,1.E0)); +#4165=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4166=AXIS2_PLACEMENT_3D('',#4163,#4164,#4165); +#4168=CARTESIAN_POINT('',(-1.E1,4.E0,-1.245E1)); +#4169=DIRECTION('',(0.E0,0.E0,1.E0)); +#4170=DIRECTION('',(1.E0,0.E0,0.E0)); +#4171=AXIS2_PLACEMENT_3D('',#4168,#4169,#4170); +#4173=CARTESIAN_POINT('',(-1.E1,4.E0,-1.245E1)); +#4174=DIRECTION('',(0.E0,0.E0,1.E0)); +#4175=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4176=AXIS2_PLACEMENT_3D('',#4173,#4174,#4175); +#4178=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-1.245E1)); +#4179=DIRECTION('',(0.E0,0.E0,1.E0)); +#4180=DIRECTION('',(1.E0,0.E0,0.E0)); +#4181=AXIS2_PLACEMENT_3D('',#4178,#4179,#4180); +#4183=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-1.245E1)); +#4184=DIRECTION('',(0.E0,0.E0,1.E0)); +#4185=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4186=AXIS2_PLACEMENT_3D('',#4183,#4184,#4185); +#4188=CARTESIAN_POINT('',(-1.E1,-4.E0,-1.245E1)); +#4189=DIRECTION('',(0.E0,0.E0,1.E0)); +#4190=DIRECTION('',(1.E0,0.E0,0.E0)); +#4191=AXIS2_PLACEMENT_3D('',#4188,#4189,#4190); +#4193=CARTESIAN_POINT('',(-1.E1,-4.E0,-1.245E1)); +#4194=DIRECTION('',(0.E0,0.E0,1.E0)); +#4195=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4196=AXIS2_PLACEMENT_3D('',#4193,#4194,#4195); +#4198=CARTESIAN_POINT('',(-1.8E1,-4.E0,-1.245E1)); +#4199=DIRECTION('',(0.E0,0.E0,1.E0)); +#4200=DIRECTION('',(1.E0,0.E0,0.E0)); +#4201=AXIS2_PLACEMENT_3D('',#4198,#4199,#4200); +#4203=CARTESIAN_POINT('',(-1.8E1,-4.E0,-1.245E1)); +#4204=DIRECTION('',(0.E0,0.E0,1.E0)); +#4205=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4206=AXIS2_PLACEMENT_3D('',#4203,#4204,#4205); +#4208=CARTESIAN_POINT('',(1.E1,4.E0,-1.245E1)); +#4209=DIRECTION('',(0.E0,0.E0,1.E0)); +#4210=DIRECTION('',(1.E0,0.E0,0.E0)); +#4211=AXIS2_PLACEMENT_3D('',#4208,#4209,#4210); +#4213=CARTESIAN_POINT('',(1.E1,4.E0,-1.245E1)); +#4214=DIRECTION('',(0.E0,0.E0,1.E0)); +#4215=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4216=AXIS2_PLACEMENT_3D('',#4213,#4214,#4215); +#4218=CARTESIAN_POINT('',(1.E1,-4.E0,-1.245E1)); +#4219=DIRECTION('',(0.E0,0.E0,1.E0)); +#4220=DIRECTION('',(1.E0,0.E0,0.E0)); +#4221=AXIS2_PLACEMENT_3D('',#4218,#4219,#4220); +#4223=CARTESIAN_POINT('',(1.E1,-4.E0,-1.245E1)); +#4224=DIRECTION('',(0.E0,0.E0,1.E0)); +#4225=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4226=AXIS2_PLACEMENT_3D('',#4223,#4224,#4225); +#4228=CARTESIAN_POINT('',(1.8E1,4.E0,-1.245E1)); +#4229=DIRECTION('',(0.E0,0.E0,1.E0)); +#4230=DIRECTION('',(1.E0,0.E0,0.E0)); +#4231=AXIS2_PLACEMENT_3D('',#4228,#4229,#4230); +#4233=CARTESIAN_POINT('',(1.8E1,4.E0,-1.245E1)); +#4234=DIRECTION('',(0.E0,0.E0,1.E0)); +#4235=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4236=AXIS2_PLACEMENT_3D('',#4233,#4234,#4235); +#4238=CARTESIAN_POINT('',(1.6E1,0.E0,-1.245E1)); +#4239=DIRECTION('',(0.E0,0.E0,1.E0)); +#4240=DIRECTION('',(1.E0,0.E0,0.E0)); +#4241=AXIS2_PLACEMENT_3D('',#4238,#4239,#4240); +#4243=CARTESIAN_POINT('',(1.6E1,0.E0,-1.245E1)); +#4244=DIRECTION('',(0.E0,0.E0,1.E0)); +#4245=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4246=AXIS2_PLACEMENT_3D('',#4243,#4244,#4245); +#4248=CARTESIAN_POINT('',(1.8E1,-4.E0,-1.245E1)); +#4249=DIRECTION('',(0.E0,0.E0,1.E0)); +#4250=DIRECTION('',(1.E0,0.E0,0.E0)); +#4251=AXIS2_PLACEMENT_3D('',#4248,#4249,#4250); +#4253=CARTESIAN_POINT('',(1.8E1,-4.E0,-1.245E1)); +#4254=DIRECTION('',(0.E0,0.E0,1.E0)); +#4255=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4256=AXIS2_PLACEMENT_3D('',#4253,#4254,#4255); +#4258=CARTESIAN_POINT('',(2.E1,0.E0,-1.245E1)); +#4259=DIRECTION('',(0.E0,0.E0,1.E0)); +#4260=DIRECTION('',(1.E0,0.E0,0.E0)); +#4261=AXIS2_PLACEMENT_3D('',#4258,#4259,#4260); +#4263=CARTESIAN_POINT('',(2.E1,0.E0,-1.245E1)); +#4264=DIRECTION('',(0.E0,0.E0,1.E0)); +#4265=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4266=AXIS2_PLACEMENT_3D('',#4263,#4264,#4265); +#4268=DIRECTION('',(0.E0,0.E0,1.E0)); +#4269=VECTOR('',#4268,7.55E0); +#4270=CARTESIAN_POINT('',(-1.935E1,0.E0,-2.E1)); +#4271=LINE('',#4270,#4269); +#4272=DIRECTION('',(0.E0,0.E0,1.E0)); +#4273=VECTOR('',#4272,7.55E0); +#4274=CARTESIAN_POINT('',(-2.065E1,0.E0,-2.E1)); +#4275=LINE('',#4274,#4273); +#4276=DIRECTION('',(0.E0,0.E0,1.E0)); +#4277=VECTOR('',#4276,7.55E0); +#4278=CARTESIAN_POINT('',(-2.135E1,-4.E0,-2.E1)); +#4279=LINE('',#4278,#4277); +#4280=DIRECTION('',(0.E0,0.E0,1.E0)); +#4281=VECTOR('',#4280,7.55E0); +#4282=CARTESIAN_POINT('',(-2.265E1,-4.E0,-2.E1)); +#4283=LINE('',#4282,#4281); +#4284=DIRECTION('',(0.E0,0.E0,1.E0)); +#4285=VECTOR('',#4284,7.55E0); +#4286=CARTESIAN_POINT('',(-1.335E1,-4.E0,-2.E1)); +#4287=LINE('',#4286,#4285); +#4288=DIRECTION('',(0.E0,0.E0,1.E0)); +#4289=VECTOR('',#4288,7.55E0); +#4290=CARTESIAN_POINT('',(-1.465E1,-4.E0,-2.E1)); +#4291=LINE('',#4290,#4289); +#4292=DIRECTION('',(0.E0,0.E0,1.E0)); +#4293=VECTOR('',#4292,7.55E0); +#4294=CARTESIAN_POINT('',(-1.535E1,0.E0,-2.E1)); +#4295=LINE('',#4294,#4293); +#4296=DIRECTION('',(0.E0,0.E0,1.E0)); +#4297=VECTOR('',#4296,7.55E0); +#4298=CARTESIAN_POINT('',(-1.665E1,0.E0,-2.E1)); +#4299=LINE('',#4298,#4297); +#4300=DIRECTION('',(0.E0,0.E0,1.E0)); +#4301=VECTOR('',#4300,7.55E0); +#4302=CARTESIAN_POINT('',(-1.335E1,4.E0,-2.E1)); +#4303=LINE('',#4302,#4301); +#4304=DIRECTION('',(0.E0,0.E0,1.E0)); +#4305=VECTOR('',#4304,7.55E0); +#4306=CARTESIAN_POINT('',(-1.465E1,4.E0,-2.E1)); +#4307=LINE('',#4306,#4305); +#4308=DIRECTION('',(0.E0,0.E0,1.E0)); +#4309=VECTOR('',#4308,7.55E0); +#4310=CARTESIAN_POINT('',(-5.35E0,4.E0,-2.E1)); +#4311=LINE('',#4310,#4309); +#4312=DIRECTION('',(0.E0,0.E0,1.E0)); +#4313=VECTOR('',#4312,7.55E0); +#4314=CARTESIAN_POINT('',(-6.65E0,4.E0,-2.E1)); +#4315=LINE('',#4314,#4313); +#4316=DIRECTION('',(0.E0,0.E0,1.E0)); +#4317=VECTOR('',#4316,7.55E0); +#4318=CARTESIAN_POINT('',(-3.35E0,0.E0,-2.E1)); +#4319=LINE('',#4318,#4317); +#4320=DIRECTION('',(0.E0,0.E0,1.E0)); +#4321=VECTOR('',#4320,7.55E0); +#4322=CARTESIAN_POINT('',(-4.65E0,0.E0,-2.E1)); +#4323=LINE('',#4322,#4321); +#4324=DIRECTION('',(0.E0,0.E0,1.E0)); +#4325=VECTOR('',#4324,7.55E0); +#4326=CARTESIAN_POINT('',(-5.35E0,-4.E0,-2.E1)); +#4327=LINE('',#4326,#4325); +#4328=DIRECTION('',(0.E0,0.E0,1.E0)); +#4329=VECTOR('',#4328,7.55E0); +#4330=CARTESIAN_POINT('',(-6.65E0,-4.E0,-2.E1)); +#4331=LINE('',#4330,#4329); +#4332=DIRECTION('',(0.E0,0.E0,1.E0)); +#4333=VECTOR('',#4332,7.55E0); +#4334=CARTESIAN_POINT('',(-1.35E0,-4.E0,-2.E1)); +#4335=LINE('',#4334,#4333); +#4336=DIRECTION('',(0.E0,0.E0,1.E0)); +#4337=VECTOR('',#4336,7.55E0); +#4338=CARTESIAN_POINT('',(-2.65E0,-4.E0,-2.E1)); +#4339=LINE('',#4338,#4337); +#4340=DIRECTION('',(0.E0,0.E0,1.E0)); +#4341=VECTOR('',#4340,7.55E0); +#4342=CARTESIAN_POINT('',(6.5E-1,0.E0,-2.E1)); +#4343=LINE('',#4342,#4341); +#4344=DIRECTION('',(0.E0,0.E0,1.E0)); +#4345=VECTOR('',#4344,7.55E0); +#4346=CARTESIAN_POINT('',(-6.5E-1,0.E0,-2.E1)); +#4347=LINE('',#4346,#4345); +#4348=DIRECTION('',(0.E0,0.E0,1.E0)); +#4349=VECTOR('',#4348,7.55E0); +#4350=CARTESIAN_POINT('',(-1.35E0,4.E0,-2.E1)); +#4351=LINE('',#4350,#4349); +#4352=DIRECTION('',(0.E0,0.E0,1.E0)); +#4353=VECTOR('',#4352,7.55E0); +#4354=CARTESIAN_POINT('',(-2.65E0,4.E0,-2.E1)); +#4355=LINE('',#4354,#4353); +#4356=DIRECTION('',(0.E0,0.E0,1.E0)); +#4357=VECTOR('',#4356,7.55E0); +#4358=CARTESIAN_POINT('',(2.65E0,4.E0,-2.E1)); +#4359=LINE('',#4358,#4357); +#4360=DIRECTION('',(0.E0,0.E0,1.E0)); +#4361=VECTOR('',#4360,7.55E0); +#4362=CARTESIAN_POINT('',(1.35E0,4.E0,-2.E1)); +#4363=LINE('',#4362,#4361); +#4364=DIRECTION('',(0.E0,0.E0,1.E0)); +#4365=VECTOR('',#4364,7.55E0); +#4366=CARTESIAN_POINT('',(4.65E0,0.E0,-2.E1)); +#4367=LINE('',#4366,#4365); +#4368=DIRECTION('',(0.E0,0.E0,1.E0)); +#4369=VECTOR('',#4368,7.55E0); +#4370=CARTESIAN_POINT('',(3.35E0,0.E0,-2.E1)); +#4371=LINE('',#4370,#4369); +#4372=DIRECTION('',(0.E0,0.E0,1.E0)); +#4373=VECTOR('',#4372,7.55E0); +#4374=CARTESIAN_POINT('',(2.65E0,-4.E0,-2.E1)); +#4375=LINE('',#4374,#4373); +#4376=DIRECTION('',(0.E0,0.E0,1.E0)); +#4377=VECTOR('',#4376,7.55E0); +#4378=CARTESIAN_POINT('',(1.35E0,-4.E0,-2.E1)); +#4379=LINE('',#4378,#4377); +#4380=DIRECTION('',(0.E0,0.E0,1.E0)); +#4381=VECTOR('',#4380,7.55E0); +#4382=CARTESIAN_POINT('',(6.65E0,-4.E0,-2.E1)); +#4383=LINE('',#4382,#4381); +#4384=DIRECTION('',(0.E0,0.E0,1.E0)); +#4385=VECTOR('',#4384,7.55E0); +#4386=CARTESIAN_POINT('',(5.35E0,-4.E0,-2.E1)); +#4387=LINE('',#4386,#4385); +#4388=DIRECTION('',(0.E0,0.E0,1.E0)); +#4389=VECTOR('',#4388,7.55E0); +#4390=CARTESIAN_POINT('',(8.649999999999E0,0.E0,-2.E1)); +#4391=LINE('',#4390,#4389); +#4392=DIRECTION('',(0.E0,0.E0,1.E0)); +#4393=VECTOR('',#4392,7.55E0); +#4394=CARTESIAN_POINT('',(7.349999999999E0,0.E0,-2.E1)); +#4395=LINE('',#4394,#4393); +#4396=DIRECTION('',(0.E0,0.E0,1.E0)); +#4397=VECTOR('',#4396,7.55E0); +#4398=CARTESIAN_POINT('',(6.65E0,4.E0,-2.E1)); +#4399=LINE('',#4398,#4397); +#4400=DIRECTION('',(0.E0,0.E0,1.E0)); +#4401=VECTOR('',#4400,7.55E0); +#4402=CARTESIAN_POINT('',(5.35E0,4.E0,-2.E1)); +#4403=LINE('',#4402,#4401); +#4404=DIRECTION('',(0.E0,0.E0,1.E0)); +#4405=VECTOR('',#4404,7.55E0); +#4406=CARTESIAN_POINT('',(1.465E1,4.E0,-2.E1)); +#4407=LINE('',#4406,#4405); +#4408=DIRECTION('',(0.E0,0.E0,1.E0)); +#4409=VECTOR('',#4408,7.55E0); +#4410=CARTESIAN_POINT('',(1.335E1,4.E0,-2.E1)); +#4411=LINE('',#4410,#4409); +#4412=DIRECTION('',(0.E0,0.E0,1.E0)); +#4413=VECTOR('',#4412,7.55E0); +#4414=CARTESIAN_POINT('',(2.265E1,4.E0,-2.E1)); +#4415=LINE('',#4414,#4413); +#4416=DIRECTION('',(0.E0,0.E0,1.E0)); +#4417=VECTOR('',#4416,7.55E0); +#4418=CARTESIAN_POINT('',(2.135E1,4.E0,-2.E1)); +#4419=LINE('',#4418,#4417); +#4420=DIRECTION('',(0.E0,0.E0,1.E0)); +#4421=VECTOR('',#4420,7.55E0); +#4422=CARTESIAN_POINT('',(1.265E1,0.E0,-2.E1)); +#4423=LINE('',#4422,#4421); +#4424=DIRECTION('',(0.E0,0.E0,1.E0)); +#4425=VECTOR('',#4424,7.55E0); +#4426=CARTESIAN_POINT('',(1.135E1,0.E0,-2.E1)); +#4427=LINE('',#4426,#4425); +#4428=DIRECTION('',(0.E0,0.E0,1.E0)); +#4429=VECTOR('',#4428,7.55E0); +#4430=CARTESIAN_POINT('',(1.465E1,-4.E0,-2.E1)); +#4431=LINE('',#4430,#4429); +#4432=DIRECTION('',(0.E0,0.E0,1.E0)); +#4433=VECTOR('',#4432,7.55E0); +#4434=CARTESIAN_POINT('',(1.335E1,-4.E0,-2.E1)); +#4435=LINE('',#4434,#4433); +#4436=DIRECTION('',(0.E0,0.E0,1.E0)); +#4437=VECTOR('',#4436,7.55E0); +#4438=CARTESIAN_POINT('',(2.265E1,-4.E0,-2.E1)); +#4439=LINE('',#4438,#4437); +#4440=DIRECTION('',(0.E0,0.E0,1.E0)); +#4441=VECTOR('',#4440,7.55E0); +#4442=CARTESIAN_POINT('',(2.135E1,-4.E0,-2.E1)); +#4443=LINE('',#4442,#4441); +#4444=DIRECTION('',(0.E0,0.E0,1.E0)); +#4445=VECTOR('',#4444,7.55E0); +#4446=CARTESIAN_POINT('',(-1.735E1,4.E0,-2.E1)); +#4447=LINE('',#4446,#4445); +#4448=DIRECTION('',(0.E0,0.E0,1.E0)); +#4449=VECTOR('',#4448,7.55E0); +#4450=CARTESIAN_POINT('',(-1.865E1,4.E0,-2.E1)); +#4451=LINE('',#4450,#4449); +#4452=DIRECTION('',(0.E0,0.E0,1.E0)); +#4453=VECTOR('',#4452,7.55E0); +#4454=CARTESIAN_POINT('',(-1.135E1,0.E0,-2.E1)); +#4455=LINE('',#4454,#4453); +#4456=DIRECTION('',(0.E0,0.E0,1.E0)); +#4457=VECTOR('',#4456,7.55E0); +#4458=CARTESIAN_POINT('',(-1.265E1,0.E0,-2.E1)); +#4459=LINE('',#4458,#4457); +#4460=DIRECTION('',(0.E0,0.E0,1.E0)); +#4461=VECTOR('',#4460,7.55E0); +#4462=CARTESIAN_POINT('',(-9.35E0,4.E0,-2.E1)); +#4463=LINE('',#4462,#4461); +#4464=DIRECTION('',(0.E0,0.E0,1.E0)); +#4465=VECTOR('',#4464,7.55E0); +#4466=CARTESIAN_POINT('',(-1.065E1,4.E0,-2.E1)); +#4467=LINE('',#4466,#4465); +#4468=DIRECTION('',(0.E0,0.E0,1.E0)); +#4469=VECTOR('',#4468,7.55E0); +#4470=CARTESIAN_POINT('',(-7.349999999999E0,0.E0,-2.E1)); +#4471=LINE('',#4470,#4469); +#4472=DIRECTION('',(0.E0,0.E0,1.E0)); +#4473=VECTOR('',#4472,7.55E0); +#4474=CARTESIAN_POINT('',(-8.649999999999E0,0.E0,-2.E1)); +#4475=LINE('',#4474,#4473); +#4476=DIRECTION('',(0.E0,0.E0,1.E0)); +#4477=VECTOR('',#4476,7.55E0); +#4478=CARTESIAN_POINT('',(-9.35E0,-4.E0,-2.E1)); +#4479=LINE('',#4478,#4477); +#4480=DIRECTION('',(0.E0,0.E0,1.E0)); +#4481=VECTOR('',#4480,7.55E0); +#4482=CARTESIAN_POINT('',(-1.065E1,-4.E0,-2.E1)); +#4483=LINE('',#4482,#4481); +#4484=DIRECTION('',(0.E0,0.E0,1.E0)); +#4485=VECTOR('',#4484,7.55E0); +#4486=CARTESIAN_POINT('',(-1.735E1,-4.E0,-2.E1)); +#4487=LINE('',#4486,#4485); +#4488=DIRECTION('',(0.E0,0.E0,1.E0)); +#4489=VECTOR('',#4488,7.55E0); +#4490=CARTESIAN_POINT('',(-1.865E1,-4.E0,-2.E1)); +#4491=LINE('',#4490,#4489); +#4492=DIRECTION('',(0.E0,0.E0,1.E0)); +#4493=VECTOR('',#4492,7.55E0); +#4494=CARTESIAN_POINT('',(1.065E1,4.E0,-2.E1)); +#4495=LINE('',#4494,#4493); +#4496=DIRECTION('',(0.E0,0.E0,1.E0)); +#4497=VECTOR('',#4496,7.55E0); +#4498=CARTESIAN_POINT('',(9.35E0,4.E0,-2.E1)); +#4499=LINE('',#4498,#4497); +#4500=DIRECTION('',(0.E0,0.E0,1.E0)); +#4501=VECTOR('',#4500,7.55E0); +#4502=CARTESIAN_POINT('',(1.065E1,-4.E0,-2.E1)); +#4503=LINE('',#4502,#4501); +#4504=DIRECTION('',(0.E0,0.E0,1.E0)); +#4505=VECTOR('',#4504,7.55E0); +#4506=CARTESIAN_POINT('',(9.35E0,-4.E0,-2.E1)); +#4507=LINE('',#4506,#4505); +#4508=DIRECTION('',(0.E0,0.E0,1.E0)); +#4509=VECTOR('',#4508,7.55E0); +#4510=CARTESIAN_POINT('',(1.865E1,4.E0,-2.E1)); +#4511=LINE('',#4510,#4509); +#4512=DIRECTION('',(0.E0,0.E0,1.E0)); +#4513=VECTOR('',#4512,7.55E0); +#4514=CARTESIAN_POINT('',(1.735E1,4.E0,-2.E1)); +#4515=LINE('',#4514,#4513); +#4516=DIRECTION('',(0.E0,0.E0,1.E0)); +#4517=VECTOR('',#4516,7.55E0); +#4518=CARTESIAN_POINT('',(1.665E1,0.E0,-2.E1)); +#4519=LINE('',#4518,#4517); +#4520=DIRECTION('',(0.E0,0.E0,1.E0)); +#4521=VECTOR('',#4520,7.55E0); +#4522=CARTESIAN_POINT('',(1.535E1,0.E0,-2.E1)); +#4523=LINE('',#4522,#4521); +#4524=DIRECTION('',(0.E0,0.E0,1.E0)); +#4525=VECTOR('',#4524,7.55E0); +#4526=CARTESIAN_POINT('',(1.865E1,-4.E0,-2.E1)); +#4527=LINE('',#4526,#4525); +#4528=DIRECTION('',(0.E0,0.E0,1.E0)); +#4529=VECTOR('',#4528,7.55E0); +#4530=CARTESIAN_POINT('',(1.735E1,-4.E0,-2.E1)); +#4531=LINE('',#4530,#4529); +#4532=DIRECTION('',(0.E0,0.E0,1.E0)); +#4533=VECTOR('',#4532,7.55E0); +#4534=CARTESIAN_POINT('',(2.065E1,0.E0,-2.E1)); +#4535=LINE('',#4534,#4533); +#4536=DIRECTION('',(0.E0,0.E0,1.E0)); +#4537=VECTOR('',#4536,7.55E0); +#4538=CARTESIAN_POINT('',(1.935E1,0.E0,-2.E1)); +#4539=LINE('',#4538,#4537); +#4540=CARTESIAN_POINT('',(2.92E1,5.6E0,-5.E-1)); +#4541=DIRECTION('',(0.E0,1.E0,0.E0)); +#4542=DIRECTION('',(0.E0,0.E0,1.E0)); +#4543=AXIS2_PLACEMENT_3D('',#4540,#4541,#4542); +#4545=CARTESIAN_POINT('',(2.35E1,1.13E1,-5.E-1)); +#4546=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4547=DIRECTION('',(0.E0,0.E0,1.E0)); +#4548=AXIS2_PLACEMENT_3D('',#4545,#4546,#4547); +#4550=DIRECTION('',(1.E0,0.E0,0.E0)); +#4551=VECTOR('',#4550,1.8E0); +#4552=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#4553=LINE('',#4552,#4551); +#4554=DIRECTION('',(1.E0,0.E0,0.E0)); +#4555=VECTOR('',#4554,5.4E0); +#4556=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.E-1)); +#4557=LINE('',#4556,#4555); +#4558=DIRECTION('',(1.E0,0.E0,0.E0)); +#4559=VECTOR('',#4558,1.8E0); +#4560=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#4561=LINE('',#4560,#4559); +#4562=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4563=VECTOR('',#4562,4.9E0); +#4564=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.E-1)); +#4565=LINE('',#4564,#4563); +#4566=DIRECTION('',(1.E0,0.E0,0.E0)); +#4567=VECTOR('',#4566,1.8E0); +#4568=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.4E0)); +#4569=LINE('',#4568,#4567); +#4570=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4571=VECTOR('',#4570,4.9E0); +#4572=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#4573=LINE('',#4572,#4571); +#4574=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4575=VECTOR('',#4574,4.9E0); +#4576=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.E-1)); +#4577=LINE('',#4576,#4575); +#4578=DIRECTION('',(1.E0,0.E0,0.E0)); +#4579=VECTOR('',#4578,1.8E0); +#4580=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#4581=LINE('',#4580,#4579); +#4582=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4583=VECTOR('',#4582,4.9E0); +#4584=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#4585=LINE('',#4584,#4583); +#4586=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4587=VECTOR('',#4586,1.899999999996E1); +#4588=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#4589=LINE('',#4588,#4587); +#4590=DIRECTION('',(1.E0,0.E0,-5.374424309847E-14)); +#4591=VECTOR('',#4590,4.699999999998E1); +#4592=CARTESIAN_POINT('',(-2.349999999998E1,1.18E1,-1.95E1)); +#4593=LINE('',#4592,#4591); +#4594=DIRECTION('',(0.E0,0.E0,1.E0)); +#4595=VECTOR('',#4594,7.5E-1); +#4596=CARTESIAN_POINT('',(2.795E1,1.18E1,-2.E1)); +#4597=LINE('',#4596,#4595); +#4598=DIRECTION('',(1.667785909376E-12,0.E0,-1.E0)); +#4599=VECTOR('',#4598,1.875E1); +#4600=CARTESIAN_POINT('',(2.349999999997E1,1.18E1,-5.E-1)); +#4601=LINE('',#4600,#4599); +#4602=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4603=VECTOR('',#4602,1.899999999997E1); +#4604=CARTESIAN_POINT('',(2.349999999997E1,1.18E1,-5.E-1)); +#4605=LINE('',#4604,#4603); +#4606=DIRECTION('',(4.431493592422E-2,-5.065220354074E-1,8.610874601925E-1)); +#4607=VECTOR('',#4606,3.948495544506E0); +#4608=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-3.9E0)); +#4609=LINE('',#4608,#4607); +#4610=DIRECTION('',(-4.431493592422E-2,-5.065220354074E-1,8.610874601925E-1)); +#4611=VECTOR('',#4610,3.948495544506E0); +#4612=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-3.9E0)); +#4613=LINE('',#4612,#4611); +#4614=DIRECTION('',(1.E0,0.E0,0.E0)); +#4615=VECTOR('',#4614,1.450045345896E0); +#4616=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-3.9E0)); +#4617=LINE('',#4616,#4615); +#4618=DIRECTION('',(-4.431493592422E-2,-5.065220354074E-1,8.610874601925E-1)); +#4619=VECTOR('',#4618,3.948495544506E0); +#4620=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-3.9E0)); +#4621=LINE('',#4620,#4619); +#4622=DIRECTION('',(1.E0,0.E0,0.E0)); +#4623=VECTOR('',#4622,1.450045345896E0); +#4624=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-3.9E0)); +#4625=LINE('',#4624,#4623); +#4626=DIRECTION('',(4.431493592422E-2,-5.065220354074E-1,8.610874601925E-1)); +#4627=VECTOR('',#4626,3.948495544506E0); +#4628=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-3.9E0)); +#4629=LINE('',#4628,#4627); +#4630=DIRECTION('',(8.715574274766E-2,-9.961946980917E-1,0.E0)); +#4631=VECTOR('',#4630,2.007639675087E0); +#4632=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-5.4E0)); +#4633=LINE('',#4632,#4631); +#4634=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#4635=VECTOR('',#4634,2.007639675087E0); +#4636=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.4E0)); +#4637=LINE('',#4636,#4635); +#4638=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#4639=VECTOR('',#4638,2.007639675087E0); +#4640=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#4641=LINE('',#4640,#4639); +#4642=DIRECTION('',(8.715574274766E-2,-9.961946980917E-1,0.E0)); +#4643=VECTOR('',#4642,2.007639675087E0); +#4644=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-5.4E0)); +#4645=LINE('',#4644,#4643); +#4646=DIRECTION('',(0.E0,0.E0,1.E0)); +#4647=VECTOR('',#4646,1.5E0); +#4648=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-5.4E0)); +#4649=LINE('',#4648,#4647); +#4650=DIRECTION('',(0.E0,0.E0,1.E0)); +#4651=VECTOR('',#4650,1.5E0); +#4652=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-5.4E0)); +#4653=LINE('',#4652,#4651); +#4654=DIRECTION('',(1.E0,0.E0,0.E0)); +#4655=VECTOR('',#4654,1.450045345896E0); +#4656=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-5.4E0)); +#4657=LINE('',#4656,#4655); +#4658=DIRECTION('',(0.E0,0.E0,1.E0)); +#4659=VECTOR('',#4658,1.5E0); +#4660=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-5.4E0)); +#4661=LINE('',#4660,#4659); +#4662=DIRECTION('',(1.E0,0.E0,0.E0)); +#4663=VECTOR('',#4662,1.450045345896E0); +#4664=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-5.4E0)); +#4665=LINE('',#4664,#4663); +#4666=DIRECTION('',(0.E0,0.E0,1.E0)); +#4667=VECTOR('',#4666,1.5E0); +#4668=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-5.4E0)); +#4669=LINE('',#4668,#4667); +#4670=CARTESIAN_POINT('',(-2.35E1,5.6E0,-5.E-1)); +#4671=DIRECTION('',(0.E0,0.E0,1.E0)); +#4672=DIRECTION('',(0.E0,1.E0,0.E0)); +#4673=AXIS2_PLACEMENT_3D('',#4670,#4671,#4672); +#4675=CARTESIAN_POINT('',(-2.35E1,5.6E0,-1.925E1)); +#4676=DIRECTION('',(0.E0,0.E0,1.E0)); +#4677=DIRECTION('',(0.E0,1.E0,0.E0)); +#4678=AXIS2_PLACEMENT_3D('',#4675,#4676,#4677); +#4680=DIRECTION('',(-2.347254242826E-12,0.E0,-1.E0)); +#4681=VECTOR('',#4680,1.875E1); +#4682=CARTESIAN_POINT('',(-2.349999999996E1,1.18E1,-5.E-1)); +#4683=LINE('',#4682,#4681); +#4684=CARTESIAN_POINT('',(-2.35E1,1.13E1,-5.E-1)); +#4685=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4686=DIRECTION('',(0.E0,0.E0,1.E0)); +#4687=AXIS2_PLACEMENT_3D('',#4684,#4685,#4686); +#4689=CARTESIAN_POINT('',(-2.92E1,5.6E0,-5.E-1)); +#4690=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4691=DIRECTION('',(0.E0,0.E0,1.E0)); +#4692=AXIS2_PLACEMENT_3D('',#4689,#4690,#4691); +#4694=CARTESIAN_POINT('',(-2.92E1,-5.6E0,-5.E-1)); +#4695=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4696=DIRECTION('',(0.E0,0.E0,1.E0)); +#4697=AXIS2_PLACEMENT_3D('',#4694,#4695,#4696); +#4699=CARTESIAN_POINT('',(-2.35E1,-1.13E1,-5.E-1)); +#4700=DIRECTION('',(1.E0,0.E0,0.E0)); +#4701=DIRECTION('',(0.E0,0.E0,1.E0)); +#4702=AXIS2_PLACEMENT_3D('',#4699,#4700,#4701); +#4704=CARTESIAN_POINT('',(2.35E1,-1.13E1,-5.E-1)); +#4705=DIRECTION('',(1.E0,0.E0,0.E0)); +#4706=DIRECTION('',(0.E0,0.E0,1.E0)); +#4707=AXIS2_PLACEMENT_3D('',#4704,#4705,#4706); +#4709=CARTESIAN_POINT('',(2.92E1,-5.6E0,-5.E-1)); +#4710=DIRECTION('',(0.E0,1.E0,0.E0)); +#4711=DIRECTION('',(0.E0,0.E0,1.E0)); +#4712=AXIS2_PLACEMENT_3D('',#4709,#4710,#4711); +#4714=DIRECTION('',(0.E0,1.E0,0.E0)); +#4715=VECTOR('',#4714,1.119999999992E1); +#4716=CARTESIAN_POINT('',(2.97E1,-5.599999999969E0,-5.E-1)); +#4717=LINE('',#4716,#4715); +#4718=DIRECTION('',(0.E0,-1.E0,-2.920207428476E-13)); +#4719=VECTOR('',#4718,8.649999999983E0); +#4720=CARTESIAN_POINT('',(2.97E1,5.599999999982E0,-1.95E1)); +#4721=LINE('',#4720,#4719); +#4722=DIRECTION('',(0.E0,0.E0,1.E0)); +#4723=VECTOR('',#4722,2.5E-1); +#4724=CARTESIAN_POINT('',(2.97E1,-3.050000000001E0,-1.95E1)); +#4725=LINE('',#4724,#4723); +#4726=DIRECTION('',(0.E0,1.E0,0.E0)); +#4727=VECTOR('',#4726,2.549999999999E0); +#4728=CARTESIAN_POINT('',(2.97E1,-5.6E0,-1.925E1)); +#4729=LINE('',#4728,#4727); +#4730=DIRECTION('',(0.E0,-1.667880648408E-12,-1.E0)); +#4731=VECTOR('',#4730,1.875E1); +#4732=CARTESIAN_POINT('',(2.97E1,-5.599999999969E0,-5.E-1)); +#4733=LINE('',#4732,#4731); +#4734=CARTESIAN_POINT('',(2.35E1,5.6E0,-5.E-1)); +#4735=DIRECTION('',(0.E0,0.E0,1.E0)); +#4736=DIRECTION('',(1.E0,0.E0,0.E0)); +#4737=AXIS2_PLACEMENT_3D('',#4734,#4735,#4736); +#4739=CARTESIAN_POINT('',(2.35E1,5.6E0,-1.925E1)); +#4740=DIRECTION('',(0.E0,0.E0,1.E0)); +#4741=DIRECTION('',(1.E0,0.E0,0.E0)); +#4742=AXIS2_PLACEMENT_3D('',#4739,#4740,#4741); +#4744=DIRECTION('',(0.E0,2.347348981857E-12,-1.E0)); +#4745=VECTOR('',#4744,1.875E1); +#4746=CARTESIAN_POINT('',(2.97E1,5.599999999956E0,-5.E-1)); +#4747=LINE('',#4746,#4745); +#4748=CARTESIAN_POINT('',(3.17E1,1.08E1,-1.925E1)); +#4749=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4750=DIRECTION('',(1.E0,0.E0,0.E0)); +#4751=AXIS2_PLACEMENT_3D('',#4748,#4749,#4750); +#4753=CARTESIAN_POINT('',(3.17E1,1.08E1,-1.925E1)); +#4754=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4755=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4756=AXIS2_PLACEMENT_3D('',#4753,#4754,#4755); +#4758=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-1.925E1)); +#4759=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4760=DIRECTION('',(1.E0,0.E0,0.E0)); +#4761=AXIS2_PLACEMENT_3D('',#4758,#4759,#4760); +#4763=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-1.925E1)); +#4764=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4765=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4766=AXIS2_PLACEMENT_3D('',#4763,#4764,#4765); +#4768=CARTESIAN_POINT('',(-3.17E1,1.08E1,-1.925E1)); +#4769=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4770=DIRECTION('',(1.E0,0.E0,0.E0)); +#4771=AXIS2_PLACEMENT_3D('',#4768,#4769,#4770); +#4773=CARTESIAN_POINT('',(-3.17E1,1.08E1,-1.925E1)); +#4774=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4775=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4776=AXIS2_PLACEMENT_3D('',#4773,#4774,#4775); +#4778=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-1.925E1)); +#4779=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4780=DIRECTION('',(1.E0,0.E0,0.E0)); +#4781=AXIS2_PLACEMENT_3D('',#4778,#4779,#4780); +#4783=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-1.925E1)); +#4784=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4785=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4786=AXIS2_PLACEMENT_3D('',#4783,#4784,#4785); +#4788=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4789=VECTOR('',#4788,1.069322089535E0); +#4790=CARTESIAN_POINT('',(-2.97E1,6.669322089535E0,-1.925E1)); +#4791=LINE('',#4790,#4789); +#4792=CARTESIAN_POINT('',(-3.07E1,6.669322089535E0,-1.925E1)); +#4793=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4794=DIRECTION('',(-2.352941176471E-1,9.719242142270E-1,0.E0)); +#4795=AXIS2_PLACEMENT_3D('',#4792,#4793,#4794); +#4797=CARTESIAN_POINT('',(-3.17E1,1.08E1,-1.925E1)); +#4798=DIRECTION('',(0.E0,0.E0,1.E0)); +#4799=DIRECTION('',(-7.216024245880E-1,6.923076923079E-1,0.E0)); +#4800=AXIS2_PLACEMENT_3D('',#4797,#4798,#4799); +#4802=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4803=VECTOR('',#4802,4.690415759822E0); +#4804=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-1.925E1)); +#4805=LINE('',#4804,#4803); +#4806=CARTESIAN_POINT('',(-3.17E1,1.08E1,-1.925E1)); +#4807=DIRECTION('',(0.E0,0.E0,1.E0)); +#4808=DIRECTION('',(8.823529411764E-1,4.705882352943E-1,0.E0)); +#4809=AXIS2_PLACEMENT_3D('',#4806,#4807,#4808); +#4811=CARTESIAN_POINT('',(-2.795E1,1.28E1,-1.925E1)); +#4812=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4813=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4814=AXIS2_PLACEMENT_3D('',#4811,#4812,#4813); +#4816=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4817=VECTOR('',#4816,4.45E0); +#4818=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.925E1)); +#4819=LINE('',#4818,#4817); +#4820=DIRECTION('',(1.E0,0.E0,0.E0)); +#4821=VECTOR('',#4820,5.966703869234E0); +#4822=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-1.925E1)); +#4823=LINE('',#4822,#4821); +#4824=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-1.925E1)); +#4825=DIRECTION('',(0.E0,0.E0,1.E0)); +#4826=DIRECTION('',(-6.923076923077E-1,-7.216024245882E-1,0.E0)); +#4827=AXIS2_PLACEMENT_3D('',#4824,#4825,#4826); +#4829=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4830=VECTOR('',#4829,4.690415759823E0); +#4831=CARTESIAN_POINT('',(-3.545E1,-4.954792120089E0,-1.925E1)); +#4832=LINE('',#4831,#4830); +#4833=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-1.925E1)); +#4834=DIRECTION('',(0.E0,0.E0,1.E0)); +#4835=DIRECTION('',(0.E0,1.E0,0.E0)); +#4836=AXIS2_PLACEMENT_3D('',#4833,#4834,#4835); +#4838=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4839=VECTOR('',#4838,2.5E0); +#4840=CARTESIAN_POINT('',(-3.07E1,-4.050000000001E0,-1.925E1)); +#4841=LINE('',#4840,#4839); +#4842=CARTESIAN_POINT('',(-3.07E1,-3.050000000001E0,-1.925E1)); +#4843=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4844=DIRECTION('',(1.E0,0.E0,0.E0)); +#4845=AXIS2_PLACEMENT_3D('',#4842,#4843,#4844); +#4847=CARTESIAN_POINT('',(3.07E1,-3.050000000001E0,-1.925E1)); +#4848=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4849=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4850=AXIS2_PLACEMENT_3D('',#4847,#4848,#4849); +#4852=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4853=VECTOR('',#4852,2.5E0); +#4854=CARTESIAN_POINT('',(3.32E1,-4.050000000001E0,-1.925E1)); +#4855=LINE('',#4854,#4853); +#4856=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-1.925E1)); +#4857=DIRECTION('',(0.E0,0.E0,1.E0)); +#4858=DIRECTION('',(6.923076923077E-1,7.216024245882E-1,0.E0)); +#4859=AXIS2_PLACEMENT_3D('',#4856,#4857,#4858); +#4861=DIRECTION('',(0.E0,1.E0,0.E0)); +#4862=VECTOR('',#4861,4.690415759823E0); +#4863=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-1.925E1)); +#4864=LINE('',#4863,#4862); +#4865=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-1.925E1)); +#4866=DIRECTION('',(0.E0,0.E0,1.E0)); +#4867=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4868=AXIS2_PLACEMENT_3D('',#4865,#4866,#4867); +#4870=DIRECTION('',(1.E0,0.E0,0.E0)); +#4871=VECTOR('',#4870,5.966703869234E0); +#4872=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-1.925E1)); +#4873=LINE('',#4872,#4871); +#4874=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4875=VECTOR('',#4874,4.45E0); +#4876=CARTESIAN_POINT('',(2.795E1,1.18E1,-1.925E1)); +#4877=LINE('',#4876,#4875); +#4878=CARTESIAN_POINT('',(2.795E1,1.28E1,-1.925E1)); +#4879=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4880=DIRECTION('',(8.823529411764E-1,-4.705882352943E-1,0.E0)); +#4881=AXIS2_PLACEMENT_3D('',#4878,#4879,#4880); +#4883=CARTESIAN_POINT('',(3.17E1,1.08E1,-1.925E1)); +#4884=DIRECTION('',(0.E0,0.E0,1.E0)); +#4885=DIRECTION('',(-7.216024245880E-1,6.923076923079E-1,0.E0)); +#4886=AXIS2_PLACEMENT_3D('',#4883,#4884,#4885); +#4888=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4889=VECTOR('',#4888,4.690415759822E0); +#4890=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-1.925E1)); +#4891=LINE('',#4890,#4889); +#4892=CARTESIAN_POINT('',(3.17E1,1.08E1,-1.925E1)); +#4893=DIRECTION('',(0.E0,0.E0,1.E0)); +#4894=DIRECTION('',(-2.352941176471E-1,-9.719242142270E-1,0.E0)); +#4895=AXIS2_PLACEMENT_3D('',#4892,#4893,#4894); +#4897=CARTESIAN_POINT('',(3.07E1,6.669322089535E0,-1.925E1)); +#4898=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4899=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4900=AXIS2_PLACEMENT_3D('',#4897,#4898,#4899); +#4902=DIRECTION('',(0.E0,1.E0,0.E0)); +#4903=VECTOR('',#4902,1.069322089535E0); +#4904=CARTESIAN_POINT('',(2.97E1,5.6E0,-1.925E1)); +#4905=LINE('',#4904,#4903); +#4906=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4907=VECTOR('',#4906,1.E0); +#4908=CARTESIAN_POINT('',(3.2785E1,1.08E1,-1.925E1)); +#4909=LINE('',#4908,#4907); +#4910=DIRECTION('',(0.E0,0.E0,1.E0)); +#4911=VECTOR('',#4910,1.E0); +#4912=CARTESIAN_POINT('',(3.0615E1,1.08E1,-2.025E1)); +#4913=LINE('',#4912,#4911); +#4914=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.025E1)); +#4915=DIRECTION('',(0.E0,0.E0,1.E0)); +#4916=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4917=AXIS2_PLACEMENT_3D('',#4914,#4915,#4916); +#4919=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.025E1)); +#4920=DIRECTION('',(0.E0,0.E0,1.E0)); +#4921=DIRECTION('',(1.E0,0.E0,0.E0)); +#4922=AXIS2_PLACEMENT_3D('',#4919,#4920,#4921); +#4924=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.025E1)); +#4925=DIRECTION('',(0.E0,0.E0,1.E0)); +#4926=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4927=AXIS2_PLACEMENT_3D('',#4924,#4925,#4926); +#4929=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.025E1)); +#4930=DIRECTION('',(0.E0,0.E0,1.E0)); +#4931=DIRECTION('',(1.E0,0.E0,0.E0)); +#4932=AXIS2_PLACEMENT_3D('',#4929,#4930,#4931); +#4934=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.025E1)); +#4935=DIRECTION('',(0.E0,0.E0,1.E0)); +#4936=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4937=AXIS2_PLACEMENT_3D('',#4934,#4935,#4936); +#4939=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.025E1)); +#4940=DIRECTION('',(0.E0,0.E0,1.E0)); +#4941=DIRECTION('',(1.E0,0.E0,0.E0)); +#4942=AXIS2_PLACEMENT_3D('',#4939,#4940,#4941); +#4944=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.025E1)); +#4945=DIRECTION('',(0.E0,0.E0,1.E0)); +#4946=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4947=AXIS2_PLACEMENT_3D('',#4944,#4945,#4946); +#4949=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.025E1)); +#4950=DIRECTION('',(0.E0,0.E0,1.E0)); +#4951=DIRECTION('',(1.E0,0.E0,0.E0)); +#4952=AXIS2_PLACEMENT_3D('',#4949,#4950,#4951); +#4954=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4955=VECTOR('',#4954,1.E0); +#4956=CARTESIAN_POINT('',(-3.0615E1,1.08E1,-1.925E1)); +#4957=LINE('',#4956,#4955); +#4958=DIRECTION('',(0.E0,0.E0,1.E0)); +#4959=VECTOR('',#4958,1.E0); +#4960=CARTESIAN_POINT('',(-3.2785E1,1.08E1,-2.025E1)); +#4961=LINE('',#4960,#4959); +#4962=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4963=VECTOR('',#4962,1.E0); +#4964=CARTESIAN_POINT('',(-3.2115E1,-7.300000000001E0,-1.925E1)); +#4965=LINE('',#4964,#4963); +#4966=DIRECTION('',(0.E0,0.E0,1.E0)); +#4967=VECTOR('',#4966,1.E0); +#4968=CARTESIAN_POINT('',(-3.4285E1,-7.300000000001E0,-2.025E1)); +#4969=LINE('',#4968,#4967); +#4970=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4971=VECTOR('',#4970,1.E0); +#4972=CARTESIAN_POINT('',(3.4285E1,-7.300000000001E0,-1.925E1)); +#4973=LINE('',#4972,#4971); +#4974=DIRECTION('',(0.E0,0.E0,1.E0)); +#4975=VECTOR('',#4974,1.E0); +#4976=CARTESIAN_POINT('',(3.2115E1,-7.300000000001E0,-2.025E1)); +#4977=LINE('',#4976,#4975); +#4978=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4979=VECTOR('',#4978,1.119999999992E1); +#4980=CARTESIAN_POINT('',(-2.97E1,5.599999999969E0,-5.E-1)); +#4981=LINE('',#4980,#4979); +#4982=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4983=VECTOR('',#4982,2.549999999999E0); +#4984=CARTESIAN_POINT('',(-2.97E1,-3.050000000001E0,-1.925E1)); +#4985=LINE('',#4984,#4983); +#4986=DIRECTION('',(0.E0,0.E0,1.E0)); +#4987=VECTOR('',#4986,2.5E-1); +#4988=CARTESIAN_POINT('',(-2.97E1,-3.050000000001E0,-1.95E1)); +#4989=LINE('',#4988,#4987); +#4990=DIRECTION('',(0.E0,1.E0,0.E0)); +#4991=VECTOR('',#4990,8.650000000001E0); +#4992=CARTESIAN_POINT('',(-2.97E1,-3.050000000001E0,-1.95E1)); +#4993=LINE('',#4992,#4991); +#4994=DIRECTION('',(0.E0,-1.484772056150E-14,1.E0)); +#4995=VECTOR('',#4994,5.383725902028E-1); +#4996=CARTESIAN_POINT('',(-2.97E1,6.669322089535E0,-1.978837259020E1)); +#4997=LINE('',#4996,#4995); +#4998=DIRECTION('',(0.E0,1.667785909376E-12,-1.E0)); +#4999=VECTOR('',#4998,1.875E1); +#5000=CARTESIAN_POINT('',(-2.97E1,5.599999999969E0,-5.E-1)); +#5001=LINE('',#5000,#4999); +#5002=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-5.E-1)); +#5003=DIRECTION('',(0.E0,0.E0,1.E0)); +#5004=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5005=AXIS2_PLACEMENT_3D('',#5002,#5003,#5004); +#5007=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-1.95E1)); +#5008=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5009=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5010=AXIS2_PLACEMENT_3D('',#5007,#5008,#5009); +#5012=DIRECTION('',(0.E0,0.E0,1.E0)); +#5013=VECTOR('',#5012,2.5E-1); +#5014=CARTESIAN_POINT('',(-2.723329613077E1,-1.055E1,-1.95E1)); +#5015=LINE('',#5014,#5013); +#5016=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-1.925E1)); +#5017=DIRECTION('',(0.E0,0.E0,1.E0)); +#5018=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5019=AXIS2_PLACEMENT_3D('',#5016,#5017,#5018); +#5021=DIRECTION('',(0.E0,-2.347206873310E-12,-1.E0)); +#5022=VECTOR('',#5021,1.875E1); +#5023=CARTESIAN_POINT('',(-2.97E1,-5.599999999956E0,-5.E-1)); +#5024=LINE('',#5023,#5022); +#5025=DIRECTION('',(1.E0,0.E0,0.E0)); +#5026=VECTOR('',#5025,4.699999999992E1); +#5027=CARTESIAN_POINT('',(-2.349999999997E1,-1.18E1,-5.E-1)); +#5028=LINE('',#5027,#5026); +#5029=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5030=VECTOR('',#5029,4.699999999999E1); +#5031=CARTESIAN_POINT('',(2.349999999999E1,-1.18E1,-1.95E1)); +#5032=LINE('',#5031,#5030); +#5033=DIRECTION('',(-1.330210795315E-12,0.E0,-1.E0)); +#5034=VECTOR('',#5033,1.9E1); +#5035=CARTESIAN_POINT('',(-2.349999999997E1,-1.18E1,-5.E-1)); +#5036=LINE('',#5035,#5034); +#5037=CARTESIAN_POINT('',(2.35E1,-5.6E0,-5.E-1)); +#5038=DIRECTION('',(0.E0,0.E0,1.E0)); +#5039=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5040=AXIS2_PLACEMENT_3D('',#5037,#5038,#5039); +#5042=CARTESIAN_POINT('',(2.35E1,-5.6E0,-1.925E1)); +#5043=DIRECTION('',(0.E0,0.E0,1.E0)); +#5044=DIRECTION('',(6.021445372203E-1,-7.983870967743E-1,0.E0)); +#5045=AXIS2_PLACEMENT_3D('',#5042,#5043,#5044); +#5047=DIRECTION('',(0.E0,0.E0,1.E0)); +#5048=VECTOR('',#5047,2.5E-1); +#5049=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-1.95E1)); +#5050=LINE('',#5049,#5048); +#5051=CARTESIAN_POINT('',(2.35E1,-5.6E0,-1.95E1)); +#5052=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5053=DIRECTION('',(6.021445372203E-1,-7.983870967743E-1,0.E0)); +#5054=AXIS2_PLACEMENT_3D('',#5051,#5052,#5053); +#5056=DIRECTION('',(1.965959558890E-12,0.E0,-1.E0)); +#5057=VECTOR('',#5056,1.9E1); +#5058=CARTESIAN_POINT('',(2.349999999996E1,-1.18E1,-5.E-1)); +#5059=LINE('',#5058,#5057); +#5060=DIRECTION('',(0.E0,0.E0,1.E0)); +#5061=VECTOR('',#5060,7.5E-1); +#5062=CARTESIAN_POINT('',(3.32E1,-1.055E1,-2.E1)); +#5063=LINE('',#5062,#5061); +#5064=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.E1)); +#5065=DIRECTION('',(0.E0,0.E0,1.E0)); +#5066=DIRECTION('',(0.E0,1.E0,0.E0)); +#5067=AXIS2_PLACEMENT_3D('',#5064,#5065,#5066); +#5069=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5070=VECTOR('',#5069,6.69E1); +#5071=CARTESIAN_POINT('',(3.345E1,1.605E1,-2.E1)); +#5072=LINE('',#5071,#5070); +#5073=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.E1)); +#5074=DIRECTION('',(0.E0,0.E0,1.E0)); +#5075=DIRECTION('',(1.E0,0.E0,0.E0)); +#5076=AXIS2_PLACEMENT_3D('',#5073,#5074,#5075); +#5078=DIRECTION('',(0.E0,1.E0,0.E0)); +#5079=VECTOR('',#5078,2.21E1); +#5080=CARTESIAN_POINT('',(3.845E1,-1.105E1,-2.E1)); +#5081=LINE('',#5080,#5079); +#5082=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.E1)); +#5083=DIRECTION('',(0.E0,0.E0,1.E0)); +#5084=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5085=AXIS2_PLACEMENT_3D('',#5082,#5083,#5084); +#5087=DIRECTION('',(1.E0,0.E0,0.E0)); +#5088=VECTOR('',#5087,6.69E1); +#5089=CARTESIAN_POINT('',(-3.345E1,-1.605E1,-2.E1)); +#5090=LINE('',#5089,#5088); +#5091=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.E1)); +#5092=DIRECTION('',(0.E0,0.E0,1.E0)); +#5093=DIRECTION('',(-1.E0,1.350031197944E-14,0.E0)); +#5094=AXIS2_PLACEMENT_3D('',#5091,#5092,#5093); +#5096=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5097=VECTOR('',#5096,2.21E1); +#5098=CARTESIAN_POINT('',(-3.845E1,1.105E1,-2.E1)); +#5099=LINE('',#5098,#5097); +#5100=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#5101=DIRECTION('',(0.E0,0.E0,1.E0)); +#5102=DIRECTION('',(-2.352941176470E-1,-9.719242142270E-1,0.E0)); +#5103=AXIS2_PLACEMENT_3D('',#5100,#5101,#5102); +#5105=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5106=VECTOR('',#5105,4.690415759822E0); +#5107=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-2.E1)); +#5108=LINE('',#5107,#5106); +#5109=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#5110=DIRECTION('',(0.E0,0.E0,1.E0)); +#5111=DIRECTION('',(-7.216024245880E-1,6.923076923079E-1,0.E0)); +#5112=AXIS2_PLACEMENT_3D('',#5109,#5110,#5111); +#5114=CARTESIAN_POINT('',(2.795E1,1.28E1,-2.E1)); +#5115=DIRECTION('',(0.E0,0.E0,1.E0)); +#5116=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5117=AXIS2_PLACEMENT_3D('',#5114,#5115,#5116); +#5119=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5120=VECTOR('',#5119,1.910314980160E0); +#5121=CARTESIAN_POINT('',(2.795E1,1.18E1,-2.E1)); +#5122=LINE('',#5121,#5120); +#5123=CARTESIAN_POINT('',(2.35E1,5.6E0,-2.E1)); +#5124=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5125=DIRECTION('',(0.E0,1.E0,0.E0)); +#5126=AXIS2_PLACEMENT_3D('',#5123,#5124,#5125); +#5128=DIRECTION('',(1.E0,0.E0,0.E0)); +#5129=VECTOR('',#5128,4.7E1); +#5130=CARTESIAN_POINT('',(-2.35E1,1.23E1,-2.E1)); +#5131=LINE('',#5130,#5129); +#5132=CARTESIAN_POINT('',(-2.35E1,5.6E0,-2.E1)); +#5133=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5134=DIRECTION('',(-3.790574656478E-1,9.253731343284E-1,0.E0)); +#5135=AXIS2_PLACEMENT_3D('',#5132,#5133,#5134); +#5137=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5138=VECTOR('',#5137,1.910314980160E0); +#5139=CARTESIAN_POINT('',(-2.603968501984E1,1.18E1,-2.E1)); +#5140=LINE('',#5139,#5138); +#5141=CARTESIAN_POINT('',(-2.795E1,1.28E1,-2.E1)); +#5142=DIRECTION('',(0.E0,0.E0,1.E0)); +#5143=DIRECTION('',(-8.823529411764E-1,-4.705882352943E-1,0.E0)); +#5144=AXIS2_PLACEMENT_3D('',#5141,#5142,#5143); +#5146=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#5147=DIRECTION('',(0.E0,0.E0,1.E0)); +#5148=DIRECTION('',(8.823529411764E-1,4.705882352943E-1,0.E0)); +#5149=AXIS2_PLACEMENT_3D('',#5146,#5147,#5148); +#5151=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5152=VECTOR('',#5151,4.690415759822E0); +#5153=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-2.E1)); +#5154=LINE('',#5153,#5152); +#5155=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#5156=DIRECTION('',(0.E0,0.E0,1.E0)); +#5157=DIRECTION('',(-7.216024245880E-1,6.923076923079E-1,0.E0)); +#5158=AXIS2_PLACEMENT_3D('',#5155,#5156,#5157); +#5160=CARTESIAN_POINT('',(-3.07E1,6.669322089535E0,-2.E1)); +#5161=DIRECTION('',(0.E0,0.E0,1.E0)); +#5162=DIRECTION('',(7.325819197845E-1,6.806788749512E-1,0.E0)); +#5163=AXIS2_PLACEMENT_3D('',#5160,#5161,#5162); +#5165=CARTESIAN_POINT('',(-2.35E1,5.6E0,-2.E1)); +#5166=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5167=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5168=AXIS2_PLACEMENT_3D('',#5165,#5166,#5167); +#5170=DIRECTION('',(2.538712539190E-14,1.E0,0.E0)); +#5171=VECTOR('',#5170,9.516025403785E0); +#5172=CARTESIAN_POINT('',(-3.02E1,-3.916025403785E0,-2.E1)); +#5173=LINE('',#5172,#5171); +#5174=CARTESIAN_POINT('',(-3.07E1,-3.050000000001E0,-2.E1)); +#5175=DIRECTION('',(0.E0,0.E0,1.E0)); +#5176=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5177=AXIS2_PLACEMENT_3D('',#5174,#5175,#5176); +#5179=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5180=VECTOR('',#5179,2.5E0); +#5181=CARTESIAN_POINT('',(-3.07E1,-4.050000000001E0,-2.E1)); +#5182=LINE('',#5181,#5180); +#5183=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.E1)); +#5184=DIRECTION('',(0.E0,0.E0,1.E0)); +#5185=DIRECTION('',(0.E0,1.E0,0.E0)); +#5186=AXIS2_PLACEMENT_3D('',#5183,#5184,#5185); +#5188=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5189=VECTOR('',#5188,4.690415759823E0); +#5190=CARTESIAN_POINT('',(-3.545E1,-4.954792120089E0,-2.E1)); +#5191=LINE('',#5190,#5189); +#5192=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.E1)); +#5193=DIRECTION('',(0.E0,0.E0,1.E0)); +#5194=DIRECTION('',(-6.923076923077E-1,-7.216024245882E-1,0.E0)); +#5195=AXIS2_PLACEMENT_3D('',#5192,#5193,#5194); +#5197=DIRECTION('',(1.E0,0.E0,0.E0)); +#5198=VECTOR('',#5197,5.184748069045E0); +#5199=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-2.E1)); +#5200=LINE('',#5199,#5198); +#5201=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-2.E1)); +#5202=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5203=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5204=AXIS2_PLACEMENT_3D('',#5201,#5202,#5203); +#5206=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5207=VECTOR('',#5206,4.7E1); +#5208=CARTESIAN_POINT('',(2.35E1,-1.23E1,-2.E1)); +#5209=LINE('',#5208,#5207); +#5210=CARTESIAN_POINT('',(2.35E1,-5.6E0,-2.E1)); +#5211=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5212=DIRECTION('',(6.739181986500E-1,-7.388059701494E-1,0.E0)); +#5213=AXIS2_PLACEMENT_3D('',#5210,#5211,#5212); +#5215=DIRECTION('',(1.E0,0.E0,0.E0)); +#5216=VECTOR('',#5215,5.184748069045E0); +#5217=CARTESIAN_POINT('',(2.801525193095E1,-1.055E1,-2.E1)); +#5218=LINE('',#5217,#5216); +#5219=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.E1)); +#5220=DIRECTION('',(0.E0,0.E0,1.E0)); +#5221=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5222=AXIS2_PLACEMENT_3D('',#5219,#5220,#5221); +#5224=DIRECTION('',(0.E0,1.E0,0.E0)); +#5225=VECTOR('',#5224,4.690415759823E0); +#5226=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-2.E1)); +#5227=LINE('',#5226,#5225); +#5228=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.E1)); +#5229=DIRECTION('',(0.E0,0.E0,1.E0)); +#5230=DIRECTION('',(6.923076923077E-1,7.216024245882E-1,0.E0)); +#5231=AXIS2_PLACEMENT_3D('',#5228,#5229,#5230); +#5233=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5234=VECTOR('',#5233,2.5E0); +#5235=CARTESIAN_POINT('',(3.32E1,-4.050000000001E0,-2.E1)); +#5236=LINE('',#5235,#5234); +#5237=CARTESIAN_POINT('',(3.07E1,-3.050000000001E0,-2.E1)); +#5238=DIRECTION('',(0.E0,0.E0,1.E0)); +#5239=DIRECTION('',(-5.E-1,-8.660254037844E-1,0.E0)); +#5240=AXIS2_PLACEMENT_3D('',#5237,#5238,#5239); +#5242=DIRECTION('',(3.136056666059E-14,-1.E0,0.E0)); +#5243=VECTOR('',#5242,9.516025403785E0); +#5244=CARTESIAN_POINT('',(3.02E1,5.6E0,-2.E1)); +#5245=LINE('',#5244,#5243); +#5246=CARTESIAN_POINT('',(2.35E1,5.6E0,-2.E1)); +#5247=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5248=DIRECTION('',(9.652862806292E-1,2.611941738039E-1,0.E0)); +#5249=AXIS2_PLACEMENT_3D('',#5246,#5247,#5248); +#5251=CARTESIAN_POINT('',(3.07E1,6.669322089535E0,-2.E1)); +#5252=DIRECTION('',(0.E0,0.E0,1.E0)); +#5253=DIRECTION('',(2.352941176471E-1,9.719242142269E-1,0.E0)); +#5254=AXIS2_PLACEMENT_3D('',#5251,#5252,#5253); +#5256=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.E1)); +#5257=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5258=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5259=AXIS2_PLACEMENT_3D('',#5256,#5257,#5258); +#5261=DIRECTION('',(1.E0,0.E0,0.E0)); +#5262=VECTOR('',#5261,6.69E1); +#5263=CARTESIAN_POINT('',(-3.345E1,1.335E1,-2.E1)); +#5264=LINE('',#5263,#5262); +#5265=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.E1)); +#5266=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5267=DIRECTION('',(1.235726496974E-14,1.E0,0.E0)); +#5268=AXIS2_PLACEMENT_3D('',#5265,#5266,#5267); +#5270=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5271=VECTOR('',#5270,2.21E1); +#5272=CARTESIAN_POINT('',(3.575E1,1.105E1,-2.E1)); +#5273=LINE('',#5272,#5271); +#5274=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.E1)); +#5275=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5276=DIRECTION('',(1.E0,0.E0,0.E0)); +#5277=AXIS2_PLACEMENT_3D('',#5274,#5275,#5276); +#5279=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5280=VECTOR('',#5279,6.69E1); +#5281=CARTESIAN_POINT('',(3.345E1,-1.335E1,-2.E1)); +#5282=LINE('',#5281,#5280); +#5283=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.E1)); +#5284=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5285=DIRECTION('',(-1.235726496974E-14,-1.E0,0.E0)); +#5286=AXIS2_PLACEMENT_3D('',#5283,#5284,#5285); +#5288=DIRECTION('',(0.E0,1.E0,0.E0)); +#5289=VECTOR('',#5288,2.21E1); +#5290=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-2.E1)); +#5291=LINE('',#5290,#5289); +#5292=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.E1)); +#5293=DIRECTION('',(0.E0,0.E0,1.E0)); +#5294=DIRECTION('',(0.E0,1.E0,0.E0)); +#5295=AXIS2_PLACEMENT_3D('',#5292,#5293,#5294); +#5297=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5298=VECTOR('',#5297,2.21E1); +#5299=CARTESIAN_POINT('',(-3.835E1,1.105E1,-2.E1)); +#5300=LINE('',#5299,#5298); +#5301=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.E1)); +#5302=DIRECTION('',(0.E0,0.E0,1.E0)); +#5303=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5304=AXIS2_PLACEMENT_3D('',#5301,#5302,#5303); +#5306=DIRECTION('',(1.E0,0.E0,0.E0)); +#5307=VECTOR('',#5306,6.69E1); +#5308=CARTESIAN_POINT('',(-3.345E1,-1.595E1,-2.E1)); +#5309=LINE('',#5308,#5307); +#5310=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.E1)); +#5311=DIRECTION('',(0.E0,0.E0,1.E0)); +#5312=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5313=AXIS2_PLACEMENT_3D('',#5310,#5311,#5312); +#5315=DIRECTION('',(0.E0,1.E0,0.E0)); +#5316=VECTOR('',#5315,2.21E1); +#5317=CARTESIAN_POINT('',(3.835E1,-1.105E1,-2.E1)); +#5318=LINE('',#5317,#5316); +#5319=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.E1)); +#5320=DIRECTION('',(0.E0,0.E0,1.E0)); +#5321=DIRECTION('',(1.E0,0.E0,0.E0)); +#5322=AXIS2_PLACEMENT_3D('',#5319,#5320,#5321); +#5324=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5325=VECTOR('',#5324,6.69E1); +#5326=CARTESIAN_POINT('',(3.345E1,1.595E1,-2.E1)); +#5327=LINE('',#5326,#5325); +#5328=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.15E1)); +#5329=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5330=DIRECTION('',(-9.949874371066E-1,9.999999999986E-2,0.E0)); +#5331=AXIS2_PLACEMENT_3D('',#5328,#5329,#5330); +#5333=DIRECTION('',(4.578263694081E-10,0.E0,-1.E0)); +#5334=VECTOR('',#5333,1.5E0); +#5335=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.E1)); +#5336=LINE('',#5335,#5334); +#5337=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5338=VECTOR('',#5337,8.5E0); +#5339=CARTESIAN_POINT('',(-3.845E1,1.105E1,-2.E1)); +#5340=LINE('',#5339,#5338); +#5341=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.15E1)); +#5342=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.155383736937E1)); +#5343=CARTESIAN_POINT('',(-3.840847166685E1,1.155E1,-2.165581896692E1)); +#5344=CARTESIAN_POINT('',(-3.833565989918E1,1.155E1,-2.179888295317E1)); +#5345=CARTESIAN_POINT('',(-3.822366888325E1,1.155E1,-2.191056764720E1)); +#5346=CARTESIAN_POINT('',(-3.807946135424E1,1.155E1,-2.198349656249E1)); +#5347=CARTESIAN_POINT('',(-3.797652769788E1,1.155E1,-2.2E1)); +#5348=CARTESIAN_POINT('',(-3.792213595500E1,1.155E1,-2.2E1)); +#5350=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5351=VECTOR('',#5350,1.4E1); +#5352=CARTESIAN_POINT('',(-2.47E1,7.E0,-2.2E1)); +#5353=LINE('',#5352,#5351); +#5354=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5355=VECTOR('',#5354,4.94E1); +#5356=CARTESIAN_POINT('',(2.47E1,7.E0,-2.2E1)); +#5357=LINE('',#5356,#5355); +#5358=DIRECTION('',(0.E0,1.E0,0.E0)); +#5359=VECTOR('',#5358,1.4E1); +#5360=CARTESIAN_POINT('',(2.47E1,-7.E0,-2.2E1)); +#5361=LINE('',#5360,#5359); +#5362=DIRECTION('',(1.E0,0.E0,0.E0)); +#5363=VECTOR('',#5362,4.94E1); +#5364=CARTESIAN_POINT('',(-2.47E1,-7.E0,-2.2E1)); +#5365=LINE('',#5364,#5363); +#5366=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5367=VECTOR('',#5366,1.66E1); +#5368=CARTESIAN_POINT('',(3.795E1,1.005E1,-2.2E1)); +#5369=LINE('',#5368,#5367); +#5370=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5371=VECTOR('',#5370,2.653126357515E0); +#5372=CARTESIAN_POINT('',(3.795E1,1.005E1,-2.2E1)); +#5373=LINE('',#5372,#5371); +#5374=CARTESIAN_POINT('',(3.529687364248E1,9.049999999999E0,-2.2E1)); +#5375=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5376=DIRECTION('',(-8.992184106210E-1,4.375000000002E-1,0.E0)); +#5377=AXIS2_PLACEMENT_3D('',#5374,#5375,#5376); +#5379=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.2E1)); +#5380=DIRECTION('',(0.E0,0.E0,1.E0)); +#5381=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5382=AXIS2_PLACEMENT_3D('',#5379,#5380,#5381); +#5384=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.2E1)); +#5385=DIRECTION('',(0.E0,0.E0,1.E0)); +#5386=DIRECTION('',(8.992184106211E-1,4.375E-1,0.E0)); +#5387=AXIS2_PLACEMENT_3D('',#5384,#5385,#5386); +#5389=CARTESIAN_POINT('',(3.529687364248E1,1.255E1,-2.2E1)); +#5390=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5391=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5392=AXIS2_PLACEMENT_3D('',#5389,#5390,#5391); +#5394=DIRECTION('',(1.E0,0.E0,0.E0)); +#5395=VECTOR('',#5394,2.625262312515E0); +#5396=CARTESIAN_POINT('',(3.529687364248E1,1.155E1,-2.2E1)); +#5397=LINE('',#5396,#5395); +#5398=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.2E1)); +#5399=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5400=DIRECTION('',(0.E0,1.E0,0.E0)); +#5401=AXIS2_PLACEMENT_3D('',#5398,#5399,#5400); +#5403=DIRECTION('',(1.E0,0.E0,0.E0)); +#5404=VECTOR('',#5403,6.69E1); +#5405=CARTESIAN_POINT('',(-3.345E1,1.555E1,-2.2E1)); +#5406=LINE('',#5405,#5404); +#5407=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.2E1)); +#5408=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5409=DIRECTION('',(-9.938079899999E-1,1.111111111110E-1,0.E0)); +#5410=AXIS2_PLACEMENT_3D('',#5407,#5408,#5409); +#5412=DIRECTION('',(1.E0,0.E0,0.E0)); +#5413=VECTOR('',#5412,2.625262312515E0); +#5414=CARTESIAN_POINT('',(-3.792213595500E1,1.155E1,-2.2E1)); +#5415=LINE('',#5414,#5413); +#5416=CARTESIAN_POINT('',(-3.529687364248E1,1.255E1,-2.2E1)); +#5417=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5418=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#5419=AXIS2_PLACEMENT_3D('',#5416,#5417,#5418); +#5421=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.2E1)); +#5422=DIRECTION('',(0.E0,0.E0,1.E0)); +#5423=DIRECTION('',(1.E0,0.E0,0.E0)); +#5424=AXIS2_PLACEMENT_3D('',#5421,#5422,#5423); +#5426=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.2E1)); +#5427=DIRECTION('',(0.E0,0.E0,1.E0)); +#5428=DIRECTION('',(-8.992184106211E-1,-4.375E-1,0.E0)); +#5429=AXIS2_PLACEMENT_3D('',#5426,#5427,#5428); +#5431=CARTESIAN_POINT('',(-3.529687364248E1,9.049999999999E0,-2.2E1)); +#5432=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5433=DIRECTION('',(1.421085471520E-14,1.E0,0.E0)); +#5434=AXIS2_PLACEMENT_3D('',#5431,#5432,#5433); +#5436=DIRECTION('',(1.E0,0.E0,0.E0)); +#5437=VECTOR('',#5436,2.653126357515E0); +#5438=CARTESIAN_POINT('',(-3.795E1,1.005E1,-2.2E1)); +#5439=LINE('',#5438,#5437); +#5440=DIRECTION('',(0.E0,1.E0,0.E0)); +#5441=VECTOR('',#5440,1.66E1); +#5442=CARTESIAN_POINT('',(-3.795E1,-6.550000000001E0,-2.2E1)); +#5443=LINE('',#5442,#5441); +#5444=DIRECTION('',(1.E0,0.E0,0.E0)); +#5445=VECTOR('',#5444,1.153126357515E0); +#5446=CARTESIAN_POINT('',(-3.795E1,-6.550000000001E0,-2.2E1)); +#5447=LINE('',#5446,#5445); +#5448=CARTESIAN_POINT('',(-3.679687364248E1,-5.550000000001E0,-2.2E1)); +#5449=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5450=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#5451=AXIS2_PLACEMENT_3D('',#5448,#5449,#5450); +#5453=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.2E1)); +#5454=DIRECTION('',(0.E0,0.E0,1.E0)); +#5455=DIRECTION('',(1.E0,0.E0,0.E0)); +#5456=AXIS2_PLACEMENT_3D('',#5453,#5454,#5455); +#5458=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.2E1)); +#5459=DIRECTION('',(0.E0,0.E0,1.E0)); +#5460=DIRECTION('',(-8.992184106211E-1,-4.375000000001E-1,0.E0)); +#5461=AXIS2_PLACEMENT_3D('',#5458,#5459,#5460); +#5463=CARTESIAN_POINT('',(-3.679687364248E1,-9.050000000001E0,-2.2E1)); +#5464=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5465=DIRECTION('',(0.E0,1.E0,0.E0)); +#5466=AXIS2_PLACEMENT_3D('',#5463,#5464,#5465); +#5468=DIRECTION('',(1.E0,0.E0,0.E0)); +#5469=VECTOR('',#5468,1.153126357515E0); +#5470=CARTESIAN_POINT('',(-3.795E1,-8.050000000001E0,-2.2E1)); +#5471=LINE('',#5470,#5469); +#5472=DIRECTION('',(0.E0,1.E0,0.E0)); +#5473=VECTOR('',#5472,2.999999999999E0); +#5474=CARTESIAN_POINT('',(-3.795E1,-1.105E1,-2.2E1)); +#5475=LINE('',#5474,#5473); +#5476=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.2E1)); +#5477=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5478=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5479=AXIS2_PLACEMENT_3D('',#5476,#5477,#5478); +#5481=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5482=VECTOR('',#5481,6.69E1); +#5483=CARTESIAN_POINT('',(3.345E1,-1.555E1,-2.2E1)); +#5484=LINE('',#5483,#5482); +#5485=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.2E1)); +#5486=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5487=DIRECTION('',(1.E0,0.E0,0.E0)); +#5488=AXIS2_PLACEMENT_3D('',#5485,#5486,#5487); +#5490=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5491=VECTOR('',#5490,2.999999999999E0); +#5492=CARTESIAN_POINT('',(3.795E1,-8.050000000001E0,-2.2E1)); +#5493=LINE('',#5492,#5491); +#5494=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5495=VECTOR('',#5494,1.153126357515E0); +#5496=CARTESIAN_POINT('',(3.795E1,-8.050000000001E0,-2.2E1)); +#5497=LINE('',#5496,#5495); +#5498=CARTESIAN_POINT('',(3.679687364248E1,-9.050000000001E0,-2.2E1)); +#5499=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5500=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#5501=AXIS2_PLACEMENT_3D('',#5498,#5499,#5500); +#5503=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.2E1)); +#5504=DIRECTION('',(0.E0,0.E0,1.E0)); +#5505=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5506=AXIS2_PLACEMENT_3D('',#5503,#5504,#5505); +#5508=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.2E1)); +#5509=DIRECTION('',(0.E0,0.E0,1.E0)); +#5510=DIRECTION('',(8.992184106211E-1,4.375000000001E-1,0.E0)); +#5511=AXIS2_PLACEMENT_3D('',#5508,#5509,#5510); +#5513=CARTESIAN_POINT('',(3.679687364248E1,-5.550000000001E0,-2.2E1)); +#5514=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5515=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5516=AXIS2_PLACEMENT_3D('',#5513,#5514,#5515); +#5518=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5519=VECTOR('',#5518,1.153126357515E0); +#5520=CARTESIAN_POINT('',(3.795E1,-6.550000000001E0,-2.2E1)); +#5521=LINE('',#5520,#5519); +#5522=DIRECTION('',(0.E0,1.E0,0.E0)); +#5523=VECTOR('',#5522,9.E0); +#5524=CARTESIAN_POINT('',(-2.47E1,-4.5E0,-2.6E1)); +#5525=LINE('',#5524,#5523); +#5526=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5527=VECTOR('',#5526,1.E0); +#5528=CARTESIAN_POINT('',(-2.47E1,7.E0,-2.2E1)); +#5529=LINE('',#5528,#5527); +#5530=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5531=VECTOR('',#5530,3.E0); +#5532=CARTESIAN_POINT('',(-2.47E1,-4.5E0,-2.3E1)); +#5533=LINE('',#5532,#5531); +#5534=CARTESIAN_POINT('',(2.E0,4.E0,-2.6E1)); +#5535=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5536=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5537=AXIS2_PLACEMENT_3D('',#5534,#5535,#5536); +#5539=DIRECTION('',(1.E0,0.E0,0.E0)); +#5540=VECTOR('',#5539,1.171572875254E0); +#5541=CARTESIAN_POINT('',(-5.857864376269E-1,4.5E0,-2.6E1)); +#5542=LINE('',#5541,#5540); +#5543=CARTESIAN_POINT('',(-2.E0,4.E0,-2.6E1)); +#5544=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5545=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5546=AXIS2_PLACEMENT_3D('',#5543,#5544,#5545); +#5548=DIRECTION('',(1.E0,0.E0,0.E0)); +#5549=VECTOR('',#5548,1.171572875254E0); +#5550=CARTESIAN_POINT('',(-4.585786437627E0,4.5E0,-2.6E1)); +#5551=LINE('',#5550,#5549); +#5552=CARTESIAN_POINT('',(-6.E0,4.E0,-2.6E1)); +#5553=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5554=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5555=AXIS2_PLACEMENT_3D('',#5552,#5553,#5554); +#5557=DIRECTION('',(1.E0,0.E0,0.E0)); +#5558=VECTOR('',#5557,1.171572875254E0); +#5559=CARTESIAN_POINT('',(-8.585786437627E0,4.5E0,-2.6E1)); +#5560=LINE('',#5559,#5558); +#5561=CARTESIAN_POINT('',(-1.E1,4.E0,-2.6E1)); +#5562=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5563=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5564=AXIS2_PLACEMENT_3D('',#5561,#5562,#5563); +#5566=DIRECTION('',(1.E0,0.E0,0.E0)); +#5567=VECTOR('',#5566,1.171572875253E0); +#5568=CARTESIAN_POINT('',(-1.258578643763E1,4.5E0,-2.6E1)); +#5569=LINE('',#5568,#5567); +#5570=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.6E1)); +#5571=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5572=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5573=AXIS2_PLACEMENT_3D('',#5570,#5571,#5572); +#5575=DIRECTION('',(1.E0,0.E0,0.E0)); +#5576=VECTOR('',#5575,1.171572875254E0); +#5577=CARTESIAN_POINT('',(-1.658578643763E1,4.5E0,-2.6E1)); +#5578=LINE('',#5577,#5576); +#5579=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.6E1)); +#5580=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5581=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5582=AXIS2_PLACEMENT_3D('',#5579,#5580,#5581); +#5584=DIRECTION('',(1.E0,0.E0,0.E0)); +#5585=VECTOR('',#5584,1.171572875254E0); +#5586=CARTESIAN_POINT('',(-2.058578643763E1,4.5E0,-2.6E1)); +#5587=LINE('',#5586,#5585); +#5588=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.6E1)); +#5589=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5590=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5591=AXIS2_PLACEMENT_3D('',#5588,#5589,#5590); +#5593=DIRECTION('',(1.E0,0.E0,0.E0)); +#5594=VECTOR('',#5593,1.285786437627E0); +#5595=CARTESIAN_POINT('',(-2.47E1,4.5E0,-2.6E1)); +#5596=LINE('',#5595,#5594); +#5597=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5598=VECTOR('',#5597,1.285786437627E0); +#5599=CARTESIAN_POINT('',(-2.341421356237E1,-4.5E0,-2.6E1)); +#5600=LINE('',#5599,#5598); +#5601=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.6E1)); +#5602=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5603=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5604=AXIS2_PLACEMENT_3D('',#5601,#5602,#5603); +#5606=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5607=VECTOR('',#5606,1.171572875254E0); +#5608=CARTESIAN_POINT('',(-1.941421356237E1,-4.5E0,-2.6E1)); +#5609=LINE('',#5608,#5607); +#5610=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.6E1)); +#5611=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5612=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5613=AXIS2_PLACEMENT_3D('',#5610,#5611,#5612); +#5615=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5616=VECTOR('',#5615,1.171572875254E0); +#5617=CARTESIAN_POINT('',(-1.541421356237E1,-4.5E0,-2.6E1)); +#5618=LINE('',#5617,#5616); +#5619=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.6E1)); +#5620=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5621=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5622=AXIS2_PLACEMENT_3D('',#5619,#5620,#5621); +#5624=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5625=VECTOR('',#5624,1.171572875253E0); +#5626=CARTESIAN_POINT('',(-1.141421356237E1,-4.5E0,-2.6E1)); +#5627=LINE('',#5626,#5625); +#5628=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.6E1)); +#5629=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5630=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5631=AXIS2_PLACEMENT_3D('',#5628,#5629,#5630); +#5633=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5634=VECTOR('',#5633,1.171572875254E0); +#5635=CARTESIAN_POINT('',(-7.414213562373E0,-4.5E0,-2.6E1)); +#5636=LINE('',#5635,#5634); +#5637=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.6E1)); +#5638=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5639=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5640=AXIS2_PLACEMENT_3D('',#5637,#5638,#5639); +#5642=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5643=VECTOR('',#5642,1.171572875254E0); +#5644=CARTESIAN_POINT('',(-3.414213562373E0,-4.5E0,-2.6E1)); +#5645=LINE('',#5644,#5643); +#5646=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.6E1)); +#5647=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5648=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5649=AXIS2_PLACEMENT_3D('',#5646,#5647,#5648); +#5651=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5652=VECTOR('',#5651,1.171572875254E0); +#5653=CARTESIAN_POINT('',(5.857864376269E-1,-4.5E0,-2.6E1)); +#5654=LINE('',#5653,#5652); +#5655=CARTESIAN_POINT('',(2.E0,-4.E0,-2.6E1)); +#5656=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5657=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5658=AXIS2_PLACEMENT_3D('',#5655,#5656,#5657); +#5660=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5661=VECTOR('',#5660,1.171572875254E0); +#5662=CARTESIAN_POINT('',(4.585786437627E0,-4.5E0,-2.6E1)); +#5663=LINE('',#5662,#5661); +#5664=CARTESIAN_POINT('',(6.E0,-4.E0,-2.6E1)); +#5665=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5666=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5667=AXIS2_PLACEMENT_3D('',#5664,#5665,#5666); +#5669=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5670=VECTOR('',#5669,1.171572875254E0); +#5671=CARTESIAN_POINT('',(8.585786437627E0,-4.5E0,-2.6E1)); +#5672=LINE('',#5671,#5670); +#5673=CARTESIAN_POINT('',(1.E1,-4.E0,-2.6E1)); +#5674=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5675=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5676=AXIS2_PLACEMENT_3D('',#5673,#5674,#5675); +#5678=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5679=VECTOR('',#5678,1.171572875253E0); +#5680=CARTESIAN_POINT('',(1.258578643763E1,-4.5E0,-2.6E1)); +#5681=LINE('',#5680,#5679); +#5682=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.6E1)); +#5683=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5684=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5685=AXIS2_PLACEMENT_3D('',#5682,#5683,#5684); +#5687=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5688=VECTOR('',#5687,1.171572875254E0); +#5689=CARTESIAN_POINT('',(1.658578643763E1,-4.5E0,-2.6E1)); +#5690=LINE('',#5689,#5688); +#5691=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.6E1)); +#5692=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5693=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5694=AXIS2_PLACEMENT_3D('',#5691,#5692,#5693); +#5696=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5697=VECTOR('',#5696,1.171572875254E0); +#5698=CARTESIAN_POINT('',(2.058578643763E1,-4.5E0,-2.6E1)); +#5699=LINE('',#5698,#5697); +#5700=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.6E1)); +#5701=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5702=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5703=AXIS2_PLACEMENT_3D('',#5700,#5701,#5702); +#5705=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5706=VECTOR('',#5705,1.285786437627E0); +#5707=CARTESIAN_POINT('',(2.47E1,-4.5E0,-2.6E1)); +#5708=LINE('',#5707,#5706); +#5709=DIRECTION('',(1.E0,0.E0,0.E0)); +#5710=VECTOR('',#5709,1.285786437627E0); +#5711=CARTESIAN_POINT('',(2.341421356237E1,4.5E0,-2.6E1)); +#5712=LINE('',#5711,#5710); +#5713=CARTESIAN_POINT('',(2.2E1,4.E0,-2.6E1)); +#5714=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5715=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5716=AXIS2_PLACEMENT_3D('',#5713,#5714,#5715); +#5718=DIRECTION('',(1.E0,0.E0,0.E0)); +#5719=VECTOR('',#5718,1.171572875254E0); +#5720=CARTESIAN_POINT('',(1.941421356237E1,4.5E0,-2.6E1)); +#5721=LINE('',#5720,#5719); +#5722=CARTESIAN_POINT('',(1.8E1,4.E0,-2.6E1)); +#5723=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5724=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5725=AXIS2_PLACEMENT_3D('',#5722,#5723,#5724); +#5727=DIRECTION('',(1.E0,0.E0,0.E0)); +#5728=VECTOR('',#5727,1.171572875254E0); +#5729=CARTESIAN_POINT('',(1.541421356237E1,4.5E0,-2.6E1)); +#5730=LINE('',#5729,#5728); +#5731=CARTESIAN_POINT('',(1.4E1,4.E0,-2.6E1)); +#5732=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5733=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5734=AXIS2_PLACEMENT_3D('',#5731,#5732,#5733); +#5736=DIRECTION('',(1.E0,0.E0,0.E0)); +#5737=VECTOR('',#5736,1.171572875253E0); +#5738=CARTESIAN_POINT('',(1.141421356237E1,4.5E0,-2.6E1)); +#5739=LINE('',#5738,#5737); +#5740=CARTESIAN_POINT('',(1.E1,4.E0,-2.6E1)); +#5741=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5742=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5743=AXIS2_PLACEMENT_3D('',#5740,#5741,#5742); +#5745=DIRECTION('',(1.E0,0.E0,0.E0)); +#5746=VECTOR('',#5745,1.171572875254E0); +#5747=CARTESIAN_POINT('',(7.414213562373E0,4.5E0,-2.6E1)); +#5748=LINE('',#5747,#5746); +#5749=CARTESIAN_POINT('',(6.E0,4.E0,-2.6E1)); +#5750=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5751=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5752=AXIS2_PLACEMENT_3D('',#5749,#5750,#5751); +#5754=DIRECTION('',(1.E0,0.E0,0.E0)); +#5755=VECTOR('',#5754,1.171572875254E0); +#5756=CARTESIAN_POINT('',(3.414213562373E0,4.5E0,-2.6E1)); +#5757=LINE('',#5756,#5755); +#5758=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.6E1)); +#5759=DIRECTION('',(0.E0,0.E0,1.E0)); +#5760=DIRECTION('',(1.E0,0.E0,0.E0)); +#5761=AXIS2_PLACEMENT_3D('',#5758,#5759,#5760); +#5763=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.6E1)); +#5764=DIRECTION('',(0.E0,0.E0,1.E0)); +#5765=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5766=AXIS2_PLACEMENT_3D('',#5763,#5764,#5765); +#5768=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.6E1)); +#5769=DIRECTION('',(0.E0,0.E0,1.E0)); +#5770=DIRECTION('',(1.E0,0.E0,0.E0)); +#5771=AXIS2_PLACEMENT_3D('',#5768,#5769,#5770); +#5773=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.6E1)); +#5774=DIRECTION('',(0.E0,0.E0,1.E0)); +#5775=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5776=AXIS2_PLACEMENT_3D('',#5773,#5774,#5775); +#5778=CARTESIAN_POINT('',(-6.E0,4.E0,-2.6E1)); +#5779=DIRECTION('',(0.E0,0.E0,1.E0)); +#5780=DIRECTION('',(1.E0,0.E0,0.E0)); +#5781=AXIS2_PLACEMENT_3D('',#5778,#5779,#5780); +#5783=CARTESIAN_POINT('',(-6.E0,4.E0,-2.6E1)); +#5784=DIRECTION('',(0.E0,0.E0,1.E0)); +#5785=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5786=AXIS2_PLACEMENT_3D('',#5783,#5784,#5785); +#5788=CARTESIAN_POINT('',(-2.E0,4.E0,-2.6E1)); +#5789=DIRECTION('',(0.E0,0.E0,1.E0)); +#5790=DIRECTION('',(1.E0,0.E0,0.E0)); +#5791=AXIS2_PLACEMENT_3D('',#5788,#5789,#5790); +#5793=CARTESIAN_POINT('',(-2.E0,4.E0,-2.6E1)); +#5794=DIRECTION('',(0.E0,0.E0,1.E0)); +#5795=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5796=AXIS2_PLACEMENT_3D('',#5793,#5794,#5795); +#5798=CARTESIAN_POINT('',(2.E0,4.E0,-2.6E1)); +#5799=DIRECTION('',(0.E0,0.E0,1.E0)); +#5800=DIRECTION('',(1.E0,0.E0,0.E0)); +#5801=AXIS2_PLACEMENT_3D('',#5798,#5799,#5800); +#5803=CARTESIAN_POINT('',(2.E0,4.E0,-2.6E1)); +#5804=DIRECTION('',(0.E0,0.E0,1.E0)); +#5805=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5806=AXIS2_PLACEMENT_3D('',#5803,#5804,#5805); +#5808=CARTESIAN_POINT('',(6.E0,4.E0,-2.6E1)); +#5809=DIRECTION('',(0.E0,0.E0,1.E0)); +#5810=DIRECTION('',(1.E0,0.E0,0.E0)); +#5811=AXIS2_PLACEMENT_3D('',#5808,#5809,#5810); +#5813=CARTESIAN_POINT('',(6.E0,4.E0,-2.6E1)); +#5814=DIRECTION('',(0.E0,0.E0,1.E0)); +#5815=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5816=AXIS2_PLACEMENT_3D('',#5813,#5814,#5815); +#5818=CARTESIAN_POINT('',(1.4E1,4.E0,-2.6E1)); +#5819=DIRECTION('',(0.E0,0.E0,1.E0)); +#5820=DIRECTION('',(1.E0,0.E0,0.E0)); +#5821=AXIS2_PLACEMENT_3D('',#5818,#5819,#5820); +#5823=CARTESIAN_POINT('',(1.4E1,4.E0,-2.6E1)); +#5824=DIRECTION('',(0.E0,0.E0,1.E0)); +#5825=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5826=AXIS2_PLACEMENT_3D('',#5823,#5824,#5825); +#5828=CARTESIAN_POINT('',(2.2E1,4.E0,-2.6E1)); +#5829=DIRECTION('',(0.E0,0.E0,1.E0)); +#5830=DIRECTION('',(1.E0,0.E0,0.E0)); +#5831=AXIS2_PLACEMENT_3D('',#5828,#5829,#5830); +#5833=CARTESIAN_POINT('',(2.2E1,4.E0,-2.6E1)); +#5834=DIRECTION('',(0.E0,0.E0,1.E0)); +#5835=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5836=AXIS2_PLACEMENT_3D('',#5833,#5834,#5835); +#5838=CARTESIAN_POINT('',(-2.E1,0.E0,-2.6E1)); +#5839=DIRECTION('',(0.E0,0.E0,1.E0)); +#5840=DIRECTION('',(1.E0,0.E0,0.E0)); +#5841=AXIS2_PLACEMENT_3D('',#5838,#5839,#5840); +#5843=CARTESIAN_POINT('',(-2.E1,0.E0,-2.6E1)); +#5844=DIRECTION('',(0.E0,0.E0,1.E0)); +#5845=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5846=AXIS2_PLACEMENT_3D('',#5843,#5844,#5845); +#5848=CARTESIAN_POINT('',(-1.6E1,0.E0,-2.6E1)); +#5849=DIRECTION('',(0.E0,0.E0,1.E0)); +#5850=DIRECTION('',(1.E0,0.E0,0.E0)); +#5851=AXIS2_PLACEMENT_3D('',#5848,#5849,#5850); +#5853=CARTESIAN_POINT('',(-1.6E1,0.E0,-2.6E1)); +#5854=DIRECTION('',(0.E0,0.E0,1.E0)); +#5855=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5856=AXIS2_PLACEMENT_3D('',#5853,#5854,#5855); +#5858=CARTESIAN_POINT('',(-4.E0,0.E0,-2.6E1)); +#5859=DIRECTION('',(0.E0,0.E0,1.E0)); +#5860=DIRECTION('',(1.E0,0.E0,0.E0)); +#5861=AXIS2_PLACEMENT_3D('',#5858,#5859,#5860); +#5863=CARTESIAN_POINT('',(-4.E0,0.E0,-2.6E1)); +#5864=DIRECTION('',(0.E0,0.E0,1.E0)); +#5865=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5866=AXIS2_PLACEMENT_3D('',#5863,#5864,#5865); +#5868=CARTESIAN_POINT('',(0.E0,0.E0,-2.6E1)); +#5869=DIRECTION('',(0.E0,0.E0,1.E0)); +#5870=DIRECTION('',(1.E0,0.E0,0.E0)); +#5871=AXIS2_PLACEMENT_3D('',#5868,#5869,#5870); +#5873=CARTESIAN_POINT('',(0.E0,0.E0,-2.6E1)); +#5874=DIRECTION('',(0.E0,0.E0,1.E0)); +#5875=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5876=AXIS2_PLACEMENT_3D('',#5873,#5874,#5875); +#5878=CARTESIAN_POINT('',(4.E0,0.E0,-2.6E1)); +#5879=DIRECTION('',(0.E0,0.E0,1.E0)); +#5880=DIRECTION('',(1.E0,0.E0,0.E0)); +#5881=AXIS2_PLACEMENT_3D('',#5878,#5879,#5880); +#5883=CARTESIAN_POINT('',(4.E0,0.E0,-2.6E1)); +#5884=DIRECTION('',(0.E0,0.E0,1.E0)); +#5885=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5886=AXIS2_PLACEMENT_3D('',#5883,#5884,#5885); +#5888=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-2.6E1)); +#5889=DIRECTION('',(0.E0,0.E0,1.E0)); +#5890=DIRECTION('',(1.E0,0.E0,0.E0)); +#5891=AXIS2_PLACEMENT_3D('',#5888,#5889,#5890); +#5893=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-2.6E1)); +#5894=DIRECTION('',(0.E0,0.E0,1.E0)); +#5895=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5896=AXIS2_PLACEMENT_3D('',#5893,#5894,#5895); +#5898=CARTESIAN_POINT('',(1.2E1,0.E0,-2.6E1)); +#5899=DIRECTION('',(0.E0,0.E0,1.E0)); +#5900=DIRECTION('',(1.E0,0.E0,0.E0)); +#5901=AXIS2_PLACEMENT_3D('',#5898,#5899,#5900); +#5903=CARTESIAN_POINT('',(1.2E1,0.E0,-2.6E1)); +#5904=DIRECTION('',(0.E0,0.E0,1.E0)); +#5905=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5906=AXIS2_PLACEMENT_3D('',#5903,#5904,#5905); +#5908=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.6E1)); +#5909=DIRECTION('',(0.E0,0.E0,1.E0)); +#5910=DIRECTION('',(1.E0,0.E0,0.E0)); +#5911=AXIS2_PLACEMENT_3D('',#5908,#5909,#5910); +#5913=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.6E1)); +#5914=DIRECTION('',(0.E0,0.E0,1.E0)); +#5915=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5916=AXIS2_PLACEMENT_3D('',#5913,#5914,#5915); +#5918=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.6E1)); +#5919=DIRECTION('',(0.E0,0.E0,1.E0)); +#5920=DIRECTION('',(1.E0,0.E0,0.E0)); +#5921=AXIS2_PLACEMENT_3D('',#5918,#5919,#5920); +#5923=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.6E1)); +#5924=DIRECTION('',(0.E0,0.E0,1.E0)); +#5925=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5926=AXIS2_PLACEMENT_3D('',#5923,#5924,#5925); +#5928=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.6E1)); +#5929=DIRECTION('',(0.E0,0.E0,1.E0)); +#5930=DIRECTION('',(1.E0,0.E0,0.E0)); +#5931=AXIS2_PLACEMENT_3D('',#5928,#5929,#5930); +#5933=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.6E1)); +#5934=DIRECTION('',(0.E0,0.E0,1.E0)); +#5935=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5936=AXIS2_PLACEMENT_3D('',#5933,#5934,#5935); +#5938=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.6E1)); +#5939=DIRECTION('',(0.E0,0.E0,1.E0)); +#5940=DIRECTION('',(1.E0,0.E0,0.E0)); +#5941=AXIS2_PLACEMENT_3D('',#5938,#5939,#5940); +#5943=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.6E1)); +#5944=DIRECTION('',(0.E0,0.E0,1.E0)); +#5945=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5946=AXIS2_PLACEMENT_3D('',#5943,#5944,#5945); +#5948=CARTESIAN_POINT('',(2.E0,-4.E0,-2.6E1)); +#5949=DIRECTION('',(0.E0,0.E0,1.E0)); +#5950=DIRECTION('',(1.E0,0.E0,0.E0)); +#5951=AXIS2_PLACEMENT_3D('',#5948,#5949,#5950); +#5953=CARTESIAN_POINT('',(2.E0,-4.E0,-2.6E1)); +#5954=DIRECTION('',(0.E0,0.E0,1.E0)); +#5955=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5956=AXIS2_PLACEMENT_3D('',#5953,#5954,#5955); +#5958=CARTESIAN_POINT('',(6.E0,-4.E0,-2.6E1)); +#5959=DIRECTION('',(0.E0,0.E0,1.E0)); +#5960=DIRECTION('',(1.E0,0.E0,0.E0)); +#5961=AXIS2_PLACEMENT_3D('',#5958,#5959,#5960); +#5963=CARTESIAN_POINT('',(6.E0,-4.E0,-2.6E1)); +#5964=DIRECTION('',(0.E0,0.E0,1.E0)); +#5965=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5966=AXIS2_PLACEMENT_3D('',#5963,#5964,#5965); +#5968=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.6E1)); +#5969=DIRECTION('',(0.E0,0.E0,1.E0)); +#5970=DIRECTION('',(1.E0,0.E0,0.E0)); +#5971=AXIS2_PLACEMENT_3D('',#5968,#5969,#5970); +#5973=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.6E1)); +#5974=DIRECTION('',(0.E0,0.E0,1.E0)); +#5975=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5976=AXIS2_PLACEMENT_3D('',#5973,#5974,#5975); +#5978=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.6E1)); +#5979=DIRECTION('',(0.E0,0.E0,1.E0)); +#5980=DIRECTION('',(1.E0,0.E0,0.E0)); +#5981=AXIS2_PLACEMENT_3D('',#5978,#5979,#5980); +#5983=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.6E1)); +#5984=DIRECTION('',(0.E0,0.E0,1.E0)); +#5985=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5986=AXIS2_PLACEMENT_3D('',#5983,#5984,#5985); +#5988=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.6E1)); +#5989=DIRECTION('',(0.E0,0.E0,1.E0)); +#5990=DIRECTION('',(1.E0,0.E0,0.E0)); +#5991=AXIS2_PLACEMENT_3D('',#5988,#5989,#5990); +#5993=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.6E1)); +#5994=DIRECTION('',(0.E0,0.E0,1.E0)); +#5995=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5996=AXIS2_PLACEMENT_3D('',#5993,#5994,#5995); +#5998=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.6E1)); +#5999=DIRECTION('',(0.E0,0.E0,1.E0)); +#6000=DIRECTION('',(1.E0,0.E0,0.E0)); +#6001=AXIS2_PLACEMENT_3D('',#5998,#5999,#6000); +#6003=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.6E1)); +#6004=DIRECTION('',(0.E0,0.E0,1.E0)); +#6005=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6006=AXIS2_PLACEMENT_3D('',#6003,#6004,#6005); +#6008=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.6E1)); +#6009=DIRECTION('',(0.E0,0.E0,1.E0)); +#6010=DIRECTION('',(1.E0,0.E0,0.E0)); +#6011=AXIS2_PLACEMENT_3D('',#6008,#6009,#6010); +#6013=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.6E1)); +#6014=DIRECTION('',(0.E0,0.E0,1.E0)); +#6015=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6016=AXIS2_PLACEMENT_3D('',#6013,#6014,#6015); +#6018=CARTESIAN_POINT('',(-1.E1,4.E0,-2.6E1)); +#6019=DIRECTION('',(0.E0,0.E0,1.E0)); +#6020=DIRECTION('',(1.E0,0.E0,0.E0)); +#6021=AXIS2_PLACEMENT_3D('',#6018,#6019,#6020); +#6023=CARTESIAN_POINT('',(-1.E1,4.E0,-2.6E1)); +#6024=DIRECTION('',(0.E0,0.E0,1.E0)); +#6025=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6026=AXIS2_PLACEMENT_3D('',#6023,#6024,#6025); +#6028=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-2.6E1)); +#6029=DIRECTION('',(0.E0,0.E0,1.E0)); +#6030=DIRECTION('',(1.E0,0.E0,0.E0)); +#6031=AXIS2_PLACEMENT_3D('',#6028,#6029,#6030); +#6033=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-2.6E1)); +#6034=DIRECTION('',(0.E0,0.E0,1.E0)); +#6035=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6036=AXIS2_PLACEMENT_3D('',#6033,#6034,#6035); +#6038=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.6E1)); +#6039=DIRECTION('',(0.E0,0.E0,1.E0)); +#6040=DIRECTION('',(1.E0,0.E0,0.E0)); +#6041=AXIS2_PLACEMENT_3D('',#6038,#6039,#6040); +#6043=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.6E1)); +#6044=DIRECTION('',(0.E0,0.E0,1.E0)); +#6045=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6046=AXIS2_PLACEMENT_3D('',#6043,#6044,#6045); +#6048=CARTESIAN_POINT('',(1.E1,4.E0,-2.6E1)); +#6049=DIRECTION('',(0.E0,0.E0,1.E0)); +#6050=DIRECTION('',(1.E0,0.E0,0.E0)); +#6051=AXIS2_PLACEMENT_3D('',#6048,#6049,#6050); +#6053=CARTESIAN_POINT('',(1.E1,4.E0,-2.6E1)); +#6054=DIRECTION('',(0.E0,0.E0,1.E0)); +#6055=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6056=AXIS2_PLACEMENT_3D('',#6053,#6054,#6055); +#6058=CARTESIAN_POINT('',(1.8E1,4.E0,-2.6E1)); +#6059=DIRECTION('',(0.E0,0.E0,1.E0)); +#6060=DIRECTION('',(1.E0,0.E0,0.E0)); +#6061=AXIS2_PLACEMENT_3D('',#6058,#6059,#6060); +#6063=CARTESIAN_POINT('',(1.8E1,4.E0,-2.6E1)); +#6064=DIRECTION('',(0.E0,0.E0,1.E0)); +#6065=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6066=AXIS2_PLACEMENT_3D('',#6063,#6064,#6065); +#6068=CARTESIAN_POINT('',(2.E1,0.E0,-2.6E1)); +#6069=DIRECTION('',(0.E0,0.E0,1.E0)); +#6070=DIRECTION('',(1.E0,0.E0,0.E0)); +#6071=AXIS2_PLACEMENT_3D('',#6068,#6069,#6070); +#6073=CARTESIAN_POINT('',(2.E1,0.E0,-2.6E1)); +#6074=DIRECTION('',(0.E0,0.E0,1.E0)); +#6075=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6076=AXIS2_PLACEMENT_3D('',#6073,#6074,#6075); +#6078=CARTESIAN_POINT('',(1.6E1,0.E0,-2.6E1)); +#6079=DIRECTION('',(0.E0,0.E0,1.E0)); +#6080=DIRECTION('',(1.E0,0.E0,0.E0)); +#6081=AXIS2_PLACEMENT_3D('',#6078,#6079,#6080); +#6083=CARTESIAN_POINT('',(1.6E1,0.E0,-2.6E1)); +#6084=DIRECTION('',(0.E0,0.E0,1.E0)); +#6085=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6086=AXIS2_PLACEMENT_3D('',#6083,#6084,#6085); +#6088=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.6E1)); +#6089=DIRECTION('',(0.E0,0.E0,1.E0)); +#6090=DIRECTION('',(1.E0,0.E0,0.E0)); +#6091=AXIS2_PLACEMENT_3D('',#6088,#6089,#6090); +#6093=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.6E1)); +#6094=DIRECTION('',(0.E0,0.E0,1.E0)); +#6095=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6096=AXIS2_PLACEMENT_3D('',#6093,#6094,#6095); +#6098=CARTESIAN_POINT('',(1.E1,-4.E0,-2.6E1)); +#6099=DIRECTION('',(0.E0,0.E0,1.E0)); +#6100=DIRECTION('',(1.E0,0.E0,0.E0)); +#6101=AXIS2_PLACEMENT_3D('',#6098,#6099,#6100); +#6103=CARTESIAN_POINT('',(1.E1,-4.E0,-2.6E1)); +#6104=DIRECTION('',(0.E0,0.E0,1.E0)); +#6105=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6106=AXIS2_PLACEMENT_3D('',#6103,#6104,#6105); +#6108=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6109=VECTOR('',#6108,3.E0); +#6110=CARTESIAN_POINT('',(5.857864376269E-1,4.5E0,-2.3E1)); +#6111=LINE('',#6110,#6109); +#6112=CARTESIAN_POINT('',(2.E0,4.E0,-2.3E1)); +#6113=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6114=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6115=AXIS2_PLACEMENT_3D('',#6112,#6113,#6114); +#6117=DIRECTION('',(1.E0,0.E0,0.E0)); +#6118=VECTOR('',#6117,1.171572875254E0); +#6119=CARTESIAN_POINT('',(3.414213562373E0,4.5E0,-2.3E1)); +#6120=LINE('',#6119,#6118); +#6121=CARTESIAN_POINT('',(6.E0,4.E0,-2.3E1)); +#6122=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6123=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6124=AXIS2_PLACEMENT_3D('',#6121,#6122,#6123); +#6126=DIRECTION('',(1.E0,0.E0,0.E0)); +#6127=VECTOR('',#6126,1.171572875254E0); +#6128=CARTESIAN_POINT('',(7.414213562373E0,4.5E0,-2.3E1)); +#6129=LINE('',#6128,#6127); +#6130=CARTESIAN_POINT('',(1.E1,4.E0,-2.3E1)); +#6131=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6132=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6133=AXIS2_PLACEMENT_3D('',#6130,#6131,#6132); +#6135=DIRECTION('',(1.E0,0.E0,0.E0)); +#6136=VECTOR('',#6135,1.171572875253E0); +#6137=CARTESIAN_POINT('',(1.141421356237E1,4.5E0,-2.3E1)); +#6138=LINE('',#6137,#6136); +#6139=CARTESIAN_POINT('',(1.4E1,4.E0,-2.3E1)); +#6140=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6141=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6142=AXIS2_PLACEMENT_3D('',#6139,#6140,#6141); +#6144=DIRECTION('',(1.E0,0.E0,0.E0)); +#6145=VECTOR('',#6144,1.171572875254E0); +#6146=CARTESIAN_POINT('',(1.541421356237E1,4.5E0,-2.3E1)); +#6147=LINE('',#6146,#6145); +#6148=CARTESIAN_POINT('',(1.8E1,4.E0,-2.3E1)); +#6149=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6150=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6151=AXIS2_PLACEMENT_3D('',#6148,#6149,#6150); +#6153=DIRECTION('',(1.E0,0.E0,0.E0)); +#6154=VECTOR('',#6153,1.171572875254E0); +#6155=CARTESIAN_POINT('',(1.941421356237E1,4.5E0,-2.3E1)); +#6156=LINE('',#6155,#6154); +#6157=CARTESIAN_POINT('',(2.2E1,4.E0,-2.3E1)); +#6158=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6159=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6160=AXIS2_PLACEMENT_3D('',#6157,#6158,#6159); +#6162=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6163=VECTOR('',#6162,1.285786437627E0); +#6164=CARTESIAN_POINT('',(2.47E1,4.5E0,-2.3E1)); +#6165=LINE('',#6164,#6163); +#6166=DIRECTION('',(0.E0,1.E0,0.E0)); +#6167=VECTOR('',#6166,2.5E0); +#6168=CARTESIAN_POINT('',(2.47E1,4.5E0,-2.3E1)); +#6169=LINE('',#6168,#6167); +#6170=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6171=VECTOR('',#6170,4.94E1); +#6172=CARTESIAN_POINT('',(2.47E1,7.E0,-2.3E1)); +#6173=LINE('',#6172,#6171); +#6174=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6175=VECTOR('',#6174,2.5E0); +#6176=CARTESIAN_POINT('',(-2.47E1,7.E0,-2.3E1)); +#6177=LINE('',#6176,#6175); +#6178=DIRECTION('',(1.E0,0.E0,0.E0)); +#6179=VECTOR('',#6178,1.285786437627E0); +#6180=CARTESIAN_POINT('',(-2.47E1,4.5E0,-2.3E1)); +#6181=LINE('',#6180,#6179); +#6182=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.3E1)); +#6183=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6184=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6185=AXIS2_PLACEMENT_3D('',#6182,#6183,#6184); +#6187=DIRECTION('',(1.E0,0.E0,0.E0)); +#6188=VECTOR('',#6187,1.171572875254E0); +#6189=CARTESIAN_POINT('',(-2.058578643763E1,4.5E0,-2.3E1)); +#6190=LINE('',#6189,#6188); +#6191=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.3E1)); +#6192=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6193=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6194=AXIS2_PLACEMENT_3D('',#6191,#6192,#6193); +#6196=DIRECTION('',(1.E0,0.E0,0.E0)); +#6197=VECTOR('',#6196,1.171572875254E0); +#6198=CARTESIAN_POINT('',(-1.658578643763E1,4.5E0,-2.3E1)); +#6199=LINE('',#6198,#6197); +#6200=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.3E1)); +#6201=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6202=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6203=AXIS2_PLACEMENT_3D('',#6200,#6201,#6202); +#6205=DIRECTION('',(1.E0,0.E0,0.E0)); +#6206=VECTOR('',#6205,1.171572875253E0); +#6207=CARTESIAN_POINT('',(-1.258578643763E1,4.5E0,-2.3E1)); +#6208=LINE('',#6207,#6206); +#6209=CARTESIAN_POINT('',(-1.E1,4.E0,-2.3E1)); +#6210=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6211=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6212=AXIS2_PLACEMENT_3D('',#6209,#6210,#6211); +#6214=DIRECTION('',(1.E0,0.E0,0.E0)); +#6215=VECTOR('',#6214,1.171572875254E0); +#6216=CARTESIAN_POINT('',(-8.585786437627E0,4.5E0,-2.3E1)); +#6217=LINE('',#6216,#6215); +#6218=CARTESIAN_POINT('',(-6.E0,4.E0,-2.3E1)); +#6219=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6220=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6221=AXIS2_PLACEMENT_3D('',#6218,#6219,#6220); +#6223=DIRECTION('',(1.E0,0.E0,0.E0)); +#6224=VECTOR('',#6223,1.171572875254E0); +#6225=CARTESIAN_POINT('',(-4.585786437627E0,4.5E0,-2.3E1)); +#6226=LINE('',#6225,#6224); +#6227=CARTESIAN_POINT('',(-2.E0,4.E0,-2.3E1)); +#6228=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6229=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6230=AXIS2_PLACEMENT_3D('',#6227,#6228,#6229); +#6232=DIRECTION('',(1.E0,0.E0,0.E0)); +#6233=VECTOR('',#6232,1.171572875254E0); +#6234=CARTESIAN_POINT('',(-5.857864376269E-1,4.5E0,-2.3E1)); +#6235=LINE('',#6234,#6233); +#6236=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6237=VECTOR('',#6236,2.5E0); +#6238=CARTESIAN_POINT('',(-2.47E1,-4.5E0,-2.3E1)); +#6239=LINE('',#6238,#6237); +#6240=DIRECTION('',(1.E0,0.E0,0.E0)); +#6241=VECTOR('',#6240,4.94E1); +#6242=CARTESIAN_POINT('',(-2.47E1,-7.E0,-2.3E1)); +#6243=LINE('',#6242,#6241); +#6244=DIRECTION('',(0.E0,1.E0,0.E0)); +#6245=VECTOR('',#6244,2.5E0); +#6246=CARTESIAN_POINT('',(2.47E1,-7.E0,-2.3E1)); +#6247=LINE('',#6246,#6245); +#6248=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6249=VECTOR('',#6248,1.285786437627E0); +#6250=CARTESIAN_POINT('',(2.47E1,-4.5E0,-2.3E1)); +#6251=LINE('',#6250,#6249); +#6252=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.3E1)); +#6253=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6254=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6255=AXIS2_PLACEMENT_3D('',#6252,#6253,#6254); +#6257=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6258=VECTOR('',#6257,1.171572875254E0); +#6259=CARTESIAN_POINT('',(2.058578643763E1,-4.5E0,-2.3E1)); +#6260=LINE('',#6259,#6258); +#6261=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.3E1)); +#6262=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6263=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6264=AXIS2_PLACEMENT_3D('',#6261,#6262,#6263); +#6266=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6267=VECTOR('',#6266,1.171572875254E0); +#6268=CARTESIAN_POINT('',(1.658578643763E1,-4.5E0,-2.3E1)); +#6269=LINE('',#6268,#6267); +#6270=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.3E1)); +#6271=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6272=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6273=AXIS2_PLACEMENT_3D('',#6270,#6271,#6272); +#6275=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6276=VECTOR('',#6275,1.171572875253E0); +#6277=CARTESIAN_POINT('',(1.258578643763E1,-4.5E0,-2.3E1)); +#6278=LINE('',#6277,#6276); +#6279=CARTESIAN_POINT('',(1.E1,-4.E0,-2.3E1)); +#6280=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6281=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6282=AXIS2_PLACEMENT_3D('',#6279,#6280,#6281); +#6284=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6285=VECTOR('',#6284,1.171572875254E0); +#6286=CARTESIAN_POINT('',(8.585786437627E0,-4.5E0,-2.3E1)); +#6287=LINE('',#6286,#6285); +#6288=CARTESIAN_POINT('',(6.E0,-4.E0,-2.3E1)); +#6289=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6290=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6291=AXIS2_PLACEMENT_3D('',#6288,#6289,#6290); +#6293=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6294=VECTOR('',#6293,1.171572875254E0); +#6295=CARTESIAN_POINT('',(4.585786437627E0,-4.5E0,-2.3E1)); +#6296=LINE('',#6295,#6294); +#6297=CARTESIAN_POINT('',(2.E0,-4.E0,-2.3E1)); +#6298=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6299=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6300=AXIS2_PLACEMENT_3D('',#6297,#6298,#6299); +#6302=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6303=VECTOR('',#6302,1.171572875254E0); +#6304=CARTESIAN_POINT('',(5.857864376269E-1,-4.5E0,-2.3E1)); +#6305=LINE('',#6304,#6303); +#6306=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.3E1)); +#6307=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6308=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6309=AXIS2_PLACEMENT_3D('',#6306,#6307,#6308); +#6311=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6312=VECTOR('',#6311,1.171572875254E0); +#6313=CARTESIAN_POINT('',(-3.414213562373E0,-4.5E0,-2.3E1)); +#6314=LINE('',#6313,#6312); +#6315=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.3E1)); +#6316=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6317=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6318=AXIS2_PLACEMENT_3D('',#6315,#6316,#6317); +#6320=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6321=VECTOR('',#6320,1.171572875254E0); +#6322=CARTESIAN_POINT('',(-7.414213562373E0,-4.5E0,-2.3E1)); +#6323=LINE('',#6322,#6321); +#6324=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.3E1)); +#6325=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6326=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6327=AXIS2_PLACEMENT_3D('',#6324,#6325,#6326); +#6329=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6330=VECTOR('',#6329,1.171572875253E0); +#6331=CARTESIAN_POINT('',(-1.141421356237E1,-4.5E0,-2.3E1)); +#6332=LINE('',#6331,#6330); +#6333=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.3E1)); +#6334=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6335=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6336=AXIS2_PLACEMENT_3D('',#6333,#6334,#6335); +#6338=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6339=VECTOR('',#6338,1.171572875254E0); +#6340=CARTESIAN_POINT('',(-1.541421356237E1,-4.5E0,-2.3E1)); +#6341=LINE('',#6340,#6339); +#6342=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.3E1)); +#6343=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6344=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6345=AXIS2_PLACEMENT_3D('',#6342,#6343,#6344); +#6347=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6348=VECTOR('',#6347,1.171572875254E0); +#6349=CARTESIAN_POINT('',(-1.941421356237E1,-4.5E0,-2.3E1)); +#6350=LINE('',#6349,#6348); +#6351=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.3E1)); +#6352=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6353=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6354=AXIS2_PLACEMENT_3D('',#6351,#6352,#6353); +#6356=DIRECTION('',(1.E0,0.E0,0.E0)); +#6357=VECTOR('',#6356,1.285786437627E0); +#6358=CARTESIAN_POINT('',(-2.47E1,-4.5E0,-2.3E1)); +#6359=LINE('',#6358,#6357); +#6360=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6361=VECTOR('',#6360,3.E0); +#6362=CARTESIAN_POINT('',(3.414213562373E0,4.5E0,-2.3E1)); +#6363=LINE('',#6362,#6361); +#6364=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6365=VECTOR('',#6364,3.E0); +#6366=CARTESIAN_POINT('',(4.585786437627E0,4.5E0,-2.3E1)); +#6367=LINE('',#6366,#6365); +#6368=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6369=VECTOR('',#6368,3.E0); +#6370=CARTESIAN_POINT('',(7.414213562373E0,4.5E0,-2.3E1)); +#6371=LINE('',#6370,#6369); +#6372=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6373=VECTOR('',#6372,3.E0); +#6374=CARTESIAN_POINT('',(8.585786437627E0,4.5E0,-2.3E1)); +#6375=LINE('',#6374,#6373); +#6376=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6377=VECTOR('',#6376,3.E0); +#6378=CARTESIAN_POINT('',(1.141421356237E1,4.5E0,-2.3E1)); +#6379=LINE('',#6378,#6377); +#6380=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6381=VECTOR('',#6380,3.E0); +#6382=CARTESIAN_POINT('',(1.258578643763E1,4.5E0,-2.3E1)); +#6383=LINE('',#6382,#6381); +#6384=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6385=VECTOR('',#6384,3.E0); +#6386=CARTESIAN_POINT('',(1.541421356237E1,4.5E0,-2.3E1)); +#6387=LINE('',#6386,#6385); +#6388=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6389=VECTOR('',#6388,3.E0); +#6390=CARTESIAN_POINT('',(1.658578643763E1,4.5E0,-2.3E1)); +#6391=LINE('',#6390,#6389); +#6392=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6393=VECTOR('',#6392,3.E0); +#6394=CARTESIAN_POINT('',(1.941421356237E1,4.5E0,-2.3E1)); +#6395=LINE('',#6394,#6393); +#6396=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6397=VECTOR('',#6396,3.E0); +#6398=CARTESIAN_POINT('',(2.058578643763E1,4.5E0,-2.3E1)); +#6399=LINE('',#6398,#6397); +#6400=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6401=VECTOR('',#6400,3.E0); +#6402=CARTESIAN_POINT('',(2.341421356237E1,4.5E0,-2.3E1)); +#6403=LINE('',#6402,#6401); +#6404=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6405=VECTOR('',#6404,9.E0); +#6406=CARTESIAN_POINT('',(2.47E1,4.5E0,-2.6E1)); +#6407=LINE('',#6406,#6405); +#6408=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6409=VECTOR('',#6408,1.E0); +#6410=CARTESIAN_POINT('',(2.47E1,-7.E0,-2.2E1)); +#6411=LINE('',#6410,#6409); +#6412=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6413=VECTOR('',#6412,3.E0); +#6414=CARTESIAN_POINT('',(2.47E1,4.5E0,-2.3E1)); +#6415=LINE('',#6414,#6413); +#6416=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6417=VECTOR('',#6416,3.E0); +#6418=CARTESIAN_POINT('',(2.47E1,-4.5E0,-2.3E1)); +#6419=LINE('',#6418,#6417); +#6420=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6421=VECTOR('',#6420,3.E0); +#6422=CARTESIAN_POINT('',(2.341421356237E1,-4.5E0,-2.3E1)); +#6423=LINE('',#6422,#6421); +#6424=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6425=VECTOR('',#6424,3.E0); +#6426=CARTESIAN_POINT('',(2.058578643763E1,-4.5E0,-2.3E1)); +#6427=LINE('',#6426,#6425); +#6428=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6429=VECTOR('',#6428,3.E0); +#6430=CARTESIAN_POINT('',(1.941421356237E1,-4.5E0,-2.3E1)); +#6431=LINE('',#6430,#6429); +#6432=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6433=VECTOR('',#6432,3.E0); +#6434=CARTESIAN_POINT('',(1.658578643763E1,-4.5E0,-2.3E1)); +#6435=LINE('',#6434,#6433); +#6436=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6437=VECTOR('',#6436,3.E0); +#6438=CARTESIAN_POINT('',(1.541421356237E1,-4.5E0,-2.3E1)); +#6439=LINE('',#6438,#6437); +#6440=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6441=VECTOR('',#6440,3.E0); +#6442=CARTESIAN_POINT('',(1.258578643763E1,-4.5E0,-2.3E1)); +#6443=LINE('',#6442,#6441); +#6444=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6445=VECTOR('',#6444,3.E0); +#6446=CARTESIAN_POINT('',(1.141421356237E1,-4.5E0,-2.3E1)); +#6447=LINE('',#6446,#6445); +#6448=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6449=VECTOR('',#6448,3.E0); +#6450=CARTESIAN_POINT('',(8.585786437627E0,-4.5E0,-2.3E1)); +#6451=LINE('',#6450,#6449); +#6452=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6453=VECTOR('',#6452,3.E0); +#6454=CARTESIAN_POINT('',(7.414213562373E0,-4.5E0,-2.3E1)); +#6455=LINE('',#6454,#6453); +#6456=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6457=VECTOR('',#6456,3.E0); +#6458=CARTESIAN_POINT('',(4.585786437627E0,-4.5E0,-2.3E1)); +#6459=LINE('',#6458,#6457); +#6460=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6461=VECTOR('',#6460,3.E0); +#6462=CARTESIAN_POINT('',(3.414213562373E0,-4.5E0,-2.3E1)); +#6463=LINE('',#6462,#6461); +#6464=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6465=VECTOR('',#6464,3.E0); +#6466=CARTESIAN_POINT('',(5.857864376269E-1,-4.5E0,-2.3E1)); +#6467=LINE('',#6466,#6465); +#6468=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6469=VECTOR('',#6468,3.E0); +#6470=CARTESIAN_POINT('',(-5.857864376269E-1,-4.5E0,-2.3E1)); +#6471=LINE('',#6470,#6469); +#6472=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6473=VECTOR('',#6472,3.E0); +#6474=CARTESIAN_POINT('',(-3.414213562373E0,-4.5E0,-2.3E1)); +#6475=LINE('',#6474,#6473); +#6476=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6477=VECTOR('',#6476,3.E0); +#6478=CARTESIAN_POINT('',(-4.585786437627E0,-4.5E0,-2.3E1)); +#6479=LINE('',#6478,#6477); +#6480=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6481=VECTOR('',#6480,3.E0); +#6482=CARTESIAN_POINT('',(-7.414213562373E0,-4.5E0,-2.3E1)); +#6483=LINE('',#6482,#6481); +#6484=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6485=VECTOR('',#6484,3.E0); +#6486=CARTESIAN_POINT('',(-8.585786437627E0,-4.5E0,-2.3E1)); +#6487=LINE('',#6486,#6485); +#6488=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6489=VECTOR('',#6488,3.E0); +#6490=CARTESIAN_POINT('',(-1.141421356237E1,-4.5E0,-2.3E1)); +#6491=LINE('',#6490,#6489); +#6492=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6493=VECTOR('',#6492,3.E0); +#6494=CARTESIAN_POINT('',(-1.258578643763E1,-4.5E0,-2.3E1)); +#6495=LINE('',#6494,#6493); +#6496=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6497=VECTOR('',#6496,3.E0); +#6498=CARTESIAN_POINT('',(-1.541421356237E1,-4.5E0,-2.3E1)); +#6499=LINE('',#6498,#6497); +#6500=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6501=VECTOR('',#6500,3.E0); +#6502=CARTESIAN_POINT('',(-1.658578643763E1,-4.5E0,-2.3E1)); +#6503=LINE('',#6502,#6501); +#6504=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6505=VECTOR('',#6504,3.E0); +#6506=CARTESIAN_POINT('',(-1.941421356237E1,-4.5E0,-2.3E1)); +#6507=LINE('',#6506,#6505); +#6508=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6509=VECTOR('',#6508,3.E0); +#6510=CARTESIAN_POINT('',(-2.058578643763E1,-4.5E0,-2.3E1)); +#6511=LINE('',#6510,#6509); +#6512=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6513=VECTOR('',#6512,3.E0); +#6514=CARTESIAN_POINT('',(-2.341421356237E1,-4.5E0,-2.3E1)); +#6515=LINE('',#6514,#6513); +#6516=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6517=VECTOR('',#6516,1.E0); +#6518=CARTESIAN_POINT('',(-2.47E1,-7.E0,-2.2E1)); +#6519=LINE('',#6518,#6517); +#6520=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6521=VECTOR('',#6520,1.E0); +#6522=CARTESIAN_POINT('',(2.47E1,7.E0,-2.2E1)); +#6523=LINE('',#6522,#6521); +#6524=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6525=VECTOR('',#6524,3.E0); +#6526=CARTESIAN_POINT('',(-2.47E1,4.5E0,-2.3E1)); +#6527=LINE('',#6526,#6525); +#6528=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6529=VECTOR('',#6528,3.E0); +#6530=CARTESIAN_POINT('',(-2.341421356237E1,4.5E0,-2.3E1)); +#6531=LINE('',#6530,#6529); +#6532=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6533=VECTOR('',#6532,3.E0); +#6534=CARTESIAN_POINT('',(-2.058578643763E1,4.5E0,-2.3E1)); +#6535=LINE('',#6534,#6533); +#6536=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6537=VECTOR('',#6536,3.E0); +#6538=CARTESIAN_POINT('',(-1.941421356237E1,4.5E0,-2.3E1)); +#6539=LINE('',#6538,#6537); +#6540=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6541=VECTOR('',#6540,3.E0); +#6542=CARTESIAN_POINT('',(-1.658578643763E1,4.5E0,-2.3E1)); +#6543=LINE('',#6542,#6541); +#6544=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6545=VECTOR('',#6544,3.E0); +#6546=CARTESIAN_POINT('',(-1.541421356237E1,4.5E0,-2.3E1)); +#6547=LINE('',#6546,#6545); +#6548=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6549=VECTOR('',#6548,3.E0); +#6550=CARTESIAN_POINT('',(-1.258578643763E1,4.5E0,-2.3E1)); +#6551=LINE('',#6550,#6549); +#6552=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6553=VECTOR('',#6552,3.E0); +#6554=CARTESIAN_POINT('',(-1.141421356237E1,4.5E0,-2.3E1)); +#6555=LINE('',#6554,#6553); +#6556=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6557=VECTOR('',#6556,3.E0); +#6558=CARTESIAN_POINT('',(-8.585786437627E0,4.5E0,-2.3E1)); +#6559=LINE('',#6558,#6557); +#6560=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6561=VECTOR('',#6560,3.E0); +#6562=CARTESIAN_POINT('',(-7.414213562373E0,4.5E0,-2.3E1)); +#6563=LINE('',#6562,#6561); +#6564=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6565=VECTOR('',#6564,3.E0); +#6566=CARTESIAN_POINT('',(-4.585786437627E0,4.5E0,-2.3E1)); +#6567=LINE('',#6566,#6565); +#6568=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6569=VECTOR('',#6568,3.E0); +#6570=CARTESIAN_POINT('',(-3.414213562373E0,4.5E0,-2.3E1)); +#6571=LINE('',#6570,#6569); +#6572=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6573=VECTOR('',#6572,3.E0); +#6574=CARTESIAN_POINT('',(-5.857864376269E-1,4.5E0,-2.3E1)); +#6575=LINE('',#6574,#6573); +#6576=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6577=VECTOR('',#6576,1.205E1); +#6578=CARTESIAN_POINT('',(-2.135E1,4.E0,-2.6E1)); +#6579=LINE('',#6578,#6577); +#6580=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6581=VECTOR('',#6580,1.205E1); +#6582=CARTESIAN_POINT('',(-2.265E1,4.E0,-2.6E1)); +#6583=LINE('',#6582,#6581); +#6584=CARTESIAN_POINT('',(-2.2E1,4.E0,-3.805E1)); +#6585=DIRECTION('',(0.E0,0.E0,1.E0)); +#6586=DIRECTION('',(1.E0,0.E0,0.E0)); +#6587=AXIS2_PLACEMENT_3D('',#6584,#6585,#6586); +#6589=CARTESIAN_POINT('',(-2.2E1,4.E0,-3.805E1)); +#6590=DIRECTION('',(0.E0,0.E0,1.E0)); +#6591=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6592=AXIS2_PLACEMENT_3D('',#6589,#6590,#6591); +#6594=CARTESIAN_POINT('',(-1.4E1,4.E0,-3.805E1)); +#6595=DIRECTION('',(0.E0,0.E0,1.E0)); +#6596=DIRECTION('',(1.E0,0.E0,0.E0)); +#6597=AXIS2_PLACEMENT_3D('',#6594,#6595,#6596); +#6599=CARTESIAN_POINT('',(-1.4E1,4.E0,-3.805E1)); +#6600=DIRECTION('',(0.E0,0.E0,1.E0)); +#6601=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6602=AXIS2_PLACEMENT_3D('',#6599,#6600,#6601); +#6604=CARTESIAN_POINT('',(-6.E0,4.E0,-3.805E1)); +#6605=DIRECTION('',(0.E0,0.E0,1.E0)); +#6606=DIRECTION('',(1.E0,0.E0,0.E0)); +#6607=AXIS2_PLACEMENT_3D('',#6604,#6605,#6606); +#6609=CARTESIAN_POINT('',(-6.E0,4.E0,-3.805E1)); +#6610=DIRECTION('',(0.E0,0.E0,1.E0)); +#6611=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6612=AXIS2_PLACEMENT_3D('',#6609,#6610,#6611); +#6614=CARTESIAN_POINT('',(-2.E0,4.E0,-3.805E1)); +#6615=DIRECTION('',(0.E0,0.E0,1.E0)); +#6616=DIRECTION('',(1.E0,0.E0,0.E0)); +#6617=AXIS2_PLACEMENT_3D('',#6614,#6615,#6616); +#6619=CARTESIAN_POINT('',(-2.E0,4.E0,-3.805E1)); +#6620=DIRECTION('',(0.E0,0.E0,1.E0)); +#6621=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6622=AXIS2_PLACEMENT_3D('',#6619,#6620,#6621); +#6624=CARTESIAN_POINT('',(2.E0,4.E0,-3.805E1)); +#6625=DIRECTION('',(0.E0,0.E0,1.E0)); +#6626=DIRECTION('',(1.E0,0.E0,0.E0)); +#6627=AXIS2_PLACEMENT_3D('',#6624,#6625,#6626); +#6629=CARTESIAN_POINT('',(2.E0,4.E0,-3.805E1)); +#6630=DIRECTION('',(0.E0,0.E0,1.E0)); +#6631=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6632=AXIS2_PLACEMENT_3D('',#6629,#6630,#6631); +#6634=CARTESIAN_POINT('',(6.E0,4.E0,-3.805E1)); +#6635=DIRECTION('',(0.E0,0.E0,1.E0)); +#6636=DIRECTION('',(1.E0,0.E0,0.E0)); +#6637=AXIS2_PLACEMENT_3D('',#6634,#6635,#6636); +#6639=CARTESIAN_POINT('',(6.E0,4.E0,-3.805E1)); +#6640=DIRECTION('',(0.E0,0.E0,1.E0)); +#6641=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6642=AXIS2_PLACEMENT_3D('',#6639,#6640,#6641); +#6644=CARTESIAN_POINT('',(1.4E1,4.E0,-3.805E1)); +#6645=DIRECTION('',(0.E0,0.E0,1.E0)); +#6646=DIRECTION('',(1.E0,0.E0,0.E0)); +#6647=AXIS2_PLACEMENT_3D('',#6644,#6645,#6646); +#6649=CARTESIAN_POINT('',(1.4E1,4.E0,-3.805E1)); +#6650=DIRECTION('',(0.E0,0.E0,1.E0)); +#6651=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6652=AXIS2_PLACEMENT_3D('',#6649,#6650,#6651); +#6654=CARTESIAN_POINT('',(2.2E1,4.E0,-3.805E1)); +#6655=DIRECTION('',(0.E0,0.E0,1.E0)); +#6656=DIRECTION('',(1.E0,0.E0,0.E0)); +#6657=AXIS2_PLACEMENT_3D('',#6654,#6655,#6656); +#6659=CARTESIAN_POINT('',(2.2E1,4.E0,-3.805E1)); +#6660=DIRECTION('',(0.E0,0.E0,1.E0)); +#6661=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6662=AXIS2_PLACEMENT_3D('',#6659,#6660,#6661); +#6664=CARTESIAN_POINT('',(-2.E1,0.E0,-3.805E1)); +#6665=DIRECTION('',(0.E0,0.E0,1.E0)); +#6666=DIRECTION('',(1.E0,0.E0,0.E0)); +#6667=AXIS2_PLACEMENT_3D('',#6664,#6665,#6666); +#6669=CARTESIAN_POINT('',(-2.E1,0.E0,-3.805E1)); +#6670=DIRECTION('',(0.E0,0.E0,1.E0)); +#6671=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6672=AXIS2_PLACEMENT_3D('',#6669,#6670,#6671); +#6674=CARTESIAN_POINT('',(-1.6E1,0.E0,-3.805E1)); +#6675=DIRECTION('',(0.E0,0.E0,1.E0)); +#6676=DIRECTION('',(1.E0,0.E0,0.E0)); +#6677=AXIS2_PLACEMENT_3D('',#6674,#6675,#6676); +#6679=CARTESIAN_POINT('',(-1.6E1,0.E0,-3.805E1)); +#6680=DIRECTION('',(0.E0,0.E0,1.E0)); +#6681=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6682=AXIS2_PLACEMENT_3D('',#6679,#6680,#6681); +#6684=CARTESIAN_POINT('',(-4.E0,0.E0,-3.805E1)); +#6685=DIRECTION('',(0.E0,0.E0,1.E0)); +#6686=DIRECTION('',(1.E0,0.E0,0.E0)); +#6687=AXIS2_PLACEMENT_3D('',#6684,#6685,#6686); +#6689=CARTESIAN_POINT('',(-4.E0,0.E0,-3.805E1)); +#6690=DIRECTION('',(0.E0,0.E0,1.E0)); +#6691=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6692=AXIS2_PLACEMENT_3D('',#6689,#6690,#6691); +#6694=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#6695=DIRECTION('',(0.E0,0.E0,1.E0)); +#6696=DIRECTION('',(1.E0,0.E0,0.E0)); +#6697=AXIS2_PLACEMENT_3D('',#6694,#6695,#6696); +#6699=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#6700=DIRECTION('',(0.E0,0.E0,1.E0)); +#6701=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6702=AXIS2_PLACEMENT_3D('',#6699,#6700,#6701); +#6704=CARTESIAN_POINT('',(4.E0,0.E0,-3.805E1)); +#6705=DIRECTION('',(0.E0,0.E0,1.E0)); +#6706=DIRECTION('',(1.E0,0.E0,0.E0)); +#6707=AXIS2_PLACEMENT_3D('',#6704,#6705,#6706); +#6709=CARTESIAN_POINT('',(4.E0,0.E0,-3.805E1)); +#6710=DIRECTION('',(0.E0,0.E0,1.E0)); +#6711=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6712=AXIS2_PLACEMENT_3D('',#6709,#6710,#6711); +#6714=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-3.805E1)); +#6715=DIRECTION('',(0.E0,0.E0,1.E0)); +#6716=DIRECTION('',(1.E0,0.E0,0.E0)); +#6717=AXIS2_PLACEMENT_3D('',#6714,#6715,#6716); +#6719=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-3.805E1)); +#6720=DIRECTION('',(0.E0,0.E0,1.E0)); +#6721=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6722=AXIS2_PLACEMENT_3D('',#6719,#6720,#6721); +#6724=CARTESIAN_POINT('',(1.2E1,0.E0,-3.805E1)); +#6725=DIRECTION('',(0.E0,0.E0,1.E0)); +#6726=DIRECTION('',(1.E0,0.E0,0.E0)); +#6727=AXIS2_PLACEMENT_3D('',#6724,#6725,#6726); +#6729=CARTESIAN_POINT('',(1.2E1,0.E0,-3.805E1)); +#6730=DIRECTION('',(0.E0,0.E0,1.E0)); +#6731=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6732=AXIS2_PLACEMENT_3D('',#6729,#6730,#6731); +#6734=CARTESIAN_POINT('',(-2.2E1,-4.E0,-3.805E1)); +#6735=DIRECTION('',(0.E0,0.E0,1.E0)); +#6736=DIRECTION('',(1.E0,0.E0,0.E0)); +#6737=AXIS2_PLACEMENT_3D('',#6734,#6735,#6736); +#6739=CARTESIAN_POINT('',(-2.2E1,-4.E0,-3.805E1)); +#6740=DIRECTION('',(0.E0,0.E0,1.E0)); +#6741=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6742=AXIS2_PLACEMENT_3D('',#6739,#6740,#6741); +#6744=CARTESIAN_POINT('',(-1.4E1,-4.E0,-3.805E1)); +#6745=DIRECTION('',(0.E0,0.E0,1.E0)); +#6746=DIRECTION('',(1.E0,0.E0,0.E0)); +#6747=AXIS2_PLACEMENT_3D('',#6744,#6745,#6746); +#6749=CARTESIAN_POINT('',(-1.4E1,-4.E0,-3.805E1)); +#6750=DIRECTION('',(0.E0,0.E0,1.E0)); +#6751=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6752=AXIS2_PLACEMENT_3D('',#6749,#6750,#6751); +#6754=CARTESIAN_POINT('',(-6.E0,-4.E0,-3.805E1)); +#6755=DIRECTION('',(0.E0,0.E0,1.E0)); +#6756=DIRECTION('',(1.E0,0.E0,0.E0)); +#6757=AXIS2_PLACEMENT_3D('',#6754,#6755,#6756); +#6759=CARTESIAN_POINT('',(-6.E0,-4.E0,-3.805E1)); +#6760=DIRECTION('',(0.E0,0.E0,1.E0)); +#6761=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6762=AXIS2_PLACEMENT_3D('',#6759,#6760,#6761); +#6764=CARTESIAN_POINT('',(-2.E0,-4.E0,-3.805E1)); +#6765=DIRECTION('',(0.E0,0.E0,1.E0)); +#6766=DIRECTION('',(1.E0,0.E0,0.E0)); +#6767=AXIS2_PLACEMENT_3D('',#6764,#6765,#6766); +#6769=CARTESIAN_POINT('',(-2.E0,-4.E0,-3.805E1)); +#6770=DIRECTION('',(0.E0,0.E0,1.E0)); +#6771=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6772=AXIS2_PLACEMENT_3D('',#6769,#6770,#6771); +#6774=CARTESIAN_POINT('',(2.E0,-4.E0,-3.805E1)); +#6775=DIRECTION('',(0.E0,0.E0,1.E0)); +#6776=DIRECTION('',(1.E0,0.E0,0.E0)); +#6777=AXIS2_PLACEMENT_3D('',#6774,#6775,#6776); +#6779=CARTESIAN_POINT('',(2.E0,-4.E0,-3.805E1)); +#6780=DIRECTION('',(0.E0,0.E0,1.E0)); +#6781=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6782=AXIS2_PLACEMENT_3D('',#6779,#6780,#6781); +#6784=CARTESIAN_POINT('',(6.E0,-4.E0,-3.805E1)); +#6785=DIRECTION('',(0.E0,0.E0,1.E0)); +#6786=DIRECTION('',(1.E0,0.E0,0.E0)); +#6787=AXIS2_PLACEMENT_3D('',#6784,#6785,#6786); +#6789=CARTESIAN_POINT('',(6.E0,-4.E0,-3.805E1)); +#6790=DIRECTION('',(0.E0,0.E0,1.E0)); +#6791=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6792=AXIS2_PLACEMENT_3D('',#6789,#6790,#6791); +#6794=CARTESIAN_POINT('',(1.4E1,-4.E0,-3.805E1)); +#6795=DIRECTION('',(0.E0,0.E0,1.E0)); +#6796=DIRECTION('',(1.E0,0.E0,0.E0)); +#6797=AXIS2_PLACEMENT_3D('',#6794,#6795,#6796); +#6799=CARTESIAN_POINT('',(1.4E1,-4.E0,-3.805E1)); +#6800=DIRECTION('',(0.E0,0.E0,1.E0)); +#6801=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6802=AXIS2_PLACEMENT_3D('',#6799,#6800,#6801); +#6804=CARTESIAN_POINT('',(2.2E1,-4.E0,-3.805E1)); +#6805=DIRECTION('',(0.E0,0.E0,1.E0)); +#6806=DIRECTION('',(1.E0,0.E0,0.E0)); +#6807=AXIS2_PLACEMENT_3D('',#6804,#6805,#6806); +#6809=CARTESIAN_POINT('',(2.2E1,-4.E0,-3.805E1)); +#6810=DIRECTION('',(0.E0,0.E0,1.E0)); +#6811=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6812=AXIS2_PLACEMENT_3D('',#6809,#6810,#6811); +#6814=CARTESIAN_POINT('',(-1.8E1,4.E0,-3.805E1)); +#6815=DIRECTION('',(0.E0,0.E0,1.E0)); +#6816=DIRECTION('',(1.E0,0.E0,0.E0)); +#6817=AXIS2_PLACEMENT_3D('',#6814,#6815,#6816); +#6819=CARTESIAN_POINT('',(-1.8E1,4.E0,-3.805E1)); +#6820=DIRECTION('',(0.E0,0.E0,1.E0)); +#6821=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6822=AXIS2_PLACEMENT_3D('',#6819,#6820,#6821); +#6824=CARTESIAN_POINT('',(-1.8E1,-4.E0,-3.805E1)); +#6825=DIRECTION('',(0.E0,0.E0,1.E0)); +#6826=DIRECTION('',(1.E0,0.E0,0.E0)); +#6827=AXIS2_PLACEMENT_3D('',#6824,#6825,#6826); +#6829=CARTESIAN_POINT('',(-1.8E1,-4.E0,-3.805E1)); +#6830=DIRECTION('',(0.E0,0.E0,1.E0)); +#6831=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6832=AXIS2_PLACEMENT_3D('',#6829,#6830,#6831); +#6834=CARTESIAN_POINT('',(-1.2E1,0.E0,-3.805E1)); +#6835=DIRECTION('',(0.E0,0.E0,1.E0)); +#6836=DIRECTION('',(1.E0,0.E0,0.E0)); +#6837=AXIS2_PLACEMENT_3D('',#6834,#6835,#6836); +#6839=CARTESIAN_POINT('',(-1.2E1,0.E0,-3.805E1)); +#6840=DIRECTION('',(0.E0,0.E0,1.E0)); +#6841=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6842=AXIS2_PLACEMENT_3D('',#6839,#6840,#6841); +#6844=CARTESIAN_POINT('',(-1.E1,4.E0,-3.805E1)); +#6845=DIRECTION('',(0.E0,0.E0,1.E0)); +#6846=DIRECTION('',(1.E0,0.E0,0.E0)); +#6847=AXIS2_PLACEMENT_3D('',#6844,#6845,#6846); +#6849=CARTESIAN_POINT('',(-1.E1,4.E0,-3.805E1)); +#6850=DIRECTION('',(0.E0,0.E0,1.E0)); +#6851=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6852=AXIS2_PLACEMENT_3D('',#6849,#6850,#6851); +#6854=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-3.805E1)); +#6855=DIRECTION('',(0.E0,0.E0,1.E0)); +#6856=DIRECTION('',(1.E0,0.E0,0.E0)); +#6857=AXIS2_PLACEMENT_3D('',#6854,#6855,#6856); +#6859=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-3.805E1)); +#6860=DIRECTION('',(0.E0,0.E0,1.E0)); +#6861=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6862=AXIS2_PLACEMENT_3D('',#6859,#6860,#6861); +#6864=CARTESIAN_POINT('',(-1.E1,-4.E0,-3.805E1)); +#6865=DIRECTION('',(0.E0,0.E0,1.E0)); +#6866=DIRECTION('',(1.E0,0.E0,0.E0)); +#6867=AXIS2_PLACEMENT_3D('',#6864,#6865,#6866); +#6869=CARTESIAN_POINT('',(-1.E1,-4.E0,-3.805E1)); +#6870=DIRECTION('',(0.E0,0.E0,1.E0)); +#6871=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6872=AXIS2_PLACEMENT_3D('',#6869,#6870,#6871); +#6874=CARTESIAN_POINT('',(1.E1,4.E0,-3.805E1)); +#6875=DIRECTION('',(0.E0,0.E0,1.E0)); +#6876=DIRECTION('',(1.E0,0.E0,0.E0)); +#6877=AXIS2_PLACEMENT_3D('',#6874,#6875,#6876); +#6879=CARTESIAN_POINT('',(1.E1,4.E0,-3.805E1)); +#6880=DIRECTION('',(0.E0,0.E0,1.E0)); +#6881=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6882=AXIS2_PLACEMENT_3D('',#6879,#6880,#6881); +#6884=CARTESIAN_POINT('',(1.8E1,4.E0,-3.805E1)); +#6885=DIRECTION('',(0.E0,0.E0,1.E0)); +#6886=DIRECTION('',(1.E0,0.E0,0.E0)); +#6887=AXIS2_PLACEMENT_3D('',#6884,#6885,#6886); +#6889=CARTESIAN_POINT('',(1.8E1,4.E0,-3.805E1)); +#6890=DIRECTION('',(0.E0,0.E0,1.E0)); +#6891=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6892=AXIS2_PLACEMENT_3D('',#6889,#6890,#6891); +#6894=CARTESIAN_POINT('',(2.E1,0.E0,-3.805E1)); +#6895=DIRECTION('',(0.E0,0.E0,1.E0)); +#6896=DIRECTION('',(1.E0,0.E0,0.E0)); +#6897=AXIS2_PLACEMENT_3D('',#6894,#6895,#6896); +#6899=CARTESIAN_POINT('',(2.E1,0.E0,-3.805E1)); +#6900=DIRECTION('',(0.E0,0.E0,1.E0)); +#6901=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6902=AXIS2_PLACEMENT_3D('',#6899,#6900,#6901); +#6904=CARTESIAN_POINT('',(1.6E1,0.E0,-3.805E1)); +#6905=DIRECTION('',(0.E0,0.E0,1.E0)); +#6906=DIRECTION('',(1.E0,0.E0,0.E0)); +#6907=AXIS2_PLACEMENT_3D('',#6904,#6905,#6906); +#6909=CARTESIAN_POINT('',(1.6E1,0.E0,-3.805E1)); +#6910=DIRECTION('',(0.E0,0.E0,1.E0)); +#6911=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6912=AXIS2_PLACEMENT_3D('',#6909,#6910,#6911); +#6914=CARTESIAN_POINT('',(1.8E1,-4.E0,-3.805E1)); +#6915=DIRECTION('',(0.E0,0.E0,1.E0)); +#6916=DIRECTION('',(1.E0,0.E0,0.E0)); +#6917=AXIS2_PLACEMENT_3D('',#6914,#6915,#6916); +#6919=CARTESIAN_POINT('',(1.8E1,-4.E0,-3.805E1)); +#6920=DIRECTION('',(0.E0,0.E0,1.E0)); +#6921=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6922=AXIS2_PLACEMENT_3D('',#6919,#6920,#6921); +#6924=CARTESIAN_POINT('',(1.E1,-4.E0,-3.805E1)); +#6925=DIRECTION('',(0.E0,0.E0,1.E0)); +#6926=DIRECTION('',(1.E0,0.E0,0.E0)); +#6927=AXIS2_PLACEMENT_3D('',#6924,#6925,#6926); +#6929=CARTESIAN_POINT('',(1.E1,-4.E0,-3.805E1)); +#6930=DIRECTION('',(0.E0,0.E0,1.E0)); +#6931=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6932=AXIS2_PLACEMENT_3D('',#6929,#6930,#6931); +#6934=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6935=VECTOR('',#6934,1.205E1); +#6936=CARTESIAN_POINT('',(-1.335E1,4.E0,-2.6E1)); +#6937=LINE('',#6936,#6935); +#6938=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6939=VECTOR('',#6938,1.205E1); +#6940=CARTESIAN_POINT('',(-1.465E1,4.E0,-2.6E1)); +#6941=LINE('',#6940,#6939); +#6942=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6943=VECTOR('',#6942,1.205E1); +#6944=CARTESIAN_POINT('',(-5.35E0,4.E0,-2.6E1)); +#6945=LINE('',#6944,#6943); +#6946=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6947=VECTOR('',#6946,1.205E1); +#6948=CARTESIAN_POINT('',(-6.65E0,4.E0,-2.6E1)); +#6949=LINE('',#6948,#6947); +#6950=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6951=VECTOR('',#6950,1.205E1); +#6952=CARTESIAN_POINT('',(-1.35E0,4.E0,-2.6E1)); +#6953=LINE('',#6952,#6951); +#6954=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6955=VECTOR('',#6954,1.205E1); +#6956=CARTESIAN_POINT('',(-2.65E0,4.E0,-2.6E1)); +#6957=LINE('',#6956,#6955); +#6958=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6959=VECTOR('',#6958,1.205E1); +#6960=CARTESIAN_POINT('',(2.65E0,4.E0,-2.6E1)); +#6961=LINE('',#6960,#6959); +#6962=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6963=VECTOR('',#6962,1.205E1); +#6964=CARTESIAN_POINT('',(1.35E0,4.E0,-2.6E1)); +#6965=LINE('',#6964,#6963); +#6966=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6967=VECTOR('',#6966,1.205E1); +#6968=CARTESIAN_POINT('',(6.65E0,4.E0,-2.6E1)); +#6969=LINE('',#6968,#6967); +#6970=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6971=VECTOR('',#6970,1.205E1); +#6972=CARTESIAN_POINT('',(5.35E0,4.E0,-2.6E1)); +#6973=LINE('',#6972,#6971); +#6974=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6975=VECTOR('',#6974,1.205E1); +#6976=CARTESIAN_POINT('',(1.465E1,4.E0,-2.6E1)); +#6977=LINE('',#6976,#6975); +#6978=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6979=VECTOR('',#6978,1.205E1); +#6980=CARTESIAN_POINT('',(1.335E1,4.E0,-2.6E1)); +#6981=LINE('',#6980,#6979); +#6982=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6983=VECTOR('',#6982,1.205E1); +#6984=CARTESIAN_POINT('',(2.265E1,4.E0,-2.6E1)); +#6985=LINE('',#6984,#6983); +#6986=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6987=VECTOR('',#6986,1.205E1); +#6988=CARTESIAN_POINT('',(2.135E1,4.E0,-2.6E1)); +#6989=LINE('',#6988,#6987); +#6990=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6991=VECTOR('',#6990,1.205E1); +#6992=CARTESIAN_POINT('',(-1.935E1,0.E0,-2.6E1)); +#6993=LINE('',#6992,#6991); +#6994=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6995=VECTOR('',#6994,1.205E1); +#6996=CARTESIAN_POINT('',(-2.065E1,0.E0,-2.6E1)); +#6997=LINE('',#6996,#6995); +#6998=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6999=VECTOR('',#6998,1.205E1); +#7000=CARTESIAN_POINT('',(-1.535E1,0.E0,-2.6E1)); +#7001=LINE('',#7000,#6999); +#7002=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7003=VECTOR('',#7002,1.205E1); +#7004=CARTESIAN_POINT('',(-1.665E1,0.E0,-2.6E1)); +#7005=LINE('',#7004,#7003); +#7006=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7007=VECTOR('',#7006,1.205E1); +#7008=CARTESIAN_POINT('',(-3.35E0,0.E0,-2.6E1)); +#7009=LINE('',#7008,#7007); +#7010=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7011=VECTOR('',#7010,1.205E1); +#7012=CARTESIAN_POINT('',(-4.65E0,0.E0,-2.6E1)); +#7013=LINE('',#7012,#7011); +#7014=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7015=VECTOR('',#7014,1.205E1); +#7016=CARTESIAN_POINT('',(6.5E-1,0.E0,-2.6E1)); +#7017=LINE('',#7016,#7015); +#7018=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7019=VECTOR('',#7018,1.205E1); +#7020=CARTESIAN_POINT('',(-6.5E-1,0.E0,-2.6E1)); +#7021=LINE('',#7020,#7019); +#7022=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7023=VECTOR('',#7022,1.205E1); +#7024=CARTESIAN_POINT('',(4.65E0,0.E0,-2.6E1)); +#7025=LINE('',#7024,#7023); +#7026=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7027=VECTOR('',#7026,1.205E1); +#7028=CARTESIAN_POINT('',(3.35E0,0.E0,-2.6E1)); +#7029=LINE('',#7028,#7027); +#7030=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7031=VECTOR('',#7030,1.205E1); +#7032=CARTESIAN_POINT('',(8.649999999999E0,0.E0,-2.6E1)); +#7033=LINE('',#7032,#7031); +#7034=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7035=VECTOR('',#7034,1.205E1); +#7036=CARTESIAN_POINT('',(7.349999999999E0,0.E0,-2.6E1)); +#7037=LINE('',#7036,#7035); +#7038=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7039=VECTOR('',#7038,1.205E1); +#7040=CARTESIAN_POINT('',(1.265E1,0.E0,-2.6E1)); +#7041=LINE('',#7040,#7039); +#7042=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7043=VECTOR('',#7042,1.205E1); +#7044=CARTESIAN_POINT('',(1.135E1,0.E0,-2.6E1)); +#7045=LINE('',#7044,#7043); +#7046=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7047=VECTOR('',#7046,1.205E1); +#7048=CARTESIAN_POINT('',(-2.135E1,-4.E0,-2.6E1)); +#7049=LINE('',#7048,#7047); +#7050=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7051=VECTOR('',#7050,1.205E1); +#7052=CARTESIAN_POINT('',(-2.265E1,-4.E0,-2.6E1)); +#7053=LINE('',#7052,#7051); +#7054=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7055=VECTOR('',#7054,1.205E1); +#7056=CARTESIAN_POINT('',(-1.335E1,-4.E0,-2.6E1)); +#7057=LINE('',#7056,#7055); +#7058=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7059=VECTOR('',#7058,1.205E1); +#7060=CARTESIAN_POINT('',(-1.465E1,-4.E0,-2.6E1)); +#7061=LINE('',#7060,#7059); +#7062=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7063=VECTOR('',#7062,1.205E1); +#7064=CARTESIAN_POINT('',(-5.35E0,-4.E0,-2.6E1)); +#7065=LINE('',#7064,#7063); +#7066=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7067=VECTOR('',#7066,1.205E1); +#7068=CARTESIAN_POINT('',(-6.65E0,-4.E0,-2.6E1)); +#7069=LINE('',#7068,#7067); +#7070=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7071=VECTOR('',#7070,1.205E1); +#7072=CARTESIAN_POINT('',(-1.35E0,-4.E0,-2.6E1)); +#7073=LINE('',#7072,#7071); +#7074=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7075=VECTOR('',#7074,1.205E1); +#7076=CARTESIAN_POINT('',(-2.65E0,-4.E0,-2.6E1)); +#7077=LINE('',#7076,#7075); +#7078=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7079=VECTOR('',#7078,1.205E1); +#7080=CARTESIAN_POINT('',(2.65E0,-4.E0,-2.6E1)); +#7081=LINE('',#7080,#7079); +#7082=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7083=VECTOR('',#7082,1.205E1); +#7084=CARTESIAN_POINT('',(1.35E0,-4.E0,-2.6E1)); +#7085=LINE('',#7084,#7083); +#7086=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7087=VECTOR('',#7086,1.205E1); +#7088=CARTESIAN_POINT('',(6.65E0,-4.E0,-2.6E1)); +#7089=LINE('',#7088,#7087); +#7090=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7091=VECTOR('',#7090,1.205E1); +#7092=CARTESIAN_POINT('',(5.35E0,-4.E0,-2.6E1)); +#7093=LINE('',#7092,#7091); +#7094=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7095=VECTOR('',#7094,1.205E1); +#7096=CARTESIAN_POINT('',(1.465E1,-4.E0,-2.6E1)); +#7097=LINE('',#7096,#7095); +#7098=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7099=VECTOR('',#7098,1.205E1); +#7100=CARTESIAN_POINT('',(1.335E1,-4.E0,-2.6E1)); +#7101=LINE('',#7100,#7099); +#7102=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7103=VECTOR('',#7102,1.205E1); +#7104=CARTESIAN_POINT('',(2.265E1,-4.E0,-2.6E1)); +#7105=LINE('',#7104,#7103); +#7106=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7107=VECTOR('',#7106,1.205E1); +#7108=CARTESIAN_POINT('',(2.135E1,-4.E0,-2.6E1)); +#7109=LINE('',#7108,#7107); +#7110=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7111=VECTOR('',#7110,1.205E1); +#7112=CARTESIAN_POINT('',(-1.735E1,4.E0,-2.6E1)); +#7113=LINE('',#7112,#7111); +#7114=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7115=VECTOR('',#7114,1.205E1); +#7116=CARTESIAN_POINT('',(-1.865E1,4.E0,-2.6E1)); +#7117=LINE('',#7116,#7115); +#7118=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7119=VECTOR('',#7118,1.205E1); +#7120=CARTESIAN_POINT('',(-1.735E1,-4.E0,-2.6E1)); +#7121=LINE('',#7120,#7119); +#7122=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7123=VECTOR('',#7122,1.205E1); +#7124=CARTESIAN_POINT('',(-1.865E1,-4.E0,-2.6E1)); +#7125=LINE('',#7124,#7123); +#7126=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7127=VECTOR('',#7126,1.205E1); +#7128=CARTESIAN_POINT('',(-1.135E1,0.E0,-2.6E1)); +#7129=LINE('',#7128,#7127); +#7130=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7131=VECTOR('',#7130,1.205E1); +#7132=CARTESIAN_POINT('',(-1.265E1,0.E0,-2.6E1)); +#7133=LINE('',#7132,#7131); +#7134=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7135=VECTOR('',#7134,1.205E1); +#7136=CARTESIAN_POINT('',(-9.35E0,4.E0,-2.6E1)); +#7137=LINE('',#7136,#7135); +#7138=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7139=VECTOR('',#7138,1.205E1); +#7140=CARTESIAN_POINT('',(-1.065E1,4.E0,-2.6E1)); +#7141=LINE('',#7140,#7139); +#7142=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7143=VECTOR('',#7142,1.205E1); +#7144=CARTESIAN_POINT('',(-7.349999999999E0,0.E0,-2.6E1)); +#7145=LINE('',#7144,#7143); +#7146=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7147=VECTOR('',#7146,1.205E1); +#7148=CARTESIAN_POINT('',(-8.649999999999E0,0.E0,-2.6E1)); +#7149=LINE('',#7148,#7147); +#7150=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7151=VECTOR('',#7150,1.205E1); +#7152=CARTESIAN_POINT('',(-9.35E0,-4.E0,-2.6E1)); +#7153=LINE('',#7152,#7151); +#7154=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7155=VECTOR('',#7154,1.205E1); +#7156=CARTESIAN_POINT('',(-1.065E1,-4.E0,-2.6E1)); +#7157=LINE('',#7156,#7155); +#7158=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7159=VECTOR('',#7158,1.205E1); +#7160=CARTESIAN_POINT('',(1.065E1,4.E0,-2.6E1)); +#7161=LINE('',#7160,#7159); +#7162=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7163=VECTOR('',#7162,1.205E1); +#7164=CARTESIAN_POINT('',(9.35E0,4.E0,-2.6E1)); +#7165=LINE('',#7164,#7163); +#7166=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7167=VECTOR('',#7166,1.205E1); +#7168=CARTESIAN_POINT('',(1.865E1,4.E0,-2.6E1)); +#7169=LINE('',#7168,#7167); +#7170=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7171=VECTOR('',#7170,1.205E1); +#7172=CARTESIAN_POINT('',(1.735E1,4.E0,-2.6E1)); +#7173=LINE('',#7172,#7171); +#7174=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7175=VECTOR('',#7174,1.205E1); +#7176=CARTESIAN_POINT('',(2.065E1,0.E0,-2.6E1)); +#7177=LINE('',#7176,#7175); +#7178=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7179=VECTOR('',#7178,1.205E1); +#7180=CARTESIAN_POINT('',(1.935E1,0.E0,-2.6E1)); +#7181=LINE('',#7180,#7179); +#7182=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7183=VECTOR('',#7182,1.205E1); +#7184=CARTESIAN_POINT('',(1.665E1,0.E0,-2.6E1)); +#7185=LINE('',#7184,#7183); +#7186=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7187=VECTOR('',#7186,1.205E1); +#7188=CARTESIAN_POINT('',(1.535E1,0.E0,-2.6E1)); +#7189=LINE('',#7188,#7187); +#7190=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7191=VECTOR('',#7190,1.205E1); +#7192=CARTESIAN_POINT('',(1.865E1,-4.E0,-2.6E1)); +#7193=LINE('',#7192,#7191); +#7194=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7195=VECTOR('',#7194,1.205E1); +#7196=CARTESIAN_POINT('',(1.735E1,-4.E0,-2.6E1)); +#7197=LINE('',#7196,#7195); +#7198=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7199=VECTOR('',#7198,1.205E1); +#7200=CARTESIAN_POINT('',(1.065E1,-4.E0,-2.6E1)); +#7201=LINE('',#7200,#7199); +#7202=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7203=VECTOR('',#7202,1.205E1); +#7204=CARTESIAN_POINT('',(9.35E0,-4.E0,-2.6E1)); +#7205=LINE('',#7204,#7203); +#7206=CARTESIAN_POINT('',(3.795E1,1.005E1,-2.15E1)); +#7207=DIRECTION('',(0.E0,1.E0,0.E0)); +#7208=DIRECTION('',(1.E0,0.E0,0.E0)); +#7209=AXIS2_PLACEMENT_3D('',#7206,#7207,#7208); +#7211=CARTESIAN_POINT('',(3.795E1,-6.550000000001E0,-2.15E1)); +#7212=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7213=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7214=AXIS2_PLACEMENT_3D('',#7211,#7212,#7213); +#7216=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7217=VECTOR('',#7216,1.66E1); +#7218=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.15E1)); +#7219=LINE('',#7218,#7217); +#7220=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7221=VECTOR('',#7220,2.999999998389E0); +#7222=CARTESIAN_POINT('',(3.845E1,-8.050000000001E0,-2.15E1)); +#7223=LINE('',#7222,#7221); +#7224=DIRECTION('',(0.E0,1.073424016340E-9,-1.E0)); +#7225=VECTOR('',#7224,1.5E0); +#7226=CARTESIAN_POINT('',(3.845E1,-1.105E1,-2.E1)); +#7227=LINE('',#7226,#7225); +#7228=DIRECTION('',(0.E0,0.E0,1.E0)); +#7229=VECTOR('',#7228,7.E0); +#7230=CARTESIAN_POINT('',(3.845E1,-6.550000000001E0,-2.85E1)); +#7231=LINE('',#7230,#7229); +#7232=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7233=VECTOR('',#7232,6.5E0); +#7234=CARTESIAN_POINT('',(3.679687364248E1,-6.550000000001E0,-2.2E1)); +#7235=LINE('',#7234,#7233); +#7236=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-3.375E1)); +#7237=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7238=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7239=AXIS2_PLACEMENT_3D('',#7236,#7237,#7238); +#7241=DIRECTION('',(0.E0,7.283374326930E-10,-1.E0)); +#7242=VECTOR('',#7241,5.25E0); +#7243=CARTESIAN_POINT('',(3.62E1,-7.300000000001E0,-2.85E1)); +#7244=LINE('',#7243,#7242); +#7245=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.85E1)); +#7246=DIRECTION('',(0.E0,0.E0,1.E0)); +#7247=DIRECTION('',(1.E0,0.E0,0.E0)); +#7248=AXIS2_PLACEMENT_3D('',#7245,#7246,#7247); +#7250=DIRECTION('',(4.785997239546E-10,-6.439583225634E-10,1.E0)); +#7251=VECTOR('',#7250,6.5E0); +#7252=CARTESIAN_POINT('',(3.589765522875E1,-5.987499995815E0,-2.85E1)); +#7253=LINE('',#7252,#7251); +#7254=CARTESIAN_POINT('',(3.07E1,-7.300000000001E0,-3.375E1)); +#7255=DIRECTION('',(0.E0,1.E0,0.E0)); +#7256=DIRECTION('',(1.207922650792E-13,0.E0,-1.E0)); +#7257=AXIS2_PLACEMENT_3D('',#7254,#7255,#7256); +#7259=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-3.425E1)); +#7260=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7261=DIRECTION('',(1.E0,0.E0,0.E0)); +#7262=AXIS2_PLACEMENT_3D('',#7259,#7260,#7261); +#7264=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-3.425E1)); +#7265=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7266=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7267=AXIS2_PLACEMENT_3D('',#7264,#7265,#7266); +#7269=CARTESIAN_POINT('',(-3.17E1,1.08E1,-3.425E1)); +#7270=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7271=DIRECTION('',(1.E0,0.E0,0.E0)); +#7272=AXIS2_PLACEMENT_3D('',#7269,#7270,#7271); +#7274=CARTESIAN_POINT('',(-3.17E1,1.08E1,-3.425E1)); +#7275=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7276=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7277=AXIS2_PLACEMENT_3D('',#7274,#7275,#7276); +#7279=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-3.425E1)); +#7280=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7281=DIRECTION('',(1.E0,0.E0,0.E0)); +#7282=AXIS2_PLACEMENT_3D('',#7279,#7280,#7281); +#7284=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-3.425E1)); +#7285=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7286=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7287=AXIS2_PLACEMENT_3D('',#7284,#7285,#7286); +#7289=CARTESIAN_POINT('',(3.17E1,1.08E1,-3.425E1)); +#7290=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7291=DIRECTION('',(1.E0,0.E0,0.E0)); +#7292=AXIS2_PLACEMENT_3D('',#7289,#7290,#7291); +#7294=CARTESIAN_POINT('',(3.17E1,1.08E1,-3.425E1)); +#7295=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7296=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7297=AXIS2_PLACEMENT_3D('',#7294,#7295,#7296); +#7299=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-3.425E1)); +#7300=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7301=DIRECTION('',(1.E0,0.E0,0.E0)); +#7302=AXIS2_PLACEMENT_3D('',#7299,#7300,#7301); +#7304=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-3.425E1)); +#7305=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7306=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7307=AXIS2_PLACEMENT_3D('',#7304,#7305,#7306); +#7309=CARTESIAN_POINT('',(3.17E1,1.08E1,-3.425E1)); +#7310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7311=DIRECTION('',(1.E0,0.E0,0.E0)); +#7312=AXIS2_PLACEMENT_3D('',#7309,#7310,#7311); +#7314=CARTESIAN_POINT('',(3.17E1,1.08E1,-3.425E1)); +#7315=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7316=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7317=AXIS2_PLACEMENT_3D('',#7314,#7315,#7316); +#7319=CARTESIAN_POINT('',(-3.07E1,-7.300000000001E0,-3.375E1)); +#7320=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7321=DIRECTION('',(-1.207922650792E-13,0.E0,-1.E0)); +#7322=AXIS2_PLACEMENT_3D('',#7319,#7320,#7321); +#7324=CARTESIAN_POINT('',(-3.57E1,-7.300000000001E0,-3.375E1)); +#7325=DIRECTION('',(0.E0,1.E0,0.E0)); +#7326=DIRECTION('',(9.947598300641E-14,0.E0,-1.E0)); +#7327=AXIS2_PLACEMENT_3D('',#7324,#7325,#7326); +#7329=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-3.375E1)); +#7330=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7331=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7332=AXIS2_PLACEMENT_3D('',#7329,#7330,#7331); +#7334=DIRECTION('',(0.E0,3.254273635437E-10,-1.E0)); +#7335=VECTOR('',#7334,1.175E1); +#7336=CARTESIAN_POINT('',(-3.02E1,-7.300000000001E0,-2.2E1)); +#7337=LINE('',#7336,#7335); +#7338=DIRECTION('',(-4.786073759533E-10,-6.439659745620E-10,1.E0)); +#7339=VECTOR('',#7338,6.5E0); +#7340=CARTESIAN_POINT('',(-3.589765522875E1,-5.987499995815E0,-2.85E1)); +#7341=LINE('',#7340,#7339); +#7342=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.85E1)); +#7343=DIRECTION('',(0.E0,0.E0,1.E0)); +#7344=DIRECTION('',(-8.992184083790E-1,4.375000046085E-1,0.E0)); +#7345=AXIS2_PLACEMENT_3D('',#7342,#7343,#7344); +#7347=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-3.375E1)); +#7348=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7349=DIRECTION('',(1.E0,0.E0,0.E0)); +#7350=AXIS2_PLACEMENT_3D('',#7347,#7348,#7349); +#7352=DIRECTION('',(0.E0,-7.283377710467E-10,-1.E0)); +#7353=VECTOR('',#7352,5.25E0); +#7354=CARTESIAN_POINT('',(-3.62E1,-7.300000000001E0,-2.85E1)); +#7355=LINE('',#7354,#7353); +#7356=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.85E1)); +#7357=DIRECTION('',(0.E0,0.E0,1.E0)); +#7358=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7359=AXIS2_PLACEMENT_3D('',#7356,#7357,#7358); +#7361=DIRECTION('',(-1.733942903789E-11,2.332766458634E-11,1.E0)); +#7362=VECTOR('',#7361,6.5E0); +#7363=CARTESIAN_POINT('',(-3.589765523175E1,-8.612500000152E0,-2.85E1)); +#7364=LINE('',#7363,#7362); +#7365=CARTESIAN_POINT('',(3.529687364248E1,9.049999999999E0,-2.85E1)); +#7366=DIRECTION('',(0.E0,0.E0,1.E0)); +#7367=DIRECTION('',(-1.421085471520E-14,1.E0,0.E0)); +#7368=AXIS2_PLACEMENT_3D('',#7365,#7366,#7367); +#7370=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7371=VECTOR('',#7370,3.153126357515E0); +#7372=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.85E1)); +#7373=LINE('',#7372,#7371); +#7374=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7375=VECTOR('',#7374,1.000000000001E0); +#7376=CARTESIAN_POINT('',(3.845E1,1.105E1,-2.85E1)); +#7377=LINE('',#7376,#7375); +#7378=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.85E1)); +#7379=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7380=DIRECTION('',(9.949874371066E-1,9.999999999986E-2,0.E0)); +#7381=AXIS2_PLACEMENT_3D('',#7378,#7379,#7380); +#7383=DIRECTION('',(1.E0,0.E0,0.E0)); +#7384=VECTOR('',#7383,3.128063543049E0); +#7385=CARTESIAN_POINT('',(3.529687364248E1,1.155E1,-2.85E1)); +#7386=LINE('',#7385,#7384); +#7387=CARTESIAN_POINT('',(3.529687364248E1,1.255E1,-2.85E1)); +#7388=DIRECTION('',(0.E0,0.E0,1.E0)); +#7389=DIRECTION('',(-8.992184108649E-1,-4.374999994989E-1,0.E0)); +#7390=AXIS2_PLACEMENT_3D('',#7387,#7388,#7389); +#7392=CARTESIAN_POINT('',(-3.529687364248E1,1.255E1,-2.85E1)); +#7393=DIRECTION('',(0.E0,0.E0,1.E0)); +#7394=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7395=AXIS2_PLACEMENT_3D('',#7392,#7393,#7394); +#7397=DIRECTION('',(1.E0,0.E0,0.E0)); +#7398=VECTOR('',#7397,3.128063543049E0); +#7399=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.85E1)); +#7400=LINE('',#7399,#7398); +#7401=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.85E1)); +#7402=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7403=DIRECTION('',(-1.E0,-1.278976924368E-14,0.E0)); +#7404=AXIS2_PLACEMENT_3D('',#7401,#7402,#7403); +#7406=DIRECTION('',(1.421085471519E-14,1.E0,0.E0)); +#7407=VECTOR('',#7406,1.000000000001E0); +#7408=CARTESIAN_POINT('',(-3.845E1,1.005E1,-2.85E1)); +#7409=LINE('',#7408,#7407); +#7410=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7411=VECTOR('',#7410,3.153126357515E0); +#7412=CARTESIAN_POINT('',(-3.529687364248E1,1.005E1,-2.85E1)); +#7413=LINE('',#7412,#7411); +#7414=CARTESIAN_POINT('',(-3.529687364248E1,9.049999999999E0,-2.85E1)); +#7415=DIRECTION('',(0.E0,0.E0,1.E0)); +#7416=DIRECTION('',(8.992184173476E-1,4.374999861746E-1,0.E0)); +#7417=AXIS2_PLACEMENT_3D('',#7414,#7415,#7416); +#7419=CARTESIAN_POINT('',(-3.679687364248E1,-5.550000000001E0,-2.85E1)); +#7420=DIRECTION('',(0.E0,0.E0,1.E0)); +#7421=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7422=AXIS2_PLACEMENT_3D('',#7419,#7420,#7421); +#7424=DIRECTION('',(1.E0,0.E0,0.E0)); +#7425=VECTOR('',#7424,1.653126357515E0); +#7426=CARTESIAN_POINT('',(-3.845E1,-6.550000000001E0,-2.85E1)); +#7427=LINE('',#7426,#7425); +#7428=DIRECTION('',(0.E0,1.E0,0.E0)); +#7429=VECTOR('',#7428,1.5E0); +#7430=CARTESIAN_POINT('',(-3.845E1,-8.050000000001E0,-2.85E1)); +#7431=LINE('',#7430,#7429); +#7432=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7433=VECTOR('',#7432,1.653126357515E0); +#7434=CARTESIAN_POINT('',(-3.679687364248E1,-8.050000000001E0,-2.85E1)); +#7435=LINE('',#7434,#7433); +#7436=CARTESIAN_POINT('',(-3.679687364248E1,-9.050000000001E0,-2.85E1)); +#7437=DIRECTION('',(0.E0,0.E0,1.E0)); +#7438=DIRECTION('',(8.992184108649E-1,4.374999994990E-1,0.E0)); +#7439=AXIS2_PLACEMENT_3D('',#7436,#7437,#7438); +#7441=CARTESIAN_POINT('',(3.679687364248E1,-9.050000000001E0,-2.85E1)); +#7442=DIRECTION('',(0.E0,0.E0,1.E0)); +#7443=DIRECTION('',(0.E0,1.E0,0.E0)); +#7444=AXIS2_PLACEMENT_3D('',#7441,#7442,#7443); +#7446=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7447=VECTOR('',#7446,1.653126357515E0); +#7448=CARTESIAN_POINT('',(3.845E1,-8.050000000001E0,-2.85E1)); +#7449=LINE('',#7448,#7447); +#7450=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7451=VECTOR('',#7450,1.5E0); +#7452=CARTESIAN_POINT('',(3.845E1,-6.550000000001E0,-2.85E1)); +#7453=LINE('',#7452,#7451); +#7454=DIRECTION('',(1.E0,0.E0,0.E0)); +#7455=VECTOR('',#7454,1.653126357515E0); +#7456=CARTESIAN_POINT('',(3.679687364248E1,-6.550000000001E0,-2.85E1)); +#7457=LINE('',#7456,#7455); +#7458=CARTESIAN_POINT('',(3.679687364248E1,-5.550000000001E0,-2.85E1)); +#7459=DIRECTION('',(0.E0,0.E0,1.E0)); +#7460=DIRECTION('',(-8.992184173477E-1,-4.374999861745E-1,0.E0)); +#7461=AXIS2_PLACEMENT_3D('',#7458,#7459,#7460); +#7463=CARTESIAN_POINT('',(3.17E1,1.08E1,-3.375E1)); +#7464=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7465=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7466=AXIS2_PLACEMENT_3D('',#7463,#7464,#7465); +#7468=DIRECTION('',(0.E0,7.283387861077E-10,-1.E0)); +#7469=VECTOR('',#7468,5.25E0); +#7470=CARTESIAN_POINT('',(3.47E1,1.08E1,-2.85E1)); +#7471=LINE('',#7470,#7469); +#7472=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.85E1)); +#7473=DIRECTION('',(0.E0,0.E0,1.E0)); +#7474=DIRECTION('',(1.E0,0.E0,0.E0)); +#7475=AXIS2_PLACEMENT_3D('',#7472,#7473,#7474); +#7477=DIRECTION('',(1.734380160857E-11,-2.333804944171E-11,1.E0)); +#7478=VECTOR('',#7477,6.5E0); +#7479=CARTESIAN_POINT('',(3.439765523175E1,1.211250000015E1,-2.85E1)); +#7480=LINE('',#7479,#7478); +#7481=CARTESIAN_POINT('',(2.92E1,1.08E1,-3.375E1)); +#7482=DIRECTION('',(0.E0,1.E0,0.E0)); +#7483=DIRECTION('',(1.136868377216E-13,0.E0,-1.E0)); +#7484=AXIS2_PLACEMENT_3D('',#7481,#7482,#7483); +#7486=CARTESIAN_POINT('',(3.42E1,1.08E1,-3.375E1)); +#7487=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7488=DIRECTION('',(-9.947598300641E-14,0.E0,-1.E0)); +#7489=AXIS2_PLACEMENT_3D('',#7486,#7487,#7488); +#7491=CARTESIAN_POINT('',(3.17E1,1.08E1,-3.375E1)); +#7492=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7493=DIRECTION('',(1.E0,0.E0,0.E0)); +#7494=AXIS2_PLACEMENT_3D('',#7491,#7492,#7493); +#7496=DIRECTION('',(0.E0,-3.254272123644E-10,-1.E0)); +#7497=VECTOR('',#7496,1.175E1); +#7498=CARTESIAN_POINT('',(2.87E1,1.08E1,-2.2E1)); +#7499=LINE('',#7498,#7497); +#7500=DIRECTION('',(4.786139348093E-10,6.439760861318E-10,1.E0)); +#7501=VECTOR('',#7500,6.5E0); +#7502=CARTESIAN_POINT('',(3.439765522875E1,9.487499995813E0,-2.85E1)); +#7503=LINE('',#7502,#7501); +#7504=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.85E1)); +#7505=DIRECTION('',(0.E0,0.E0,1.E0)); +#7506=DIRECTION('',(8.992184083790E-1,-4.375000046085E-1,0.E0)); +#7507=AXIS2_PLACEMENT_3D('',#7504,#7505,#7506); +#7509=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7510=VECTOR('',#7509,6.5E0); +#7511=CARTESIAN_POINT('',(3.529687364248E1,1.005E1,-2.2E1)); +#7512=LINE('',#7511,#7510); +#7513=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7514=VECTOR('',#7513,7.E0); +#7515=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.15E1)); +#7516=LINE('',#7515,#7514); +#7517=DIRECTION('',(0.E0,0.E0,1.E0)); +#7518=VECTOR('',#7517,7.E0); +#7519=CARTESIAN_POINT('',(3.842493718553E1,1.155E1,-2.85E1)); +#7520=LINE('',#7519,#7518); +#7521=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7522=VECTOR('',#7521,6.5E0); +#7523=CARTESIAN_POINT('',(3.529687364248E1,1.155E1,-2.2E1)); +#7524=LINE('',#7523,#7522); +#7525=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.15E1)); +#7526=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7527=DIRECTION('',(0.E0,1.E0,0.E0)); +#7528=AXIS2_PLACEMENT_3D('',#7525,#7526,#7527); +#7530=DIRECTION('',(0.E0,-2.591391153949E-14,1.E0)); +#7531=VECTOR('',#7530,8.5E0); +#7532=CARTESIAN_POINT('',(3.845E1,1.105E1,-2.85E1)); +#7533=LINE('',#7532,#7531); +#7534=CARTESIAN_POINT('',(3.345E1,1.555E1,-2.15E1)); +#7535=DIRECTION('',(1.E0,0.E0,0.E0)); +#7536=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7537=AXIS2_PLACEMENT_3D('',#7534,#7535,#7536); +#7539=CARTESIAN_POINT('',(3.792213595500E1,1.155E1,-2.2E1)); +#7540=CARTESIAN_POINT('',(3.797652769788E1,1.155E1,-2.2E1)); +#7541=CARTESIAN_POINT('',(3.807946135424E1,1.155E1,-2.198349656249E1)); +#7542=CARTESIAN_POINT('',(3.822366888325E1,1.155E1,-2.191056764720E1)); +#7543=CARTESIAN_POINT('',(3.833565989918E1,1.155E1,-2.179888295317E1)); +#7544=CARTESIAN_POINT('',(3.840847166685E1,1.155E1,-2.165581896692E1)); +#7545=CARTESIAN_POINT('',(3.842493718553E1,1.155E1,-2.155383736937E1)); +#7546=CARTESIAN_POINT('',(3.842493718553E1,1.155E1,-2.15E1)); +#7548=CARTESIAN_POINT('',(-3.345E1,1.555E1,-2.15E1)); +#7549=DIRECTION('',(1.E0,0.E0,0.E0)); +#7550=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7551=AXIS2_PLACEMENT_3D('',#7548,#7549,#7550); +#7553=DIRECTION('',(1.E0,0.E0,0.E0)); +#7554=VECTOR('',#7553,6.689999999753E1); +#7555=CARTESIAN_POINT('',(-3.344999999931E1,1.605E1,-2.15E1)); +#7556=LINE('',#7555,#7554); +#7557=DIRECTION('',(-1.190286980091E-9,0.E0,-1.E0)); +#7558=VECTOR('',#7557,1.5E0); +#7559=CARTESIAN_POINT('',(3.345E1,1.605E1,-2.E1)); +#7560=LINE('',#7559,#7558); +#7561=CARTESIAN_POINT('',(-3.17E1,1.08E1,-3.375E1)); +#7562=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7563=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7564=AXIS2_PLACEMENT_3D('',#7561,#7562,#7563); +#7566=DIRECTION('',(0.E0,3.254275147230E-10,-1.E0)); +#7567=VECTOR('',#7566,1.175E1); +#7568=CARTESIAN_POINT('',(-2.87E1,1.08E1,-2.2E1)); +#7569=LINE('',#7568,#7567); +#7570=DIRECTION('',(-1.734380160857E-11,-2.333859601304E-11,1.E0)); +#7571=VECTOR('',#7570,6.5E0); +#7572=CARTESIAN_POINT('',(-3.439765523175E1,1.211250000015E1,-2.85E1)); +#7573=LINE('',#7572,#7571); +#7574=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.85E1)); +#7575=DIRECTION('',(0.E0,0.E0,1.E0)); +#7576=DIRECTION('',(-8.992184105399E-1,4.375000001670E-1,0.E0)); +#7577=AXIS2_PLACEMENT_3D('',#7574,#7575,#7576); +#7579=CARTESIAN_POINT('',(-3.42E1,1.08E1,-3.375E1)); +#7580=DIRECTION('',(0.E0,1.E0,0.E0)); +#7581=DIRECTION('',(8.526512829121E-14,0.E0,-1.E0)); +#7582=AXIS2_PLACEMENT_3D('',#7579,#7580,#7581); +#7584=CARTESIAN_POINT('',(-2.92E1,1.08E1,-3.375E1)); +#7585=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7586=DIRECTION('',(-1.136868377216E-13,0.E0,-1.E0)); +#7587=AXIS2_PLACEMENT_3D('',#7584,#7585,#7586); +#7589=CARTESIAN_POINT('',(-3.17E1,1.08E1,-3.375E1)); +#7590=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7591=DIRECTION('',(1.E0,0.E0,0.E0)); +#7592=AXIS2_PLACEMENT_3D('',#7589,#7590,#7591); +#7594=DIRECTION('',(0.E0,-7.283374326930E-10,-1.E0)); +#7595=VECTOR('',#7594,5.25E0); +#7596=CARTESIAN_POINT('',(-3.47E1,1.08E1,-2.85E1)); +#7597=LINE('',#7596,#7595); +#7598=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.85E1)); +#7599=DIRECTION('',(0.E0,0.E0,1.E0)); +#7600=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7601=AXIS2_PLACEMENT_3D('',#7598,#7599,#7600); +#7603=DIRECTION('',(-4.786062828106E-10,6.439646081337E-10,1.E0)); +#7604=VECTOR('',#7603,6.5E0); +#7605=CARTESIAN_POINT('',(-3.439765522875E1,9.487499995814E0,-2.85E1)); +#7606=LINE('',#7605,#7604); +#7607=DIRECTION('',(0.E0,0.E0,1.E0)); +#7608=VECTOR('',#7607,7.E0); +#7609=CARTESIAN_POINT('',(-3.845E1,1.005E1,-2.85E1)); +#7610=LINE('',#7609,#7608); +#7611=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7612=VECTOR('',#7611,6.5E0); +#7613=CARTESIAN_POINT('',(-3.529687364248E1,1.005E1,-2.2E1)); +#7614=LINE('',#7613,#7612); +#7615=DIRECTION('',(0.E0,1.E0,0.E0)); +#7616=VECTOR('',#7615,2.999999999520E0); +#7617=CARTESIAN_POINT('',(-3.845E1,-1.104999999952E1,-2.15E1)); +#7618=LINE('',#7617,#7616); +#7619=DIRECTION('',(0.E0,1.E0,0.E0)); +#7620=VECTOR('',#7619,1.66E1); +#7621=CARTESIAN_POINT('',(-3.845E1,-6.550000000001E0,-2.15E1)); +#7622=LINE('',#7621,#7620); +#7623=CARTESIAN_POINT('',(-3.795E1,-1.105E1,-2.15E1)); +#7624=DIRECTION('',(0.E0,1.E0,0.E0)); +#7625=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7626=AXIS2_PLACEMENT_3D('',#7623,#7624,#7625); +#7628=CARTESIAN_POINT('',(-3.795E1,-8.050000000001E0,-2.15E1)); +#7629=DIRECTION('',(0.E0,1.E0,0.E0)); +#7630=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7631=AXIS2_PLACEMENT_3D('',#7628,#7629,#7630); +#7633=CARTESIAN_POINT('',(-3.345E1,-1.555E1,-2.15E1)); +#7634=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7635=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7636=AXIS2_PLACEMENT_3D('',#7633,#7634,#7635); +#7638=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.15E1)); +#7639=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7640=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7641=AXIS2_PLACEMENT_3D('',#7638,#7639,#7640); +#7643=DIRECTION('',(0.E0,3.193711961558E-10,-1.E0)); +#7644=VECTOR('',#7643,1.5E0); +#7645=CARTESIAN_POINT('',(-3.845E1,-1.105E1,-2.E1)); +#7646=LINE('',#7645,#7644); +#7647=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7648=VECTOR('',#7647,6.689999999791E1); +#7649=CARTESIAN_POINT('',(3.344999999952E1,-1.605E1,-2.15E1)); +#7650=LINE('',#7649,#7648); +#7651=DIRECTION('',(1.073426384816E-9,0.E0,-1.E0)); +#7652=VECTOR('',#7651,1.5E0); +#7653=CARTESIAN_POINT('',(-3.345E1,-1.605E1,-2.E1)); +#7654=LINE('',#7653,#7652); +#7655=CARTESIAN_POINT('',(3.345E1,-1.555E1,-2.15E1)); +#7656=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7657=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7658=AXIS2_PLACEMENT_3D('',#7655,#7656,#7657); +#7660=CARTESIAN_POINT('',(3.795E1,-1.105E1,-2.15E1)); +#7661=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7662=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7663=AXIS2_PLACEMENT_3D('',#7660,#7661,#7662); +#7665=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.15E1)); +#7666=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7667=DIRECTION('',(1.E0,0.E0,0.E0)); +#7668=AXIS2_PLACEMENT_3D('',#7665,#7666,#7667); +#7670=DIRECTION('',(-3.193842227726E-10,0.E0,-1.E0)); +#7671=VECTOR('',#7670,1.5E0); +#7672=CARTESIAN_POINT('',(3.345E1,-1.605E1,-2.E1)); +#7673=LINE('',#7672,#7671); +#7674=CARTESIAN_POINT('',(3.795E1,-8.050000000001E0,-2.15E1)); +#7675=DIRECTION('',(0.E0,1.E0,0.E0)); +#7676=DIRECTION('',(1.E0,0.E0,0.E0)); +#7677=AXIS2_PLACEMENT_3D('',#7674,#7675,#7676); +#7679=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7680=VECTOR('',#7679,7.E0); +#7681=CARTESIAN_POINT('',(3.845E1,-8.050000000001E0,-2.15E1)); +#7682=LINE('',#7681,#7680); +#7683=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7684=VECTOR('',#7683,6.5E0); +#7685=CARTESIAN_POINT('',(3.679687364248E1,-8.050000000001E0,-2.2E1)); +#7686=LINE('',#7685,#7684); +#7687=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-3.375E1)); +#7688=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7689=DIRECTION('',(1.E0,0.E0,0.E0)); +#7690=AXIS2_PLACEMENT_3D('',#7687,#7688,#7689); +#7692=DIRECTION('',(0.E0,-3.254275147230E-10,-1.E0)); +#7693=VECTOR('',#7692,1.175E1); +#7694=CARTESIAN_POINT('',(3.02E1,-7.300000000001E0,-2.2E1)); +#7695=LINE('',#7694,#7693); +#7696=DIRECTION('',(1.734598789391E-11,2.334105558405E-11,1.E0)); +#7697=VECTOR('',#7696,6.5E0); +#7698=CARTESIAN_POINT('',(3.589765523175E1,-8.612500000152E0,-2.85E1)); +#7699=LINE('',#7698,#7697); +#7700=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.85E1)); +#7701=DIRECTION('',(0.E0,0.E0,1.E0)); +#7702=DIRECTION('',(8.992184105399E-1,-4.375000001670E-1,0.E0)); +#7703=AXIS2_PLACEMENT_3D('',#7700,#7701,#7702); +#7705=CARTESIAN_POINT('',(3.57E1,-7.300000000001E0,-3.375E1)); +#7706=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7707=DIRECTION('',(-1.136868377216E-13,0.E0,-1.E0)); +#7708=AXIS2_PLACEMENT_3D('',#7705,#7706,#7707); +#7710=DIRECTION('',(0.E0,0.E0,1.E0)); +#7711=VECTOR('',#7710,7.E0); +#7712=CARTESIAN_POINT('',(-3.845E1,-8.050000000001E0,-2.85E1)); +#7713=LINE('',#7712,#7711); +#7714=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7715=VECTOR('',#7714,6.5E0); +#7716=CARTESIAN_POINT('',(-3.679687364248E1,-8.050000000001E0,-2.2E1)); +#7717=LINE('',#7716,#7715); +#7718=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7719=VECTOR('',#7718,7.E0); +#7720=CARTESIAN_POINT('',(-3.845E1,-6.550000000001E0,-2.15E1)); +#7721=LINE('',#7720,#7719); +#7722=CARTESIAN_POINT('',(-3.795E1,-6.550000000001E0,-2.15E1)); +#7723=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7724=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7725=AXIS2_PLACEMENT_3D('',#7722,#7723,#7724); +#7727=CARTESIAN_POINT('',(-3.795E1,1.005E1,-2.15E1)); +#7728=DIRECTION('',(0.E0,1.E0,0.E0)); +#7729=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7730=AXIS2_PLACEMENT_3D('',#7727,#7728,#7729); +#7732=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7733=VECTOR('',#7732,6.5E0); +#7734=CARTESIAN_POINT('',(-3.679687364248E1,-6.550000000001E0,-2.2E1)); +#7735=LINE('',#7734,#7733); +#7736=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7737=VECTOR('',#7736,6.5E0); +#7738=CARTESIAN_POINT('',(-3.529687364248E1,1.155E1,-2.2E1)); +#7739=LINE('',#7738,#7737); +#7740=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7741=VECTOR('',#7740,7.E0); +#7742=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.15E1)); +#7743=LINE('',#7742,#7741); +#7744=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7745=VECTOR('',#7744,2.E0); +#7746=CARTESIAN_POINT('',(-3.245E1,-7.300000000001E0,-3.425E1)); +#7747=LINE('',#7746,#7745); +#7748=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7749=VECTOR('',#7748,2.E0); +#7750=CARTESIAN_POINT('',(-3.395E1,-7.300000000001E0,-3.425E1)); +#7751=LINE('',#7750,#7749); +#7752=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-3.625E1)); +#7753=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7754=DIRECTION('',(1.E0,0.E0,0.E0)); +#7755=AXIS2_PLACEMENT_3D('',#7752,#7753,#7754); +#7757=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-3.625E1)); +#7758=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7759=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7760=AXIS2_PLACEMENT_3D('',#7757,#7758,#7759); +#7762=CARTESIAN_POINT('',(3.17E1,1.08E1,-3.625E1)); +#7763=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7764=DIRECTION('',(1.E0,0.E0,0.E0)); +#7765=AXIS2_PLACEMENT_3D('',#7762,#7763,#7764); +#7767=CARTESIAN_POINT('',(3.17E1,1.08E1,-3.625E1)); +#7768=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7769=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7770=AXIS2_PLACEMENT_3D('',#7767,#7768,#7769); +#7772=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7773=VECTOR('',#7772,2.E0); +#7774=CARTESIAN_POINT('',(3.245E1,1.08E1,-3.425E1)); +#7775=LINE('',#7774,#7773); +#7776=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7777=VECTOR('',#7776,2.E0); +#7778=CARTESIAN_POINT('',(3.095E1,1.08E1,-3.425E1)); +#7779=LINE('',#7778,#7777); +#7780=DIRECTION('',(-3.315866100214E-14,0.E0,1.E0)); +#7781=VECTOR('',#7780,7.5E-1); +#7782=CARTESIAN_POINT('',(3.093529411765E1,7.641246303762E0,-2.E1)); +#7783=LINE('',#7782,#7781); +#7784=DIRECTION('',(0.E0,2.639594766489E-14,1.E0)); +#7785=VECTOR('',#7784,5.383725902028E-1); +#7786=CARTESIAN_POINT('',(2.97E1,6.669322089535E0,-1.978837259020E1)); +#7787=LINE('',#7786,#7785); +#7788=CARTESIAN_POINT('',(2.603968501984E1,1.18E1,-2.E1)); +#7789=CARTESIAN_POINT('',(2.592410550970E1,1.18E1,-2.E1)); +#7790=CARTESIAN_POINT('',(2.569569594051E1,1.18E1,-1.998869172515E1)); +#7791=CARTESIAN_POINT('',(2.536062154575E1,1.18E1,-1.994850448835E1)); +#7792=CARTESIAN_POINT('',(2.503502759383E1,1.18E1,-1.989219676796E1)); +#7793=CARTESIAN_POINT('',(2.471944514594E1,1.18E1,-1.982506346733E1)); +#7794=CARTESIAN_POINT('',(2.441247944372E1,1.18E1,-1.975072171408E1)); +#7795=CARTESIAN_POINT('',(2.410971469433E1,1.18E1,-1.967102704437E1)); +#7796=CARTESIAN_POINT('',(2.380753311428E1,1.18E1,-1.958732657166E1)); +#7797=CARTESIAN_POINT('',(2.360292146614E1,1.18E1,-1.952922773234E1)); +#7798=CARTESIAN_POINT('',(2.35E1,1.18E1,-1.95E1)); +#7800=CARTESIAN_POINT('',(2.35E1,1.23E1,-1.95E1)); +#7801=DIRECTION('',(1.E0,0.E0,0.E0)); +#7802=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7803=AXIS2_PLACEMENT_3D('',#7800,#7801,#7802); +#7805=CARTESIAN_POINT('',(2.97E1,5.599999999982E0,-1.95E1)); +#7806=CARTESIAN_POINT('',(2.97E1,5.722218115074E0,-1.953470761241E1)); +#7807=CARTESIAN_POINT('',(2.97E1,5.964090240187E0,-1.960338320102E1)); +#7808=CARTESIAN_POINT('',(2.97E1,6.320106267764E0,-1.970098236356E1)); +#7809=CARTESIAN_POINT('',(2.97E1,6.553502922919E0,-1.976049020699E1)); +#7810=CARTESIAN_POINT('',(2.97E1,6.669322089535E0,-1.978837259020E1)); +#7812=CARTESIAN_POINT('',(2.97E1,6.669322089535E0,-1.978837259020E1)); +#7813=CARTESIAN_POINT('',(2.97E1,6.718793564846E0,-1.980028238608E1)); +#7814=CARTESIAN_POINT('',(2.970732865564E1,6.815469559474E0,-1.983302979781E1)); +#7815=CARTESIAN_POINT('',(2.973779714356E1,6.953853684121E0,-1.988974227512E1)); +#7816=CARTESIAN_POINT('',(2.978913358621E1,7.091060804613E0,-1.994449980177E1)); +#7817=CARTESIAN_POINT('',(2.986430213594E1,7.225983566621E0,-1.998739793147E1)); +#7818=CARTESIAN_POINT('',(2.993035691909E1,7.310113820101E0,-2.E1)); +#7819=CARTESIAN_POINT('',(2.996741808022E1,7.350000964486E0,-2.E1)); +#7821=CARTESIAN_POINT('',(-2.35E1,1.23E1,-1.95E1)); +#7822=DIRECTION('',(1.E0,0.E0,0.E0)); +#7823=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7824=AXIS2_PLACEMENT_3D('',#7821,#7822,#7823); +#7826=CARTESIAN_POINT('',(-2.97E1,6.669322089535E0,-1.978837259020E1)); +#7827=CARTESIAN_POINT('',(-2.97E1,6.553358981136E0,-1.976045555435E1)); +#7828=CARTESIAN_POINT('',(-2.97E1,6.319772305721E0,-1.970089417045E1)); +#7829=CARTESIAN_POINT('',(-2.97E1,5.963766948036E0,-1.960329183412E1)); +#7830=CARTESIAN_POINT('',(-2.97E1,5.722079651182E0,-1.953466829130E1)); +#7831=CARTESIAN_POINT('',(-2.97E1,5.6E0,-1.95E1)); +#7833=CARTESIAN_POINT('',(-3.02E1,5.6E0,-1.95E1)); +#7834=DIRECTION('',(0.E0,1.E0,0.E0)); +#7835=DIRECTION('',(1.E0,0.E0,0.E0)); +#7836=AXIS2_PLACEMENT_3D('',#7833,#7834,#7835); +#7838=CARTESIAN_POINT('',(-2.996741808022E1,7.350000964486E0,-2.E1)); +#7839=CARTESIAN_POINT('',(-2.993323985470E1,7.313216584968E0,-2.E1)); +#7840=CARTESIAN_POINT('',(-2.986988888203E1,7.234119977995E0, +-1.998930820726E1)); +#7841=CARTESIAN_POINT('',(-2.979169323515E1,7.097197075203E0, +-1.994692430988E1)); +#7842=CARTESIAN_POINT('',(-2.973782589131E1,6.955018878548E0, +-1.989022800815E1)); +#7843=CARTESIAN_POINT('',(-2.970664141170E1,6.811359369199E0, +-1.983136440675E1)); +#7844=CARTESIAN_POINT('',(-2.97E1,6.716694202695E0,-1.979977698424E1)); +#7845=CARTESIAN_POINT('',(-2.97E1,6.669322089535E0,-1.978837259020E1)); +#7847=CARTESIAN_POINT('',(-2.349999999998E1,1.18E1,-1.95E1)); +#7848=CARTESIAN_POINT('',(-2.360314832675E1,1.18E1,-1.952929215643E1)); +#7849=CARTESIAN_POINT('',(-2.380908177384E1,1.18E1,-1.958777147610E1)); +#7850=CARTESIAN_POINT('',(-2.411696240163E1,1.18E1,-1.967302153016E1)); +#7851=CARTESIAN_POINT('',(-2.442592127072E1,1.18E1,-1.975417072777E1)); +#7852=CARTESIAN_POINT('',(-2.473723496230E1,1.18E1,-1.982914399822E1)); +#7853=CARTESIAN_POINT('',(-2.505263754357E1,1.18E1,-1.989557004560E1)); +#7854=CARTESIAN_POINT('',(-2.537359801475E1,1.18E1,-1.995030700282E1)); +#7855=CARTESIAN_POINT('',(-2.570166046203E1,1.18E1,-1.998905669624E1)); +#7856=CARTESIAN_POINT('',(-2.592610345969E1,1.18E1,-2.E1)); +#7857=CARTESIAN_POINT('',(-2.603968501984E1,1.18E1,-2.E1)); +#7859=CARTESIAN_POINT('',(-2.97E1,-3.050000000001E0,-1.95E1)); +#7860=CARTESIAN_POINT('',(-2.97E1,-3.117236956315E0,-1.954754370776E1)); +#7861=CARTESIAN_POINT('',(-2.971339936020E1,-3.247065292750E0, +-1.963931909260E1)); +#7862=CARTESIAN_POINT('',(-2.976777804336E1,-3.426874437873E0, +-1.976259319773E1)); +#7863=CARTESIAN_POINT('',(-2.984872766354E1,-3.584002157873E0, +-1.986328557318E1)); +#7864=CARTESIAN_POINT('',(-2.995037655305E1,-3.718798067268E0, +-1.993942189397E1)); +#7865=CARTESIAN_POINT('',(-3.006964254306E1,-3.831857150932E0, +-1.998847778636E1)); +#7866=CARTESIAN_POINT('',(-3.015623203463E1,-3.890755957197E0,-2.E1)); +#7867=CARTESIAN_POINT('',(-3.02E1,-3.916025403785E0,-2.E1)); +#7869=DIRECTION('',(0.E0,0.E0,1.E0)); +#7870=VECTOR('',#7869,7.5E-1); +#7871=CARTESIAN_POINT('',(-3.07E1,-4.050000000001E0,-2.E1)); +#7872=LINE('',#7871,#7870); +#7873=DIRECTION('',(0.E0,0.E0,1.E0)); +#7874=VECTOR('',#7873,7.5E-1); +#7875=CARTESIAN_POINT('',(-3.32E1,-4.050000000001E0,-2.E1)); +#7876=LINE('',#7875,#7874); +#7877=DIRECTION('',(0.E0,0.E0,1.E0)); +#7878=VECTOR('',#7877,7.5E-1); +#7879=CARTESIAN_POINT('',(-3.545E1,-4.954792120089E0,-2.E1)); +#7880=LINE('',#7879,#7878); +#7881=DIRECTION('',(0.E0,0.E0,1.E0)); +#7882=VECTOR('',#7881,7.5E-1); +#7883=CARTESIAN_POINT('',(-3.545E1,-9.645207879912E0,-2.E1)); +#7884=LINE('',#7883,#7882); +#7885=DIRECTION('',(0.E0,0.E0,1.E0)); +#7886=VECTOR('',#7885,7.5E-1); +#7887=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-2.E1)); +#7888=LINE('',#7887,#7886); +#7889=CARTESIAN_POINT('',(-2.801525193096E1,-1.055E1,-2.E1)); +#7890=CARTESIAN_POINT('',(-2.794957200077E1,-1.055E1,-2.E1)); +#7891=CARTESIAN_POINT('',(-2.782135464734E1,-1.055E1,-1.998881878204E1)); +#7892=CARTESIAN_POINT('',(-2.762952088424E1,-1.055E1,-1.993748048981E1)); +#7893=CARTESIAN_POINT('',(-2.746498417638E1,-1.055E1,-1.985678947686E1)); +#7894=CARTESIAN_POINT('',(-2.733838919505E1,-1.055E1,-1.975497930239E1)); +#7895=CARTESIAN_POINT('',(-2.725528794601E1,-1.055E1,-1.963672875426E1)); +#7896=CARTESIAN_POINT('',(-2.723329613077E1,-1.055E1,-1.954738485896E1)); +#7897=CARTESIAN_POINT('',(-2.723329613077E1,-1.055E1,-1.95E1)); +#7899=CARTESIAN_POINT('',(-2.35E1,-1.23E1,-1.95E1)); +#7900=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7901=DIRECTION('',(0.E0,1.E0,0.E0)); +#7902=AXIS2_PLACEMENT_3D('',#7899,#7900,#7901); +#7904=CARTESIAN_POINT('',(2.35E1,-1.23E1,-1.95E1)); +#7905=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7906=DIRECTION('',(0.E0,1.E0,0.E0)); +#7907=AXIS2_PLACEMENT_3D('',#7904,#7905,#7906); +#7909=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-1.95E1)); +#7910=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-1.954715962265E1)); +#7911=CARTESIAN_POINT('',(2.725494579221E1,-1.055E1,-1.963647215727E1)); +#7912=CARTESIAN_POINT('',(2.734001764244E1,-1.055E1,-1.975715146715E1)); +#7913=CARTESIAN_POINT('',(2.746989997929E1,-1.055E1,-1.985994165994E1)); +#7914=CARTESIAN_POINT('',(2.763494104397E1,-1.055E1,-1.993931679647E1)); +#7915=CARTESIAN_POINT('',(2.782399789725E1,-1.055E1,-1.998909874945E1)); +#7916=CARTESIAN_POINT('',(2.795055356174E1,-1.055E1,-2.E1)); +#7917=CARTESIAN_POINT('',(2.801525193096E1,-1.055E1,-2.E1)); +#7919=DIRECTION('',(1.894780628694E-14,0.E0,1.E0)); +#7920=VECTOR('',#7919,7.5E-1); +#7921=CARTESIAN_POINT('',(-3.093529411765E1,7.641246303762E0,-2.E1)); +#7922=LINE('',#7921,#7920); +#7923=DIRECTION('',(0.E0,0.E0,1.E0)); +#7924=VECTOR('',#7923,7.5E-1); +#7925=CARTESIAN_POINT('',(-3.404520787991E1,1.305E1,-2.E1)); +#7926=LINE('',#7925,#7924); +#7927=DIRECTION('',(0.E0,0.E0,1.E0)); +#7928=VECTOR('',#7927,7.5E-1); +#7929=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-2.E1)); +#7930=LINE('',#7929,#7928); +#7931=DIRECTION('',(0.E0,0.E0,1.E0)); +#7932=VECTOR('',#7931,7.5E-1); +#7933=CARTESIAN_POINT('',(-2.883235294118E1,1.232941176471E1,-2.E1)); +#7934=LINE('',#7933,#7932); +#7935=DIRECTION('',(0.E0,0.E0,1.E0)); +#7936=VECTOR('',#7935,7.5E-1); +#7937=CARTESIAN_POINT('',(-2.795E1,1.18E1,-2.E1)); +#7938=LINE('',#7937,#7936); +#7939=CARTESIAN_POINT('',(3.02E1,-3.916025403785E0,-2.E1)); +#7940=CARTESIAN_POINT('',(3.015245003711E1,-3.888572419909E0,-2.E1)); +#7941=CARTESIAN_POINT('',(3.006526951495E1,-3.827670632845E0, +-1.998656357646E1)); +#7942=CARTESIAN_POINT('',(2.996084912720E1,-3.728215371446E0, +-1.994330529120E1)); +#7943=CARTESIAN_POINT('',(2.987751356135E1,-3.623725420593E0, +-1.988617667842E1)); +#7944=CARTESIAN_POINT('',(2.981135757802E1,-3.514300965889E0, +-1.981899405027E1)); +#7945=CARTESIAN_POINT('',(2.976095197198E1,-3.400983118794E0, +-1.974458820022E1)); +#7946=CARTESIAN_POINT('',(2.972553097481E1,-3.284961155016E0, +-1.966527027731E1)); +#7947=CARTESIAN_POINT('',(2.970461747833E1,-3.167788296558E0, +-1.958328925281E1)); +#7948=CARTESIAN_POINT('',(2.97E1,-3.089248645416E0,-1.952775298333E1)); +#7949=CARTESIAN_POINT('',(2.97E1,-3.050000000001E0,-1.95E1)); +#7951=CARTESIAN_POINT('',(3.02E1,5.6E0,-1.95E1)); +#7952=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7953=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7954=AXIS2_PLACEMENT_3D('',#7951,#7952,#7953); +#7956=DIRECTION('',(0.E0,0.E0,1.E0)); +#7957=VECTOR('',#7956,7.5E-1); +#7958=CARTESIAN_POINT('',(3.07E1,-4.050000000001E0,-2.E1)); +#7959=LINE('',#7958,#7957); +#7960=DIRECTION('',(0.E0,0.E0,1.E0)); +#7961=VECTOR('',#7960,7.5E-1); +#7962=CARTESIAN_POINT('',(3.32E1,-4.050000000001E0,-2.E1)); +#7963=LINE('',#7962,#7961); +#7964=DIRECTION('',(0.E0,0.E0,1.E0)); +#7965=VECTOR('',#7964,7.5E-1); +#7966=CARTESIAN_POINT('',(3.545E1,-4.954792120089E0,-2.E1)); +#7967=LINE('',#7966,#7965); +#7968=DIRECTION('',(0.E0,0.E0,1.E0)); +#7969=VECTOR('',#7968,7.5E-1); +#7970=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-2.E1)); +#7971=LINE('',#7970,#7969); +#7972=DIRECTION('',(0.E0,0.E0,1.E0)); +#7973=VECTOR('',#7972,7.5E-1); +#7974=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-2.E1)); +#7975=LINE('',#7974,#7973); +#7976=DIRECTION('',(0.E0,0.E0,1.E0)); +#7977=VECTOR('',#7976,7.5E-1); +#7978=CARTESIAN_POINT('',(2.935479212009E1,1.305E1,-2.E1)); +#7979=LINE('',#7978,#7977); +#7980=DIRECTION('',(0.E0,0.E0,1.E0)); +#7981=VECTOR('',#7980,7.5E-1); +#7982=CARTESIAN_POINT('',(2.883235294118E1,1.232941176471E1,-2.E1)); +#7983=LINE('',#7982,#7981); +#7984=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.9E1)); +#7985=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7986=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7987=AXIS2_PLACEMENT_3D('',#7984,#7985,#7986); +#7989=DIRECTION('',(0.E0,-3.640266754701E-9,1.E0)); +#7990=VECTOR('',#7989,1.E0); +#7991=CARTESIAN_POINT('',(-3.575E1,1.105E1,-2.E1)); +#7992=LINE('',#7991,#7990); +#7993=CARTESIAN_POINT('',(-3.625E1,1.105E1,-1.9E1)); +#7994=DIRECTION('',(0.E0,1.E0,0.E0)); +#7995=DIRECTION('',(0.E0,0.E0,1.E0)); +#7996=AXIS2_PLACEMENT_3D('',#7993,#7994,#7995); +#7998=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.85E1)); +#7999=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8000=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8001=AXIS2_PLACEMENT_3D('',#7998,#7999,#8000); +#8003=DIRECTION('',(1.279330588208E-11,1.E0,0.E0)); +#8004=VECTOR('',#8003,2.209999999940E1); +#8005=CARTESIAN_POINT('',(-3.624999999974E1,-1.104999999972E1,-1.85E1)); +#8006=LINE('',#8005,#8004); +#8007=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.85E1)); +#8008=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8009=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8010=AXIS2_PLACEMENT_3D('',#8007,#8008,#8009); +#8012=DIRECTION('',(-1.E0,4.226189237501E-12,0.E0)); +#8013=VECTOR('',#8012,6.689999999940E1); +#8014=CARTESIAN_POINT('',(3.344999999972E1,-1.384999999974E1,-1.85E1)); +#8015=LINE('',#8014,#8013); +#8016=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.85E1)); +#8017=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8018=DIRECTION('',(1.E0,0.E0,0.E0)); +#8019=AXIS2_PLACEMENT_3D('',#8016,#8017,#8018); +#8021=DIRECTION('',(-1.279330588208E-11,-1.E0,0.E0)); +#8022=VECTOR('',#8021,2.209999999940E1); +#8023=CARTESIAN_POINT('',(3.624999999974E1,1.104999999972E1,-1.85E1)); +#8024=LINE('',#8023,#8022); +#8025=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.85E1)); +#8026=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8027=DIRECTION('',(0.E0,1.E0,0.E0)); +#8028=AXIS2_PLACEMENT_3D('',#8025,#8026,#8027); +#8030=DIRECTION('',(1.E0,-4.226109580244E-12,0.E0)); +#8031=VECTOR('',#8030,6.689999999940E1); +#8032=CARTESIAN_POINT('',(-3.344999999972E1,1.384999999974E1,-1.85E1)); +#8033=LINE('',#8032,#8031); +#8034=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.85E1)); +#8035=DIRECTION('',(0.E0,0.E0,1.E0)); +#8036=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8037=AXIS2_PLACEMENT_3D('',#8034,#8035,#8036); +#8039=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8040=VECTOR('',#8039,2.21E1); +#8041=CARTESIAN_POINT('',(-3.785E1,1.105E1,-1.85E1)); +#8042=LINE('',#8041,#8040); +#8043=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.85E1)); +#8044=DIRECTION('',(0.E0,0.E0,1.E0)); +#8045=DIRECTION('',(0.E0,1.E0,0.E0)); +#8046=AXIS2_PLACEMENT_3D('',#8043,#8044,#8045); +#8048=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8049=VECTOR('',#8048,6.69E1); +#8050=CARTESIAN_POINT('',(3.345E1,1.545E1,-1.85E1)); +#8051=LINE('',#8050,#8049); +#8052=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.85E1)); +#8053=DIRECTION('',(0.E0,0.E0,1.E0)); +#8054=DIRECTION('',(1.E0,0.E0,0.E0)); +#8055=AXIS2_PLACEMENT_3D('',#8052,#8053,#8054); +#8057=DIRECTION('',(0.E0,1.E0,0.E0)); +#8058=VECTOR('',#8057,2.21E1); +#8059=CARTESIAN_POINT('',(3.785E1,-1.105E1,-1.85E1)); +#8060=LINE('',#8059,#8058); +#8061=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.85E1)); +#8062=DIRECTION('',(0.E0,0.E0,1.E0)); +#8063=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8064=AXIS2_PLACEMENT_3D('',#8061,#8062,#8063); +#8066=DIRECTION('',(1.E0,0.E0,0.E0)); +#8067=VECTOR('',#8066,6.69E1); +#8068=CARTESIAN_POINT('',(-3.345E1,-1.545E1,-1.85E1)); +#8069=LINE('',#8068,#8067); +#8070=CARTESIAN_POINT('',(-3.625E1,-1.105E1,-1.9E1)); +#8071=DIRECTION('',(0.E0,1.E0,0.E0)); +#8072=DIRECTION('',(0.E0,0.E0,1.E0)); +#8073=AXIS2_PLACEMENT_3D('',#8070,#8071,#8072); +#8075=DIRECTION('',(0.E0,1.E0,0.E0)); +#8076=VECTOR('',#8075,2.209999999456E1); +#8077=CARTESIAN_POINT('',(-3.575E1,-1.104999999820E1,-1.9E1)); +#8078=LINE('',#8077,#8076); +#8079=DIRECTION('',(0.E0,1.800874116498E-9,1.E0)); +#8080=VECTOR('',#8079,1.E0); +#8081=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-2.E1)); +#8082=LINE('',#8081,#8080); +#8083=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.9E1)); +#8084=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8085=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8086=AXIS2_PLACEMENT_3D('',#8083,#8084,#8085); +#8088=DIRECTION('',(3.640252543846E-9,0.E0,1.E0)); +#8089=VECTOR('',#8088,1.E0); +#8090=CARTESIAN_POINT('',(-3.345E1,-1.335E1,-2.E1)); +#8091=LINE('',#8090,#8089); +#8092=CARTESIAN_POINT('',(-3.345E1,-1.385E1,-1.9E1)); +#8093=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8094=DIRECTION('',(0.E0,0.E0,1.E0)); +#8095=AXIS2_PLACEMENT_3D('',#8092,#8093,#8094); +#8097=CARTESIAN_POINT('',(3.345E1,-1.385E1,-1.9E1)); +#8098=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8099=DIRECTION('',(0.E0,0.E0,1.E0)); +#8100=AXIS2_PLACEMENT_3D('',#8097,#8098,#8099); +#8102=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8103=VECTOR('',#8102,6.689999999456E1); +#8104=CARTESIAN_POINT('',(3.344999999820E1,-1.335E1,-1.9E1)); +#8105=LINE('',#8104,#8103); +#8106=DIRECTION('',(-1.800877669211E-9,0.E0,1.E0)); +#8107=VECTOR('',#8106,1.E0); +#8108=CARTESIAN_POINT('',(3.345E1,-1.335E1,-2.E1)); +#8109=LINE('',#8108,#8107); +#8110=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.9E1)); +#8111=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8112=DIRECTION('',(1.E0,0.E0,0.E0)); +#8113=AXIS2_PLACEMENT_3D('',#8110,#8111,#8112); +#8115=DIRECTION('',(0.E0,3.640257872917E-9,1.E0)); +#8116=VECTOR('',#8115,1.E0); +#8117=CARTESIAN_POINT('',(3.575E1,-1.105E1,-2.E1)); +#8118=LINE('',#8117,#8116); +#8119=CARTESIAN_POINT('',(3.625E1,-1.105E1,-1.9E1)); +#8120=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8121=DIRECTION('',(1.421085471520E-14,0.E0,1.E0)); +#8122=AXIS2_PLACEMENT_3D('',#8119,#8120,#8121); +#8124=CARTESIAN_POINT('',(3.625E1,1.105E1,-1.9E1)); +#8125=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8126=DIRECTION('',(0.E0,0.E0,1.E0)); +#8127=AXIS2_PLACEMENT_3D('',#8124,#8125,#8126); +#8129=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8130=VECTOR('',#8129,2.209999999456E1); +#8131=CARTESIAN_POINT('',(3.575E1,1.104999999820E1,-1.9E1)); +#8132=LINE('',#8131,#8130); +#8133=DIRECTION('',(0.E0,-1.800872340141E-9,1.E0)); +#8134=VECTOR('',#8133,1.E0); +#8135=CARTESIAN_POINT('',(3.575E1,1.105E1,-2.E1)); +#8136=LINE('',#8135,#8134); +#8137=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.9E1)); +#8138=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8139=DIRECTION('',(0.E0,1.E0,0.E0)); +#8140=AXIS2_PLACEMENT_3D('',#8137,#8138,#8139); +#8142=DIRECTION('',(-3.640252543846E-9,0.E0,1.E0)); +#8143=VECTOR('',#8142,1.E0); +#8144=CARTESIAN_POINT('',(3.345E1,1.335E1,-2.E1)); +#8145=LINE('',#8144,#8143); +#8146=CARTESIAN_POINT('',(3.345E1,1.385E1,-1.9E1)); +#8147=DIRECTION('',(1.E0,0.E0,0.E0)); +#8148=DIRECTION('',(0.E0,0.E0,1.E0)); +#8149=AXIS2_PLACEMENT_3D('',#8146,#8147,#8148); +#8151=CARTESIAN_POINT('',(-3.345E1,1.385E1,-1.9E1)); +#8152=DIRECTION('',(1.E0,0.E0,0.E0)); +#8153=DIRECTION('',(0.E0,0.E0,1.E0)); +#8154=AXIS2_PLACEMENT_3D('',#8151,#8152,#8153); +#8156=DIRECTION('',(1.E0,0.E0,0.E0)); +#8157=VECTOR('',#8156,6.689999999456E1); +#8158=CARTESIAN_POINT('',(-3.344999999820E1,1.335E1,-1.9E1)); +#8159=LINE('',#8158,#8157); +#8160=DIRECTION('',(1.800877669211E-9,0.E0,1.E0)); +#8161=VECTOR('',#8160,1.E0); +#8162=CARTESIAN_POINT('',(-3.345E1,1.335E1,-2.E1)); +#8163=LINE('',#8162,#8161); +#8164=CARTESIAN_POINT('',(-3.785E1,-1.105E1,-1.9E1)); +#8165=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8166=DIRECTION('',(0.E0,0.E0,1.E0)); +#8167=AXIS2_PLACEMENT_3D('',#8164,#8165,#8166); +#8169=CARTESIAN_POINT('',(-3.345E1,-1.545E1,-1.9E1)); +#8170=DIRECTION('',(1.E0,0.E0,0.E0)); +#8171=DIRECTION('',(0.E0,0.E0,1.E0)); +#8172=AXIS2_PLACEMENT_3D('',#8169,#8170,#8171); +#8174=CARTESIAN_POINT('',(3.345E1,-1.545E1,-1.9E1)); +#8175=DIRECTION('',(1.E0,0.E0,0.E0)); +#8176=DIRECTION('',(0.E0,0.E0,1.E0)); +#8177=AXIS2_PLACEMENT_3D('',#8174,#8175,#8176); +#8179=CARTESIAN_POINT('',(3.785E1,-1.105E1,-1.9E1)); +#8180=DIRECTION('',(0.E0,1.E0,0.E0)); +#8181=DIRECTION('',(0.E0,0.E0,1.E0)); +#8182=AXIS2_PLACEMENT_3D('',#8179,#8180,#8181); +#8184=CARTESIAN_POINT('',(3.785E1,1.105E1,-1.9E1)); +#8185=DIRECTION('',(0.E0,1.E0,0.E0)); +#8186=DIRECTION('',(0.E0,0.E0,1.E0)); +#8187=AXIS2_PLACEMENT_3D('',#8184,#8185,#8186); +#8189=CARTESIAN_POINT('',(3.345E1,1.545E1,-1.9E1)); +#8190=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8191=DIRECTION('',(0.E0,0.E0,1.E0)); +#8192=AXIS2_PLACEMENT_3D('',#8189,#8190,#8191); +#8194=CARTESIAN_POINT('',(-3.345E1,1.545E1,-1.9E1)); +#8195=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8196=DIRECTION('',(0.E0,0.E0,1.E0)); +#8197=AXIS2_PLACEMENT_3D('',#8194,#8195,#8196); +#8199=CARTESIAN_POINT('',(-3.785E1,1.105E1,-1.9E1)); +#8200=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8201=DIRECTION('',(0.E0,0.E0,1.E0)); +#8202=AXIS2_PLACEMENT_3D('',#8199,#8200,#8201); +#8204=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8205=VECTOR('',#8204,2.209999999819E1); +#8206=CARTESIAN_POINT('',(-3.835E1,1.104999999918E1,-1.9E1)); +#8207=LINE('',#8206,#8205); +#8208=DIRECTION('',(0.E0,-8.226805903178E-10,1.E0)); +#8209=VECTOR('',#8208,1.E0); +#8210=CARTESIAN_POINT('',(-3.835E1,1.105E1,-2.E1)); +#8211=LINE('',#8210,#8209); +#8212=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.9E1)); +#8213=DIRECTION('',(0.E0,0.E0,1.E0)); +#8214=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8215=AXIS2_PLACEMENT_3D('',#8212,#8213,#8214); +#8217=DIRECTION('',(0.E0,9.885425811262E-10,1.E0)); +#8218=VECTOR('',#8217,1.E0); +#8219=CARTESIAN_POINT('',(-3.835E1,-1.105E1,-2.E1)); +#8220=LINE('',#8219,#8218); +#8221=DIRECTION('',(1.E0,0.E0,0.E0)); +#8222=VECTOR('',#8221,6.689999999819E1); +#8223=CARTESIAN_POINT('',(-3.344999999918E1,-1.595E1,-1.9E1)); +#8224=LINE('',#8223,#8222); +#8225=DIRECTION('',(8.226805903178E-10,0.E0,1.E0)); +#8226=VECTOR('',#8225,1.E0); +#8227=CARTESIAN_POINT('',(-3.345E1,-1.595E1,-2.E1)); +#8228=LINE('',#8227,#8226); +#8229=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.9E1)); +#8230=DIRECTION('',(0.E0,0.E0,1.E0)); +#8231=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8232=AXIS2_PLACEMENT_3D('',#8229,#8230,#8231); +#8234=DIRECTION('',(-9.885354756989E-10,0.E0,1.E0)); +#8235=VECTOR('',#8234,1.E0); +#8236=CARTESIAN_POINT('',(3.345E1,-1.595E1,-2.E1)); +#8237=LINE('',#8236,#8235); +#8238=DIRECTION('',(0.E0,1.E0,0.E0)); +#8239=VECTOR('',#8238,2.209999999819E1); +#8240=CARTESIAN_POINT('',(3.835E1,-1.104999999918E1,-1.9E1)); +#8241=LINE('',#8240,#8239); +#8242=DIRECTION('',(0.E0,8.226788139609E-10,1.E0)); +#8243=VECTOR('',#8242,1.E0); +#8244=CARTESIAN_POINT('',(3.835E1,-1.105E1,-2.E1)); +#8245=LINE('',#8244,#8243); +#8246=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.9E1)); +#8247=DIRECTION('',(0.E0,0.E0,1.E0)); +#8248=DIRECTION('',(1.E0,0.E0,0.E0)); +#8249=AXIS2_PLACEMENT_3D('',#8246,#8247,#8248); +#8251=DIRECTION('',(0.E0,-9.885425811262E-10,1.E0)); +#8252=VECTOR('',#8251,1.E0); +#8253=CARTESIAN_POINT('',(3.835E1,1.105E1,-2.E1)); +#8254=LINE('',#8253,#8252); +#8255=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8256=VECTOR('',#8255,6.689999999819E1); +#8257=CARTESIAN_POINT('',(3.344999999918E1,1.595E1,-1.9E1)); +#8258=LINE('',#8257,#8256); +#8259=DIRECTION('',(-8.226805903178E-10,0.E0,1.E0)); +#8260=VECTOR('',#8259,1.E0); +#8261=CARTESIAN_POINT('',(3.345E1,1.595E1,-2.E1)); +#8262=LINE('',#8261,#8260); +#8263=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.9E1)); +#8264=DIRECTION('',(0.E0,0.E0,1.E0)); +#8265=DIRECTION('',(0.E0,1.E0,0.E0)); +#8266=AXIS2_PLACEMENT_3D('',#8263,#8264,#8265); +#8268=DIRECTION('',(9.885354756989E-10,0.E0,1.E0)); +#8269=VECTOR('',#8268,1.E0); +#8270=CARTESIAN_POINT('',(-3.345E1,1.595E1,-2.E1)); +#8271=LINE('',#8270,#8269); +#8272=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.E1)); +#8273=CARTESIAN_POINT('',(-3.845E1,1.105E1,-2.E1)); +#8274=VERTEX_POINT('',#8272); +#8275=VERTEX_POINT('',#8273); +#8276=CARTESIAN_POINT('',(-3.845E1,-1.105E1,-2.E1)); +#8277=VERTEX_POINT('',#8276); +#8278=CARTESIAN_POINT('',(-3.345E1,-1.605E1,-2.E1)); +#8279=VERTEX_POINT('',#8278); +#8280=CARTESIAN_POINT('',(3.345E1,-1.605E1,-2.E1)); +#8281=VERTEX_POINT('',#8280); +#8282=CARTESIAN_POINT('',(3.845E1,-1.105E1,-2.E1)); +#8283=VERTEX_POINT('',#8282); +#8284=CARTESIAN_POINT('',(3.845E1,1.105E1,-2.E1)); +#8285=VERTEX_POINT('',#8284); +#8286=CARTESIAN_POINT('',(3.345E1,1.605E1,-2.E1)); +#8287=VERTEX_POINT('',#8286); +#8288=CARTESIAN_POINT('',(-2.35E1,1.03E1,-2.E1)); +#8289=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E1)); +#8290=VERTEX_POINT('',#8288); +#8291=VERTEX_POINT('',#8289); +#8292=CARTESIAN_POINT('',(-2.82E1,-5.6E0,-2.E1)); +#8293=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E1)); +#8294=VERTEX_POINT('',#8292); +#8295=VERTEX_POINT('',#8293); +#8296=CARTESIAN_POINT('',(2.35E1,-1.03E1,-2.E1)); +#8297=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E1)); +#8298=VERTEX_POINT('',#8296); +#8299=VERTEX_POINT('',#8297); +#8300=CARTESIAN_POINT('',(2.82E1,5.6E0,-2.E1)); +#8301=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E1)); +#8302=VERTEX_POINT('',#8300); +#8303=VERTEX_POINT('',#8301); +#8304=CARTESIAN_POINT('',(-2.47E1,-7.E0,-2.3E1)); +#8305=CARTESIAN_POINT('',(2.47E1,-7.E0,-2.3E1)); +#8306=VERTEX_POINT('',#8304); +#8307=VERTEX_POINT('',#8305); +#8308=CARTESIAN_POINT('',(2.47E1,7.E0,-2.3E1)); +#8309=CARTESIAN_POINT('',(-2.47E1,7.E0,-2.3E1)); +#8310=VERTEX_POINT('',#8308); +#8311=VERTEX_POINT('',#8309); +#8312=CARTESIAN_POINT('',(-2.47E1,7.E0,-2.2E1)); +#8313=CARTESIAN_POINT('',(-2.47E1,-7.E0,-2.2E1)); +#8314=VERTEX_POINT('',#8312); +#8315=VERTEX_POINT('',#8313); +#8316=CARTESIAN_POINT('',(2.47E1,-7.E0,-2.2E1)); +#8317=VERTEX_POINT('',#8316); +#8318=CARTESIAN_POINT('',(2.47E1,7.E0,-2.2E1)); +#8319=VERTEX_POINT('',#8318); +#8320=CARTESIAN_POINT('',(3.2785E1,1.08E1,-1.925E1)); +#8321=CARTESIAN_POINT('',(3.0615E1,1.08E1,-1.925E1)); +#8322=VERTEX_POINT('',#8320); +#8323=VERTEX_POINT('',#8321); +#8324=CARTESIAN_POINT('',(3.4285E1,-7.300000000001E0,-1.925E1)); +#8325=CARTESIAN_POINT('',(3.2115E1,-7.300000000001E0,-1.925E1)); +#8326=VERTEX_POINT('',#8324); +#8327=VERTEX_POINT('',#8325); +#8328=CARTESIAN_POINT('',(-3.0615E1,1.08E1,-1.925E1)); +#8329=CARTESIAN_POINT('',(-3.2785E1,1.08E1,-1.925E1)); +#8330=VERTEX_POINT('',#8328); +#8331=VERTEX_POINT('',#8329); +#8332=CARTESIAN_POINT('',(-3.2115E1,-7.300000000001E0,-1.925E1)); +#8333=CARTESIAN_POINT('',(-3.4285E1,-7.300000000001E0,-1.925E1)); +#8334=VERTEX_POINT('',#8332); +#8335=VERTEX_POINT('',#8333); +#8336=CARTESIAN_POINT('',(3.093529411765E1,7.641246303762E0,-1.925E1)); +#8337=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-1.925E1)); +#8338=VERTEX_POINT('',#8336); +#8339=VERTEX_POINT('',#8337); +#8340=CARTESIAN_POINT('',(2.935479212009E1,1.305E1,-1.925E1)); +#8341=VERTEX_POINT('',#8340); +#8342=CARTESIAN_POINT('',(2.883235294118E1,1.232941176471E1,-1.925E1)); +#8343=VERTEX_POINT('',#8342); +#8344=CARTESIAN_POINT('',(2.795E1,1.18E1,-1.925E1)); +#8345=VERTEX_POINT('',#8344); +#8346=CARTESIAN_POINT('',(2.97E1,6.669322089535E0,-1.925E1)); +#8347=VERTEX_POINT('',#8346); +#8348=CARTESIAN_POINT('',(3.32E1,-4.050000000001E0,-1.925E1)); +#8349=CARTESIAN_POINT('',(3.07E1,-4.050000000001E0,-1.925E1)); +#8350=VERTEX_POINT('',#8348); +#8351=VERTEX_POINT('',#8349); +#8352=CARTESIAN_POINT('',(3.32E1,-1.055E1,-1.925E1)); +#8353=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-1.925E1)); +#8354=VERTEX_POINT('',#8352); +#8355=VERTEX_POINT('',#8353); +#8356=CARTESIAN_POINT('',(3.545E1,-4.954792120089E0,-1.925E1)); +#8357=VERTEX_POINT('',#8356); +#8358=CARTESIAN_POINT('',(-2.795E1,1.18E1,-1.925E1)); +#8359=CARTESIAN_POINT('',(-2.883235294118E1,1.232941176471E1,-1.925E1)); +#8360=VERTEX_POINT('',#8358); +#8361=VERTEX_POINT('',#8359); +#8362=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-1.925E1)); +#8363=VERTEX_POINT('',#8362); +#8364=CARTESIAN_POINT('',(-3.404520787991E1,1.305E1,-1.925E1)); +#8365=VERTEX_POINT('',#8364); +#8366=CARTESIAN_POINT('',(-3.093529411765E1,7.641246303762E0,-1.925E1)); +#8367=VERTEX_POINT('',#8366); +#8368=CARTESIAN_POINT('',(-2.97E1,6.669322089535E0,-1.925E1)); +#8369=VERTEX_POINT('',#8368); +#8370=CARTESIAN_POINT('',(-3.32E1,-4.050000000001E0,-1.925E1)); +#8371=CARTESIAN_POINT('',(-3.545E1,-4.954792120089E0,-1.925E1)); +#8372=VERTEX_POINT('',#8370); +#8373=VERTEX_POINT('',#8371); +#8374=CARTESIAN_POINT('',(-3.545E1,-9.645207879912E0,-1.925E1)); +#8375=VERTEX_POINT('',#8374); +#8376=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-1.925E1)); +#8377=VERTEX_POINT('',#8376); +#8378=CARTESIAN_POINT('',(-3.07E1,-4.050000000001E0,-1.925E1)); +#8379=VERTEX_POINT('',#8378); +#8380=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.925E1)); +#8381=CARTESIAN_POINT('',(-2.97E1,5.6E0,-1.925E1)); +#8382=VERTEX_POINT('',#8380); +#8383=VERTEX_POINT('',#8381); +#8384=CARTESIAN_POINT('',(-2.97E1,-3.050000000001E0,-1.925E1)); +#8385=CARTESIAN_POINT('',(-2.97E1,-5.6E0,-1.925E1)); +#8386=VERTEX_POINT('',#8384); +#8387=VERTEX_POINT('',#8385); +#8388=CARTESIAN_POINT('',(-2.97E1,-3.050000000001E0,-1.95E1)); +#8389=VERTEX_POINT('',#8388); +#8390=CARTESIAN_POINT('',(-2.723329613077E1,-1.055E1,-1.925E1)); +#8391=VERTEX_POINT('',#8390); +#8392=CARTESIAN_POINT('',(-2.723329613077E1,-1.055E1,-1.95E1)); +#8393=VERTEX_POINT('',#8392); +#8394=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-1.925E1)); +#8395=CARTESIAN_POINT('',(2.97E1,-5.6E0,-1.925E1)); +#8396=VERTEX_POINT('',#8394); +#8397=VERTEX_POINT('',#8395); +#8398=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-1.95E1)); +#8399=VERTEX_POINT('',#8398); +#8400=CARTESIAN_POINT('',(2.97E1,-3.050000000001E0,-1.925E1)); +#8401=VERTEX_POINT('',#8400); +#8402=CARTESIAN_POINT('',(2.97E1,-3.050000000001E0,-1.95E1)); +#8403=VERTEX_POINT('',#8402); +#8404=CARTESIAN_POINT('',(2.97E1,5.6E0,-1.925E1)); +#8405=CARTESIAN_POINT('',(2.35E1,1.18E1,-1.925E1)); +#8406=VERTEX_POINT('',#8404); +#8407=VERTEX_POINT('',#8405); +#8408=CARTESIAN_POINT('',(3.093529411765E1,7.641246303762E0,-2.E1)); +#8409=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-2.E1)); +#8410=VERTEX_POINT('',#8408); +#8411=VERTEX_POINT('',#8409); +#8412=CARTESIAN_POINT('',(2.935479212009E1,1.305E1,-2.E1)); +#8413=VERTEX_POINT('',#8412); +#8414=CARTESIAN_POINT('',(2.883235294118E1,1.232941176471E1,-2.E1)); +#8415=VERTEX_POINT('',#8414); +#8416=CARTESIAN_POINT('',(2.795E1,1.18E1,-2.E1)); +#8417=VERTEX_POINT('',#8416); +#8418=CARTESIAN_POINT('',(2.603968501984E1,1.18E1,-2.E1)); +#8419=VERTEX_POINT('',#8418); +#8420=CARTESIAN_POINT('',(2.996741808022E1,7.350000964486E0,-2.E1)); +#8421=VERTEX_POINT('',#8420); +#8422=CARTESIAN_POINT('',(3.32E1,-4.050000000001E0,-2.E1)); +#8423=CARTESIAN_POINT('',(3.07E1,-4.050000000001E0,-2.E1)); +#8424=VERTEX_POINT('',#8422); +#8425=VERTEX_POINT('',#8423); +#8426=CARTESIAN_POINT('',(3.02E1,-3.916025403785E0,-2.E1)); +#8427=VERTEX_POINT('',#8426); +#8428=CARTESIAN_POINT('',(2.801525193095E1,-1.055E1,-2.E1)); +#8429=CARTESIAN_POINT('',(3.32E1,-1.055E1,-2.E1)); +#8430=VERTEX_POINT('',#8428); +#8431=VERTEX_POINT('',#8429); +#8432=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-2.E1)); +#8433=VERTEX_POINT('',#8432); +#8434=CARTESIAN_POINT('',(3.545E1,-4.954792120089E0,-2.E1)); +#8435=VERTEX_POINT('',#8434); +#8436=CARTESIAN_POINT('',(-2.603968501984E1,1.18E1,-2.E1)); +#8437=CARTESIAN_POINT('',(-2.795E1,1.18E1,-2.E1)); +#8438=VERTEX_POINT('',#8436); +#8439=VERTEX_POINT('',#8437); +#8440=CARTESIAN_POINT('',(-2.883235294118E1,1.232941176471E1,-2.E1)); +#8441=VERTEX_POINT('',#8440); +#8442=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-2.E1)); +#8443=VERTEX_POINT('',#8442); +#8444=CARTESIAN_POINT('',(-3.404520787991E1,1.305E1,-2.E1)); +#8445=VERTEX_POINT('',#8444); +#8446=CARTESIAN_POINT('',(-3.093529411765E1,7.641246303762E0,-2.E1)); +#8447=VERTEX_POINT('',#8446); +#8448=CARTESIAN_POINT('',(-2.996741808022E1,7.350000964486E0,-2.E1)); +#8449=VERTEX_POINT('',#8448); +#8450=CARTESIAN_POINT('',(-3.32E1,-4.050000000001E0,-2.E1)); +#8451=CARTESIAN_POINT('',(-3.545E1,-4.954792120089E0,-2.E1)); +#8452=VERTEX_POINT('',#8450); +#8453=VERTEX_POINT('',#8451); +#8454=CARTESIAN_POINT('',(-3.545E1,-9.645207879912E0,-2.E1)); +#8455=VERTEX_POINT('',#8454); +#8456=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-2.E1)); +#8457=VERTEX_POINT('',#8456); +#8458=CARTESIAN_POINT('',(-2.801525193096E1,-1.055E1,-2.E1)); +#8459=VERTEX_POINT('',#8458); +#8460=CARTESIAN_POINT('',(-3.07E1,-4.050000000001E0,-2.E1)); +#8461=CARTESIAN_POINT('',(-3.02E1,-3.916025403785E0,-2.E1)); +#8462=VERTEX_POINT('',#8460); +#8463=VERTEX_POINT('',#8461); +#8464=CARTESIAN_POINT('',(2.97E1,6.669322089535E0,-1.978837259020E1)); +#8465=VERTEX_POINT('',#8464); +#8466=CARTESIAN_POINT('',(-2.97E1,6.669322089535E0,-1.978837259020E1)); +#8467=VERTEX_POINT('',#8466); +#8468=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.E-1)); +#8469=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.4E0)); +#8470=VERTEX_POINT('',#8468); +#8471=VERTEX_POINT('',#8469); +#8472=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#8473=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.4E0)); +#8474=VERTEX_POINT('',#8472); +#8475=VERTEX_POINT('',#8473); +#8476=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#8477=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#8478=VERTEX_POINT('',#8476); +#8479=VERTEX_POINT('',#8477); +#8480=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.E-1)); +#8481=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.4E0)); +#8482=VERTEX_POINT('',#8480); +#8483=VERTEX_POINT('',#8481); +#8484=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-5.4E0)); +#8485=VERTEX_POINT('',#8484); +#8486=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-5.4E0)); +#8487=VERTEX_POINT('',#8486); +#8488=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-5.4E0)); +#8489=VERTEX_POINT('',#8488); +#8490=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-5.4E0)); +#8491=VERTEX_POINT('',#8490); +#8492=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-3.9E0)); +#8493=VERTEX_POINT('',#8492); +#8494=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-3.9E0)); +#8495=VERTEX_POINT('',#8494); +#8496=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-3.9E0)); +#8497=VERTEX_POINT('',#8496); +#8498=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-3.9E0)); +#8499=VERTEX_POINT('',#8498); +#8500=CARTESIAN_POINT('',(1.25E0,1.03E1,-2.E1)); +#8501=CARTESIAN_POINT('',(1.25E0,9.1E0,-2.E1)); +#8502=VERTEX_POINT('',#8500); +#8503=VERTEX_POINT('',#8501); +#8504=CARTESIAN_POINT('',(2.25E0,1.03E1,-2.E1)); +#8505=CARTESIAN_POINT('',(2.25E0,9.1E0,-2.E1)); +#8506=VERTEX_POINT('',#8504); +#8507=VERTEX_POINT('',#8505); +#8508=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#8509=CARTESIAN_POINT('',(4.75E0,9.1E0,-2.E1)); +#8510=VERTEX_POINT('',#8508); +#8511=VERTEX_POINT('',#8509); +#8512=CARTESIAN_POINT('',(5.75E0,1.03E1,-2.E1)); +#8513=CARTESIAN_POINT('',(5.75E0,9.1E0,-2.E1)); +#8514=VERTEX_POINT('',#8512); +#8515=VERTEX_POINT('',#8513); +#8516=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#8517=CARTESIAN_POINT('',(8.25E0,9.1E0,-2.E1)); +#8518=VERTEX_POINT('',#8516); +#8519=VERTEX_POINT('',#8517); +#8520=CARTESIAN_POINT('',(9.25E0,1.03E1,-2.E1)); +#8521=CARTESIAN_POINT('',(9.25E0,9.1E0,-2.E1)); +#8522=VERTEX_POINT('',#8520); +#8523=VERTEX_POINT('',#8521); +#8524=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#8525=CARTESIAN_POINT('',(1.175E1,9.1E0,-2.E1)); +#8526=VERTEX_POINT('',#8524); +#8527=VERTEX_POINT('',#8525); +#8528=CARTESIAN_POINT('',(1.275E1,1.03E1,-2.E1)); +#8529=CARTESIAN_POINT('',(1.275E1,9.1E0,-2.E1)); +#8530=VERTEX_POINT('',#8528); +#8531=VERTEX_POINT('',#8529); +#8532=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-2.E1)); +#8533=VERTEX_POINT('',#8532); +#8534=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-2.E1)); +#8535=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-2.E1)); +#8536=VERTEX_POINT('',#8534); +#8537=VERTEX_POINT('',#8535); +#8538=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-2.E1)); +#8539=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-2.E1)); +#8540=VERTEX_POINT('',#8538); +#8541=VERTEX_POINT('',#8539); +#8542=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#8543=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-2.E1)); +#8544=VERTEX_POINT('',#8542); +#8545=VERTEX_POINT('',#8543); +#8546=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#8547=CARTESIAN_POINT('',(-5.75E0,-1.03E1,-2.E1)); +#8548=VERTEX_POINT('',#8546); +#8549=VERTEX_POINT('',#8547); +#8550=CARTESIAN_POINT('',(-4.75E0,-1.03E1,-2.E1)); +#8551=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-2.E1)); +#8552=VERTEX_POINT('',#8550); +#8553=VERTEX_POINT('',#8551); +#8554=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#8555=CARTESIAN_POINT('',(1.25E0,-1.03E1,-2.E1)); +#8556=VERTEX_POINT('',#8554); +#8557=VERTEX_POINT('',#8555); +#8558=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#8559=CARTESIAN_POINT('',(4.575E0,-1.03E1,-2.E1)); +#8560=VERTEX_POINT('',#8558); +#8561=VERTEX_POINT('',#8559); +#8562=CARTESIAN_POINT('',(1.975E1,1.03E1,-2.E1)); +#8563=VERTEX_POINT('',#8562); +#8564=CARTESIAN_POINT('',(1.875E1,1.03E1,-2.E1)); +#8565=CARTESIAN_POINT('',(1.625E1,1.03E1,-2.E1)); +#8566=VERTEX_POINT('',#8564); +#8567=VERTEX_POINT('',#8565); +#8568=CARTESIAN_POINT('',(1.525E1,1.03E1,-2.E1)); +#8569=VERTEX_POINT('',#8568); +#8570=CARTESIAN_POINT('',(-1.075E0,1.03E1,-2.E1)); +#8571=VERTEX_POINT('',#8570); +#8572=CARTESIAN_POINT('',(-2.425E0,1.03E1,-2.E1)); +#8573=CARTESIAN_POINT('',(-4.75E0,1.03E1,-2.E1)); +#8574=VERTEX_POINT('',#8572); +#8575=VERTEX_POINT('',#8573); +#8576=CARTESIAN_POINT('',(-3.575E1,1.105E1,-2.E1)); +#8577=CARTESIAN_POINT('',(-3.345E1,1.335E1,-2.E1)); +#8578=VERTEX_POINT('',#8576); +#8579=VERTEX_POINT('',#8577); +#8580=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-2.E1)); +#8581=VERTEX_POINT('',#8580); +#8582=CARTESIAN_POINT('',(-3.345E1,-1.335E1,-2.E1)); +#8583=VERTEX_POINT('',#8582); +#8584=CARTESIAN_POINT('',(3.345E1,-1.335E1,-2.E1)); +#8585=VERTEX_POINT('',#8584); +#8586=CARTESIAN_POINT('',(3.575E1,-1.105E1,-2.E1)); +#8587=VERTEX_POINT('',#8586); +#8588=CARTESIAN_POINT('',(3.575E1,1.105E1,-2.E1)); +#8589=VERTEX_POINT('',#8588); +#8590=CARTESIAN_POINT('',(3.345E1,1.335E1,-2.E1)); +#8591=VERTEX_POINT('',#8590); +#8592=CARTESIAN_POINT('',(-3.679687364248E1,-8.050000000001E0,-2.85E1)); +#8593=CARTESIAN_POINT('',(-3.845E1,-8.050000000001E0,-2.85E1)); +#8594=VERTEX_POINT('',#8592); +#8595=VERTEX_POINT('',#8593); +#8596=CARTESIAN_POINT('',(-3.845E1,-6.550000000001E0,-2.85E1)); +#8597=VERTEX_POINT('',#8596); +#8598=CARTESIAN_POINT('',(-3.679687364248E1,-6.550000000001E0,-2.85E1)); +#8599=VERTEX_POINT('',#8598); +#8600=CARTESIAN_POINT('',(-3.529687364248E1,1.005E1,-2.85E1)); +#8601=CARTESIAN_POINT('',(-3.845E1,1.005E1,-2.85E1)); +#8602=VERTEX_POINT('',#8600); +#8603=VERTEX_POINT('',#8601); +#8604=CARTESIAN_POINT('',(-3.845E1,1.105E1,-2.85E1)); +#8605=VERTEX_POINT('',#8604); +#8606=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.85E1)); +#8607=VERTEX_POINT('',#8606); +#8608=CARTESIAN_POINT('',(-3.529687364248E1,1.155E1,-2.85E1)); +#8609=VERTEX_POINT('',#8608); +#8610=CARTESIAN_POINT('',(3.439765523186E1,1.21125E1,-2.2E1)); +#8611=CARTESIAN_POINT('',(2.87E1,1.08E1,-2.2E1)); +#8612=VERTEX_POINT('',#8610); +#8613=VERTEX_POINT('',#8611); +#8614=CARTESIAN_POINT('',(3.439765523186E1,9.487499999999E0,-2.2E1)); +#8615=VERTEX_POINT('',#8614); +#8616=CARTESIAN_POINT('',(-2.87E1,1.08E1,-2.2E1)); +#8617=CARTESIAN_POINT('',(-3.439765523186E1,1.21125E1,-2.2E1)); +#8618=VERTEX_POINT('',#8616); +#8619=VERTEX_POINT('',#8617); +#8620=CARTESIAN_POINT('',(-3.439765523186E1,9.487499999999E0,-2.2E1)); +#8621=VERTEX_POINT('',#8620); +#8622=CARTESIAN_POINT('',(-3.02E1,-7.300000000001E0,-2.2E1)); +#8623=CARTESIAN_POINT('',(-3.589765523186E1,-5.987500000001E0,-2.2E1)); +#8624=VERTEX_POINT('',#8622); +#8625=VERTEX_POINT('',#8623); +#8626=CARTESIAN_POINT('',(-3.589765523186E1,-8.612500000001E0,-2.2E1)); +#8627=VERTEX_POINT('',#8626); +#8628=CARTESIAN_POINT('',(3.589765523186E1,-5.9875E0,-2.2E1)); +#8629=CARTESIAN_POINT('',(3.02E1,-7.300000000001E0,-2.2E1)); +#8630=VERTEX_POINT('',#8628); +#8631=VERTEX_POINT('',#8629); +#8632=CARTESIAN_POINT('',(3.589765523186E1,-8.612500000001E0,-2.2E1)); +#8633=VERTEX_POINT('',#8632); +#8634=CARTESIAN_POINT('',(3.47E1,1.08E1,-2.85E1)); +#8635=CARTESIAN_POINT('',(3.439765523162E1,1.211250000050E1,-2.85E1)); +#8636=VERTEX_POINT('',#8634); +#8637=VERTEX_POINT('',#8635); +#8638=CARTESIAN_POINT('',(3.439765522514E1,9.487499986174E0,-2.85E1)); +#8639=VERTEX_POINT('',#8638); +#8640=CARTESIAN_POINT('',(-3.439765523162E1,1.211250000050E1,-2.85E1)); +#8641=CARTESIAN_POINT('',(-3.47E1,1.08E1,-2.85E1)); +#8642=VERTEX_POINT('',#8640); +#8643=VERTEX_POINT('',#8641); +#8644=CARTESIAN_POINT('',(-3.439765522514E1,9.487499986174E0,-2.85E1)); +#8645=VERTEX_POINT('',#8644); +#8646=CARTESIAN_POINT('',(-3.589765522514E1,-5.987499986175E0,-2.85E1)); +#8647=CARTESIAN_POINT('',(-3.62E1,-7.300000000001E0,-2.85E1)); +#8648=VERTEX_POINT('',#8646); +#8649=VERTEX_POINT('',#8647); +#8650=CARTESIAN_POINT('',(-3.589765523162E1,-8.612500000502E0,-2.85E1)); +#8651=VERTEX_POINT('',#8650); +#8652=CARTESIAN_POINT('',(3.62E1,-7.300000000001E0,-2.85E1)); +#8653=CARTESIAN_POINT('',(3.589765522514E1,-5.987499986175E0,-2.85E1)); +#8654=VERTEX_POINT('',#8652); +#8655=VERTEX_POINT('',#8653); +#8656=CARTESIAN_POINT('',(3.589765523162E1,-8.612500000502E0,-2.85E1)); +#8657=VERTEX_POINT('',#8656); +#8658=CARTESIAN_POINT('',(-3.345E1,1.595E1,-2.E1)); +#8659=CARTESIAN_POINT('',(-3.835E1,1.105E1,-2.E1)); +#8660=VERTEX_POINT('',#8658); +#8661=VERTEX_POINT('',#8659); +#8662=CARTESIAN_POINT('',(-3.835E1,-1.105E1,-2.E1)); +#8663=VERTEX_POINT('',#8662); +#8664=CARTESIAN_POINT('',(-3.345E1,-1.595E1,-2.E1)); +#8665=VERTEX_POINT('',#8664); +#8666=CARTESIAN_POINT('',(3.345E1,-1.595E1,-2.E1)); +#8667=VERTEX_POINT('',#8666); +#8668=CARTESIAN_POINT('',(3.835E1,-1.105E1,-2.E1)); +#8669=VERTEX_POINT('',#8668); +#8670=CARTESIAN_POINT('',(3.835E1,1.105E1,-2.E1)); +#8671=VERTEX_POINT('',#8670); +#8672=CARTESIAN_POINT('',(3.345E1,1.595E1,-2.E1)); +#8673=VERTEX_POINT('',#8672); +#8674=CARTESIAN_POINT('',(5.857864376269E-1,4.5E0,-2.6E1)); +#8675=CARTESIAN_POINT('',(3.414213562373E0,4.5E0,-2.6E1)); +#8676=VERTEX_POINT('',#8674); +#8677=VERTEX_POINT('',#8675); +#8678=CARTESIAN_POINT('',(4.585786437627E0,4.5E0,-2.6E1)); +#8679=VERTEX_POINT('',#8678); +#8680=CARTESIAN_POINT('',(7.414213562373E0,4.5E0,-2.6E1)); +#8681=VERTEX_POINT('',#8680); +#8682=CARTESIAN_POINT('',(8.585786437627E0,4.5E0,-2.6E1)); +#8683=VERTEX_POINT('',#8682); +#8684=CARTESIAN_POINT('',(1.258578643763E1,4.5E0,-2.6E1)); +#8685=CARTESIAN_POINT('',(1.541421356237E1,4.5E0,-2.6E1)); +#8686=VERTEX_POINT('',#8684); +#8687=VERTEX_POINT('',#8685); +#8688=CARTESIAN_POINT('',(1.658578643763E1,4.5E0,-2.6E1)); +#8689=VERTEX_POINT('',#8688); +#8690=CARTESIAN_POINT('',(2.058578643763E1,4.5E0,-2.6E1)); +#8691=CARTESIAN_POINT('',(2.341421356237E1,4.5E0,-2.6E1)); +#8692=VERTEX_POINT('',#8690); +#8693=VERTEX_POINT('',#8691); +#8694=CARTESIAN_POINT('',(2.47E1,4.5E0,-2.6E1)); +#8695=VERTEX_POINT('',#8694); +#8696=CARTESIAN_POINT('',(-2.47E1,4.5E0,-2.6E1)); +#8697=CARTESIAN_POINT('',(-2.341421356237E1,4.5E0,-2.6E1)); +#8698=VERTEX_POINT('',#8696); +#8699=VERTEX_POINT('',#8697); +#8700=CARTESIAN_POINT('',(-2.058578643763E1,4.5E0,-2.6E1)); +#8701=VERTEX_POINT('',#8700); +#8702=CARTESIAN_POINT('',(-1.658578643763E1,4.5E0,-2.6E1)); +#8703=CARTESIAN_POINT('',(-1.541421356237E1,4.5E0,-2.6E1)); +#8704=VERTEX_POINT('',#8702); +#8705=VERTEX_POINT('',#8703); +#8706=CARTESIAN_POINT('',(-1.258578643763E1,4.5E0,-2.6E1)); +#8707=VERTEX_POINT('',#8706); +#8708=CARTESIAN_POINT('',(-8.585786437627E0,4.5E0,-2.6E1)); +#8709=CARTESIAN_POINT('',(-7.414213562373E0,4.5E0,-2.6E1)); +#8710=VERTEX_POINT('',#8708); +#8711=VERTEX_POINT('',#8709); +#8712=CARTESIAN_POINT('',(-4.585786437627E0,4.5E0,-2.6E1)); +#8713=VERTEX_POINT('',#8712); +#8714=CARTESIAN_POINT('',(-3.414213562373E0,4.5E0,-2.6E1)); +#8715=VERTEX_POINT('',#8714); +#8716=CARTESIAN_POINT('',(-5.857864376269E-1,4.5E0,-2.6E1)); +#8717=VERTEX_POINT('',#8716); +#8718=CARTESIAN_POINT('',(5.857864376269E-1,4.5E0,-2.3E1)); +#8719=CARTESIAN_POINT('',(3.414213562373E0,4.5E0,-2.3E1)); +#8720=VERTEX_POINT('',#8718); +#8721=VERTEX_POINT('',#8719); +#8722=CARTESIAN_POINT('',(4.585786437627E0,4.5E0,-2.3E1)); +#8723=VERTEX_POINT('',#8722); +#8724=CARTESIAN_POINT('',(7.414213562373E0,4.5E0,-2.3E1)); +#8725=VERTEX_POINT('',#8724); +#8726=CARTESIAN_POINT('',(8.585786437627E0,4.5E0,-2.3E1)); +#8727=VERTEX_POINT('',#8726); +#8728=CARTESIAN_POINT('',(1.258578643763E1,4.5E0,-2.3E1)); +#8729=CARTESIAN_POINT('',(1.541421356237E1,4.5E0,-2.3E1)); +#8730=VERTEX_POINT('',#8728); +#8731=VERTEX_POINT('',#8729); +#8732=CARTESIAN_POINT('',(1.658578643763E1,4.5E0,-2.3E1)); +#8733=VERTEX_POINT('',#8732); +#8734=CARTESIAN_POINT('',(2.058578643763E1,4.5E0,-2.3E1)); +#8735=CARTESIAN_POINT('',(2.341421356237E1,4.5E0,-2.3E1)); +#8736=VERTEX_POINT('',#8734); +#8737=VERTEX_POINT('',#8735); +#8738=CARTESIAN_POINT('',(2.47E1,4.5E0,-2.3E1)); +#8739=VERTEX_POINT('',#8738); +#8740=CARTESIAN_POINT('',(-2.47E1,4.5E0,-2.3E1)); +#8741=CARTESIAN_POINT('',(-2.341421356237E1,4.5E0,-2.3E1)); +#8742=VERTEX_POINT('',#8740); +#8743=VERTEX_POINT('',#8741); +#8744=CARTESIAN_POINT('',(-2.058578643763E1,4.5E0,-2.3E1)); +#8745=VERTEX_POINT('',#8744); +#8746=CARTESIAN_POINT('',(-1.658578643763E1,4.5E0,-2.3E1)); +#8747=CARTESIAN_POINT('',(-1.541421356237E1,4.5E0,-2.3E1)); +#8748=VERTEX_POINT('',#8746); +#8749=VERTEX_POINT('',#8747); +#8750=CARTESIAN_POINT('',(-1.258578643763E1,4.5E0,-2.3E1)); +#8751=VERTEX_POINT('',#8750); +#8752=CARTESIAN_POINT('',(-8.585786437627E0,4.5E0,-2.3E1)); +#8753=CARTESIAN_POINT('',(-7.414213562373E0,4.5E0,-2.3E1)); +#8754=VERTEX_POINT('',#8752); +#8755=VERTEX_POINT('',#8753); +#8756=CARTESIAN_POINT('',(-4.585786437627E0,4.5E0,-2.3E1)); +#8757=VERTEX_POINT('',#8756); +#8758=CARTESIAN_POINT('',(-3.414213562373E0,4.5E0,-2.3E1)); +#8759=VERTEX_POINT('',#8758); +#8760=CARTESIAN_POINT('',(-5.857864376269E-1,4.5E0,-2.3E1)); +#8761=VERTEX_POINT('',#8760); +#8762=CARTESIAN_POINT('',(-2.47E1,-4.5E0,-2.3E1)); +#8763=VERTEX_POINT('',#8762); +#8764=CARTESIAN_POINT('',(2.47E1,-4.5E0,-2.3E1)); +#8765=VERTEX_POINT('',#8764); +#8766=CARTESIAN_POINT('',(5.857864376269E-1,-4.5E0,-2.6E1)); +#8767=CARTESIAN_POINT('',(-5.857864376269E-1,-4.5E0,-2.6E1)); +#8768=VERTEX_POINT('',#8766); +#8769=VERTEX_POINT('',#8767); +#8770=CARTESIAN_POINT('',(-3.414213562373E0,-4.5E0,-2.6E1)); +#8771=VERTEX_POINT('',#8770); +#8772=CARTESIAN_POINT('',(-4.585786437627E0,-4.5E0,-2.6E1)); +#8773=VERTEX_POINT('',#8772); +#8774=CARTESIAN_POINT('',(-7.414213562373E0,-4.5E0,-2.6E1)); +#8775=VERTEX_POINT('',#8774); +#8776=CARTESIAN_POINT('',(-8.585786437627E0,-4.5E0,-2.6E1)); +#8777=VERTEX_POINT('',#8776); +#8778=CARTESIAN_POINT('',(-1.258578643763E1,-4.5E0,-2.6E1)); +#8779=CARTESIAN_POINT('',(-1.541421356237E1,-4.5E0,-2.6E1)); +#8780=VERTEX_POINT('',#8778); +#8781=VERTEX_POINT('',#8779); +#8782=CARTESIAN_POINT('',(-1.658578643763E1,-4.5E0,-2.6E1)); +#8783=VERTEX_POINT('',#8782); +#8784=CARTESIAN_POINT('',(-2.058578643763E1,-4.5E0,-2.6E1)); +#8785=CARTESIAN_POINT('',(-2.341421356237E1,-4.5E0,-2.6E1)); +#8786=VERTEX_POINT('',#8784); +#8787=VERTEX_POINT('',#8785); +#8788=CARTESIAN_POINT('',(-2.47E1,-4.5E0,-2.6E1)); +#8789=VERTEX_POINT('',#8788); +#8790=CARTESIAN_POINT('',(2.47E1,-4.5E0,-2.6E1)); +#8791=CARTESIAN_POINT('',(2.341421356237E1,-4.5E0,-2.6E1)); +#8792=VERTEX_POINT('',#8790); +#8793=VERTEX_POINT('',#8791); +#8794=CARTESIAN_POINT('',(2.058578643763E1,-4.5E0,-2.6E1)); +#8795=VERTEX_POINT('',#8794); +#8796=CARTESIAN_POINT('',(1.658578643763E1,-4.5E0,-2.6E1)); +#8797=CARTESIAN_POINT('',(1.541421356237E1,-4.5E0,-2.6E1)); +#8798=VERTEX_POINT('',#8796); +#8799=VERTEX_POINT('',#8797); +#8800=CARTESIAN_POINT('',(1.258578643763E1,-4.5E0,-2.6E1)); +#8801=VERTEX_POINT('',#8800); +#8802=CARTESIAN_POINT('',(8.585786437627E0,-4.5E0,-2.6E1)); +#8803=CARTESIAN_POINT('',(7.414213562373E0,-4.5E0,-2.6E1)); +#8804=VERTEX_POINT('',#8802); +#8805=VERTEX_POINT('',#8803); +#8806=CARTESIAN_POINT('',(4.585786437627E0,-4.5E0,-2.6E1)); +#8807=VERTEX_POINT('',#8806); +#8808=CARTESIAN_POINT('',(3.414213562373E0,-4.5E0,-2.6E1)); +#8809=VERTEX_POINT('',#8808); +#8810=CARTESIAN_POINT('',(5.857864376269E-1,-4.5E0,-2.3E1)); +#8811=CARTESIAN_POINT('',(-5.857864376269E-1,-4.5E0,-2.3E1)); +#8812=VERTEX_POINT('',#8810); +#8813=VERTEX_POINT('',#8811); +#8814=CARTESIAN_POINT('',(-3.414213562373E0,-4.5E0,-2.3E1)); +#8815=VERTEX_POINT('',#8814); +#8816=CARTESIAN_POINT('',(-4.585786437627E0,-4.5E0,-2.3E1)); +#8817=VERTEX_POINT('',#8816); +#8818=CARTESIAN_POINT('',(-7.414213562373E0,-4.5E0,-2.3E1)); +#8819=VERTEX_POINT('',#8818); +#8820=CARTESIAN_POINT('',(-8.585786437627E0,-4.5E0,-2.3E1)); +#8821=VERTEX_POINT('',#8820); +#8822=CARTESIAN_POINT('',(-1.258578643763E1,-4.5E0,-2.3E1)); +#8823=CARTESIAN_POINT('',(-1.541421356237E1,-4.5E0,-2.3E1)); +#8824=VERTEX_POINT('',#8822); +#8825=VERTEX_POINT('',#8823); +#8826=CARTESIAN_POINT('',(-1.658578643763E1,-4.5E0,-2.3E1)); +#8827=VERTEX_POINT('',#8826); +#8828=CARTESIAN_POINT('',(-2.058578643763E1,-4.5E0,-2.3E1)); +#8829=CARTESIAN_POINT('',(-2.341421356237E1,-4.5E0,-2.3E1)); +#8830=VERTEX_POINT('',#8828); +#8831=VERTEX_POINT('',#8829); +#8832=CARTESIAN_POINT('',(2.341421356237E1,-4.5E0,-2.3E1)); +#8833=VERTEX_POINT('',#8832); +#8834=CARTESIAN_POINT('',(2.058578643763E1,-4.5E0,-2.3E1)); +#8835=VERTEX_POINT('',#8834); +#8836=CARTESIAN_POINT('',(1.658578643763E1,-4.5E0,-2.3E1)); +#8837=CARTESIAN_POINT('',(1.541421356237E1,-4.5E0,-2.3E1)); +#8838=VERTEX_POINT('',#8836); +#8839=VERTEX_POINT('',#8837); +#8840=CARTESIAN_POINT('',(1.258578643763E1,-4.5E0,-2.3E1)); +#8841=VERTEX_POINT('',#8840); +#8842=CARTESIAN_POINT('',(8.585786437627E0,-4.5E0,-2.3E1)); +#8843=CARTESIAN_POINT('',(7.414213562373E0,-4.5E0,-2.3E1)); +#8844=VERTEX_POINT('',#8842); +#8845=VERTEX_POINT('',#8843); +#8846=CARTESIAN_POINT('',(4.585786437627E0,-4.5E0,-2.3E1)); +#8847=VERTEX_POINT('',#8846); +#8848=CARTESIAN_POINT('',(3.414213562373E0,-4.5E0,-2.3E1)); +#8849=VERTEX_POINT('',#8848); +#8850=CARTESIAN_POINT('',(-2.35E1,1.083589838486E1,0.E0)); +#8851=CARTESIAN_POINT('',(2.35E1,1.083589838486E1,0.E0)); +#8852=VERTEX_POINT('',#8850); +#8853=VERTEX_POINT('',#8851); +#8854=CARTESIAN_POINT('',(2.873589838486E1,5.6E0,0.E0)); +#8855=VERTEX_POINT('',#8854); +#8856=CARTESIAN_POINT('',(2.873589838486E1,-5.6E0,0.E0)); +#8857=VERTEX_POINT('',#8856); +#8858=CARTESIAN_POINT('',(2.35E1,-1.083589838486E1,0.E0)); +#8859=VERTEX_POINT('',#8858); +#8860=CARTESIAN_POINT('',(-2.35E1,-1.083589838486E1,0.E0)); +#8861=VERTEX_POINT('',#8860); +#8862=CARTESIAN_POINT('',(-2.873589838486E1,-5.6E0,0.E0)); +#8863=VERTEX_POINT('',#8862); +#8864=CARTESIAN_POINT('',(-2.873589838486E1,5.6E0,0.E0)); +#8865=VERTEX_POINT('',#8864); +#8866=CARTESIAN_POINT('',(-2.35E1,1.03E1,-2.E0)); +#8867=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E0)); +#8868=VERTEX_POINT('',#8866); +#8869=VERTEX_POINT('',#8867); +#8870=CARTESIAN_POINT('',(-2.82E1,-5.6E0,-2.E0)); +#8871=VERTEX_POINT('',#8870); +#8872=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E0)); +#8873=VERTEX_POINT('',#8872); +#8874=CARTESIAN_POINT('',(2.35E1,-1.03E1,-2.E0)); +#8875=VERTEX_POINT('',#8874); +#8876=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E0)); +#8877=VERTEX_POINT('',#8876); +#8878=CARTESIAN_POINT('',(2.82E1,5.6E0,-2.E0)); +#8879=VERTEX_POINT('',#8878); +#8880=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E0)); +#8881=VERTEX_POINT('',#8880); +#8882=CARTESIAN_POINT('',(-2.425E0,8.075E0,-2.E1)); +#8883=VERTEX_POINT('',#8882); +#8884=CARTESIAN_POINT('',(-1.075E0,8.075E0,-2.E1)); +#8885=VERTEX_POINT('',#8884); +#8886=CARTESIAN_POINT('',(5.925E0,-1.03E1,-2.E1)); +#8887=CARTESIAN_POINT('',(8.25E0,-1.03E1,-2.E1)); +#8888=VERTEX_POINT('',#8886); +#8889=VERTEX_POINT('',#8887); +#8890=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#8891=CARTESIAN_POINT('',(-8.25E0,1.03E1,-2.E1)); +#8892=VERTEX_POINT('',#8890); +#8893=VERTEX_POINT('',#8891); +#8894=CARTESIAN_POINT('',(2.82E1,5.2E0,-2.E1)); +#8895=CARTESIAN_POINT('',(2.7E1,5.2E0,-2.E1)); +#8896=VERTEX_POINT('',#8894); +#8897=VERTEX_POINT('',#8895); +#8898=CARTESIAN_POINT('',(2.82E1,4.2E0,-2.E1)); +#8899=CARTESIAN_POINT('',(2.7E1,4.2E0,-2.E1)); +#8900=VERTEX_POINT('',#8898); +#8901=VERTEX_POINT('',#8899); +#8902=CARTESIAN_POINT('',(-2.82E1,5.2E0,-2.E1)); +#8903=VERTEX_POINT('',#8902); +#8904=CARTESIAN_POINT('',(-2.82E1,4.2E0,-2.E1)); +#8905=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-2.E1)); +#8906=VERTEX_POINT('',#8904); +#8907=VERTEX_POINT('',#8905); +#8908=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-2.E1)); +#8909=VERTEX_POINT('',#8908); +#8910=CARTESIAN_POINT('',(2.82E1,-5.2E0,-2.E1)); +#8911=VERTEX_POINT('',#8910); +#8912=CARTESIAN_POINT('',(2.82E1,-4.2E0,-2.E1)); +#8913=VERTEX_POINT('',#8912); +#8914=CARTESIAN_POINT('',(2.7E1,-4.2E0,-2.E1)); +#8915=VERTEX_POINT('',#8914); +#8916=CARTESIAN_POINT('',(2.7E1,-5.2E0,-2.E1)); +#8917=VERTEX_POINT('',#8916); +#8918=CARTESIAN_POINT('',(-2.7E1,4.2E0,-2.E1)); +#8919=VERTEX_POINT('',#8918); +#8920=CARTESIAN_POINT('',(-2.7E1,5.2E0,-2.E1)); +#8921=VERTEX_POINT('',#8920); +#8922=CARTESIAN_POINT('',(-2.7E1,-5.2E0,-2.E1)); +#8923=VERTEX_POINT('',#8922); +#8924=CARTESIAN_POINT('',(-2.7E1,-4.2E0,-2.E1)); +#8925=VERTEX_POINT('',#8924); +#8926=CARTESIAN_POINT('',(-3.245E1,-7.300000000001E0,-3.625E1)); +#8927=CARTESIAN_POINT('',(-3.395E1,-7.300000000001E0,-3.625E1)); +#8928=VERTEX_POINT('',#8926); +#8929=VERTEX_POINT('',#8927); +#8930=CARTESIAN_POINT('',(3.245E1,1.08E1,-3.625E1)); +#8931=CARTESIAN_POINT('',(3.095E1,1.08E1,-3.625E1)); +#8932=VERTEX_POINT('',#8930); +#8933=VERTEX_POINT('',#8931); +#8934=CARTESIAN_POINT('',(-3.245E1,-7.300000000001E0,-3.425E1)); +#8935=CARTESIAN_POINT('',(-3.395E1,-7.300000000001E0,-3.425E1)); +#8936=VERTEX_POINT('',#8934); +#8937=VERTEX_POINT('',#8935); +#8938=CARTESIAN_POINT('',(3.245E1,1.08E1,-3.425E1)); +#8939=CARTESIAN_POINT('',(3.095E1,1.08E1,-3.425E1)); +#8940=VERTEX_POINT('',#8938); +#8941=VERTEX_POINT('',#8939); +#8942=CARTESIAN_POINT('',(-2.135E1,4.E0,-1.245E1)); +#8943=CARTESIAN_POINT('',(-2.265E1,4.E0,-1.245E1)); +#8944=VERTEX_POINT('',#8942); +#8945=VERTEX_POINT('',#8943); +#8946=CARTESIAN_POINT('',(-1.935E1,0.E0,-1.245E1)); +#8947=CARTESIAN_POINT('',(-2.065E1,0.E0,-1.245E1)); +#8948=VERTEX_POINT('',#8946); +#8949=VERTEX_POINT('',#8947); +#8950=CARTESIAN_POINT('',(-2.135E1,-4.E0,-1.245E1)); +#8951=CARTESIAN_POINT('',(-2.265E1,-4.E0,-1.245E1)); +#8952=VERTEX_POINT('',#8950); +#8953=VERTEX_POINT('',#8951); +#8954=CARTESIAN_POINT('',(-1.335E1,-4.E0,-1.245E1)); +#8955=CARTESIAN_POINT('',(-1.465E1,-4.E0,-1.245E1)); +#8956=VERTEX_POINT('',#8954); +#8957=VERTEX_POINT('',#8955); +#8958=CARTESIAN_POINT('',(-1.535E1,0.E0,-1.245E1)); +#8959=CARTESIAN_POINT('',(-1.665E1,0.E0,-1.245E1)); +#8960=VERTEX_POINT('',#8958); +#8961=VERTEX_POINT('',#8959); +#8962=CARTESIAN_POINT('',(-1.335E1,4.E0,-1.245E1)); +#8963=CARTESIAN_POINT('',(-1.465E1,4.E0,-1.245E1)); +#8964=VERTEX_POINT('',#8962); +#8965=VERTEX_POINT('',#8963); +#8966=CARTESIAN_POINT('',(-5.35E0,4.E0,-1.245E1)); +#8967=CARTESIAN_POINT('',(-6.65E0,4.E0,-1.245E1)); +#8968=VERTEX_POINT('',#8966); +#8969=VERTEX_POINT('',#8967); +#8970=CARTESIAN_POINT('',(-3.35E0,0.E0,-1.245E1)); +#8971=CARTESIAN_POINT('',(-4.65E0,0.E0,-1.245E1)); +#8972=VERTEX_POINT('',#8970); +#8973=VERTEX_POINT('',#8971); +#8974=CARTESIAN_POINT('',(-5.35E0,-4.E0,-1.245E1)); +#8975=CARTESIAN_POINT('',(-6.65E0,-4.E0,-1.245E1)); +#8976=VERTEX_POINT('',#8974); +#8977=VERTEX_POINT('',#8975); +#8978=CARTESIAN_POINT('',(-1.35E0,-4.E0,-1.245E1)); +#8979=CARTESIAN_POINT('',(-2.65E0,-4.E0,-1.245E1)); +#8980=VERTEX_POINT('',#8978); +#8981=VERTEX_POINT('',#8979); +#8982=CARTESIAN_POINT('',(6.5E-1,0.E0,-1.245E1)); +#8983=CARTESIAN_POINT('',(-6.5E-1,0.E0,-1.245E1)); +#8984=VERTEX_POINT('',#8982); +#8985=VERTEX_POINT('',#8983); +#8986=CARTESIAN_POINT('',(-1.35E0,4.E0,-1.245E1)); +#8987=CARTESIAN_POINT('',(-2.65E0,4.E0,-1.245E1)); +#8988=VERTEX_POINT('',#8986); +#8989=VERTEX_POINT('',#8987); +#8990=CARTESIAN_POINT('',(2.65E0,4.E0,-1.245E1)); +#8991=CARTESIAN_POINT('',(1.35E0,4.E0,-1.245E1)); +#8992=VERTEX_POINT('',#8990); +#8993=VERTEX_POINT('',#8991); +#8994=CARTESIAN_POINT('',(4.65E0,0.E0,-1.245E1)); +#8995=CARTESIAN_POINT('',(3.35E0,0.E0,-1.245E1)); +#8996=VERTEX_POINT('',#8994); +#8997=VERTEX_POINT('',#8995); +#8998=CARTESIAN_POINT('',(2.65E0,-4.E0,-1.245E1)); +#8999=CARTESIAN_POINT('',(1.35E0,-4.E0,-1.245E1)); +#9000=VERTEX_POINT('',#8998); +#9001=VERTEX_POINT('',#8999); +#9002=CARTESIAN_POINT('',(6.65E0,-4.E0,-1.245E1)); +#9003=CARTESIAN_POINT('',(5.35E0,-4.E0,-1.245E1)); +#9004=VERTEX_POINT('',#9002); +#9005=VERTEX_POINT('',#9003); +#9006=CARTESIAN_POINT('',(8.649999999999E0,0.E0,-1.245E1)); +#9007=CARTESIAN_POINT('',(7.349999999999E0,0.E0,-1.245E1)); +#9008=VERTEX_POINT('',#9006); +#9009=VERTEX_POINT('',#9007); +#9010=CARTESIAN_POINT('',(6.65E0,4.E0,-1.245E1)); +#9011=CARTESIAN_POINT('',(5.35E0,4.E0,-1.245E1)); +#9012=VERTEX_POINT('',#9010); +#9013=VERTEX_POINT('',#9011); +#9014=CARTESIAN_POINT('',(1.465E1,4.E0,-1.245E1)); +#9015=CARTESIAN_POINT('',(1.335E1,4.E0,-1.245E1)); +#9016=VERTEX_POINT('',#9014); +#9017=VERTEX_POINT('',#9015); +#9018=CARTESIAN_POINT('',(2.265E1,4.E0,-1.245E1)); +#9019=CARTESIAN_POINT('',(2.135E1,4.E0,-1.245E1)); +#9020=VERTEX_POINT('',#9018); +#9021=VERTEX_POINT('',#9019); +#9022=CARTESIAN_POINT('',(1.265E1,0.E0,-1.245E1)); +#9023=CARTESIAN_POINT('',(1.135E1,0.E0,-1.245E1)); +#9024=VERTEX_POINT('',#9022); +#9025=VERTEX_POINT('',#9023); +#9026=CARTESIAN_POINT('',(1.465E1,-4.E0,-1.245E1)); +#9027=CARTESIAN_POINT('',(1.335E1,-4.E0,-1.245E1)); +#9028=VERTEX_POINT('',#9026); +#9029=VERTEX_POINT('',#9027); +#9030=CARTESIAN_POINT('',(2.265E1,-4.E0,-1.245E1)); +#9031=CARTESIAN_POINT('',(2.135E1,-4.E0,-1.245E1)); +#9032=VERTEX_POINT('',#9030); +#9033=VERTEX_POINT('',#9031); +#9034=CARTESIAN_POINT('',(-2.135E1,4.E0,-2.E1)); +#9035=CARTESIAN_POINT('',(-2.265E1,4.E0,-2.E1)); +#9036=VERTEX_POINT('',#9034); +#9037=VERTEX_POINT('',#9035); +#9038=CARTESIAN_POINT('',(-1.935E1,0.E0,-2.E1)); +#9039=CARTESIAN_POINT('',(-2.065E1,0.E0,-2.E1)); +#9040=VERTEX_POINT('',#9038); +#9041=VERTEX_POINT('',#9039); +#9042=CARTESIAN_POINT('',(-2.135E1,-4.E0,-2.E1)); +#9043=CARTESIAN_POINT('',(-2.265E1,-4.E0,-2.E1)); +#9044=VERTEX_POINT('',#9042); +#9045=VERTEX_POINT('',#9043); +#9046=CARTESIAN_POINT('',(-1.335E1,-4.E0,-2.E1)); +#9047=CARTESIAN_POINT('',(-1.465E1,-4.E0,-2.E1)); +#9048=VERTEX_POINT('',#9046); +#9049=VERTEX_POINT('',#9047); +#9050=CARTESIAN_POINT('',(-1.535E1,0.E0,-2.E1)); +#9051=CARTESIAN_POINT('',(-1.665E1,0.E0,-2.E1)); +#9052=VERTEX_POINT('',#9050); +#9053=VERTEX_POINT('',#9051); +#9054=CARTESIAN_POINT('',(-1.335E1,4.E0,-2.E1)); +#9055=CARTESIAN_POINT('',(-1.465E1,4.E0,-2.E1)); +#9056=VERTEX_POINT('',#9054); +#9057=VERTEX_POINT('',#9055); +#9058=CARTESIAN_POINT('',(-5.35E0,4.E0,-2.E1)); +#9059=CARTESIAN_POINT('',(-6.65E0,4.E0,-2.E1)); +#9060=VERTEX_POINT('',#9058); +#9061=VERTEX_POINT('',#9059); +#9062=CARTESIAN_POINT('',(-3.35E0,0.E0,-2.E1)); +#9063=CARTESIAN_POINT('',(-4.65E0,0.E0,-2.E1)); +#9064=VERTEX_POINT('',#9062); +#9065=VERTEX_POINT('',#9063); +#9066=CARTESIAN_POINT('',(-5.35E0,-4.E0,-2.E1)); +#9067=CARTESIAN_POINT('',(-6.65E0,-4.E0,-2.E1)); +#9068=VERTEX_POINT('',#9066); +#9069=VERTEX_POINT('',#9067); +#9070=CARTESIAN_POINT('',(-1.35E0,-4.E0,-2.E1)); +#9071=CARTESIAN_POINT('',(-2.65E0,-4.E0,-2.E1)); +#9072=VERTEX_POINT('',#9070); +#9073=VERTEX_POINT('',#9071); +#9074=CARTESIAN_POINT('',(6.5E-1,0.E0,-2.E1)); +#9075=CARTESIAN_POINT('',(-6.5E-1,0.E0,-2.E1)); +#9076=VERTEX_POINT('',#9074); +#9077=VERTEX_POINT('',#9075); +#9078=CARTESIAN_POINT('',(-1.35E0,4.E0,-2.E1)); +#9079=CARTESIAN_POINT('',(-2.65E0,4.E0,-2.E1)); +#9080=VERTEX_POINT('',#9078); +#9081=VERTEX_POINT('',#9079); +#9082=CARTESIAN_POINT('',(2.65E0,4.E0,-2.E1)); +#9083=CARTESIAN_POINT('',(1.35E0,4.E0,-2.E1)); +#9084=VERTEX_POINT('',#9082); +#9085=VERTEX_POINT('',#9083); +#9086=CARTESIAN_POINT('',(4.65E0,0.E0,-2.E1)); +#9087=CARTESIAN_POINT('',(3.35E0,0.E0,-2.E1)); +#9088=VERTEX_POINT('',#9086); +#9089=VERTEX_POINT('',#9087); +#9090=CARTESIAN_POINT('',(2.65E0,-4.E0,-2.E1)); +#9091=CARTESIAN_POINT('',(1.35E0,-4.E0,-2.E1)); +#9092=VERTEX_POINT('',#9090); +#9093=VERTEX_POINT('',#9091); +#9094=CARTESIAN_POINT('',(6.65E0,-4.E0,-2.E1)); +#9095=CARTESIAN_POINT('',(5.35E0,-4.E0,-2.E1)); +#9096=VERTEX_POINT('',#9094); +#9097=VERTEX_POINT('',#9095); +#9098=CARTESIAN_POINT('',(8.649999999999E0,0.E0,-2.E1)); +#9099=CARTESIAN_POINT('',(7.349999999999E0,0.E0,-2.E1)); +#9100=VERTEX_POINT('',#9098); +#9101=VERTEX_POINT('',#9099); +#9102=CARTESIAN_POINT('',(6.65E0,4.E0,-2.E1)); +#9103=CARTESIAN_POINT('',(5.35E0,4.E0,-2.E1)); +#9104=VERTEX_POINT('',#9102); +#9105=VERTEX_POINT('',#9103); +#9106=CARTESIAN_POINT('',(1.465E1,4.E0,-2.E1)); +#9107=CARTESIAN_POINT('',(1.335E1,4.E0,-2.E1)); +#9108=VERTEX_POINT('',#9106); +#9109=VERTEX_POINT('',#9107); +#9110=CARTESIAN_POINT('',(2.265E1,4.E0,-2.E1)); +#9111=CARTESIAN_POINT('',(2.135E1,4.E0,-2.E1)); +#9112=VERTEX_POINT('',#9110); +#9113=VERTEX_POINT('',#9111); +#9114=CARTESIAN_POINT('',(1.265E1,0.E0,-2.E1)); +#9115=CARTESIAN_POINT('',(1.135E1,0.E0,-2.E1)); +#9116=VERTEX_POINT('',#9114); +#9117=VERTEX_POINT('',#9115); +#9118=CARTESIAN_POINT('',(1.465E1,-4.E0,-2.E1)); +#9119=CARTESIAN_POINT('',(1.335E1,-4.E0,-2.E1)); +#9120=VERTEX_POINT('',#9118); +#9121=VERTEX_POINT('',#9119); +#9122=CARTESIAN_POINT('',(2.265E1,-4.E0,-2.E1)); +#9123=CARTESIAN_POINT('',(2.135E1,-4.E0,-2.E1)); +#9124=VERTEX_POINT('',#9122); +#9125=VERTEX_POINT('',#9123); +#9126=CARTESIAN_POINT('',(-2.135E1,4.E0,-3.805E1)); +#9127=CARTESIAN_POINT('',(-2.265E1,4.E0,-3.805E1)); +#9128=VERTEX_POINT('',#9126); +#9129=VERTEX_POINT('',#9127); +#9130=CARTESIAN_POINT('',(-1.335E1,4.E0,-3.805E1)); +#9131=CARTESIAN_POINT('',(-1.465E1,4.E0,-3.805E1)); +#9132=VERTEX_POINT('',#9130); +#9133=VERTEX_POINT('',#9131); +#9134=CARTESIAN_POINT('',(-5.35E0,4.E0,-3.805E1)); +#9135=CARTESIAN_POINT('',(-6.65E0,4.E0,-3.805E1)); +#9136=VERTEX_POINT('',#9134); +#9137=VERTEX_POINT('',#9135); +#9138=CARTESIAN_POINT('',(-1.35E0,4.E0,-3.805E1)); +#9139=CARTESIAN_POINT('',(-2.65E0,4.E0,-3.805E1)); +#9140=VERTEX_POINT('',#9138); +#9141=VERTEX_POINT('',#9139); +#9142=CARTESIAN_POINT('',(2.65E0,4.E0,-3.805E1)); +#9143=CARTESIAN_POINT('',(1.35E0,4.E0,-3.805E1)); +#9144=VERTEX_POINT('',#9142); +#9145=VERTEX_POINT('',#9143); +#9146=CARTESIAN_POINT('',(6.65E0,4.E0,-3.805E1)); +#9147=CARTESIAN_POINT('',(5.35E0,4.E0,-3.805E1)); +#9148=VERTEX_POINT('',#9146); +#9149=VERTEX_POINT('',#9147); +#9150=CARTESIAN_POINT('',(1.465E1,4.E0,-3.805E1)); +#9151=CARTESIAN_POINT('',(1.335E1,4.E0,-3.805E1)); +#9152=VERTEX_POINT('',#9150); +#9153=VERTEX_POINT('',#9151); +#9154=CARTESIAN_POINT('',(2.265E1,4.E0,-3.805E1)); +#9155=CARTESIAN_POINT('',(2.135E1,4.E0,-3.805E1)); +#9156=VERTEX_POINT('',#9154); +#9157=VERTEX_POINT('',#9155); +#9158=CARTESIAN_POINT('',(-1.935E1,0.E0,-3.805E1)); +#9159=CARTESIAN_POINT('',(-2.065E1,0.E0,-3.805E1)); +#9160=VERTEX_POINT('',#9158); +#9161=VERTEX_POINT('',#9159); +#9162=CARTESIAN_POINT('',(-1.535E1,0.E0,-3.805E1)); +#9163=CARTESIAN_POINT('',(-1.665E1,0.E0,-3.805E1)); +#9164=VERTEX_POINT('',#9162); +#9165=VERTEX_POINT('',#9163); +#9166=CARTESIAN_POINT('',(-3.35E0,0.E0,-3.805E1)); +#9167=CARTESIAN_POINT('',(-4.65E0,0.E0,-3.805E1)); +#9168=VERTEX_POINT('',#9166); +#9169=VERTEX_POINT('',#9167); +#9170=CARTESIAN_POINT('',(6.5E-1,0.E0,-3.805E1)); +#9171=CARTESIAN_POINT('',(-6.5E-1,0.E0,-3.805E1)); +#9172=VERTEX_POINT('',#9170); +#9173=VERTEX_POINT('',#9171); +#9174=CARTESIAN_POINT('',(4.65E0,0.E0,-3.805E1)); +#9175=CARTESIAN_POINT('',(3.35E0,0.E0,-3.805E1)); +#9176=VERTEX_POINT('',#9174); +#9177=VERTEX_POINT('',#9175); +#9178=CARTESIAN_POINT('',(8.649999999999E0,0.E0,-3.805E1)); +#9179=CARTESIAN_POINT('',(7.349999999999E0,0.E0,-3.805E1)); +#9180=VERTEX_POINT('',#9178); +#9181=VERTEX_POINT('',#9179); +#9182=CARTESIAN_POINT('',(1.265E1,0.E0,-3.805E1)); +#9183=CARTESIAN_POINT('',(1.135E1,0.E0,-3.805E1)); +#9184=VERTEX_POINT('',#9182); +#9185=VERTEX_POINT('',#9183); +#9186=CARTESIAN_POINT('',(-2.135E1,-4.E0,-3.805E1)); +#9187=CARTESIAN_POINT('',(-2.265E1,-4.E0,-3.805E1)); +#9188=VERTEX_POINT('',#9186); +#9189=VERTEX_POINT('',#9187); +#9190=CARTESIAN_POINT('',(-1.335E1,-4.E0,-3.805E1)); +#9191=CARTESIAN_POINT('',(-1.465E1,-4.E0,-3.805E1)); +#9192=VERTEX_POINT('',#9190); +#9193=VERTEX_POINT('',#9191); +#9194=CARTESIAN_POINT('',(-5.35E0,-4.E0,-3.805E1)); +#9195=CARTESIAN_POINT('',(-6.65E0,-4.E0,-3.805E1)); +#9196=VERTEX_POINT('',#9194); +#9197=VERTEX_POINT('',#9195); +#9198=CARTESIAN_POINT('',(-1.35E0,-4.E0,-3.805E1)); +#9199=CARTESIAN_POINT('',(-2.65E0,-4.E0,-3.805E1)); +#9200=VERTEX_POINT('',#9198); +#9201=VERTEX_POINT('',#9199); +#9202=CARTESIAN_POINT('',(2.65E0,-4.E0,-3.805E1)); +#9203=CARTESIAN_POINT('',(1.35E0,-4.E0,-3.805E1)); +#9204=VERTEX_POINT('',#9202); +#9205=VERTEX_POINT('',#9203); +#9206=CARTESIAN_POINT('',(6.65E0,-4.E0,-3.805E1)); +#9207=CARTESIAN_POINT('',(5.35E0,-4.E0,-3.805E1)); +#9208=VERTEX_POINT('',#9206); +#9209=VERTEX_POINT('',#9207); +#9210=CARTESIAN_POINT('',(1.465E1,-4.E0,-3.805E1)); +#9211=CARTESIAN_POINT('',(1.335E1,-4.E0,-3.805E1)); +#9212=VERTEX_POINT('',#9210); +#9213=VERTEX_POINT('',#9211); +#9214=CARTESIAN_POINT('',(2.265E1,-4.E0,-3.805E1)); +#9215=CARTESIAN_POINT('',(2.135E1,-4.E0,-3.805E1)); +#9216=VERTEX_POINT('',#9214); +#9217=VERTEX_POINT('',#9215); +#9218=CARTESIAN_POINT('',(-2.135E1,4.E0,-2.6E1)); +#9219=CARTESIAN_POINT('',(-2.265E1,4.E0,-2.6E1)); +#9220=VERTEX_POINT('',#9218); +#9221=VERTEX_POINT('',#9219); +#9222=CARTESIAN_POINT('',(-1.335E1,4.E0,-2.6E1)); +#9223=CARTESIAN_POINT('',(-1.465E1,4.E0,-2.6E1)); +#9224=VERTEX_POINT('',#9222); +#9225=VERTEX_POINT('',#9223); +#9226=CARTESIAN_POINT('',(-5.35E0,4.E0,-2.6E1)); +#9227=CARTESIAN_POINT('',(-6.65E0,4.E0,-2.6E1)); +#9228=VERTEX_POINT('',#9226); +#9229=VERTEX_POINT('',#9227); +#9230=CARTESIAN_POINT('',(-1.35E0,4.E0,-2.6E1)); +#9231=CARTESIAN_POINT('',(-2.65E0,4.E0,-2.6E1)); +#9232=VERTEX_POINT('',#9230); +#9233=VERTEX_POINT('',#9231); +#9234=CARTESIAN_POINT('',(2.65E0,4.E0,-2.6E1)); +#9235=CARTESIAN_POINT('',(1.35E0,4.E0,-2.6E1)); +#9236=VERTEX_POINT('',#9234); +#9237=VERTEX_POINT('',#9235); +#9238=CARTESIAN_POINT('',(6.65E0,4.E0,-2.6E1)); +#9239=CARTESIAN_POINT('',(5.35E0,4.E0,-2.6E1)); +#9240=VERTEX_POINT('',#9238); +#9241=VERTEX_POINT('',#9239); +#9242=CARTESIAN_POINT('',(1.465E1,4.E0,-2.6E1)); +#9243=CARTESIAN_POINT('',(1.335E1,4.E0,-2.6E1)); +#9244=VERTEX_POINT('',#9242); +#9245=VERTEX_POINT('',#9243); +#9246=CARTESIAN_POINT('',(2.265E1,4.E0,-2.6E1)); +#9247=CARTESIAN_POINT('',(2.135E1,4.E0,-2.6E1)); +#9248=VERTEX_POINT('',#9246); +#9249=VERTEX_POINT('',#9247); +#9250=CARTESIAN_POINT('',(-1.935E1,0.E0,-2.6E1)); +#9251=CARTESIAN_POINT('',(-2.065E1,0.E0,-2.6E1)); +#9252=VERTEX_POINT('',#9250); +#9253=VERTEX_POINT('',#9251); +#9254=CARTESIAN_POINT('',(-1.535E1,0.E0,-2.6E1)); +#9255=CARTESIAN_POINT('',(-1.665E1,0.E0,-2.6E1)); +#9256=VERTEX_POINT('',#9254); +#9257=VERTEX_POINT('',#9255); +#9258=CARTESIAN_POINT('',(-3.35E0,0.E0,-2.6E1)); +#9259=CARTESIAN_POINT('',(-4.65E0,0.E0,-2.6E1)); +#9260=VERTEX_POINT('',#9258); +#9261=VERTEX_POINT('',#9259); +#9262=CARTESIAN_POINT('',(6.5E-1,0.E0,-2.6E1)); +#9263=CARTESIAN_POINT('',(-6.5E-1,0.E0,-2.6E1)); +#9264=VERTEX_POINT('',#9262); +#9265=VERTEX_POINT('',#9263); +#9266=CARTESIAN_POINT('',(4.65E0,0.E0,-2.6E1)); +#9267=CARTESIAN_POINT('',(3.35E0,0.E0,-2.6E1)); +#9268=VERTEX_POINT('',#9266); +#9269=VERTEX_POINT('',#9267); +#9270=CARTESIAN_POINT('',(8.649999999999E0,0.E0,-2.6E1)); +#9271=CARTESIAN_POINT('',(7.349999999999E0,0.E0,-2.6E1)); +#9272=VERTEX_POINT('',#9270); +#9273=VERTEX_POINT('',#9271); +#9274=CARTESIAN_POINT('',(1.265E1,0.E0,-2.6E1)); +#9275=CARTESIAN_POINT('',(1.135E1,0.E0,-2.6E1)); +#9276=VERTEX_POINT('',#9274); +#9277=VERTEX_POINT('',#9275); +#9278=CARTESIAN_POINT('',(-2.135E1,-4.E0,-2.6E1)); +#9279=CARTESIAN_POINT('',(-2.265E1,-4.E0,-2.6E1)); +#9280=VERTEX_POINT('',#9278); +#9281=VERTEX_POINT('',#9279); +#9282=CARTESIAN_POINT('',(-1.335E1,-4.E0,-2.6E1)); +#9283=CARTESIAN_POINT('',(-1.465E1,-4.E0,-2.6E1)); +#9284=VERTEX_POINT('',#9282); +#9285=VERTEX_POINT('',#9283); +#9286=CARTESIAN_POINT('',(-5.35E0,-4.E0,-2.6E1)); +#9287=CARTESIAN_POINT('',(-6.65E0,-4.E0,-2.6E1)); +#9288=VERTEX_POINT('',#9286); +#9289=VERTEX_POINT('',#9287); +#9290=CARTESIAN_POINT('',(-1.35E0,-4.E0,-2.6E1)); +#9291=CARTESIAN_POINT('',(-2.65E0,-4.E0,-2.6E1)); +#9292=VERTEX_POINT('',#9290); +#9293=VERTEX_POINT('',#9291); +#9294=CARTESIAN_POINT('',(2.65E0,-4.E0,-2.6E1)); +#9295=CARTESIAN_POINT('',(1.35E0,-4.E0,-2.6E1)); +#9296=VERTEX_POINT('',#9294); +#9297=VERTEX_POINT('',#9295); +#9298=CARTESIAN_POINT('',(6.65E0,-4.E0,-2.6E1)); +#9299=CARTESIAN_POINT('',(5.35E0,-4.E0,-2.6E1)); +#9300=VERTEX_POINT('',#9298); +#9301=VERTEX_POINT('',#9299); +#9302=CARTESIAN_POINT('',(1.465E1,-4.E0,-2.6E1)); +#9303=CARTESIAN_POINT('',(1.335E1,-4.E0,-2.6E1)); +#9304=VERTEX_POINT('',#9302); +#9305=VERTEX_POINT('',#9303); +#9306=CARTESIAN_POINT('',(2.265E1,-4.E0,-2.6E1)); +#9307=CARTESIAN_POINT('',(2.135E1,-4.E0,-2.6E1)); +#9308=VERTEX_POINT('',#9306); +#9309=VERTEX_POINT('',#9307); +#9310=CARTESIAN_POINT('',(2.92E1,5.6E0,0.E0)); +#9311=CARTESIAN_POINT('',(2.35E1,1.13E1,0.E0)); +#9312=VERTEX_POINT('',#9310); +#9313=VERTEX_POINT('',#9311); +#9314=CARTESIAN_POINT('',(2.97E1,5.6E0,-5.E-1)); +#9315=CARTESIAN_POINT('',(2.35E1,1.18E1,-5.E-1)); +#9316=VERTEX_POINT('',#9314); +#9317=VERTEX_POINT('',#9315); +#9318=CARTESIAN_POINT('',(2.92E1,-5.6E0,0.E0)); +#9319=VERTEX_POINT('',#9318); +#9320=CARTESIAN_POINT('',(2.97E1,-5.599999999969E0,-5.E-1)); +#9321=VERTEX_POINT('',#9320); +#9322=CARTESIAN_POINT('',(2.35E1,-1.13E1,0.E0)); +#9323=VERTEX_POINT('',#9322); +#9324=CARTESIAN_POINT('',(2.35E1,-1.18E1,-5.E-1)); +#9325=VERTEX_POINT('',#9324); +#9326=CARTESIAN_POINT('',(-2.35E1,-1.13E1,0.E0)); +#9327=VERTEX_POINT('',#9326); +#9328=CARTESIAN_POINT('',(-2.349999999997E1,-1.18E1,-5.E-1)); +#9329=VERTEX_POINT('',#9328); +#9330=CARTESIAN_POINT('',(-2.92E1,-5.6E0,0.E0)); +#9331=VERTEX_POINT('',#9330); +#9332=CARTESIAN_POINT('',(-2.97E1,-5.6E0,-5.E-1)); +#9333=VERTEX_POINT('',#9332); +#9334=CARTESIAN_POINT('',(-2.92E1,5.6E0,0.E0)); +#9335=VERTEX_POINT('',#9334); +#9336=CARTESIAN_POINT('',(-2.97E1,5.599999999969E0,-5.E-1)); +#9337=VERTEX_POINT('',#9336); +#9338=CARTESIAN_POINT('',(-2.35E1,1.13E1,0.E0)); +#9339=VERTEX_POINT('',#9338); +#9340=CARTESIAN_POINT('',(-2.35E1,1.18E1,-5.E-1)); +#9341=VERTEX_POINT('',#9340); +#9342=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.15E1)); +#9343=CARTESIAN_POINT('',(3.845E1,-6.550000000001E0,-2.15E1)); +#9344=VERTEX_POINT('',#9342); +#9345=VERTEX_POINT('',#9343); +#9346=CARTESIAN_POINT('',(3.795E1,1.005E1,-2.2E1)); +#9347=CARTESIAN_POINT('',(3.795E1,-6.550000000001E0,-2.2E1)); +#9348=VERTEX_POINT('',#9346); +#9349=VERTEX_POINT('',#9347); +#9350=CARTESIAN_POINT('',(-3.845E1,-1.104999999952E1,-2.15E1)); +#9351=CARTESIAN_POINT('',(-3.845E1,-8.050000000001E0,-2.15E1)); +#9352=VERTEX_POINT('',#9350); +#9353=VERTEX_POINT('',#9351); +#9354=CARTESIAN_POINT('',(-3.795E1,-1.105E1,-2.2E1)); +#9355=CARTESIAN_POINT('',(-3.795E1,-8.050000000001E0,-2.2E1)); +#9356=VERTEX_POINT('',#9354); +#9357=VERTEX_POINT('',#9355); +#9358=CARTESIAN_POINT('',(-3.345E1,-1.555E1,-2.2E1)); +#9359=VERTEX_POINT('',#9358); +#9360=CARTESIAN_POINT('',(-3.345E1,-1.605E1,-2.15E1)); +#9361=VERTEX_POINT('',#9360); +#9362=CARTESIAN_POINT('',(3.345E1,-1.555E1,-2.2E1)); +#9363=VERTEX_POINT('',#9362); +#9364=CARTESIAN_POINT('',(3.344999999952E1,-1.605E1,-2.15E1)); +#9365=VERTEX_POINT('',#9364); +#9366=CARTESIAN_POINT('',(3.795E1,-1.105E1,-2.2E1)); +#9367=VERTEX_POINT('',#9366); +#9368=CARTESIAN_POINT('',(3.845E1,-1.105E1,-2.15E1)); +#9369=VERTEX_POINT('',#9368); +#9370=CARTESIAN_POINT('',(3.795E1,-8.050000000001E0,-2.2E1)); +#9371=VERTEX_POINT('',#9370); +#9372=CARTESIAN_POINT('',(3.845E1,-8.050000000001E0,-2.15E1)); +#9373=VERTEX_POINT('',#9372); +#9374=CARTESIAN_POINT('',(-3.845E1,-6.550000000001E0,-2.15E1)); +#9375=CARTESIAN_POINT('',(-3.845E1,1.005E1,-2.15E1)); +#9376=VERTEX_POINT('',#9374); +#9377=VERTEX_POINT('',#9375); +#9378=CARTESIAN_POINT('',(-3.795E1,-6.550000000001E0,-2.2E1)); +#9379=CARTESIAN_POINT('',(-3.795E1,1.005E1,-2.2E1)); +#9380=VERTEX_POINT('',#9378); +#9381=VERTEX_POINT('',#9379); +#9382=CARTESIAN_POINT('',(3.345E1,1.605E1,-2.15E1)); +#9383=CARTESIAN_POINT('',(3.842493718553E1,1.155E1,-2.15E1)); +#9384=VERTEX_POINT('',#9382); +#9385=VERTEX_POINT('',#9383); +#9386=CARTESIAN_POINT('',(3.345E1,1.555E1,-2.2E1)); +#9387=CARTESIAN_POINT('',(3.792213595500E1,1.155E1,-2.2E1)); +#9388=VERTEX_POINT('',#9386); +#9389=VERTEX_POINT('',#9387); +#9390=CARTESIAN_POINT('',(-3.345E1,1.555E1,-2.2E1)); +#9391=VERTEX_POINT('',#9390); +#9392=CARTESIAN_POINT('',(-3.344999999931E1,1.605E1,-2.15E1)); +#9393=VERTEX_POINT('',#9392); +#9394=CARTESIAN_POINT('',(-3.792213595500E1,1.155E1,-2.2E1)); +#9395=VERTEX_POINT('',#9394); +#9396=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.15E1)); +#9397=VERTEX_POINT('',#9396); +#9398=CARTESIAN_POINT('',(-3.07E1,-7.300000000001E0,-3.425E1)); +#9399=CARTESIAN_POINT('',(-3.57E1,-7.300000000001E0,-3.425E1)); +#9400=VERTEX_POINT('',#9398); +#9401=VERTEX_POINT('',#9399); +#9402=CARTESIAN_POINT('',(-3.02E1,-7.300000000001E0,-3.375E1)); +#9403=CARTESIAN_POINT('',(-3.62E1,-7.300000000001E0,-3.375E1)); +#9404=VERTEX_POINT('',#9402); +#9405=VERTEX_POINT('',#9403); +#9406=CARTESIAN_POINT('',(-2.92E1,1.08E1,-3.425E1)); +#9407=CARTESIAN_POINT('',(-3.42E1,1.08E1,-3.425E1)); +#9408=VERTEX_POINT('',#9406); +#9409=VERTEX_POINT('',#9407); +#9410=CARTESIAN_POINT('',(-2.87E1,1.08E1,-3.375E1)); +#9411=CARTESIAN_POINT('',(-3.47E1,1.08E1,-3.375E1)); +#9412=VERTEX_POINT('',#9410); +#9413=VERTEX_POINT('',#9411); +#9414=CARTESIAN_POINT('',(3.57E1,-7.300000000001E0,-3.425E1)); +#9415=CARTESIAN_POINT('',(3.07E1,-7.300000000001E0,-3.425E1)); +#9416=VERTEX_POINT('',#9414); +#9417=VERTEX_POINT('',#9415); +#9418=CARTESIAN_POINT('',(3.62E1,-7.300000000001E0,-3.375E1)); +#9419=CARTESIAN_POINT('',(3.02E1,-7.300000000001E0,-3.375E1)); +#9420=VERTEX_POINT('',#9418); +#9421=VERTEX_POINT('',#9419); +#9422=CARTESIAN_POINT('',(3.42E1,1.08E1,-3.425E1)); +#9423=CARTESIAN_POINT('',(2.92E1,1.08E1,-3.425E1)); +#9424=VERTEX_POINT('',#9422); +#9425=VERTEX_POINT('',#9423); +#9426=CARTESIAN_POINT('',(3.47E1,1.08E1,-3.375E1)); +#9427=CARTESIAN_POINT('',(2.87E1,1.08E1,-3.375E1)); +#9428=VERTEX_POINT('',#9426); +#9429=VERTEX_POINT('',#9427); +#9430=CARTESIAN_POINT('',(-3.679687364248E1,-8.050000000001E0,-2.2E1)); +#9431=VERTEX_POINT('',#9430); +#9432=CARTESIAN_POINT('',(-3.679687364248E1,-6.550000000001E0,-2.2E1)); +#9433=VERTEX_POINT('',#9432); +#9434=CARTESIAN_POINT('',(-3.529687364248E1,1.005E1,-2.2E1)); +#9435=VERTEX_POINT('',#9434); +#9436=CARTESIAN_POINT('',(-3.529687364248E1,1.155E1,-2.2E1)); +#9437=VERTEX_POINT('',#9436); +#9438=CARTESIAN_POINT('',(3.679687364248E1,-6.550000000001E0,-2.85E1)); +#9439=CARTESIAN_POINT('',(3.845E1,-6.550000000001E0,-2.85E1)); +#9440=VERTEX_POINT('',#9438); +#9441=VERTEX_POINT('',#9439); +#9442=CARTESIAN_POINT('',(3.845E1,-8.050000000001E0,-2.85E1)); +#9443=VERTEX_POINT('',#9442); +#9444=CARTESIAN_POINT('',(3.679687364248E1,-8.050000000001E0,-2.85E1)); +#9445=VERTEX_POINT('',#9444); +#9446=CARTESIAN_POINT('',(3.529687364248E1,1.155E1,-2.85E1)); +#9447=CARTESIAN_POINT('',(3.842493718553E1,1.155E1,-2.85E1)); +#9448=VERTEX_POINT('',#9446); +#9449=VERTEX_POINT('',#9447); +#9450=CARTESIAN_POINT('',(3.845E1,1.105E1,-2.85E1)); +#9451=VERTEX_POINT('',#9450); +#9452=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.85E1)); +#9453=VERTEX_POINT('',#9452); +#9454=CARTESIAN_POINT('',(3.529687364248E1,1.005E1,-2.85E1)); +#9455=VERTEX_POINT('',#9454); +#9456=CARTESIAN_POINT('',(3.679687364248E1,-8.050000000001E0,-2.2E1)); +#9457=VERTEX_POINT('',#9456); +#9458=CARTESIAN_POINT('',(3.679687364248E1,-6.550000000001E0,-2.2E1)); +#9459=VERTEX_POINT('',#9458); +#9460=CARTESIAN_POINT('',(3.529687364248E1,1.005E1,-2.2E1)); +#9461=VERTEX_POINT('',#9460); +#9462=CARTESIAN_POINT('',(3.529687364248E1,1.155E1,-2.2E1)); +#9463=VERTEX_POINT('',#9462); +#9464=CARTESIAN_POINT('',(-3.625E1,1.105E1,-1.85E1)); +#9465=CARTESIAN_POINT('',(-3.345E1,1.385E1,-1.85E1)); +#9466=VERTEX_POINT('',#9464); +#9467=VERTEX_POINT('',#9465); +#9468=CARTESIAN_POINT('',(-3.575E1,1.105E1,-1.9E1)); +#9469=CARTESIAN_POINT('',(-3.345E1,1.335E1,-1.9E1)); +#9470=VERTEX_POINT('',#9468); +#9471=VERTEX_POINT('',#9469); +#9472=CARTESIAN_POINT('',(3.344999999968E1,1.384999999946E1,-1.85E1)); +#9473=VERTEX_POINT('',#9472); +#9474=CARTESIAN_POINT('',(3.344999999636E1,1.335E1,-1.9E1)); +#9475=VERTEX_POINT('',#9474); +#9476=CARTESIAN_POINT('',(3.625E1,1.105E1,-1.85E1)); +#9477=VERTEX_POINT('',#9476); +#9478=CARTESIAN_POINT('',(3.575E1,1.105E1,-1.9E1)); +#9479=VERTEX_POINT('',#9478); +#9480=CARTESIAN_POINT('',(3.624999999946E1,-1.104999999968E1,-1.85E1)); +#9481=VERTEX_POINT('',#9480); +#9482=CARTESIAN_POINT('',(3.575E1,-1.104999999636E1,-1.9E1)); +#9483=VERTEX_POINT('',#9482); +#9484=CARTESIAN_POINT('',(3.345E1,-1.385E1,-1.85E1)); +#9485=VERTEX_POINT('',#9484); +#9486=CARTESIAN_POINT('',(3.345E1,-1.335E1,-1.9E1)); +#9487=VERTEX_POINT('',#9486); +#9488=CARTESIAN_POINT('',(-3.344999999968E1,-1.384999999946E1,-1.85E1)); +#9489=VERTEX_POINT('',#9488); +#9490=CARTESIAN_POINT('',(-3.344999999636E1,-1.335E1,-1.9E1)); +#9491=VERTEX_POINT('',#9490); +#9492=CARTESIAN_POINT('',(-3.625E1,-1.105E1,-1.85E1)); +#9493=VERTEX_POINT('',#9492); +#9494=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-1.9E1)); +#9495=VERTEX_POINT('',#9494); +#9496=CARTESIAN_POINT('',(-3.785E1,-1.105E1,-1.85E1)); +#9497=CARTESIAN_POINT('',(-3.345E1,-1.545E1,-1.85E1)); +#9498=VERTEX_POINT('',#9496); +#9499=VERTEX_POINT('',#9497); +#9500=CARTESIAN_POINT('',(-3.835E1,-1.105E1,-1.9E1)); +#9501=CARTESIAN_POINT('',(-3.345E1,-1.595E1,-1.9E1)); +#9502=VERTEX_POINT('',#9500); +#9503=VERTEX_POINT('',#9501); +#9504=CARTESIAN_POINT('',(3.345E1,-1.545E1,-1.85E1)); +#9505=VERTEX_POINT('',#9504); +#9506=CARTESIAN_POINT('',(3.344999999901E1,-1.595E1,-1.9E1)); +#9507=VERTEX_POINT('',#9506); +#9508=CARTESIAN_POINT('',(3.785E1,-1.105E1,-1.85E1)); +#9509=VERTEX_POINT('',#9508); +#9510=CARTESIAN_POINT('',(3.835E1,-1.105E1,-1.9E1)); +#9511=VERTEX_POINT('',#9510); +#9512=CARTESIAN_POINT('',(3.785E1,1.105E1,-1.85E1)); +#9513=VERTEX_POINT('',#9512); +#9514=CARTESIAN_POINT('',(3.835E1,1.104999999901E1,-1.9E1)); +#9515=VERTEX_POINT('',#9514); +#9516=CARTESIAN_POINT('',(3.345E1,1.545E1,-1.85E1)); +#9517=VERTEX_POINT('',#9516); +#9518=CARTESIAN_POINT('',(3.345E1,1.595E1,-1.9E1)); +#9519=VERTEX_POINT('',#9518); +#9520=CARTESIAN_POINT('',(-3.345E1,1.545E1,-1.85E1)); +#9521=VERTEX_POINT('',#9520); +#9522=CARTESIAN_POINT('',(-3.344999999901E1,1.595E1,-1.9E1)); +#9523=VERTEX_POINT('',#9522); +#9524=CARTESIAN_POINT('',(-3.785E1,1.105E1,-1.85E1)); +#9525=VERTEX_POINT('',#9524); +#9526=CARTESIAN_POINT('',(-3.835E1,1.105E1,-1.9E1)); +#9527=VERTEX_POINT('',#9526); +#9528=CARTESIAN_POINT('',(1.141421356237E1,4.5E0,-2.6E1)); +#9529=VERTEX_POINT('',#9528); +#9530=CARTESIAN_POINT('',(1.941421356237E1,4.5E0,-2.6E1)); +#9531=VERTEX_POINT('',#9530); +#9532=CARTESIAN_POINT('',(-1.941421356237E1,4.5E0,-2.6E1)); +#9533=VERTEX_POINT('',#9532); +#9534=CARTESIAN_POINT('',(-1.141421356237E1,4.5E0,-2.6E1)); +#9535=VERTEX_POINT('',#9534); +#9536=CARTESIAN_POINT('',(-1.141421356237E1,-4.5E0,-2.6E1)); +#9537=VERTEX_POINT('',#9536); +#9538=CARTESIAN_POINT('',(-1.941421356237E1,-4.5E0,-2.6E1)); +#9539=VERTEX_POINT('',#9538); +#9540=CARTESIAN_POINT('',(1.941421356237E1,-4.5E0,-2.6E1)); +#9541=VERTEX_POINT('',#9540); +#9542=CARTESIAN_POINT('',(1.141421356237E1,-4.5E0,-2.6E1)); +#9543=VERTEX_POINT('',#9542); +#9544=CARTESIAN_POINT('',(1.141421356237E1,4.5E0,-2.3E1)); +#9545=VERTEX_POINT('',#9544); +#9546=CARTESIAN_POINT('',(1.941421356237E1,4.5E0,-2.3E1)); +#9547=VERTEX_POINT('',#9546); +#9548=CARTESIAN_POINT('',(-1.941421356237E1,4.5E0,-2.3E1)); +#9549=VERTEX_POINT('',#9548); +#9550=CARTESIAN_POINT('',(-1.141421356237E1,4.5E0,-2.3E1)); +#9551=VERTEX_POINT('',#9550); +#9552=CARTESIAN_POINT('',(-1.141421356237E1,-4.5E0,-2.3E1)); +#9553=VERTEX_POINT('',#9552); +#9554=CARTESIAN_POINT('',(-1.941421356237E1,-4.5E0,-2.3E1)); +#9555=VERTEX_POINT('',#9554); +#9556=CARTESIAN_POINT('',(1.941421356237E1,-4.5E0,-2.3E1)); +#9557=VERTEX_POINT('',#9556); +#9558=CARTESIAN_POINT('',(1.141421356237E1,-4.5E0,-2.3E1)); +#9559=VERTEX_POINT('',#9558); +#9560=CARTESIAN_POINT('',(-1.735E1,4.E0,-1.245E1)); +#9561=CARTESIAN_POINT('',(-1.865E1,4.E0,-1.245E1)); +#9562=VERTEX_POINT('',#9560); +#9563=VERTEX_POINT('',#9561); +#9564=CARTESIAN_POINT('',(-1.135E1,0.E0,-1.245E1)); +#9565=CARTESIAN_POINT('',(-1.265E1,0.E0,-1.245E1)); +#9566=VERTEX_POINT('',#9564); +#9567=VERTEX_POINT('',#9565); +#9568=CARTESIAN_POINT('',(-9.35E0,4.E0,-1.245E1)); +#9569=CARTESIAN_POINT('',(-1.065E1,4.E0,-1.245E1)); +#9570=VERTEX_POINT('',#9568); +#9571=VERTEX_POINT('',#9569); +#9572=CARTESIAN_POINT('',(-7.349999999999E0,0.E0,-1.245E1)); +#9573=CARTESIAN_POINT('',(-8.649999999999E0,0.E0,-1.245E1)); +#9574=VERTEX_POINT('',#9572); +#9575=VERTEX_POINT('',#9573); +#9576=CARTESIAN_POINT('',(-9.35E0,-4.E0,-1.245E1)); +#9577=CARTESIAN_POINT('',(-1.065E1,-4.E0,-1.245E1)); +#9578=VERTEX_POINT('',#9576); +#9579=VERTEX_POINT('',#9577); +#9580=CARTESIAN_POINT('',(-1.735E1,-4.E0,-1.245E1)); +#9581=CARTESIAN_POINT('',(-1.865E1,-4.E0,-1.245E1)); +#9582=VERTEX_POINT('',#9580); +#9583=VERTEX_POINT('',#9581); +#9584=CARTESIAN_POINT('',(1.065E1,4.E0,-1.245E1)); +#9585=CARTESIAN_POINT('',(9.35E0,4.E0,-1.245E1)); +#9586=VERTEX_POINT('',#9584); +#9587=VERTEX_POINT('',#9585); +#9588=CARTESIAN_POINT('',(1.065E1,-4.E0,-1.245E1)); +#9589=CARTESIAN_POINT('',(9.35E0,-4.E0,-1.245E1)); +#9590=VERTEX_POINT('',#9588); +#9591=VERTEX_POINT('',#9589); +#9592=CARTESIAN_POINT('',(1.865E1,4.E0,-1.245E1)); +#9593=CARTESIAN_POINT('',(1.735E1,4.E0,-1.245E1)); +#9594=VERTEX_POINT('',#9592); +#9595=VERTEX_POINT('',#9593); +#9596=CARTESIAN_POINT('',(1.665E1,0.E0,-1.245E1)); +#9597=CARTESIAN_POINT('',(1.535E1,0.E0,-1.245E1)); +#9598=VERTEX_POINT('',#9596); +#9599=VERTEX_POINT('',#9597); +#9600=CARTESIAN_POINT('',(1.865E1,-4.E0,-1.245E1)); +#9601=CARTESIAN_POINT('',(1.735E1,-4.E0,-1.245E1)); +#9602=VERTEX_POINT('',#9600); +#9603=VERTEX_POINT('',#9601); +#9604=CARTESIAN_POINT('',(2.065E1,0.E0,-1.245E1)); +#9605=CARTESIAN_POINT('',(1.935E1,0.E0,-1.245E1)); +#9606=VERTEX_POINT('',#9604); +#9607=VERTEX_POINT('',#9605); +#9608=CARTESIAN_POINT('',(-1.735E1,4.E0,-2.E1)); +#9609=CARTESIAN_POINT('',(-1.865E1,4.E0,-2.E1)); +#9610=VERTEX_POINT('',#9608); +#9611=VERTEX_POINT('',#9609); +#9612=CARTESIAN_POINT('',(-1.135E1,0.E0,-2.E1)); +#9613=CARTESIAN_POINT('',(-1.265E1,0.E0,-2.E1)); +#9614=VERTEX_POINT('',#9612); +#9615=VERTEX_POINT('',#9613); +#9616=CARTESIAN_POINT('',(-9.35E0,4.E0,-2.E1)); +#9617=CARTESIAN_POINT('',(-1.065E1,4.E0,-2.E1)); +#9618=VERTEX_POINT('',#9616); +#9619=VERTEX_POINT('',#9617); +#9620=CARTESIAN_POINT('',(-7.349999999999E0,0.E0,-2.E1)); +#9621=CARTESIAN_POINT('',(-8.649999999999E0,0.E0,-2.E1)); +#9622=VERTEX_POINT('',#9620); +#9623=VERTEX_POINT('',#9621); +#9624=CARTESIAN_POINT('',(-9.35E0,-4.E0,-2.E1)); +#9625=CARTESIAN_POINT('',(-1.065E1,-4.E0,-2.E1)); +#9626=VERTEX_POINT('',#9624); +#9627=VERTEX_POINT('',#9625); +#9628=CARTESIAN_POINT('',(-1.735E1,-4.E0,-2.E1)); +#9629=CARTESIAN_POINT('',(-1.865E1,-4.E0,-2.E1)); +#9630=VERTEX_POINT('',#9628); +#9631=VERTEX_POINT('',#9629); +#9632=CARTESIAN_POINT('',(1.065E1,4.E0,-2.E1)); +#9633=CARTESIAN_POINT('',(9.35E0,4.E0,-2.E1)); +#9634=VERTEX_POINT('',#9632); +#9635=VERTEX_POINT('',#9633); +#9636=CARTESIAN_POINT('',(1.065E1,-4.E0,-2.E1)); +#9637=CARTESIAN_POINT('',(9.35E0,-4.E0,-2.E1)); +#9638=VERTEX_POINT('',#9636); +#9639=VERTEX_POINT('',#9637); +#9640=CARTESIAN_POINT('',(1.865E1,4.E0,-2.E1)); +#9641=CARTESIAN_POINT('',(1.735E1,4.E0,-2.E1)); +#9642=VERTEX_POINT('',#9640); +#9643=VERTEX_POINT('',#9641); +#9644=CARTESIAN_POINT('',(1.665E1,0.E0,-2.E1)); +#9645=CARTESIAN_POINT('',(1.535E1,0.E0,-2.E1)); +#9646=VERTEX_POINT('',#9644); +#9647=VERTEX_POINT('',#9645); +#9648=CARTESIAN_POINT('',(1.865E1,-4.E0,-2.E1)); +#9649=CARTESIAN_POINT('',(1.735E1,-4.E0,-2.E1)); +#9650=VERTEX_POINT('',#9648); +#9651=VERTEX_POINT('',#9649); +#9652=CARTESIAN_POINT('',(2.065E1,0.E0,-2.E1)); +#9653=CARTESIAN_POINT('',(1.935E1,0.E0,-2.E1)); +#9654=VERTEX_POINT('',#9652); +#9655=VERTEX_POINT('',#9653); +#9656=CARTESIAN_POINT('',(-1.735E1,4.E0,-3.805E1)); +#9657=CARTESIAN_POINT('',(-1.865E1,4.E0,-3.805E1)); +#9658=VERTEX_POINT('',#9656); +#9659=VERTEX_POINT('',#9657); +#9660=CARTESIAN_POINT('',(-1.735E1,-4.E0,-3.805E1)); +#9661=CARTESIAN_POINT('',(-1.865E1,-4.E0,-3.805E1)); +#9662=VERTEX_POINT('',#9660); +#9663=VERTEX_POINT('',#9661); +#9664=CARTESIAN_POINT('',(-1.135E1,0.E0,-3.805E1)); +#9665=CARTESIAN_POINT('',(-1.265E1,0.E0,-3.805E1)); +#9666=VERTEX_POINT('',#9664); +#9667=VERTEX_POINT('',#9665); +#9668=CARTESIAN_POINT('',(-9.35E0,4.E0,-3.805E1)); +#9669=CARTESIAN_POINT('',(-1.065E1,4.E0,-3.805E1)); +#9670=VERTEX_POINT('',#9668); +#9671=VERTEX_POINT('',#9669); +#9672=CARTESIAN_POINT('',(-7.349999999999E0,0.E0,-3.805E1)); +#9673=CARTESIAN_POINT('',(-8.649999999999E0,0.E0,-3.805E1)); +#9674=VERTEX_POINT('',#9672); +#9675=VERTEX_POINT('',#9673); +#9676=CARTESIAN_POINT('',(-9.35E0,-4.E0,-3.805E1)); +#9677=CARTESIAN_POINT('',(-1.065E1,-4.E0,-3.805E1)); +#9678=VERTEX_POINT('',#9676); +#9679=VERTEX_POINT('',#9677); +#9680=CARTESIAN_POINT('',(1.065E1,4.E0,-3.805E1)); +#9681=CARTESIAN_POINT('',(9.35E0,4.E0,-3.805E1)); +#9682=VERTEX_POINT('',#9680); +#9683=VERTEX_POINT('',#9681); +#9684=CARTESIAN_POINT('',(1.865E1,4.E0,-3.805E1)); +#9685=CARTESIAN_POINT('',(1.735E1,4.E0,-3.805E1)); +#9686=VERTEX_POINT('',#9684); +#9687=VERTEX_POINT('',#9685); +#9688=CARTESIAN_POINT('',(2.065E1,0.E0,-3.805E1)); +#9689=CARTESIAN_POINT('',(1.935E1,0.E0,-3.805E1)); +#9690=VERTEX_POINT('',#9688); +#9691=VERTEX_POINT('',#9689); +#9692=CARTESIAN_POINT('',(1.665E1,0.E0,-3.805E1)); +#9693=CARTESIAN_POINT('',(1.535E1,0.E0,-3.805E1)); +#9694=VERTEX_POINT('',#9692); +#9695=VERTEX_POINT('',#9693); +#9696=CARTESIAN_POINT('',(1.865E1,-4.E0,-3.805E1)); +#9697=CARTESIAN_POINT('',(1.735E1,-4.E0,-3.805E1)); +#9698=VERTEX_POINT('',#9696); +#9699=VERTEX_POINT('',#9697); +#9700=CARTESIAN_POINT('',(1.065E1,-4.E0,-3.805E1)); +#9701=CARTESIAN_POINT('',(9.35E0,-4.E0,-3.805E1)); +#9702=VERTEX_POINT('',#9700); +#9703=VERTEX_POINT('',#9701); +#9704=CARTESIAN_POINT('',(-1.735E1,4.E0,-2.6E1)); +#9705=CARTESIAN_POINT('',(-1.865E1,4.E0,-2.6E1)); +#9706=VERTEX_POINT('',#9704); +#9707=VERTEX_POINT('',#9705); +#9708=CARTESIAN_POINT('',(-1.735E1,-4.E0,-2.6E1)); +#9709=CARTESIAN_POINT('',(-1.865E1,-4.E0,-2.6E1)); +#9710=VERTEX_POINT('',#9708); +#9711=VERTEX_POINT('',#9709); +#9712=CARTESIAN_POINT('',(-1.135E1,0.E0,-2.6E1)); +#9713=CARTESIAN_POINT('',(-1.265E1,0.E0,-2.6E1)); +#9714=VERTEX_POINT('',#9712); +#9715=VERTEX_POINT('',#9713); +#9716=CARTESIAN_POINT('',(-9.35E0,4.E0,-2.6E1)); +#9717=CARTESIAN_POINT('',(-1.065E1,4.E0,-2.6E1)); +#9718=VERTEX_POINT('',#9716); +#9719=VERTEX_POINT('',#9717); +#9720=CARTESIAN_POINT('',(-7.349999999999E0,0.E0,-2.6E1)); +#9721=CARTESIAN_POINT('',(-8.649999999999E0,0.E0,-2.6E1)); +#9722=VERTEX_POINT('',#9720); +#9723=VERTEX_POINT('',#9721); +#9724=CARTESIAN_POINT('',(-9.35E0,-4.E0,-2.6E1)); +#9725=CARTESIAN_POINT('',(-1.065E1,-4.E0,-2.6E1)); +#9726=VERTEX_POINT('',#9724); +#9727=VERTEX_POINT('',#9725); +#9728=CARTESIAN_POINT('',(1.065E1,4.E0,-2.6E1)); +#9729=CARTESIAN_POINT('',(9.35E0,4.E0,-2.6E1)); +#9730=VERTEX_POINT('',#9728); +#9731=VERTEX_POINT('',#9729); +#9732=CARTESIAN_POINT('',(1.865E1,4.E0,-2.6E1)); +#9733=CARTESIAN_POINT('',(1.735E1,4.E0,-2.6E1)); +#9734=VERTEX_POINT('',#9732); +#9735=VERTEX_POINT('',#9733); +#9736=CARTESIAN_POINT('',(2.065E1,0.E0,-2.6E1)); +#9737=CARTESIAN_POINT('',(1.935E1,0.E0,-2.6E1)); +#9738=VERTEX_POINT('',#9736); +#9739=VERTEX_POINT('',#9737); +#9740=CARTESIAN_POINT('',(1.665E1,0.E0,-2.6E1)); +#9741=CARTESIAN_POINT('',(1.535E1,0.E0,-2.6E1)); +#9742=VERTEX_POINT('',#9740); +#9743=VERTEX_POINT('',#9741); +#9744=CARTESIAN_POINT('',(1.865E1,-4.E0,-2.6E1)); +#9745=CARTESIAN_POINT('',(1.735E1,-4.E0,-2.6E1)); +#9746=VERTEX_POINT('',#9744); +#9747=VERTEX_POINT('',#9745); +#9748=CARTESIAN_POINT('',(1.065E1,-4.E0,-2.6E1)); +#9749=CARTESIAN_POINT('',(9.35E0,-4.E0,-2.6E1)); +#9750=VERTEX_POINT('',#9748); +#9751=VERTEX_POINT('',#9749); +#9752=CARTESIAN_POINT('',(1.525E1,9.1E0,-2.E1)); +#9753=VERTEX_POINT('',#9752); +#9754=CARTESIAN_POINT('',(1.625E1,9.099999999999E0,-2.E1)); +#9755=VERTEX_POINT('',#9754); +#9756=CARTESIAN_POINT('',(1.875E1,9.1E0,-2.E1)); +#9757=VERTEX_POINT('',#9756); +#9758=CARTESIAN_POINT('',(1.975E1,9.1E0,-2.E1)); +#9759=VERTEX_POINT('',#9758); +#9760=CARTESIAN_POINT('',(2.25E0,-9.1E0,-2.E1)); +#9761=VERTEX_POINT('',#9760); +#9762=CARTESIAN_POINT('',(1.25E0,-9.1E0,-2.E1)); +#9763=VERTEX_POINT('',#9762); +#9764=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#9765=CARTESIAN_POINT('',(9.25E0,-9.1E0,-2.E1)); +#9766=VERTEX_POINT('',#9764); +#9767=VERTEX_POINT('',#9765); +#9768=CARTESIAN_POINT('',(8.25E0,-9.1E0,-2.E1)); +#9769=VERTEX_POINT('',#9768); +#9770=CARTESIAN_POINT('',(1.175E1,-1.03E1,-2.E1)); +#9771=CARTESIAN_POINT('',(1.175E1,-9.1E0,-2.E1)); +#9772=VERTEX_POINT('',#9770); +#9773=VERTEX_POINT('',#9771); +#9774=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#9775=CARTESIAN_POINT('',(1.275E1,-9.1E0,-2.E1)); +#9776=VERTEX_POINT('',#9774); +#9777=VERTEX_POINT('',#9775); +#9778=CARTESIAN_POINT('',(1.625E1,-1.03E1,-2.E1)); +#9779=CARTESIAN_POINT('',(1.625E1,-9.099999999999E0,-2.E1)); +#9780=VERTEX_POINT('',#9778); +#9781=VERTEX_POINT('',#9779); +#9782=CARTESIAN_POINT('',(1.525E1,-9.1E0,-2.E1)); +#9783=VERTEX_POINT('',#9782); +#9784=CARTESIAN_POINT('',(1.525E1,-1.03E1,-2.E1)); +#9785=VERTEX_POINT('',#9784); +#9786=CARTESIAN_POINT('',(1.875E1,-1.03E1,-2.E1)); +#9787=CARTESIAN_POINT('',(1.875E1,-9.1E0,-2.E1)); +#9788=VERTEX_POINT('',#9786); +#9789=VERTEX_POINT('',#9787); +#9790=CARTESIAN_POINT('',(1.975E1,-1.03E1,-2.E1)); +#9791=CARTESIAN_POINT('',(1.975E1,-9.1E0,-2.E1)); +#9792=VERTEX_POINT('',#9790); +#9793=VERTEX_POINT('',#9791); +#9794=CARTESIAN_POINT('',(5.925E0,-8.075E0,-2.E1)); +#9795=VERTEX_POINT('',#9794); +#9796=CARTESIAN_POINT('',(4.575E0,-8.075E0,-2.E1)); +#9797=VERTEX_POINT('',#9796); +#9798=CARTESIAN_POINT('',(-1.25E0,-9.1E0,-2.E1)); +#9799=VERTEX_POINT('',#9798); +#9800=CARTESIAN_POINT('',(-2.25E0,-9.1E0,-2.E1)); +#9801=VERTEX_POINT('',#9800); +#9802=CARTESIAN_POINT('',(-8.25E0,-9.1E0,-2.E1)); +#9803=VERTEX_POINT('',#9802); +#9804=CARTESIAN_POINT('',(-9.25E0,-9.1E0,-2.E1)); +#9805=VERTEX_POINT('',#9804); +#9806=CARTESIAN_POINT('',(-1.175E1,-9.1E0,-2.E1)); +#9807=CARTESIAN_POINT('',(-1.275E1,-9.1E0,-2.E1)); +#9808=VERTEX_POINT('',#9806); +#9809=VERTEX_POINT('',#9807); +#9810=CARTESIAN_POINT('',(-1.525E1,-9.1E0,-2.E1)); +#9811=VERTEX_POINT('',#9810); +#9812=CARTESIAN_POINT('',(-1.625E1,-9.099999999999E0,-2.E1)); +#9813=VERTEX_POINT('',#9812); +#9814=CARTESIAN_POINT('',(-1.875E1,-9.1E0,-2.E1)); +#9815=CARTESIAN_POINT('',(-1.975E1,-9.1E0,-2.E1)); +#9816=VERTEX_POINT('',#9814); +#9817=VERTEX_POINT('',#9815); +#9818=CARTESIAN_POINT('',(-5.75E0,9.1E0,-2.E1)); +#9819=VERTEX_POINT('',#9818); +#9820=CARTESIAN_POINT('',(-4.75E0,9.1E0,-2.E1)); +#9821=VERTEX_POINT('',#9820); +#9822=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#9823=CARTESIAN_POINT('',(-9.25E0,9.1E0,-2.E1)); +#9824=VERTEX_POINT('',#9822); +#9825=VERTEX_POINT('',#9823); +#9826=CARTESIAN_POINT('',(-8.25E0,9.1E0,-2.E1)); +#9827=VERTEX_POINT('',#9826); +#9828=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#9829=CARTESIAN_POINT('',(-1.275E1,9.1E0,-2.E1)); +#9830=VERTEX_POINT('',#9828); +#9831=VERTEX_POINT('',#9829); +#9832=CARTESIAN_POINT('',(-1.175E1,9.1E0,-2.E1)); +#9833=VERTEX_POINT('',#9832); +#9834=CARTESIAN_POINT('',(-1.175E1,1.03E1,-2.E1)); +#9835=VERTEX_POINT('',#9834); +#9836=CARTESIAN_POINT('',(-1.625E1,1.03E1,-2.E1)); +#9837=CARTESIAN_POINT('',(-1.625E1,9.099999999999E0,-2.E1)); +#9838=VERTEX_POINT('',#9836); +#9839=VERTEX_POINT('',#9837); +#9840=CARTESIAN_POINT('',(-1.525E1,9.1E0,-2.E1)); +#9841=VERTEX_POINT('',#9840); +#9842=CARTESIAN_POINT('',(-1.525E1,1.03E1,-2.E1)); +#9843=VERTEX_POINT('',#9842); +#9844=CARTESIAN_POINT('',(-1.975E1,1.03E1,-2.E1)); +#9845=CARTESIAN_POINT('',(-1.975E1,9.1E0,-2.E1)); +#9846=VERTEX_POINT('',#9844); +#9847=VERTEX_POINT('',#9845); +#9848=CARTESIAN_POINT('',(-1.875E1,9.1E0,-2.E1)); +#9849=VERTEX_POINT('',#9848); +#9850=CARTESIAN_POINT('',(-1.875E1,1.03E1,-2.E1)); +#9851=VERTEX_POINT('',#9850); +#9852=CARTESIAN_POINT('',(-4.75E0,-9.1E0,-2.E1)); +#9853=VERTEX_POINT('',#9852); +#9854=CARTESIAN_POINT('',(-5.75E0,-9.1E0,-2.E1)); +#9855=VERTEX_POINT('',#9854); +#9856=CARTESIAN_POINT('',(-3.2785E1,1.08E1,-2.025E1)); +#9857=CARTESIAN_POINT('',(-3.0615E1,1.08E1,-2.025E1)); +#9858=VERTEX_POINT('',#9856); +#9859=VERTEX_POINT('',#9857); +#9860=CARTESIAN_POINT('',(-3.4285E1,-7.300000000001E0,-2.025E1)); +#9861=CARTESIAN_POINT('',(-3.2115E1,-7.300000000001E0,-2.025E1)); +#9862=VERTEX_POINT('',#9860); +#9863=VERTEX_POINT('',#9861); +#9864=CARTESIAN_POINT('',(3.0615E1,1.08E1,-2.025E1)); +#9865=CARTESIAN_POINT('',(3.2785E1,1.08E1,-2.025E1)); +#9866=VERTEX_POINT('',#9864); +#9867=VERTEX_POINT('',#9865); +#9868=CARTESIAN_POINT('',(3.2115E1,-7.300000000001E0,-2.025E1)); +#9869=CARTESIAN_POINT('',(3.4285E1,-7.300000000001E0,-2.025E1)); +#9870=VERTEX_POINT('',#9868); +#9871=VERTEX_POINT('',#9869); +#9872=CARTESIAN_POINT('',(2.349999999999E1,-1.18E1,-1.95E1)); +#9873=CARTESIAN_POINT('',(-2.349999999999E1,-1.18E1,-1.95E1)); +#9874=VERTEX_POINT('',#9872); +#9875=VERTEX_POINT('',#9873); +#9876=CARTESIAN_POINT('',(2.35E1,-1.23E1,-2.E1)); +#9877=CARTESIAN_POINT('',(-2.35E1,-1.23E1,-2.E1)); +#9878=VERTEX_POINT('',#9876); +#9879=VERTEX_POINT('',#9877); +#9880=CARTESIAN_POINT('',(-2.35E1,1.23E1,-2.E1)); +#9881=CARTESIAN_POINT('',(2.35E1,1.23E1,-2.E1)); +#9882=VERTEX_POINT('',#9880); +#9883=VERTEX_POINT('',#9881); +#9884=VERTEX_POINT('',#7831); +#9885=VERTEX_POINT('',#7847); +#9886=VERTEX_POINT('',#7798); +#9887=VERTEX_POINT('',#7805); +#9888=CARTESIAN_POINT('',(3.02E1,5.6E0,-2.E1)); +#9889=VERTEX_POINT('',#9888); +#9890=CARTESIAN_POINT('',(-3.02E1,5.6E0,-2.E1)); +#9891=VERTEX_POINT('',#9890); +#9892=CARTESIAN_POINT('',(1.885E1,1.02E1,-1.E1)); +#9893=CARTESIAN_POINT('',(1.885E1,9.1E0,-1.E1)); +#9894=VERTEX_POINT('',#9892); +#9895=VERTEX_POINT('',#9893); +#9896=CARTESIAN_POINT('',(1.875E1,1.02E1,-1.01E1)); +#9897=CARTESIAN_POINT('',(1.875E1,9.099999985923E0,-1.01E1)); +#9898=VERTEX_POINT('',#9896); +#9899=VERTEX_POINT('',#9897); +#9900=CARTESIAN_POINT('',(1.965E1,9.1E0,-1.E1)); +#9901=VERTEX_POINT('',#9900); +#9902=CARTESIAN_POINT('',(1.975E1,9.1E0,-1.01E1)); +#9903=VERTEX_POINT('',#9902); +#9904=CARTESIAN_POINT('',(1.975E1,1.02E1,-1.01E1)); +#9905=VERTEX_POINT('',#9904); +#9906=CARTESIAN_POINT('',(1.965E1,1.02E1,-1.E1)); +#9907=VERTEX_POINT('',#9906); +#9908=CARTESIAN_POINT('',(1.965E1,1.03E1,-9.9E0)); +#9909=CARTESIAN_POINT('',(1.885E1,1.03E1,-9.9E0)); +#9910=VERTEX_POINT('',#9908); +#9911=VERTEX_POINT('',#9909); +#9912=CARTESIAN_POINT('',(1.975E1,1.03E1,-1.007320508076E1)); +#9913=VERTEX_POINT('',#9912); +#9914=CARTESIAN_POINT('',(1.875E1,1.03E1,-1.007320508076E1)); +#9915=VERTEX_POINT('',#9914); +#9916=CARTESIAN_POINT('',(1.535E1,1.02E1,-1.E1)); +#9917=CARTESIAN_POINT('',(1.535E1,9.1E0,-1.E1)); +#9918=VERTEX_POINT('',#9916); +#9919=VERTEX_POINT('',#9917); +#9920=CARTESIAN_POINT('',(1.525E1,1.02E1,-1.01E1)); +#9921=CARTESIAN_POINT('',(1.525E1,9.099999985923E0,-1.01E1)); +#9922=VERTEX_POINT('',#9920); +#9923=VERTEX_POINT('',#9921); +#9924=CARTESIAN_POINT('',(1.615E1,9.1E0,-1.E1)); +#9925=VERTEX_POINT('',#9924); +#9926=CARTESIAN_POINT('',(1.625E1,9.1E0,-1.01E1)); +#9927=VERTEX_POINT('',#9926); +#9928=CARTESIAN_POINT('',(1.625E1,1.02E1,-1.01E1)); +#9929=VERTEX_POINT('',#9928); +#9930=CARTESIAN_POINT('',(1.615E1,1.02E1,-1.E1)); +#9931=VERTEX_POINT('',#9930); +#9932=CARTESIAN_POINT('',(1.615E1,1.03E1,-9.9E0)); +#9933=CARTESIAN_POINT('',(1.535E1,1.03E1,-9.9E0)); +#9934=VERTEX_POINT('',#9932); +#9935=VERTEX_POINT('',#9933); +#9936=CARTESIAN_POINT('',(1.625E1,1.03E1,-1.007320508076E1)); +#9937=VERTEX_POINT('',#9936); +#9938=CARTESIAN_POINT('',(1.525E1,1.03E1,-1.007320508076E1)); +#9939=VERTEX_POINT('',#9938); +#9940=CARTESIAN_POINT('',(1.265E1,1.03E1,-9.9E0)); +#9941=CARTESIAN_POINT('',(1.185E1,1.03E1,-9.9E0)); +#9942=VERTEX_POINT('',#9940); +#9943=VERTEX_POINT('',#9941); +#9944=CARTESIAN_POINT('',(1.265E1,1.02E1,-1.E1)); +#9945=CARTESIAN_POINT('',(1.185E1,1.02E1,-1.E1)); +#9946=VERTEX_POINT('',#9944); +#9947=VERTEX_POINT('',#9945); +#9948=CARTESIAN_POINT('',(1.185E1,9.1E0,-1.E1)); +#9949=VERTEX_POINT('',#9948); +#9950=CARTESIAN_POINT('',(1.175E1,1.02E1,-1.01E1)); +#9951=CARTESIAN_POINT('',(1.175E1,9.099999985923E0,-1.01E1)); +#9952=VERTEX_POINT('',#9950); +#9953=VERTEX_POINT('',#9951); +#9954=CARTESIAN_POINT('',(1.265E1,9.1E0,-1.E1)); +#9955=VERTEX_POINT('',#9954); +#9956=CARTESIAN_POINT('',(1.275E1,9.1E0,-1.01E1)); +#9957=VERTEX_POINT('',#9956); +#9958=CARTESIAN_POINT('',(1.275E1,1.02E1,-1.01E1)); +#9959=VERTEX_POINT('',#9958); +#9960=CARTESIAN_POINT('',(1.275E1,1.03E1,-1.007320508076E1)); +#9961=VERTEX_POINT('',#9960); +#9962=CARTESIAN_POINT('',(1.175E1,1.03E1,-1.007320508076E1)); +#9963=VERTEX_POINT('',#9962); +#9964=CARTESIAN_POINT('',(9.15E0,1.03E1,-9.9E0)); +#9965=CARTESIAN_POINT('',(8.35E0,1.03E1,-9.9E0)); +#9966=VERTEX_POINT('',#9964); +#9967=VERTEX_POINT('',#9965); +#9968=CARTESIAN_POINT('',(9.15E0,1.02E1,-1.E1)); +#9969=CARTESIAN_POINT('',(8.35E0,1.02E1,-1.E1)); +#9970=VERTEX_POINT('',#9968); +#9971=VERTEX_POINT('',#9969); +#9972=CARTESIAN_POINT('',(8.350000000001E0,9.1E0,-1.E1)); +#9973=VERTEX_POINT('',#9972); +#9974=CARTESIAN_POINT('',(8.25E0,1.02E1,-1.01E1)); +#9975=CARTESIAN_POINT('',(8.25E0,9.099999985923E0,-1.01E1)); +#9976=VERTEX_POINT('',#9974); +#9977=VERTEX_POINT('',#9975); +#9978=CARTESIAN_POINT('',(9.15E0,9.1E0,-1.E1)); +#9979=VERTEX_POINT('',#9978); +#9980=CARTESIAN_POINT('',(9.25E0,9.1E0,-1.01E1)); +#9981=VERTEX_POINT('',#9980); +#9982=CARTESIAN_POINT('',(9.25E0,1.02E1,-1.01E1)); +#9983=VERTEX_POINT('',#9982); +#9984=CARTESIAN_POINT('',(9.25E0,1.03E1,-1.007320508076E1)); +#9985=VERTEX_POINT('',#9984); +#9986=CARTESIAN_POINT('',(8.25E0,1.03E1,-1.007320508076E1)); +#9987=VERTEX_POINT('',#9986); +#9988=CARTESIAN_POINT('',(5.65E0,1.03E1,-9.9E0)); +#9989=CARTESIAN_POINT('',(4.85E0,1.03E1,-9.9E0)); +#9990=VERTEX_POINT('',#9988); +#9991=VERTEX_POINT('',#9989); +#9992=CARTESIAN_POINT('',(5.65E0,1.02E1,-1.E1)); +#9993=CARTESIAN_POINT('',(4.85E0,1.02E1,-1.E1)); +#9994=VERTEX_POINT('',#9992); +#9995=VERTEX_POINT('',#9993); +#9996=CARTESIAN_POINT('',(4.850000000001E0,9.1E0,-1.E1)); +#9997=VERTEX_POINT('',#9996); +#9998=CARTESIAN_POINT('',(4.75E0,1.02E1,-1.01E1)); +#9999=CARTESIAN_POINT('',(4.75E0,9.099999985923E0,-1.01E1)); +#10000=VERTEX_POINT('',#9998); +#10001=VERTEX_POINT('',#9999); +#10002=CARTESIAN_POINT('',(5.65E0,9.1E0,-1.E1)); +#10003=VERTEX_POINT('',#10002); +#10004=CARTESIAN_POINT('',(5.75E0,9.1E0,-1.01E1)); +#10005=VERTEX_POINT('',#10004); +#10006=CARTESIAN_POINT('',(5.75E0,1.02E1,-1.01E1)); +#10007=VERTEX_POINT('',#10006); +#10008=CARTESIAN_POINT('',(5.75E0,1.03E1,-1.007320508076E1)); +#10009=VERTEX_POINT('',#10008); +#10010=CARTESIAN_POINT('',(4.75E0,1.03E1,-1.007320508076E1)); +#10011=VERTEX_POINT('',#10010); +#10012=CARTESIAN_POINT('',(2.15E0,1.03E1,-9.9E0)); +#10013=CARTESIAN_POINT('',(1.35E0,1.03E1,-9.9E0)); +#10014=VERTEX_POINT('',#10012); +#10015=VERTEX_POINT('',#10013); +#10016=CARTESIAN_POINT('',(2.15E0,1.02E1,-1.E1)); +#10017=CARTESIAN_POINT('',(1.35E0,1.02E1,-1.E1)); +#10018=VERTEX_POINT('',#10016); +#10019=VERTEX_POINT('',#10017); +#10020=CARTESIAN_POINT('',(1.350000000001E0,9.1E0,-1.E1)); +#10021=VERTEX_POINT('',#10020); +#10022=CARTESIAN_POINT('',(1.25E0,1.02E1,-1.01E1)); +#10023=CARTESIAN_POINT('',(1.25E0,9.099999985923E0,-1.01E1)); +#10024=VERTEX_POINT('',#10022); +#10025=VERTEX_POINT('',#10023); +#10026=CARTESIAN_POINT('',(2.15E0,9.1E0,-1.E1)); +#10027=VERTEX_POINT('',#10026); +#10028=CARTESIAN_POINT('',(2.25E0,9.1E0,-1.01E1)); +#10029=VERTEX_POINT('',#10028); +#10030=CARTESIAN_POINT('',(2.25E0,1.02E1,-1.01E1)); +#10031=VERTEX_POINT('',#10030); +#10032=CARTESIAN_POINT('',(2.25E0,1.03E1,-1.007320508076E1)); +#10033=VERTEX_POINT('',#10032); +#10034=CARTESIAN_POINT('',(1.25E0,1.03E1,-1.007320508076E1)); +#10035=VERTEX_POINT('',#10034); +#10036=CARTESIAN_POINT('',(-1.175E0,1.03E1,-9.9E0)); +#10037=CARTESIAN_POINT('',(-2.325E0,1.03E1,-9.9E0)); +#10038=VERTEX_POINT('',#10036); +#10039=VERTEX_POINT('',#10037); +#10040=CARTESIAN_POINT('',(-1.175E0,1.02E1,-1.E1)); +#10041=CARTESIAN_POINT('',(-2.325E0,1.02E1,-1.E1)); +#10042=VERTEX_POINT('',#10040); +#10043=VERTEX_POINT('',#10041); +#10044=CARTESIAN_POINT('',(-2.324999999999E0,8.075E0,-1.E1)); +#10045=VERTEX_POINT('',#10044); +#10046=CARTESIAN_POINT('',(-2.425E0,1.02E1,-1.01E1)); +#10047=CARTESIAN_POINT('',(-2.425E0,8.074999993386E0,-1.01E1)); +#10048=VERTEX_POINT('',#10046); +#10049=VERTEX_POINT('',#10047); +#10050=CARTESIAN_POINT('',(-1.175E0,8.075E0,-1.E1)); +#10051=VERTEX_POINT('',#10050); +#10052=CARTESIAN_POINT('',(-1.075E0,8.075E0,-1.01E1)); +#10053=VERTEX_POINT('',#10052); +#10054=CARTESIAN_POINT('',(-1.075E0,1.02E1,-1.01E1)); +#10055=VERTEX_POINT('',#10054); +#10056=CARTESIAN_POINT('',(-1.075E0,1.03E1,-1.007320508076E1)); +#10057=VERTEX_POINT('',#10056); +#10058=CARTESIAN_POINT('',(-2.425E0,1.03E1,-1.007320508076E1)); +#10059=VERTEX_POINT('',#10058); +#10060=CARTESIAN_POINT('',(-4.85E0,1.03E1,-9.9E0)); +#10061=CARTESIAN_POINT('',(-5.65E0,1.03E1,-9.9E0)); +#10062=VERTEX_POINT('',#10060); +#10063=VERTEX_POINT('',#10061); +#10064=CARTESIAN_POINT('',(-4.85E0,1.02E1,-1.E1)); +#10065=CARTESIAN_POINT('',(-5.65E0,1.02E1,-1.E1)); +#10066=VERTEX_POINT('',#10064); +#10067=VERTEX_POINT('',#10065); +#10068=CARTESIAN_POINT('',(-5.65E0,9.1E0,-1.E1)); +#10069=VERTEX_POINT('',#10068); +#10070=CARTESIAN_POINT('',(-5.75E0,1.02E1,-1.01E1)); +#10071=CARTESIAN_POINT('',(-5.75E0,9.099999985923E0,-1.01E1)); +#10072=VERTEX_POINT('',#10070); +#10073=VERTEX_POINT('',#10071); +#10074=CARTESIAN_POINT('',(-4.85E0,9.1E0,-1.E1)); +#10075=VERTEX_POINT('',#10074); +#10076=CARTESIAN_POINT('',(-4.75E0,9.1E0,-1.01E1)); +#10077=VERTEX_POINT('',#10076); +#10078=CARTESIAN_POINT('',(-4.75E0,1.02E1,-1.01E1)); +#10079=VERTEX_POINT('',#10078); +#10080=CARTESIAN_POINT('',(-4.75E0,1.03E1,-1.007320508076E1)); +#10081=VERTEX_POINT('',#10080); +#10082=CARTESIAN_POINT('',(-5.75E0,1.03E1,-1.007320508076E1)); +#10083=VERTEX_POINT('',#10082); +#10084=CARTESIAN_POINT('',(-8.35E0,1.03E1,-9.9E0)); +#10085=CARTESIAN_POINT('',(-9.15E0,1.03E1,-9.9E0)); +#10086=VERTEX_POINT('',#10084); +#10087=VERTEX_POINT('',#10085); +#10088=CARTESIAN_POINT('',(-8.35E0,1.02E1,-1.E1)); +#10089=CARTESIAN_POINT('',(-9.15E0,1.02E1,-1.E1)); +#10090=VERTEX_POINT('',#10088); +#10091=VERTEX_POINT('',#10089); +#10092=CARTESIAN_POINT('',(-9.15E0,9.1E0,-1.E1)); +#10093=VERTEX_POINT('',#10092); +#10094=CARTESIAN_POINT('',(-9.25E0,1.02E1,-1.01E1)); +#10095=CARTESIAN_POINT('',(-9.25E0,9.099999985923E0,-1.01E1)); +#10096=VERTEX_POINT('',#10094); +#10097=VERTEX_POINT('',#10095); +#10098=CARTESIAN_POINT('',(-8.35E0,9.1E0,-1.E1)); +#10099=VERTEX_POINT('',#10098); +#10100=CARTESIAN_POINT('',(-8.25E0,9.1E0,-1.01E1)); +#10101=VERTEX_POINT('',#10100); +#10102=CARTESIAN_POINT('',(-8.25E0,1.02E1,-1.01E1)); +#10103=VERTEX_POINT('',#10102); +#10104=CARTESIAN_POINT('',(-8.25E0,1.03E1,-1.007320508076E1)); +#10105=VERTEX_POINT('',#10104); +#10106=CARTESIAN_POINT('',(-9.25E0,1.03E1,-1.007320508076E1)); +#10107=VERTEX_POINT('',#10106); +#10108=CARTESIAN_POINT('',(-1.185E1,1.03E1,-9.9E0)); +#10109=CARTESIAN_POINT('',(-1.265E1,1.03E1,-9.9E0)); +#10110=VERTEX_POINT('',#10108); +#10111=VERTEX_POINT('',#10109); +#10112=CARTESIAN_POINT('',(-1.185E1,1.02E1,-1.E1)); +#10113=CARTESIAN_POINT('',(-1.265E1,1.02E1,-1.E1)); +#10114=VERTEX_POINT('',#10112); +#10115=VERTEX_POINT('',#10113); +#10116=CARTESIAN_POINT('',(-1.265E1,9.1E0,-1.E1)); +#10117=VERTEX_POINT('',#10116); +#10118=CARTESIAN_POINT('',(-1.275E1,1.02E1,-1.01E1)); +#10119=CARTESIAN_POINT('',(-1.275E1,9.099999985923E0,-1.01E1)); +#10120=VERTEX_POINT('',#10118); +#10121=VERTEX_POINT('',#10119); +#10122=CARTESIAN_POINT('',(-1.185E1,9.1E0,-1.E1)); +#10123=VERTEX_POINT('',#10122); +#10124=CARTESIAN_POINT('',(-1.175E1,9.1E0,-1.01E1)); +#10125=VERTEX_POINT('',#10124); +#10126=CARTESIAN_POINT('',(-1.175E1,1.02E1,-1.01E1)); +#10127=VERTEX_POINT('',#10126); +#10128=CARTESIAN_POINT('',(-1.175E1,1.03E1,-1.007320508076E1)); +#10129=VERTEX_POINT('',#10128); +#10130=CARTESIAN_POINT('',(-1.275E1,1.03E1,-1.007320508076E1)); +#10131=VERTEX_POINT('',#10130); +#10132=CARTESIAN_POINT('',(-1.535E1,1.03E1,-9.9E0)); +#10133=CARTESIAN_POINT('',(-1.615E1,1.03E1,-9.9E0)); +#10134=VERTEX_POINT('',#10132); +#10135=VERTEX_POINT('',#10133); +#10136=CARTESIAN_POINT('',(-1.535E1,1.02E1,-1.E1)); +#10137=CARTESIAN_POINT('',(-1.615E1,1.02E1,-1.E1)); +#10138=VERTEX_POINT('',#10136); +#10139=VERTEX_POINT('',#10137); +#10140=CARTESIAN_POINT('',(-1.615E1,9.1E0,-1.E1)); +#10141=VERTEX_POINT('',#10140); +#10142=CARTESIAN_POINT('',(-1.625E1,1.02E1,-1.01E1)); +#10143=CARTESIAN_POINT('',(-1.625E1,9.099999985923E0,-1.01E1)); +#10144=VERTEX_POINT('',#10142); +#10145=VERTEX_POINT('',#10143); +#10146=CARTESIAN_POINT('',(-1.535E1,9.1E0,-1.E1)); +#10147=VERTEX_POINT('',#10146); +#10148=CARTESIAN_POINT('',(-1.525E1,9.1E0,-1.01E1)); +#10149=VERTEX_POINT('',#10148); +#10150=CARTESIAN_POINT('',(-1.525E1,1.02E1,-1.01E1)); +#10151=VERTEX_POINT('',#10150); +#10152=CARTESIAN_POINT('',(-1.525E1,1.03E1,-1.007320508076E1)); +#10153=VERTEX_POINT('',#10152); +#10154=CARTESIAN_POINT('',(-1.625E1,1.03E1,-1.007320508076E1)); +#10155=VERTEX_POINT('',#10154); +#10156=CARTESIAN_POINT('',(-1.885E1,1.03E1,-9.9E0)); +#10157=CARTESIAN_POINT('',(-1.965E1,1.03E1,-9.9E0)); +#10158=VERTEX_POINT('',#10156); +#10159=VERTEX_POINT('',#10157); +#10160=CARTESIAN_POINT('',(-1.885E1,1.02E1,-1.E1)); +#10161=CARTESIAN_POINT('',(-1.965E1,1.02E1,-1.E1)); +#10162=VERTEX_POINT('',#10160); +#10163=VERTEX_POINT('',#10161); +#10164=CARTESIAN_POINT('',(-1.965E1,9.1E0,-1.E1)); +#10165=VERTEX_POINT('',#10164); +#10166=CARTESIAN_POINT('',(-1.975E1,1.02E1,-1.01E1)); +#10167=CARTESIAN_POINT('',(-1.975E1,9.099999985923E0,-1.01E1)); +#10168=VERTEX_POINT('',#10166); +#10169=VERTEX_POINT('',#10167); +#10170=CARTESIAN_POINT('',(-1.885E1,9.1E0,-1.E1)); +#10171=VERTEX_POINT('',#10170); +#10172=CARTESIAN_POINT('',(-1.875E1,9.1E0,-1.01E1)); +#10173=VERTEX_POINT('',#10172); +#10174=CARTESIAN_POINT('',(-1.875E1,1.02E1,-1.01E1)); +#10175=VERTEX_POINT('',#10174); +#10176=CARTESIAN_POINT('',(-1.875E1,1.03E1,-1.007320508076E1)); +#10177=VERTEX_POINT('',#10176); +#10178=CARTESIAN_POINT('',(-1.975E1,1.03E1,-1.007320508076E1)); +#10179=VERTEX_POINT('',#10178); +#10180=CARTESIAN_POINT('',(-2.81E1,4.3E0,-1.E1)); +#10181=CARTESIAN_POINT('',(-2.7E1,4.3E0,-1.E1)); +#10182=VERTEX_POINT('',#10180); +#10183=VERTEX_POINT('',#10181); +#10184=CARTESIAN_POINT('',(-2.81E1,4.2E0,-1.01E1)); +#10185=CARTESIAN_POINT('',(-2.699999998592E1,4.2E0,-1.01E1)); +#10186=VERTEX_POINT('',#10184); +#10187=VERTEX_POINT('',#10185); +#10188=CARTESIAN_POINT('',(-2.7E1,5.1E0,-1.E1)); +#10189=VERTEX_POINT('',#10188); +#10190=CARTESIAN_POINT('',(-2.7E1,5.2E0,-1.01E1)); +#10191=VERTEX_POINT('',#10190); +#10192=CARTESIAN_POINT('',(-2.81E1,5.2E0,-1.01E1)); +#10193=VERTEX_POINT('',#10192); +#10194=CARTESIAN_POINT('',(-2.81E1,5.1E0,-1.E1)); +#10195=VERTEX_POINT('',#10194); +#10196=CARTESIAN_POINT('',(-2.82E1,5.1E0,-9.9E0)); +#10197=CARTESIAN_POINT('',(-2.82E1,4.3E0,-9.9E0)); +#10198=VERTEX_POINT('',#10196); +#10199=VERTEX_POINT('',#10197); +#10200=CARTESIAN_POINT('',(-2.82E1,5.2E0,-1.007320508076E1)); +#10201=VERTEX_POINT('',#10200); +#10202=CARTESIAN_POINT('',(-2.82E1,4.2E0,-1.007320508076E1)); +#10203=VERTEX_POINT('',#10202); +#10204=CARTESIAN_POINT('',(-2.82E1,-4.3E0,-9.9E0)); +#10205=CARTESIAN_POINT('',(-2.82E1,-5.1E0,-9.9E0)); +#10206=VERTEX_POINT('',#10204); +#10207=VERTEX_POINT('',#10205); +#10208=CARTESIAN_POINT('',(-2.81E1,-4.3E0,-1.E1)); +#10209=CARTESIAN_POINT('',(-2.81E1,-5.1E0,-1.E1)); +#10210=VERTEX_POINT('',#10208); +#10211=VERTEX_POINT('',#10209); +#10212=CARTESIAN_POINT('',(-2.7E1,-5.1E0,-1.E1)); +#10213=VERTEX_POINT('',#10212); +#10214=CARTESIAN_POINT('',(-2.81E1,-5.2E0,-1.01E1)); +#10215=CARTESIAN_POINT('',(-2.699999998592E1,-5.2E0,-1.01E1)); +#10216=VERTEX_POINT('',#10214); +#10217=VERTEX_POINT('',#10215); +#10218=CARTESIAN_POINT('',(-2.7E1,-4.3E0,-1.E1)); +#10219=VERTEX_POINT('',#10218); +#10220=CARTESIAN_POINT('',(-2.7E1,-4.2E0,-1.01E1)); +#10221=VERTEX_POINT('',#10220); +#10222=CARTESIAN_POINT('',(-2.81E1,-4.2E0,-1.01E1)); +#10223=VERTEX_POINT('',#10222); +#10224=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-1.007320508076E1)); +#10225=VERTEX_POINT('',#10224); +#10226=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-1.007320508076E1)); +#10227=VERTEX_POINT('',#10226); +#10228=CARTESIAN_POINT('',(-1.615E1,-1.03E1,-9.9E0)); +#10229=CARTESIAN_POINT('',(-1.535E1,-1.03E1,-9.9E0)); +#10230=VERTEX_POINT('',#10228); +#10231=VERTEX_POINT('',#10229); +#10232=CARTESIAN_POINT('',(-1.615E1,-1.02E1,-1.E1)); +#10233=CARTESIAN_POINT('',(-1.535E1,-1.02E1,-1.E1)); +#10234=VERTEX_POINT('',#10232); +#10235=VERTEX_POINT('',#10233); +#10236=CARTESIAN_POINT('',(-1.535E1,-9.1E0,-1.E1)); +#10237=VERTEX_POINT('',#10236); +#10238=CARTESIAN_POINT('',(-1.525E1,-1.02E1,-1.01E1)); +#10239=CARTESIAN_POINT('',(-1.525E1,-9.099999985923E0,-1.01E1)); +#10240=VERTEX_POINT('',#10238); +#10241=VERTEX_POINT('',#10239); +#10242=CARTESIAN_POINT('',(-1.615E1,-9.1E0,-1.E1)); +#10243=VERTEX_POINT('',#10242); +#10244=CARTESIAN_POINT('',(-1.625E1,-9.1E0,-1.01E1)); +#10245=VERTEX_POINT('',#10244); +#10246=CARTESIAN_POINT('',(-1.625E1,-1.02E1,-1.01E1)); +#10247=VERTEX_POINT('',#10246); +#10248=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-1.007320508076E1)); +#10249=VERTEX_POINT('',#10248); +#10250=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-1.007320508076E1)); +#10251=VERTEX_POINT('',#10250); +#10252=CARTESIAN_POINT('',(-1.885E1,-1.02E1,-1.E1)); +#10253=CARTESIAN_POINT('',(-1.885E1,-9.1E0,-1.E1)); +#10254=VERTEX_POINT('',#10252); +#10255=VERTEX_POINT('',#10253); +#10256=CARTESIAN_POINT('',(-1.875E1,-1.02E1,-1.01E1)); +#10257=CARTESIAN_POINT('',(-1.875E1,-9.099999985923E0,-1.01E1)); +#10258=VERTEX_POINT('',#10256); +#10259=VERTEX_POINT('',#10257); +#10260=CARTESIAN_POINT('',(-1.965E1,-9.1E0,-1.E1)); +#10261=VERTEX_POINT('',#10260); +#10262=CARTESIAN_POINT('',(-1.975E1,-9.1E0,-1.01E1)); +#10263=VERTEX_POINT('',#10262); +#10264=CARTESIAN_POINT('',(-1.975E1,-1.02E1,-1.01E1)); +#10265=VERTEX_POINT('',#10264); +#10266=CARTESIAN_POINT('',(-1.965E1,-1.02E1,-1.E1)); +#10267=VERTEX_POINT('',#10266); +#10268=CARTESIAN_POINT('',(-1.965E1,-1.03E1,-9.9E0)); +#10269=CARTESIAN_POINT('',(-1.885E1,-1.03E1,-9.9E0)); +#10270=VERTEX_POINT('',#10268); +#10271=VERTEX_POINT('',#10269); +#10272=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-1.007320508076E1)); +#10273=VERTEX_POINT('',#10272); +#10274=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-1.007320508076E1)); +#10275=VERTEX_POINT('',#10274); +#10276=CARTESIAN_POINT('',(-1.185E1,-1.02E1,-1.E1)); +#10277=CARTESIAN_POINT('',(-1.185E1,-9.1E0,-1.E1)); +#10278=VERTEX_POINT('',#10276); +#10279=VERTEX_POINT('',#10277); +#10280=CARTESIAN_POINT('',(-1.175E1,-1.02E1,-1.01E1)); +#10281=CARTESIAN_POINT('',(-1.175E1,-9.099999985923E0,-1.01E1)); +#10282=VERTEX_POINT('',#10280); +#10283=VERTEX_POINT('',#10281); +#10284=CARTESIAN_POINT('',(-1.265E1,-9.1E0,-1.E1)); +#10285=VERTEX_POINT('',#10284); +#10286=CARTESIAN_POINT('',(-1.275E1,-9.1E0,-1.01E1)); +#10287=VERTEX_POINT('',#10286); +#10288=CARTESIAN_POINT('',(-1.275E1,-1.02E1,-1.01E1)); +#10289=VERTEX_POINT('',#10288); +#10290=CARTESIAN_POINT('',(-1.265E1,-1.02E1,-1.E1)); +#10291=VERTEX_POINT('',#10290); +#10292=CARTESIAN_POINT('',(-1.265E1,-1.03E1,-9.9E0)); +#10293=CARTESIAN_POINT('',(-1.185E1,-1.03E1,-9.9E0)); +#10294=VERTEX_POINT('',#10292); +#10295=VERTEX_POINT('',#10293); +#10296=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-1.007320508076E1)); +#10297=VERTEX_POINT('',#10296); +#10298=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-1.007320508076E1)); +#10299=VERTEX_POINT('',#10298); +#10300=CARTESIAN_POINT('',(-8.35E0,-1.02E1,-1.E1)); +#10301=CARTESIAN_POINT('',(-8.350000000001E0,-9.1E0,-1.E1)); +#10302=VERTEX_POINT('',#10300); +#10303=VERTEX_POINT('',#10301); +#10304=CARTESIAN_POINT('',(-8.25E0,-1.02E1,-1.01E1)); +#10305=CARTESIAN_POINT('',(-8.25E0,-9.099999985923E0,-1.01E1)); +#10306=VERTEX_POINT('',#10304); +#10307=VERTEX_POINT('',#10305); +#10308=CARTESIAN_POINT('',(-9.15E0,-9.1E0,-1.E1)); +#10309=VERTEX_POINT('',#10308); +#10310=CARTESIAN_POINT('',(-9.25E0,-9.1E0,-1.01E1)); +#10311=VERTEX_POINT('',#10310); +#10312=CARTESIAN_POINT('',(-9.25E0,-1.02E1,-1.01E1)); +#10313=VERTEX_POINT('',#10312); +#10314=CARTESIAN_POINT('',(-9.15E0,-1.02E1,-1.E1)); +#10315=VERTEX_POINT('',#10314); +#10316=CARTESIAN_POINT('',(-9.15E0,-1.03E1,-9.9E0)); +#10317=CARTESIAN_POINT('',(-8.35E0,-1.03E1,-9.9E0)); +#10318=VERTEX_POINT('',#10316); +#10319=VERTEX_POINT('',#10317); +#10320=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-1.007320508076E1)); +#10321=VERTEX_POINT('',#10320); +#10322=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-1.007320508076E1)); +#10323=VERTEX_POINT('',#10322); +#10324=CARTESIAN_POINT('',(-4.85E0,-1.02E1,-1.E1)); +#10325=CARTESIAN_POINT('',(-4.850000000001E0,-9.1E0,-1.E1)); +#10326=VERTEX_POINT('',#10324); +#10327=VERTEX_POINT('',#10325); +#10328=CARTESIAN_POINT('',(-4.75E0,-1.02E1,-1.01E1)); +#10329=CARTESIAN_POINT('',(-4.75E0,-9.099999985923E0,-1.01E1)); +#10330=VERTEX_POINT('',#10328); +#10331=VERTEX_POINT('',#10329); +#10332=CARTESIAN_POINT('',(-5.65E0,-9.1E0,-1.E1)); +#10333=VERTEX_POINT('',#10332); +#10334=CARTESIAN_POINT('',(-5.75E0,-9.1E0,-1.01E1)); +#10335=VERTEX_POINT('',#10334); +#10336=CARTESIAN_POINT('',(-5.75E0,-1.02E1,-1.01E1)); +#10337=VERTEX_POINT('',#10336); +#10338=CARTESIAN_POINT('',(-5.65E0,-1.02E1,-1.E1)); +#10339=VERTEX_POINT('',#10338); +#10340=CARTESIAN_POINT('',(-5.65E0,-1.03E1,-9.9E0)); +#10341=CARTESIAN_POINT('',(-4.85E0,-1.03E1,-9.9E0)); +#10342=VERTEX_POINT('',#10340); +#10343=VERTEX_POINT('',#10341); +#10344=CARTESIAN_POINT('',(-5.75E0,-1.03E1,-1.007320508076E1)); +#10345=VERTEX_POINT('',#10344); +#10346=CARTESIAN_POINT('',(-4.75E0,-1.03E1,-1.007320508076E1)); +#10347=VERTEX_POINT('',#10346); +#10348=CARTESIAN_POINT('',(-1.35E0,-1.02E1,-1.E1)); +#10349=CARTESIAN_POINT('',(-1.350000000001E0,-9.1E0,-1.E1)); +#10350=VERTEX_POINT('',#10348); +#10351=VERTEX_POINT('',#10349); +#10352=CARTESIAN_POINT('',(-1.25E0,-1.02E1,-1.01E1)); +#10353=CARTESIAN_POINT('',(-1.25E0,-9.099999985923E0,-1.01E1)); +#10354=VERTEX_POINT('',#10352); +#10355=VERTEX_POINT('',#10353); +#10356=CARTESIAN_POINT('',(-2.15E0,-9.1E0,-1.E1)); +#10357=VERTEX_POINT('',#10356); +#10358=CARTESIAN_POINT('',(-2.25E0,-9.1E0,-1.01E1)); +#10359=VERTEX_POINT('',#10358); +#10360=CARTESIAN_POINT('',(-2.25E0,-1.02E1,-1.01E1)); +#10361=VERTEX_POINT('',#10360); +#10362=CARTESIAN_POINT('',(-2.15E0,-1.02E1,-1.E1)); +#10363=VERTEX_POINT('',#10362); +#10364=CARTESIAN_POINT('',(-2.15E0,-1.03E1,-9.9E0)); +#10365=CARTESIAN_POINT('',(-1.35E0,-1.03E1,-9.9E0)); +#10366=VERTEX_POINT('',#10364); +#10367=VERTEX_POINT('',#10365); +#10368=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-1.007320508076E1)); +#10369=VERTEX_POINT('',#10368); +#10370=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-1.007320508076E1)); +#10371=VERTEX_POINT('',#10370); +#10372=CARTESIAN_POINT('',(2.15E0,-1.02E1,-1.E1)); +#10373=CARTESIAN_POINT('',(2.15E0,-9.1E0,-1.E1)); +#10374=VERTEX_POINT('',#10372); +#10375=VERTEX_POINT('',#10373); +#10376=CARTESIAN_POINT('',(2.25E0,-1.02E1,-1.01E1)); +#10377=CARTESIAN_POINT('',(2.25E0,-9.099999985923E0,-1.01E1)); +#10378=VERTEX_POINT('',#10376); +#10379=VERTEX_POINT('',#10377); +#10380=CARTESIAN_POINT('',(1.35E0,-9.1E0,-1.E1)); +#10381=VERTEX_POINT('',#10380); +#10382=CARTESIAN_POINT('',(1.25E0,-9.1E0,-1.01E1)); +#10383=VERTEX_POINT('',#10382); +#10384=CARTESIAN_POINT('',(1.25E0,-1.02E1,-1.01E1)); +#10385=VERTEX_POINT('',#10384); +#10386=CARTESIAN_POINT('',(1.35E0,-1.02E1,-1.E1)); +#10387=VERTEX_POINT('',#10386); +#10388=CARTESIAN_POINT('',(1.35E0,-1.03E1,-9.9E0)); +#10389=CARTESIAN_POINT('',(2.15E0,-1.03E1,-9.9E0)); +#10390=VERTEX_POINT('',#10388); +#10391=VERTEX_POINT('',#10389); +#10392=CARTESIAN_POINT('',(1.25E0,-1.03E1,-1.007320508076E1)); +#10393=VERTEX_POINT('',#10392); +#10394=CARTESIAN_POINT('',(2.25E0,-1.03E1,-1.007320508076E1)); +#10395=VERTEX_POINT('',#10394); +#10396=CARTESIAN_POINT('',(5.825E0,-1.02E1,-1.E1)); +#10397=CARTESIAN_POINT('',(5.824999999999E0,-8.075E0,-1.E1)); +#10398=VERTEX_POINT('',#10396); +#10399=VERTEX_POINT('',#10397); +#10400=CARTESIAN_POINT('',(5.925E0,-1.02E1,-1.01E1)); +#10401=CARTESIAN_POINT('',(5.925E0,-8.074999993386E0,-1.01E1)); +#10402=VERTEX_POINT('',#10400); +#10403=VERTEX_POINT('',#10401); +#10404=CARTESIAN_POINT('',(4.675E0,-8.075E0,-1.E1)); +#10405=VERTEX_POINT('',#10404); +#10406=CARTESIAN_POINT('',(4.575E0,-8.075E0,-1.01E1)); +#10407=VERTEX_POINT('',#10406); +#10408=CARTESIAN_POINT('',(4.575E0,-1.02E1,-1.01E1)); +#10409=VERTEX_POINT('',#10408); +#10410=CARTESIAN_POINT('',(4.675E0,-1.02E1,-1.E1)); +#10411=VERTEX_POINT('',#10410); +#10412=CARTESIAN_POINT('',(4.675E0,-1.03E1,-9.9E0)); +#10413=CARTESIAN_POINT('',(5.825E0,-1.03E1,-9.9E0)); +#10414=VERTEX_POINT('',#10412); +#10415=VERTEX_POINT('',#10413); +#10416=CARTESIAN_POINT('',(4.575E0,-1.03E1,-1.007320508076E1)); +#10417=VERTEX_POINT('',#10416); +#10418=CARTESIAN_POINT('',(5.925E0,-1.03E1,-1.007320508076E1)); +#10419=VERTEX_POINT('',#10418); +#10420=CARTESIAN_POINT('',(9.15E0,-1.02E1,-1.E1)); +#10421=CARTESIAN_POINT('',(9.15E0,-9.1E0,-1.E1)); +#10422=VERTEX_POINT('',#10420); +#10423=VERTEX_POINT('',#10421); +#10424=CARTESIAN_POINT('',(9.25E0,-1.02E1,-1.01E1)); +#10425=CARTESIAN_POINT('',(9.25E0,-9.099999985923E0,-1.01E1)); +#10426=VERTEX_POINT('',#10424); +#10427=VERTEX_POINT('',#10425); +#10428=CARTESIAN_POINT('',(8.35E0,-9.1E0,-1.E1)); +#10429=VERTEX_POINT('',#10428); +#10430=CARTESIAN_POINT('',(8.25E0,-9.1E0,-1.01E1)); +#10431=VERTEX_POINT('',#10430); +#10432=CARTESIAN_POINT('',(8.25E0,-1.02E1,-1.01E1)); +#10433=VERTEX_POINT('',#10432); +#10434=CARTESIAN_POINT('',(8.35E0,-1.02E1,-1.E1)); +#10435=VERTEX_POINT('',#10434); +#10436=CARTESIAN_POINT('',(8.35E0,-1.03E1,-9.9E0)); +#10437=CARTESIAN_POINT('',(9.15E0,-1.03E1,-9.9E0)); +#10438=VERTEX_POINT('',#10436); +#10439=VERTEX_POINT('',#10437); +#10440=CARTESIAN_POINT('',(8.25E0,-1.03E1,-1.007320508076E1)); +#10441=VERTEX_POINT('',#10440); +#10442=CARTESIAN_POINT('',(9.25E0,-1.03E1,-1.007320508076E1)); +#10443=VERTEX_POINT('',#10442); +#10444=CARTESIAN_POINT('',(1.265E1,-1.02E1,-1.E1)); +#10445=CARTESIAN_POINT('',(1.265E1,-9.1E0,-1.E1)); +#10446=VERTEX_POINT('',#10444); +#10447=VERTEX_POINT('',#10445); +#10448=CARTESIAN_POINT('',(1.275E1,-1.02E1,-1.01E1)); +#10449=CARTESIAN_POINT('',(1.275E1,-9.099999985923E0,-1.01E1)); +#10450=VERTEX_POINT('',#10448); +#10451=VERTEX_POINT('',#10449); +#10452=CARTESIAN_POINT('',(1.185E1,-9.1E0,-1.E1)); +#10453=VERTEX_POINT('',#10452); +#10454=CARTESIAN_POINT('',(1.175E1,-9.1E0,-1.01E1)); +#10455=VERTEX_POINT('',#10454); +#10456=CARTESIAN_POINT('',(1.175E1,-1.02E1,-1.01E1)); +#10457=VERTEX_POINT('',#10456); +#10458=CARTESIAN_POINT('',(1.185E1,-1.02E1,-1.E1)); +#10459=VERTEX_POINT('',#10458); +#10460=CARTESIAN_POINT('',(1.185E1,-1.03E1,-9.9E0)); +#10461=CARTESIAN_POINT('',(1.265E1,-1.03E1,-9.9E0)); +#10462=VERTEX_POINT('',#10460); +#10463=VERTEX_POINT('',#10461); +#10464=CARTESIAN_POINT('',(1.175E1,-1.03E1,-1.007320508076E1)); +#10465=VERTEX_POINT('',#10464); +#10466=CARTESIAN_POINT('',(1.275E1,-1.03E1,-1.007320508076E1)); +#10467=VERTEX_POINT('',#10466); +#10468=CARTESIAN_POINT('',(1.615E1,-1.02E1,-1.E1)); +#10469=CARTESIAN_POINT('',(1.615E1,-9.1E0,-1.E1)); +#10470=VERTEX_POINT('',#10468); +#10471=VERTEX_POINT('',#10469); +#10472=CARTESIAN_POINT('',(1.625E1,-1.02E1,-1.01E1)); +#10473=CARTESIAN_POINT('',(1.625E1,-9.099999985923E0,-1.01E1)); +#10474=VERTEX_POINT('',#10472); +#10475=VERTEX_POINT('',#10473); +#10476=CARTESIAN_POINT('',(1.535E1,-9.1E0,-1.E1)); +#10477=VERTEX_POINT('',#10476); +#10478=CARTESIAN_POINT('',(1.525E1,-9.1E0,-1.01E1)); +#10479=VERTEX_POINT('',#10478); +#10480=CARTESIAN_POINT('',(1.525E1,-1.02E1,-1.01E1)); +#10481=VERTEX_POINT('',#10480); +#10482=CARTESIAN_POINT('',(1.535E1,-1.02E1,-1.E1)); +#10483=VERTEX_POINT('',#10482); +#10484=CARTESIAN_POINT('',(1.535E1,-1.03E1,-9.9E0)); +#10485=CARTESIAN_POINT('',(1.615E1,-1.03E1,-9.9E0)); +#10486=VERTEX_POINT('',#10484); +#10487=VERTEX_POINT('',#10485); +#10488=CARTESIAN_POINT('',(1.525E1,-1.03E1,-1.007320508076E1)); +#10489=VERTEX_POINT('',#10488); +#10490=CARTESIAN_POINT('',(1.625E1,-1.03E1,-1.007320508076E1)); +#10491=VERTEX_POINT('',#10490); +#10492=CARTESIAN_POINT('',(1.965E1,-1.02E1,-1.E1)); +#10493=CARTESIAN_POINT('',(1.965E1,-9.1E0,-1.E1)); +#10494=VERTEX_POINT('',#10492); +#10495=VERTEX_POINT('',#10493); +#10496=CARTESIAN_POINT('',(1.975E1,-1.02E1,-1.01E1)); +#10497=CARTESIAN_POINT('',(1.975E1,-9.099999985923E0,-1.01E1)); +#10498=VERTEX_POINT('',#10496); +#10499=VERTEX_POINT('',#10497); +#10500=CARTESIAN_POINT('',(1.885E1,-9.1E0,-1.E1)); +#10501=VERTEX_POINT('',#10500); +#10502=CARTESIAN_POINT('',(1.875E1,-9.1E0,-1.01E1)); +#10503=VERTEX_POINT('',#10502); +#10504=CARTESIAN_POINT('',(1.875E1,-1.02E1,-1.01E1)); +#10505=VERTEX_POINT('',#10504); +#10506=CARTESIAN_POINT('',(1.885E1,-1.02E1,-1.E1)); +#10507=VERTEX_POINT('',#10506); +#10508=CARTESIAN_POINT('',(1.885E1,-1.03E1,-9.9E0)); +#10509=CARTESIAN_POINT('',(1.965E1,-1.03E1,-9.9E0)); +#10510=VERTEX_POINT('',#10508); +#10511=VERTEX_POINT('',#10509); +#10512=CARTESIAN_POINT('',(1.875E1,-1.03E1,-1.007320508076E1)); +#10513=VERTEX_POINT('',#10512); +#10514=CARTESIAN_POINT('',(1.975E1,-1.03E1,-1.007320508076E1)); +#10515=VERTEX_POINT('',#10514); +#10516=CARTESIAN_POINT('',(2.81E1,5.1E0,-1.E1)); +#10517=CARTESIAN_POINT('',(2.7E1,5.1E0,-1.E1)); +#10518=VERTEX_POINT('',#10516); +#10519=VERTEX_POINT('',#10517); +#10520=CARTESIAN_POINT('',(2.81E1,5.2E0,-1.01E1)); +#10521=CARTESIAN_POINT('',(2.699999998592E1,5.2E0,-1.01E1)); +#10522=VERTEX_POINT('',#10520); +#10523=VERTEX_POINT('',#10521); +#10524=CARTESIAN_POINT('',(2.7E1,4.3E0,-1.E1)); +#10525=VERTEX_POINT('',#10524); +#10526=CARTESIAN_POINT('',(2.7E1,4.2E0,-1.01E1)); +#10527=VERTEX_POINT('',#10526); +#10528=CARTESIAN_POINT('',(2.81E1,4.2E0,-1.01E1)); +#10529=VERTEX_POINT('',#10528); +#10530=CARTESIAN_POINT('',(2.81E1,4.3E0,-1.E1)); +#10531=VERTEX_POINT('',#10530); +#10532=CARTESIAN_POINT('',(2.82E1,4.3E0,-9.9E0)); +#10533=CARTESIAN_POINT('',(2.82E1,5.1E0,-9.9E0)); +#10534=VERTEX_POINT('',#10532); +#10535=VERTEX_POINT('',#10533); +#10536=CARTESIAN_POINT('',(2.82E1,4.2E0,-1.007320508076E1)); +#10537=VERTEX_POINT('',#10536); +#10538=CARTESIAN_POINT('',(2.82E1,5.2E0,-1.007320508076E1)); +#10539=VERTEX_POINT('',#10538); +#10540=CARTESIAN_POINT('',(2.7E1,-5.2E0,-1.01E1)); +#10541=CARTESIAN_POINT('',(2.82E1,-5.2E0,-1.01E1)); +#10542=VERTEX_POINT('',#10540); +#10543=VERTEX_POINT('',#10541); +#10544=CARTESIAN_POINT('',(2.7E1,-5.1E0,-1.E1)); +#10545=CARTESIAN_POINT('',(2.82E1,-5.1E0,-1.E1)); +#10546=VERTEX_POINT('',#10544); +#10547=VERTEX_POINT('',#10545); +#10548=CARTESIAN_POINT('',(2.7E1,-4.3E0,-1.E1)); +#10549=VERTEX_POINT('',#10548); +#10550=CARTESIAN_POINT('',(2.7E1,-4.2E0,-1.01E1)); +#10551=VERTEX_POINT('',#10550); +#10552=CARTESIAN_POINT('',(2.82E1,-4.3E0,-1.E1)); +#10553=VERTEX_POINT('',#10552); +#10554=CARTESIAN_POINT('',(2.82E1,-4.2E0,-1.01E1)); +#10555=VERTEX_POINT('',#10554); +#10556=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#10557=DIRECTION('',(0.E0,0.E0,1.E0)); +#10558=DIRECTION('',(1.E0,0.E0,0.E0)); +#10559=AXIS2_PLACEMENT_3D('',#10556,#10557,#10558); +#10560=PLANE('',#10559); +#10562=ORIENTED_EDGE('',*,*,#10561,.F.); +#10564=ORIENTED_EDGE('',*,*,#10563,.F.); +#10566=ORIENTED_EDGE('',*,*,#10565,.F.); +#10568=ORIENTED_EDGE('',*,*,#10567,.F.); +#10570=ORIENTED_EDGE('',*,*,#10569,.F.); +#10572=ORIENTED_EDGE('',*,*,#10571,.F.); +#10574=ORIENTED_EDGE('',*,*,#10573,.F.); +#10576=ORIENTED_EDGE('',*,*,#10575,.F.); +#10577=EDGE_LOOP('',(#10562,#10564,#10566,#10568,#10570,#10572,#10574,#10576)); +#10578=FACE_OUTER_BOUND('',#10577,.F.); +#10580=ORIENTED_EDGE('',*,*,#10579,.F.); +#10582=ORIENTED_EDGE('',*,*,#10581,.F.); +#10584=ORIENTED_EDGE('',*,*,#10583,.F.); +#10586=ORIENTED_EDGE('',*,*,#10585,.F.); +#10588=ORIENTED_EDGE('',*,*,#10587,.F.); +#10590=ORIENTED_EDGE('',*,*,#10589,.F.); +#10592=ORIENTED_EDGE('',*,*,#10591,.F.); +#10594=ORIENTED_EDGE('',*,*,#10593,.F.); +#10595=EDGE_LOOP('',(#10580,#10582,#10584,#10586,#10588,#10590,#10592,#10594)); +#10596=FACE_BOUND('',#10595,.F.); +#10598=CARTESIAN_POINT('',(-2.35E1,1.03E1,-2.E0)); +#10599=DIRECTION('',(0.E0,9.659258262891E-1,-2.588190451025E-1)); +#10600=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); +#10601=AXIS2_PLACEMENT_3D('',#10598,#10599,#10600); +#10602=PLANE('',#10601); +#10603=ORIENTED_EDGE('',*,*,#10579,.T.); +#10605=ORIENTED_EDGE('',*,*,#10604,.F.); +#10607=ORIENTED_EDGE('',*,*,#10606,.T.); +#10609=ORIENTED_EDGE('',*,*,#10608,.T.); +#10610=EDGE_LOOP('',(#10603,#10605,#10607,#10609)); +#10611=FACE_OUTER_BOUND('',#10610,.F.); +#10613=CARTESIAN_POINT('',(2.35E1,5.6E0,-1.E0)); +#10614=DIRECTION('',(0.E0,0.E0,1.E0)); +#10615=DIRECTION('',(0.E0,1.E0,0.E0)); +#10616=AXIS2_PLACEMENT_3D('',#10613,#10614,#10615); +#10617=CONICAL_SURFACE('',#10616,4.967949192431E0,1.5E1); +#10618=ORIENTED_EDGE('',*,*,#10593,.T.); +#10620=ORIENTED_EDGE('',*,*,#10619,.F.); +#10622=ORIENTED_EDGE('',*,*,#10621,.T.); +#10623=ORIENTED_EDGE('',*,*,#10604,.T.); +#10624=EDGE_LOOP('',(#10618,#10620,#10622,#10623)); +#10625=FACE_OUTER_BOUND('',#10624,.F.); +#10627=CARTESIAN_POINT('',(2.82E1,5.6E0,-2.E0)); +#10628=DIRECTION('',(9.659258262891E-1,0.E0,-2.588190451025E-1)); +#10629=DIRECTION('',(2.588190451025E-1,0.E0,9.659258262891E-1)); +#10630=AXIS2_PLACEMENT_3D('',#10627,#10628,#10629); +#10631=PLANE('',#10630); +#10632=ORIENTED_EDGE('',*,*,#10591,.T.); +#10634=ORIENTED_EDGE('',*,*,#10633,.F.); +#10636=ORIENTED_EDGE('',*,*,#10635,.T.); +#10637=ORIENTED_EDGE('',*,*,#10619,.T.); +#10638=EDGE_LOOP('',(#10632,#10634,#10636,#10637)); +#10639=FACE_OUTER_BOUND('',#10638,.F.); +#10641=CARTESIAN_POINT('',(2.35E1,-5.6E0,-1.E0)); +#10642=DIRECTION('',(0.E0,0.E0,1.E0)); +#10643=DIRECTION('',(1.E0,0.E0,0.E0)); +#10644=AXIS2_PLACEMENT_3D('',#10641,#10642,#10643); +#10645=CONICAL_SURFACE('',#10644,4.967949192431E0,1.5E1); +#10646=ORIENTED_EDGE('',*,*,#10589,.T.); +#10648=ORIENTED_EDGE('',*,*,#10647,.F.); +#10650=ORIENTED_EDGE('',*,*,#10649,.T.); +#10651=ORIENTED_EDGE('',*,*,#10633,.T.); +#10652=EDGE_LOOP('',(#10646,#10648,#10650,#10651)); +#10653=FACE_OUTER_BOUND('',#10652,.F.); +#10655=CARTESIAN_POINT('',(2.35E1,-1.03E1,-2.E0)); +#10656=DIRECTION('',(0.E0,-9.659258262891E-1,-2.588190451025E-1)); +#10657=DIRECTION('',(0.E0,-2.588190451025E-1,9.659258262891E-1)); +#10658=AXIS2_PLACEMENT_3D('',#10655,#10656,#10657); +#10659=PLANE('',#10658); +#10660=ORIENTED_EDGE('',*,*,#10587,.T.); +#10662=ORIENTED_EDGE('',*,*,#10661,.F.); +#10664=ORIENTED_EDGE('',*,*,#10663,.T.); +#10665=ORIENTED_EDGE('',*,*,#10647,.T.); +#10666=EDGE_LOOP('',(#10660,#10662,#10664,#10665)); +#10667=FACE_OUTER_BOUND('',#10666,.F.); +#10669=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-1.E0)); +#10670=DIRECTION('',(0.E0,0.E0,1.E0)); +#10671=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10672=AXIS2_PLACEMENT_3D('',#10669,#10670,#10671); +#10673=CONICAL_SURFACE('',#10672,4.967949192431E0,1.5E1); +#10674=ORIENTED_EDGE('',*,*,#10585,.T.); +#10676=ORIENTED_EDGE('',*,*,#10675,.F.); +#10678=ORIENTED_EDGE('',*,*,#10677,.T.); +#10679=ORIENTED_EDGE('',*,*,#10661,.T.); +#10680=EDGE_LOOP('',(#10674,#10676,#10678,#10679)); +#10681=FACE_OUTER_BOUND('',#10680,.F.); +#10683=CARTESIAN_POINT('',(-2.82E1,-5.6E0,-2.E0)); +#10684=DIRECTION('',(-9.659258262891E-1,0.E0,-2.588190451025E-1)); +#10685=DIRECTION('',(-2.588190451025E-1,0.E0,9.659258262891E-1)); +#10686=AXIS2_PLACEMENT_3D('',#10683,#10684,#10685); +#10687=PLANE('',#10686); +#10688=ORIENTED_EDGE('',*,*,#10583,.T.); +#10690=ORIENTED_EDGE('',*,*,#10689,.F.); +#10692=ORIENTED_EDGE('',*,*,#10691,.T.); +#10693=ORIENTED_EDGE('',*,*,#10675,.T.); +#10694=EDGE_LOOP('',(#10688,#10690,#10692,#10693)); +#10695=FACE_OUTER_BOUND('',#10694,.F.); +#10697=CARTESIAN_POINT('',(-2.35E1,5.6E0,-1.E0)); +#10698=DIRECTION('',(0.E0,0.E0,1.E0)); +#10699=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10700=AXIS2_PLACEMENT_3D('',#10697,#10698,#10699); +#10701=CONICAL_SURFACE('',#10700,4.967949192431E0,1.5E1); +#10702=ORIENTED_EDGE('',*,*,#10581,.T.); +#10703=ORIENTED_EDGE('',*,*,#10608,.F.); +#10705=ORIENTED_EDGE('',*,*,#10704,.T.); +#10706=ORIENTED_EDGE('',*,*,#10689,.T.); +#10707=EDGE_LOOP('',(#10702,#10703,#10705,#10706)); +#10708=FACE_OUTER_BOUND('',#10707,.F.); +#10710=CARTESIAN_POINT('',(-2.35E1,5.6E0,0.E0)); +#10711=DIRECTION('',(0.E0,0.E0,1.E0)); +#10712=DIRECTION('',(1.E0,0.E0,0.E0)); +#10713=AXIS2_PLACEMENT_3D('',#10710,#10711,#10712); +#10714=CYLINDRICAL_SURFACE('',#10713,4.7E0); +#10715=ORIENTED_EDGE('',*,*,#10704,.F.); +#10717=ORIENTED_EDGE('',*,*,#10716,.T.); +#10719=ORIENTED_EDGE('',*,*,#10718,.T.); +#10721=ORIENTED_EDGE('',*,*,#10720,.F.); +#10722=EDGE_LOOP('',(#10715,#10717,#10719,#10721)); +#10723=FACE_OUTER_BOUND('',#10722,.F.); +#10725=CARTESIAN_POINT('',(2.35E1,1.03E1,0.E0)); +#10726=DIRECTION('',(0.E0,1.E0,0.E0)); +#10727=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10728=AXIS2_PLACEMENT_3D('',#10725,#10726,#10727); +#10729=PLANE('',#10728); +#10731=ORIENTED_EDGE('',*,*,#10730,.T.); +#10733=ORIENTED_EDGE('',*,*,#10732,.F.); +#10735=ORIENTED_EDGE('',*,*,#10734,.T.); +#10737=ORIENTED_EDGE('',*,*,#10736,.T.); +#10739=ORIENTED_EDGE('',*,*,#10738,.T.); +#10741=ORIENTED_EDGE('',*,*,#10740,.T.); +#10743=ORIENTED_EDGE('',*,*,#10742,.T.); +#10745=ORIENTED_EDGE('',*,*,#10744,.F.); +#10747=ORIENTED_EDGE('',*,*,#10746,.T.); +#10749=ORIENTED_EDGE('',*,*,#10748,.T.); +#10751=ORIENTED_EDGE('',*,*,#10750,.T.); +#10753=ORIENTED_EDGE('',*,*,#10752,.T.); +#10755=ORIENTED_EDGE('',*,*,#10754,.T.); +#10757=ORIENTED_EDGE('',*,*,#10756,.F.); +#10759=ORIENTED_EDGE('',*,*,#10758,.T.); +#10761=ORIENTED_EDGE('',*,*,#10760,.T.); +#10763=ORIENTED_EDGE('',*,*,#10762,.T.); +#10765=ORIENTED_EDGE('',*,*,#10764,.T.); +#10767=ORIENTED_EDGE('',*,*,#10766,.T.); +#10769=ORIENTED_EDGE('',*,*,#10768,.F.); +#10771=ORIENTED_EDGE('',*,*,#10770,.T.); +#10773=ORIENTED_EDGE('',*,*,#10772,.T.); +#10775=ORIENTED_EDGE('',*,*,#10774,.T.); +#10777=ORIENTED_EDGE('',*,*,#10776,.T.); +#10779=ORIENTED_EDGE('',*,*,#10778,.T.); +#10781=ORIENTED_EDGE('',*,*,#10780,.F.); +#10783=ORIENTED_EDGE('',*,*,#10782,.T.); +#10785=ORIENTED_EDGE('',*,*,#10784,.T.); +#10787=ORIENTED_EDGE('',*,*,#10786,.T.); +#10789=ORIENTED_EDGE('',*,*,#10788,.T.); +#10791=ORIENTED_EDGE('',*,*,#10790,.T.); +#10793=ORIENTED_EDGE('',*,*,#10792,.F.); +#10795=ORIENTED_EDGE('',*,*,#10794,.T.); +#10797=ORIENTED_EDGE('',*,*,#10796,.T.); +#10799=ORIENTED_EDGE('',*,*,#10798,.T.); +#10801=ORIENTED_EDGE('',*,*,#10800,.T.); +#10803=ORIENTED_EDGE('',*,*,#10802,.T.); +#10805=ORIENTED_EDGE('',*,*,#10804,.F.); +#10807=ORIENTED_EDGE('',*,*,#10806,.T.); +#10809=ORIENTED_EDGE('',*,*,#10808,.T.); +#10811=ORIENTED_EDGE('',*,*,#10810,.T.); +#10813=ORIENTED_EDGE('',*,*,#10812,.T.); +#10815=ORIENTED_EDGE('',*,*,#10814,.T.); +#10817=ORIENTED_EDGE('',*,*,#10816,.F.); +#10819=ORIENTED_EDGE('',*,*,#10818,.T.); +#10821=ORIENTED_EDGE('',*,*,#10820,.T.); +#10823=ORIENTED_EDGE('',*,*,#10822,.T.); +#10825=ORIENTED_EDGE('',*,*,#10824,.T.); +#10827=ORIENTED_EDGE('',*,*,#10826,.T.); +#10829=ORIENTED_EDGE('',*,*,#10828,.F.); +#10831=ORIENTED_EDGE('',*,*,#10830,.T.); +#10833=ORIENTED_EDGE('',*,*,#10832,.T.); +#10835=ORIENTED_EDGE('',*,*,#10834,.T.); +#10837=ORIENTED_EDGE('',*,*,#10836,.T.); +#10839=ORIENTED_EDGE('',*,*,#10838,.T.); +#10841=ORIENTED_EDGE('',*,*,#10840,.F.); +#10843=ORIENTED_EDGE('',*,*,#10842,.T.); +#10845=ORIENTED_EDGE('',*,*,#10844,.T.); +#10847=ORIENTED_EDGE('',*,*,#10846,.T.); +#10849=ORIENTED_EDGE('',*,*,#10848,.T.); +#10851=ORIENTED_EDGE('',*,*,#10850,.T.); +#10853=ORIENTED_EDGE('',*,*,#10852,.F.); +#10855=ORIENTED_EDGE('',*,*,#10854,.T.); +#10857=ORIENTED_EDGE('',*,*,#10856,.T.); +#10859=ORIENTED_EDGE('',*,*,#10858,.T.); +#10861=ORIENTED_EDGE('',*,*,#10860,.T.); +#10863=ORIENTED_EDGE('',*,*,#10862,.T.); +#10865=ORIENTED_EDGE('',*,*,#10864,.F.); +#10867=ORIENTED_EDGE('',*,*,#10866,.T.); +#10868=ORIENTED_EDGE('',*,*,#10716,.F.); +#10869=ORIENTED_EDGE('',*,*,#10606,.F.); +#10871=ORIENTED_EDGE('',*,*,#10870,.T.); +#10873=ORIENTED_EDGE('',*,*,#10872,.T.); +#10875=ORIENTED_EDGE('',*,*,#10874,.T.); +#10877=ORIENTED_EDGE('',*,*,#10876,.T.); +#10879=ORIENTED_EDGE('',*,*,#10878,.T.); +#10880=EDGE_LOOP('',(#10731,#10733,#10735,#10737,#10739,#10741,#10743,#10745, +#10747,#10749,#10751,#10753,#10755,#10757,#10759,#10761,#10763,#10765,#10767, +#10769,#10771,#10773,#10775,#10777,#10779,#10781,#10783,#10785,#10787,#10789, +#10791,#10793,#10795,#10797,#10799,#10801,#10803,#10805,#10807,#10809,#10811, +#10813,#10815,#10817,#10819,#10821,#10823,#10825,#10827,#10829,#10831,#10833, +#10835,#10837,#10839,#10841,#10843,#10845,#10847,#10849,#10851,#10853,#10855, +#10857,#10859,#10861,#10863,#10865,#10867,#10868,#10869,#10871,#10873,#10875, +#10877,#10879)); +#10881=FACE_OUTER_BOUND('',#10880,.F.); +#10883=CARTESIAN_POINT('',(1.885E1,1.02E1,-9.9E0)); +#10884=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10885=DIRECTION('',(3.552713678801E-14,-7.564028598729E-1,-6.541060415376E-1)); +#10886=AXIS2_PLACEMENT_3D('',#10883,#10884,#10885); +#10887=TOROIDAL_SURFACE('',#10886,2.E-1,1.E-1); +#10889=ORIENTED_EDGE('',*,*,#10888,.T.); +#10890=ORIENTED_EDGE('',*,*,#10730,.F.); +#10892=ORIENTED_EDGE('',*,*,#10891,.F.); +#10894=ORIENTED_EDGE('',*,*,#10893,.F.); +#10895=EDGE_LOOP('',(#10889,#10890,#10892,#10894)); +#10896=FACE_OUTER_BOUND('',#10895,.F.); +#10898=CARTESIAN_POINT('',(1.875E1,1.03E1,-2.E1)); +#10899=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10900=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10901=AXIS2_PLACEMENT_3D('',#10898,#10899,#10900); +#10902=PLANE('',#10901); +#10904=ORIENTED_EDGE('',*,*,#10903,.T.); +#10906=ORIENTED_EDGE('',*,*,#10905,.F.); +#10908=ORIENTED_EDGE('',*,*,#10907,.F.); +#10909=ORIENTED_EDGE('',*,*,#10732,.T.); +#10910=ORIENTED_EDGE('',*,*,#10888,.F.); +#10911=EDGE_LOOP('',(#10904,#10906,#10908,#10909,#10910)); +#10912=FACE_OUTER_BOUND('',#10911,.F.); +#10914=CARTESIAN_POINT('',(1.885E1,5.789556E1,-1.01E1)); +#10915=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10916=DIRECTION('',(0.E0,0.E0,1.E0)); +#10917=AXIS2_PLACEMENT_3D('',#10914,#10915,#10916); +#10918=CYLINDRICAL_SURFACE('',#10917,1.E-1); +#10920=ORIENTED_EDGE('',*,*,#10919,.T.); +#10922=ORIENTED_EDGE('',*,*,#10921,.T.); +#10923=ORIENTED_EDGE('',*,*,#10903,.F.); +#10924=ORIENTED_EDGE('',*,*,#10893,.T.); +#10925=EDGE_LOOP('',(#10920,#10922,#10923,#10924)); +#10926=FACE_OUTER_BOUND('',#10925,.F.); +#10928=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#10929=DIRECTION('',(0.E0,0.E0,1.E0)); +#10930=DIRECTION('',(1.E0,0.E0,0.E0)); +#10931=AXIS2_PLACEMENT_3D('',#10928,#10929,#10930); +#10932=PLANE('',#10931); +#10933=ORIENTED_EDGE('',*,*,#10919,.F.); +#10935=ORIENTED_EDGE('',*,*,#10934,.F.); +#10937=ORIENTED_EDGE('',*,*,#10936,.F.); +#10939=ORIENTED_EDGE('',*,*,#10938,.F.); +#10940=EDGE_LOOP('',(#10933,#10935,#10937,#10939)); +#10941=FACE_OUTER_BOUND('',#10940,.F.); +#10943=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#10944=DIRECTION('',(0.E0,0.E0,1.E0)); +#10945=DIRECTION('',(1.E0,0.E0,0.E0)); +#10946=AXIS2_PLACEMENT_3D('',#10943,#10944,#10945); +#10947=PLANE('',#10946); +#10949=ORIENTED_EDGE('',*,*,#10948,.F.); +#10951=ORIENTED_EDGE('',*,*,#10950,.F.); +#10953=ORIENTED_EDGE('',*,*,#10952,.F.); +#10955=ORIENTED_EDGE('',*,*,#10954,.F.); +#10956=EDGE_LOOP('',(#10949,#10951,#10953,#10955)); +#10957=FACE_OUTER_BOUND('',#10956,.F.); +#10959=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#10960=DIRECTION('',(0.E0,0.E0,1.E0)); +#10961=DIRECTION('',(1.E0,0.E0,0.E0)); +#10962=AXIS2_PLACEMENT_3D('',#10959,#10960,#10961); +#10963=PLANE('',#10962); +#10965=ORIENTED_EDGE('',*,*,#10964,.F.); +#10967=ORIENTED_EDGE('',*,*,#10966,.F.); +#10969=ORIENTED_EDGE('',*,*,#10968,.F.); +#10971=ORIENTED_EDGE('',*,*,#10970,.F.); +#10972=EDGE_LOOP('',(#10965,#10967,#10969,#10971)); +#10973=FACE_OUTER_BOUND('',#10972,.F.); +#10975=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#10976=DIRECTION('',(0.E0,0.E0,1.E0)); +#10977=DIRECTION('',(1.E0,0.E0,0.E0)); +#10978=AXIS2_PLACEMENT_3D('',#10975,#10976,#10977); +#10979=PLANE('',#10978); +#10981=ORIENTED_EDGE('',*,*,#10980,.F.); +#10983=ORIENTED_EDGE('',*,*,#10982,.F.); +#10985=ORIENTED_EDGE('',*,*,#10984,.F.); +#10987=ORIENTED_EDGE('',*,*,#10986,.F.); +#10988=EDGE_LOOP('',(#10981,#10983,#10985,#10987)); +#10989=FACE_OUTER_BOUND('',#10988,.F.); +#10991=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#10992=DIRECTION('',(0.E0,0.E0,1.E0)); +#10993=DIRECTION('',(1.E0,0.E0,0.E0)); +#10994=AXIS2_PLACEMENT_3D('',#10991,#10992,#10993); +#10995=PLANE('',#10994); +#10997=ORIENTED_EDGE('',*,*,#10996,.F.); +#10999=ORIENTED_EDGE('',*,*,#10998,.F.); +#11001=ORIENTED_EDGE('',*,*,#11000,.F.); +#11003=ORIENTED_EDGE('',*,*,#11002,.F.); +#11004=EDGE_LOOP('',(#10997,#10999,#11001,#11003)); +#11005=FACE_OUTER_BOUND('',#11004,.F.); +#11007=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11008=DIRECTION('',(0.E0,0.E0,1.E0)); +#11009=DIRECTION('',(1.E0,0.E0,0.E0)); +#11010=AXIS2_PLACEMENT_3D('',#11007,#11008,#11009); +#11011=PLANE('',#11010); +#11013=ORIENTED_EDGE('',*,*,#11012,.F.); +#11015=ORIENTED_EDGE('',*,*,#11014,.F.); +#11017=ORIENTED_EDGE('',*,*,#11016,.F.); +#11019=ORIENTED_EDGE('',*,*,#11018,.F.); +#11020=EDGE_LOOP('',(#11013,#11015,#11017,#11019)); +#11021=FACE_OUTER_BOUND('',#11020,.F.); +#11023=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11024=DIRECTION('',(0.E0,0.E0,1.E0)); +#11025=DIRECTION('',(1.E0,0.E0,0.E0)); +#11026=AXIS2_PLACEMENT_3D('',#11023,#11024,#11025); +#11027=PLANE('',#11026); +#11029=ORIENTED_EDGE('',*,*,#11028,.F.); +#11031=ORIENTED_EDGE('',*,*,#11030,.F.); +#11033=ORIENTED_EDGE('',*,*,#11032,.F.); +#11035=ORIENTED_EDGE('',*,*,#11034,.F.); +#11036=EDGE_LOOP('',(#11029,#11031,#11033,#11035)); +#11037=FACE_OUTER_BOUND('',#11036,.F.); +#11039=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11040=DIRECTION('',(0.E0,0.E0,1.E0)); +#11041=DIRECTION('',(1.E0,0.E0,0.E0)); +#11042=AXIS2_PLACEMENT_3D('',#11039,#11040,#11041); +#11043=PLANE('',#11042); +#11045=ORIENTED_EDGE('',*,*,#11044,.F.); +#11047=ORIENTED_EDGE('',*,*,#11046,.F.); +#11049=ORIENTED_EDGE('',*,*,#11048,.F.); +#11051=ORIENTED_EDGE('',*,*,#11050,.F.); +#11052=EDGE_LOOP('',(#11045,#11047,#11049,#11051)); +#11053=FACE_OUTER_BOUND('',#11052,.F.); +#11055=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11056=DIRECTION('',(0.E0,0.E0,1.E0)); +#11057=DIRECTION('',(1.E0,0.E0,0.E0)); +#11058=AXIS2_PLACEMENT_3D('',#11055,#11056,#11057); +#11059=PLANE('',#11058); +#11061=ORIENTED_EDGE('',*,*,#11060,.F.); +#11063=ORIENTED_EDGE('',*,*,#11062,.F.); +#11065=ORIENTED_EDGE('',*,*,#11064,.F.); +#11067=ORIENTED_EDGE('',*,*,#11066,.F.); +#11068=EDGE_LOOP('',(#11061,#11063,#11065,#11067)); +#11069=FACE_OUTER_BOUND('',#11068,.F.); +#11071=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11072=DIRECTION('',(0.E0,0.E0,1.E0)); +#11073=DIRECTION('',(1.E0,0.E0,0.E0)); +#11074=AXIS2_PLACEMENT_3D('',#11071,#11072,#11073); +#11075=PLANE('',#11074); +#11077=ORIENTED_EDGE('',*,*,#11076,.F.); +#11079=ORIENTED_EDGE('',*,*,#11078,.F.); +#11081=ORIENTED_EDGE('',*,*,#11080,.F.); +#11083=ORIENTED_EDGE('',*,*,#11082,.F.); +#11084=EDGE_LOOP('',(#11077,#11079,#11081,#11083)); +#11085=FACE_OUTER_BOUND('',#11084,.F.); +#11087=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11088=DIRECTION('',(0.E0,0.E0,1.E0)); +#11089=DIRECTION('',(1.E0,0.E0,0.E0)); +#11090=AXIS2_PLACEMENT_3D('',#11087,#11088,#11089); +#11091=PLANE('',#11090); +#11093=ORIENTED_EDGE('',*,*,#11092,.F.); +#11095=ORIENTED_EDGE('',*,*,#11094,.F.); +#11097=ORIENTED_EDGE('',*,*,#11096,.F.); +#11099=ORIENTED_EDGE('',*,*,#11098,.F.); +#11100=EDGE_LOOP('',(#11093,#11095,#11097,#11099)); +#11101=FACE_OUTER_BOUND('',#11100,.F.); +#11103=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11104=DIRECTION('',(0.E0,0.E0,1.E0)); +#11105=DIRECTION('',(1.E0,0.E0,0.E0)); +#11106=AXIS2_PLACEMENT_3D('',#11103,#11104,#11105); +#11107=PLANE('',#11106); +#11109=ORIENTED_EDGE('',*,*,#11108,.F.); +#11111=ORIENTED_EDGE('',*,*,#11110,.F.); +#11113=ORIENTED_EDGE('',*,*,#11112,.F.); +#11115=ORIENTED_EDGE('',*,*,#11114,.F.); +#11116=EDGE_LOOP('',(#11109,#11111,#11113,#11115)); +#11117=FACE_OUTER_BOUND('',#11116,.F.); +#11119=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11120=DIRECTION('',(0.E0,0.E0,1.E0)); +#11121=DIRECTION('',(1.E0,0.E0,0.E0)); +#11122=AXIS2_PLACEMENT_3D('',#11119,#11120,#11121); +#11123=PLANE('',#11122); +#11125=ORIENTED_EDGE('',*,*,#11124,.F.); +#11127=ORIENTED_EDGE('',*,*,#11126,.F.); +#11129=ORIENTED_EDGE('',*,*,#11128,.F.); +#11131=ORIENTED_EDGE('',*,*,#11130,.F.); +#11132=EDGE_LOOP('',(#11125,#11127,#11129,#11131)); +#11133=FACE_OUTER_BOUND('',#11132,.F.); +#11135=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11136=DIRECTION('',(0.E0,0.E0,1.E0)); +#11137=DIRECTION('',(1.E0,0.E0,0.E0)); +#11138=AXIS2_PLACEMENT_3D('',#11135,#11136,#11137); +#11139=PLANE('',#11138); +#11141=ORIENTED_EDGE('',*,*,#11140,.F.); +#11143=ORIENTED_EDGE('',*,*,#11142,.F.); +#11145=ORIENTED_EDGE('',*,*,#11144,.F.); +#11147=ORIENTED_EDGE('',*,*,#11146,.F.); +#11148=EDGE_LOOP('',(#11141,#11143,#11145,#11147)); +#11149=FACE_OUTER_BOUND('',#11148,.F.); +#11151=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11152=DIRECTION('',(0.E0,0.E0,1.E0)); +#11153=DIRECTION('',(1.E0,0.E0,0.E0)); +#11154=AXIS2_PLACEMENT_3D('',#11151,#11152,#11153); +#11155=PLANE('',#11154); +#11157=ORIENTED_EDGE('',*,*,#11156,.F.); +#11159=ORIENTED_EDGE('',*,*,#11158,.F.); +#11161=ORIENTED_EDGE('',*,*,#11160,.F.); +#11163=ORIENTED_EDGE('',*,*,#11162,.F.); +#11164=EDGE_LOOP('',(#11157,#11159,#11161,#11163)); +#11165=FACE_OUTER_BOUND('',#11164,.F.); +#11167=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11168=DIRECTION('',(0.E0,0.E0,1.E0)); +#11169=DIRECTION('',(1.E0,0.E0,0.E0)); +#11170=AXIS2_PLACEMENT_3D('',#11167,#11168,#11169); +#11171=PLANE('',#11170); +#11173=ORIENTED_EDGE('',*,*,#11172,.F.); +#11175=ORIENTED_EDGE('',*,*,#11174,.F.); +#11177=ORIENTED_EDGE('',*,*,#11176,.F.); +#11179=ORIENTED_EDGE('',*,*,#11178,.F.); +#11180=EDGE_LOOP('',(#11173,#11175,#11177,#11179)); +#11181=FACE_OUTER_BOUND('',#11180,.F.); +#11183=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11184=DIRECTION('',(0.E0,0.E0,1.E0)); +#11185=DIRECTION('',(1.E0,0.E0,0.E0)); +#11186=AXIS2_PLACEMENT_3D('',#11183,#11184,#11185); +#11187=PLANE('',#11186); +#11189=ORIENTED_EDGE('',*,*,#11188,.F.); +#11191=ORIENTED_EDGE('',*,*,#11190,.F.); +#11193=ORIENTED_EDGE('',*,*,#11192,.F.); +#11195=ORIENTED_EDGE('',*,*,#11194,.F.); +#11196=EDGE_LOOP('',(#11189,#11191,#11193,#11195)); +#11197=FACE_OUTER_BOUND('',#11196,.F.); +#11199=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11200=DIRECTION('',(0.E0,0.E0,1.E0)); +#11201=DIRECTION('',(1.E0,0.E0,0.E0)); +#11202=AXIS2_PLACEMENT_3D('',#11199,#11200,#11201); +#11203=PLANE('',#11202); +#11205=ORIENTED_EDGE('',*,*,#11204,.F.); +#11207=ORIENTED_EDGE('',*,*,#11206,.F.); +#11209=ORIENTED_EDGE('',*,*,#11208,.F.); +#11211=ORIENTED_EDGE('',*,*,#11210,.F.); +#11212=EDGE_LOOP('',(#11205,#11207,#11209,#11211)); +#11213=FACE_OUTER_BOUND('',#11212,.F.); +#11215=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11216=DIRECTION('',(0.E0,0.E0,1.E0)); +#11217=DIRECTION('',(1.E0,0.E0,0.E0)); +#11218=AXIS2_PLACEMENT_3D('',#11215,#11216,#11217); +#11219=PLANE('',#11218); +#11221=ORIENTED_EDGE('',*,*,#11220,.F.); +#11223=ORIENTED_EDGE('',*,*,#11222,.F.); +#11225=ORIENTED_EDGE('',*,*,#11224,.F.); +#11227=ORIENTED_EDGE('',*,*,#11226,.F.); +#11228=EDGE_LOOP('',(#11221,#11223,#11225,#11227)); +#11229=FACE_OUTER_BOUND('',#11228,.F.); +#11231=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11232=DIRECTION('',(0.E0,0.E0,1.E0)); +#11233=DIRECTION('',(1.E0,0.E0,0.E0)); +#11234=AXIS2_PLACEMENT_3D('',#11231,#11232,#11233); +#11235=PLANE('',#11234); +#11237=ORIENTED_EDGE('',*,*,#11236,.F.); +#11239=ORIENTED_EDGE('',*,*,#11238,.F.); +#11241=ORIENTED_EDGE('',*,*,#11240,.F.); +#11243=ORIENTED_EDGE('',*,*,#11242,.F.); +#11244=EDGE_LOOP('',(#11237,#11239,#11241,#11243)); +#11245=FACE_OUTER_BOUND('',#11244,.F.); +#11247=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11248=DIRECTION('',(0.E0,0.E0,1.E0)); +#11249=DIRECTION('',(1.E0,0.E0,0.E0)); +#11250=AXIS2_PLACEMENT_3D('',#11247,#11248,#11249); +#11251=PLANE('',#11250); +#11253=ORIENTED_EDGE('',*,*,#11252,.F.); +#11255=ORIENTED_EDGE('',*,*,#11254,.F.); +#11257=ORIENTED_EDGE('',*,*,#11256,.F.); +#11259=ORIENTED_EDGE('',*,*,#11258,.F.); +#11260=EDGE_LOOP('',(#11253,#11255,#11257,#11259)); +#11261=FACE_OUTER_BOUND('',#11260,.F.); +#11263=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11264=DIRECTION('',(0.E0,0.E0,1.E0)); +#11265=DIRECTION('',(1.E0,0.E0,0.E0)); +#11266=AXIS2_PLACEMENT_3D('',#11263,#11264,#11265); +#11267=PLANE('',#11266); +#11269=ORIENTED_EDGE('',*,*,#11268,.F.); +#11271=ORIENTED_EDGE('',*,*,#11270,.F.); +#11273=ORIENTED_EDGE('',*,*,#11272,.F.); +#11275=ORIENTED_EDGE('',*,*,#11274,.F.); +#11276=EDGE_LOOP('',(#11269,#11271,#11273,#11275)); +#11277=FACE_OUTER_BOUND('',#11276,.F.); +#11279=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11280=DIRECTION('',(0.E0,0.E0,1.E0)); +#11281=DIRECTION('',(1.E0,0.E0,0.E0)); +#11282=AXIS2_PLACEMENT_3D('',#11279,#11280,#11281); +#11283=PLANE('',#11282); +#11285=ORIENTED_EDGE('',*,*,#11284,.F.); +#11287=ORIENTED_EDGE('',*,*,#11286,.F.); +#11289=ORIENTED_EDGE('',*,*,#11288,.F.); +#11291=ORIENTED_EDGE('',*,*,#11290,.F.); +#11292=EDGE_LOOP('',(#11285,#11287,#11289,#11291)); +#11293=FACE_OUTER_BOUND('',#11292,.F.); +#11295=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11296=DIRECTION('',(0.E0,0.E0,1.E0)); +#11297=DIRECTION('',(1.E0,0.E0,0.E0)); +#11298=AXIS2_PLACEMENT_3D('',#11295,#11296,#11297); +#11299=PLANE('',#11298); +#11301=ORIENTED_EDGE('',*,*,#11300,.F.); +#11303=ORIENTED_EDGE('',*,*,#11302,.F.); +#11305=ORIENTED_EDGE('',*,*,#11304,.F.); +#11307=ORIENTED_EDGE('',*,*,#11306,.F.); +#11308=EDGE_LOOP('',(#11301,#11303,#11305,#11307)); +#11309=FACE_OUTER_BOUND('',#11308,.F.); +#11311=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#11312=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11313=DIRECTION('',(0.E0,1.E0,0.E0)); +#11314=AXIS2_PLACEMENT_3D('',#11311,#11312,#11313); +#11315=CYLINDRICAL_SURFACE('',#11314,1.E-1); +#11316=ORIENTED_EDGE('',*,*,#10878,.F.); +#11318=ORIENTED_EDGE('',*,*,#11317,.T.); +#11319=ORIENTED_EDGE('',*,*,#10934,.T.); +#11320=ORIENTED_EDGE('',*,*,#10891,.T.); +#11321=EDGE_LOOP('',(#11316,#11318,#11319,#11320)); +#11322=FACE_OUTER_BOUND('',#11321,.F.); +#11324=CARTESIAN_POINT('',(1.965E1,1.02E1,-9.9E0)); +#11325=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11326=DIRECTION('',(-3.552713678801E-14,-5.485369585398E-1, +-8.361263093074E-1)); +#11327=AXIS2_PLACEMENT_3D('',#11324,#11325,#11326); +#11328=TOROIDAL_SURFACE('',#11327,2.E-1,1.E-1); +#11330=ORIENTED_EDGE('',*,*,#11329,.F.); +#11332=ORIENTED_EDGE('',*,*,#11331,.F.); +#11333=ORIENTED_EDGE('',*,*,#11317,.F.); +#11334=ORIENTED_EDGE('',*,*,#10876,.F.); +#11335=EDGE_LOOP('',(#11330,#11332,#11333,#11334)); +#11336=FACE_OUTER_BOUND('',#11335,.F.); +#11338=CARTESIAN_POINT('',(1.975E1,9.1E0,-2.E1)); +#11339=DIRECTION('',(1.E0,0.E0,0.E0)); +#11340=DIRECTION('',(0.E0,1.E0,0.E0)); +#11341=AXIS2_PLACEMENT_3D('',#11338,#11339,#11340); +#11342=PLANE('',#11341); +#11344=ORIENTED_EDGE('',*,*,#11343,.T.); +#11345=ORIENTED_EDGE('',*,*,#11329,.T.); +#11346=ORIENTED_EDGE('',*,*,#10874,.F.); +#11348=ORIENTED_EDGE('',*,*,#11347,.T.); +#11350=ORIENTED_EDGE('',*,*,#11349,.T.); +#11351=EDGE_LOOP('',(#11344,#11345,#11346,#11348,#11350)); +#11352=FACE_OUTER_BOUND('',#11351,.F.); +#11354=CARTESIAN_POINT('',(1.965E1,8.961468488237E0,-1.01E1)); +#11355=DIRECTION('',(0.E0,1.E0,0.E0)); +#11356=DIRECTION('',(0.E0,0.E0,1.E0)); +#11357=AXIS2_PLACEMENT_3D('',#11354,#11355,#11356); +#11358=CYLINDRICAL_SURFACE('',#11357,1.E-1); +#11359=ORIENTED_EDGE('',*,*,#11343,.F.); +#11361=ORIENTED_EDGE('',*,*,#11360,.F.); +#11362=ORIENTED_EDGE('',*,*,#10936,.T.); +#11363=ORIENTED_EDGE('',*,*,#11331,.T.); +#11364=EDGE_LOOP('',(#11359,#11361,#11362,#11363)); +#11365=FACE_OUTER_BOUND('',#11364,.F.); +#11367=CARTESIAN_POINT('',(1.925E1,9.1E0,-1.01E1)); +#11368=DIRECTION('',(0.E0,0.E0,1.E0)); +#11369=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#11370=AXIS2_PLACEMENT_3D('',#11367,#11368,#11369); +#11371=TOROIDAL_SURFACE('',#11370,4.E-1,1.E-1); +#11372=ORIENTED_EDGE('',*,*,#10938,.T.); +#11373=ORIENTED_EDGE('',*,*,#11360,.T.); +#11375=ORIENTED_EDGE('',*,*,#11374,.F.); +#11376=ORIENTED_EDGE('',*,*,#10921,.F.); +#11377=EDGE_LOOP('',(#11372,#11373,#11375,#11376)); +#11378=FACE_OUTER_BOUND('',#11377,.F.); +#11380=CARTESIAN_POINT('',(1.925E1,9.1E0,-2.E1)); +#11381=DIRECTION('',(0.E0,0.E0,1.E0)); +#11382=DIRECTION('',(1.E0,0.E0,0.E0)); +#11383=AXIS2_PLACEMENT_3D('',#11380,#11381,#11382); +#11384=CYLINDRICAL_SURFACE('',#11383,5.E-1); +#11385=ORIENTED_EDGE('',*,*,#11374,.T.); +#11386=ORIENTED_EDGE('',*,*,#11349,.F.); +#11388=ORIENTED_EDGE('',*,*,#11387,.F.); +#11389=ORIENTED_EDGE('',*,*,#10905,.T.); +#11390=EDGE_LOOP('',(#11385,#11386,#11388,#11389)); +#11391=FACE_OUTER_BOUND('',#11390,.F.); +#11393=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#11394=DIRECTION('',(0.E0,0.E0,1.E0)); +#11395=DIRECTION('',(1.E0,0.E0,0.E0)); +#11396=AXIS2_PLACEMENT_3D('',#11393,#11394,#11395); +#11397=PLANE('',#11396); +#11399=ORIENTED_EDGE('',*,*,#11398,.T.); +#11401=ORIENTED_EDGE('',*,*,#11400,.T.); +#11403=ORIENTED_EDGE('',*,*,#11402,.F.); +#11404=ORIENTED_EDGE('',*,*,#10782,.F.); +#11406=ORIENTED_EDGE('',*,*,#11405,.T.); +#11408=ORIENTED_EDGE('',*,*,#11407,.T.); +#11410=ORIENTED_EDGE('',*,*,#11409,.F.); +#11411=ORIENTED_EDGE('',*,*,#10770,.F.); +#11413=ORIENTED_EDGE('',*,*,#11412,.T.); +#11415=ORIENTED_EDGE('',*,*,#11414,.T.); +#11417=ORIENTED_EDGE('',*,*,#11416,.F.); +#11418=ORIENTED_EDGE('',*,*,#10758,.F.); +#11420=ORIENTED_EDGE('',*,*,#11419,.T.); +#11422=ORIENTED_EDGE('',*,*,#11421,.T.); +#11424=ORIENTED_EDGE('',*,*,#11423,.F.); +#11425=ORIENTED_EDGE('',*,*,#10746,.F.); +#11427=ORIENTED_EDGE('',*,*,#11426,.T.); +#11429=ORIENTED_EDGE('',*,*,#11428,.T.); +#11431=ORIENTED_EDGE('',*,*,#11430,.F.); +#11432=ORIENTED_EDGE('',*,*,#10734,.F.); +#11433=ORIENTED_EDGE('',*,*,#10907,.T.); +#11434=ORIENTED_EDGE('',*,*,#11387,.T.); +#11435=ORIENTED_EDGE('',*,*,#11347,.F.); +#11436=ORIENTED_EDGE('',*,*,#10872,.F.); +#11438=ORIENTED_EDGE('',*,*,#11437,.F.); +#11440=ORIENTED_EDGE('',*,*,#11439,.F.); +#11442=ORIENTED_EDGE('',*,*,#11441,.T.); +#11444=ORIENTED_EDGE('',*,*,#11443,.T.); +#11446=ORIENTED_EDGE('',*,*,#11445,.F.); +#11448=ORIENTED_EDGE('',*,*,#11447,.F.); +#11450=ORIENTED_EDGE('',*,*,#11449,.T.); +#11452=ORIENTED_EDGE('',*,*,#11451,.T.); +#11454=ORIENTED_EDGE('',*,*,#11453,.F.); +#11456=ORIENTED_EDGE('',*,*,#11455,.F.); +#11458=ORIENTED_EDGE('',*,*,#11457,.F.); +#11460=ORIENTED_EDGE('',*,*,#11459,.F.); +#11462=ORIENTED_EDGE('',*,*,#11461,.T.); +#11464=ORIENTED_EDGE('',*,*,#11463,.T.); +#11466=ORIENTED_EDGE('',*,*,#11465,.F.); +#11468=ORIENTED_EDGE('',*,*,#11467,.F.); +#11470=ORIENTED_EDGE('',*,*,#11469,.T.); +#11472=ORIENTED_EDGE('',*,*,#11471,.T.); +#11474=ORIENTED_EDGE('',*,*,#11473,.F.); +#11476=ORIENTED_EDGE('',*,*,#11475,.F.); +#11478=ORIENTED_EDGE('',*,*,#11477,.T.); +#11480=ORIENTED_EDGE('',*,*,#11479,.T.); +#11482=ORIENTED_EDGE('',*,*,#11481,.F.); +#11484=ORIENTED_EDGE('',*,*,#11483,.F.); +#11486=ORIENTED_EDGE('',*,*,#11485,.T.); +#11488=ORIENTED_EDGE('',*,*,#11487,.T.); +#11490=ORIENTED_EDGE('',*,*,#11489,.F.); +#11492=ORIENTED_EDGE('',*,*,#11491,.F.); +#11494=ORIENTED_EDGE('',*,*,#11493,.T.); +#11496=ORIENTED_EDGE('',*,*,#11495,.T.); +#11498=ORIENTED_EDGE('',*,*,#11497,.F.); +#11500=ORIENTED_EDGE('',*,*,#11499,.F.); +#11502=ORIENTED_EDGE('',*,*,#11501,.T.); +#11504=ORIENTED_EDGE('',*,*,#11503,.T.); +#11506=ORIENTED_EDGE('',*,*,#11505,.F.); +#11508=ORIENTED_EDGE('',*,*,#11507,.F.); +#11510=ORIENTED_EDGE('',*,*,#11509,.T.); +#11512=ORIENTED_EDGE('',*,*,#11511,.T.); +#11514=ORIENTED_EDGE('',*,*,#11513,.F.); +#11516=ORIENTED_EDGE('',*,*,#11515,.F.); +#11518=ORIENTED_EDGE('',*,*,#11517,.T.); +#11520=ORIENTED_EDGE('',*,*,#11519,.T.); +#11522=ORIENTED_EDGE('',*,*,#11521,.F.); +#11524=ORIENTED_EDGE('',*,*,#11523,.F.); +#11526=ORIENTED_EDGE('',*,*,#11525,.T.); +#11528=ORIENTED_EDGE('',*,*,#11527,.T.); +#11530=ORIENTED_EDGE('',*,*,#11529,.F.); +#11532=ORIENTED_EDGE('',*,*,#11531,.F.); +#11534=ORIENTED_EDGE('',*,*,#11533,.T.); +#11536=ORIENTED_EDGE('',*,*,#11535,.T.); +#11538=ORIENTED_EDGE('',*,*,#11537,.F.); +#11540=ORIENTED_EDGE('',*,*,#11539,.F.); +#11542=ORIENTED_EDGE('',*,*,#11541,.T.); +#11544=ORIENTED_EDGE('',*,*,#11543,.T.); +#11546=ORIENTED_EDGE('',*,*,#11545,.F.); +#11548=ORIENTED_EDGE('',*,*,#11547,.F.); +#11550=ORIENTED_EDGE('',*,*,#11549,.T.); +#11552=ORIENTED_EDGE('',*,*,#11551,.T.); +#11554=ORIENTED_EDGE('',*,*,#11553,.F.); +#11556=ORIENTED_EDGE('',*,*,#11555,.F.); +#11558=ORIENTED_EDGE('',*,*,#11557,.F.); +#11560=ORIENTED_EDGE('',*,*,#11559,.F.); +#11562=ORIENTED_EDGE('',*,*,#11561,.T.); +#11564=ORIENTED_EDGE('',*,*,#11563,.T.); +#11566=ORIENTED_EDGE('',*,*,#11565,.F.); +#11568=ORIENTED_EDGE('',*,*,#11567,.F.); +#11570=ORIENTED_EDGE('',*,*,#11569,.T.); +#11572=ORIENTED_EDGE('',*,*,#11571,.T.); +#11574=ORIENTED_EDGE('',*,*,#11573,.F.); +#11576=ORIENTED_EDGE('',*,*,#11575,.F.); +#11577=ORIENTED_EDGE('',*,*,#10718,.F.); +#11578=ORIENTED_EDGE('',*,*,#10866,.F.); +#11580=ORIENTED_EDGE('',*,*,#11579,.T.); +#11582=ORIENTED_EDGE('',*,*,#11581,.T.); +#11584=ORIENTED_EDGE('',*,*,#11583,.F.); +#11585=ORIENTED_EDGE('',*,*,#10854,.F.); +#11587=ORIENTED_EDGE('',*,*,#11586,.T.); +#11589=ORIENTED_EDGE('',*,*,#11588,.T.); +#11591=ORIENTED_EDGE('',*,*,#11590,.F.); +#11592=ORIENTED_EDGE('',*,*,#10842,.F.); +#11594=ORIENTED_EDGE('',*,*,#11593,.T.); +#11596=ORIENTED_EDGE('',*,*,#11595,.T.); +#11598=ORIENTED_EDGE('',*,*,#11597,.F.); +#11599=ORIENTED_EDGE('',*,*,#10830,.F.); +#11601=ORIENTED_EDGE('',*,*,#11600,.T.); +#11603=ORIENTED_EDGE('',*,*,#11602,.T.); +#11605=ORIENTED_EDGE('',*,*,#11604,.F.); +#11606=ORIENTED_EDGE('',*,*,#10818,.F.); +#11608=ORIENTED_EDGE('',*,*,#11607,.T.); +#11610=ORIENTED_EDGE('',*,*,#11609,.T.); +#11612=ORIENTED_EDGE('',*,*,#11611,.F.); +#11613=ORIENTED_EDGE('',*,*,#10806,.F.); +#11615=ORIENTED_EDGE('',*,*,#11614,.T.); +#11617=ORIENTED_EDGE('',*,*,#11616,.T.); +#11619=ORIENTED_EDGE('',*,*,#11618,.F.); +#11620=ORIENTED_EDGE('',*,*,#10794,.F.); +#11621=EDGE_LOOP('',(#11399,#11401,#11403,#11404,#11406,#11408,#11410,#11411, +#11413,#11415,#11417,#11418,#11420,#11422,#11424,#11425,#11427,#11429,#11431, +#11432,#11433,#11434,#11435,#11436,#11438,#11440,#11442,#11444,#11446,#11448, +#11450,#11452,#11454,#11456,#11458,#11460,#11462,#11464,#11466,#11468,#11470, +#11472,#11474,#11476,#11478,#11480,#11482,#11484,#11486,#11488,#11490,#11492, +#11494,#11496,#11498,#11500,#11502,#11504,#11506,#11508,#11510,#11512,#11514, +#11516,#11518,#11520,#11522,#11524,#11526,#11528,#11530,#11532,#11534,#11536, +#11538,#11540,#11542,#11544,#11546,#11548,#11550,#11552,#11554,#11556,#11558, +#11560,#11562,#11564,#11566,#11568,#11570,#11572,#11574,#11576,#11577,#11578, +#11580,#11582,#11584,#11585,#11587,#11589,#11591,#11592,#11594,#11596,#11598, +#11599,#11601,#11603,#11605,#11606,#11608,#11610,#11612,#11613,#11615,#11617, +#11619,#11620)); +#11622=FACE_OUTER_BOUND('',#11621,.F.); +#11624=ORIENTED_EDGE('',*,*,#11623,.T.); +#11626=ORIENTED_EDGE('',*,*,#11625,.T.); +#11627=EDGE_LOOP('',(#11624,#11626)); +#11628=FACE_BOUND('',#11627,.F.); +#11630=ORIENTED_EDGE('',*,*,#11629,.T.); +#11632=ORIENTED_EDGE('',*,*,#11631,.T.); +#11633=EDGE_LOOP('',(#11630,#11632)); +#11634=FACE_BOUND('',#11633,.F.); +#11636=ORIENTED_EDGE('',*,*,#11635,.T.); +#11638=ORIENTED_EDGE('',*,*,#11637,.T.); +#11639=EDGE_LOOP('',(#11636,#11638)); +#11640=FACE_BOUND('',#11639,.F.); +#11642=ORIENTED_EDGE('',*,*,#11641,.T.); +#11644=ORIENTED_EDGE('',*,*,#11643,.T.); +#11645=EDGE_LOOP('',(#11642,#11644)); +#11646=FACE_BOUND('',#11645,.F.); +#11648=ORIENTED_EDGE('',*,*,#11647,.T.); +#11650=ORIENTED_EDGE('',*,*,#11649,.T.); +#11651=EDGE_LOOP('',(#11648,#11650)); +#11652=FACE_BOUND('',#11651,.F.); +#11654=ORIENTED_EDGE('',*,*,#11653,.T.); +#11656=ORIENTED_EDGE('',*,*,#11655,.T.); +#11657=EDGE_LOOP('',(#11654,#11656)); +#11658=FACE_BOUND('',#11657,.F.); +#11660=ORIENTED_EDGE('',*,*,#11659,.T.); +#11662=ORIENTED_EDGE('',*,*,#11661,.T.); +#11663=EDGE_LOOP('',(#11660,#11662)); +#11664=FACE_BOUND('',#11663,.F.); +#11666=ORIENTED_EDGE('',*,*,#11665,.T.); +#11668=ORIENTED_EDGE('',*,*,#11667,.T.); +#11669=EDGE_LOOP('',(#11666,#11668)); +#11670=FACE_BOUND('',#11669,.F.); +#11672=ORIENTED_EDGE('',*,*,#11671,.T.); +#11674=ORIENTED_EDGE('',*,*,#11673,.T.); +#11675=EDGE_LOOP('',(#11672,#11674)); +#11676=FACE_BOUND('',#11675,.F.); +#11678=ORIENTED_EDGE('',*,*,#11677,.T.); +#11680=ORIENTED_EDGE('',*,*,#11679,.T.); +#11681=EDGE_LOOP('',(#11678,#11680)); +#11682=FACE_BOUND('',#11681,.F.); +#11684=ORIENTED_EDGE('',*,*,#11683,.T.); +#11686=ORIENTED_EDGE('',*,*,#11685,.T.); +#11687=EDGE_LOOP('',(#11684,#11686)); +#11688=FACE_BOUND('',#11687,.F.); +#11690=ORIENTED_EDGE('',*,*,#11689,.T.); +#11692=ORIENTED_EDGE('',*,*,#11691,.T.); +#11693=EDGE_LOOP('',(#11690,#11692)); +#11694=FACE_BOUND('',#11693,.F.); +#11696=ORIENTED_EDGE('',*,*,#11695,.T.); +#11698=ORIENTED_EDGE('',*,*,#11697,.T.); +#11699=EDGE_LOOP('',(#11696,#11698)); +#11700=FACE_BOUND('',#11699,.F.); +#11702=ORIENTED_EDGE('',*,*,#11701,.T.); +#11704=ORIENTED_EDGE('',*,*,#11703,.T.); +#11705=EDGE_LOOP('',(#11702,#11704)); +#11706=FACE_BOUND('',#11705,.F.); +#11708=ORIENTED_EDGE('',*,*,#11707,.T.); +#11710=ORIENTED_EDGE('',*,*,#11709,.T.); +#11711=EDGE_LOOP('',(#11708,#11710)); +#11712=FACE_BOUND('',#11711,.F.); +#11714=ORIENTED_EDGE('',*,*,#11713,.T.); +#11716=ORIENTED_EDGE('',*,*,#11715,.T.); +#11717=EDGE_LOOP('',(#11714,#11716)); +#11718=FACE_BOUND('',#11717,.F.); +#11720=ORIENTED_EDGE('',*,*,#11719,.T.); +#11722=ORIENTED_EDGE('',*,*,#11721,.T.); +#11723=EDGE_LOOP('',(#11720,#11722)); +#11724=FACE_BOUND('',#11723,.F.); +#11726=ORIENTED_EDGE('',*,*,#11725,.T.); +#11728=ORIENTED_EDGE('',*,*,#11727,.T.); +#11729=EDGE_LOOP('',(#11726,#11728)); +#11730=FACE_BOUND('',#11729,.F.); +#11732=ORIENTED_EDGE('',*,*,#11731,.T.); +#11734=ORIENTED_EDGE('',*,*,#11733,.T.); +#11735=EDGE_LOOP('',(#11732,#11734)); +#11736=FACE_BOUND('',#11735,.F.); +#11738=ORIENTED_EDGE('',*,*,#11737,.T.); +#11740=ORIENTED_EDGE('',*,*,#11739,.T.); +#11741=EDGE_LOOP('',(#11738,#11740)); +#11742=FACE_BOUND('',#11741,.F.); +#11744=ORIENTED_EDGE('',*,*,#11743,.T.); +#11746=ORIENTED_EDGE('',*,*,#11745,.T.); +#11747=EDGE_LOOP('',(#11744,#11746)); +#11748=FACE_BOUND('',#11747,.F.); +#11750=ORIENTED_EDGE('',*,*,#11749,.T.); +#11752=ORIENTED_EDGE('',*,*,#11751,.T.); +#11753=EDGE_LOOP('',(#11750,#11752)); +#11754=FACE_BOUND('',#11753,.F.); +#11756=ORIENTED_EDGE('',*,*,#11755,.T.); +#11758=ORIENTED_EDGE('',*,*,#11757,.T.); +#11759=EDGE_LOOP('',(#11756,#11758)); +#11760=FACE_BOUND('',#11759,.F.); +#11762=ORIENTED_EDGE('',*,*,#11761,.T.); +#11764=ORIENTED_EDGE('',*,*,#11763,.T.); +#11765=EDGE_LOOP('',(#11762,#11764)); +#11766=FACE_BOUND('',#11765,.F.); +#11768=ORIENTED_EDGE('',*,*,#11767,.T.); +#11770=ORIENTED_EDGE('',*,*,#11769,.T.); +#11771=EDGE_LOOP('',(#11768,#11770)); +#11772=FACE_BOUND('',#11771,.F.); +#11774=ORIENTED_EDGE('',*,*,#11773,.T.); +#11776=ORIENTED_EDGE('',*,*,#11775,.T.); +#11777=EDGE_LOOP('',(#11774,#11776)); +#11778=FACE_BOUND('',#11777,.F.); +#11780=ORIENTED_EDGE('',*,*,#11779,.T.); +#11782=ORIENTED_EDGE('',*,*,#11781,.T.); +#11783=EDGE_LOOP('',(#11780,#11782)); +#11784=FACE_BOUND('',#11783,.F.); +#11786=ORIENTED_EDGE('',*,*,#11785,.T.); +#11788=ORIENTED_EDGE('',*,*,#11787,.T.); +#11789=EDGE_LOOP('',(#11786,#11788)); +#11790=FACE_BOUND('',#11789,.F.); +#11792=ORIENTED_EDGE('',*,*,#11791,.T.); +#11794=ORIENTED_EDGE('',*,*,#11793,.T.); +#11795=EDGE_LOOP('',(#11792,#11794)); +#11796=FACE_BOUND('',#11795,.F.); +#11798=ORIENTED_EDGE('',*,*,#11797,.T.); +#11800=ORIENTED_EDGE('',*,*,#11799,.T.); +#11801=EDGE_LOOP('',(#11798,#11800)); +#11802=FACE_BOUND('',#11801,.F.); +#11804=ORIENTED_EDGE('',*,*,#11803,.T.); +#11806=ORIENTED_EDGE('',*,*,#11805,.T.); +#11807=EDGE_LOOP('',(#11804,#11806)); +#11808=FACE_BOUND('',#11807,.F.); +#11810=ORIENTED_EDGE('',*,*,#11809,.T.); +#11812=ORIENTED_EDGE('',*,*,#11811,.T.); +#11813=EDGE_LOOP('',(#11810,#11812)); +#11814=FACE_BOUND('',#11813,.F.); +#11816=ORIENTED_EDGE('',*,*,#11815,.T.); +#11818=ORIENTED_EDGE('',*,*,#11817,.T.); +#11819=EDGE_LOOP('',(#11816,#11818)); +#11820=FACE_BOUND('',#11819,.F.); +#11822=ORIENTED_EDGE('',*,*,#11821,.T.); +#11824=ORIENTED_EDGE('',*,*,#11823,.T.); +#11825=EDGE_LOOP('',(#11822,#11824)); +#11826=FACE_BOUND('',#11825,.F.); +#11828=ORIENTED_EDGE('',*,*,#11827,.T.); +#11830=ORIENTED_EDGE('',*,*,#11829,.T.); +#11831=EDGE_LOOP('',(#11828,#11830)); +#11832=FACE_BOUND('',#11831,.F.); +#11834=CARTESIAN_POINT('',(1.25E0,1.03E1,-2.E1)); +#11835=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11836=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11837=AXIS2_PLACEMENT_3D('',#11834,#11835,#11836); +#11838=PLANE('',#11837); +#11840=ORIENTED_EDGE('',*,*,#11839,.T.); +#11842=ORIENTED_EDGE('',*,*,#11841,.F.); +#11843=ORIENTED_EDGE('',*,*,#11398,.F.); +#11844=ORIENTED_EDGE('',*,*,#10792,.T.); +#11846=ORIENTED_EDGE('',*,*,#11845,.F.); +#11847=EDGE_LOOP('',(#11840,#11842,#11843,#11844,#11846)); +#11848=FACE_OUTER_BOUND('',#11847,.F.); +#11850=CARTESIAN_POINT('',(1.35E0,5.789556E1,-1.01E1)); +#11851=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11852=DIRECTION('',(0.E0,0.E0,1.E0)); +#11853=AXIS2_PLACEMENT_3D('',#11850,#11851,#11852); +#11854=CYLINDRICAL_SURFACE('',#11853,1.E-1); +#11855=ORIENTED_EDGE('',*,*,#11018,.T.); +#11857=ORIENTED_EDGE('',*,*,#11856,.T.); +#11858=ORIENTED_EDGE('',*,*,#11839,.F.); +#11860=ORIENTED_EDGE('',*,*,#11859,.T.); +#11861=EDGE_LOOP('',(#11855,#11857,#11858,#11860)); +#11862=FACE_OUTER_BOUND('',#11861,.F.); +#11864=CARTESIAN_POINT('',(1.75E0,9.1E0,-1.01E1)); +#11865=DIRECTION('',(0.E0,0.E0,1.E0)); +#11866=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#11867=AXIS2_PLACEMENT_3D('',#11864,#11865,#11866); +#11868=TOROIDAL_SURFACE('',#11867,4.E-1,1.E-1); +#11869=ORIENTED_EDGE('',*,*,#11016,.T.); +#11871=ORIENTED_EDGE('',*,*,#11870,.T.); +#11873=ORIENTED_EDGE('',*,*,#11872,.F.); +#11874=ORIENTED_EDGE('',*,*,#11856,.F.); +#11875=EDGE_LOOP('',(#11869,#11871,#11873,#11874)); +#11876=FACE_OUTER_BOUND('',#11875,.F.); +#11878=CARTESIAN_POINT('',(2.15E0,8.961468488237E0,-1.01E1)); +#11879=DIRECTION('',(0.E0,1.E0,0.E0)); +#11880=DIRECTION('',(0.E0,0.E0,1.E0)); +#11881=AXIS2_PLACEMENT_3D('',#11878,#11879,#11880); +#11882=CYLINDRICAL_SURFACE('',#11881,1.E-1); +#11884=ORIENTED_EDGE('',*,*,#11883,.F.); +#11885=ORIENTED_EDGE('',*,*,#11870,.F.); +#11886=ORIENTED_EDGE('',*,*,#11014,.T.); +#11888=ORIENTED_EDGE('',*,*,#11887,.T.); +#11889=EDGE_LOOP('',(#11884,#11885,#11886,#11888)); +#11890=FACE_OUTER_BOUND('',#11889,.F.); +#11892=CARTESIAN_POINT('',(2.25E0,9.1E0,-2.E1)); +#11893=DIRECTION('',(1.E0,0.E0,0.E0)); +#11894=DIRECTION('',(0.E0,1.E0,0.E0)); +#11895=AXIS2_PLACEMENT_3D('',#11892,#11893,#11894); +#11896=PLANE('',#11895); +#11897=ORIENTED_EDGE('',*,*,#11883,.T.); +#11899=ORIENTED_EDGE('',*,*,#11898,.T.); +#11900=ORIENTED_EDGE('',*,*,#10784,.F.); +#11901=ORIENTED_EDGE('',*,*,#11402,.T.); +#11903=ORIENTED_EDGE('',*,*,#11902,.T.); +#11904=EDGE_LOOP('',(#11897,#11899,#11900,#11901,#11903)); +#11905=FACE_OUTER_BOUND('',#11904,.F.); +#11907=CARTESIAN_POINT('',(2.15E0,1.02E1,-9.9E0)); +#11908=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11909=DIRECTION('',(-4.662936703426E-14,-5.485369585398E-1, +-8.361263093074E-1)); +#11910=AXIS2_PLACEMENT_3D('',#11907,#11908,#11909); +#11911=TOROIDAL_SURFACE('',#11910,2.E-1,1.E-1); +#11912=ORIENTED_EDGE('',*,*,#11898,.F.); +#11913=ORIENTED_EDGE('',*,*,#11887,.F.); +#11915=ORIENTED_EDGE('',*,*,#11914,.F.); +#11916=ORIENTED_EDGE('',*,*,#10786,.F.); +#11917=EDGE_LOOP('',(#11912,#11913,#11915,#11916)); +#11918=FACE_OUTER_BOUND('',#11917,.F.); +#11920=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#11921=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11922=DIRECTION('',(0.E0,1.E0,0.E0)); +#11923=AXIS2_PLACEMENT_3D('',#11920,#11921,#11922); +#11924=CYLINDRICAL_SURFACE('',#11923,1.E-1); +#11925=ORIENTED_EDGE('',*,*,#10788,.F.); +#11926=ORIENTED_EDGE('',*,*,#11914,.T.); +#11927=ORIENTED_EDGE('',*,*,#11012,.T.); +#11929=ORIENTED_EDGE('',*,*,#11928,.T.); +#11930=EDGE_LOOP('',(#11925,#11926,#11927,#11929)); +#11931=FACE_OUTER_BOUND('',#11930,.F.); +#11933=CARTESIAN_POINT('',(1.35E0,1.02E1,-9.9E0)); +#11934=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11935=DIRECTION('',(-2.331468351713E-14,-7.564028598729E-1, +-6.541060415376E-1)); +#11936=AXIS2_PLACEMENT_3D('',#11933,#11934,#11935); +#11937=TOROIDAL_SURFACE('',#11936,2.E-1,1.E-1); +#11938=ORIENTED_EDGE('',*,*,#11845,.T.); +#11939=ORIENTED_EDGE('',*,*,#10790,.F.); +#11940=ORIENTED_EDGE('',*,*,#11928,.F.); +#11941=ORIENTED_EDGE('',*,*,#11859,.F.); +#11942=EDGE_LOOP('',(#11938,#11939,#11940,#11941)); +#11943=FACE_OUTER_BOUND('',#11942,.F.); +#11945=CARTESIAN_POINT('',(1.75E0,9.1E0,-2.E1)); +#11946=DIRECTION('',(0.E0,0.E0,1.E0)); +#11947=DIRECTION('',(1.E0,0.E0,0.E0)); +#11948=AXIS2_PLACEMENT_3D('',#11945,#11946,#11947); +#11949=CYLINDRICAL_SURFACE('',#11948,5.E-1); +#11950=ORIENTED_EDGE('',*,*,#11872,.T.); +#11951=ORIENTED_EDGE('',*,*,#11902,.F.); +#11952=ORIENTED_EDGE('',*,*,#11400,.F.); +#11953=ORIENTED_EDGE('',*,*,#11841,.T.); +#11954=EDGE_LOOP('',(#11950,#11951,#11952,#11953)); +#11955=FACE_OUTER_BOUND('',#11954,.F.); +#11957=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#11958=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11959=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11960=AXIS2_PLACEMENT_3D('',#11957,#11958,#11959); +#11961=PLANE('',#11960); +#11963=ORIENTED_EDGE('',*,*,#11962,.T.); +#11965=ORIENTED_EDGE('',*,*,#11964,.F.); +#11966=ORIENTED_EDGE('',*,*,#11405,.F.); +#11967=ORIENTED_EDGE('',*,*,#10780,.T.); +#11969=ORIENTED_EDGE('',*,*,#11968,.F.); +#11970=EDGE_LOOP('',(#11963,#11965,#11966,#11967,#11969)); +#11971=FACE_OUTER_BOUND('',#11970,.F.); +#11973=CARTESIAN_POINT('',(4.85E0,5.789556E1,-1.01E1)); +#11974=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11975=DIRECTION('',(0.E0,0.E0,1.E0)); +#11976=AXIS2_PLACEMENT_3D('',#11973,#11974,#11975); +#11977=CYLINDRICAL_SURFACE('',#11976,1.E-1); +#11978=ORIENTED_EDGE('',*,*,#11002,.T.); +#11980=ORIENTED_EDGE('',*,*,#11979,.T.); +#11981=ORIENTED_EDGE('',*,*,#11962,.F.); +#11983=ORIENTED_EDGE('',*,*,#11982,.T.); +#11984=EDGE_LOOP('',(#11978,#11980,#11981,#11983)); +#11985=FACE_OUTER_BOUND('',#11984,.F.); +#11987=CARTESIAN_POINT('',(5.25E0,9.1E0,-1.01E1)); +#11988=DIRECTION('',(0.E0,0.E0,1.E0)); +#11989=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#11990=AXIS2_PLACEMENT_3D('',#11987,#11988,#11989); +#11991=TOROIDAL_SURFACE('',#11990,4.E-1,1.E-1); +#11992=ORIENTED_EDGE('',*,*,#11000,.T.); +#11994=ORIENTED_EDGE('',*,*,#11993,.T.); +#11996=ORIENTED_EDGE('',*,*,#11995,.F.); +#11997=ORIENTED_EDGE('',*,*,#11979,.F.); +#11998=EDGE_LOOP('',(#11992,#11994,#11996,#11997)); +#11999=FACE_OUTER_BOUND('',#11998,.F.); +#12001=CARTESIAN_POINT('',(5.65E0,8.961468488237E0,-1.01E1)); +#12002=DIRECTION('',(0.E0,1.E0,0.E0)); +#12003=DIRECTION('',(0.E0,0.E0,1.E0)); +#12004=AXIS2_PLACEMENT_3D('',#12001,#12002,#12003); +#12005=CYLINDRICAL_SURFACE('',#12004,1.E-1); +#12007=ORIENTED_EDGE('',*,*,#12006,.F.); +#12008=ORIENTED_EDGE('',*,*,#11993,.F.); +#12009=ORIENTED_EDGE('',*,*,#10998,.T.); +#12011=ORIENTED_EDGE('',*,*,#12010,.T.); +#12012=EDGE_LOOP('',(#12007,#12008,#12009,#12011)); +#12013=FACE_OUTER_BOUND('',#12012,.F.); +#12015=CARTESIAN_POINT('',(5.75E0,9.1E0,-2.E1)); +#12016=DIRECTION('',(1.E0,0.E0,0.E0)); +#12017=DIRECTION('',(0.E0,1.E0,0.E0)); +#12018=AXIS2_PLACEMENT_3D('',#12015,#12016,#12017); +#12019=PLANE('',#12018); +#12020=ORIENTED_EDGE('',*,*,#12006,.T.); +#12022=ORIENTED_EDGE('',*,*,#12021,.T.); +#12023=ORIENTED_EDGE('',*,*,#10772,.F.); +#12024=ORIENTED_EDGE('',*,*,#11409,.T.); +#12026=ORIENTED_EDGE('',*,*,#12025,.T.); +#12027=EDGE_LOOP('',(#12020,#12022,#12023,#12024,#12026)); +#12028=FACE_OUTER_BOUND('',#12027,.F.); +#12030=CARTESIAN_POINT('',(5.65E0,1.02E1,-9.9E0)); +#12031=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12032=DIRECTION('',(4.440892098501E-14,-5.485369585398E-1,-8.361263093074E-1)); +#12033=AXIS2_PLACEMENT_3D('',#12030,#12031,#12032); +#12034=TOROIDAL_SURFACE('',#12033,2.E-1,1.E-1); +#12035=ORIENTED_EDGE('',*,*,#12021,.F.); +#12036=ORIENTED_EDGE('',*,*,#12010,.F.); +#12038=ORIENTED_EDGE('',*,*,#12037,.F.); +#12039=ORIENTED_EDGE('',*,*,#10774,.F.); +#12040=EDGE_LOOP('',(#12035,#12036,#12038,#12039)); +#12041=FACE_OUTER_BOUND('',#12040,.F.); +#12043=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#12044=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12045=DIRECTION('',(0.E0,1.E0,0.E0)); +#12046=AXIS2_PLACEMENT_3D('',#12043,#12044,#12045); +#12047=CYLINDRICAL_SURFACE('',#12046,1.E-1); +#12048=ORIENTED_EDGE('',*,*,#10776,.F.); +#12049=ORIENTED_EDGE('',*,*,#12037,.T.); +#12050=ORIENTED_EDGE('',*,*,#10996,.T.); +#12052=ORIENTED_EDGE('',*,*,#12051,.T.); +#12053=EDGE_LOOP('',(#12048,#12049,#12050,#12052)); +#12054=FACE_OUTER_BOUND('',#12053,.F.); +#12056=CARTESIAN_POINT('',(4.85E0,1.02E1,-9.9E0)); +#12057=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12058=DIRECTION('',(5.773159728051E-14,-7.564028598729E-1,-6.541060415376E-1)); +#12059=AXIS2_PLACEMENT_3D('',#12056,#12057,#12058); +#12060=TOROIDAL_SURFACE('',#12059,2.E-1,1.E-1); +#12061=ORIENTED_EDGE('',*,*,#11968,.T.); +#12062=ORIENTED_EDGE('',*,*,#10778,.F.); +#12063=ORIENTED_EDGE('',*,*,#12051,.F.); +#12064=ORIENTED_EDGE('',*,*,#11982,.F.); +#12065=EDGE_LOOP('',(#12061,#12062,#12063,#12064)); +#12066=FACE_OUTER_BOUND('',#12065,.F.); +#12068=CARTESIAN_POINT('',(5.25E0,9.1E0,-2.E1)); +#12069=DIRECTION('',(0.E0,0.E0,1.E0)); +#12070=DIRECTION('',(1.E0,0.E0,0.E0)); +#12071=AXIS2_PLACEMENT_3D('',#12068,#12069,#12070); +#12072=CYLINDRICAL_SURFACE('',#12071,5.E-1); +#12073=ORIENTED_EDGE('',*,*,#11995,.T.); +#12074=ORIENTED_EDGE('',*,*,#12025,.F.); +#12075=ORIENTED_EDGE('',*,*,#11407,.F.); +#12076=ORIENTED_EDGE('',*,*,#11964,.T.); +#12077=EDGE_LOOP('',(#12073,#12074,#12075,#12076)); +#12078=FACE_OUTER_BOUND('',#12077,.F.); +#12080=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#12081=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12082=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12083=AXIS2_PLACEMENT_3D('',#12080,#12081,#12082); +#12084=PLANE('',#12083); +#12086=ORIENTED_EDGE('',*,*,#12085,.T.); +#12088=ORIENTED_EDGE('',*,*,#12087,.F.); +#12089=ORIENTED_EDGE('',*,*,#11412,.F.); +#12090=ORIENTED_EDGE('',*,*,#10768,.T.); +#12092=ORIENTED_EDGE('',*,*,#12091,.F.); +#12093=EDGE_LOOP('',(#12086,#12088,#12089,#12090,#12092)); +#12094=FACE_OUTER_BOUND('',#12093,.F.); +#12096=CARTESIAN_POINT('',(8.35E0,5.789556E1,-1.01E1)); +#12097=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12098=DIRECTION('',(0.E0,0.E0,1.E0)); +#12099=AXIS2_PLACEMENT_3D('',#12096,#12097,#12098); +#12100=CYLINDRICAL_SURFACE('',#12099,1.E-1); +#12101=ORIENTED_EDGE('',*,*,#10986,.T.); +#12103=ORIENTED_EDGE('',*,*,#12102,.T.); +#12104=ORIENTED_EDGE('',*,*,#12085,.F.); +#12106=ORIENTED_EDGE('',*,*,#12105,.T.); +#12107=EDGE_LOOP('',(#12101,#12103,#12104,#12106)); +#12108=FACE_OUTER_BOUND('',#12107,.F.); +#12110=CARTESIAN_POINT('',(8.75E0,9.1E0,-1.01E1)); +#12111=DIRECTION('',(0.E0,0.E0,1.E0)); +#12112=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#12113=AXIS2_PLACEMENT_3D('',#12110,#12111,#12112); +#12114=TOROIDAL_SURFACE('',#12113,4.E-1,1.E-1); +#12115=ORIENTED_EDGE('',*,*,#10984,.T.); +#12117=ORIENTED_EDGE('',*,*,#12116,.T.); +#12119=ORIENTED_EDGE('',*,*,#12118,.F.); +#12120=ORIENTED_EDGE('',*,*,#12102,.F.); +#12121=EDGE_LOOP('',(#12115,#12117,#12119,#12120)); +#12122=FACE_OUTER_BOUND('',#12121,.F.); +#12124=CARTESIAN_POINT('',(9.15E0,8.961468488237E0,-1.01E1)); +#12125=DIRECTION('',(0.E0,1.E0,0.E0)); +#12126=DIRECTION('',(0.E0,0.E0,1.E0)); +#12127=AXIS2_PLACEMENT_3D('',#12124,#12125,#12126); +#12128=CYLINDRICAL_SURFACE('',#12127,1.E-1); +#12130=ORIENTED_EDGE('',*,*,#12129,.F.); +#12131=ORIENTED_EDGE('',*,*,#12116,.F.); +#12132=ORIENTED_EDGE('',*,*,#10982,.T.); +#12134=ORIENTED_EDGE('',*,*,#12133,.T.); +#12135=EDGE_LOOP('',(#12130,#12131,#12132,#12134)); +#12136=FACE_OUTER_BOUND('',#12135,.F.); +#12138=CARTESIAN_POINT('',(9.25E0,9.1E0,-2.E1)); +#12139=DIRECTION('',(1.E0,0.E0,0.E0)); +#12140=DIRECTION('',(0.E0,1.E0,0.E0)); +#12141=AXIS2_PLACEMENT_3D('',#12138,#12139,#12140); +#12142=PLANE('',#12141); +#12143=ORIENTED_EDGE('',*,*,#12129,.T.); +#12145=ORIENTED_EDGE('',*,*,#12144,.T.); +#12146=ORIENTED_EDGE('',*,*,#10760,.F.); +#12147=ORIENTED_EDGE('',*,*,#11416,.T.); +#12149=ORIENTED_EDGE('',*,*,#12148,.T.); +#12150=EDGE_LOOP('',(#12143,#12145,#12146,#12147,#12149)); +#12151=FACE_OUTER_BOUND('',#12150,.F.); +#12153=CARTESIAN_POINT('',(9.15E0,1.02E1,-9.9E0)); +#12154=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12155=DIRECTION('',(0.E0,-5.485369585398E-1,-8.361263093074E-1)); +#12156=AXIS2_PLACEMENT_3D('',#12153,#12154,#12155); +#12157=TOROIDAL_SURFACE('',#12156,2.E-1,1.E-1); +#12158=ORIENTED_EDGE('',*,*,#12144,.F.); +#12159=ORIENTED_EDGE('',*,*,#12133,.F.); +#12161=ORIENTED_EDGE('',*,*,#12160,.F.); +#12162=ORIENTED_EDGE('',*,*,#10762,.F.); +#12163=EDGE_LOOP('',(#12158,#12159,#12161,#12162)); +#12164=FACE_OUTER_BOUND('',#12163,.F.); +#12166=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#12167=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12168=DIRECTION('',(0.E0,1.E0,0.E0)); +#12169=AXIS2_PLACEMENT_3D('',#12166,#12167,#12168); +#12170=CYLINDRICAL_SURFACE('',#12169,1.E-1); +#12171=ORIENTED_EDGE('',*,*,#10764,.F.); +#12172=ORIENTED_EDGE('',*,*,#12160,.T.); +#12173=ORIENTED_EDGE('',*,*,#10980,.T.); +#12175=ORIENTED_EDGE('',*,*,#12174,.T.); +#12176=EDGE_LOOP('',(#12171,#12172,#12173,#12175)); +#12177=FACE_OUTER_BOUND('',#12176,.F.); +#12179=CARTESIAN_POINT('',(8.35E0,1.02E1,-9.9E0)); +#12180=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12181=DIRECTION('',(0.E0,-7.564028598729E-1,-6.541060415376E-1)); +#12182=AXIS2_PLACEMENT_3D('',#12179,#12180,#12181); +#12183=TOROIDAL_SURFACE('',#12182,2.E-1,1.E-1); +#12184=ORIENTED_EDGE('',*,*,#12091,.T.); +#12185=ORIENTED_EDGE('',*,*,#10766,.F.); +#12186=ORIENTED_EDGE('',*,*,#12174,.F.); +#12187=ORIENTED_EDGE('',*,*,#12105,.F.); +#12188=EDGE_LOOP('',(#12184,#12185,#12186,#12187)); +#12189=FACE_OUTER_BOUND('',#12188,.F.); +#12191=CARTESIAN_POINT('',(8.75E0,9.1E0,-2.E1)); +#12192=DIRECTION('',(0.E0,0.E0,1.E0)); +#12193=DIRECTION('',(1.E0,0.E0,0.E0)); +#12194=AXIS2_PLACEMENT_3D('',#12191,#12192,#12193); +#12195=CYLINDRICAL_SURFACE('',#12194,5.E-1); +#12196=ORIENTED_EDGE('',*,*,#12118,.T.); +#12197=ORIENTED_EDGE('',*,*,#12148,.F.); +#12198=ORIENTED_EDGE('',*,*,#11414,.F.); +#12199=ORIENTED_EDGE('',*,*,#12087,.T.); +#12200=EDGE_LOOP('',(#12196,#12197,#12198,#12199)); +#12201=FACE_OUTER_BOUND('',#12200,.F.); +#12203=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#12204=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12205=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12206=AXIS2_PLACEMENT_3D('',#12203,#12204,#12205); +#12207=PLANE('',#12206); +#12209=ORIENTED_EDGE('',*,*,#12208,.T.); +#12211=ORIENTED_EDGE('',*,*,#12210,.F.); +#12212=ORIENTED_EDGE('',*,*,#11419,.F.); +#12213=ORIENTED_EDGE('',*,*,#10756,.T.); +#12215=ORIENTED_EDGE('',*,*,#12214,.F.); +#12216=EDGE_LOOP('',(#12209,#12211,#12212,#12213,#12215)); +#12217=FACE_OUTER_BOUND('',#12216,.F.); +#12219=CARTESIAN_POINT('',(1.185E1,5.789556E1,-1.01E1)); +#12220=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12221=DIRECTION('',(0.E0,0.E0,1.E0)); +#12222=AXIS2_PLACEMENT_3D('',#12219,#12220,#12221); +#12223=CYLINDRICAL_SURFACE('',#12222,1.E-1); +#12224=ORIENTED_EDGE('',*,*,#10970,.T.); +#12226=ORIENTED_EDGE('',*,*,#12225,.T.); +#12227=ORIENTED_EDGE('',*,*,#12208,.F.); +#12229=ORIENTED_EDGE('',*,*,#12228,.T.); +#12230=EDGE_LOOP('',(#12224,#12226,#12227,#12229)); +#12231=FACE_OUTER_BOUND('',#12230,.F.); +#12233=CARTESIAN_POINT('',(1.225E1,9.1E0,-1.01E1)); +#12234=DIRECTION('',(0.E0,0.E0,1.E0)); +#12235=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#12236=AXIS2_PLACEMENT_3D('',#12233,#12234,#12235); +#12237=TOROIDAL_SURFACE('',#12236,4.E-1,1.E-1); +#12238=ORIENTED_EDGE('',*,*,#10968,.T.); +#12240=ORIENTED_EDGE('',*,*,#12239,.T.); +#12242=ORIENTED_EDGE('',*,*,#12241,.F.); +#12243=ORIENTED_EDGE('',*,*,#12225,.F.); +#12244=EDGE_LOOP('',(#12238,#12240,#12242,#12243)); +#12245=FACE_OUTER_BOUND('',#12244,.F.); +#12247=CARTESIAN_POINT('',(1.265E1,8.961468488237E0,-1.01E1)); +#12248=DIRECTION('',(0.E0,1.E0,0.E0)); +#12249=DIRECTION('',(0.E0,0.E0,1.E0)); +#12250=AXIS2_PLACEMENT_3D('',#12247,#12248,#12249); +#12251=CYLINDRICAL_SURFACE('',#12250,1.E-1); +#12253=ORIENTED_EDGE('',*,*,#12252,.F.); +#12254=ORIENTED_EDGE('',*,*,#12239,.F.); +#12255=ORIENTED_EDGE('',*,*,#10966,.T.); +#12257=ORIENTED_EDGE('',*,*,#12256,.T.); +#12258=EDGE_LOOP('',(#12253,#12254,#12255,#12257)); +#12259=FACE_OUTER_BOUND('',#12258,.F.); +#12261=CARTESIAN_POINT('',(1.275E1,9.1E0,-2.E1)); +#12262=DIRECTION('',(1.E0,0.E0,0.E0)); +#12263=DIRECTION('',(0.E0,1.E0,0.E0)); +#12264=AXIS2_PLACEMENT_3D('',#12261,#12262,#12263); +#12265=PLANE('',#12264); +#12266=ORIENTED_EDGE('',*,*,#12252,.T.); +#12268=ORIENTED_EDGE('',*,*,#12267,.T.); +#12269=ORIENTED_EDGE('',*,*,#10748,.F.); +#12270=ORIENTED_EDGE('',*,*,#11423,.T.); +#12272=ORIENTED_EDGE('',*,*,#12271,.T.); +#12273=EDGE_LOOP('',(#12266,#12268,#12269,#12270,#12272)); +#12274=FACE_OUTER_BOUND('',#12273,.F.); +#12276=CARTESIAN_POINT('',(1.265E1,1.02E1,-9.9E0)); +#12277=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12278=DIRECTION('',(-1.776356839400E-14,-5.485369585398E-1, +-8.361263093074E-1)); +#12279=AXIS2_PLACEMENT_3D('',#12276,#12277,#12278); +#12280=TOROIDAL_SURFACE('',#12279,2.E-1,1.E-1); +#12281=ORIENTED_EDGE('',*,*,#12267,.F.); +#12282=ORIENTED_EDGE('',*,*,#12256,.F.); +#12284=ORIENTED_EDGE('',*,*,#12283,.F.); +#12285=ORIENTED_EDGE('',*,*,#10750,.F.); +#12286=EDGE_LOOP('',(#12281,#12282,#12284,#12285)); +#12287=FACE_OUTER_BOUND('',#12286,.F.); +#12289=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#12290=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12291=DIRECTION('',(0.E0,1.E0,0.E0)); +#12292=AXIS2_PLACEMENT_3D('',#12289,#12290,#12291); +#12293=CYLINDRICAL_SURFACE('',#12292,1.E-1); +#12294=ORIENTED_EDGE('',*,*,#10752,.F.); +#12295=ORIENTED_EDGE('',*,*,#12283,.T.); +#12296=ORIENTED_EDGE('',*,*,#10964,.T.); +#12298=ORIENTED_EDGE('',*,*,#12297,.T.); +#12299=EDGE_LOOP('',(#12294,#12295,#12296,#12298)); +#12300=FACE_OUTER_BOUND('',#12299,.F.); +#12302=CARTESIAN_POINT('',(1.185E1,1.02E1,-9.9E0)); +#12303=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12304=DIRECTION('',(-3.552713678801E-14,-7.564028598729E-1, +-6.541060415376E-1)); +#12305=AXIS2_PLACEMENT_3D('',#12302,#12303,#12304); +#12306=TOROIDAL_SURFACE('',#12305,2.E-1,1.E-1); +#12307=ORIENTED_EDGE('',*,*,#12214,.T.); +#12308=ORIENTED_EDGE('',*,*,#10754,.F.); +#12309=ORIENTED_EDGE('',*,*,#12297,.F.); +#12310=ORIENTED_EDGE('',*,*,#12228,.F.); +#12311=EDGE_LOOP('',(#12307,#12308,#12309,#12310)); +#12312=FACE_OUTER_BOUND('',#12311,.F.); +#12314=CARTESIAN_POINT('',(1.225E1,9.1E0,-2.E1)); +#12315=DIRECTION('',(0.E0,0.E0,1.E0)); +#12316=DIRECTION('',(1.E0,0.E0,0.E0)); +#12317=AXIS2_PLACEMENT_3D('',#12314,#12315,#12316); +#12318=CYLINDRICAL_SURFACE('',#12317,5.E-1); +#12319=ORIENTED_EDGE('',*,*,#12241,.T.); +#12320=ORIENTED_EDGE('',*,*,#12271,.F.); +#12321=ORIENTED_EDGE('',*,*,#11421,.F.); +#12322=ORIENTED_EDGE('',*,*,#12210,.T.); +#12323=EDGE_LOOP('',(#12319,#12320,#12321,#12322)); +#12324=FACE_OUTER_BOUND('',#12323,.F.); +#12326=CARTESIAN_POINT('',(1.525E1,1.03E1,-2.E1)); +#12327=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12328=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12329=AXIS2_PLACEMENT_3D('',#12326,#12327,#12328); +#12330=PLANE('',#12329); +#12332=ORIENTED_EDGE('',*,*,#12331,.T.); +#12334=ORIENTED_EDGE('',*,*,#12333,.F.); +#12335=ORIENTED_EDGE('',*,*,#11426,.F.); +#12336=ORIENTED_EDGE('',*,*,#10744,.T.); +#12338=ORIENTED_EDGE('',*,*,#12337,.F.); +#12339=EDGE_LOOP('',(#12332,#12334,#12335,#12336,#12338)); +#12340=FACE_OUTER_BOUND('',#12339,.F.); +#12342=CARTESIAN_POINT('',(1.535E1,5.789556E1,-1.01E1)); +#12343=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12344=DIRECTION('',(0.E0,0.E0,1.E0)); +#12345=AXIS2_PLACEMENT_3D('',#12342,#12343,#12344); +#12346=CYLINDRICAL_SURFACE('',#12345,1.E-1); +#12347=ORIENTED_EDGE('',*,*,#10948,.T.); +#12349=ORIENTED_EDGE('',*,*,#12348,.T.); +#12350=ORIENTED_EDGE('',*,*,#12331,.F.); +#12352=ORIENTED_EDGE('',*,*,#12351,.T.); +#12353=EDGE_LOOP('',(#12347,#12349,#12350,#12352)); +#12354=FACE_OUTER_BOUND('',#12353,.F.); +#12356=CARTESIAN_POINT('',(1.575E1,9.1E0,-1.01E1)); +#12357=DIRECTION('',(0.E0,0.E0,1.E0)); +#12358=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#12359=AXIS2_PLACEMENT_3D('',#12356,#12357,#12358); +#12360=TOROIDAL_SURFACE('',#12359,4.E-1,1.E-1); +#12361=ORIENTED_EDGE('',*,*,#10954,.T.); +#12363=ORIENTED_EDGE('',*,*,#12362,.T.); +#12365=ORIENTED_EDGE('',*,*,#12364,.F.); +#12366=ORIENTED_EDGE('',*,*,#12348,.F.); +#12367=EDGE_LOOP('',(#12361,#12363,#12365,#12366)); +#12368=FACE_OUTER_BOUND('',#12367,.F.); +#12370=CARTESIAN_POINT('',(1.615E1,8.961468488237E0,-1.01E1)); +#12371=DIRECTION('',(0.E0,1.E0,0.E0)); +#12372=DIRECTION('',(0.E0,0.E0,1.E0)); +#12373=AXIS2_PLACEMENT_3D('',#12370,#12371,#12372); +#12374=CYLINDRICAL_SURFACE('',#12373,1.E-1); +#12376=ORIENTED_EDGE('',*,*,#12375,.F.); +#12377=ORIENTED_EDGE('',*,*,#12362,.F.); +#12378=ORIENTED_EDGE('',*,*,#10952,.T.); +#12380=ORIENTED_EDGE('',*,*,#12379,.T.); +#12381=EDGE_LOOP('',(#12376,#12377,#12378,#12380)); +#12382=FACE_OUTER_BOUND('',#12381,.F.); +#12384=CARTESIAN_POINT('',(1.625E1,9.1E0,-2.E1)); +#12385=DIRECTION('',(1.E0,0.E0,0.E0)); +#12386=DIRECTION('',(0.E0,1.E0,0.E0)); +#12387=AXIS2_PLACEMENT_3D('',#12384,#12385,#12386); +#12388=PLANE('',#12387); +#12389=ORIENTED_EDGE('',*,*,#12375,.T.); +#12391=ORIENTED_EDGE('',*,*,#12390,.T.); +#12392=ORIENTED_EDGE('',*,*,#10736,.F.); +#12393=ORIENTED_EDGE('',*,*,#11430,.T.); +#12395=ORIENTED_EDGE('',*,*,#12394,.T.); +#12396=EDGE_LOOP('',(#12389,#12391,#12392,#12393,#12395)); +#12397=FACE_OUTER_BOUND('',#12396,.F.); +#12399=CARTESIAN_POINT('',(1.615E1,1.02E1,-9.9E0)); +#12400=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12401=DIRECTION('',(-3.552713678801E-14,-5.485369585398E-1, +-8.361263093074E-1)); +#12402=AXIS2_PLACEMENT_3D('',#12399,#12400,#12401); +#12403=TOROIDAL_SURFACE('',#12402,2.E-1,1.E-1); +#12404=ORIENTED_EDGE('',*,*,#12390,.F.); +#12405=ORIENTED_EDGE('',*,*,#12379,.F.); +#12407=ORIENTED_EDGE('',*,*,#12406,.F.); +#12408=ORIENTED_EDGE('',*,*,#10738,.F.); +#12409=EDGE_LOOP('',(#12404,#12405,#12407,#12408)); +#12410=FACE_OUTER_BOUND('',#12409,.F.); +#12412=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#12413=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12414=DIRECTION('',(0.E0,1.E0,0.E0)); +#12415=AXIS2_PLACEMENT_3D('',#12412,#12413,#12414); +#12416=CYLINDRICAL_SURFACE('',#12415,1.E-1); +#12417=ORIENTED_EDGE('',*,*,#10740,.F.); +#12418=ORIENTED_EDGE('',*,*,#12406,.T.); +#12419=ORIENTED_EDGE('',*,*,#10950,.T.); +#12421=ORIENTED_EDGE('',*,*,#12420,.T.); +#12422=EDGE_LOOP('',(#12417,#12418,#12419,#12421)); +#12423=FACE_OUTER_BOUND('',#12422,.F.); +#12425=CARTESIAN_POINT('',(1.535E1,1.02E1,-9.9E0)); +#12426=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12427=DIRECTION('',(2.664535259100E-14,-7.564028598729E-1,-6.541060415376E-1)); +#12428=AXIS2_PLACEMENT_3D('',#12425,#12426,#12427); +#12429=TOROIDAL_SURFACE('',#12428,2.E-1,1.E-1); +#12430=ORIENTED_EDGE('',*,*,#12337,.T.); +#12431=ORIENTED_EDGE('',*,*,#10742,.F.); +#12432=ORIENTED_EDGE('',*,*,#12420,.F.); +#12433=ORIENTED_EDGE('',*,*,#12351,.F.); +#12434=EDGE_LOOP('',(#12430,#12431,#12432,#12433)); +#12435=FACE_OUTER_BOUND('',#12434,.F.); +#12437=CARTESIAN_POINT('',(1.575E1,9.1E0,-2.E1)); +#12438=DIRECTION('',(0.E0,0.E0,1.E0)); +#12439=DIRECTION('',(1.E0,0.E0,0.E0)); +#12440=AXIS2_PLACEMENT_3D('',#12437,#12438,#12439); +#12441=CYLINDRICAL_SURFACE('',#12440,5.E-1); +#12442=ORIENTED_EDGE('',*,*,#12364,.T.); +#12443=ORIENTED_EDGE('',*,*,#12394,.F.); +#12444=ORIENTED_EDGE('',*,*,#11428,.F.); +#12445=ORIENTED_EDGE('',*,*,#12333,.T.); +#12446=EDGE_LOOP('',(#12442,#12443,#12444,#12445)); +#12447=FACE_OUTER_BOUND('',#12446,.F.); +#12449=CARTESIAN_POINT('',(2.35E1,5.6E0,0.E0)); +#12450=DIRECTION('',(0.E0,0.E0,1.E0)); +#12451=DIRECTION('',(1.E0,0.E0,0.E0)); +#12452=AXIS2_PLACEMENT_3D('',#12449,#12450,#12451); +#12453=CYLINDRICAL_SURFACE('',#12452,4.7E0); +#12454=ORIENTED_EDGE('',*,*,#10621,.F.); +#12456=ORIENTED_EDGE('',*,*,#12455,.T.); +#12457=ORIENTED_EDGE('',*,*,#11437,.T.); +#12458=ORIENTED_EDGE('',*,*,#10870,.F.); +#12459=EDGE_LOOP('',(#12454,#12456,#12457,#12458)); +#12460=FACE_OUTER_BOUND('',#12459,.F.); +#12462=CARTESIAN_POINT('',(2.82E1,-5.6E0,0.E0)); +#12463=DIRECTION('',(1.E0,0.E0,0.E0)); +#12464=DIRECTION('',(0.E0,1.E0,0.E0)); +#12465=AXIS2_PLACEMENT_3D('',#12462,#12463,#12464); +#12466=PLANE('',#12465); +#12468=ORIENTED_EDGE('',*,*,#12467,.T.); +#12470=ORIENTED_EDGE('',*,*,#12469,.F.); +#12472=ORIENTED_EDGE('',*,*,#12471,.T.); +#12474=ORIENTED_EDGE('',*,*,#12473,.F.); +#12476=ORIENTED_EDGE('',*,*,#12475,.F.); +#12477=ORIENTED_EDGE('',*,*,#11447,.T.); +#12479=ORIENTED_EDGE('',*,*,#12478,.T.); +#12481=ORIENTED_EDGE('',*,*,#12480,.T.); +#12483=ORIENTED_EDGE('',*,*,#12482,.T.); +#12485=ORIENTED_EDGE('',*,*,#12484,.T.); +#12487=ORIENTED_EDGE('',*,*,#12486,.F.); +#12488=ORIENTED_EDGE('',*,*,#11439,.T.); +#12489=ORIENTED_EDGE('',*,*,#12455,.F.); +#12490=ORIENTED_EDGE('',*,*,#10635,.F.); +#12492=ORIENTED_EDGE('',*,*,#12491,.T.); +#12493=ORIENTED_EDGE('',*,*,#11455,.T.); +#12494=EDGE_LOOP('',(#12468,#12470,#12472,#12474,#12476,#12477,#12479,#12481, +#12483,#12485,#12487,#12488,#12489,#12490,#12492,#12493)); +#12495=FACE_OUTER_BOUND('',#12494,.F.); +#12497=CARTESIAN_POINT('',(2.7E1,-5.2E0,-2.E1)); +#12498=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12499=DIRECTION('',(1.E0,0.E0,0.E0)); +#12500=AXIS2_PLACEMENT_3D('',#12497,#12498,#12499); +#12501=PLANE('',#12500); +#12503=ORIENTED_EDGE('',*,*,#12502,.T.); +#12504=ORIENTED_EDGE('',*,*,#12467,.F.); +#12505=ORIENTED_EDGE('',*,*,#11453,.T.); +#12507=ORIENTED_EDGE('',*,*,#12506,.T.); +#12508=EDGE_LOOP('',(#12503,#12504,#12505,#12507)); +#12509=FACE_OUTER_BOUND('',#12508,.F.); +#12511=CARTESIAN_POINT('',(2.686146848824E1,-5.1E0,-1.01E1)); +#12512=DIRECTION('',(1.E0,0.E0,0.E0)); +#12513=DIRECTION('',(0.E0,0.E0,1.E0)); +#12514=AXIS2_PLACEMENT_3D('',#12511,#12512,#12513); +#12515=CYLINDRICAL_SURFACE('',#12514,1.E-1); +#12516=ORIENTED_EDGE('',*,*,#12502,.F.); +#12518=ORIENTED_EDGE('',*,*,#12517,.F.); +#12520=ORIENTED_EDGE('',*,*,#12519,.T.); +#12521=ORIENTED_EDGE('',*,*,#12469,.T.); +#12522=EDGE_LOOP('',(#12516,#12518,#12520,#12521)); +#12523=FACE_OUTER_BOUND('',#12522,.F.); +#12525=CARTESIAN_POINT('',(2.7E1,-4.7E0,-1.01E1)); +#12526=DIRECTION('',(0.E0,0.E0,1.E0)); +#12527=DIRECTION('',(2.735318635106E-1,9.618629422347E-1,0.E0)); +#12528=AXIS2_PLACEMENT_3D('',#12525,#12526,#12527); +#12529=TOROIDAL_SURFACE('',#12528,4.E-1,1.E-1); +#12531=ORIENTED_EDGE('',*,*,#12530,.T.); +#12532=ORIENTED_EDGE('',*,*,#12517,.T.); +#12534=ORIENTED_EDGE('',*,*,#12533,.F.); +#12536=ORIENTED_EDGE('',*,*,#12535,.F.); +#12537=EDGE_LOOP('',(#12531,#12532,#12534,#12536)); +#12538=FACE_OUTER_BOUND('',#12537,.F.); +#12540=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#12541=DIRECTION('',(0.E0,0.E0,1.E0)); +#12542=DIRECTION('',(1.E0,0.E0,0.E0)); +#12543=AXIS2_PLACEMENT_3D('',#12540,#12541,#12542); +#12544=PLANE('',#12543); +#12546=ORIENTED_EDGE('',*,*,#12545,.F.); +#12548=ORIENTED_EDGE('',*,*,#12547,.F.); +#12550=ORIENTED_EDGE('',*,*,#12549,.F.); +#12552=ORIENTED_EDGE('',*,*,#12551,.F.); +#12553=EDGE_LOOP('',(#12546,#12548,#12550,#12552)); +#12554=FACE_OUTER_BOUND('',#12553,.F.); +#12556=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#12557=DIRECTION('',(0.E0,0.E0,1.E0)); +#12558=DIRECTION('',(1.E0,0.E0,0.E0)); +#12559=AXIS2_PLACEMENT_3D('',#12556,#12557,#12558); +#12560=PLANE('',#12559); +#12562=ORIENTED_EDGE('',*,*,#12561,.F.); +#12564=ORIENTED_EDGE('',*,*,#12563,.F.); +#12566=ORIENTED_EDGE('',*,*,#12565,.F.); +#12568=ORIENTED_EDGE('',*,*,#12567,.F.); +#12569=EDGE_LOOP('',(#12562,#12564,#12566,#12568)); +#12570=FACE_OUTER_BOUND('',#12569,.F.); +#12572=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#12573=DIRECTION('',(0.E0,0.E0,1.E0)); +#12574=DIRECTION('',(1.E0,0.E0,0.E0)); +#12575=AXIS2_PLACEMENT_3D('',#12572,#12573,#12574); +#12576=PLANE('',#12575); +#12578=ORIENTED_EDGE('',*,*,#12577,.F.); +#12580=ORIENTED_EDGE('',*,*,#12579,.F.); +#12582=ORIENTED_EDGE('',*,*,#12581,.F.); +#12584=ORIENTED_EDGE('',*,*,#12583,.F.); +#12585=EDGE_LOOP('',(#12578,#12580,#12582,#12584)); +#12586=FACE_OUTER_BOUND('',#12585,.F.); +#12588=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#12589=DIRECTION('',(0.E0,0.E0,1.E0)); +#12590=DIRECTION('',(1.E0,0.E0,0.E0)); +#12591=AXIS2_PLACEMENT_3D('',#12588,#12589,#12590); +#12592=PLANE('',#12591); +#12593=ORIENTED_EDGE('',*,*,#12519,.F.); +#12594=ORIENTED_EDGE('',*,*,#12530,.F.); +#12596=ORIENTED_EDGE('',*,*,#12595,.F.); +#12597=ORIENTED_EDGE('',*,*,#12471,.F.); +#12598=EDGE_LOOP('',(#12593,#12594,#12596,#12597)); +#12599=FACE_OUTER_BOUND('',#12598,.F.); +#12601=CARTESIAN_POINT('',(-1.3869684E2,4.3E0,-1.01E1)); +#12602=DIRECTION('',(1.E0,0.E0,0.E0)); +#12603=DIRECTION('',(0.E0,0.E0,1.E0)); +#12604=AXIS2_PLACEMENT_3D('',#12601,#12602,#12603); +#12605=CYLINDRICAL_SURFACE('',#12604,1.E-1); +#12606=ORIENTED_EDGE('',*,*,#12545,.T.); +#12608=ORIENTED_EDGE('',*,*,#12607,.T.); +#12610=ORIENTED_EDGE('',*,*,#12609,.F.); +#12612=ORIENTED_EDGE('',*,*,#12611,.T.); +#12613=EDGE_LOOP('',(#12606,#12608,#12610,#12612)); +#12614=FACE_OUTER_BOUND('',#12613,.F.); +#12616=CARTESIAN_POINT('',(-2.7E1,4.7E0,-1.01E1)); +#12617=DIRECTION('',(0.E0,0.E0,1.E0)); +#12618=DIRECTION('',(-2.735318635106E-1,-9.618629422347E-1,0.E0)); +#12619=AXIS2_PLACEMENT_3D('',#12616,#12617,#12618); +#12620=TOROIDAL_SURFACE('',#12619,4.E-1,1.E-1); +#12621=ORIENTED_EDGE('',*,*,#12551,.T.); +#12623=ORIENTED_EDGE('',*,*,#12622,.T.); +#12625=ORIENTED_EDGE('',*,*,#12624,.F.); +#12626=ORIENTED_EDGE('',*,*,#12607,.F.); +#12627=EDGE_LOOP('',(#12621,#12623,#12625,#12626)); +#12628=FACE_OUTER_BOUND('',#12627,.F.); +#12630=CARTESIAN_POINT('',(-2.686146848824E1,5.1E0,-1.01E1)); +#12631=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12632=DIRECTION('',(0.E0,0.E0,1.E0)); +#12633=AXIS2_PLACEMENT_3D('',#12630,#12631,#12632); +#12634=CYLINDRICAL_SURFACE('',#12633,1.E-1); +#12636=ORIENTED_EDGE('',*,*,#12635,.F.); +#12637=ORIENTED_EDGE('',*,*,#12622,.F.); +#12638=ORIENTED_EDGE('',*,*,#12549,.T.); +#12640=ORIENTED_EDGE('',*,*,#12639,.T.); +#12641=EDGE_LOOP('',(#12636,#12637,#12638,#12640)); +#12642=FACE_OUTER_BOUND('',#12641,.F.); +#12644=CARTESIAN_POINT('',(-2.7E1,5.2E0,-2.E1)); +#12645=DIRECTION('',(0.E0,1.E0,0.E0)); +#12646=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12647=AXIS2_PLACEMENT_3D('',#12644,#12645,#12646); +#12648=PLANE('',#12647); +#12649=ORIENTED_EDGE('',*,*,#12635,.T.); +#12651=ORIENTED_EDGE('',*,*,#12650,.T.); +#12653=ORIENTED_EDGE('',*,*,#12652,.F.); +#12654=ORIENTED_EDGE('',*,*,#11573,.T.); +#12656=ORIENTED_EDGE('',*,*,#12655,.T.); +#12657=EDGE_LOOP('',(#12649,#12651,#12653,#12654,#12656)); +#12658=FACE_OUTER_BOUND('',#12657,.F.); +#12660=CARTESIAN_POINT('',(-2.81E1,5.1E0,-9.9E0)); +#12661=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12662=DIRECTION('',(5.485369585398E-1,0.E0,-8.361263093073E-1)); +#12663=AXIS2_PLACEMENT_3D('',#12660,#12661,#12662); +#12664=TOROIDAL_SURFACE('',#12663,2.E-1,1.E-1); +#12665=ORIENTED_EDGE('',*,*,#12650,.F.); +#12666=ORIENTED_EDGE('',*,*,#12639,.F.); +#12668=ORIENTED_EDGE('',*,*,#12667,.F.); +#12670=ORIENTED_EDGE('',*,*,#12669,.F.); +#12671=EDGE_LOOP('',(#12665,#12666,#12668,#12670)); +#12672=FACE_OUTER_BOUND('',#12671,.F.); +#12674=CARTESIAN_POINT('',(-2.81E1,5.789556E1,-9.9E0)); +#12675=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12676=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12677=AXIS2_PLACEMENT_3D('',#12674,#12675,#12676); +#12678=CYLINDRICAL_SURFACE('',#12677,1.E-1); +#12680=ORIENTED_EDGE('',*,*,#12679,.F.); +#12681=ORIENTED_EDGE('',*,*,#12667,.T.); +#12682=ORIENTED_EDGE('',*,*,#12547,.T.); +#12684=ORIENTED_EDGE('',*,*,#12683,.T.); +#12685=EDGE_LOOP('',(#12680,#12681,#12682,#12684)); +#12686=FACE_OUTER_BOUND('',#12685,.F.); +#12688=CARTESIAN_POINT('',(-2.82E1,5.6E0,0.E0)); +#12689=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12690=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12691=AXIS2_PLACEMENT_3D('',#12688,#12689,#12690); +#12692=PLANE('',#12691); +#12694=ORIENTED_EDGE('',*,*,#12693,.T.); +#12696=ORIENTED_EDGE('',*,*,#12695,.F.); +#12697=ORIENTED_EDGE('',*,*,#11567,.T.); +#12699=ORIENTED_EDGE('',*,*,#12698,.T.); +#12701=ORIENTED_EDGE('',*,*,#12700,.T.); +#12703=ORIENTED_EDGE('',*,*,#12702,.T.); +#12705=ORIENTED_EDGE('',*,*,#12704,.T.); +#12707=ORIENTED_EDGE('',*,*,#12706,.F.); +#12708=ORIENTED_EDGE('',*,*,#11559,.T.); +#12710=ORIENTED_EDGE('',*,*,#12709,.F.); +#12711=ORIENTED_EDGE('',*,*,#10691,.F.); +#12712=ORIENTED_EDGE('',*,*,#10720,.T.); +#12713=ORIENTED_EDGE('',*,*,#11575,.T.); +#12714=ORIENTED_EDGE('',*,*,#12652,.T.); +#12715=ORIENTED_EDGE('',*,*,#12669,.T.); +#12716=ORIENTED_EDGE('',*,*,#12679,.T.); +#12717=EDGE_LOOP('',(#12694,#12696,#12697,#12699,#12701,#12703,#12705,#12707, +#12708,#12710,#12711,#12712,#12713,#12714,#12715,#12716)); +#12718=FACE_OUTER_BOUND('',#12717,.F.); +#12720=CARTESIAN_POINT('',(-2.81E1,4.3E0,-9.9E0)); +#12721=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12722=DIRECTION('',(7.564028598729E-1,0.E0,-6.541060415376E-1)); +#12723=AXIS2_PLACEMENT_3D('',#12720,#12721,#12722); +#12724=TOROIDAL_SURFACE('',#12723,2.E-1,1.E-1); +#12726=ORIENTED_EDGE('',*,*,#12725,.T.); +#12727=ORIENTED_EDGE('',*,*,#12693,.F.); +#12728=ORIENTED_EDGE('',*,*,#12683,.F.); +#12729=ORIENTED_EDGE('',*,*,#12611,.F.); +#12730=EDGE_LOOP('',(#12726,#12727,#12728,#12729)); +#12731=FACE_OUTER_BOUND('',#12730,.F.); +#12733=CARTESIAN_POINT('',(-2.82E1,4.2E0,-2.E1)); +#12734=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12735=DIRECTION('',(1.E0,0.E0,0.E0)); +#12736=AXIS2_PLACEMENT_3D('',#12733,#12734,#12735); +#12737=PLANE('',#12736); +#12738=ORIENTED_EDGE('',*,*,#12609,.T.); +#12740=ORIENTED_EDGE('',*,*,#12739,.F.); +#12741=ORIENTED_EDGE('',*,*,#11569,.F.); +#12742=ORIENTED_EDGE('',*,*,#12695,.T.); +#12743=ORIENTED_EDGE('',*,*,#12725,.F.); +#12744=EDGE_LOOP('',(#12738,#12740,#12741,#12742,#12743)); +#12745=FACE_OUTER_BOUND('',#12744,.F.); +#12747=CARTESIAN_POINT('',(-2.7E1,4.7E0,-2.E1)); +#12748=DIRECTION('',(0.E0,0.E0,1.E0)); +#12749=DIRECTION('',(1.E0,0.E0,0.E0)); +#12750=AXIS2_PLACEMENT_3D('',#12747,#12748,#12749); +#12751=CYLINDRICAL_SURFACE('',#12750,5.E-1); +#12752=ORIENTED_EDGE('',*,*,#12624,.T.); +#12753=ORIENTED_EDGE('',*,*,#12655,.F.); +#12754=ORIENTED_EDGE('',*,*,#11571,.F.); +#12755=ORIENTED_EDGE('',*,*,#12739,.T.); +#12756=EDGE_LOOP('',(#12752,#12753,#12754,#12755)); +#12757=FACE_OUTER_BOUND('',#12756,.F.); +#12759=CARTESIAN_POINT('',(-2.7E1,-4.2E0,-2.E1)); +#12760=DIRECTION('',(0.E0,1.E0,0.E0)); +#12761=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12762=AXIS2_PLACEMENT_3D('',#12759,#12760,#12761); +#12763=PLANE('',#12762); +#12765=ORIENTED_EDGE('',*,*,#12764,.T.); +#12767=ORIENTED_EDGE('',*,*,#12766,.T.); +#12768=ORIENTED_EDGE('',*,*,#12698,.F.); +#12769=ORIENTED_EDGE('',*,*,#11565,.T.); +#12771=ORIENTED_EDGE('',*,*,#12770,.T.); +#12772=EDGE_LOOP('',(#12765,#12767,#12768,#12769,#12771)); +#12773=FACE_OUTER_BOUND('',#12772,.F.); +#12775=CARTESIAN_POINT('',(-2.686146848824E1,-4.3E0,-1.01E1)); +#12776=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12777=DIRECTION('',(0.E0,0.E0,1.E0)); +#12778=AXIS2_PLACEMENT_3D('',#12775,#12776,#12777); +#12779=CYLINDRICAL_SURFACE('',#12778,1.E-1); +#12780=ORIENTED_EDGE('',*,*,#12764,.F.); +#12782=ORIENTED_EDGE('',*,*,#12781,.F.); +#12783=ORIENTED_EDGE('',*,*,#12563,.T.); +#12785=ORIENTED_EDGE('',*,*,#12784,.T.); +#12786=EDGE_LOOP('',(#12780,#12782,#12783,#12785)); +#12787=FACE_OUTER_BOUND('',#12786,.F.); +#12789=CARTESIAN_POINT('',(-2.7E1,-4.7E0,-1.01E1)); +#12790=DIRECTION('',(0.E0,0.E0,1.E0)); +#12791=DIRECTION('',(-2.735318635106E-1,-9.618629422347E-1,0.E0)); +#12792=AXIS2_PLACEMENT_3D('',#12789,#12790,#12791); +#12793=TOROIDAL_SURFACE('',#12792,4.E-1,1.E-1); +#12794=ORIENTED_EDGE('',*,*,#12565,.T.); +#12795=ORIENTED_EDGE('',*,*,#12781,.T.); +#12797=ORIENTED_EDGE('',*,*,#12796,.F.); +#12799=ORIENTED_EDGE('',*,*,#12798,.F.); +#12800=EDGE_LOOP('',(#12794,#12795,#12797,#12799)); +#12801=FACE_OUTER_BOUND('',#12800,.F.); +#12803=CARTESIAN_POINT('',(-2.7E1,-4.7E0,-2.E1)); +#12804=DIRECTION('',(0.E0,0.E0,1.E0)); +#12805=DIRECTION('',(1.E0,0.E0,0.E0)); +#12806=AXIS2_PLACEMENT_3D('',#12803,#12804,#12805); +#12807=CYLINDRICAL_SURFACE('',#12806,5.E-1); +#12808=ORIENTED_EDGE('',*,*,#12796,.T.); +#12809=ORIENTED_EDGE('',*,*,#12770,.F.); +#12810=ORIENTED_EDGE('',*,*,#11563,.F.); +#12812=ORIENTED_EDGE('',*,*,#12811,.T.); +#12813=EDGE_LOOP('',(#12808,#12809,#12810,#12812)); +#12814=FACE_OUTER_BOUND('',#12813,.F.); +#12816=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-2.E1)); +#12817=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12818=DIRECTION('',(1.E0,0.E0,0.E0)); +#12819=AXIS2_PLACEMENT_3D('',#12816,#12817,#12818); +#12820=PLANE('',#12819); +#12822=ORIENTED_EDGE('',*,*,#12821,.T.); +#12823=ORIENTED_EDGE('',*,*,#12811,.F.); +#12824=ORIENTED_EDGE('',*,*,#11561,.F.); +#12825=ORIENTED_EDGE('',*,*,#12706,.T.); +#12827=ORIENTED_EDGE('',*,*,#12826,.F.); +#12828=EDGE_LOOP('',(#12822,#12823,#12824,#12825,#12827)); +#12829=FACE_OUTER_BOUND('',#12828,.F.); +#12831=CARTESIAN_POINT('',(-1.3869684E2,-5.1E0,-1.01E1)); +#12832=DIRECTION('',(1.E0,0.E0,0.E0)); +#12833=DIRECTION('',(0.E0,0.E0,1.E0)); +#12834=AXIS2_PLACEMENT_3D('',#12831,#12832,#12833); +#12835=CYLINDRICAL_SURFACE('',#12834,1.E-1); +#12836=ORIENTED_EDGE('',*,*,#12567,.T.); +#12837=ORIENTED_EDGE('',*,*,#12798,.T.); +#12838=ORIENTED_EDGE('',*,*,#12821,.F.); +#12840=ORIENTED_EDGE('',*,*,#12839,.T.); +#12841=EDGE_LOOP('',(#12836,#12837,#12838,#12840)); +#12842=FACE_OUTER_BOUND('',#12841,.F.); +#12844=CARTESIAN_POINT('',(-2.81E1,-5.1E0,-9.9E0)); +#12845=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12846=DIRECTION('',(7.564028598729E-1,0.E0,-6.541060415376E-1)); +#12847=AXIS2_PLACEMENT_3D('',#12844,#12845,#12846); +#12848=TOROIDAL_SURFACE('',#12847,2.E-1,1.E-1); +#12849=ORIENTED_EDGE('',*,*,#12826,.T.); +#12850=ORIENTED_EDGE('',*,*,#12704,.F.); +#12852=ORIENTED_EDGE('',*,*,#12851,.F.); +#12853=ORIENTED_EDGE('',*,*,#12839,.F.); +#12854=EDGE_LOOP('',(#12849,#12850,#12852,#12853)); +#12855=FACE_OUTER_BOUND('',#12854,.F.); +#12857=CARTESIAN_POINT('',(-2.81E1,5.789556E1,-9.9E0)); +#12858=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12859=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12860=AXIS2_PLACEMENT_3D('',#12857,#12858,#12859); +#12861=CYLINDRICAL_SURFACE('',#12860,1.E-1); +#12862=ORIENTED_EDGE('',*,*,#12702,.F.); +#12864=ORIENTED_EDGE('',*,*,#12863,.T.); +#12865=ORIENTED_EDGE('',*,*,#12561,.T.); +#12866=ORIENTED_EDGE('',*,*,#12851,.T.); +#12867=EDGE_LOOP('',(#12862,#12864,#12865,#12866)); +#12868=FACE_OUTER_BOUND('',#12867,.F.); +#12870=CARTESIAN_POINT('',(-2.81E1,-4.3E0,-9.9E0)); +#12871=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12872=DIRECTION('',(5.485369585398E-1,0.E0,-8.361263093073E-1)); +#12873=AXIS2_PLACEMENT_3D('',#12870,#12871,#12872); +#12874=TOROIDAL_SURFACE('',#12873,2.E-1,1.E-1); +#12875=ORIENTED_EDGE('',*,*,#12766,.F.); +#12876=ORIENTED_EDGE('',*,*,#12784,.F.); +#12877=ORIENTED_EDGE('',*,*,#12863,.F.); +#12878=ORIENTED_EDGE('',*,*,#12700,.F.); +#12879=EDGE_LOOP('',(#12875,#12876,#12877,#12878)); +#12880=FACE_OUTER_BOUND('',#12879,.F.); +#12882=CARTESIAN_POINT('',(-2.35E1,-5.6E0,0.E0)); +#12883=DIRECTION('',(0.E0,0.E0,1.E0)); +#12884=DIRECTION('',(1.E0,0.E0,0.E0)); +#12885=AXIS2_PLACEMENT_3D('',#12882,#12883,#12884); +#12886=CYLINDRICAL_SURFACE('',#12885,4.7E0); +#12887=ORIENTED_EDGE('',*,*,#10677,.F.); +#12888=ORIENTED_EDGE('',*,*,#12709,.T.); +#12889=ORIENTED_EDGE('',*,*,#11557,.T.); +#12891=ORIENTED_EDGE('',*,*,#12890,.F.); +#12892=EDGE_LOOP('',(#12887,#12888,#12889,#12891)); +#12893=FACE_OUTER_BOUND('',#12892,.F.); +#12895=CARTESIAN_POINT('',(-2.35E1,-1.03E1,0.E0)); +#12896=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12897=DIRECTION('',(1.E0,0.E0,0.E0)); +#12898=AXIS2_PLACEMENT_3D('',#12895,#12896,#12897); +#12899=PLANE('',#12898); +#12901=ORIENTED_EDGE('',*,*,#12900,.T.); +#12903=ORIENTED_EDGE('',*,*,#12902,.F.); +#12904=ORIENTED_EDGE('',*,*,#11539,.T.); +#12906=ORIENTED_EDGE('',*,*,#12905,.T.); +#12908=ORIENTED_EDGE('',*,*,#12907,.T.); +#12910=ORIENTED_EDGE('',*,*,#12909,.T.); +#12912=ORIENTED_EDGE('',*,*,#12911,.T.); +#12914=ORIENTED_EDGE('',*,*,#12913,.F.); +#12915=ORIENTED_EDGE('',*,*,#11531,.T.); +#12917=ORIENTED_EDGE('',*,*,#12916,.T.); +#12919=ORIENTED_EDGE('',*,*,#12918,.T.); +#12921=ORIENTED_EDGE('',*,*,#12920,.T.); +#12923=ORIENTED_EDGE('',*,*,#12922,.T.); +#12925=ORIENTED_EDGE('',*,*,#12924,.F.); +#12926=ORIENTED_EDGE('',*,*,#11523,.T.); +#12928=ORIENTED_EDGE('',*,*,#12927,.T.); +#12930=ORIENTED_EDGE('',*,*,#12929,.T.); +#12932=ORIENTED_EDGE('',*,*,#12931,.T.); +#12934=ORIENTED_EDGE('',*,*,#12933,.T.); +#12936=ORIENTED_EDGE('',*,*,#12935,.F.); +#12937=ORIENTED_EDGE('',*,*,#11515,.T.); +#12939=ORIENTED_EDGE('',*,*,#12938,.T.); +#12941=ORIENTED_EDGE('',*,*,#12940,.T.); +#12943=ORIENTED_EDGE('',*,*,#12942,.T.); +#12945=ORIENTED_EDGE('',*,*,#12944,.T.); +#12947=ORIENTED_EDGE('',*,*,#12946,.F.); +#12948=ORIENTED_EDGE('',*,*,#11507,.T.); +#12950=ORIENTED_EDGE('',*,*,#12949,.T.); +#12952=ORIENTED_EDGE('',*,*,#12951,.T.); +#12954=ORIENTED_EDGE('',*,*,#12953,.T.); +#12956=ORIENTED_EDGE('',*,*,#12955,.T.); +#12958=ORIENTED_EDGE('',*,*,#12957,.F.); +#12959=ORIENTED_EDGE('',*,*,#11499,.T.); +#12961=ORIENTED_EDGE('',*,*,#12960,.T.); +#12963=ORIENTED_EDGE('',*,*,#12962,.T.); +#12965=ORIENTED_EDGE('',*,*,#12964,.T.); +#12967=ORIENTED_EDGE('',*,*,#12966,.T.); +#12969=ORIENTED_EDGE('',*,*,#12968,.F.); +#12970=ORIENTED_EDGE('',*,*,#11491,.T.); +#12972=ORIENTED_EDGE('',*,*,#12971,.T.); +#12974=ORIENTED_EDGE('',*,*,#12973,.T.); +#12976=ORIENTED_EDGE('',*,*,#12975,.T.); +#12978=ORIENTED_EDGE('',*,*,#12977,.T.); +#12980=ORIENTED_EDGE('',*,*,#12979,.F.); +#12981=ORIENTED_EDGE('',*,*,#11483,.T.); +#12983=ORIENTED_EDGE('',*,*,#12982,.T.); +#12985=ORIENTED_EDGE('',*,*,#12984,.T.); +#12987=ORIENTED_EDGE('',*,*,#12986,.T.); +#12989=ORIENTED_EDGE('',*,*,#12988,.T.); +#12991=ORIENTED_EDGE('',*,*,#12990,.F.); +#12992=ORIENTED_EDGE('',*,*,#11475,.T.); +#12994=ORIENTED_EDGE('',*,*,#12993,.T.); +#12996=ORIENTED_EDGE('',*,*,#12995,.T.); +#12998=ORIENTED_EDGE('',*,*,#12997,.T.); +#13000=ORIENTED_EDGE('',*,*,#12999,.T.); +#13002=ORIENTED_EDGE('',*,*,#13001,.F.); +#13003=ORIENTED_EDGE('',*,*,#11467,.T.); +#13005=ORIENTED_EDGE('',*,*,#13004,.T.); +#13007=ORIENTED_EDGE('',*,*,#13006,.T.); +#13009=ORIENTED_EDGE('',*,*,#13008,.T.); +#13011=ORIENTED_EDGE('',*,*,#13010,.T.); +#13013=ORIENTED_EDGE('',*,*,#13012,.F.); +#13014=ORIENTED_EDGE('',*,*,#11459,.T.); +#13016=ORIENTED_EDGE('',*,*,#13015,.F.); +#13017=ORIENTED_EDGE('',*,*,#10663,.F.); +#13018=ORIENTED_EDGE('',*,*,#12890,.T.); +#13019=ORIENTED_EDGE('',*,*,#11555,.T.); +#13021=ORIENTED_EDGE('',*,*,#13020,.T.); +#13023=ORIENTED_EDGE('',*,*,#13022,.T.); +#13025=ORIENTED_EDGE('',*,*,#13024,.T.); +#13027=ORIENTED_EDGE('',*,*,#13026,.T.); +#13029=ORIENTED_EDGE('',*,*,#13028,.F.); +#13030=ORIENTED_EDGE('',*,*,#11547,.T.); +#13032=ORIENTED_EDGE('',*,*,#13031,.T.); +#13034=ORIENTED_EDGE('',*,*,#13033,.T.); +#13036=ORIENTED_EDGE('',*,*,#13035,.T.); +#13037=EDGE_LOOP('',(#12901,#12903,#12904,#12906,#12908,#12910,#12912,#12914, +#12915,#12917,#12919,#12921,#12923,#12925,#12926,#12928,#12930,#12932,#12934, +#12936,#12937,#12939,#12941,#12943,#12945,#12947,#12948,#12950,#12952,#12954, +#12956,#12958,#12959,#12961,#12963,#12965,#12967,#12969,#12970,#12972,#12974, +#12976,#12978,#12980,#12981,#12983,#12985,#12987,#12989,#12991,#12992,#12994, +#12996,#12998,#13000,#13002,#13003,#13005,#13007,#13009,#13011,#13013,#13014, +#13016,#13017,#13018,#13019,#13021,#13023,#13025,#13027,#13029,#13030,#13032, +#13034,#13036)); +#13038=FACE_OUTER_BOUND('',#13037,.F.); +#13040=CARTESIAN_POINT('',(-1.535E1,-1.02E1,-9.9E0)); +#13041=DIRECTION('',(1.E0,0.E0,0.E0)); +#13042=DIRECTION('',(-2.664535259100E-14,7.564028598729E-1,-6.541060415376E-1)); +#13043=AXIS2_PLACEMENT_3D('',#13040,#13041,#13042); +#13044=TOROIDAL_SURFACE('',#13043,2.E-1,1.E-1); +#13046=ORIENTED_EDGE('',*,*,#13045,.T.); +#13047=ORIENTED_EDGE('',*,*,#12900,.F.); +#13049=ORIENTED_EDGE('',*,*,#13048,.F.); +#13051=ORIENTED_EDGE('',*,*,#13050,.F.); +#13052=EDGE_LOOP('',(#13046,#13047,#13049,#13051)); +#13053=FACE_OUTER_BOUND('',#13052,.F.); +#13055=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-2.E1)); +#13056=DIRECTION('',(1.E0,0.E0,0.E0)); +#13057=DIRECTION('',(0.E0,1.E0,0.E0)); +#13058=AXIS2_PLACEMENT_3D('',#13055,#13056,#13057); +#13059=PLANE('',#13058); +#13061=ORIENTED_EDGE('',*,*,#13060,.T.); +#13063=ORIENTED_EDGE('',*,*,#13062,.F.); +#13064=ORIENTED_EDGE('',*,*,#11541,.F.); +#13065=ORIENTED_EDGE('',*,*,#12902,.T.); +#13066=ORIENTED_EDGE('',*,*,#13045,.F.); +#13067=EDGE_LOOP('',(#13061,#13063,#13064,#13065,#13066)); +#13068=FACE_OUTER_BOUND('',#13067,.F.); +#13070=CARTESIAN_POINT('',(-1.535E1,-5.789556E1,-1.01E1)); +#13071=DIRECTION('',(0.E0,1.E0,0.E0)); +#13072=DIRECTION('',(0.E0,0.E0,1.E0)); +#13073=AXIS2_PLACEMENT_3D('',#13070,#13071,#13072); +#13074=CYLINDRICAL_SURFACE('',#13073,1.E-1); +#13075=ORIENTED_EDGE('',*,*,#11130,.T.); +#13077=ORIENTED_EDGE('',*,*,#13076,.T.); +#13078=ORIENTED_EDGE('',*,*,#13060,.F.); +#13079=ORIENTED_EDGE('',*,*,#13050,.T.); +#13080=EDGE_LOOP('',(#13075,#13077,#13078,#13079)); +#13081=FACE_OUTER_BOUND('',#13080,.F.); +#13083=CARTESIAN_POINT('',(-1.575E1,-9.1E0,-1.01E1)); +#13084=DIRECTION('',(0.E0,0.E0,1.E0)); +#13085=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#13086=AXIS2_PLACEMENT_3D('',#13083,#13084,#13085); +#13087=TOROIDAL_SURFACE('',#13086,4.E-1,1.E-1); +#13088=ORIENTED_EDGE('',*,*,#11128,.T.); +#13090=ORIENTED_EDGE('',*,*,#13089,.T.); +#13092=ORIENTED_EDGE('',*,*,#13091,.F.); +#13093=ORIENTED_EDGE('',*,*,#13076,.F.); +#13094=EDGE_LOOP('',(#13088,#13090,#13092,#13093)); +#13095=FACE_OUTER_BOUND('',#13094,.F.); +#13097=CARTESIAN_POINT('',(-1.615E1,-8.961468488237E0,-1.01E1)); +#13098=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13099=DIRECTION('',(0.E0,0.E0,1.E0)); +#13100=AXIS2_PLACEMENT_3D('',#13097,#13098,#13099); +#13101=CYLINDRICAL_SURFACE('',#13100,1.E-1); +#13103=ORIENTED_EDGE('',*,*,#13102,.F.); +#13104=ORIENTED_EDGE('',*,*,#13089,.F.); +#13105=ORIENTED_EDGE('',*,*,#11126,.T.); +#13107=ORIENTED_EDGE('',*,*,#13106,.T.); +#13108=EDGE_LOOP('',(#13103,#13104,#13105,#13107)); +#13109=FACE_OUTER_BOUND('',#13108,.F.); +#13111=CARTESIAN_POINT('',(-1.625E1,-9.1E0,-2.E1)); +#13112=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13113=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13114=AXIS2_PLACEMENT_3D('',#13111,#13112,#13113); +#13115=PLANE('',#13114); +#13116=ORIENTED_EDGE('',*,*,#13102,.T.); +#13118=ORIENTED_EDGE('',*,*,#13117,.T.); +#13119=ORIENTED_EDGE('',*,*,#13031,.F.); +#13120=ORIENTED_EDGE('',*,*,#11545,.T.); +#13122=ORIENTED_EDGE('',*,*,#13121,.T.); +#13123=EDGE_LOOP('',(#13116,#13118,#13119,#13120,#13122)); +#13124=FACE_OUTER_BOUND('',#13123,.F.); +#13126=CARTESIAN_POINT('',(-1.615E1,-1.02E1,-9.9E0)); +#13127=DIRECTION('',(1.E0,0.E0,0.E0)); +#13128=DIRECTION('',(3.552713678801E-14,5.485369585398E-1,-8.361263093074E-1)); +#13129=AXIS2_PLACEMENT_3D('',#13126,#13127,#13128); +#13130=TOROIDAL_SURFACE('',#13129,2.E-1,1.E-1); +#13131=ORIENTED_EDGE('',*,*,#13117,.F.); +#13132=ORIENTED_EDGE('',*,*,#13106,.F.); +#13134=ORIENTED_EDGE('',*,*,#13133,.F.); +#13135=ORIENTED_EDGE('',*,*,#13033,.F.); +#13136=EDGE_LOOP('',(#13131,#13132,#13134,#13135)); +#13137=FACE_OUTER_BOUND('',#13136,.F.); +#13139=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#13140=DIRECTION('',(1.E0,0.E0,0.E0)); +#13141=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13142=AXIS2_PLACEMENT_3D('',#13139,#13140,#13141); +#13143=CYLINDRICAL_SURFACE('',#13142,1.E-1); +#13144=ORIENTED_EDGE('',*,*,#13035,.F.); +#13145=ORIENTED_EDGE('',*,*,#13133,.T.); +#13146=ORIENTED_EDGE('',*,*,#11124,.T.); +#13147=ORIENTED_EDGE('',*,*,#13048,.T.); +#13148=EDGE_LOOP('',(#13144,#13145,#13146,#13147)); +#13149=FACE_OUTER_BOUND('',#13148,.F.); +#13151=CARTESIAN_POINT('',(-1.575E1,-9.1E0,-2.E1)); +#13152=DIRECTION('',(0.E0,0.E0,1.E0)); +#13153=DIRECTION('',(1.E0,0.E0,0.E0)); +#13154=AXIS2_PLACEMENT_3D('',#13151,#13152,#13153); +#13155=CYLINDRICAL_SURFACE('',#13154,5.E-1); +#13156=ORIENTED_EDGE('',*,*,#13091,.T.); +#13157=ORIENTED_EDGE('',*,*,#13121,.F.); +#13158=ORIENTED_EDGE('',*,*,#11543,.F.); +#13159=ORIENTED_EDGE('',*,*,#13062,.T.); +#13160=EDGE_LOOP('',(#13156,#13157,#13158,#13159)); +#13161=FACE_OUTER_BOUND('',#13160,.F.); +#13163=CARTESIAN_POINT('',(-1.275E1,-9.1E0,-2.E1)); +#13164=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13165=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13166=AXIS2_PLACEMENT_3D('',#13163,#13164,#13165); +#13167=PLANE('',#13166); +#13169=ORIENTED_EDGE('',*,*,#13168,.T.); +#13171=ORIENTED_EDGE('',*,*,#13170,.T.); +#13172=ORIENTED_EDGE('',*,*,#12905,.F.); +#13173=ORIENTED_EDGE('',*,*,#11537,.T.); +#13175=ORIENTED_EDGE('',*,*,#13174,.T.); +#13176=EDGE_LOOP('',(#13169,#13171,#13172,#13173,#13175)); +#13177=FACE_OUTER_BOUND('',#13176,.F.); +#13179=CARTESIAN_POINT('',(-1.265E1,-8.961468488237E0,-1.01E1)); +#13180=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13181=DIRECTION('',(0.E0,0.E0,1.E0)); +#13182=AXIS2_PLACEMENT_3D('',#13179,#13180,#13181); +#13183=CYLINDRICAL_SURFACE('',#13182,1.E-1); +#13184=ORIENTED_EDGE('',*,*,#13168,.F.); +#13186=ORIENTED_EDGE('',*,*,#13185,.F.); +#13187=ORIENTED_EDGE('',*,*,#11160,.T.); +#13189=ORIENTED_EDGE('',*,*,#13188,.T.); +#13190=EDGE_LOOP('',(#13184,#13186,#13187,#13189)); +#13191=FACE_OUTER_BOUND('',#13190,.F.); +#13193=CARTESIAN_POINT('',(-1.225E1,-9.1E0,-1.01E1)); +#13194=DIRECTION('',(0.E0,0.E0,1.E0)); +#13195=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#13196=AXIS2_PLACEMENT_3D('',#13193,#13194,#13195); +#13197=TOROIDAL_SURFACE('',#13196,4.E-1,1.E-1); +#13198=ORIENTED_EDGE('',*,*,#11162,.T.); +#13199=ORIENTED_EDGE('',*,*,#13185,.T.); +#13201=ORIENTED_EDGE('',*,*,#13200,.F.); +#13203=ORIENTED_EDGE('',*,*,#13202,.F.); +#13204=EDGE_LOOP('',(#13198,#13199,#13201,#13203)); +#13205=FACE_OUTER_BOUND('',#13204,.F.); +#13207=CARTESIAN_POINT('',(-1.225E1,-9.1E0,-2.E1)); +#13208=DIRECTION('',(0.E0,0.E0,1.E0)); +#13209=DIRECTION('',(1.E0,0.E0,0.E0)); +#13210=AXIS2_PLACEMENT_3D('',#13207,#13208,#13209); +#13211=CYLINDRICAL_SURFACE('',#13210,5.E-1); +#13212=ORIENTED_EDGE('',*,*,#13200,.T.); +#13213=ORIENTED_EDGE('',*,*,#13174,.F.); +#13214=ORIENTED_EDGE('',*,*,#11535,.F.); +#13216=ORIENTED_EDGE('',*,*,#13215,.T.); +#13217=EDGE_LOOP('',(#13212,#13213,#13214,#13216)); +#13218=FACE_OUTER_BOUND('',#13217,.F.); +#13220=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#13221=DIRECTION('',(1.E0,0.E0,0.E0)); +#13222=DIRECTION('',(0.E0,1.E0,0.E0)); +#13223=AXIS2_PLACEMENT_3D('',#13220,#13221,#13222); +#13224=PLANE('',#13223); +#13226=ORIENTED_EDGE('',*,*,#13225,.T.); +#13227=ORIENTED_EDGE('',*,*,#13215,.F.); +#13228=ORIENTED_EDGE('',*,*,#11533,.F.); +#13229=ORIENTED_EDGE('',*,*,#12913,.T.); +#13231=ORIENTED_EDGE('',*,*,#13230,.F.); +#13232=EDGE_LOOP('',(#13226,#13227,#13228,#13229,#13231)); +#13233=FACE_OUTER_BOUND('',#13232,.F.); +#13235=CARTESIAN_POINT('',(-1.185E1,-5.789556E1,-1.01E1)); +#13236=DIRECTION('',(0.E0,1.E0,0.E0)); +#13237=DIRECTION('',(0.E0,0.E0,1.E0)); +#13238=AXIS2_PLACEMENT_3D('',#13235,#13236,#13237); +#13239=CYLINDRICAL_SURFACE('',#13238,1.E-1); +#13240=ORIENTED_EDGE('',*,*,#11156,.T.); +#13241=ORIENTED_EDGE('',*,*,#13202,.T.); +#13242=ORIENTED_EDGE('',*,*,#13225,.F.); +#13244=ORIENTED_EDGE('',*,*,#13243,.T.); +#13245=EDGE_LOOP('',(#13240,#13241,#13242,#13244)); +#13246=FACE_OUTER_BOUND('',#13245,.F.); +#13248=CARTESIAN_POINT('',(-1.185E1,-1.02E1,-9.9E0)); +#13249=DIRECTION('',(1.E0,0.E0,0.E0)); +#13250=DIRECTION('',(-1.776356839400E-14,7.564028598729E-1,-6.541060415376E-1)); +#13251=AXIS2_PLACEMENT_3D('',#13248,#13249,#13250); +#13252=TOROIDAL_SURFACE('',#13251,2.E-1,1.E-1); +#13253=ORIENTED_EDGE('',*,*,#13230,.T.); +#13254=ORIENTED_EDGE('',*,*,#12911,.F.); +#13256=ORIENTED_EDGE('',*,*,#13255,.F.); +#13257=ORIENTED_EDGE('',*,*,#13243,.F.); +#13258=EDGE_LOOP('',(#13253,#13254,#13256,#13257)); +#13259=FACE_OUTER_BOUND('',#13258,.F.); +#13261=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#13262=DIRECTION('',(1.E0,0.E0,0.E0)); +#13263=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13264=AXIS2_PLACEMENT_3D('',#13261,#13262,#13263); +#13265=CYLINDRICAL_SURFACE('',#13264,1.E-1); +#13266=ORIENTED_EDGE('',*,*,#12909,.F.); +#13268=ORIENTED_EDGE('',*,*,#13267,.T.); +#13269=ORIENTED_EDGE('',*,*,#11158,.T.); +#13270=ORIENTED_EDGE('',*,*,#13255,.T.); +#13271=EDGE_LOOP('',(#13266,#13268,#13269,#13270)); +#13272=FACE_OUTER_BOUND('',#13271,.F.); +#13274=CARTESIAN_POINT('',(-1.265E1,-1.02E1,-9.9E0)); +#13275=DIRECTION('',(1.E0,0.E0,0.E0)); +#13276=DIRECTION('',(0.E0,5.485369585398E-1,-8.361263093074E-1)); +#13277=AXIS2_PLACEMENT_3D('',#13274,#13275,#13276); +#13278=TOROIDAL_SURFACE('',#13277,2.E-1,1.E-1); +#13279=ORIENTED_EDGE('',*,*,#13170,.F.); +#13280=ORIENTED_EDGE('',*,*,#13188,.F.); +#13281=ORIENTED_EDGE('',*,*,#13267,.F.); +#13282=ORIENTED_EDGE('',*,*,#12907,.F.); +#13283=EDGE_LOOP('',(#13279,#13280,#13281,#13282)); +#13284=FACE_OUTER_BOUND('',#13283,.F.); +#13286=CARTESIAN_POINT('',(-9.25E0,-9.1E0,-2.E1)); +#13287=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13288=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13289=AXIS2_PLACEMENT_3D('',#13286,#13287,#13288); +#13290=PLANE('',#13289); +#13292=ORIENTED_EDGE('',*,*,#13291,.T.); +#13294=ORIENTED_EDGE('',*,*,#13293,.T.); +#13295=ORIENTED_EDGE('',*,*,#12916,.F.); +#13296=ORIENTED_EDGE('',*,*,#11529,.T.); +#13298=ORIENTED_EDGE('',*,*,#13297,.T.); +#13299=EDGE_LOOP('',(#13292,#13294,#13295,#13296,#13298)); +#13300=FACE_OUTER_BOUND('',#13299,.F.); +#13302=CARTESIAN_POINT('',(-9.15E0,-8.961468488237E0,-1.01E1)); +#13303=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13304=DIRECTION('',(0.E0,0.E0,1.E0)); +#13305=AXIS2_PLACEMENT_3D('',#13302,#13303,#13304); +#13306=CYLINDRICAL_SURFACE('',#13305,1.E-1); +#13307=ORIENTED_EDGE('',*,*,#13291,.F.); +#13309=ORIENTED_EDGE('',*,*,#13308,.F.); +#13310=ORIENTED_EDGE('',*,*,#11176,.T.); +#13312=ORIENTED_EDGE('',*,*,#13311,.T.); +#13313=EDGE_LOOP('',(#13307,#13309,#13310,#13312)); +#13314=FACE_OUTER_BOUND('',#13313,.F.); +#13316=CARTESIAN_POINT('',(-8.75E0,-9.1E0,-1.01E1)); +#13317=DIRECTION('',(0.E0,0.E0,1.E0)); +#13318=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#13319=AXIS2_PLACEMENT_3D('',#13316,#13317,#13318); +#13320=TOROIDAL_SURFACE('',#13319,4.E-1,1.E-1); +#13321=ORIENTED_EDGE('',*,*,#11178,.T.); +#13322=ORIENTED_EDGE('',*,*,#13308,.T.); +#13324=ORIENTED_EDGE('',*,*,#13323,.F.); +#13326=ORIENTED_EDGE('',*,*,#13325,.F.); +#13327=EDGE_LOOP('',(#13321,#13322,#13324,#13326)); +#13328=FACE_OUTER_BOUND('',#13327,.F.); +#13330=CARTESIAN_POINT('',(-8.75E0,-9.1E0,-2.E1)); +#13331=DIRECTION('',(0.E0,0.E0,1.E0)); +#13332=DIRECTION('',(1.E0,0.E0,0.E0)); +#13333=AXIS2_PLACEMENT_3D('',#13330,#13331,#13332); +#13334=CYLINDRICAL_SURFACE('',#13333,5.E-1); +#13335=ORIENTED_EDGE('',*,*,#13323,.T.); +#13336=ORIENTED_EDGE('',*,*,#13297,.F.); +#13337=ORIENTED_EDGE('',*,*,#11527,.F.); +#13339=ORIENTED_EDGE('',*,*,#13338,.T.); +#13340=EDGE_LOOP('',(#13335,#13336,#13337,#13339)); +#13341=FACE_OUTER_BOUND('',#13340,.F.); +#13343=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#13344=DIRECTION('',(1.E0,0.E0,0.E0)); +#13345=DIRECTION('',(0.E0,1.E0,0.E0)); +#13346=AXIS2_PLACEMENT_3D('',#13343,#13344,#13345); +#13347=PLANE('',#13346); +#13349=ORIENTED_EDGE('',*,*,#13348,.T.); +#13350=ORIENTED_EDGE('',*,*,#13338,.F.); +#13351=ORIENTED_EDGE('',*,*,#11525,.F.); +#13352=ORIENTED_EDGE('',*,*,#12924,.T.); +#13354=ORIENTED_EDGE('',*,*,#13353,.F.); +#13355=EDGE_LOOP('',(#13349,#13350,#13351,#13352,#13354)); +#13356=FACE_OUTER_BOUND('',#13355,.F.); +#13358=CARTESIAN_POINT('',(-8.35E0,-5.789556E1,-1.01E1)); +#13359=DIRECTION('',(0.E0,1.E0,0.E0)); +#13360=DIRECTION('',(0.E0,0.E0,1.E0)); +#13361=AXIS2_PLACEMENT_3D('',#13358,#13359,#13360); +#13362=CYLINDRICAL_SURFACE('',#13361,1.E-1); +#13363=ORIENTED_EDGE('',*,*,#11172,.T.); +#13364=ORIENTED_EDGE('',*,*,#13325,.T.); +#13365=ORIENTED_EDGE('',*,*,#13348,.F.); +#13367=ORIENTED_EDGE('',*,*,#13366,.T.); +#13368=EDGE_LOOP('',(#13363,#13364,#13365,#13367)); +#13369=FACE_OUTER_BOUND('',#13368,.F.); +#13371=CARTESIAN_POINT('',(-8.35E0,-1.02E1,-9.9E0)); +#13372=DIRECTION('',(1.E0,0.E0,0.E0)); +#13373=DIRECTION('',(0.E0,7.564028598729E-1,-6.541060415376E-1)); +#13374=AXIS2_PLACEMENT_3D('',#13371,#13372,#13373); +#13375=TOROIDAL_SURFACE('',#13374,2.E-1,1.E-1); +#13376=ORIENTED_EDGE('',*,*,#13353,.T.); +#13377=ORIENTED_EDGE('',*,*,#12922,.F.); +#13379=ORIENTED_EDGE('',*,*,#13378,.F.); +#13380=ORIENTED_EDGE('',*,*,#13366,.F.); +#13381=EDGE_LOOP('',(#13376,#13377,#13379,#13380)); +#13382=FACE_OUTER_BOUND('',#13381,.F.); +#13384=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#13385=DIRECTION('',(1.E0,0.E0,0.E0)); +#13386=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13387=AXIS2_PLACEMENT_3D('',#13384,#13385,#13386); +#13388=CYLINDRICAL_SURFACE('',#13387,1.E-1); +#13389=ORIENTED_EDGE('',*,*,#12920,.F.); +#13391=ORIENTED_EDGE('',*,*,#13390,.T.); +#13392=ORIENTED_EDGE('',*,*,#11174,.T.); +#13393=ORIENTED_EDGE('',*,*,#13378,.T.); +#13394=EDGE_LOOP('',(#13389,#13391,#13392,#13393)); +#13395=FACE_OUTER_BOUND('',#13394,.F.); +#13397=CARTESIAN_POINT('',(-9.15E0,-1.02E1,-9.9E0)); +#13398=DIRECTION('',(1.E0,0.E0,0.E0)); +#13399=DIRECTION('',(0.E0,5.485369585398E-1,-8.361263093074E-1)); +#13400=AXIS2_PLACEMENT_3D('',#13397,#13398,#13399); +#13401=TOROIDAL_SURFACE('',#13400,2.E-1,1.E-1); +#13402=ORIENTED_EDGE('',*,*,#13293,.F.); +#13403=ORIENTED_EDGE('',*,*,#13311,.F.); +#13404=ORIENTED_EDGE('',*,*,#13390,.F.); +#13405=ORIENTED_EDGE('',*,*,#12918,.F.); +#13406=EDGE_LOOP('',(#13402,#13403,#13404,#13405)); +#13407=FACE_OUTER_BOUND('',#13406,.F.); +#13409=CARTESIAN_POINT('',(-5.75E0,-9.1E0,-2.E1)); +#13410=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13411=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13412=AXIS2_PLACEMENT_3D('',#13409,#13410,#13411); +#13413=PLANE('',#13412); +#13415=ORIENTED_EDGE('',*,*,#13414,.T.); +#13417=ORIENTED_EDGE('',*,*,#13416,.T.); +#13418=ORIENTED_EDGE('',*,*,#12927,.F.); +#13419=ORIENTED_EDGE('',*,*,#11521,.T.); +#13421=ORIENTED_EDGE('',*,*,#13420,.T.); +#13422=EDGE_LOOP('',(#13415,#13417,#13418,#13419,#13421)); +#13423=FACE_OUTER_BOUND('',#13422,.F.); +#13425=CARTESIAN_POINT('',(-5.65E0,-8.961468488237E0,-1.01E1)); +#13426=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13427=DIRECTION('',(0.E0,0.E0,1.E0)); +#13428=AXIS2_PLACEMENT_3D('',#13425,#13426,#13427); +#13429=CYLINDRICAL_SURFACE('',#13428,1.E-1); +#13430=ORIENTED_EDGE('',*,*,#13414,.F.); +#13432=ORIENTED_EDGE('',*,*,#13431,.F.); +#13433=ORIENTED_EDGE('',*,*,#11192,.T.); +#13435=ORIENTED_EDGE('',*,*,#13434,.T.); +#13436=EDGE_LOOP('',(#13430,#13432,#13433,#13435)); +#13437=FACE_OUTER_BOUND('',#13436,.F.); +#13439=CARTESIAN_POINT('',(-5.25E0,-9.1E0,-1.01E1)); +#13440=DIRECTION('',(0.E0,0.E0,1.E0)); +#13441=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#13442=AXIS2_PLACEMENT_3D('',#13439,#13440,#13441); +#13443=TOROIDAL_SURFACE('',#13442,4.E-1,1.E-1); +#13444=ORIENTED_EDGE('',*,*,#11194,.T.); +#13445=ORIENTED_EDGE('',*,*,#13431,.T.); +#13447=ORIENTED_EDGE('',*,*,#13446,.F.); +#13449=ORIENTED_EDGE('',*,*,#13448,.F.); +#13450=EDGE_LOOP('',(#13444,#13445,#13447,#13449)); +#13451=FACE_OUTER_BOUND('',#13450,.F.); +#13453=CARTESIAN_POINT('',(-5.25E0,-9.1E0,-2.E1)); +#13454=DIRECTION('',(0.E0,0.E0,1.E0)); +#13455=DIRECTION('',(1.E0,0.E0,0.E0)); +#13456=AXIS2_PLACEMENT_3D('',#13453,#13454,#13455); +#13457=CYLINDRICAL_SURFACE('',#13456,5.E-1); +#13458=ORIENTED_EDGE('',*,*,#13446,.T.); +#13459=ORIENTED_EDGE('',*,*,#13420,.F.); +#13460=ORIENTED_EDGE('',*,*,#11519,.F.); +#13462=ORIENTED_EDGE('',*,*,#13461,.T.); +#13463=EDGE_LOOP('',(#13458,#13459,#13460,#13462)); +#13464=FACE_OUTER_BOUND('',#13463,.F.); +#13466=CARTESIAN_POINT('',(-4.75E0,-1.03E1,-2.E1)); +#13467=DIRECTION('',(1.E0,0.E0,0.E0)); +#13468=DIRECTION('',(0.E0,1.E0,0.E0)); +#13469=AXIS2_PLACEMENT_3D('',#13466,#13467,#13468); +#13470=PLANE('',#13469); +#13472=ORIENTED_EDGE('',*,*,#13471,.T.); +#13473=ORIENTED_EDGE('',*,*,#13461,.F.); +#13474=ORIENTED_EDGE('',*,*,#11517,.F.); +#13475=ORIENTED_EDGE('',*,*,#12935,.T.); +#13477=ORIENTED_EDGE('',*,*,#13476,.F.); +#13478=EDGE_LOOP('',(#13472,#13473,#13474,#13475,#13477)); +#13479=FACE_OUTER_BOUND('',#13478,.F.); +#13481=CARTESIAN_POINT('',(-4.85E0,-5.789556E1,-1.01E1)); +#13482=DIRECTION('',(0.E0,1.E0,0.E0)); +#13483=DIRECTION('',(0.E0,0.E0,1.E0)); +#13484=AXIS2_PLACEMENT_3D('',#13481,#13482,#13483); +#13485=CYLINDRICAL_SURFACE('',#13484,1.E-1); +#13486=ORIENTED_EDGE('',*,*,#11188,.T.); +#13487=ORIENTED_EDGE('',*,*,#13448,.T.); +#13488=ORIENTED_EDGE('',*,*,#13471,.F.); +#13490=ORIENTED_EDGE('',*,*,#13489,.T.); +#13491=EDGE_LOOP('',(#13486,#13487,#13488,#13490)); +#13492=FACE_OUTER_BOUND('',#13491,.F.); +#13494=CARTESIAN_POINT('',(-4.85E0,-1.02E1,-9.9E0)); +#13495=DIRECTION('',(1.E0,0.E0,0.E0)); +#13496=DIRECTION('',(-6.217248937901E-14,7.564028598729E-1,-6.541060415376E-1)); +#13497=AXIS2_PLACEMENT_3D('',#13494,#13495,#13496); +#13498=TOROIDAL_SURFACE('',#13497,2.E-1,1.E-1); +#13499=ORIENTED_EDGE('',*,*,#13476,.T.); +#13500=ORIENTED_EDGE('',*,*,#12933,.F.); +#13502=ORIENTED_EDGE('',*,*,#13501,.F.); +#13503=ORIENTED_EDGE('',*,*,#13489,.F.); +#13504=EDGE_LOOP('',(#13499,#13500,#13502,#13503)); +#13505=FACE_OUTER_BOUND('',#13504,.F.); +#13507=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#13508=DIRECTION('',(1.E0,0.E0,0.E0)); +#13509=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13510=AXIS2_PLACEMENT_3D('',#13507,#13508,#13509); +#13511=CYLINDRICAL_SURFACE('',#13510,1.E-1); +#13512=ORIENTED_EDGE('',*,*,#12931,.F.); +#13514=ORIENTED_EDGE('',*,*,#13513,.T.); +#13515=ORIENTED_EDGE('',*,*,#11190,.T.); +#13516=ORIENTED_EDGE('',*,*,#13501,.T.); +#13517=EDGE_LOOP('',(#13512,#13514,#13515,#13516)); +#13518=FACE_OUTER_BOUND('',#13517,.F.); +#13520=CARTESIAN_POINT('',(-5.65E0,-1.02E1,-9.9E0)); +#13521=DIRECTION('',(1.E0,0.E0,0.E0)); +#13522=DIRECTION('',(-4.440892098501E-14,5.485369585398E-1,-8.361263093074E-1)); +#13523=AXIS2_PLACEMENT_3D('',#13520,#13521,#13522); +#13524=TOROIDAL_SURFACE('',#13523,2.E-1,1.E-1); +#13525=ORIENTED_EDGE('',*,*,#13416,.F.); +#13526=ORIENTED_EDGE('',*,*,#13434,.F.); +#13527=ORIENTED_EDGE('',*,*,#13513,.F.); +#13528=ORIENTED_EDGE('',*,*,#12929,.F.); +#13529=EDGE_LOOP('',(#13525,#13526,#13527,#13528)); +#13530=FACE_OUTER_BOUND('',#13529,.F.); +#13532=CARTESIAN_POINT('',(-2.25E0,-9.1E0,-2.E1)); +#13533=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13534=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13535=AXIS2_PLACEMENT_3D('',#13532,#13533,#13534); +#13536=PLANE('',#13535); +#13538=ORIENTED_EDGE('',*,*,#13537,.T.); +#13540=ORIENTED_EDGE('',*,*,#13539,.T.); +#13541=ORIENTED_EDGE('',*,*,#12938,.F.); +#13542=ORIENTED_EDGE('',*,*,#11513,.T.); +#13544=ORIENTED_EDGE('',*,*,#13543,.T.); +#13545=EDGE_LOOP('',(#13538,#13540,#13541,#13542,#13544)); +#13546=FACE_OUTER_BOUND('',#13545,.F.); +#13548=CARTESIAN_POINT('',(-2.15E0,-8.961468488237E0,-1.01E1)); +#13549=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13550=DIRECTION('',(0.E0,0.E0,1.E0)); +#13551=AXIS2_PLACEMENT_3D('',#13548,#13549,#13550); +#13552=CYLINDRICAL_SURFACE('',#13551,1.E-1); +#13553=ORIENTED_EDGE('',*,*,#13537,.F.); +#13555=ORIENTED_EDGE('',*,*,#13554,.F.); +#13556=ORIENTED_EDGE('',*,*,#11208,.T.); +#13558=ORIENTED_EDGE('',*,*,#13557,.T.); +#13559=EDGE_LOOP('',(#13553,#13555,#13556,#13558)); +#13560=FACE_OUTER_BOUND('',#13559,.F.); +#13562=CARTESIAN_POINT('',(-1.75E0,-9.1E0,-1.01E1)); +#13563=DIRECTION('',(0.E0,0.E0,1.E0)); +#13564=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#13565=AXIS2_PLACEMENT_3D('',#13562,#13563,#13564); +#13566=TOROIDAL_SURFACE('',#13565,4.E-1,1.E-1); +#13567=ORIENTED_EDGE('',*,*,#11210,.T.); +#13568=ORIENTED_EDGE('',*,*,#13554,.T.); +#13570=ORIENTED_EDGE('',*,*,#13569,.F.); +#13572=ORIENTED_EDGE('',*,*,#13571,.F.); +#13573=EDGE_LOOP('',(#13567,#13568,#13570,#13572)); +#13574=FACE_OUTER_BOUND('',#13573,.F.); +#13576=CARTESIAN_POINT('',(-1.75E0,-9.1E0,-2.E1)); +#13577=DIRECTION('',(0.E0,0.E0,1.E0)); +#13578=DIRECTION('',(1.E0,0.E0,0.E0)); +#13579=AXIS2_PLACEMENT_3D('',#13576,#13577,#13578); +#13580=CYLINDRICAL_SURFACE('',#13579,5.E-1); +#13581=ORIENTED_EDGE('',*,*,#13569,.T.); +#13582=ORIENTED_EDGE('',*,*,#13543,.F.); +#13583=ORIENTED_EDGE('',*,*,#11511,.F.); +#13585=ORIENTED_EDGE('',*,*,#13584,.T.); +#13586=EDGE_LOOP('',(#13581,#13582,#13583,#13585)); +#13587=FACE_OUTER_BOUND('',#13586,.F.); +#13589=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#13590=DIRECTION('',(1.E0,0.E0,0.E0)); +#13591=DIRECTION('',(0.E0,1.E0,0.E0)); +#13592=AXIS2_PLACEMENT_3D('',#13589,#13590,#13591); +#13593=PLANE('',#13592); +#13595=ORIENTED_EDGE('',*,*,#13594,.T.); +#13596=ORIENTED_EDGE('',*,*,#13584,.F.); +#13597=ORIENTED_EDGE('',*,*,#11509,.F.); +#13598=ORIENTED_EDGE('',*,*,#12946,.T.); +#13600=ORIENTED_EDGE('',*,*,#13599,.F.); +#13601=EDGE_LOOP('',(#13595,#13596,#13597,#13598,#13600)); +#13602=FACE_OUTER_BOUND('',#13601,.F.); +#13604=CARTESIAN_POINT('',(-1.35E0,-5.789556E1,-1.01E1)); +#13605=DIRECTION('',(0.E0,1.E0,0.E0)); +#13606=DIRECTION('',(0.E0,0.E0,1.E0)); +#13607=AXIS2_PLACEMENT_3D('',#13604,#13605,#13606); +#13608=CYLINDRICAL_SURFACE('',#13607,1.E-1); +#13609=ORIENTED_EDGE('',*,*,#11204,.T.); +#13610=ORIENTED_EDGE('',*,*,#13571,.T.); +#13611=ORIENTED_EDGE('',*,*,#13594,.F.); +#13613=ORIENTED_EDGE('',*,*,#13612,.T.); +#13614=EDGE_LOOP('',(#13609,#13610,#13611,#13613)); +#13615=FACE_OUTER_BOUND('',#13614,.F.); +#13617=CARTESIAN_POINT('',(-1.35E0,-1.02E1,-9.9E0)); +#13618=DIRECTION('',(1.E0,0.E0,0.E0)); +#13619=DIRECTION('',(2.331468351713E-14,7.564028598729E-1,-6.541060415376E-1)); +#13620=AXIS2_PLACEMENT_3D('',#13617,#13618,#13619); +#13621=TOROIDAL_SURFACE('',#13620,2.E-1,1.E-1); +#13622=ORIENTED_EDGE('',*,*,#13599,.T.); +#13623=ORIENTED_EDGE('',*,*,#12944,.F.); +#13625=ORIENTED_EDGE('',*,*,#13624,.F.); +#13626=ORIENTED_EDGE('',*,*,#13612,.F.); +#13627=EDGE_LOOP('',(#13622,#13623,#13625,#13626)); +#13628=FACE_OUTER_BOUND('',#13627,.F.); +#13630=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#13631=DIRECTION('',(1.E0,0.E0,0.E0)); +#13632=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13633=AXIS2_PLACEMENT_3D('',#13630,#13631,#13632); +#13634=CYLINDRICAL_SURFACE('',#13633,1.E-1); +#13635=ORIENTED_EDGE('',*,*,#12942,.F.); +#13637=ORIENTED_EDGE('',*,*,#13636,.T.); +#13638=ORIENTED_EDGE('',*,*,#11206,.T.); +#13639=ORIENTED_EDGE('',*,*,#13624,.T.); +#13640=EDGE_LOOP('',(#13635,#13637,#13638,#13639)); +#13641=FACE_OUTER_BOUND('',#13640,.F.); +#13643=CARTESIAN_POINT('',(-2.15E0,-1.02E1,-9.9E0)); +#13644=DIRECTION('',(1.E0,0.E0,0.E0)); +#13645=DIRECTION('',(4.662936703426E-14,5.485369585398E-1,-8.361263093074E-1)); +#13646=AXIS2_PLACEMENT_3D('',#13643,#13644,#13645); +#13647=TOROIDAL_SURFACE('',#13646,2.E-1,1.E-1); +#13648=ORIENTED_EDGE('',*,*,#13539,.F.); +#13649=ORIENTED_EDGE('',*,*,#13557,.F.); +#13650=ORIENTED_EDGE('',*,*,#13636,.F.); +#13651=ORIENTED_EDGE('',*,*,#12940,.F.); +#13652=EDGE_LOOP('',(#13648,#13649,#13650,#13651)); +#13653=FACE_OUTER_BOUND('',#13652,.F.); +#13655=CARTESIAN_POINT('',(1.25E0,-9.1E0,-2.E1)); +#13656=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13657=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13658=AXIS2_PLACEMENT_3D('',#13655,#13656,#13657); +#13659=PLANE('',#13658); +#13661=ORIENTED_EDGE('',*,*,#13660,.T.); +#13663=ORIENTED_EDGE('',*,*,#13662,.T.); +#13664=ORIENTED_EDGE('',*,*,#12949,.F.); +#13665=ORIENTED_EDGE('',*,*,#11505,.T.); +#13667=ORIENTED_EDGE('',*,*,#13666,.T.); +#13668=EDGE_LOOP('',(#13661,#13663,#13664,#13665,#13667)); +#13669=FACE_OUTER_BOUND('',#13668,.F.); +#13671=CARTESIAN_POINT('',(1.35E0,-8.961468488237E0,-1.01E1)); +#13672=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13673=DIRECTION('',(0.E0,0.E0,1.E0)); +#13674=AXIS2_PLACEMENT_3D('',#13671,#13672,#13673); +#13675=CYLINDRICAL_SURFACE('',#13674,1.E-1); +#13676=ORIENTED_EDGE('',*,*,#13660,.F.); +#13678=ORIENTED_EDGE('',*,*,#13677,.F.); +#13679=ORIENTED_EDGE('',*,*,#11224,.T.); +#13681=ORIENTED_EDGE('',*,*,#13680,.T.); +#13682=EDGE_LOOP('',(#13676,#13678,#13679,#13681)); +#13683=FACE_OUTER_BOUND('',#13682,.F.); +#13685=CARTESIAN_POINT('',(1.75E0,-9.1E0,-1.01E1)); +#13686=DIRECTION('',(0.E0,0.E0,1.E0)); +#13687=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#13688=AXIS2_PLACEMENT_3D('',#13685,#13686,#13687); +#13689=TOROIDAL_SURFACE('',#13688,4.E-1,1.E-1); +#13690=ORIENTED_EDGE('',*,*,#11226,.T.); +#13691=ORIENTED_EDGE('',*,*,#13677,.T.); +#13693=ORIENTED_EDGE('',*,*,#13692,.F.); +#13695=ORIENTED_EDGE('',*,*,#13694,.F.); +#13696=EDGE_LOOP('',(#13690,#13691,#13693,#13695)); +#13697=FACE_OUTER_BOUND('',#13696,.F.); +#13699=CARTESIAN_POINT('',(1.75E0,-9.1E0,-2.E1)); +#13700=DIRECTION('',(0.E0,0.E0,1.E0)); +#13701=DIRECTION('',(1.E0,0.E0,0.E0)); +#13702=AXIS2_PLACEMENT_3D('',#13699,#13700,#13701); +#13703=CYLINDRICAL_SURFACE('',#13702,5.E-1); +#13704=ORIENTED_EDGE('',*,*,#13692,.T.); +#13705=ORIENTED_EDGE('',*,*,#13666,.F.); +#13706=ORIENTED_EDGE('',*,*,#11503,.F.); +#13708=ORIENTED_EDGE('',*,*,#13707,.T.); +#13709=EDGE_LOOP('',(#13704,#13705,#13706,#13708)); +#13710=FACE_OUTER_BOUND('',#13709,.F.); +#13712=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#13713=DIRECTION('',(1.E0,0.E0,0.E0)); +#13714=DIRECTION('',(0.E0,1.E0,0.E0)); +#13715=AXIS2_PLACEMENT_3D('',#13712,#13713,#13714); +#13716=PLANE('',#13715); +#13718=ORIENTED_EDGE('',*,*,#13717,.T.); +#13719=ORIENTED_EDGE('',*,*,#13707,.F.); +#13720=ORIENTED_EDGE('',*,*,#11501,.F.); +#13721=ORIENTED_EDGE('',*,*,#12957,.T.); +#13723=ORIENTED_EDGE('',*,*,#13722,.F.); +#13724=EDGE_LOOP('',(#13718,#13719,#13720,#13721,#13723)); +#13725=FACE_OUTER_BOUND('',#13724,.F.); +#13727=CARTESIAN_POINT('',(2.15E0,-5.789556E1,-1.01E1)); +#13728=DIRECTION('',(0.E0,1.E0,0.E0)); +#13729=DIRECTION('',(0.E0,0.E0,1.E0)); +#13730=AXIS2_PLACEMENT_3D('',#13727,#13728,#13729); +#13731=CYLINDRICAL_SURFACE('',#13730,1.E-1); +#13732=ORIENTED_EDGE('',*,*,#11220,.T.); +#13733=ORIENTED_EDGE('',*,*,#13694,.T.); +#13734=ORIENTED_EDGE('',*,*,#13717,.F.); +#13736=ORIENTED_EDGE('',*,*,#13735,.T.); +#13737=EDGE_LOOP('',(#13732,#13733,#13734,#13736)); +#13738=FACE_OUTER_BOUND('',#13737,.F.); +#13740=CARTESIAN_POINT('',(2.15E0,-1.02E1,-9.9E0)); +#13741=DIRECTION('',(1.E0,0.E0,0.E0)); +#13742=DIRECTION('',(-4.662936703426E-14,7.564028598729E-1,-6.541060415376E-1)); +#13743=AXIS2_PLACEMENT_3D('',#13740,#13741,#13742); +#13744=TOROIDAL_SURFACE('',#13743,2.E-1,1.E-1); +#13745=ORIENTED_EDGE('',*,*,#13722,.T.); +#13746=ORIENTED_EDGE('',*,*,#12955,.F.); +#13748=ORIENTED_EDGE('',*,*,#13747,.F.); +#13749=ORIENTED_EDGE('',*,*,#13735,.F.); +#13750=EDGE_LOOP('',(#13745,#13746,#13748,#13749)); +#13751=FACE_OUTER_BOUND('',#13750,.F.); +#13753=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#13754=DIRECTION('',(1.E0,0.E0,0.E0)); +#13755=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13756=AXIS2_PLACEMENT_3D('',#13753,#13754,#13755); +#13757=CYLINDRICAL_SURFACE('',#13756,1.E-1); +#13758=ORIENTED_EDGE('',*,*,#12953,.F.); +#13760=ORIENTED_EDGE('',*,*,#13759,.T.); +#13761=ORIENTED_EDGE('',*,*,#11222,.T.); +#13762=ORIENTED_EDGE('',*,*,#13747,.T.); +#13763=EDGE_LOOP('',(#13758,#13760,#13761,#13762)); +#13764=FACE_OUTER_BOUND('',#13763,.F.); +#13766=CARTESIAN_POINT('',(1.35E0,-1.02E1,-9.9E0)); +#13767=DIRECTION('',(1.E0,0.E0,0.E0)); +#13768=DIRECTION('',(-2.331468351713E-14,5.485369585398E-1,-8.361263093074E-1)); +#13769=AXIS2_PLACEMENT_3D('',#13766,#13767,#13768); +#13770=TOROIDAL_SURFACE('',#13769,2.E-1,1.E-1); +#13771=ORIENTED_EDGE('',*,*,#13662,.F.); +#13772=ORIENTED_EDGE('',*,*,#13680,.F.); +#13773=ORIENTED_EDGE('',*,*,#13759,.F.); +#13774=ORIENTED_EDGE('',*,*,#12951,.F.); +#13775=EDGE_LOOP('',(#13771,#13772,#13773,#13774)); +#13776=FACE_OUTER_BOUND('',#13775,.F.); +#13778=CARTESIAN_POINT('',(4.575E0,-8.075E0,-2.E1)); +#13779=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13780=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13781=AXIS2_PLACEMENT_3D('',#13778,#13779,#13780); +#13782=PLANE('',#13781); +#13784=ORIENTED_EDGE('',*,*,#13783,.T.); +#13786=ORIENTED_EDGE('',*,*,#13785,.T.); +#13787=ORIENTED_EDGE('',*,*,#12960,.F.); +#13788=ORIENTED_EDGE('',*,*,#11497,.T.); +#13790=ORIENTED_EDGE('',*,*,#13789,.T.); +#13791=EDGE_LOOP('',(#13784,#13786,#13787,#13788,#13790)); +#13792=FACE_OUTER_BOUND('',#13791,.F.); +#13794=CARTESIAN_POINT('',(4.675E0,-7.936468488237E0,-1.01E1)); +#13795=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13796=DIRECTION('',(0.E0,0.E0,1.E0)); +#13797=AXIS2_PLACEMENT_3D('',#13794,#13795,#13796); +#13798=CYLINDRICAL_SURFACE('',#13797,1.E-1); +#13799=ORIENTED_EDGE('',*,*,#13783,.F.); +#13801=ORIENTED_EDGE('',*,*,#13800,.F.); +#13802=ORIENTED_EDGE('',*,*,#11240,.T.); +#13804=ORIENTED_EDGE('',*,*,#13803,.T.); +#13805=EDGE_LOOP('',(#13799,#13801,#13802,#13804)); +#13806=FACE_OUTER_BOUND('',#13805,.F.); +#13808=CARTESIAN_POINT('',(5.25E0,-8.075E0,-1.01E1)); +#13809=DIRECTION('',(0.E0,0.E0,1.E0)); +#13810=DIRECTION('',(9.790137572308E-1,-2.037941685937E-1,0.E0)); +#13811=AXIS2_PLACEMENT_3D('',#13808,#13809,#13810); +#13812=TOROIDAL_SURFACE('',#13811,5.75E-1,1.E-1); +#13813=ORIENTED_EDGE('',*,*,#11242,.T.); +#13814=ORIENTED_EDGE('',*,*,#13800,.T.); +#13816=ORIENTED_EDGE('',*,*,#13815,.F.); +#13818=ORIENTED_EDGE('',*,*,#13817,.F.); +#13819=EDGE_LOOP('',(#13813,#13814,#13816,#13818)); +#13820=FACE_OUTER_BOUND('',#13819,.F.); +#13822=CARTESIAN_POINT('',(5.25E0,-8.075E0,-2.E1)); +#13823=DIRECTION('',(0.E0,0.E0,1.E0)); +#13824=DIRECTION('',(1.E0,0.E0,0.E0)); +#13825=AXIS2_PLACEMENT_3D('',#13822,#13823,#13824); +#13826=CYLINDRICAL_SURFACE('',#13825,6.75E-1); +#13827=ORIENTED_EDGE('',*,*,#13815,.T.); +#13828=ORIENTED_EDGE('',*,*,#13789,.F.); +#13829=ORIENTED_EDGE('',*,*,#11495,.F.); +#13831=ORIENTED_EDGE('',*,*,#13830,.T.); +#13832=EDGE_LOOP('',(#13827,#13828,#13829,#13831)); +#13833=FACE_OUTER_BOUND('',#13832,.F.); +#13835=CARTESIAN_POINT('',(5.925E0,-1.03E1,-2.E1)); +#13836=DIRECTION('',(1.E0,0.E0,0.E0)); +#13837=DIRECTION('',(0.E0,1.E0,0.E0)); +#13838=AXIS2_PLACEMENT_3D('',#13835,#13836,#13837); +#13839=PLANE('',#13838); +#13841=ORIENTED_EDGE('',*,*,#13840,.T.); +#13842=ORIENTED_EDGE('',*,*,#13830,.F.); +#13843=ORIENTED_EDGE('',*,*,#11493,.F.); +#13844=ORIENTED_EDGE('',*,*,#12968,.T.); +#13846=ORIENTED_EDGE('',*,*,#13845,.F.); +#13847=EDGE_LOOP('',(#13841,#13842,#13843,#13844,#13846)); +#13848=FACE_OUTER_BOUND('',#13847,.F.); +#13850=CARTESIAN_POINT('',(5.825E0,-5.789556E1,-1.01E1)); +#13851=DIRECTION('',(0.E0,1.E0,0.E0)); +#13852=DIRECTION('',(0.E0,0.E0,1.E0)); +#13853=AXIS2_PLACEMENT_3D('',#13850,#13851,#13852); +#13854=CYLINDRICAL_SURFACE('',#13853,1.E-1); +#13855=ORIENTED_EDGE('',*,*,#11236,.T.); +#13856=ORIENTED_EDGE('',*,*,#13817,.T.); +#13857=ORIENTED_EDGE('',*,*,#13840,.F.); +#13859=ORIENTED_EDGE('',*,*,#13858,.T.); +#13860=EDGE_LOOP('',(#13855,#13856,#13857,#13859)); +#13861=FACE_OUTER_BOUND('',#13860,.F.); +#13863=CARTESIAN_POINT('',(5.825E0,-1.02E1,-9.9E0)); +#13864=DIRECTION('',(1.E0,0.E0,0.E0)); +#13865=DIRECTION('',(-3.108624468950E-14,7.564028598729E-1,-6.541060415376E-1)); +#13866=AXIS2_PLACEMENT_3D('',#13863,#13864,#13865); +#13867=TOROIDAL_SURFACE('',#13866,2.E-1,1.E-1); +#13868=ORIENTED_EDGE('',*,*,#13845,.T.); +#13869=ORIENTED_EDGE('',*,*,#12966,.F.); +#13871=ORIENTED_EDGE('',*,*,#13870,.F.); +#13872=ORIENTED_EDGE('',*,*,#13858,.F.); +#13873=EDGE_LOOP('',(#13868,#13869,#13871,#13872)); +#13874=FACE_OUTER_BOUND('',#13873,.F.); +#13876=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#13877=DIRECTION('',(1.E0,0.E0,0.E0)); +#13878=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13879=AXIS2_PLACEMENT_3D('',#13876,#13877,#13878); +#13880=CYLINDRICAL_SURFACE('',#13879,1.E-1); +#13881=ORIENTED_EDGE('',*,*,#12964,.F.); +#13883=ORIENTED_EDGE('',*,*,#13882,.T.); +#13884=ORIENTED_EDGE('',*,*,#11238,.T.); +#13885=ORIENTED_EDGE('',*,*,#13870,.T.); +#13886=EDGE_LOOP('',(#13881,#13883,#13884,#13885)); +#13887=FACE_OUTER_BOUND('',#13886,.F.); +#13889=CARTESIAN_POINT('',(4.675E0,-1.02E1,-9.9E0)); +#13890=DIRECTION('',(1.E0,0.E0,0.E0)); +#13891=DIRECTION('',(0.E0,5.485369585398E-1,-8.361263093074E-1)); +#13892=AXIS2_PLACEMENT_3D('',#13889,#13890,#13891); +#13893=TOROIDAL_SURFACE('',#13892,2.E-1,1.E-1); +#13894=ORIENTED_EDGE('',*,*,#13785,.F.); +#13895=ORIENTED_EDGE('',*,*,#13803,.F.); +#13896=ORIENTED_EDGE('',*,*,#13882,.F.); +#13897=ORIENTED_EDGE('',*,*,#12962,.F.); +#13898=EDGE_LOOP('',(#13894,#13895,#13896,#13897)); +#13899=FACE_OUTER_BOUND('',#13898,.F.); +#13901=CARTESIAN_POINT('',(8.25E0,-9.1E0,-2.E1)); +#13902=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13903=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13904=AXIS2_PLACEMENT_3D('',#13901,#13902,#13903); +#13905=PLANE('',#13904); +#13907=ORIENTED_EDGE('',*,*,#13906,.T.); +#13909=ORIENTED_EDGE('',*,*,#13908,.T.); +#13910=ORIENTED_EDGE('',*,*,#12971,.F.); +#13911=ORIENTED_EDGE('',*,*,#11489,.T.); +#13913=ORIENTED_EDGE('',*,*,#13912,.T.); +#13914=EDGE_LOOP('',(#13907,#13909,#13910,#13911,#13913)); +#13915=FACE_OUTER_BOUND('',#13914,.F.); +#13917=CARTESIAN_POINT('',(8.35E0,-8.961468488237E0,-1.01E1)); +#13918=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13919=DIRECTION('',(0.E0,0.E0,1.E0)); +#13920=AXIS2_PLACEMENT_3D('',#13917,#13918,#13919); +#13921=CYLINDRICAL_SURFACE('',#13920,1.E-1); +#13922=ORIENTED_EDGE('',*,*,#13906,.F.); +#13924=ORIENTED_EDGE('',*,*,#13923,.F.); +#13925=ORIENTED_EDGE('',*,*,#11256,.T.); +#13927=ORIENTED_EDGE('',*,*,#13926,.T.); +#13928=EDGE_LOOP('',(#13922,#13924,#13925,#13927)); +#13929=FACE_OUTER_BOUND('',#13928,.F.); +#13931=CARTESIAN_POINT('',(8.75E0,-9.1E0,-1.01E1)); +#13932=DIRECTION('',(0.E0,0.E0,1.E0)); +#13933=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#13934=AXIS2_PLACEMENT_3D('',#13931,#13932,#13933); +#13935=TOROIDAL_SURFACE('',#13934,4.E-1,1.E-1); +#13936=ORIENTED_EDGE('',*,*,#11258,.T.); +#13937=ORIENTED_EDGE('',*,*,#13923,.T.); +#13939=ORIENTED_EDGE('',*,*,#13938,.F.); +#13941=ORIENTED_EDGE('',*,*,#13940,.F.); +#13942=EDGE_LOOP('',(#13936,#13937,#13939,#13941)); +#13943=FACE_OUTER_BOUND('',#13942,.F.); +#13945=CARTESIAN_POINT('',(8.75E0,-9.1E0,-2.E1)); +#13946=DIRECTION('',(0.E0,0.E0,1.E0)); +#13947=DIRECTION('',(1.E0,0.E0,0.E0)); +#13948=AXIS2_PLACEMENT_3D('',#13945,#13946,#13947); +#13949=CYLINDRICAL_SURFACE('',#13948,5.E-1); +#13950=ORIENTED_EDGE('',*,*,#13938,.T.); +#13951=ORIENTED_EDGE('',*,*,#13912,.F.); +#13952=ORIENTED_EDGE('',*,*,#11487,.F.); +#13954=ORIENTED_EDGE('',*,*,#13953,.T.); +#13955=EDGE_LOOP('',(#13950,#13951,#13952,#13954)); +#13956=FACE_OUTER_BOUND('',#13955,.F.); +#13958=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#13959=DIRECTION('',(1.E0,0.E0,0.E0)); +#13960=DIRECTION('',(0.E0,1.E0,0.E0)); +#13961=AXIS2_PLACEMENT_3D('',#13958,#13959,#13960); +#13962=PLANE('',#13961); +#13964=ORIENTED_EDGE('',*,*,#13963,.T.); +#13965=ORIENTED_EDGE('',*,*,#13953,.F.); +#13966=ORIENTED_EDGE('',*,*,#11485,.F.); +#13967=ORIENTED_EDGE('',*,*,#12979,.T.); +#13969=ORIENTED_EDGE('',*,*,#13968,.F.); +#13970=EDGE_LOOP('',(#13964,#13965,#13966,#13967,#13969)); +#13971=FACE_OUTER_BOUND('',#13970,.F.); +#13973=CARTESIAN_POINT('',(9.15E0,-5.789556E1,-1.01E1)); +#13974=DIRECTION('',(0.E0,1.E0,0.E0)); +#13975=DIRECTION('',(0.E0,0.E0,1.E0)); +#13976=AXIS2_PLACEMENT_3D('',#13973,#13974,#13975); +#13977=CYLINDRICAL_SURFACE('',#13976,1.E-1); +#13978=ORIENTED_EDGE('',*,*,#11252,.T.); +#13979=ORIENTED_EDGE('',*,*,#13940,.T.); +#13980=ORIENTED_EDGE('',*,*,#13963,.F.); +#13982=ORIENTED_EDGE('',*,*,#13981,.T.); +#13983=EDGE_LOOP('',(#13978,#13979,#13980,#13982)); +#13984=FACE_OUTER_BOUND('',#13983,.F.); +#13986=CARTESIAN_POINT('',(9.15E0,-1.02E1,-9.9E0)); +#13987=DIRECTION('',(1.E0,0.E0,0.E0)); +#13988=DIRECTION('',(0.E0,7.564028598729E-1,-6.541060415376E-1)); +#13989=AXIS2_PLACEMENT_3D('',#13986,#13987,#13988); +#13990=TOROIDAL_SURFACE('',#13989,2.E-1,1.E-1); +#13991=ORIENTED_EDGE('',*,*,#13968,.T.); +#13992=ORIENTED_EDGE('',*,*,#12977,.F.); +#13994=ORIENTED_EDGE('',*,*,#13993,.F.); +#13995=ORIENTED_EDGE('',*,*,#13981,.F.); +#13996=EDGE_LOOP('',(#13991,#13992,#13994,#13995)); +#13997=FACE_OUTER_BOUND('',#13996,.F.); +#13999=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#14000=DIRECTION('',(1.E0,0.E0,0.E0)); +#14001=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14002=AXIS2_PLACEMENT_3D('',#13999,#14000,#14001); +#14003=CYLINDRICAL_SURFACE('',#14002,1.E-1); +#14004=ORIENTED_EDGE('',*,*,#12975,.F.); +#14006=ORIENTED_EDGE('',*,*,#14005,.T.); +#14007=ORIENTED_EDGE('',*,*,#11254,.T.); +#14008=ORIENTED_EDGE('',*,*,#13993,.T.); +#14009=EDGE_LOOP('',(#14004,#14006,#14007,#14008)); +#14010=FACE_OUTER_BOUND('',#14009,.F.); +#14012=CARTESIAN_POINT('',(8.35E0,-1.02E1,-9.9E0)); +#14013=DIRECTION('',(1.E0,0.E0,0.E0)); +#14014=DIRECTION('',(0.E0,5.485369585398E-1,-8.361263093074E-1)); +#14015=AXIS2_PLACEMENT_3D('',#14012,#14013,#14014); +#14016=TOROIDAL_SURFACE('',#14015,2.E-1,1.E-1); +#14017=ORIENTED_EDGE('',*,*,#13908,.F.); +#14018=ORIENTED_EDGE('',*,*,#13926,.F.); +#14019=ORIENTED_EDGE('',*,*,#14005,.F.); +#14020=ORIENTED_EDGE('',*,*,#12973,.F.); +#14021=EDGE_LOOP('',(#14017,#14018,#14019,#14020)); +#14022=FACE_OUTER_BOUND('',#14021,.F.); +#14024=CARTESIAN_POINT('',(1.175E1,-9.1E0,-2.E1)); +#14025=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14026=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14027=AXIS2_PLACEMENT_3D('',#14024,#14025,#14026); +#14028=PLANE('',#14027); +#14030=ORIENTED_EDGE('',*,*,#14029,.T.); +#14032=ORIENTED_EDGE('',*,*,#14031,.T.); +#14033=ORIENTED_EDGE('',*,*,#12982,.F.); +#14034=ORIENTED_EDGE('',*,*,#11481,.T.); +#14036=ORIENTED_EDGE('',*,*,#14035,.T.); +#14037=EDGE_LOOP('',(#14030,#14032,#14033,#14034,#14036)); +#14038=FACE_OUTER_BOUND('',#14037,.F.); +#14040=CARTESIAN_POINT('',(1.185E1,-8.961468488237E0,-1.01E1)); +#14041=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14042=DIRECTION('',(0.E0,0.E0,1.E0)); +#14043=AXIS2_PLACEMENT_3D('',#14040,#14041,#14042); +#14044=CYLINDRICAL_SURFACE('',#14043,1.E-1); +#14045=ORIENTED_EDGE('',*,*,#14029,.F.); +#14047=ORIENTED_EDGE('',*,*,#14046,.F.); +#14048=ORIENTED_EDGE('',*,*,#11272,.T.); +#14050=ORIENTED_EDGE('',*,*,#14049,.T.); +#14051=EDGE_LOOP('',(#14045,#14047,#14048,#14050)); +#14052=FACE_OUTER_BOUND('',#14051,.F.); +#14054=CARTESIAN_POINT('',(1.225E1,-9.1E0,-1.01E1)); +#14055=DIRECTION('',(0.E0,0.E0,1.E0)); +#14056=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#14057=AXIS2_PLACEMENT_3D('',#14054,#14055,#14056); +#14058=TOROIDAL_SURFACE('',#14057,4.E-1,1.E-1); +#14059=ORIENTED_EDGE('',*,*,#11274,.T.); +#14060=ORIENTED_EDGE('',*,*,#14046,.T.); +#14062=ORIENTED_EDGE('',*,*,#14061,.F.); +#14064=ORIENTED_EDGE('',*,*,#14063,.F.); +#14065=EDGE_LOOP('',(#14059,#14060,#14062,#14064)); +#14066=FACE_OUTER_BOUND('',#14065,.F.); +#14068=CARTESIAN_POINT('',(1.225E1,-9.1E0,-2.E1)); +#14069=DIRECTION('',(0.E0,0.E0,1.E0)); +#14070=DIRECTION('',(1.E0,0.E0,0.E0)); +#14071=AXIS2_PLACEMENT_3D('',#14068,#14069,#14070); +#14072=CYLINDRICAL_SURFACE('',#14071,5.E-1); +#14073=ORIENTED_EDGE('',*,*,#14061,.T.); +#14074=ORIENTED_EDGE('',*,*,#14035,.F.); +#14075=ORIENTED_EDGE('',*,*,#11479,.F.); +#14077=ORIENTED_EDGE('',*,*,#14076,.T.); +#14078=EDGE_LOOP('',(#14073,#14074,#14075,#14077)); +#14079=FACE_OUTER_BOUND('',#14078,.F.); +#14081=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#14082=DIRECTION('',(1.E0,0.E0,0.E0)); +#14083=DIRECTION('',(0.E0,1.E0,0.E0)); +#14084=AXIS2_PLACEMENT_3D('',#14081,#14082,#14083); +#14085=PLANE('',#14084); +#14087=ORIENTED_EDGE('',*,*,#14086,.T.); +#14088=ORIENTED_EDGE('',*,*,#14076,.F.); +#14089=ORIENTED_EDGE('',*,*,#11477,.F.); +#14090=ORIENTED_EDGE('',*,*,#12990,.T.); +#14092=ORIENTED_EDGE('',*,*,#14091,.F.); +#14093=EDGE_LOOP('',(#14087,#14088,#14089,#14090,#14092)); +#14094=FACE_OUTER_BOUND('',#14093,.F.); +#14096=CARTESIAN_POINT('',(1.265E1,-5.789556E1,-1.01E1)); +#14097=DIRECTION('',(0.E0,1.E0,0.E0)); +#14098=DIRECTION('',(0.E0,0.E0,1.E0)); +#14099=AXIS2_PLACEMENT_3D('',#14096,#14097,#14098); +#14100=CYLINDRICAL_SURFACE('',#14099,1.E-1); +#14101=ORIENTED_EDGE('',*,*,#11268,.T.); +#14102=ORIENTED_EDGE('',*,*,#14063,.T.); +#14103=ORIENTED_EDGE('',*,*,#14086,.F.); +#14105=ORIENTED_EDGE('',*,*,#14104,.T.); +#14106=EDGE_LOOP('',(#14101,#14102,#14103,#14105)); +#14107=FACE_OUTER_BOUND('',#14106,.F.); +#14109=CARTESIAN_POINT('',(1.265E1,-1.02E1,-9.9E0)); +#14110=DIRECTION('',(1.E0,0.E0,0.E0)); +#14111=DIRECTION('',(5.329070518201E-14,7.564028598729E-1,-6.541060415376E-1)); +#14112=AXIS2_PLACEMENT_3D('',#14109,#14110,#14111); +#14113=TOROIDAL_SURFACE('',#14112,2.E-1,1.E-1); +#14114=ORIENTED_EDGE('',*,*,#14091,.T.); +#14115=ORIENTED_EDGE('',*,*,#12988,.F.); +#14117=ORIENTED_EDGE('',*,*,#14116,.F.); +#14118=ORIENTED_EDGE('',*,*,#14104,.F.); +#14119=EDGE_LOOP('',(#14114,#14115,#14117,#14118)); +#14120=FACE_OUTER_BOUND('',#14119,.F.); +#14122=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#14123=DIRECTION('',(1.E0,0.E0,0.E0)); +#14124=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14125=AXIS2_PLACEMENT_3D('',#14122,#14123,#14124); +#14126=CYLINDRICAL_SURFACE('',#14125,1.E-1); +#14127=ORIENTED_EDGE('',*,*,#12986,.F.); +#14129=ORIENTED_EDGE('',*,*,#14128,.T.); +#14130=ORIENTED_EDGE('',*,*,#11270,.T.); +#14131=ORIENTED_EDGE('',*,*,#14116,.T.); +#14132=EDGE_LOOP('',(#14127,#14129,#14130,#14131)); +#14133=FACE_OUTER_BOUND('',#14132,.F.); +#14135=CARTESIAN_POINT('',(1.185E1,-1.02E1,-9.9E0)); +#14136=DIRECTION('',(1.E0,0.E0,0.E0)); +#14137=DIRECTION('',(-3.552713678801E-14,5.485369585398E-1,-8.361263093074E-1)); +#14138=AXIS2_PLACEMENT_3D('',#14135,#14136,#14137); +#14139=TOROIDAL_SURFACE('',#14138,2.E-1,1.E-1); +#14140=ORIENTED_EDGE('',*,*,#14031,.F.); +#14141=ORIENTED_EDGE('',*,*,#14049,.F.); +#14142=ORIENTED_EDGE('',*,*,#14128,.F.); +#14143=ORIENTED_EDGE('',*,*,#12984,.F.); +#14144=EDGE_LOOP('',(#14140,#14141,#14142,#14143)); +#14145=FACE_OUTER_BOUND('',#14144,.F.); +#14147=CARTESIAN_POINT('',(1.525E1,-9.1E0,-2.E1)); +#14148=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14149=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14150=AXIS2_PLACEMENT_3D('',#14147,#14148,#14149); +#14151=PLANE('',#14150); +#14153=ORIENTED_EDGE('',*,*,#14152,.T.); +#14155=ORIENTED_EDGE('',*,*,#14154,.T.); +#14156=ORIENTED_EDGE('',*,*,#12993,.F.); +#14157=ORIENTED_EDGE('',*,*,#11473,.T.); +#14159=ORIENTED_EDGE('',*,*,#14158,.T.); +#14160=EDGE_LOOP('',(#14153,#14155,#14156,#14157,#14159)); +#14161=FACE_OUTER_BOUND('',#14160,.F.); +#14163=CARTESIAN_POINT('',(1.535E1,-8.961468488237E0,-1.01E1)); +#14164=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14165=DIRECTION('',(0.E0,0.E0,1.E0)); +#14166=AXIS2_PLACEMENT_3D('',#14163,#14164,#14165); +#14167=CYLINDRICAL_SURFACE('',#14166,1.E-1); +#14168=ORIENTED_EDGE('',*,*,#14152,.F.); +#14170=ORIENTED_EDGE('',*,*,#14169,.F.); +#14171=ORIENTED_EDGE('',*,*,#11288,.T.); +#14173=ORIENTED_EDGE('',*,*,#14172,.T.); +#14174=EDGE_LOOP('',(#14168,#14170,#14171,#14173)); +#14175=FACE_OUTER_BOUND('',#14174,.F.); +#14177=CARTESIAN_POINT('',(1.575E1,-9.1E0,-1.01E1)); +#14178=DIRECTION('',(0.E0,0.E0,1.E0)); +#14179=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#14180=AXIS2_PLACEMENT_3D('',#14177,#14178,#14179); +#14181=TOROIDAL_SURFACE('',#14180,4.E-1,1.E-1); +#14182=ORIENTED_EDGE('',*,*,#11290,.T.); +#14183=ORIENTED_EDGE('',*,*,#14169,.T.); +#14185=ORIENTED_EDGE('',*,*,#14184,.F.); +#14187=ORIENTED_EDGE('',*,*,#14186,.F.); +#14188=EDGE_LOOP('',(#14182,#14183,#14185,#14187)); +#14189=FACE_OUTER_BOUND('',#14188,.F.); +#14191=CARTESIAN_POINT('',(1.575E1,-9.1E0,-2.E1)); +#14192=DIRECTION('',(0.E0,0.E0,1.E0)); +#14193=DIRECTION('',(1.E0,0.E0,0.E0)); +#14194=AXIS2_PLACEMENT_3D('',#14191,#14192,#14193); +#14195=CYLINDRICAL_SURFACE('',#14194,5.E-1); +#14196=ORIENTED_EDGE('',*,*,#14184,.T.); +#14197=ORIENTED_EDGE('',*,*,#14158,.F.); +#14198=ORIENTED_EDGE('',*,*,#11471,.F.); +#14200=ORIENTED_EDGE('',*,*,#14199,.T.); +#14201=EDGE_LOOP('',(#14196,#14197,#14198,#14200)); +#14202=FACE_OUTER_BOUND('',#14201,.F.); +#14204=CARTESIAN_POINT('',(1.625E1,-1.03E1,-2.E1)); +#14205=DIRECTION('',(1.E0,0.E0,0.E0)); +#14206=DIRECTION('',(0.E0,1.E0,0.E0)); +#14207=AXIS2_PLACEMENT_3D('',#14204,#14205,#14206); +#14208=PLANE('',#14207); +#14210=ORIENTED_EDGE('',*,*,#14209,.T.); +#14211=ORIENTED_EDGE('',*,*,#14199,.F.); +#14212=ORIENTED_EDGE('',*,*,#11469,.F.); +#14213=ORIENTED_EDGE('',*,*,#13001,.T.); +#14215=ORIENTED_EDGE('',*,*,#14214,.F.); +#14216=EDGE_LOOP('',(#14210,#14211,#14212,#14213,#14215)); +#14217=FACE_OUTER_BOUND('',#14216,.F.); +#14219=CARTESIAN_POINT('',(1.615E1,-5.789556E1,-1.01E1)); +#14220=DIRECTION('',(0.E0,1.E0,0.E0)); +#14221=DIRECTION('',(0.E0,0.E0,1.E0)); +#14222=AXIS2_PLACEMENT_3D('',#14219,#14220,#14221); +#14223=CYLINDRICAL_SURFACE('',#14222,1.E-1); +#14224=ORIENTED_EDGE('',*,*,#11284,.T.); +#14225=ORIENTED_EDGE('',*,*,#14186,.T.); +#14226=ORIENTED_EDGE('',*,*,#14209,.F.); +#14228=ORIENTED_EDGE('',*,*,#14227,.T.); +#14229=EDGE_LOOP('',(#14224,#14225,#14226,#14228)); +#14230=FACE_OUTER_BOUND('',#14229,.F.); +#14232=CARTESIAN_POINT('',(1.615E1,-1.02E1,-9.9E0)); +#14233=DIRECTION('',(1.E0,0.E0,0.E0)); +#14234=DIRECTION('',(-3.552713678801E-14,7.564028598729E-1,-6.541060415376E-1)); +#14235=AXIS2_PLACEMENT_3D('',#14232,#14233,#14234); +#14236=TOROIDAL_SURFACE('',#14235,2.E-1,1.E-1); +#14237=ORIENTED_EDGE('',*,*,#14214,.T.); +#14238=ORIENTED_EDGE('',*,*,#12999,.F.); +#14240=ORIENTED_EDGE('',*,*,#14239,.F.); +#14241=ORIENTED_EDGE('',*,*,#14227,.F.); +#14242=EDGE_LOOP('',(#14237,#14238,#14240,#14241)); +#14243=FACE_OUTER_BOUND('',#14242,.F.); +#14245=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#14246=DIRECTION('',(1.E0,0.E0,0.E0)); +#14247=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14248=AXIS2_PLACEMENT_3D('',#14245,#14246,#14247); +#14249=CYLINDRICAL_SURFACE('',#14248,1.E-1); +#14250=ORIENTED_EDGE('',*,*,#12997,.F.); +#14252=ORIENTED_EDGE('',*,*,#14251,.T.); +#14253=ORIENTED_EDGE('',*,*,#11286,.T.); +#14254=ORIENTED_EDGE('',*,*,#14239,.T.); +#14255=EDGE_LOOP('',(#14250,#14252,#14253,#14254)); +#14256=FACE_OUTER_BOUND('',#14255,.F.); +#14258=CARTESIAN_POINT('',(1.535E1,-1.02E1,-9.9E0)); +#14259=DIRECTION('',(1.E0,0.E0,0.E0)); +#14260=DIRECTION('',(2.664535259100E-14,5.485369585398E-1,-8.361263093074E-1)); +#14261=AXIS2_PLACEMENT_3D('',#14258,#14259,#14260); +#14262=TOROIDAL_SURFACE('',#14261,2.E-1,1.E-1); +#14263=ORIENTED_EDGE('',*,*,#14154,.F.); +#14264=ORIENTED_EDGE('',*,*,#14172,.F.); +#14265=ORIENTED_EDGE('',*,*,#14251,.F.); +#14266=ORIENTED_EDGE('',*,*,#12995,.F.); +#14267=EDGE_LOOP('',(#14263,#14264,#14265,#14266)); +#14268=FACE_OUTER_BOUND('',#14267,.F.); +#14270=CARTESIAN_POINT('',(1.875E1,-9.1E0,-2.E1)); +#14271=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14272=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14273=AXIS2_PLACEMENT_3D('',#14270,#14271,#14272); +#14274=PLANE('',#14273); +#14276=ORIENTED_EDGE('',*,*,#14275,.T.); +#14278=ORIENTED_EDGE('',*,*,#14277,.T.); +#14279=ORIENTED_EDGE('',*,*,#13004,.F.); +#14280=ORIENTED_EDGE('',*,*,#11465,.T.); +#14282=ORIENTED_EDGE('',*,*,#14281,.T.); +#14283=EDGE_LOOP('',(#14276,#14278,#14279,#14280,#14282)); +#14284=FACE_OUTER_BOUND('',#14283,.F.); +#14286=CARTESIAN_POINT('',(1.885E1,-8.961468488237E0,-1.01E1)); +#14287=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14288=DIRECTION('',(0.E0,0.E0,1.E0)); +#14289=AXIS2_PLACEMENT_3D('',#14286,#14287,#14288); +#14290=CYLINDRICAL_SURFACE('',#14289,1.E-1); +#14291=ORIENTED_EDGE('',*,*,#14275,.F.); +#14293=ORIENTED_EDGE('',*,*,#14292,.F.); +#14294=ORIENTED_EDGE('',*,*,#11304,.T.); +#14296=ORIENTED_EDGE('',*,*,#14295,.T.); +#14297=EDGE_LOOP('',(#14291,#14293,#14294,#14296)); +#14298=FACE_OUTER_BOUND('',#14297,.F.); +#14300=CARTESIAN_POINT('',(1.925E1,-9.1E0,-1.01E1)); +#14301=DIRECTION('',(0.E0,0.E0,1.E0)); +#14302=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#14303=AXIS2_PLACEMENT_3D('',#14300,#14301,#14302); +#14304=TOROIDAL_SURFACE('',#14303,4.E-1,1.E-1); +#14305=ORIENTED_EDGE('',*,*,#11306,.T.); +#14306=ORIENTED_EDGE('',*,*,#14292,.T.); +#14308=ORIENTED_EDGE('',*,*,#14307,.F.); +#14310=ORIENTED_EDGE('',*,*,#14309,.F.); +#14311=EDGE_LOOP('',(#14305,#14306,#14308,#14310)); +#14312=FACE_OUTER_BOUND('',#14311,.F.); +#14314=CARTESIAN_POINT('',(1.925E1,-9.1E0,-2.E1)); +#14315=DIRECTION('',(0.E0,0.E0,1.E0)); +#14316=DIRECTION('',(1.E0,0.E0,0.E0)); +#14317=AXIS2_PLACEMENT_3D('',#14314,#14315,#14316); +#14318=CYLINDRICAL_SURFACE('',#14317,5.E-1); +#14319=ORIENTED_EDGE('',*,*,#14307,.T.); +#14320=ORIENTED_EDGE('',*,*,#14281,.F.); +#14321=ORIENTED_EDGE('',*,*,#11463,.F.); +#14323=ORIENTED_EDGE('',*,*,#14322,.T.); +#14324=EDGE_LOOP('',(#14319,#14320,#14321,#14323)); +#14325=FACE_OUTER_BOUND('',#14324,.F.); +#14327=CARTESIAN_POINT('',(1.975E1,-1.03E1,-2.E1)); +#14328=DIRECTION('',(1.E0,0.E0,0.E0)); +#14329=DIRECTION('',(0.E0,1.E0,0.E0)); +#14330=AXIS2_PLACEMENT_3D('',#14327,#14328,#14329); +#14331=PLANE('',#14330); +#14333=ORIENTED_EDGE('',*,*,#14332,.T.); +#14334=ORIENTED_EDGE('',*,*,#14322,.F.); +#14335=ORIENTED_EDGE('',*,*,#11461,.F.); +#14336=ORIENTED_EDGE('',*,*,#13012,.T.); +#14338=ORIENTED_EDGE('',*,*,#14337,.F.); +#14339=EDGE_LOOP('',(#14333,#14334,#14335,#14336,#14338)); +#14340=FACE_OUTER_BOUND('',#14339,.F.); +#14342=CARTESIAN_POINT('',(1.965E1,-5.789556E1,-1.01E1)); +#14343=DIRECTION('',(0.E0,1.E0,0.E0)); +#14344=DIRECTION('',(0.E0,0.E0,1.E0)); +#14345=AXIS2_PLACEMENT_3D('',#14342,#14343,#14344); +#14346=CYLINDRICAL_SURFACE('',#14345,1.E-1); +#14347=ORIENTED_EDGE('',*,*,#11300,.T.); +#14348=ORIENTED_EDGE('',*,*,#14309,.T.); +#14349=ORIENTED_EDGE('',*,*,#14332,.F.); +#14351=ORIENTED_EDGE('',*,*,#14350,.T.); +#14352=EDGE_LOOP('',(#14347,#14348,#14349,#14351)); +#14353=FACE_OUTER_BOUND('',#14352,.F.); +#14355=CARTESIAN_POINT('',(1.965E1,-1.02E1,-9.9E0)); +#14356=DIRECTION('',(1.E0,0.E0,0.E0)); +#14357=DIRECTION('',(-3.552713678801E-14,7.564028598729E-1,-6.541060415376E-1)); +#14358=AXIS2_PLACEMENT_3D('',#14355,#14356,#14357); +#14359=TOROIDAL_SURFACE('',#14358,2.E-1,1.E-1); +#14360=ORIENTED_EDGE('',*,*,#14337,.T.); +#14361=ORIENTED_EDGE('',*,*,#13010,.F.); +#14363=ORIENTED_EDGE('',*,*,#14362,.F.); +#14364=ORIENTED_EDGE('',*,*,#14350,.F.); +#14365=EDGE_LOOP('',(#14360,#14361,#14363,#14364)); +#14366=FACE_OUTER_BOUND('',#14365,.F.); +#14368=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#14369=DIRECTION('',(1.E0,0.E0,0.E0)); +#14370=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14371=AXIS2_PLACEMENT_3D('',#14368,#14369,#14370); +#14372=CYLINDRICAL_SURFACE('',#14371,1.E-1); +#14373=ORIENTED_EDGE('',*,*,#13008,.F.); +#14375=ORIENTED_EDGE('',*,*,#14374,.T.); +#14376=ORIENTED_EDGE('',*,*,#11302,.T.); +#14377=ORIENTED_EDGE('',*,*,#14362,.T.); +#14378=EDGE_LOOP('',(#14373,#14375,#14376,#14377)); +#14379=FACE_OUTER_BOUND('',#14378,.F.); +#14381=CARTESIAN_POINT('',(1.885E1,-1.02E1,-9.9E0)); +#14382=DIRECTION('',(1.E0,0.E0,0.E0)); +#14383=DIRECTION('',(3.552713678801E-14,5.485369585398E-1,-8.361263093074E-1)); +#14384=AXIS2_PLACEMENT_3D('',#14381,#14382,#14383); +#14385=TOROIDAL_SURFACE('',#14384,2.E-1,1.E-1); +#14386=ORIENTED_EDGE('',*,*,#14277,.F.); +#14387=ORIENTED_EDGE('',*,*,#14295,.F.); +#14388=ORIENTED_EDGE('',*,*,#14374,.F.); +#14389=ORIENTED_EDGE('',*,*,#13006,.F.); +#14390=EDGE_LOOP('',(#14386,#14387,#14388,#14389)); +#14391=FACE_OUTER_BOUND('',#14390,.F.); +#14393=CARTESIAN_POINT('',(2.35E1,-5.6E0,0.E0)); +#14394=DIRECTION('',(0.E0,0.E0,1.E0)); +#14395=DIRECTION('',(1.E0,0.E0,0.E0)); +#14396=AXIS2_PLACEMENT_3D('',#14393,#14394,#14395); +#14397=CYLINDRICAL_SURFACE('',#14396,4.7E0); +#14398=ORIENTED_EDGE('',*,*,#10649,.F.); +#14399=ORIENTED_EDGE('',*,*,#13015,.T.); +#14400=ORIENTED_EDGE('',*,*,#11457,.T.); +#14401=ORIENTED_EDGE('',*,*,#12491,.F.); +#14402=EDGE_LOOP('',(#14398,#14399,#14400,#14401)); +#14403=FACE_OUTER_BOUND('',#14402,.F.); +#14405=CARTESIAN_POINT('',(-1.975E1,-9.1E0,-2.E1)); +#14406=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14407=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14408=AXIS2_PLACEMENT_3D('',#14405,#14406,#14407); +#14409=PLANE('',#14408); +#14411=ORIENTED_EDGE('',*,*,#14410,.T.); +#14413=ORIENTED_EDGE('',*,*,#14412,.T.); +#14414=ORIENTED_EDGE('',*,*,#13020,.F.); +#14415=ORIENTED_EDGE('',*,*,#11553,.T.); +#14417=ORIENTED_EDGE('',*,*,#14416,.T.); +#14418=EDGE_LOOP('',(#14411,#14413,#14414,#14415,#14417)); +#14419=FACE_OUTER_BOUND('',#14418,.F.); +#14421=CARTESIAN_POINT('',(-1.965E1,-8.961468488237E0,-1.01E1)); +#14422=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14423=DIRECTION('',(0.E0,0.E0,1.E0)); +#14424=AXIS2_PLACEMENT_3D('',#14421,#14422,#14423); +#14425=CYLINDRICAL_SURFACE('',#14424,1.E-1); +#14426=ORIENTED_EDGE('',*,*,#14410,.F.); +#14428=ORIENTED_EDGE('',*,*,#14427,.F.); +#14429=ORIENTED_EDGE('',*,*,#11144,.T.); +#14431=ORIENTED_EDGE('',*,*,#14430,.T.); +#14432=EDGE_LOOP('',(#14426,#14428,#14429,#14431)); +#14433=FACE_OUTER_BOUND('',#14432,.F.); +#14435=CARTESIAN_POINT('',(-1.925E1,-9.1E0,-1.01E1)); +#14436=DIRECTION('',(0.E0,0.E0,1.E0)); +#14437=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#14438=AXIS2_PLACEMENT_3D('',#14435,#14436,#14437); +#14439=TOROIDAL_SURFACE('',#14438,4.E-1,1.E-1); +#14440=ORIENTED_EDGE('',*,*,#11146,.T.); +#14441=ORIENTED_EDGE('',*,*,#14427,.T.); +#14443=ORIENTED_EDGE('',*,*,#14442,.F.); +#14445=ORIENTED_EDGE('',*,*,#14444,.F.); +#14446=EDGE_LOOP('',(#14440,#14441,#14443,#14445)); +#14447=FACE_OUTER_BOUND('',#14446,.F.); +#14449=CARTESIAN_POINT('',(-1.925E1,-9.1E0,-2.E1)); +#14450=DIRECTION('',(0.E0,0.E0,1.E0)); +#14451=DIRECTION('',(1.E0,0.E0,0.E0)); +#14452=AXIS2_PLACEMENT_3D('',#14449,#14450,#14451); +#14453=CYLINDRICAL_SURFACE('',#14452,5.E-1); +#14454=ORIENTED_EDGE('',*,*,#14442,.T.); +#14455=ORIENTED_EDGE('',*,*,#14416,.F.); +#14456=ORIENTED_EDGE('',*,*,#11551,.F.); +#14458=ORIENTED_EDGE('',*,*,#14457,.T.); +#14459=EDGE_LOOP('',(#14454,#14455,#14456,#14458)); +#14460=FACE_OUTER_BOUND('',#14459,.F.); +#14462=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-2.E1)); +#14463=DIRECTION('',(1.E0,0.E0,0.E0)); +#14464=DIRECTION('',(0.E0,1.E0,0.E0)); +#14465=AXIS2_PLACEMENT_3D('',#14462,#14463,#14464); +#14466=PLANE('',#14465); +#14468=ORIENTED_EDGE('',*,*,#14467,.T.); +#14469=ORIENTED_EDGE('',*,*,#14457,.F.); +#14470=ORIENTED_EDGE('',*,*,#11549,.F.); +#14471=ORIENTED_EDGE('',*,*,#13028,.T.); +#14473=ORIENTED_EDGE('',*,*,#14472,.F.); +#14474=EDGE_LOOP('',(#14468,#14469,#14470,#14471,#14473)); +#14475=FACE_OUTER_BOUND('',#14474,.F.); +#14477=CARTESIAN_POINT('',(-1.885E1,-5.789556E1,-1.01E1)); +#14478=DIRECTION('',(0.E0,1.E0,0.E0)); +#14479=DIRECTION('',(0.E0,0.E0,1.E0)); +#14480=AXIS2_PLACEMENT_3D('',#14477,#14478,#14479); +#14481=CYLINDRICAL_SURFACE('',#14480,1.E-1); +#14482=ORIENTED_EDGE('',*,*,#11140,.T.); +#14483=ORIENTED_EDGE('',*,*,#14444,.T.); +#14484=ORIENTED_EDGE('',*,*,#14467,.F.); +#14486=ORIENTED_EDGE('',*,*,#14485,.T.); +#14487=EDGE_LOOP('',(#14482,#14483,#14484,#14486)); +#14488=FACE_OUTER_BOUND('',#14487,.F.); +#14490=CARTESIAN_POINT('',(-1.885E1,-1.02E1,-9.9E0)); +#14491=DIRECTION('',(1.E0,0.E0,0.E0)); +#14492=DIRECTION('',(-3.552713678801E-14,7.564028598729E-1,-6.541060415376E-1)); +#14493=AXIS2_PLACEMENT_3D('',#14490,#14491,#14492); +#14494=TOROIDAL_SURFACE('',#14493,2.E-1,1.E-1); +#14495=ORIENTED_EDGE('',*,*,#14472,.T.); +#14496=ORIENTED_EDGE('',*,*,#13026,.F.); +#14498=ORIENTED_EDGE('',*,*,#14497,.F.); +#14499=ORIENTED_EDGE('',*,*,#14485,.F.); +#14500=EDGE_LOOP('',(#14495,#14496,#14498,#14499)); +#14501=FACE_OUTER_BOUND('',#14500,.F.); +#14503=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#14504=DIRECTION('',(1.E0,0.E0,0.E0)); +#14505=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14506=AXIS2_PLACEMENT_3D('',#14503,#14504,#14505); +#14507=CYLINDRICAL_SURFACE('',#14506,1.E-1); +#14508=ORIENTED_EDGE('',*,*,#13024,.F.); +#14510=ORIENTED_EDGE('',*,*,#14509,.T.); +#14511=ORIENTED_EDGE('',*,*,#11142,.T.); +#14512=ORIENTED_EDGE('',*,*,#14497,.T.); +#14513=EDGE_LOOP('',(#14508,#14510,#14511,#14512)); +#14514=FACE_OUTER_BOUND('',#14513,.F.); +#14516=CARTESIAN_POINT('',(-1.965E1,-1.02E1,-9.9E0)); +#14517=DIRECTION('',(1.E0,0.E0,0.E0)); +#14518=DIRECTION('',(3.552713678801E-14,5.485369585398E-1,-8.361263093074E-1)); +#14519=AXIS2_PLACEMENT_3D('',#14516,#14517,#14518); +#14520=TOROIDAL_SURFACE('',#14519,2.E-1,1.E-1); +#14521=ORIENTED_EDGE('',*,*,#14412,.F.); +#14522=ORIENTED_EDGE('',*,*,#14430,.F.); +#14523=ORIENTED_EDGE('',*,*,#14509,.F.); +#14524=ORIENTED_EDGE('',*,*,#13022,.F.); +#14525=EDGE_LOOP('',(#14521,#14522,#14523,#14524)); +#14526=FACE_OUTER_BOUND('',#14525,.F.); +#14528=CARTESIAN_POINT('',(1.3869684E2,5.1E0,-1.01E1)); +#14529=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14530=DIRECTION('',(0.E0,0.E0,1.E0)); +#14531=AXIS2_PLACEMENT_3D('',#14528,#14529,#14530); +#14532=CYLINDRICAL_SURFACE('',#14531,1.E-1); +#14533=ORIENTED_EDGE('',*,*,#12577,.T.); +#14535=ORIENTED_EDGE('',*,*,#14534,.T.); +#14537=ORIENTED_EDGE('',*,*,#14536,.F.); +#14539=ORIENTED_EDGE('',*,*,#14538,.T.); +#14540=EDGE_LOOP('',(#14533,#14535,#14537,#14539)); +#14541=FACE_OUTER_BOUND('',#14540,.F.); +#14543=CARTESIAN_POINT('',(2.7E1,4.7E0,-1.01E1)); +#14544=DIRECTION('',(0.E0,0.E0,1.E0)); +#14545=DIRECTION('',(2.735318635106E-1,9.618629422347E-1,0.E0)); +#14546=AXIS2_PLACEMENT_3D('',#14543,#14544,#14545); +#14547=TOROIDAL_SURFACE('',#14546,4.E-1,1.E-1); +#14548=ORIENTED_EDGE('',*,*,#12583,.T.); +#14550=ORIENTED_EDGE('',*,*,#14549,.T.); +#14552=ORIENTED_EDGE('',*,*,#14551,.F.); +#14553=ORIENTED_EDGE('',*,*,#14534,.F.); +#14554=EDGE_LOOP('',(#14548,#14550,#14552,#14553)); +#14555=FACE_OUTER_BOUND('',#14554,.F.); +#14557=CARTESIAN_POINT('',(2.686146848824E1,4.3E0,-1.01E1)); +#14558=DIRECTION('',(1.E0,0.E0,0.E0)); +#14559=DIRECTION('',(0.E0,0.E0,1.E0)); +#14560=AXIS2_PLACEMENT_3D('',#14557,#14558,#14559); +#14561=CYLINDRICAL_SURFACE('',#14560,1.E-1); +#14563=ORIENTED_EDGE('',*,*,#14562,.F.); +#14564=ORIENTED_EDGE('',*,*,#14549,.F.); +#14565=ORIENTED_EDGE('',*,*,#12581,.T.); +#14567=ORIENTED_EDGE('',*,*,#14566,.T.); +#14568=EDGE_LOOP('',(#14563,#14564,#14565,#14567)); +#14569=FACE_OUTER_BOUND('',#14568,.F.); +#14571=CARTESIAN_POINT('',(2.7E1,4.2E0,-2.E1)); +#14572=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14573=DIRECTION('',(1.E0,0.E0,0.E0)); +#14574=AXIS2_PLACEMENT_3D('',#14571,#14572,#14573); +#14575=PLANE('',#14574); +#14576=ORIENTED_EDGE('',*,*,#14562,.T.); +#14578=ORIENTED_EDGE('',*,*,#14577,.T.); +#14579=ORIENTED_EDGE('',*,*,#12478,.F.); +#14580=ORIENTED_EDGE('',*,*,#11445,.T.); +#14582=ORIENTED_EDGE('',*,*,#14581,.T.); +#14583=EDGE_LOOP('',(#14576,#14578,#14579,#14580,#14582)); +#14584=FACE_OUTER_BOUND('',#14583,.F.); +#14586=CARTESIAN_POINT('',(2.81E1,4.3E0,-9.9E0)); +#14587=DIRECTION('',(0.E0,1.E0,0.E0)); +#14588=DIRECTION('',(-5.485369585398E-1,0.E0,-8.361263093073E-1)); +#14589=AXIS2_PLACEMENT_3D('',#14586,#14587,#14588); +#14590=TOROIDAL_SURFACE('',#14589,2.E-1,1.E-1); +#14591=ORIENTED_EDGE('',*,*,#14577,.F.); +#14592=ORIENTED_EDGE('',*,*,#14566,.F.); +#14594=ORIENTED_EDGE('',*,*,#14593,.F.); +#14595=ORIENTED_EDGE('',*,*,#12480,.F.); +#14596=EDGE_LOOP('',(#14591,#14592,#14594,#14595)); +#14597=FACE_OUTER_BOUND('',#14596,.F.); +#14599=CARTESIAN_POINT('',(2.81E1,-5.789556E1,-9.9E0)); +#14600=DIRECTION('',(0.E0,1.E0,0.E0)); +#14601=DIRECTION('',(1.E0,0.E0,0.E0)); +#14602=AXIS2_PLACEMENT_3D('',#14599,#14600,#14601); +#14603=CYLINDRICAL_SURFACE('',#14602,1.E-1); +#14604=ORIENTED_EDGE('',*,*,#12482,.F.); +#14605=ORIENTED_EDGE('',*,*,#14593,.T.); +#14606=ORIENTED_EDGE('',*,*,#12579,.T.); +#14608=ORIENTED_EDGE('',*,*,#14607,.T.); +#14609=EDGE_LOOP('',(#14604,#14605,#14606,#14608)); +#14610=FACE_OUTER_BOUND('',#14609,.F.); +#14612=CARTESIAN_POINT('',(2.81E1,5.1E0,-9.9E0)); +#14613=DIRECTION('',(0.E0,1.E0,0.E0)); +#14614=DIRECTION('',(-7.564028598729E-1,0.E0,-6.541060415376E-1)); +#14615=AXIS2_PLACEMENT_3D('',#14612,#14613,#14614); +#14616=TOROIDAL_SURFACE('',#14615,2.E-1,1.E-1); +#14618=ORIENTED_EDGE('',*,*,#14617,.T.); +#14619=ORIENTED_EDGE('',*,*,#12484,.F.); +#14620=ORIENTED_EDGE('',*,*,#14607,.F.); +#14621=ORIENTED_EDGE('',*,*,#14538,.F.); +#14622=EDGE_LOOP('',(#14618,#14619,#14620,#14621)); +#14623=FACE_OUTER_BOUND('',#14622,.F.); +#14625=CARTESIAN_POINT('',(2.82E1,5.2E0,-2.E1)); +#14626=DIRECTION('',(0.E0,1.E0,0.E0)); +#14627=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14628=AXIS2_PLACEMENT_3D('',#14625,#14626,#14627); +#14629=PLANE('',#14628); +#14630=ORIENTED_EDGE('',*,*,#14536,.T.); +#14632=ORIENTED_EDGE('',*,*,#14631,.F.); +#14633=ORIENTED_EDGE('',*,*,#11441,.F.); +#14634=ORIENTED_EDGE('',*,*,#12486,.T.); +#14635=ORIENTED_EDGE('',*,*,#14617,.F.); +#14636=EDGE_LOOP('',(#14630,#14632,#14633,#14634,#14635)); +#14637=FACE_OUTER_BOUND('',#14636,.F.); +#14639=CARTESIAN_POINT('',(2.7E1,4.7E0,-2.E1)); +#14640=DIRECTION('',(0.E0,0.E0,1.E0)); +#14641=DIRECTION('',(1.E0,0.E0,0.E0)); +#14642=AXIS2_PLACEMENT_3D('',#14639,#14640,#14641); +#14643=CYLINDRICAL_SURFACE('',#14642,5.E-1); +#14644=ORIENTED_EDGE('',*,*,#14551,.T.); +#14645=ORIENTED_EDGE('',*,*,#14581,.F.); +#14646=ORIENTED_EDGE('',*,*,#11443,.F.); +#14647=ORIENTED_EDGE('',*,*,#14631,.T.); +#14648=EDGE_LOOP('',(#14644,#14645,#14646,#14647)); +#14649=FACE_OUTER_BOUND('',#14648,.F.); +#14651=CARTESIAN_POINT('',(1.3869684E2,-4.3E0,-1.01E1)); +#14652=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14653=DIRECTION('',(0.E0,0.E0,1.E0)); +#14654=AXIS2_PLACEMENT_3D('',#14651,#14652,#14653); +#14655=CYLINDRICAL_SURFACE('',#14654,1.E-1); +#14656=ORIENTED_EDGE('',*,*,#12595,.T.); +#14657=ORIENTED_EDGE('',*,*,#12535,.T.); +#14659=ORIENTED_EDGE('',*,*,#14658,.F.); +#14660=ORIENTED_EDGE('',*,*,#12473,.T.); +#14661=EDGE_LOOP('',(#14656,#14657,#14659,#14660)); +#14662=FACE_OUTER_BOUND('',#14661,.F.); +#14664=CARTESIAN_POINT('',(2.82E1,-4.2E0,-2.E1)); +#14665=DIRECTION('',(0.E0,1.E0,0.E0)); +#14666=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14667=AXIS2_PLACEMENT_3D('',#14664,#14665,#14666); +#14668=PLANE('',#14667); +#14669=ORIENTED_EDGE('',*,*,#14658,.T.); +#14671=ORIENTED_EDGE('',*,*,#14670,.F.); +#14672=ORIENTED_EDGE('',*,*,#11449,.F.); +#14673=ORIENTED_EDGE('',*,*,#12475,.T.); +#14674=EDGE_LOOP('',(#14669,#14671,#14672,#14673)); +#14675=FACE_OUTER_BOUND('',#14674,.F.); +#14677=CARTESIAN_POINT('',(2.7E1,-4.7E0,-2.E1)); +#14678=DIRECTION('',(0.E0,0.E0,1.E0)); +#14679=DIRECTION('',(1.E0,0.E0,0.E0)); +#14680=AXIS2_PLACEMENT_3D('',#14677,#14678,#14679); +#14681=CYLINDRICAL_SURFACE('',#14680,5.E-1); +#14682=ORIENTED_EDGE('',*,*,#12533,.T.); +#14683=ORIENTED_EDGE('',*,*,#12506,.F.); +#14684=ORIENTED_EDGE('',*,*,#11451,.F.); +#14685=ORIENTED_EDGE('',*,*,#14670,.T.); +#14686=EDGE_LOOP('',(#14682,#14683,#14684,#14685)); +#14687=FACE_OUTER_BOUND('',#14686,.F.); +#14689=CARTESIAN_POINT('',(-1.975E1,1.03E1,-2.E1)); +#14690=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14691=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14692=AXIS2_PLACEMENT_3D('',#14689,#14690,#14691); +#14693=PLANE('',#14692); +#14695=ORIENTED_EDGE('',*,*,#14694,.T.); +#14697=ORIENTED_EDGE('',*,*,#14696,.F.); +#14698=ORIENTED_EDGE('',*,*,#11579,.F.); +#14699=ORIENTED_EDGE('',*,*,#10864,.T.); +#14701=ORIENTED_EDGE('',*,*,#14700,.F.); +#14702=EDGE_LOOP('',(#14695,#14697,#14698,#14699,#14701)); +#14703=FACE_OUTER_BOUND('',#14702,.F.); +#14705=CARTESIAN_POINT('',(-1.965E1,5.789556E1,-1.01E1)); +#14706=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14707=DIRECTION('',(0.E0,0.E0,1.E0)); +#14708=AXIS2_PLACEMENT_3D('',#14705,#14706,#14707); +#14709=CYLINDRICAL_SURFACE('',#14708,1.E-1); +#14710=ORIENTED_EDGE('',*,*,#11114,.T.); +#14712=ORIENTED_EDGE('',*,*,#14711,.T.); +#14713=ORIENTED_EDGE('',*,*,#14694,.F.); +#14715=ORIENTED_EDGE('',*,*,#14714,.T.); +#14716=EDGE_LOOP('',(#14710,#14712,#14713,#14715)); +#14717=FACE_OUTER_BOUND('',#14716,.F.); +#14719=CARTESIAN_POINT('',(-1.925E1,9.1E0,-1.01E1)); +#14720=DIRECTION('',(0.E0,0.E0,1.E0)); +#14721=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#14722=AXIS2_PLACEMENT_3D('',#14719,#14720,#14721); +#14723=TOROIDAL_SURFACE('',#14722,4.E-1,1.E-1); +#14724=ORIENTED_EDGE('',*,*,#11112,.T.); +#14726=ORIENTED_EDGE('',*,*,#14725,.T.); +#14728=ORIENTED_EDGE('',*,*,#14727,.F.); +#14729=ORIENTED_EDGE('',*,*,#14711,.F.); +#14730=EDGE_LOOP('',(#14724,#14726,#14728,#14729)); +#14731=FACE_OUTER_BOUND('',#14730,.F.); +#14733=CARTESIAN_POINT('',(-1.885E1,8.961468488237E0,-1.01E1)); +#14734=DIRECTION('',(0.E0,1.E0,0.E0)); +#14735=DIRECTION('',(0.E0,0.E0,1.E0)); +#14736=AXIS2_PLACEMENT_3D('',#14733,#14734,#14735); +#14737=CYLINDRICAL_SURFACE('',#14736,1.E-1); +#14739=ORIENTED_EDGE('',*,*,#14738,.F.); +#14740=ORIENTED_EDGE('',*,*,#14725,.F.); +#14741=ORIENTED_EDGE('',*,*,#11110,.T.); +#14743=ORIENTED_EDGE('',*,*,#14742,.T.); +#14744=EDGE_LOOP('',(#14739,#14740,#14741,#14743)); +#14745=FACE_OUTER_BOUND('',#14744,.F.); +#14747=CARTESIAN_POINT('',(-1.875E1,9.1E0,-2.E1)); +#14748=DIRECTION('',(1.E0,0.E0,0.E0)); +#14749=DIRECTION('',(0.E0,1.E0,0.E0)); +#14750=AXIS2_PLACEMENT_3D('',#14747,#14748,#14749); +#14751=PLANE('',#14750); +#14752=ORIENTED_EDGE('',*,*,#14738,.T.); +#14754=ORIENTED_EDGE('',*,*,#14753,.T.); +#14755=ORIENTED_EDGE('',*,*,#10856,.F.); +#14756=ORIENTED_EDGE('',*,*,#11583,.T.); +#14758=ORIENTED_EDGE('',*,*,#14757,.T.); +#14759=EDGE_LOOP('',(#14752,#14754,#14755,#14756,#14758)); +#14760=FACE_OUTER_BOUND('',#14759,.F.); +#14762=CARTESIAN_POINT('',(-1.885E1,1.02E1,-9.9E0)); +#14763=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14764=DIRECTION('',(-3.552713678801E-14,-5.485369585398E-1, +-8.361263093074E-1)); +#14765=AXIS2_PLACEMENT_3D('',#14762,#14763,#14764); +#14766=TOROIDAL_SURFACE('',#14765,2.E-1,1.E-1); +#14767=ORIENTED_EDGE('',*,*,#14753,.F.); +#14768=ORIENTED_EDGE('',*,*,#14742,.F.); +#14770=ORIENTED_EDGE('',*,*,#14769,.F.); +#14771=ORIENTED_EDGE('',*,*,#10858,.F.); +#14772=EDGE_LOOP('',(#14767,#14768,#14770,#14771)); +#14773=FACE_OUTER_BOUND('',#14772,.F.); +#14775=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#14776=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14777=DIRECTION('',(0.E0,1.E0,0.E0)); +#14778=AXIS2_PLACEMENT_3D('',#14775,#14776,#14777); +#14779=CYLINDRICAL_SURFACE('',#14778,1.E-1); +#14780=ORIENTED_EDGE('',*,*,#10860,.F.); +#14781=ORIENTED_EDGE('',*,*,#14769,.T.); +#14782=ORIENTED_EDGE('',*,*,#11108,.T.); +#14784=ORIENTED_EDGE('',*,*,#14783,.T.); +#14785=EDGE_LOOP('',(#14780,#14781,#14782,#14784)); +#14786=FACE_OUTER_BOUND('',#14785,.F.); +#14788=CARTESIAN_POINT('',(-1.965E1,1.02E1,-9.9E0)); +#14789=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14790=DIRECTION('',(3.552713678801E-14,-7.564028598729E-1,-6.541060415376E-1)); +#14791=AXIS2_PLACEMENT_3D('',#14788,#14789,#14790); +#14792=TOROIDAL_SURFACE('',#14791,2.E-1,1.E-1); +#14793=ORIENTED_EDGE('',*,*,#14700,.T.); +#14794=ORIENTED_EDGE('',*,*,#10862,.F.); +#14795=ORIENTED_EDGE('',*,*,#14783,.F.); +#14796=ORIENTED_EDGE('',*,*,#14714,.F.); +#14797=EDGE_LOOP('',(#14793,#14794,#14795,#14796)); +#14798=FACE_OUTER_BOUND('',#14797,.F.); +#14800=CARTESIAN_POINT('',(-1.925E1,9.1E0,-2.E1)); +#14801=DIRECTION('',(0.E0,0.E0,1.E0)); +#14802=DIRECTION('',(1.E0,0.E0,0.E0)); +#14803=AXIS2_PLACEMENT_3D('',#14800,#14801,#14802); +#14804=CYLINDRICAL_SURFACE('',#14803,5.E-1); +#14805=ORIENTED_EDGE('',*,*,#14727,.T.); +#14806=ORIENTED_EDGE('',*,*,#14757,.F.); +#14807=ORIENTED_EDGE('',*,*,#11581,.F.); +#14808=ORIENTED_EDGE('',*,*,#14696,.T.); +#14809=EDGE_LOOP('',(#14805,#14806,#14807,#14808)); +#14810=FACE_OUTER_BOUND('',#14809,.F.); +#14812=CARTESIAN_POINT('',(-1.625E1,1.03E1,-2.E1)); +#14813=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14814=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14815=AXIS2_PLACEMENT_3D('',#14812,#14813,#14814); +#14816=PLANE('',#14815); +#14818=ORIENTED_EDGE('',*,*,#14817,.T.); +#14820=ORIENTED_EDGE('',*,*,#14819,.F.); +#14821=ORIENTED_EDGE('',*,*,#11586,.F.); +#14822=ORIENTED_EDGE('',*,*,#10852,.T.); +#14824=ORIENTED_EDGE('',*,*,#14823,.F.); +#14825=EDGE_LOOP('',(#14818,#14820,#14821,#14822,#14824)); +#14826=FACE_OUTER_BOUND('',#14825,.F.); +#14828=CARTESIAN_POINT('',(-1.615E1,5.789556E1,-1.01E1)); +#14829=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14830=DIRECTION('',(0.E0,0.E0,1.E0)); +#14831=AXIS2_PLACEMENT_3D('',#14828,#14829,#14830); +#14832=CYLINDRICAL_SURFACE('',#14831,1.E-1); +#14833=ORIENTED_EDGE('',*,*,#11098,.T.); +#14835=ORIENTED_EDGE('',*,*,#14834,.T.); +#14836=ORIENTED_EDGE('',*,*,#14817,.F.); +#14838=ORIENTED_EDGE('',*,*,#14837,.T.); +#14839=EDGE_LOOP('',(#14833,#14835,#14836,#14838)); +#14840=FACE_OUTER_BOUND('',#14839,.F.); +#14842=CARTESIAN_POINT('',(-1.575E1,9.1E0,-1.01E1)); +#14843=DIRECTION('',(0.E0,0.E0,1.E0)); +#14844=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#14845=AXIS2_PLACEMENT_3D('',#14842,#14843,#14844); +#14846=TOROIDAL_SURFACE('',#14845,4.E-1,1.E-1); +#14847=ORIENTED_EDGE('',*,*,#11096,.T.); +#14849=ORIENTED_EDGE('',*,*,#14848,.T.); +#14851=ORIENTED_EDGE('',*,*,#14850,.F.); +#14852=ORIENTED_EDGE('',*,*,#14834,.F.); +#14853=EDGE_LOOP('',(#14847,#14849,#14851,#14852)); +#14854=FACE_OUTER_BOUND('',#14853,.F.); +#14856=CARTESIAN_POINT('',(-1.535E1,8.961468488237E0,-1.01E1)); +#14857=DIRECTION('',(0.E0,1.E0,0.E0)); +#14858=DIRECTION('',(0.E0,0.E0,1.E0)); +#14859=AXIS2_PLACEMENT_3D('',#14856,#14857,#14858); +#14860=CYLINDRICAL_SURFACE('',#14859,1.E-1); +#14862=ORIENTED_EDGE('',*,*,#14861,.F.); +#14863=ORIENTED_EDGE('',*,*,#14848,.F.); +#14864=ORIENTED_EDGE('',*,*,#11094,.T.); +#14866=ORIENTED_EDGE('',*,*,#14865,.T.); +#14867=EDGE_LOOP('',(#14862,#14863,#14864,#14866)); +#14868=FACE_OUTER_BOUND('',#14867,.F.); +#14870=CARTESIAN_POINT('',(-1.525E1,9.1E0,-2.E1)); +#14871=DIRECTION('',(1.E0,0.E0,0.E0)); +#14872=DIRECTION('',(0.E0,1.E0,0.E0)); +#14873=AXIS2_PLACEMENT_3D('',#14870,#14871,#14872); +#14874=PLANE('',#14873); +#14875=ORIENTED_EDGE('',*,*,#14861,.T.); +#14877=ORIENTED_EDGE('',*,*,#14876,.T.); +#14878=ORIENTED_EDGE('',*,*,#10844,.F.); +#14879=ORIENTED_EDGE('',*,*,#11590,.T.); +#14881=ORIENTED_EDGE('',*,*,#14880,.T.); +#14882=EDGE_LOOP('',(#14875,#14877,#14878,#14879,#14881)); +#14883=FACE_OUTER_BOUND('',#14882,.F.); +#14885=CARTESIAN_POINT('',(-1.535E1,1.02E1,-9.9E0)); +#14886=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14887=DIRECTION('',(-2.664535259100E-14,-5.485369585398E-1, +-8.361263093074E-1)); +#14888=AXIS2_PLACEMENT_3D('',#14885,#14886,#14887); +#14889=TOROIDAL_SURFACE('',#14888,2.E-1,1.E-1); +#14890=ORIENTED_EDGE('',*,*,#14876,.F.); +#14891=ORIENTED_EDGE('',*,*,#14865,.F.); +#14893=ORIENTED_EDGE('',*,*,#14892,.F.); +#14894=ORIENTED_EDGE('',*,*,#10846,.F.); +#14895=EDGE_LOOP('',(#14890,#14891,#14893,#14894)); +#14896=FACE_OUTER_BOUND('',#14895,.F.); +#14898=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#14899=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14900=DIRECTION('',(0.E0,1.E0,0.E0)); +#14901=AXIS2_PLACEMENT_3D('',#14898,#14899,#14900); +#14902=CYLINDRICAL_SURFACE('',#14901,1.E-1); +#14903=ORIENTED_EDGE('',*,*,#10848,.F.); +#14904=ORIENTED_EDGE('',*,*,#14892,.T.); +#14905=ORIENTED_EDGE('',*,*,#11092,.T.); +#14907=ORIENTED_EDGE('',*,*,#14906,.T.); +#14908=EDGE_LOOP('',(#14903,#14904,#14905,#14907)); +#14909=FACE_OUTER_BOUND('',#14908,.F.); +#14911=CARTESIAN_POINT('',(-1.615E1,1.02E1,-9.9E0)); +#14912=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14913=DIRECTION('',(3.552713678801E-14,-7.564028598729E-1,-6.541060415376E-1)); +#14914=AXIS2_PLACEMENT_3D('',#14911,#14912,#14913); +#14915=TOROIDAL_SURFACE('',#14914,2.E-1,1.E-1); +#14916=ORIENTED_EDGE('',*,*,#14823,.T.); +#14917=ORIENTED_EDGE('',*,*,#10850,.F.); +#14918=ORIENTED_EDGE('',*,*,#14906,.F.); +#14919=ORIENTED_EDGE('',*,*,#14837,.F.); +#14920=EDGE_LOOP('',(#14916,#14917,#14918,#14919)); +#14921=FACE_OUTER_BOUND('',#14920,.F.); +#14923=CARTESIAN_POINT('',(-1.575E1,9.1E0,-2.E1)); +#14924=DIRECTION('',(0.E0,0.E0,1.E0)); +#14925=DIRECTION('',(1.E0,0.E0,0.E0)); +#14926=AXIS2_PLACEMENT_3D('',#14923,#14924,#14925); +#14927=CYLINDRICAL_SURFACE('',#14926,5.E-1); +#14928=ORIENTED_EDGE('',*,*,#14850,.T.); +#14929=ORIENTED_EDGE('',*,*,#14880,.F.); +#14930=ORIENTED_EDGE('',*,*,#11588,.F.); +#14931=ORIENTED_EDGE('',*,*,#14819,.T.); +#14932=EDGE_LOOP('',(#14928,#14929,#14930,#14931)); +#14933=FACE_OUTER_BOUND('',#14932,.F.); +#14935=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#14936=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14937=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14938=AXIS2_PLACEMENT_3D('',#14935,#14936,#14937); +#14939=PLANE('',#14938); +#14941=ORIENTED_EDGE('',*,*,#14940,.T.); +#14943=ORIENTED_EDGE('',*,*,#14942,.F.); +#14944=ORIENTED_EDGE('',*,*,#11593,.F.); +#14945=ORIENTED_EDGE('',*,*,#10840,.T.); +#14947=ORIENTED_EDGE('',*,*,#14946,.F.); +#14948=EDGE_LOOP('',(#14941,#14943,#14944,#14945,#14947)); +#14949=FACE_OUTER_BOUND('',#14948,.F.); +#14951=CARTESIAN_POINT('',(-1.265E1,5.789556E1,-1.01E1)); +#14952=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14953=DIRECTION('',(0.E0,0.E0,1.E0)); +#14954=AXIS2_PLACEMENT_3D('',#14951,#14952,#14953); +#14955=CYLINDRICAL_SURFACE('',#14954,1.E-1); +#14956=ORIENTED_EDGE('',*,*,#11082,.T.); +#14958=ORIENTED_EDGE('',*,*,#14957,.T.); +#14959=ORIENTED_EDGE('',*,*,#14940,.F.); +#14961=ORIENTED_EDGE('',*,*,#14960,.T.); +#14962=EDGE_LOOP('',(#14956,#14958,#14959,#14961)); +#14963=FACE_OUTER_BOUND('',#14962,.F.); +#14965=CARTESIAN_POINT('',(-1.225E1,9.1E0,-1.01E1)); +#14966=DIRECTION('',(0.E0,0.E0,1.E0)); +#14967=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#14968=AXIS2_PLACEMENT_3D('',#14965,#14966,#14967); +#14969=TOROIDAL_SURFACE('',#14968,4.E-1,1.E-1); +#14970=ORIENTED_EDGE('',*,*,#11080,.T.); +#14972=ORIENTED_EDGE('',*,*,#14971,.T.); +#14974=ORIENTED_EDGE('',*,*,#14973,.F.); +#14975=ORIENTED_EDGE('',*,*,#14957,.F.); +#14976=EDGE_LOOP('',(#14970,#14972,#14974,#14975)); +#14977=FACE_OUTER_BOUND('',#14976,.F.); +#14979=CARTESIAN_POINT('',(-1.185E1,8.961468488237E0,-1.01E1)); +#14980=DIRECTION('',(0.E0,1.E0,0.E0)); +#14981=DIRECTION('',(0.E0,0.E0,1.E0)); +#14982=AXIS2_PLACEMENT_3D('',#14979,#14980,#14981); +#14983=CYLINDRICAL_SURFACE('',#14982,1.E-1); +#14985=ORIENTED_EDGE('',*,*,#14984,.F.); +#14986=ORIENTED_EDGE('',*,*,#14971,.F.); +#14987=ORIENTED_EDGE('',*,*,#11078,.T.); +#14989=ORIENTED_EDGE('',*,*,#14988,.T.); +#14990=EDGE_LOOP('',(#14985,#14986,#14987,#14989)); +#14991=FACE_OUTER_BOUND('',#14990,.F.); +#14993=CARTESIAN_POINT('',(-1.175E1,9.1E0,-2.E1)); +#14994=DIRECTION('',(1.E0,0.E0,0.E0)); +#14995=DIRECTION('',(0.E0,1.E0,0.E0)); +#14996=AXIS2_PLACEMENT_3D('',#14993,#14994,#14995); +#14997=PLANE('',#14996); +#14998=ORIENTED_EDGE('',*,*,#14984,.T.); +#15000=ORIENTED_EDGE('',*,*,#14999,.T.); +#15001=ORIENTED_EDGE('',*,*,#10832,.F.); +#15002=ORIENTED_EDGE('',*,*,#11597,.T.); +#15004=ORIENTED_EDGE('',*,*,#15003,.T.); +#15005=EDGE_LOOP('',(#14998,#15000,#15001,#15002,#15004)); +#15006=FACE_OUTER_BOUND('',#15005,.F.); +#15008=CARTESIAN_POINT('',(-1.185E1,1.02E1,-9.9E0)); +#15009=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15010=DIRECTION('',(3.552713678801E-14,-5.485369585398E-1,-8.361263093074E-1)); +#15011=AXIS2_PLACEMENT_3D('',#15008,#15009,#15010); +#15012=TOROIDAL_SURFACE('',#15011,2.E-1,1.E-1); +#15013=ORIENTED_EDGE('',*,*,#14999,.F.); +#15014=ORIENTED_EDGE('',*,*,#14988,.F.); +#15016=ORIENTED_EDGE('',*,*,#15015,.F.); +#15017=ORIENTED_EDGE('',*,*,#10834,.F.); +#15018=EDGE_LOOP('',(#15013,#15014,#15016,#15017)); +#15019=FACE_OUTER_BOUND('',#15018,.F.); +#15021=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#15022=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15023=DIRECTION('',(0.E0,1.E0,0.E0)); +#15024=AXIS2_PLACEMENT_3D('',#15021,#15022,#15023); +#15025=CYLINDRICAL_SURFACE('',#15024,1.E-1); +#15026=ORIENTED_EDGE('',*,*,#10836,.F.); +#15027=ORIENTED_EDGE('',*,*,#15015,.T.); +#15028=ORIENTED_EDGE('',*,*,#11076,.T.); +#15030=ORIENTED_EDGE('',*,*,#15029,.T.); +#15031=EDGE_LOOP('',(#15026,#15027,#15028,#15030)); +#15032=FACE_OUTER_BOUND('',#15031,.F.); +#15034=CARTESIAN_POINT('',(-1.265E1,1.02E1,-9.9E0)); +#15035=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15036=DIRECTION('',(-5.329070518201E-14,-7.564028598729E-1, +-6.541060415376E-1)); +#15037=AXIS2_PLACEMENT_3D('',#15034,#15035,#15036); +#15038=TOROIDAL_SURFACE('',#15037,2.E-1,1.E-1); +#15039=ORIENTED_EDGE('',*,*,#14946,.T.); +#15040=ORIENTED_EDGE('',*,*,#10838,.F.); +#15041=ORIENTED_EDGE('',*,*,#15029,.F.); +#15042=ORIENTED_EDGE('',*,*,#14960,.F.); +#15043=EDGE_LOOP('',(#15039,#15040,#15041,#15042)); +#15044=FACE_OUTER_BOUND('',#15043,.F.); +#15046=CARTESIAN_POINT('',(-1.225E1,9.1E0,-2.E1)); +#15047=DIRECTION('',(0.E0,0.E0,1.E0)); +#15048=DIRECTION('',(1.E0,0.E0,0.E0)); +#15049=AXIS2_PLACEMENT_3D('',#15046,#15047,#15048); +#15050=CYLINDRICAL_SURFACE('',#15049,5.E-1); +#15051=ORIENTED_EDGE('',*,*,#14973,.T.); +#15052=ORIENTED_EDGE('',*,*,#15003,.F.); +#15053=ORIENTED_EDGE('',*,*,#11595,.F.); +#15054=ORIENTED_EDGE('',*,*,#14942,.T.); +#15055=EDGE_LOOP('',(#15051,#15052,#15053,#15054)); +#15056=FACE_OUTER_BOUND('',#15055,.F.); +#15058=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#15059=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15060=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15061=AXIS2_PLACEMENT_3D('',#15058,#15059,#15060); +#15062=PLANE('',#15061); +#15064=ORIENTED_EDGE('',*,*,#15063,.T.); +#15066=ORIENTED_EDGE('',*,*,#15065,.F.); +#15067=ORIENTED_EDGE('',*,*,#11600,.F.); +#15068=ORIENTED_EDGE('',*,*,#10828,.T.); +#15070=ORIENTED_EDGE('',*,*,#15069,.F.); +#15071=EDGE_LOOP('',(#15064,#15066,#15067,#15068,#15070)); +#15072=FACE_OUTER_BOUND('',#15071,.F.); +#15074=CARTESIAN_POINT('',(-9.15E0,5.789556E1,-1.01E1)); +#15075=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15076=DIRECTION('',(0.E0,0.E0,1.E0)); +#15077=AXIS2_PLACEMENT_3D('',#15074,#15075,#15076); +#15078=CYLINDRICAL_SURFACE('',#15077,1.E-1); +#15079=ORIENTED_EDGE('',*,*,#11066,.T.); +#15081=ORIENTED_EDGE('',*,*,#15080,.T.); +#15082=ORIENTED_EDGE('',*,*,#15063,.F.); +#15084=ORIENTED_EDGE('',*,*,#15083,.T.); +#15085=EDGE_LOOP('',(#15079,#15081,#15082,#15084)); +#15086=FACE_OUTER_BOUND('',#15085,.F.); +#15088=CARTESIAN_POINT('',(-8.75E0,9.1E0,-1.01E1)); +#15089=DIRECTION('',(0.E0,0.E0,1.E0)); +#15090=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#15091=AXIS2_PLACEMENT_3D('',#15088,#15089,#15090); +#15092=TOROIDAL_SURFACE('',#15091,4.E-1,1.E-1); +#15093=ORIENTED_EDGE('',*,*,#11064,.T.); +#15095=ORIENTED_EDGE('',*,*,#15094,.T.); +#15097=ORIENTED_EDGE('',*,*,#15096,.F.); +#15098=ORIENTED_EDGE('',*,*,#15080,.F.); +#15099=EDGE_LOOP('',(#15093,#15095,#15097,#15098)); +#15100=FACE_OUTER_BOUND('',#15099,.F.); +#15102=CARTESIAN_POINT('',(-8.35E0,8.961468488237E0,-1.01E1)); +#15103=DIRECTION('',(0.E0,1.E0,0.E0)); +#15104=DIRECTION('',(0.E0,0.E0,1.E0)); +#15105=AXIS2_PLACEMENT_3D('',#15102,#15103,#15104); +#15106=CYLINDRICAL_SURFACE('',#15105,1.E-1); +#15108=ORIENTED_EDGE('',*,*,#15107,.F.); +#15109=ORIENTED_EDGE('',*,*,#15094,.F.); +#15110=ORIENTED_EDGE('',*,*,#11062,.T.); +#15112=ORIENTED_EDGE('',*,*,#15111,.T.); +#15113=EDGE_LOOP('',(#15108,#15109,#15110,#15112)); +#15114=FACE_OUTER_BOUND('',#15113,.F.); +#15116=CARTESIAN_POINT('',(-8.25E0,9.1E0,-2.E1)); +#15117=DIRECTION('',(1.E0,0.E0,0.E0)); +#15118=DIRECTION('',(0.E0,1.E0,0.E0)); +#15119=AXIS2_PLACEMENT_3D('',#15116,#15117,#15118); +#15120=PLANE('',#15119); +#15121=ORIENTED_EDGE('',*,*,#15107,.T.); +#15123=ORIENTED_EDGE('',*,*,#15122,.T.); +#15124=ORIENTED_EDGE('',*,*,#10820,.F.); +#15125=ORIENTED_EDGE('',*,*,#11604,.T.); +#15127=ORIENTED_EDGE('',*,*,#15126,.T.); +#15128=EDGE_LOOP('',(#15121,#15123,#15124,#15125,#15127)); +#15129=FACE_OUTER_BOUND('',#15128,.F.); +#15131=CARTESIAN_POINT('',(-8.35E0,1.02E1,-9.9E0)); +#15132=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15133=DIRECTION('',(0.E0,-5.485369585398E-1,-8.361263093074E-1)); +#15134=AXIS2_PLACEMENT_3D('',#15131,#15132,#15133); +#15135=TOROIDAL_SURFACE('',#15134,2.E-1,1.E-1); +#15136=ORIENTED_EDGE('',*,*,#15122,.F.); +#15137=ORIENTED_EDGE('',*,*,#15111,.F.); +#15139=ORIENTED_EDGE('',*,*,#15138,.F.); +#15140=ORIENTED_EDGE('',*,*,#10822,.F.); +#15141=EDGE_LOOP('',(#15136,#15137,#15139,#15140)); +#15142=FACE_OUTER_BOUND('',#15141,.F.); +#15144=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#15145=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15146=DIRECTION('',(0.E0,1.E0,0.E0)); +#15147=AXIS2_PLACEMENT_3D('',#15144,#15145,#15146); +#15148=CYLINDRICAL_SURFACE('',#15147,1.E-1); +#15149=ORIENTED_EDGE('',*,*,#10824,.F.); +#15150=ORIENTED_EDGE('',*,*,#15138,.T.); +#15151=ORIENTED_EDGE('',*,*,#11060,.T.); +#15153=ORIENTED_EDGE('',*,*,#15152,.T.); +#15154=EDGE_LOOP('',(#15149,#15150,#15151,#15153)); +#15155=FACE_OUTER_BOUND('',#15154,.F.); +#15157=CARTESIAN_POINT('',(-9.15E0,1.02E1,-9.9E0)); +#15158=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15159=DIRECTION('',(0.E0,-7.564028598729E-1,-6.541060415376E-1)); +#15160=AXIS2_PLACEMENT_3D('',#15157,#15158,#15159); +#15161=TOROIDAL_SURFACE('',#15160,2.E-1,1.E-1); +#15162=ORIENTED_EDGE('',*,*,#15069,.T.); +#15163=ORIENTED_EDGE('',*,*,#10826,.F.); +#15164=ORIENTED_EDGE('',*,*,#15152,.F.); +#15165=ORIENTED_EDGE('',*,*,#15083,.F.); +#15166=EDGE_LOOP('',(#15162,#15163,#15164,#15165)); +#15167=FACE_OUTER_BOUND('',#15166,.F.); +#15169=CARTESIAN_POINT('',(-8.75E0,9.1E0,-2.E1)); +#15170=DIRECTION('',(0.E0,0.E0,1.E0)); +#15171=DIRECTION('',(1.E0,0.E0,0.E0)); +#15172=AXIS2_PLACEMENT_3D('',#15169,#15170,#15171); +#15173=CYLINDRICAL_SURFACE('',#15172,5.E-1); +#15174=ORIENTED_EDGE('',*,*,#15096,.T.); +#15175=ORIENTED_EDGE('',*,*,#15126,.F.); +#15176=ORIENTED_EDGE('',*,*,#11602,.F.); +#15177=ORIENTED_EDGE('',*,*,#15065,.T.); +#15178=EDGE_LOOP('',(#15174,#15175,#15176,#15177)); +#15179=FACE_OUTER_BOUND('',#15178,.F.); +#15181=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#15182=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15183=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15184=AXIS2_PLACEMENT_3D('',#15181,#15182,#15183); +#15185=PLANE('',#15184); +#15187=ORIENTED_EDGE('',*,*,#15186,.T.); +#15189=ORIENTED_EDGE('',*,*,#15188,.F.); +#15190=ORIENTED_EDGE('',*,*,#11607,.F.); +#15191=ORIENTED_EDGE('',*,*,#10816,.T.); +#15193=ORIENTED_EDGE('',*,*,#15192,.F.); +#15194=EDGE_LOOP('',(#15187,#15189,#15190,#15191,#15193)); +#15195=FACE_OUTER_BOUND('',#15194,.F.); +#15197=CARTESIAN_POINT('',(-5.65E0,5.789556E1,-1.01E1)); +#15198=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15199=DIRECTION('',(0.E0,0.E0,1.E0)); +#15200=AXIS2_PLACEMENT_3D('',#15197,#15198,#15199); +#15201=CYLINDRICAL_SURFACE('',#15200,1.E-1); +#15202=ORIENTED_EDGE('',*,*,#11050,.T.); +#15204=ORIENTED_EDGE('',*,*,#15203,.T.); +#15205=ORIENTED_EDGE('',*,*,#15186,.F.); +#15207=ORIENTED_EDGE('',*,*,#15206,.T.); +#15208=EDGE_LOOP('',(#15202,#15204,#15205,#15207)); +#15209=FACE_OUTER_BOUND('',#15208,.F.); +#15211=CARTESIAN_POINT('',(-5.25E0,9.1E0,-1.01E1)); +#15212=DIRECTION('',(0.E0,0.E0,1.E0)); +#15213=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#15214=AXIS2_PLACEMENT_3D('',#15211,#15212,#15213); +#15215=TOROIDAL_SURFACE('',#15214,4.E-1,1.E-1); +#15216=ORIENTED_EDGE('',*,*,#11048,.T.); +#15218=ORIENTED_EDGE('',*,*,#15217,.T.); +#15220=ORIENTED_EDGE('',*,*,#15219,.F.); +#15221=ORIENTED_EDGE('',*,*,#15203,.F.); +#15222=EDGE_LOOP('',(#15216,#15218,#15220,#15221)); +#15223=FACE_OUTER_BOUND('',#15222,.F.); +#15225=CARTESIAN_POINT('',(-4.85E0,8.961468488237E0,-1.01E1)); +#15226=DIRECTION('',(0.E0,1.E0,0.E0)); +#15227=DIRECTION('',(0.E0,0.E0,1.E0)); +#15228=AXIS2_PLACEMENT_3D('',#15225,#15226,#15227); +#15229=CYLINDRICAL_SURFACE('',#15228,1.E-1); +#15231=ORIENTED_EDGE('',*,*,#15230,.F.); +#15232=ORIENTED_EDGE('',*,*,#15217,.F.); +#15233=ORIENTED_EDGE('',*,*,#11046,.T.); +#15235=ORIENTED_EDGE('',*,*,#15234,.T.); +#15236=EDGE_LOOP('',(#15231,#15232,#15233,#15235)); +#15237=FACE_OUTER_BOUND('',#15236,.F.); +#15239=CARTESIAN_POINT('',(-4.75E0,9.1E0,-2.E1)); +#15240=DIRECTION('',(1.E0,0.E0,0.E0)); +#15241=DIRECTION('',(0.E0,1.E0,0.E0)); +#15242=AXIS2_PLACEMENT_3D('',#15239,#15240,#15241); +#15243=PLANE('',#15242); +#15244=ORIENTED_EDGE('',*,*,#15230,.T.); +#15246=ORIENTED_EDGE('',*,*,#15245,.T.); +#15247=ORIENTED_EDGE('',*,*,#10808,.F.); +#15248=ORIENTED_EDGE('',*,*,#11611,.T.); +#15250=ORIENTED_EDGE('',*,*,#15249,.T.); +#15251=EDGE_LOOP('',(#15244,#15246,#15247,#15248,#15250)); +#15252=FACE_OUTER_BOUND('',#15251,.F.); +#15254=CARTESIAN_POINT('',(-4.85E0,1.02E1,-9.9E0)); +#15255=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15256=DIRECTION('',(5.773159728051E-14,-5.485369585398E-1,-8.361263093074E-1)); +#15257=AXIS2_PLACEMENT_3D('',#15254,#15255,#15256); +#15258=TOROIDAL_SURFACE('',#15257,2.E-1,1.E-1); +#15259=ORIENTED_EDGE('',*,*,#15245,.F.); +#15260=ORIENTED_EDGE('',*,*,#15234,.F.); +#15262=ORIENTED_EDGE('',*,*,#15261,.F.); +#15263=ORIENTED_EDGE('',*,*,#10810,.F.); +#15264=EDGE_LOOP('',(#15259,#15260,#15262,#15263)); +#15265=FACE_OUTER_BOUND('',#15264,.F.); +#15267=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#15268=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15269=DIRECTION('',(0.E0,1.E0,0.E0)); +#15270=AXIS2_PLACEMENT_3D('',#15267,#15268,#15269); +#15271=CYLINDRICAL_SURFACE('',#15270,1.E-1); +#15272=ORIENTED_EDGE('',*,*,#10812,.F.); +#15273=ORIENTED_EDGE('',*,*,#15261,.T.); +#15274=ORIENTED_EDGE('',*,*,#11044,.T.); +#15276=ORIENTED_EDGE('',*,*,#15275,.T.); +#15277=EDGE_LOOP('',(#15272,#15273,#15274,#15276)); +#15278=FACE_OUTER_BOUND('',#15277,.F.); +#15280=CARTESIAN_POINT('',(-5.65E0,1.02E1,-9.9E0)); +#15281=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15282=DIRECTION('',(-3.108624468950E-14,-7.564028598729E-1, +-6.541060415376E-1)); +#15283=AXIS2_PLACEMENT_3D('',#15280,#15281,#15282); +#15284=TOROIDAL_SURFACE('',#15283,2.E-1,1.E-1); +#15285=ORIENTED_EDGE('',*,*,#15192,.T.); +#15286=ORIENTED_EDGE('',*,*,#10814,.F.); +#15287=ORIENTED_EDGE('',*,*,#15275,.F.); +#15288=ORIENTED_EDGE('',*,*,#15206,.F.); +#15289=EDGE_LOOP('',(#15285,#15286,#15287,#15288)); +#15290=FACE_OUTER_BOUND('',#15289,.F.); +#15292=CARTESIAN_POINT('',(-5.25E0,9.1E0,-2.E1)); +#15293=DIRECTION('',(0.E0,0.E0,1.E0)); +#15294=DIRECTION('',(1.E0,0.E0,0.E0)); +#15295=AXIS2_PLACEMENT_3D('',#15292,#15293,#15294); +#15296=CYLINDRICAL_SURFACE('',#15295,5.E-1); +#15297=ORIENTED_EDGE('',*,*,#15219,.T.); +#15298=ORIENTED_EDGE('',*,*,#15249,.F.); +#15299=ORIENTED_EDGE('',*,*,#11609,.F.); +#15300=ORIENTED_EDGE('',*,*,#15188,.T.); +#15301=EDGE_LOOP('',(#15297,#15298,#15299,#15300)); +#15302=FACE_OUTER_BOUND('',#15301,.F.); +#15304=CARTESIAN_POINT('',(-2.425E0,1.03E1,-2.E1)); +#15305=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15306=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15307=AXIS2_PLACEMENT_3D('',#15304,#15305,#15306); +#15308=PLANE('',#15307); +#15310=ORIENTED_EDGE('',*,*,#15309,.T.); +#15312=ORIENTED_EDGE('',*,*,#15311,.F.); +#15313=ORIENTED_EDGE('',*,*,#11614,.F.); +#15314=ORIENTED_EDGE('',*,*,#10804,.T.); +#15316=ORIENTED_EDGE('',*,*,#15315,.F.); +#15317=EDGE_LOOP('',(#15310,#15312,#15313,#15314,#15316)); +#15318=FACE_OUTER_BOUND('',#15317,.F.); +#15320=CARTESIAN_POINT('',(-2.325E0,5.789556E1,-1.01E1)); +#15321=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15322=DIRECTION('',(0.E0,0.E0,1.E0)); +#15323=AXIS2_PLACEMENT_3D('',#15320,#15321,#15322); +#15324=CYLINDRICAL_SURFACE('',#15323,1.E-1); +#15325=ORIENTED_EDGE('',*,*,#11034,.T.); +#15327=ORIENTED_EDGE('',*,*,#15326,.T.); +#15328=ORIENTED_EDGE('',*,*,#15309,.F.); +#15330=ORIENTED_EDGE('',*,*,#15329,.T.); +#15331=EDGE_LOOP('',(#15325,#15327,#15328,#15330)); +#15332=FACE_OUTER_BOUND('',#15331,.F.); +#15334=CARTESIAN_POINT('',(-1.75E0,8.075E0,-1.01E1)); +#15335=DIRECTION('',(0.E0,0.E0,1.E0)); +#15336=DIRECTION('',(-9.790137572308E-1,2.037941685937E-1,0.E0)); +#15337=AXIS2_PLACEMENT_3D('',#15334,#15335,#15336); +#15338=TOROIDAL_SURFACE('',#15337,5.75E-1,1.E-1); +#15339=ORIENTED_EDGE('',*,*,#11032,.T.); +#15341=ORIENTED_EDGE('',*,*,#15340,.T.); +#15343=ORIENTED_EDGE('',*,*,#15342,.F.); +#15344=ORIENTED_EDGE('',*,*,#15326,.F.); +#15345=EDGE_LOOP('',(#15339,#15341,#15343,#15344)); +#15346=FACE_OUTER_BOUND('',#15345,.F.); +#15348=CARTESIAN_POINT('',(-1.175E0,7.936468488237E0,-1.01E1)); +#15349=DIRECTION('',(0.E0,1.E0,0.E0)); +#15350=DIRECTION('',(0.E0,0.E0,1.E0)); +#15351=AXIS2_PLACEMENT_3D('',#15348,#15349,#15350); +#15352=CYLINDRICAL_SURFACE('',#15351,1.E-1); +#15354=ORIENTED_EDGE('',*,*,#15353,.F.); +#15355=ORIENTED_EDGE('',*,*,#15340,.F.); +#15356=ORIENTED_EDGE('',*,*,#11030,.T.); +#15358=ORIENTED_EDGE('',*,*,#15357,.T.); +#15359=EDGE_LOOP('',(#15354,#15355,#15356,#15358)); +#15360=FACE_OUTER_BOUND('',#15359,.F.); +#15362=CARTESIAN_POINT('',(-1.075E0,8.075E0,-2.E1)); +#15363=DIRECTION('',(1.E0,0.E0,0.E0)); +#15364=DIRECTION('',(0.E0,1.E0,0.E0)); +#15365=AXIS2_PLACEMENT_3D('',#15362,#15363,#15364); +#15366=PLANE('',#15365); +#15367=ORIENTED_EDGE('',*,*,#15353,.T.); +#15369=ORIENTED_EDGE('',*,*,#15368,.T.); +#15370=ORIENTED_EDGE('',*,*,#10796,.F.); +#15371=ORIENTED_EDGE('',*,*,#11618,.T.); +#15373=ORIENTED_EDGE('',*,*,#15372,.T.); +#15374=EDGE_LOOP('',(#15367,#15369,#15370,#15371,#15373)); +#15375=FACE_OUTER_BOUND('',#15374,.F.); +#15377=CARTESIAN_POINT('',(-1.175E0,1.02E1,-9.9E0)); +#15378=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15379=DIRECTION('',(4.551914400963E-14,-5.485369585398E-1,-8.361263093074E-1)); +#15380=AXIS2_PLACEMENT_3D('',#15377,#15378,#15379); +#15381=TOROIDAL_SURFACE('',#15380,2.E-1,1.E-1); +#15382=ORIENTED_EDGE('',*,*,#15368,.F.); +#15383=ORIENTED_EDGE('',*,*,#15357,.F.); +#15385=ORIENTED_EDGE('',*,*,#15384,.F.); +#15386=ORIENTED_EDGE('',*,*,#10798,.F.); +#15387=EDGE_LOOP('',(#15382,#15383,#15385,#15386)); +#15388=FACE_OUTER_BOUND('',#15387,.F.); +#15390=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#15391=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15392=DIRECTION('',(0.E0,1.E0,0.E0)); +#15393=AXIS2_PLACEMENT_3D('',#15390,#15391,#15392); +#15394=CYLINDRICAL_SURFACE('',#15393,1.E-1); +#15395=ORIENTED_EDGE('',*,*,#10800,.F.); +#15396=ORIENTED_EDGE('',*,*,#15384,.T.); +#15397=ORIENTED_EDGE('',*,*,#11028,.T.); +#15399=ORIENTED_EDGE('',*,*,#15398,.T.); +#15400=EDGE_LOOP('',(#15395,#15396,#15397,#15399)); +#15401=FACE_OUTER_BOUND('',#15400,.F.); +#15403=CARTESIAN_POINT('',(-2.325E0,1.02E1,-9.9E0)); +#15404=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15405=DIRECTION('',(-6.439293542826E-14,-7.564028598729E-1, +-6.541060415376E-1)); +#15406=AXIS2_PLACEMENT_3D('',#15403,#15404,#15405); +#15407=TOROIDAL_SURFACE('',#15406,2.E-1,1.E-1); +#15408=ORIENTED_EDGE('',*,*,#15315,.T.); +#15409=ORIENTED_EDGE('',*,*,#10802,.F.); +#15410=ORIENTED_EDGE('',*,*,#15398,.F.); +#15411=ORIENTED_EDGE('',*,*,#15329,.F.); +#15412=EDGE_LOOP('',(#15408,#15409,#15410,#15411)); +#15413=FACE_OUTER_BOUND('',#15412,.F.); +#15415=CARTESIAN_POINT('',(-1.75E0,8.075E0,-2.E1)); +#15416=DIRECTION('',(0.E0,0.E0,1.E0)); +#15417=DIRECTION('',(1.E0,0.E0,0.E0)); +#15418=AXIS2_PLACEMENT_3D('',#15415,#15416,#15417); +#15419=CYLINDRICAL_SURFACE('',#15418,6.75E-1); +#15420=ORIENTED_EDGE('',*,*,#15342,.T.); +#15421=ORIENTED_EDGE('',*,*,#15372,.F.); +#15422=ORIENTED_EDGE('',*,*,#11616,.F.); +#15423=ORIENTED_EDGE('',*,*,#15311,.T.); +#15424=EDGE_LOOP('',(#15420,#15421,#15422,#15423)); +#15425=FACE_OUTER_BOUND('',#15424,.F.); +#15427=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.E1)); +#15428=DIRECTION('',(0.E0,0.E0,1.E0)); +#15429=DIRECTION('',(1.E0,0.E0,0.E0)); +#15430=AXIS2_PLACEMENT_3D('',#15427,#15428,#15429); +#15431=CYLINDRICAL_SURFACE('',#15430,6.5E-1); +#15432=ORIENTED_EDGE('',*,*,#11623,.F.); +#15434=ORIENTED_EDGE('',*,*,#15433,.T.); +#15436=ORIENTED_EDGE('',*,*,#15435,.T.); +#15438=ORIENTED_EDGE('',*,*,#15437,.F.); +#15439=EDGE_LOOP('',(#15432,#15434,#15436,#15438)); +#15440=FACE_OUTER_BOUND('',#15439,.F.); +#15442=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.E1)); +#15443=DIRECTION('',(0.E0,0.E0,1.E0)); +#15444=DIRECTION('',(1.E0,0.E0,0.E0)); +#15445=AXIS2_PLACEMENT_3D('',#15442,#15443,#15444); +#15446=CYLINDRICAL_SURFACE('',#15445,6.5E-1); +#15447=ORIENTED_EDGE('',*,*,#11625,.F.); +#15448=ORIENTED_EDGE('',*,*,#15437,.T.); +#15450=ORIENTED_EDGE('',*,*,#15449,.T.); +#15451=ORIENTED_EDGE('',*,*,#15433,.F.); +#15452=EDGE_LOOP('',(#15447,#15448,#15450,#15451)); +#15453=FACE_OUTER_BOUND('',#15452,.F.); +#15455=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15456=DIRECTION('',(0.E0,0.E0,1.E0)); +#15457=DIRECTION('',(1.E0,0.E0,0.E0)); +#15458=AXIS2_PLACEMENT_3D('',#15455,#15456,#15457); +#15459=PLANE('',#15458); +#15460=ORIENTED_EDGE('',*,*,#15435,.F.); +#15461=ORIENTED_EDGE('',*,*,#15449,.F.); +#15462=EDGE_LOOP('',(#15460,#15461)); +#15463=FACE_OUTER_BOUND('',#15462,.F.); +#15465=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15466=DIRECTION('',(0.E0,0.E0,1.E0)); +#15467=DIRECTION('',(1.E0,0.E0,0.E0)); +#15468=AXIS2_PLACEMENT_3D('',#15465,#15466,#15467); +#15469=PLANE('',#15468); +#15471=ORIENTED_EDGE('',*,*,#15470,.F.); +#15473=ORIENTED_EDGE('',*,*,#15472,.F.); +#15474=EDGE_LOOP('',(#15471,#15473)); +#15475=FACE_OUTER_BOUND('',#15474,.F.); +#15477=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15478=DIRECTION('',(0.E0,0.E0,1.E0)); +#15479=DIRECTION('',(1.E0,0.E0,0.E0)); +#15480=AXIS2_PLACEMENT_3D('',#15477,#15478,#15479); +#15481=PLANE('',#15480); +#15483=ORIENTED_EDGE('',*,*,#15482,.F.); +#15485=ORIENTED_EDGE('',*,*,#15484,.F.); +#15486=EDGE_LOOP('',(#15483,#15485)); +#15487=FACE_OUTER_BOUND('',#15486,.F.); +#15489=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15490=DIRECTION('',(0.E0,0.E0,1.E0)); +#15491=DIRECTION('',(1.E0,0.E0,0.E0)); +#15492=AXIS2_PLACEMENT_3D('',#15489,#15490,#15491); +#15493=PLANE('',#15492); +#15495=ORIENTED_EDGE('',*,*,#15494,.F.); +#15497=ORIENTED_EDGE('',*,*,#15496,.F.); +#15498=EDGE_LOOP('',(#15495,#15497)); +#15499=FACE_OUTER_BOUND('',#15498,.F.); +#15501=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15502=DIRECTION('',(0.E0,0.E0,1.E0)); +#15503=DIRECTION('',(1.E0,0.E0,0.E0)); +#15504=AXIS2_PLACEMENT_3D('',#15501,#15502,#15503); +#15505=PLANE('',#15504); +#15507=ORIENTED_EDGE('',*,*,#15506,.F.); +#15509=ORIENTED_EDGE('',*,*,#15508,.F.); +#15510=EDGE_LOOP('',(#15507,#15509)); +#15511=FACE_OUTER_BOUND('',#15510,.F.); +#15513=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15514=DIRECTION('',(0.E0,0.E0,1.E0)); +#15515=DIRECTION('',(1.E0,0.E0,0.E0)); +#15516=AXIS2_PLACEMENT_3D('',#15513,#15514,#15515); +#15517=PLANE('',#15516); +#15519=ORIENTED_EDGE('',*,*,#15518,.F.); +#15521=ORIENTED_EDGE('',*,*,#15520,.F.); +#15522=EDGE_LOOP('',(#15519,#15521)); +#15523=FACE_OUTER_BOUND('',#15522,.F.); +#15525=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15526=DIRECTION('',(0.E0,0.E0,1.E0)); +#15527=DIRECTION('',(1.E0,0.E0,0.E0)); +#15528=AXIS2_PLACEMENT_3D('',#15525,#15526,#15527); +#15529=PLANE('',#15528); +#15531=ORIENTED_EDGE('',*,*,#15530,.F.); +#15533=ORIENTED_EDGE('',*,*,#15532,.F.); +#15534=EDGE_LOOP('',(#15531,#15533)); +#15535=FACE_OUTER_BOUND('',#15534,.F.); +#15537=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15538=DIRECTION('',(0.E0,0.E0,1.E0)); +#15539=DIRECTION('',(1.E0,0.E0,0.E0)); +#15540=AXIS2_PLACEMENT_3D('',#15537,#15538,#15539); +#15541=PLANE('',#15540); +#15543=ORIENTED_EDGE('',*,*,#15542,.F.); +#15545=ORIENTED_EDGE('',*,*,#15544,.F.); +#15546=EDGE_LOOP('',(#15543,#15545)); +#15547=FACE_OUTER_BOUND('',#15546,.F.); +#15549=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15550=DIRECTION('',(0.E0,0.E0,1.E0)); +#15551=DIRECTION('',(1.E0,0.E0,0.E0)); +#15552=AXIS2_PLACEMENT_3D('',#15549,#15550,#15551); +#15553=PLANE('',#15552); +#15555=ORIENTED_EDGE('',*,*,#15554,.F.); +#15557=ORIENTED_EDGE('',*,*,#15556,.F.); +#15558=EDGE_LOOP('',(#15555,#15557)); +#15559=FACE_OUTER_BOUND('',#15558,.F.); +#15561=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15562=DIRECTION('',(0.E0,0.E0,1.E0)); +#15563=DIRECTION('',(1.E0,0.E0,0.E0)); +#15564=AXIS2_PLACEMENT_3D('',#15561,#15562,#15563); +#15565=PLANE('',#15564); +#15567=ORIENTED_EDGE('',*,*,#15566,.F.); +#15569=ORIENTED_EDGE('',*,*,#15568,.F.); +#15570=EDGE_LOOP('',(#15567,#15569)); +#15571=FACE_OUTER_BOUND('',#15570,.F.); +#15573=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15574=DIRECTION('',(0.E0,0.E0,1.E0)); +#15575=DIRECTION('',(1.E0,0.E0,0.E0)); +#15576=AXIS2_PLACEMENT_3D('',#15573,#15574,#15575); +#15577=PLANE('',#15576); +#15579=ORIENTED_EDGE('',*,*,#15578,.F.); +#15581=ORIENTED_EDGE('',*,*,#15580,.F.); +#15582=EDGE_LOOP('',(#15579,#15581)); +#15583=FACE_OUTER_BOUND('',#15582,.F.); +#15585=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15586=DIRECTION('',(0.E0,0.E0,1.E0)); +#15587=DIRECTION('',(1.E0,0.E0,0.E0)); +#15588=AXIS2_PLACEMENT_3D('',#15585,#15586,#15587); +#15589=PLANE('',#15588); +#15591=ORIENTED_EDGE('',*,*,#15590,.F.); +#15593=ORIENTED_EDGE('',*,*,#15592,.F.); +#15594=EDGE_LOOP('',(#15591,#15593)); +#15595=FACE_OUTER_BOUND('',#15594,.F.); +#15597=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15598=DIRECTION('',(0.E0,0.E0,1.E0)); +#15599=DIRECTION('',(1.E0,0.E0,0.E0)); +#15600=AXIS2_PLACEMENT_3D('',#15597,#15598,#15599); +#15601=PLANE('',#15600); +#15603=ORIENTED_EDGE('',*,*,#15602,.F.); +#15605=ORIENTED_EDGE('',*,*,#15604,.F.); +#15606=EDGE_LOOP('',(#15603,#15605)); +#15607=FACE_OUTER_BOUND('',#15606,.F.); +#15609=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15610=DIRECTION('',(0.E0,0.E0,1.E0)); +#15611=DIRECTION('',(1.E0,0.E0,0.E0)); +#15612=AXIS2_PLACEMENT_3D('',#15609,#15610,#15611); +#15613=PLANE('',#15612); +#15615=ORIENTED_EDGE('',*,*,#15614,.F.); +#15617=ORIENTED_EDGE('',*,*,#15616,.F.); +#15618=EDGE_LOOP('',(#15615,#15617)); +#15619=FACE_OUTER_BOUND('',#15618,.F.); +#15621=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15622=DIRECTION('',(0.E0,0.E0,1.E0)); +#15623=DIRECTION('',(1.E0,0.E0,0.E0)); +#15624=AXIS2_PLACEMENT_3D('',#15621,#15622,#15623); +#15625=PLANE('',#15624); +#15627=ORIENTED_EDGE('',*,*,#15626,.F.); +#15629=ORIENTED_EDGE('',*,*,#15628,.F.); +#15630=EDGE_LOOP('',(#15627,#15629)); +#15631=FACE_OUTER_BOUND('',#15630,.F.); +#15633=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15634=DIRECTION('',(0.E0,0.E0,1.E0)); +#15635=DIRECTION('',(1.E0,0.E0,0.E0)); +#15636=AXIS2_PLACEMENT_3D('',#15633,#15634,#15635); +#15637=PLANE('',#15636); +#15639=ORIENTED_EDGE('',*,*,#15638,.F.); +#15641=ORIENTED_EDGE('',*,*,#15640,.F.); +#15642=EDGE_LOOP('',(#15639,#15641)); +#15643=FACE_OUTER_BOUND('',#15642,.F.); +#15645=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15646=DIRECTION('',(0.E0,0.E0,1.E0)); +#15647=DIRECTION('',(1.E0,0.E0,0.E0)); +#15648=AXIS2_PLACEMENT_3D('',#15645,#15646,#15647); +#15649=PLANE('',#15648); +#15651=ORIENTED_EDGE('',*,*,#15650,.F.); +#15653=ORIENTED_EDGE('',*,*,#15652,.F.); +#15654=EDGE_LOOP('',(#15651,#15653)); +#15655=FACE_OUTER_BOUND('',#15654,.F.); +#15657=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15658=DIRECTION('',(0.E0,0.E0,1.E0)); +#15659=DIRECTION('',(1.E0,0.E0,0.E0)); +#15660=AXIS2_PLACEMENT_3D('',#15657,#15658,#15659); +#15661=PLANE('',#15660); +#15663=ORIENTED_EDGE('',*,*,#15662,.F.); +#15665=ORIENTED_EDGE('',*,*,#15664,.F.); +#15666=EDGE_LOOP('',(#15663,#15665)); +#15667=FACE_OUTER_BOUND('',#15666,.F.); +#15669=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15670=DIRECTION('',(0.E0,0.E0,1.E0)); +#15671=DIRECTION('',(1.E0,0.E0,0.E0)); +#15672=AXIS2_PLACEMENT_3D('',#15669,#15670,#15671); +#15673=PLANE('',#15672); +#15675=ORIENTED_EDGE('',*,*,#15674,.F.); +#15677=ORIENTED_EDGE('',*,*,#15676,.F.); +#15678=EDGE_LOOP('',(#15675,#15677)); +#15679=FACE_OUTER_BOUND('',#15678,.F.); +#15681=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15682=DIRECTION('',(0.E0,0.E0,1.E0)); +#15683=DIRECTION('',(1.E0,0.E0,0.E0)); +#15684=AXIS2_PLACEMENT_3D('',#15681,#15682,#15683); +#15685=PLANE('',#15684); +#15687=ORIENTED_EDGE('',*,*,#15686,.F.); +#15689=ORIENTED_EDGE('',*,*,#15688,.F.); +#15690=EDGE_LOOP('',(#15687,#15689)); +#15691=FACE_OUTER_BOUND('',#15690,.F.); +#15693=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15694=DIRECTION('',(0.E0,0.E0,1.E0)); +#15695=DIRECTION('',(1.E0,0.E0,0.E0)); +#15696=AXIS2_PLACEMENT_3D('',#15693,#15694,#15695); +#15697=PLANE('',#15696); +#15699=ORIENTED_EDGE('',*,*,#15698,.F.); +#15701=ORIENTED_EDGE('',*,*,#15700,.F.); +#15702=EDGE_LOOP('',(#15699,#15701)); +#15703=FACE_OUTER_BOUND('',#15702,.F.); +#15705=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15706=DIRECTION('',(0.E0,0.E0,1.E0)); +#15707=DIRECTION('',(1.E0,0.E0,0.E0)); +#15708=AXIS2_PLACEMENT_3D('',#15705,#15706,#15707); +#15709=PLANE('',#15708); +#15711=ORIENTED_EDGE('',*,*,#15710,.F.); +#15713=ORIENTED_EDGE('',*,*,#15712,.F.); +#15714=EDGE_LOOP('',(#15711,#15713)); +#15715=FACE_OUTER_BOUND('',#15714,.F.); +#15717=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15718=DIRECTION('',(0.E0,0.E0,1.E0)); +#15719=DIRECTION('',(1.E0,0.E0,0.E0)); +#15720=AXIS2_PLACEMENT_3D('',#15717,#15718,#15719); +#15721=PLANE('',#15720); +#15723=ORIENTED_EDGE('',*,*,#15722,.F.); +#15725=ORIENTED_EDGE('',*,*,#15724,.F.); +#15726=EDGE_LOOP('',(#15723,#15725)); +#15727=FACE_OUTER_BOUND('',#15726,.F.); +#15729=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15730=DIRECTION('',(0.E0,0.E0,1.E0)); +#15731=DIRECTION('',(1.E0,0.E0,0.E0)); +#15732=AXIS2_PLACEMENT_3D('',#15729,#15730,#15731); +#15733=PLANE('',#15732); +#15735=ORIENTED_EDGE('',*,*,#15734,.F.); +#15737=ORIENTED_EDGE('',*,*,#15736,.F.); +#15738=EDGE_LOOP('',(#15735,#15737)); +#15739=FACE_OUTER_BOUND('',#15738,.F.); +#15741=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15742=DIRECTION('',(0.E0,0.E0,1.E0)); +#15743=DIRECTION('',(1.E0,0.E0,0.E0)); +#15744=AXIS2_PLACEMENT_3D('',#15741,#15742,#15743); +#15745=PLANE('',#15744); +#15747=ORIENTED_EDGE('',*,*,#15746,.F.); +#15749=ORIENTED_EDGE('',*,*,#15748,.F.); +#15750=EDGE_LOOP('',(#15747,#15749)); +#15751=FACE_OUTER_BOUND('',#15750,.F.); +#15753=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15754=DIRECTION('',(0.E0,0.E0,1.E0)); +#15755=DIRECTION('',(1.E0,0.E0,0.E0)); +#15756=AXIS2_PLACEMENT_3D('',#15753,#15754,#15755); +#15757=PLANE('',#15756); +#15759=ORIENTED_EDGE('',*,*,#15758,.F.); +#15761=ORIENTED_EDGE('',*,*,#15760,.F.); +#15762=EDGE_LOOP('',(#15759,#15761)); +#15763=FACE_OUTER_BOUND('',#15762,.F.); +#15765=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15766=DIRECTION('',(0.E0,0.E0,1.E0)); +#15767=DIRECTION('',(1.E0,0.E0,0.E0)); +#15768=AXIS2_PLACEMENT_3D('',#15765,#15766,#15767); +#15769=PLANE('',#15768); +#15771=ORIENTED_EDGE('',*,*,#15770,.F.); +#15773=ORIENTED_EDGE('',*,*,#15772,.F.); +#15774=EDGE_LOOP('',(#15771,#15773)); +#15775=FACE_OUTER_BOUND('',#15774,.F.); +#15777=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15778=DIRECTION('',(0.E0,0.E0,1.E0)); +#15779=DIRECTION('',(1.E0,0.E0,0.E0)); +#15780=AXIS2_PLACEMENT_3D('',#15777,#15778,#15779); +#15781=PLANE('',#15780); +#15783=ORIENTED_EDGE('',*,*,#15782,.F.); +#15785=ORIENTED_EDGE('',*,*,#15784,.F.); +#15786=EDGE_LOOP('',(#15783,#15785)); +#15787=FACE_OUTER_BOUND('',#15786,.F.); +#15789=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15790=DIRECTION('',(0.E0,0.E0,1.E0)); +#15791=DIRECTION('',(1.E0,0.E0,0.E0)); +#15792=AXIS2_PLACEMENT_3D('',#15789,#15790,#15791); +#15793=PLANE('',#15792); +#15795=ORIENTED_EDGE('',*,*,#15794,.F.); +#15797=ORIENTED_EDGE('',*,*,#15796,.F.); +#15798=EDGE_LOOP('',(#15795,#15797)); +#15799=FACE_OUTER_BOUND('',#15798,.F.); +#15801=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15802=DIRECTION('',(0.E0,0.E0,1.E0)); +#15803=DIRECTION('',(1.E0,0.E0,0.E0)); +#15804=AXIS2_PLACEMENT_3D('',#15801,#15802,#15803); +#15805=PLANE('',#15804); +#15807=ORIENTED_EDGE('',*,*,#15806,.F.); +#15809=ORIENTED_EDGE('',*,*,#15808,.F.); +#15810=EDGE_LOOP('',(#15807,#15809)); +#15811=FACE_OUTER_BOUND('',#15810,.F.); +#15813=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15814=DIRECTION('',(0.E0,0.E0,1.E0)); +#15815=DIRECTION('',(1.E0,0.E0,0.E0)); +#15816=AXIS2_PLACEMENT_3D('',#15813,#15814,#15815); +#15817=PLANE('',#15816); +#15819=ORIENTED_EDGE('',*,*,#15818,.F.); +#15821=ORIENTED_EDGE('',*,*,#15820,.F.); +#15822=EDGE_LOOP('',(#15819,#15821)); +#15823=FACE_OUTER_BOUND('',#15822,.F.); +#15825=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15826=DIRECTION('',(0.E0,0.E0,1.E0)); +#15827=DIRECTION('',(1.E0,0.E0,0.E0)); +#15828=AXIS2_PLACEMENT_3D('',#15825,#15826,#15827); +#15829=PLANE('',#15828); +#15831=ORIENTED_EDGE('',*,*,#15830,.F.); +#15833=ORIENTED_EDGE('',*,*,#15832,.F.); +#15834=EDGE_LOOP('',(#15831,#15833)); +#15835=FACE_OUTER_BOUND('',#15834,.F.); +#15837=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15838=DIRECTION('',(0.E0,0.E0,1.E0)); +#15839=DIRECTION('',(1.E0,0.E0,0.E0)); +#15840=AXIS2_PLACEMENT_3D('',#15837,#15838,#15839); +#15841=PLANE('',#15840); +#15843=ORIENTED_EDGE('',*,*,#15842,.F.); +#15845=ORIENTED_EDGE('',*,*,#15844,.F.); +#15846=EDGE_LOOP('',(#15843,#15845)); +#15847=FACE_OUTER_BOUND('',#15846,.F.); +#15849=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15850=DIRECTION('',(0.E0,0.E0,1.E0)); +#15851=DIRECTION('',(1.E0,0.E0,0.E0)); +#15852=AXIS2_PLACEMENT_3D('',#15849,#15850,#15851); +#15853=PLANE('',#15852); +#15855=ORIENTED_EDGE('',*,*,#15854,.F.); +#15857=ORIENTED_EDGE('',*,*,#15856,.F.); +#15858=EDGE_LOOP('',(#15855,#15857)); +#15859=FACE_OUTER_BOUND('',#15858,.F.); +#15861=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15862=DIRECTION('',(0.E0,0.E0,1.E0)); +#15863=DIRECTION('',(1.E0,0.E0,0.E0)); +#15864=AXIS2_PLACEMENT_3D('',#15861,#15862,#15863); +#15865=PLANE('',#15864); +#15867=ORIENTED_EDGE('',*,*,#15866,.F.); +#15869=ORIENTED_EDGE('',*,*,#15868,.F.); +#15870=EDGE_LOOP('',(#15867,#15869)); +#15871=FACE_OUTER_BOUND('',#15870,.F.); +#15873=CARTESIAN_POINT('',(-2.E1,0.E0,-2.E1)); +#15874=DIRECTION('',(0.E0,0.E0,1.E0)); +#15875=DIRECTION('',(1.E0,0.E0,0.E0)); +#15876=AXIS2_PLACEMENT_3D('',#15873,#15874,#15875); +#15877=CYLINDRICAL_SURFACE('',#15876,6.5E-1); +#15878=ORIENTED_EDGE('',*,*,#11629,.F.); +#15880=ORIENTED_EDGE('',*,*,#15879,.T.); +#15881=ORIENTED_EDGE('',*,*,#15470,.T.); +#15883=ORIENTED_EDGE('',*,*,#15882,.F.); +#15884=EDGE_LOOP('',(#15878,#15880,#15881,#15883)); +#15885=FACE_OUTER_BOUND('',#15884,.F.); +#15887=CARTESIAN_POINT('',(-2.E1,0.E0,-2.E1)); +#15888=DIRECTION('',(0.E0,0.E0,1.E0)); +#15889=DIRECTION('',(1.E0,0.E0,0.E0)); +#15890=AXIS2_PLACEMENT_3D('',#15887,#15888,#15889); +#15891=CYLINDRICAL_SURFACE('',#15890,6.5E-1); +#15892=ORIENTED_EDGE('',*,*,#11631,.F.); +#15893=ORIENTED_EDGE('',*,*,#15882,.T.); +#15894=ORIENTED_EDGE('',*,*,#15472,.T.); +#15895=ORIENTED_EDGE('',*,*,#15879,.F.); +#15896=EDGE_LOOP('',(#15892,#15893,#15894,#15895)); +#15897=FACE_OUTER_BOUND('',#15896,.F.); +#15899=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.E1)); +#15900=DIRECTION('',(0.E0,0.E0,1.E0)); +#15901=DIRECTION('',(1.E0,0.E0,0.E0)); +#15902=AXIS2_PLACEMENT_3D('',#15899,#15900,#15901); +#15903=CYLINDRICAL_SURFACE('',#15902,6.5E-1); +#15904=ORIENTED_EDGE('',*,*,#11635,.F.); +#15906=ORIENTED_EDGE('',*,*,#15905,.T.); +#15907=ORIENTED_EDGE('',*,*,#15482,.T.); +#15909=ORIENTED_EDGE('',*,*,#15908,.F.); +#15910=EDGE_LOOP('',(#15904,#15906,#15907,#15909)); +#15911=FACE_OUTER_BOUND('',#15910,.F.); +#15913=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.E1)); +#15914=DIRECTION('',(0.E0,0.E0,1.E0)); +#15915=DIRECTION('',(1.E0,0.E0,0.E0)); +#15916=AXIS2_PLACEMENT_3D('',#15913,#15914,#15915); +#15917=CYLINDRICAL_SURFACE('',#15916,6.5E-1); +#15918=ORIENTED_EDGE('',*,*,#11637,.F.); +#15919=ORIENTED_EDGE('',*,*,#15908,.T.); +#15920=ORIENTED_EDGE('',*,*,#15484,.T.); +#15921=ORIENTED_EDGE('',*,*,#15905,.F.); +#15922=EDGE_LOOP('',(#15918,#15919,#15920,#15921)); +#15923=FACE_OUTER_BOUND('',#15922,.F.); +#15925=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.E1)); +#15926=DIRECTION('',(0.E0,0.E0,1.E0)); +#15927=DIRECTION('',(1.E0,0.E0,0.E0)); +#15928=AXIS2_PLACEMENT_3D('',#15925,#15926,#15927); +#15929=CYLINDRICAL_SURFACE('',#15928,6.5E-1); +#15930=ORIENTED_EDGE('',*,*,#11641,.F.); +#15932=ORIENTED_EDGE('',*,*,#15931,.T.); +#15933=ORIENTED_EDGE('',*,*,#15494,.T.); +#15935=ORIENTED_EDGE('',*,*,#15934,.F.); +#15936=EDGE_LOOP('',(#15930,#15932,#15933,#15935)); +#15937=FACE_OUTER_BOUND('',#15936,.F.); +#15939=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.E1)); +#15940=DIRECTION('',(0.E0,0.E0,1.E0)); +#15941=DIRECTION('',(1.E0,0.E0,0.E0)); +#15942=AXIS2_PLACEMENT_3D('',#15939,#15940,#15941); +#15943=CYLINDRICAL_SURFACE('',#15942,6.5E-1); +#15944=ORIENTED_EDGE('',*,*,#11643,.F.); +#15945=ORIENTED_EDGE('',*,*,#15934,.T.); +#15946=ORIENTED_EDGE('',*,*,#15496,.T.); +#15947=ORIENTED_EDGE('',*,*,#15931,.F.); +#15948=EDGE_LOOP('',(#15944,#15945,#15946,#15947)); +#15949=FACE_OUTER_BOUND('',#15948,.F.); +#15951=CARTESIAN_POINT('',(-1.6E1,0.E0,-2.E1)); +#15952=DIRECTION('',(0.E0,0.E0,1.E0)); +#15953=DIRECTION('',(1.E0,0.E0,0.E0)); +#15954=AXIS2_PLACEMENT_3D('',#15951,#15952,#15953); +#15955=CYLINDRICAL_SURFACE('',#15954,6.5E-1); +#15956=ORIENTED_EDGE('',*,*,#11647,.F.); +#15958=ORIENTED_EDGE('',*,*,#15957,.T.); +#15959=ORIENTED_EDGE('',*,*,#15506,.T.); +#15961=ORIENTED_EDGE('',*,*,#15960,.F.); +#15962=EDGE_LOOP('',(#15956,#15958,#15959,#15961)); +#15963=FACE_OUTER_BOUND('',#15962,.F.); +#15965=CARTESIAN_POINT('',(-1.6E1,0.E0,-2.E1)); +#15966=DIRECTION('',(0.E0,0.E0,1.E0)); +#15967=DIRECTION('',(1.E0,0.E0,0.E0)); +#15968=AXIS2_PLACEMENT_3D('',#15965,#15966,#15967); +#15969=CYLINDRICAL_SURFACE('',#15968,6.5E-1); +#15970=ORIENTED_EDGE('',*,*,#11649,.F.); +#15971=ORIENTED_EDGE('',*,*,#15960,.T.); +#15972=ORIENTED_EDGE('',*,*,#15508,.T.); +#15973=ORIENTED_EDGE('',*,*,#15957,.F.); +#15974=EDGE_LOOP('',(#15970,#15971,#15972,#15973)); +#15975=FACE_OUTER_BOUND('',#15974,.F.); +#15977=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.E1)); +#15978=DIRECTION('',(0.E0,0.E0,1.E0)); +#15979=DIRECTION('',(1.E0,0.E0,0.E0)); +#15980=AXIS2_PLACEMENT_3D('',#15977,#15978,#15979); +#15981=CYLINDRICAL_SURFACE('',#15980,6.5E-1); +#15982=ORIENTED_EDGE('',*,*,#11653,.F.); +#15984=ORIENTED_EDGE('',*,*,#15983,.T.); +#15985=ORIENTED_EDGE('',*,*,#15518,.T.); +#15987=ORIENTED_EDGE('',*,*,#15986,.F.); +#15988=EDGE_LOOP('',(#15982,#15984,#15985,#15987)); +#15989=FACE_OUTER_BOUND('',#15988,.F.); +#15991=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.E1)); +#15992=DIRECTION('',(0.E0,0.E0,1.E0)); +#15993=DIRECTION('',(1.E0,0.E0,0.E0)); +#15994=AXIS2_PLACEMENT_3D('',#15991,#15992,#15993); +#15995=CYLINDRICAL_SURFACE('',#15994,6.5E-1); +#15996=ORIENTED_EDGE('',*,*,#11655,.F.); +#15997=ORIENTED_EDGE('',*,*,#15986,.T.); +#15998=ORIENTED_EDGE('',*,*,#15520,.T.); +#15999=ORIENTED_EDGE('',*,*,#15983,.F.); +#16000=EDGE_LOOP('',(#15996,#15997,#15998,#15999)); +#16001=FACE_OUTER_BOUND('',#16000,.F.); +#16003=CARTESIAN_POINT('',(-6.E0,4.E0,-2.E1)); +#16004=DIRECTION('',(0.E0,0.E0,1.E0)); +#16005=DIRECTION('',(1.E0,0.E0,0.E0)); +#16006=AXIS2_PLACEMENT_3D('',#16003,#16004,#16005); +#16007=CYLINDRICAL_SURFACE('',#16006,6.5E-1); +#16008=ORIENTED_EDGE('',*,*,#11659,.F.); +#16010=ORIENTED_EDGE('',*,*,#16009,.T.); +#16011=ORIENTED_EDGE('',*,*,#15530,.T.); +#16013=ORIENTED_EDGE('',*,*,#16012,.F.); +#16014=EDGE_LOOP('',(#16008,#16010,#16011,#16013)); +#16015=FACE_OUTER_BOUND('',#16014,.F.); +#16017=CARTESIAN_POINT('',(-6.E0,4.E0,-2.E1)); +#16018=DIRECTION('',(0.E0,0.E0,1.E0)); +#16019=DIRECTION('',(1.E0,0.E0,0.E0)); +#16020=AXIS2_PLACEMENT_3D('',#16017,#16018,#16019); +#16021=CYLINDRICAL_SURFACE('',#16020,6.5E-1); +#16022=ORIENTED_EDGE('',*,*,#11661,.F.); +#16023=ORIENTED_EDGE('',*,*,#16012,.T.); +#16024=ORIENTED_EDGE('',*,*,#15532,.T.); +#16025=ORIENTED_EDGE('',*,*,#16009,.F.); +#16026=EDGE_LOOP('',(#16022,#16023,#16024,#16025)); +#16027=FACE_OUTER_BOUND('',#16026,.F.); +#16029=CARTESIAN_POINT('',(-4.E0,0.E0,-2.E1)); +#16030=DIRECTION('',(0.E0,0.E0,1.E0)); +#16031=DIRECTION('',(1.E0,0.E0,0.E0)); +#16032=AXIS2_PLACEMENT_3D('',#16029,#16030,#16031); +#16033=CYLINDRICAL_SURFACE('',#16032,6.5E-1); +#16034=ORIENTED_EDGE('',*,*,#11665,.F.); +#16036=ORIENTED_EDGE('',*,*,#16035,.T.); +#16037=ORIENTED_EDGE('',*,*,#15542,.T.); +#16039=ORIENTED_EDGE('',*,*,#16038,.F.); +#16040=EDGE_LOOP('',(#16034,#16036,#16037,#16039)); +#16041=FACE_OUTER_BOUND('',#16040,.F.); +#16043=CARTESIAN_POINT('',(-4.E0,0.E0,-2.E1)); +#16044=DIRECTION('',(0.E0,0.E0,1.E0)); +#16045=DIRECTION('',(1.E0,0.E0,0.E0)); +#16046=AXIS2_PLACEMENT_3D('',#16043,#16044,#16045); +#16047=CYLINDRICAL_SURFACE('',#16046,6.5E-1); +#16048=ORIENTED_EDGE('',*,*,#11667,.F.); +#16049=ORIENTED_EDGE('',*,*,#16038,.T.); +#16050=ORIENTED_EDGE('',*,*,#15544,.T.); +#16051=ORIENTED_EDGE('',*,*,#16035,.F.); +#16052=EDGE_LOOP('',(#16048,#16049,#16050,#16051)); +#16053=FACE_OUTER_BOUND('',#16052,.F.); +#16055=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.E1)); +#16056=DIRECTION('',(0.E0,0.E0,1.E0)); +#16057=DIRECTION('',(1.E0,0.E0,0.E0)); +#16058=AXIS2_PLACEMENT_3D('',#16055,#16056,#16057); +#16059=CYLINDRICAL_SURFACE('',#16058,6.5E-1); +#16060=ORIENTED_EDGE('',*,*,#11671,.F.); +#16062=ORIENTED_EDGE('',*,*,#16061,.T.); +#16063=ORIENTED_EDGE('',*,*,#15554,.T.); +#16065=ORIENTED_EDGE('',*,*,#16064,.F.); +#16066=EDGE_LOOP('',(#16060,#16062,#16063,#16065)); +#16067=FACE_OUTER_BOUND('',#16066,.F.); +#16069=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.E1)); +#16070=DIRECTION('',(0.E0,0.E0,1.E0)); +#16071=DIRECTION('',(1.E0,0.E0,0.E0)); +#16072=AXIS2_PLACEMENT_3D('',#16069,#16070,#16071); +#16073=CYLINDRICAL_SURFACE('',#16072,6.5E-1); +#16074=ORIENTED_EDGE('',*,*,#11673,.F.); +#16075=ORIENTED_EDGE('',*,*,#16064,.T.); +#16076=ORIENTED_EDGE('',*,*,#15556,.T.); +#16077=ORIENTED_EDGE('',*,*,#16061,.F.); +#16078=EDGE_LOOP('',(#16074,#16075,#16076,#16077)); +#16079=FACE_OUTER_BOUND('',#16078,.F.); +#16081=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.E1)); +#16082=DIRECTION('',(0.E0,0.E0,1.E0)); +#16083=DIRECTION('',(1.E0,0.E0,0.E0)); +#16084=AXIS2_PLACEMENT_3D('',#16081,#16082,#16083); +#16085=CYLINDRICAL_SURFACE('',#16084,6.5E-1); +#16086=ORIENTED_EDGE('',*,*,#11677,.F.); +#16088=ORIENTED_EDGE('',*,*,#16087,.T.); +#16089=ORIENTED_EDGE('',*,*,#15566,.T.); +#16091=ORIENTED_EDGE('',*,*,#16090,.F.); +#16092=EDGE_LOOP('',(#16086,#16088,#16089,#16091)); +#16093=FACE_OUTER_BOUND('',#16092,.F.); +#16095=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.E1)); +#16096=DIRECTION('',(0.E0,0.E0,1.E0)); +#16097=DIRECTION('',(1.E0,0.E0,0.E0)); +#16098=AXIS2_PLACEMENT_3D('',#16095,#16096,#16097); +#16099=CYLINDRICAL_SURFACE('',#16098,6.5E-1); +#16100=ORIENTED_EDGE('',*,*,#11679,.F.); +#16101=ORIENTED_EDGE('',*,*,#16090,.T.); +#16102=ORIENTED_EDGE('',*,*,#15568,.T.); +#16103=ORIENTED_EDGE('',*,*,#16087,.F.); +#16104=EDGE_LOOP('',(#16100,#16101,#16102,#16103)); +#16105=FACE_OUTER_BOUND('',#16104,.F.); +#16107=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#16108=DIRECTION('',(0.E0,0.E0,1.E0)); +#16109=DIRECTION('',(1.E0,0.E0,0.E0)); +#16110=AXIS2_PLACEMENT_3D('',#16107,#16108,#16109); +#16111=CYLINDRICAL_SURFACE('',#16110,6.5E-1); +#16112=ORIENTED_EDGE('',*,*,#11683,.F.); +#16114=ORIENTED_EDGE('',*,*,#16113,.T.); +#16115=ORIENTED_EDGE('',*,*,#15578,.T.); +#16117=ORIENTED_EDGE('',*,*,#16116,.F.); +#16118=EDGE_LOOP('',(#16112,#16114,#16115,#16117)); +#16119=FACE_OUTER_BOUND('',#16118,.F.); +#16121=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#16122=DIRECTION('',(0.E0,0.E0,1.E0)); +#16123=DIRECTION('',(1.E0,0.E0,0.E0)); +#16124=AXIS2_PLACEMENT_3D('',#16121,#16122,#16123); +#16125=CYLINDRICAL_SURFACE('',#16124,6.5E-1); +#16126=ORIENTED_EDGE('',*,*,#11685,.F.); +#16127=ORIENTED_EDGE('',*,*,#16116,.T.); +#16128=ORIENTED_EDGE('',*,*,#15580,.T.); +#16129=ORIENTED_EDGE('',*,*,#16113,.F.); +#16130=EDGE_LOOP('',(#16126,#16127,#16128,#16129)); +#16131=FACE_OUTER_BOUND('',#16130,.F.); +#16133=CARTESIAN_POINT('',(-2.E0,4.E0,-2.E1)); +#16134=DIRECTION('',(0.E0,0.E0,1.E0)); +#16135=DIRECTION('',(1.E0,0.E0,0.E0)); +#16136=AXIS2_PLACEMENT_3D('',#16133,#16134,#16135); +#16137=CYLINDRICAL_SURFACE('',#16136,6.5E-1); +#16138=ORIENTED_EDGE('',*,*,#11689,.F.); +#16140=ORIENTED_EDGE('',*,*,#16139,.T.); +#16141=ORIENTED_EDGE('',*,*,#15590,.T.); +#16143=ORIENTED_EDGE('',*,*,#16142,.F.); +#16144=EDGE_LOOP('',(#16138,#16140,#16141,#16143)); +#16145=FACE_OUTER_BOUND('',#16144,.F.); +#16147=CARTESIAN_POINT('',(-2.E0,4.E0,-2.E1)); +#16148=DIRECTION('',(0.E0,0.E0,1.E0)); +#16149=DIRECTION('',(1.E0,0.E0,0.E0)); +#16150=AXIS2_PLACEMENT_3D('',#16147,#16148,#16149); +#16151=CYLINDRICAL_SURFACE('',#16150,6.5E-1); +#16152=ORIENTED_EDGE('',*,*,#11691,.F.); +#16153=ORIENTED_EDGE('',*,*,#16142,.T.); +#16154=ORIENTED_EDGE('',*,*,#15592,.T.); +#16155=ORIENTED_EDGE('',*,*,#16139,.F.); +#16156=EDGE_LOOP('',(#16152,#16153,#16154,#16155)); +#16157=FACE_OUTER_BOUND('',#16156,.F.); +#16159=CARTESIAN_POINT('',(2.E0,4.E0,-2.E1)); +#16160=DIRECTION('',(0.E0,0.E0,1.E0)); +#16161=DIRECTION('',(1.E0,0.E0,0.E0)); +#16162=AXIS2_PLACEMENT_3D('',#16159,#16160,#16161); +#16163=CYLINDRICAL_SURFACE('',#16162,6.5E-1); +#16164=ORIENTED_EDGE('',*,*,#11695,.F.); +#16166=ORIENTED_EDGE('',*,*,#16165,.T.); +#16167=ORIENTED_EDGE('',*,*,#15602,.T.); +#16169=ORIENTED_EDGE('',*,*,#16168,.F.); +#16170=EDGE_LOOP('',(#16164,#16166,#16167,#16169)); +#16171=FACE_OUTER_BOUND('',#16170,.F.); +#16173=CARTESIAN_POINT('',(2.E0,4.E0,-2.E1)); +#16174=DIRECTION('',(0.E0,0.E0,1.E0)); +#16175=DIRECTION('',(1.E0,0.E0,0.E0)); +#16176=AXIS2_PLACEMENT_3D('',#16173,#16174,#16175); +#16177=CYLINDRICAL_SURFACE('',#16176,6.5E-1); +#16178=ORIENTED_EDGE('',*,*,#11697,.F.); +#16179=ORIENTED_EDGE('',*,*,#16168,.T.); +#16180=ORIENTED_EDGE('',*,*,#15604,.T.); +#16181=ORIENTED_EDGE('',*,*,#16165,.F.); +#16182=EDGE_LOOP('',(#16178,#16179,#16180,#16181)); +#16183=FACE_OUTER_BOUND('',#16182,.F.); +#16185=CARTESIAN_POINT('',(4.E0,0.E0,-2.E1)); +#16186=DIRECTION('',(0.E0,0.E0,1.E0)); +#16187=DIRECTION('',(1.E0,0.E0,0.E0)); +#16188=AXIS2_PLACEMENT_3D('',#16185,#16186,#16187); +#16189=CYLINDRICAL_SURFACE('',#16188,6.5E-1); +#16190=ORIENTED_EDGE('',*,*,#11701,.F.); +#16192=ORIENTED_EDGE('',*,*,#16191,.T.); +#16193=ORIENTED_EDGE('',*,*,#15614,.T.); +#16195=ORIENTED_EDGE('',*,*,#16194,.F.); +#16196=EDGE_LOOP('',(#16190,#16192,#16193,#16195)); +#16197=FACE_OUTER_BOUND('',#16196,.F.); +#16199=CARTESIAN_POINT('',(4.E0,0.E0,-2.E1)); +#16200=DIRECTION('',(0.E0,0.E0,1.E0)); +#16201=DIRECTION('',(1.E0,0.E0,0.E0)); +#16202=AXIS2_PLACEMENT_3D('',#16199,#16200,#16201); +#16203=CYLINDRICAL_SURFACE('',#16202,6.5E-1); +#16204=ORIENTED_EDGE('',*,*,#11703,.F.); +#16205=ORIENTED_EDGE('',*,*,#16194,.T.); +#16206=ORIENTED_EDGE('',*,*,#15616,.T.); +#16207=ORIENTED_EDGE('',*,*,#16191,.F.); +#16208=EDGE_LOOP('',(#16204,#16205,#16206,#16207)); +#16209=FACE_OUTER_BOUND('',#16208,.F.); +#16211=CARTESIAN_POINT('',(2.E0,-4.E0,-2.E1)); +#16212=DIRECTION('',(0.E0,0.E0,1.E0)); +#16213=DIRECTION('',(1.E0,0.E0,0.E0)); +#16214=AXIS2_PLACEMENT_3D('',#16211,#16212,#16213); +#16215=CYLINDRICAL_SURFACE('',#16214,6.5E-1); +#16216=ORIENTED_EDGE('',*,*,#11707,.F.); +#16218=ORIENTED_EDGE('',*,*,#16217,.T.); +#16219=ORIENTED_EDGE('',*,*,#15626,.T.); +#16221=ORIENTED_EDGE('',*,*,#16220,.F.); +#16222=EDGE_LOOP('',(#16216,#16218,#16219,#16221)); +#16223=FACE_OUTER_BOUND('',#16222,.F.); +#16225=CARTESIAN_POINT('',(2.E0,-4.E0,-2.E1)); +#16226=DIRECTION('',(0.E0,0.E0,1.E0)); +#16227=DIRECTION('',(1.E0,0.E0,0.E0)); +#16228=AXIS2_PLACEMENT_3D('',#16225,#16226,#16227); +#16229=CYLINDRICAL_SURFACE('',#16228,6.5E-1); +#16230=ORIENTED_EDGE('',*,*,#11709,.F.); +#16231=ORIENTED_EDGE('',*,*,#16220,.T.); +#16232=ORIENTED_EDGE('',*,*,#15628,.T.); +#16233=ORIENTED_EDGE('',*,*,#16217,.F.); +#16234=EDGE_LOOP('',(#16230,#16231,#16232,#16233)); +#16235=FACE_OUTER_BOUND('',#16234,.F.); +#16237=CARTESIAN_POINT('',(6.E0,-4.E0,-2.E1)); +#16238=DIRECTION('',(0.E0,0.E0,1.E0)); +#16239=DIRECTION('',(1.E0,0.E0,0.E0)); +#16240=AXIS2_PLACEMENT_3D('',#16237,#16238,#16239); +#16241=CYLINDRICAL_SURFACE('',#16240,6.5E-1); +#16242=ORIENTED_EDGE('',*,*,#11713,.F.); +#16244=ORIENTED_EDGE('',*,*,#16243,.T.); +#16245=ORIENTED_EDGE('',*,*,#15638,.T.); +#16247=ORIENTED_EDGE('',*,*,#16246,.F.); +#16248=EDGE_LOOP('',(#16242,#16244,#16245,#16247)); +#16249=FACE_OUTER_BOUND('',#16248,.F.); +#16251=CARTESIAN_POINT('',(6.E0,-4.E0,-2.E1)); +#16252=DIRECTION('',(0.E0,0.E0,1.E0)); +#16253=DIRECTION('',(1.E0,0.E0,0.E0)); +#16254=AXIS2_PLACEMENT_3D('',#16251,#16252,#16253); +#16255=CYLINDRICAL_SURFACE('',#16254,6.5E-1); +#16256=ORIENTED_EDGE('',*,*,#11715,.F.); +#16257=ORIENTED_EDGE('',*,*,#16246,.T.); +#16258=ORIENTED_EDGE('',*,*,#15640,.T.); +#16259=ORIENTED_EDGE('',*,*,#16243,.F.); +#16260=EDGE_LOOP('',(#16256,#16257,#16258,#16259)); +#16261=FACE_OUTER_BOUND('',#16260,.F.); +#16263=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-2.E1)); +#16264=DIRECTION('',(0.E0,0.E0,1.E0)); +#16265=DIRECTION('',(1.E0,0.E0,0.E0)); +#16266=AXIS2_PLACEMENT_3D('',#16263,#16264,#16265); +#16267=CYLINDRICAL_SURFACE('',#16266,6.5E-1); +#16268=ORIENTED_EDGE('',*,*,#11719,.F.); +#16270=ORIENTED_EDGE('',*,*,#16269,.T.); +#16271=ORIENTED_EDGE('',*,*,#15650,.T.); +#16273=ORIENTED_EDGE('',*,*,#16272,.F.); +#16274=EDGE_LOOP('',(#16268,#16270,#16271,#16273)); +#16275=FACE_OUTER_BOUND('',#16274,.F.); +#16277=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-2.E1)); +#16278=DIRECTION('',(0.E0,0.E0,1.E0)); +#16279=DIRECTION('',(1.E0,0.E0,0.E0)); +#16280=AXIS2_PLACEMENT_3D('',#16277,#16278,#16279); +#16281=CYLINDRICAL_SURFACE('',#16280,6.5E-1); +#16282=ORIENTED_EDGE('',*,*,#11721,.F.); +#16283=ORIENTED_EDGE('',*,*,#16272,.T.); +#16284=ORIENTED_EDGE('',*,*,#15652,.T.); +#16285=ORIENTED_EDGE('',*,*,#16269,.F.); +#16286=EDGE_LOOP('',(#16282,#16283,#16284,#16285)); +#16287=FACE_OUTER_BOUND('',#16286,.F.); +#16289=CARTESIAN_POINT('',(6.E0,4.E0,-2.E1)); +#16290=DIRECTION('',(0.E0,0.E0,1.E0)); +#16291=DIRECTION('',(1.E0,0.E0,0.E0)); +#16292=AXIS2_PLACEMENT_3D('',#16289,#16290,#16291); +#16293=CYLINDRICAL_SURFACE('',#16292,6.5E-1); +#16294=ORIENTED_EDGE('',*,*,#11725,.F.); +#16296=ORIENTED_EDGE('',*,*,#16295,.T.); +#16297=ORIENTED_EDGE('',*,*,#15662,.T.); +#16299=ORIENTED_EDGE('',*,*,#16298,.F.); +#16300=EDGE_LOOP('',(#16294,#16296,#16297,#16299)); +#16301=FACE_OUTER_BOUND('',#16300,.F.); +#16303=CARTESIAN_POINT('',(6.E0,4.E0,-2.E1)); +#16304=DIRECTION('',(0.E0,0.E0,1.E0)); +#16305=DIRECTION('',(1.E0,0.E0,0.E0)); +#16306=AXIS2_PLACEMENT_3D('',#16303,#16304,#16305); +#16307=CYLINDRICAL_SURFACE('',#16306,6.5E-1); +#16308=ORIENTED_EDGE('',*,*,#11727,.F.); +#16309=ORIENTED_EDGE('',*,*,#16298,.T.); +#16310=ORIENTED_EDGE('',*,*,#15664,.T.); +#16311=ORIENTED_EDGE('',*,*,#16295,.F.); +#16312=EDGE_LOOP('',(#16308,#16309,#16310,#16311)); +#16313=FACE_OUTER_BOUND('',#16312,.F.); +#16315=CARTESIAN_POINT('',(1.4E1,4.E0,-2.E1)); +#16316=DIRECTION('',(0.E0,0.E0,1.E0)); +#16317=DIRECTION('',(1.E0,0.E0,0.E0)); +#16318=AXIS2_PLACEMENT_3D('',#16315,#16316,#16317); +#16319=CYLINDRICAL_SURFACE('',#16318,6.5E-1); +#16320=ORIENTED_EDGE('',*,*,#11731,.F.); +#16322=ORIENTED_EDGE('',*,*,#16321,.T.); +#16323=ORIENTED_EDGE('',*,*,#15674,.T.); +#16325=ORIENTED_EDGE('',*,*,#16324,.F.); +#16326=EDGE_LOOP('',(#16320,#16322,#16323,#16325)); +#16327=FACE_OUTER_BOUND('',#16326,.F.); +#16329=CARTESIAN_POINT('',(1.4E1,4.E0,-2.E1)); +#16330=DIRECTION('',(0.E0,0.E0,1.E0)); +#16331=DIRECTION('',(1.E0,0.E0,0.E0)); +#16332=AXIS2_PLACEMENT_3D('',#16329,#16330,#16331); +#16333=CYLINDRICAL_SURFACE('',#16332,6.5E-1); +#16334=ORIENTED_EDGE('',*,*,#11733,.F.); +#16335=ORIENTED_EDGE('',*,*,#16324,.T.); +#16336=ORIENTED_EDGE('',*,*,#15676,.T.); +#16337=ORIENTED_EDGE('',*,*,#16321,.F.); +#16338=EDGE_LOOP('',(#16334,#16335,#16336,#16337)); +#16339=FACE_OUTER_BOUND('',#16338,.F.); +#16341=CARTESIAN_POINT('',(2.2E1,4.E0,-2.E1)); +#16342=DIRECTION('',(0.E0,0.E0,1.E0)); +#16343=DIRECTION('',(1.E0,0.E0,0.E0)); +#16344=AXIS2_PLACEMENT_3D('',#16341,#16342,#16343); +#16345=CYLINDRICAL_SURFACE('',#16344,6.5E-1); +#16346=ORIENTED_EDGE('',*,*,#11737,.F.); +#16348=ORIENTED_EDGE('',*,*,#16347,.T.); +#16349=ORIENTED_EDGE('',*,*,#15686,.T.); +#16351=ORIENTED_EDGE('',*,*,#16350,.F.); +#16352=EDGE_LOOP('',(#16346,#16348,#16349,#16351)); +#16353=FACE_OUTER_BOUND('',#16352,.F.); +#16355=CARTESIAN_POINT('',(2.2E1,4.E0,-2.E1)); +#16356=DIRECTION('',(0.E0,0.E0,1.E0)); +#16357=DIRECTION('',(1.E0,0.E0,0.E0)); +#16358=AXIS2_PLACEMENT_3D('',#16355,#16356,#16357); +#16359=CYLINDRICAL_SURFACE('',#16358,6.5E-1); +#16360=ORIENTED_EDGE('',*,*,#11739,.F.); +#16361=ORIENTED_EDGE('',*,*,#16350,.T.); +#16362=ORIENTED_EDGE('',*,*,#15688,.T.); +#16363=ORIENTED_EDGE('',*,*,#16347,.F.); +#16364=EDGE_LOOP('',(#16360,#16361,#16362,#16363)); +#16365=FACE_OUTER_BOUND('',#16364,.F.); +#16367=CARTESIAN_POINT('',(1.2E1,0.E0,-2.E1)); +#16368=DIRECTION('',(0.E0,0.E0,1.E0)); +#16369=DIRECTION('',(1.E0,0.E0,0.E0)); +#16370=AXIS2_PLACEMENT_3D('',#16367,#16368,#16369); +#16371=CYLINDRICAL_SURFACE('',#16370,6.5E-1); +#16372=ORIENTED_EDGE('',*,*,#11743,.F.); +#16374=ORIENTED_EDGE('',*,*,#16373,.T.); +#16375=ORIENTED_EDGE('',*,*,#15698,.T.); +#16377=ORIENTED_EDGE('',*,*,#16376,.F.); +#16378=EDGE_LOOP('',(#16372,#16374,#16375,#16377)); +#16379=FACE_OUTER_BOUND('',#16378,.F.); +#16381=CARTESIAN_POINT('',(1.2E1,0.E0,-2.E1)); +#16382=DIRECTION('',(0.E0,0.E0,1.E0)); +#16383=DIRECTION('',(1.E0,0.E0,0.E0)); +#16384=AXIS2_PLACEMENT_3D('',#16381,#16382,#16383); +#16385=CYLINDRICAL_SURFACE('',#16384,6.5E-1); +#16386=ORIENTED_EDGE('',*,*,#11745,.F.); +#16387=ORIENTED_EDGE('',*,*,#16376,.T.); +#16388=ORIENTED_EDGE('',*,*,#15700,.T.); +#16389=ORIENTED_EDGE('',*,*,#16373,.F.); +#16390=EDGE_LOOP('',(#16386,#16387,#16388,#16389)); +#16391=FACE_OUTER_BOUND('',#16390,.F.); +#16393=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.E1)); +#16394=DIRECTION('',(0.E0,0.E0,1.E0)); +#16395=DIRECTION('',(1.E0,0.E0,0.E0)); +#16396=AXIS2_PLACEMENT_3D('',#16393,#16394,#16395); +#16397=CYLINDRICAL_SURFACE('',#16396,6.5E-1); +#16398=ORIENTED_EDGE('',*,*,#11749,.F.); +#16400=ORIENTED_EDGE('',*,*,#16399,.T.); +#16401=ORIENTED_EDGE('',*,*,#15710,.T.); +#16403=ORIENTED_EDGE('',*,*,#16402,.F.); +#16404=EDGE_LOOP('',(#16398,#16400,#16401,#16403)); +#16405=FACE_OUTER_BOUND('',#16404,.F.); +#16407=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.E1)); +#16408=DIRECTION('',(0.E0,0.E0,1.E0)); +#16409=DIRECTION('',(1.E0,0.E0,0.E0)); +#16410=AXIS2_PLACEMENT_3D('',#16407,#16408,#16409); +#16411=CYLINDRICAL_SURFACE('',#16410,6.5E-1); +#16412=ORIENTED_EDGE('',*,*,#11751,.F.); +#16413=ORIENTED_EDGE('',*,*,#16402,.T.); +#16414=ORIENTED_EDGE('',*,*,#15712,.T.); +#16415=ORIENTED_EDGE('',*,*,#16399,.F.); +#16416=EDGE_LOOP('',(#16412,#16413,#16414,#16415)); +#16417=FACE_OUTER_BOUND('',#16416,.F.); +#16419=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.E1)); +#16420=DIRECTION('',(0.E0,0.E0,1.E0)); +#16421=DIRECTION('',(1.E0,0.E0,0.E0)); +#16422=AXIS2_PLACEMENT_3D('',#16419,#16420,#16421); +#16423=CYLINDRICAL_SURFACE('',#16422,6.5E-1); +#16424=ORIENTED_EDGE('',*,*,#11755,.F.); +#16426=ORIENTED_EDGE('',*,*,#16425,.T.); +#16427=ORIENTED_EDGE('',*,*,#15722,.T.); +#16429=ORIENTED_EDGE('',*,*,#16428,.F.); +#16430=EDGE_LOOP('',(#16424,#16426,#16427,#16429)); +#16431=FACE_OUTER_BOUND('',#16430,.F.); +#16433=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.E1)); +#16434=DIRECTION('',(0.E0,0.E0,1.E0)); +#16435=DIRECTION('',(1.E0,0.E0,0.E0)); +#16436=AXIS2_PLACEMENT_3D('',#16433,#16434,#16435); +#16437=CYLINDRICAL_SURFACE('',#16436,6.5E-1); +#16438=ORIENTED_EDGE('',*,*,#11757,.F.); +#16439=ORIENTED_EDGE('',*,*,#16428,.T.); +#16440=ORIENTED_EDGE('',*,*,#15724,.T.); +#16441=ORIENTED_EDGE('',*,*,#16425,.F.); +#16442=EDGE_LOOP('',(#16438,#16439,#16440,#16441)); +#16443=FACE_OUTER_BOUND('',#16442,.F.); +#16445=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.E1)); +#16446=DIRECTION('',(0.E0,0.E0,1.E0)); +#16447=DIRECTION('',(1.E0,0.E0,0.E0)); +#16448=AXIS2_PLACEMENT_3D('',#16445,#16446,#16447); +#16449=CYLINDRICAL_SURFACE('',#16448,6.5E-1); +#16450=ORIENTED_EDGE('',*,*,#11761,.F.); +#16452=ORIENTED_EDGE('',*,*,#16451,.T.); +#16453=ORIENTED_EDGE('',*,*,#15734,.T.); +#16455=ORIENTED_EDGE('',*,*,#16454,.F.); +#16456=EDGE_LOOP('',(#16450,#16452,#16453,#16455)); +#16457=FACE_OUTER_BOUND('',#16456,.F.); +#16459=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.E1)); +#16460=DIRECTION('',(0.E0,0.E0,1.E0)); +#16461=DIRECTION('',(1.E0,0.E0,0.E0)); +#16462=AXIS2_PLACEMENT_3D('',#16459,#16460,#16461); +#16463=CYLINDRICAL_SURFACE('',#16462,6.5E-1); +#16464=ORIENTED_EDGE('',*,*,#11763,.F.); +#16465=ORIENTED_EDGE('',*,*,#16454,.T.); +#16466=ORIENTED_EDGE('',*,*,#15736,.T.); +#16467=ORIENTED_EDGE('',*,*,#16451,.F.); +#16468=EDGE_LOOP('',(#16464,#16465,#16466,#16467)); +#16469=FACE_OUTER_BOUND('',#16468,.F.); +#16471=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.E1)); +#16472=DIRECTION('',(0.E0,0.E0,1.E0)); +#16473=DIRECTION('',(1.E0,0.E0,0.E0)); +#16474=AXIS2_PLACEMENT_3D('',#16471,#16472,#16473); +#16475=CYLINDRICAL_SURFACE('',#16474,6.5E-1); +#16476=ORIENTED_EDGE('',*,*,#11767,.F.); +#16478=ORIENTED_EDGE('',*,*,#16477,.T.); +#16479=ORIENTED_EDGE('',*,*,#15746,.T.); +#16481=ORIENTED_EDGE('',*,*,#16480,.F.); +#16482=EDGE_LOOP('',(#16476,#16478,#16479,#16481)); +#16483=FACE_OUTER_BOUND('',#16482,.F.); +#16485=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.E1)); +#16486=DIRECTION('',(0.E0,0.E0,1.E0)); +#16487=DIRECTION('',(1.E0,0.E0,0.E0)); +#16488=AXIS2_PLACEMENT_3D('',#16485,#16486,#16487); +#16489=CYLINDRICAL_SURFACE('',#16488,6.5E-1); +#16490=ORIENTED_EDGE('',*,*,#11769,.F.); +#16491=ORIENTED_EDGE('',*,*,#16480,.T.); +#16492=ORIENTED_EDGE('',*,*,#15748,.T.); +#16493=ORIENTED_EDGE('',*,*,#16477,.F.); +#16494=EDGE_LOOP('',(#16490,#16491,#16492,#16493)); +#16495=FACE_OUTER_BOUND('',#16494,.F.); +#16497=CARTESIAN_POINT('',(-1.E1,4.E0,-2.E1)); +#16498=DIRECTION('',(0.E0,0.E0,1.E0)); +#16499=DIRECTION('',(1.E0,0.E0,0.E0)); +#16500=AXIS2_PLACEMENT_3D('',#16497,#16498,#16499); +#16501=CYLINDRICAL_SURFACE('',#16500,6.5E-1); +#16502=ORIENTED_EDGE('',*,*,#11773,.F.); +#16504=ORIENTED_EDGE('',*,*,#16503,.T.); +#16505=ORIENTED_EDGE('',*,*,#15758,.T.); +#16507=ORIENTED_EDGE('',*,*,#16506,.F.); +#16508=EDGE_LOOP('',(#16502,#16504,#16505,#16507)); +#16509=FACE_OUTER_BOUND('',#16508,.F.); +#16511=CARTESIAN_POINT('',(-1.E1,4.E0,-2.E1)); +#16512=DIRECTION('',(0.E0,0.E0,1.E0)); +#16513=DIRECTION('',(1.E0,0.E0,0.E0)); +#16514=AXIS2_PLACEMENT_3D('',#16511,#16512,#16513); +#16515=CYLINDRICAL_SURFACE('',#16514,6.5E-1); +#16516=ORIENTED_EDGE('',*,*,#11775,.F.); +#16517=ORIENTED_EDGE('',*,*,#16506,.T.); +#16518=ORIENTED_EDGE('',*,*,#15760,.T.); +#16519=ORIENTED_EDGE('',*,*,#16503,.F.); +#16520=EDGE_LOOP('',(#16516,#16517,#16518,#16519)); +#16521=FACE_OUTER_BOUND('',#16520,.F.); +#16523=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-2.E1)); +#16524=DIRECTION('',(0.E0,0.E0,1.E0)); +#16525=DIRECTION('',(1.E0,0.E0,0.E0)); +#16526=AXIS2_PLACEMENT_3D('',#16523,#16524,#16525); +#16527=CYLINDRICAL_SURFACE('',#16526,6.5E-1); +#16528=ORIENTED_EDGE('',*,*,#11779,.F.); +#16530=ORIENTED_EDGE('',*,*,#16529,.T.); +#16531=ORIENTED_EDGE('',*,*,#15770,.T.); +#16533=ORIENTED_EDGE('',*,*,#16532,.F.); +#16534=EDGE_LOOP('',(#16528,#16530,#16531,#16533)); +#16535=FACE_OUTER_BOUND('',#16534,.F.); +#16537=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-2.E1)); +#16538=DIRECTION('',(0.E0,0.E0,1.E0)); +#16539=DIRECTION('',(1.E0,0.E0,0.E0)); +#16540=AXIS2_PLACEMENT_3D('',#16537,#16538,#16539); +#16541=CYLINDRICAL_SURFACE('',#16540,6.5E-1); +#16542=ORIENTED_EDGE('',*,*,#11781,.F.); +#16543=ORIENTED_EDGE('',*,*,#16532,.T.); +#16544=ORIENTED_EDGE('',*,*,#15772,.T.); +#16545=ORIENTED_EDGE('',*,*,#16529,.F.); +#16546=EDGE_LOOP('',(#16542,#16543,#16544,#16545)); +#16547=FACE_OUTER_BOUND('',#16546,.F.); +#16549=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.E1)); +#16550=DIRECTION('',(0.E0,0.E0,1.E0)); +#16551=DIRECTION('',(1.E0,0.E0,0.E0)); +#16552=AXIS2_PLACEMENT_3D('',#16549,#16550,#16551); +#16553=CYLINDRICAL_SURFACE('',#16552,6.5E-1); +#16554=ORIENTED_EDGE('',*,*,#11785,.F.); +#16556=ORIENTED_EDGE('',*,*,#16555,.T.); +#16557=ORIENTED_EDGE('',*,*,#15782,.T.); +#16559=ORIENTED_EDGE('',*,*,#16558,.F.); +#16560=EDGE_LOOP('',(#16554,#16556,#16557,#16559)); +#16561=FACE_OUTER_BOUND('',#16560,.F.); +#16563=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.E1)); +#16564=DIRECTION('',(0.E0,0.E0,1.E0)); +#16565=DIRECTION('',(1.E0,0.E0,0.E0)); +#16566=AXIS2_PLACEMENT_3D('',#16563,#16564,#16565); +#16567=CYLINDRICAL_SURFACE('',#16566,6.5E-1); +#16568=ORIENTED_EDGE('',*,*,#11787,.F.); +#16569=ORIENTED_EDGE('',*,*,#16558,.T.); +#16570=ORIENTED_EDGE('',*,*,#15784,.T.); +#16571=ORIENTED_EDGE('',*,*,#16555,.F.); +#16572=EDGE_LOOP('',(#16568,#16569,#16570,#16571)); +#16573=FACE_OUTER_BOUND('',#16572,.F.); +#16575=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.E1)); +#16576=DIRECTION('',(0.E0,0.E0,1.E0)); +#16577=DIRECTION('',(1.E0,0.E0,0.E0)); +#16578=AXIS2_PLACEMENT_3D('',#16575,#16576,#16577); +#16579=CYLINDRICAL_SURFACE('',#16578,6.5E-1); +#16580=ORIENTED_EDGE('',*,*,#11791,.F.); +#16582=ORIENTED_EDGE('',*,*,#16581,.T.); +#16583=ORIENTED_EDGE('',*,*,#15794,.T.); +#16585=ORIENTED_EDGE('',*,*,#16584,.F.); +#16586=EDGE_LOOP('',(#16580,#16582,#16583,#16585)); +#16587=FACE_OUTER_BOUND('',#16586,.F.); +#16589=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.E1)); +#16590=DIRECTION('',(0.E0,0.E0,1.E0)); +#16591=DIRECTION('',(1.E0,0.E0,0.E0)); +#16592=AXIS2_PLACEMENT_3D('',#16589,#16590,#16591); +#16593=CYLINDRICAL_SURFACE('',#16592,6.5E-1); +#16594=ORIENTED_EDGE('',*,*,#11793,.F.); +#16595=ORIENTED_EDGE('',*,*,#16584,.T.); +#16596=ORIENTED_EDGE('',*,*,#15796,.T.); +#16597=ORIENTED_EDGE('',*,*,#16581,.F.); +#16598=EDGE_LOOP('',(#16594,#16595,#16596,#16597)); +#16599=FACE_OUTER_BOUND('',#16598,.F.); +#16601=CARTESIAN_POINT('',(1.E1,4.E0,-2.E1)); +#16602=DIRECTION('',(0.E0,0.E0,1.E0)); +#16603=DIRECTION('',(1.E0,0.E0,0.E0)); +#16604=AXIS2_PLACEMENT_3D('',#16601,#16602,#16603); +#16605=CYLINDRICAL_SURFACE('',#16604,6.5E-1); +#16606=ORIENTED_EDGE('',*,*,#11797,.F.); +#16608=ORIENTED_EDGE('',*,*,#16607,.T.); +#16609=ORIENTED_EDGE('',*,*,#15806,.T.); +#16611=ORIENTED_EDGE('',*,*,#16610,.F.); +#16612=EDGE_LOOP('',(#16606,#16608,#16609,#16611)); +#16613=FACE_OUTER_BOUND('',#16612,.F.); +#16615=CARTESIAN_POINT('',(1.E1,4.E0,-2.E1)); +#16616=DIRECTION('',(0.E0,0.E0,1.E0)); +#16617=DIRECTION('',(1.E0,0.E0,0.E0)); +#16618=AXIS2_PLACEMENT_3D('',#16615,#16616,#16617); +#16619=CYLINDRICAL_SURFACE('',#16618,6.5E-1); +#16620=ORIENTED_EDGE('',*,*,#11799,.F.); +#16621=ORIENTED_EDGE('',*,*,#16610,.T.); +#16622=ORIENTED_EDGE('',*,*,#15808,.T.); +#16623=ORIENTED_EDGE('',*,*,#16607,.F.); +#16624=EDGE_LOOP('',(#16620,#16621,#16622,#16623)); +#16625=FACE_OUTER_BOUND('',#16624,.F.); +#16627=CARTESIAN_POINT('',(1.E1,-4.E0,-2.E1)); +#16628=DIRECTION('',(0.E0,0.E0,1.E0)); +#16629=DIRECTION('',(1.E0,0.E0,0.E0)); +#16630=AXIS2_PLACEMENT_3D('',#16627,#16628,#16629); +#16631=CYLINDRICAL_SURFACE('',#16630,6.5E-1); +#16632=ORIENTED_EDGE('',*,*,#11803,.F.); +#16634=ORIENTED_EDGE('',*,*,#16633,.T.); +#16635=ORIENTED_EDGE('',*,*,#15818,.T.); +#16637=ORIENTED_EDGE('',*,*,#16636,.F.); +#16638=EDGE_LOOP('',(#16632,#16634,#16635,#16637)); +#16639=FACE_OUTER_BOUND('',#16638,.F.); +#16641=CARTESIAN_POINT('',(1.E1,-4.E0,-2.E1)); +#16642=DIRECTION('',(0.E0,0.E0,1.E0)); +#16643=DIRECTION('',(1.E0,0.E0,0.E0)); +#16644=AXIS2_PLACEMENT_3D('',#16641,#16642,#16643); +#16645=CYLINDRICAL_SURFACE('',#16644,6.5E-1); +#16646=ORIENTED_EDGE('',*,*,#11805,.F.); +#16647=ORIENTED_EDGE('',*,*,#16636,.T.); +#16648=ORIENTED_EDGE('',*,*,#15820,.T.); +#16649=ORIENTED_EDGE('',*,*,#16633,.F.); +#16650=EDGE_LOOP('',(#16646,#16647,#16648,#16649)); +#16651=FACE_OUTER_BOUND('',#16650,.F.); +#16653=CARTESIAN_POINT('',(1.8E1,4.E0,-2.E1)); +#16654=DIRECTION('',(0.E0,0.E0,1.E0)); +#16655=DIRECTION('',(1.E0,0.E0,0.E0)); +#16656=AXIS2_PLACEMENT_3D('',#16653,#16654,#16655); +#16657=CYLINDRICAL_SURFACE('',#16656,6.5E-1); +#16658=ORIENTED_EDGE('',*,*,#11809,.F.); +#16660=ORIENTED_EDGE('',*,*,#16659,.T.); +#16661=ORIENTED_EDGE('',*,*,#15830,.T.); +#16663=ORIENTED_EDGE('',*,*,#16662,.F.); +#16664=EDGE_LOOP('',(#16658,#16660,#16661,#16663)); +#16665=FACE_OUTER_BOUND('',#16664,.F.); +#16667=CARTESIAN_POINT('',(1.8E1,4.E0,-2.E1)); +#16668=DIRECTION('',(0.E0,0.E0,1.E0)); +#16669=DIRECTION('',(1.E0,0.E0,0.E0)); +#16670=AXIS2_PLACEMENT_3D('',#16667,#16668,#16669); +#16671=CYLINDRICAL_SURFACE('',#16670,6.5E-1); +#16672=ORIENTED_EDGE('',*,*,#11811,.F.); +#16673=ORIENTED_EDGE('',*,*,#16662,.T.); +#16674=ORIENTED_EDGE('',*,*,#15832,.T.); +#16675=ORIENTED_EDGE('',*,*,#16659,.F.); +#16676=EDGE_LOOP('',(#16672,#16673,#16674,#16675)); +#16677=FACE_OUTER_BOUND('',#16676,.F.); +#16679=CARTESIAN_POINT('',(1.6E1,0.E0,-2.E1)); +#16680=DIRECTION('',(0.E0,0.E0,1.E0)); +#16681=DIRECTION('',(1.E0,0.E0,0.E0)); +#16682=AXIS2_PLACEMENT_3D('',#16679,#16680,#16681); +#16683=CYLINDRICAL_SURFACE('',#16682,6.5E-1); +#16684=ORIENTED_EDGE('',*,*,#11815,.F.); +#16686=ORIENTED_EDGE('',*,*,#16685,.T.); +#16687=ORIENTED_EDGE('',*,*,#15842,.T.); +#16689=ORIENTED_EDGE('',*,*,#16688,.F.); +#16690=EDGE_LOOP('',(#16684,#16686,#16687,#16689)); +#16691=FACE_OUTER_BOUND('',#16690,.F.); +#16693=CARTESIAN_POINT('',(1.6E1,0.E0,-2.E1)); +#16694=DIRECTION('',(0.E0,0.E0,1.E0)); +#16695=DIRECTION('',(1.E0,0.E0,0.E0)); +#16696=AXIS2_PLACEMENT_3D('',#16693,#16694,#16695); +#16697=CYLINDRICAL_SURFACE('',#16696,6.5E-1); +#16698=ORIENTED_EDGE('',*,*,#11817,.F.); +#16699=ORIENTED_EDGE('',*,*,#16688,.T.); +#16700=ORIENTED_EDGE('',*,*,#15844,.T.); +#16701=ORIENTED_EDGE('',*,*,#16685,.F.); +#16702=EDGE_LOOP('',(#16698,#16699,#16700,#16701)); +#16703=FACE_OUTER_BOUND('',#16702,.F.); +#16705=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.E1)); +#16706=DIRECTION('',(0.E0,0.E0,1.E0)); +#16707=DIRECTION('',(1.E0,0.E0,0.E0)); +#16708=AXIS2_PLACEMENT_3D('',#16705,#16706,#16707); +#16709=CYLINDRICAL_SURFACE('',#16708,6.5E-1); +#16710=ORIENTED_EDGE('',*,*,#11821,.F.); +#16712=ORIENTED_EDGE('',*,*,#16711,.T.); +#16713=ORIENTED_EDGE('',*,*,#15854,.T.); +#16715=ORIENTED_EDGE('',*,*,#16714,.F.); +#16716=EDGE_LOOP('',(#16710,#16712,#16713,#16715)); +#16717=FACE_OUTER_BOUND('',#16716,.F.); +#16719=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.E1)); +#16720=DIRECTION('',(0.E0,0.E0,1.E0)); +#16721=DIRECTION('',(1.E0,0.E0,0.E0)); +#16722=AXIS2_PLACEMENT_3D('',#16719,#16720,#16721); +#16723=CYLINDRICAL_SURFACE('',#16722,6.5E-1); +#16724=ORIENTED_EDGE('',*,*,#11823,.F.); +#16725=ORIENTED_EDGE('',*,*,#16714,.T.); +#16726=ORIENTED_EDGE('',*,*,#15856,.T.); +#16727=ORIENTED_EDGE('',*,*,#16711,.F.); +#16728=EDGE_LOOP('',(#16724,#16725,#16726,#16727)); +#16729=FACE_OUTER_BOUND('',#16728,.F.); +#16731=CARTESIAN_POINT('',(2.E1,0.E0,-2.E1)); +#16732=DIRECTION('',(0.E0,0.E0,1.E0)); +#16733=DIRECTION('',(1.E0,0.E0,0.E0)); +#16734=AXIS2_PLACEMENT_3D('',#16731,#16732,#16733); +#16735=CYLINDRICAL_SURFACE('',#16734,6.5E-1); +#16736=ORIENTED_EDGE('',*,*,#11827,.F.); +#16738=ORIENTED_EDGE('',*,*,#16737,.T.); +#16739=ORIENTED_EDGE('',*,*,#15866,.T.); +#16741=ORIENTED_EDGE('',*,*,#16740,.F.); +#16742=EDGE_LOOP('',(#16736,#16738,#16739,#16741)); +#16743=FACE_OUTER_BOUND('',#16742,.F.); +#16745=CARTESIAN_POINT('',(2.E1,0.E0,-2.E1)); +#16746=DIRECTION('',(0.E0,0.E0,1.E0)); +#16747=DIRECTION('',(1.E0,0.E0,0.E0)); +#16748=AXIS2_PLACEMENT_3D('',#16745,#16746,#16747); +#16749=CYLINDRICAL_SURFACE('',#16748,6.5E-1); +#16750=ORIENTED_EDGE('',*,*,#11829,.F.); +#16751=ORIENTED_EDGE('',*,*,#16740,.T.); +#16752=ORIENTED_EDGE('',*,*,#15868,.T.); +#16753=ORIENTED_EDGE('',*,*,#16737,.F.); +#16754=EDGE_LOOP('',(#16750,#16751,#16752,#16753)); +#16755=FACE_OUTER_BOUND('',#16754,.F.); +#16757=CARTESIAN_POINT('',(2.35E1,5.6E0,-5.E-1)); +#16758=DIRECTION('',(0.E0,0.E0,1.E0)); +#16759=DIRECTION('',(9.997503878597E-1,-2.234193309487E-2,0.E0)); +#16760=AXIS2_PLACEMENT_3D('',#16757,#16758,#16759); +#16761=TOROIDAL_SURFACE('',#16760,5.7E0,5.E-1); +#16762=ORIENTED_EDGE('',*,*,#10561,.T.); +#16764=ORIENTED_EDGE('',*,*,#16763,.T.); +#16766=ORIENTED_EDGE('',*,*,#16765,.F.); +#16768=ORIENTED_EDGE('',*,*,#16767,.F.); +#16769=EDGE_LOOP('',(#16762,#16764,#16766,#16768)); +#16770=FACE_OUTER_BOUND('',#16769,.F.); +#16772=CARTESIAN_POINT('',(2.808853151176E1,1.13E1,-5.E-1)); +#16773=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16774=DIRECTION('',(0.E0,0.E0,1.E0)); +#16775=AXIS2_PLACEMENT_3D('',#16772,#16773,#16774); +#16776=CYLINDRICAL_SURFACE('',#16775,5.E-1); +#16778=ORIENTED_EDGE('',*,*,#16777,.F.); +#16779=ORIENTED_EDGE('',*,*,#16763,.F.); +#16780=ORIENTED_EDGE('',*,*,#10575,.T.); +#16782=ORIENTED_EDGE('',*,*,#16781,.T.); +#16784=ORIENTED_EDGE('',*,*,#16783,.F.); +#16786=ORIENTED_EDGE('',*,*,#16785,.T.); +#16788=ORIENTED_EDGE('',*,*,#16787,.T.); +#16790=ORIENTED_EDGE('',*,*,#16789,.T.); +#16791=EDGE_LOOP('',(#16778,#16779,#16780,#16782,#16784,#16786,#16788,#16790)); +#16792=FACE_OUTER_BOUND('',#16791,.F.); +#16794=CARTESIAN_POINT('',(2.35E1,1.18E1,0.E0)); +#16795=DIRECTION('',(0.E0,1.E0,0.E0)); +#16796=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16797=AXIS2_PLACEMENT_3D('',#16794,#16795,#16796); +#16798=PLANE('',#16797); +#16800=ORIENTED_EDGE('',*,*,#16799,.T.); +#16802=ORIENTED_EDGE('',*,*,#16801,.F.); +#16804=ORIENTED_EDGE('',*,*,#16803,.F.); +#16805=ORIENTED_EDGE('',*,*,#16787,.F.); +#16807=ORIENTED_EDGE('',*,*,#16806,.T.); +#16809=ORIENTED_EDGE('',*,*,#16808,.F.); +#16811=ORIENTED_EDGE('',*,*,#16810,.F.); +#16812=ORIENTED_EDGE('',*,*,#16783,.T.); +#16814=ORIENTED_EDGE('',*,*,#16813,.T.); +#16816=ORIENTED_EDGE('',*,*,#16815,.T.); +#16818=ORIENTED_EDGE('',*,*,#16817,.F.); +#16820=ORIENTED_EDGE('',*,*,#16819,.F.); +#16822=ORIENTED_EDGE('',*,*,#16821,.F.); +#16824=ORIENTED_EDGE('',*,*,#16823,.T.); +#16826=ORIENTED_EDGE('',*,*,#16825,.F.); +#16828=ORIENTED_EDGE('',*,*,#16827,.F.); +#16830=ORIENTED_EDGE('',*,*,#16829,.T.); +#16832=ORIENTED_EDGE('',*,*,#16831,.T.); +#16834=ORIENTED_EDGE('',*,*,#16833,.F.); +#16835=ORIENTED_EDGE('',*,*,#16777,.T.); +#16836=EDGE_LOOP('',(#16800,#16802,#16804,#16805,#16807,#16809,#16811,#16812, +#16814,#16816,#16818,#16820,#16822,#16824,#16826,#16828,#16830,#16832,#16834, +#16835)); +#16837=FACE_OUTER_BOUND('',#16836,.F.); +#16839=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-5.4E0)); +#16840=DIRECTION('',(-9.961946980917E-1,-8.715574274766E-2,0.E0)); +#16841=DIRECTION('',(8.715574274766E-2,-9.961946980917E-1,0.E0)); +#16842=AXIS2_PLACEMENT_3D('',#16839,#16840,#16841); +#16843=PLANE('',#16842); +#16845=ORIENTED_EDGE('',*,*,#16844,.F.); +#16847=ORIENTED_EDGE('',*,*,#16846,.F.); +#16849=ORIENTED_EDGE('',*,*,#16848,.T.); +#16850=ORIENTED_EDGE('',*,*,#16799,.F.); +#16851=EDGE_LOOP('',(#16845,#16847,#16849,#16850)); +#16852=FACE_OUTER_BOUND('',#16851,.F.); +#16854=CARTESIAN_POINT('',(-4.5E0,1.38E1,-3.9E0)); +#16855=DIRECTION('',(0.E0,8.619342151578E-1,5.070201265634E-1)); +#16856=DIRECTION('',(0.E0,-5.070201265634E-1,8.619342151578E-1)); +#16857=AXIS2_PLACEMENT_3D('',#16854,#16855,#16856); +#16858=PLANE('',#16857); +#16859=ORIENTED_EDGE('',*,*,#16844,.T.); +#16860=ORIENTED_EDGE('',*,*,#16789,.F.); +#16862=ORIENTED_EDGE('',*,*,#16861,.F.); +#16864=ORIENTED_EDGE('',*,*,#16863,.T.); +#16865=EDGE_LOOP('',(#16859,#16860,#16862,#16864)); +#16866=FACE_OUTER_BOUND('',#16865,.F.); +#16868=CARTESIAN_POINT('',(-4.5E0,1.38E1,-3.9E0)); +#16869=DIRECTION('',(0.E0,8.619342151578E-1,5.070201265634E-1)); +#16870=DIRECTION('',(0.E0,-5.070201265634E-1,8.619342151578E-1)); +#16871=AXIS2_PLACEMENT_3D('',#16868,#16869,#16870); +#16872=PLANE('',#16871); +#16874=ORIENTED_EDGE('',*,*,#16873,.F.); +#16876=ORIENTED_EDGE('',*,*,#16875,.T.); +#16878=ORIENTED_EDGE('',*,*,#16877,.T.); +#16879=ORIENTED_EDGE('',*,*,#16785,.F.); +#16880=EDGE_LOOP('',(#16874,#16876,#16878,#16879)); +#16881=FACE_OUTER_BOUND('',#16880,.F.); +#16883=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.4E0)); +#16884=DIRECTION('',(9.961946980917E-1,-8.715574274766E-2,0.E0)); +#16885=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#16886=AXIS2_PLACEMENT_3D('',#16883,#16884,#16885); +#16887=PLANE('',#16886); +#16888=ORIENTED_EDGE('',*,*,#16861,.T.); +#16889=ORIENTED_EDGE('',*,*,#16803,.T.); +#16891=ORIENTED_EDGE('',*,*,#16890,.T.); +#16893=ORIENTED_EDGE('',*,*,#16892,.T.); +#16894=EDGE_LOOP('',(#16888,#16889,#16891,#16893)); +#16895=FACE_OUTER_BOUND('',#16894,.F.); +#16897=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#16898=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16899=DIRECTION('',(0.E0,1.E0,0.E0)); +#16900=AXIS2_PLACEMENT_3D('',#16897,#16898,#16899); +#16901=PLANE('',#16900); +#16902=ORIENTED_EDGE('',*,*,#16848,.F.); +#16904=ORIENTED_EDGE('',*,*,#16903,.F.); +#16905=ORIENTED_EDGE('',*,*,#16890,.F.); +#16906=ORIENTED_EDGE('',*,*,#16801,.T.); +#16907=EDGE_LOOP('',(#16902,#16904,#16905,#16906)); +#16908=FACE_OUTER_BOUND('',#16907,.F.); +#16910=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#16911=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16912=DIRECTION('',(0.E0,1.E0,0.E0)); +#16913=AXIS2_PLACEMENT_3D('',#16910,#16911,#16912); +#16914=PLANE('',#16913); +#16916=ORIENTED_EDGE('',*,*,#16915,.F.); +#16917=ORIENTED_EDGE('',*,*,#16808,.T.); +#16919=ORIENTED_EDGE('',*,*,#16918,.F.); +#16921=ORIENTED_EDGE('',*,*,#16920,.F.); +#16922=EDGE_LOOP('',(#16916,#16917,#16919,#16921)); +#16923=FACE_OUTER_BOUND('',#16922,.F.); +#16925=CARTESIAN_POINT('',(-4.5E0,1.38E1,-5.4E0)); +#16926=DIRECTION('',(0.E0,1.E0,0.E0)); +#16927=DIRECTION('',(0.E0,0.E0,1.E0)); +#16928=AXIS2_PLACEMENT_3D('',#16925,#16926,#16927); +#16929=PLANE('',#16928); +#16930=ORIENTED_EDGE('',*,*,#16846,.T.); +#16931=ORIENTED_EDGE('',*,*,#16863,.F.); +#16932=ORIENTED_EDGE('',*,*,#16892,.F.); +#16933=ORIENTED_EDGE('',*,*,#16903,.T.); +#16934=EDGE_LOOP('',(#16930,#16931,#16932,#16933)); +#16935=FACE_OUTER_BOUND('',#16934,.F.); +#16937=CARTESIAN_POINT('',(-4.5E0,1.38E1,-5.4E0)); +#16938=DIRECTION('',(0.E0,1.E0,0.E0)); +#16939=DIRECTION('',(0.E0,0.E0,1.E0)); +#16940=AXIS2_PLACEMENT_3D('',#16937,#16938,#16939); +#16941=PLANE('',#16940); +#16943=ORIENTED_EDGE('',*,*,#16942,.F.); +#16944=ORIENTED_EDGE('',*,*,#16920,.T.); +#16946=ORIENTED_EDGE('',*,*,#16945,.T.); +#16947=ORIENTED_EDGE('',*,*,#16875,.F.); +#16948=EDGE_LOOP('',(#16943,#16944,#16946,#16947)); +#16949=FACE_OUTER_BOUND('',#16948,.F.); +#16951=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#16952=DIRECTION('',(9.961946980917E-1,-8.715574274766E-2,0.E0)); +#16953=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#16954=AXIS2_PLACEMENT_3D('',#16951,#16952,#16953); +#16955=PLANE('',#16954); +#16956=ORIENTED_EDGE('',*,*,#16873,.T.); +#16957=ORIENTED_EDGE('',*,*,#16810,.T.); +#16958=ORIENTED_EDGE('',*,*,#16915,.T.); +#16959=ORIENTED_EDGE('',*,*,#16942,.T.); +#16960=EDGE_LOOP('',(#16956,#16957,#16958,#16959)); +#16961=FACE_OUTER_BOUND('',#16960,.F.); +#16963=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-5.4E0)); +#16964=DIRECTION('',(-9.961946980917E-1,-8.715574274766E-2,0.E0)); +#16965=DIRECTION('',(8.715574274766E-2,-9.961946980917E-1,0.E0)); +#16966=AXIS2_PLACEMENT_3D('',#16963,#16964,#16965); +#16967=PLANE('',#16966); +#16968=ORIENTED_EDGE('',*,*,#16877,.F.); +#16969=ORIENTED_EDGE('',*,*,#16945,.F.); +#16970=ORIENTED_EDGE('',*,*,#16918,.T.); +#16971=ORIENTED_EDGE('',*,*,#16806,.F.); +#16972=EDGE_LOOP('',(#16968,#16969,#16970,#16971)); +#16973=FACE_OUTER_BOUND('',#16972,.F.); +#16975=CARTESIAN_POINT('',(-2.35E1,5.6E0,0.E0)); +#16976=DIRECTION('',(0.E0,0.E0,1.E0)); +#16977=DIRECTION('',(1.E0,0.E0,0.E0)); +#16978=AXIS2_PLACEMENT_3D('',#16975,#16976,#16977); +#16979=CYLINDRICAL_SURFACE('',#16978,6.2E0); +#16981=ORIENTED_EDGE('',*,*,#16980,.T.); +#16983=ORIENTED_EDGE('',*,*,#16982,.T.); +#16985=ORIENTED_EDGE('',*,*,#16984,.F.); +#16986=ORIENTED_EDGE('',*,*,#16813,.F.); +#16987=EDGE_LOOP('',(#16981,#16983,#16985,#16986)); +#16988=FACE_OUTER_BOUND('',#16987,.F.); +#16990=CARTESIAN_POINT('',(-2.35E1,5.6E0,-5.E-1)); +#16991=DIRECTION('',(0.E0,0.E0,1.E0)); +#16992=DIRECTION('',(2.234193309487E-2,9.997503878597E-1,0.E0)); +#16993=AXIS2_PLACEMENT_3D('',#16990,#16991,#16992); +#16994=TOROIDAL_SURFACE('',#16993,5.7E0,5.E-1); +#16995=ORIENTED_EDGE('',*,*,#10573,.T.); +#16997=ORIENTED_EDGE('',*,*,#16996,.T.); +#16998=ORIENTED_EDGE('',*,*,#16980,.F.); +#16999=ORIENTED_EDGE('',*,*,#16781,.F.); +#17000=EDGE_LOOP('',(#16995,#16997,#16998,#16999)); +#17001=FACE_OUTER_BOUND('',#17000,.F.); +#17003=CARTESIAN_POINT('',(-2.92E1,6.807853601297E0,-5.E-1)); +#17004=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17005=DIRECTION('',(0.E0,0.E0,1.E0)); +#17006=AXIS2_PLACEMENT_3D('',#17003,#17004,#17005); +#17007=CYLINDRICAL_SURFACE('',#17006,5.E-1); +#17008=ORIENTED_EDGE('',*,*,#10571,.T.); +#17010=ORIENTED_EDGE('',*,*,#17009,.T.); +#17012=ORIENTED_EDGE('',*,*,#17011,.F.); +#17013=ORIENTED_EDGE('',*,*,#16996,.F.); +#17014=EDGE_LOOP('',(#17008,#17010,#17012,#17013)); +#17015=FACE_OUTER_BOUND('',#17014,.F.); +#17017=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-5.E-1)); +#17018=DIRECTION('',(0.E0,0.E0,1.E0)); +#17019=DIRECTION('',(-9.997503878597E-1,2.234193309487E-2,0.E0)); +#17020=AXIS2_PLACEMENT_3D('',#17017,#17018,#17019); +#17021=TOROIDAL_SURFACE('',#17020,5.7E0,5.E-1); +#17022=ORIENTED_EDGE('',*,*,#10569,.T.); +#17024=ORIENTED_EDGE('',*,*,#17023,.T.); +#17026=ORIENTED_EDGE('',*,*,#17025,.F.); +#17027=ORIENTED_EDGE('',*,*,#17009,.F.); +#17028=EDGE_LOOP('',(#17022,#17024,#17026,#17027)); +#17029=FACE_OUTER_BOUND('',#17028,.F.); +#17031=CARTESIAN_POINT('',(-2.363853151176E1,-1.13E1,-5.E-1)); +#17032=DIRECTION('',(1.E0,0.E0,0.E0)); +#17033=DIRECTION('',(0.E0,0.E0,1.E0)); +#17034=AXIS2_PLACEMENT_3D('',#17031,#17032,#17033); +#17035=CYLINDRICAL_SURFACE('',#17034,5.E-1); +#17036=ORIENTED_EDGE('',*,*,#10567,.T.); +#17038=ORIENTED_EDGE('',*,*,#17037,.T.); +#17040=ORIENTED_EDGE('',*,*,#17039,.F.); +#17041=ORIENTED_EDGE('',*,*,#17023,.F.); +#17042=EDGE_LOOP('',(#17036,#17038,#17040,#17041)); +#17043=FACE_OUTER_BOUND('',#17042,.F.); +#17045=CARTESIAN_POINT('',(2.35E1,-5.6E0,-5.E-1)); +#17046=DIRECTION('',(0.E0,0.E0,1.E0)); +#17047=DIRECTION('',(-2.234193309487E-2,-9.997503878597E-1,0.E0)); +#17048=AXIS2_PLACEMENT_3D('',#17045,#17046,#17047); +#17049=TOROIDAL_SURFACE('',#17048,5.7E0,5.E-1); +#17050=ORIENTED_EDGE('',*,*,#10565,.T.); +#17052=ORIENTED_EDGE('',*,*,#17051,.T.); +#17054=ORIENTED_EDGE('',*,*,#17053,.F.); +#17055=ORIENTED_EDGE('',*,*,#17037,.F.); +#17056=EDGE_LOOP('',(#17050,#17052,#17054,#17055)); +#17057=FACE_OUTER_BOUND('',#17056,.F.); +#17059=CARTESIAN_POINT('',(2.92E1,-5.738531511762E0,-5.E-1)); +#17060=DIRECTION('',(0.E0,1.E0,0.E0)); +#17061=DIRECTION('',(0.E0,0.E0,1.E0)); +#17062=AXIS2_PLACEMENT_3D('',#17059,#17060,#17061); +#17063=CYLINDRICAL_SURFACE('',#17062,5.E-1); +#17064=ORIENTED_EDGE('',*,*,#10563,.T.); +#17065=ORIENTED_EDGE('',*,*,#16767,.T.); +#17067=ORIENTED_EDGE('',*,*,#17066,.F.); +#17068=ORIENTED_EDGE('',*,*,#17051,.F.); +#17069=EDGE_LOOP('',(#17064,#17065,#17067,#17068)); +#17070=FACE_OUTER_BOUND('',#17069,.F.); +#17072=CARTESIAN_POINT('',(2.97E1,-5.6E0,0.E0)); +#17073=DIRECTION('',(1.E0,0.E0,0.E0)); +#17074=DIRECTION('',(0.E0,1.E0,0.E0)); +#17075=AXIS2_PLACEMENT_3D('',#17072,#17073,#17074); +#17076=PLANE('',#17075); +#17077=ORIENTED_EDGE('',*,*,#17066,.T.); +#17079=ORIENTED_EDGE('',*,*,#17078,.T.); +#17081=ORIENTED_EDGE('',*,*,#17080,.T.); +#17083=ORIENTED_EDGE('',*,*,#17082,.F.); +#17085=ORIENTED_EDGE('',*,*,#17084,.F.); +#17087=ORIENTED_EDGE('',*,*,#17086,.T.); +#17089=ORIENTED_EDGE('',*,*,#17088,.T.); +#17091=ORIENTED_EDGE('',*,*,#17090,.F.); +#17093=ORIENTED_EDGE('',*,*,#17092,.F.); +#17094=EDGE_LOOP('',(#17077,#17079,#17081,#17083,#17085,#17087,#17089,#17091, +#17093)); +#17095=FACE_OUTER_BOUND('',#17094,.F.); +#17097=CARTESIAN_POINT('',(2.35E1,5.6E0,0.E0)); +#17098=DIRECTION('',(0.E0,0.E0,1.E0)); +#17099=DIRECTION('',(1.E0,0.E0,0.E0)); +#17100=AXIS2_PLACEMENT_3D('',#17097,#17098,#17099); +#17101=CYLINDRICAL_SURFACE('',#17100,6.2E0); +#17102=ORIENTED_EDGE('',*,*,#16765,.T.); +#17103=ORIENTED_EDGE('',*,*,#16833,.T.); +#17105=ORIENTED_EDGE('',*,*,#17104,.F.); +#17106=ORIENTED_EDGE('',*,*,#17078,.F.); +#17107=EDGE_LOOP('',(#17102,#17103,#17105,#17106)); +#17108=FACE_OUTER_BOUND('',#17107,.F.); +#17110=CARTESIAN_POINT('',(0.E0,0.E0,-1.925E1)); +#17111=DIRECTION('',(0.E0,0.E0,1.E0)); +#17112=DIRECTION('',(1.E0,0.E0,0.E0)); +#17113=AXIS2_PLACEMENT_3D('',#17110,#17111,#17112); +#17114=PLANE('',#17113); +#17116=ORIENTED_EDGE('',*,*,#17115,.F.); +#17118=ORIENTED_EDGE('',*,*,#17117,.F.); +#17120=ORIENTED_EDGE('',*,*,#17119,.F.); +#17122=ORIENTED_EDGE('',*,*,#17121,.F.); +#17124=ORIENTED_EDGE('',*,*,#17123,.F.); +#17126=ORIENTED_EDGE('',*,*,#17125,.F.); +#17127=ORIENTED_EDGE('',*,*,#16815,.F.); +#17128=ORIENTED_EDGE('',*,*,#16984,.T.); +#17129=EDGE_LOOP('',(#17116,#17118,#17120,#17122,#17124,#17126,#17127,#17128)); +#17130=FACE_OUTER_BOUND('',#17129,.F.); +#17132=ORIENTED_EDGE('',*,*,#17131,.F.); +#17134=ORIENTED_EDGE('',*,*,#17133,.F.); +#17135=EDGE_LOOP('',(#17132,#17134)); +#17136=FACE_BOUND('',#17135,.F.); +#17138=CARTESIAN_POINT('',(0.E0,0.E0,-1.925E1)); +#17139=DIRECTION('',(0.E0,0.E0,1.E0)); +#17140=DIRECTION('',(1.E0,0.E0,0.E0)); +#17141=AXIS2_PLACEMENT_3D('',#17138,#17139,#17140); +#17142=PLANE('',#17141); +#17144=ORIENTED_EDGE('',*,*,#17143,.F.); +#17146=ORIENTED_EDGE('',*,*,#17145,.F.); +#17148=ORIENTED_EDGE('',*,*,#17147,.F.); +#17150=ORIENTED_EDGE('',*,*,#17149,.F.); +#17152=ORIENTED_EDGE('',*,*,#17151,.F.); +#17154=ORIENTED_EDGE('',*,*,#17153,.F.); +#17156=ORIENTED_EDGE('',*,*,#17155,.T.); +#17158=ORIENTED_EDGE('',*,*,#17157,.T.); +#17159=EDGE_LOOP('',(#17144,#17146,#17148,#17150,#17152,#17154,#17156,#17158)); +#17160=FACE_OUTER_BOUND('',#17159,.F.); +#17162=ORIENTED_EDGE('',*,*,#17161,.F.); +#17164=ORIENTED_EDGE('',*,*,#17163,.F.); +#17165=EDGE_LOOP('',(#17162,#17164)); +#17166=FACE_BOUND('',#17165,.F.); +#17168=CARTESIAN_POINT('',(0.E0,0.E0,-1.925E1)); +#17169=DIRECTION('',(0.E0,0.E0,1.E0)); +#17170=DIRECTION('',(1.E0,0.E0,0.E0)); +#17171=AXIS2_PLACEMENT_3D('',#17168,#17169,#17170); +#17172=PLANE('',#17171); +#17174=ORIENTED_EDGE('',*,*,#17173,.F.); +#17176=ORIENTED_EDGE('',*,*,#17175,.F.); +#17178=ORIENTED_EDGE('',*,*,#17177,.F.); +#17180=ORIENTED_EDGE('',*,*,#17179,.F.); +#17182=ORIENTED_EDGE('',*,*,#17181,.F.); +#17184=ORIENTED_EDGE('',*,*,#17183,.F.); +#17186=ORIENTED_EDGE('',*,*,#17185,.T.); +#17187=ORIENTED_EDGE('',*,*,#17090,.T.); +#17188=EDGE_LOOP('',(#17174,#17176,#17178,#17180,#17182,#17184,#17186,#17187)); +#17189=FACE_OUTER_BOUND('',#17188,.F.); +#17191=ORIENTED_EDGE('',*,*,#17190,.F.); +#17193=ORIENTED_EDGE('',*,*,#17192,.F.); +#17194=EDGE_LOOP('',(#17191,#17193)); +#17195=FACE_BOUND('',#17194,.F.); +#17197=CARTESIAN_POINT('',(0.E0,0.E0,-1.925E1)); +#17198=DIRECTION('',(0.E0,0.E0,1.E0)); +#17199=DIRECTION('',(1.E0,0.E0,0.E0)); +#17200=AXIS2_PLACEMENT_3D('',#17197,#17198,#17199); +#17201=PLANE('',#17200); +#17202=ORIENTED_EDGE('',*,*,#16831,.F.); +#17204=ORIENTED_EDGE('',*,*,#17203,.F.); +#17206=ORIENTED_EDGE('',*,*,#17205,.F.); +#17208=ORIENTED_EDGE('',*,*,#17207,.F.); +#17210=ORIENTED_EDGE('',*,*,#17209,.F.); +#17212=ORIENTED_EDGE('',*,*,#17211,.F.); +#17213=ORIENTED_EDGE('',*,*,#17080,.F.); +#17214=ORIENTED_EDGE('',*,*,#17104,.T.); +#17215=EDGE_LOOP('',(#17202,#17204,#17206,#17208,#17210,#17212,#17213,#17214)); +#17216=FACE_OUTER_BOUND('',#17215,.F.); +#17218=ORIENTED_EDGE('',*,*,#17217,.F.); +#17220=ORIENTED_EDGE('',*,*,#17219,.F.); +#17221=EDGE_LOOP('',(#17218,#17220)); +#17222=FACE_BOUND('',#17221,.F.); +#17224=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#17225=DIRECTION('',(0.E0,0.E0,1.E0)); +#17226=DIRECTION('',(1.E0,0.E0,0.E0)); +#17227=AXIS2_PLACEMENT_3D('',#17224,#17225,#17226); +#17228=CYLINDRICAL_SURFACE('',#17227,1.085E0); +#17230=ORIENTED_EDGE('',*,*,#17229,.F.); +#17232=ORIENTED_EDGE('',*,*,#17231,.T.); +#17234=ORIENTED_EDGE('',*,*,#17233,.F.); +#17235=ORIENTED_EDGE('',*,*,#17217,.T.); +#17236=EDGE_LOOP('',(#17230,#17232,#17234,#17235)); +#17237=FACE_OUTER_BOUND('',#17236,.F.); +#17239=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#17240=DIRECTION('',(0.E0,0.E0,1.E0)); +#17241=DIRECTION('',(1.E0,0.E0,0.E0)); +#17242=AXIS2_PLACEMENT_3D('',#17239,#17240,#17241); +#17243=CYLINDRICAL_SURFACE('',#17242,1.085E0); +#17244=ORIENTED_EDGE('',*,*,#17233,.T.); +#17246=ORIENTED_EDGE('',*,*,#17245,.T.); +#17247=ORIENTED_EDGE('',*,*,#17229,.T.); +#17248=ORIENTED_EDGE('',*,*,#17219,.T.); +#17249=EDGE_LOOP('',(#17244,#17246,#17247,#17248)); +#17250=FACE_OUTER_BOUND('',#17249,.F.); +#17252=CARTESIAN_POINT('',(0.E0,0.E0,-2.025E1)); +#17253=DIRECTION('',(0.E0,0.E0,1.E0)); +#17254=DIRECTION('',(1.E0,0.E0,0.E0)); +#17255=AXIS2_PLACEMENT_3D('',#17252,#17253,#17254); +#17256=PLANE('',#17255); +#17258=ORIENTED_EDGE('',*,*,#17257,.F.); +#17260=ORIENTED_EDGE('',*,*,#17259,.F.); +#17261=EDGE_LOOP('',(#17258,#17260)); +#17262=FACE_OUTER_BOUND('',#17261,.F.); +#17264=CARTESIAN_POINT('',(0.E0,0.E0,-2.025E1)); +#17265=DIRECTION('',(0.E0,0.E0,1.E0)); +#17266=DIRECTION('',(1.E0,0.E0,0.E0)); +#17267=AXIS2_PLACEMENT_3D('',#17264,#17265,#17266); +#17268=PLANE('',#17267); +#17270=ORIENTED_EDGE('',*,*,#17269,.F.); +#17272=ORIENTED_EDGE('',*,*,#17271,.F.); +#17273=EDGE_LOOP('',(#17270,#17272)); +#17274=FACE_OUTER_BOUND('',#17273,.F.); +#17276=CARTESIAN_POINT('',(0.E0,0.E0,-2.025E1)); +#17277=DIRECTION('',(0.E0,0.E0,1.E0)); +#17278=DIRECTION('',(1.E0,0.E0,0.E0)); +#17279=AXIS2_PLACEMENT_3D('',#17276,#17277,#17278); +#17280=PLANE('',#17279); +#17281=ORIENTED_EDGE('',*,*,#17231,.F.); +#17282=ORIENTED_EDGE('',*,*,#17245,.F.); +#17283=EDGE_LOOP('',(#17281,#17282)); +#17284=FACE_OUTER_BOUND('',#17283,.F.); +#17286=CARTESIAN_POINT('',(0.E0,0.E0,-2.025E1)); +#17287=DIRECTION('',(0.E0,0.E0,1.E0)); +#17288=DIRECTION('',(1.E0,0.E0,0.E0)); +#17289=AXIS2_PLACEMENT_3D('',#17286,#17287,#17288); +#17290=PLANE('',#17289); +#17292=ORIENTED_EDGE('',*,*,#17291,.F.); +#17294=ORIENTED_EDGE('',*,*,#17293,.F.); +#17295=EDGE_LOOP('',(#17292,#17294)); +#17296=FACE_OUTER_BOUND('',#17295,.F.); +#17298=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#17299=DIRECTION('',(0.E0,0.E0,1.E0)); +#17300=DIRECTION('',(1.E0,0.E0,0.E0)); +#17301=AXIS2_PLACEMENT_3D('',#17298,#17299,#17300); +#17302=CYLINDRICAL_SURFACE('',#17301,1.085E0); +#17304=ORIENTED_EDGE('',*,*,#17303,.F.); +#17305=ORIENTED_EDGE('',*,*,#17257,.T.); +#17307=ORIENTED_EDGE('',*,*,#17306,.F.); +#17308=ORIENTED_EDGE('',*,*,#17131,.T.); +#17309=EDGE_LOOP('',(#17304,#17305,#17307,#17308)); +#17310=FACE_OUTER_BOUND('',#17309,.F.); +#17312=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#17313=DIRECTION('',(0.E0,0.E0,1.E0)); +#17314=DIRECTION('',(1.E0,0.E0,0.E0)); +#17315=AXIS2_PLACEMENT_3D('',#17312,#17313,#17314); +#17316=CYLINDRICAL_SURFACE('',#17315,1.085E0); +#17317=ORIENTED_EDGE('',*,*,#17306,.T.); +#17318=ORIENTED_EDGE('',*,*,#17259,.T.); +#17319=ORIENTED_EDGE('',*,*,#17303,.T.); +#17320=ORIENTED_EDGE('',*,*,#17133,.T.); +#17321=EDGE_LOOP('',(#17317,#17318,#17319,#17320)); +#17322=FACE_OUTER_BOUND('',#17321,.F.); +#17324=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.E1)); +#17325=DIRECTION('',(0.E0,0.E0,1.E0)); +#17326=DIRECTION('',(1.E0,0.E0,0.E0)); +#17327=AXIS2_PLACEMENT_3D('',#17324,#17325,#17326); +#17328=CYLINDRICAL_SURFACE('',#17327,1.085E0); +#17330=ORIENTED_EDGE('',*,*,#17329,.F.); +#17331=ORIENTED_EDGE('',*,*,#17269,.T.); +#17333=ORIENTED_EDGE('',*,*,#17332,.F.); +#17334=ORIENTED_EDGE('',*,*,#17161,.T.); +#17335=EDGE_LOOP('',(#17330,#17331,#17333,#17334)); +#17336=FACE_OUTER_BOUND('',#17335,.F.); +#17338=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.E1)); +#17339=DIRECTION('',(0.E0,0.E0,1.E0)); +#17340=DIRECTION('',(1.E0,0.E0,0.E0)); +#17341=AXIS2_PLACEMENT_3D('',#17338,#17339,#17340); +#17342=CYLINDRICAL_SURFACE('',#17341,1.085E0); +#17343=ORIENTED_EDGE('',*,*,#17332,.T.); +#17344=ORIENTED_EDGE('',*,*,#17271,.T.); +#17345=ORIENTED_EDGE('',*,*,#17329,.T.); +#17346=ORIENTED_EDGE('',*,*,#17163,.T.); +#17347=EDGE_LOOP('',(#17343,#17344,#17345,#17346)); +#17348=FACE_OUTER_BOUND('',#17347,.F.); +#17350=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.E1)); +#17351=DIRECTION('',(0.E0,0.E0,1.E0)); +#17352=DIRECTION('',(1.E0,0.E0,0.E0)); +#17353=AXIS2_PLACEMENT_3D('',#17350,#17351,#17352); +#17354=CYLINDRICAL_SURFACE('',#17353,1.085E0); +#17356=ORIENTED_EDGE('',*,*,#17355,.F.); +#17357=ORIENTED_EDGE('',*,*,#17291,.T.); +#17359=ORIENTED_EDGE('',*,*,#17358,.F.); +#17360=ORIENTED_EDGE('',*,*,#17190,.T.); +#17361=EDGE_LOOP('',(#17356,#17357,#17359,#17360)); +#17362=FACE_OUTER_BOUND('',#17361,.F.); +#17364=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.E1)); +#17365=DIRECTION('',(0.E0,0.E0,1.E0)); +#17366=DIRECTION('',(1.E0,0.E0,0.E0)); +#17367=AXIS2_PLACEMENT_3D('',#17364,#17365,#17366); +#17368=CYLINDRICAL_SURFACE('',#17367,1.085E0); +#17369=ORIENTED_EDGE('',*,*,#17358,.T.); +#17370=ORIENTED_EDGE('',*,*,#17293,.T.); +#17371=ORIENTED_EDGE('',*,*,#17355,.T.); +#17372=ORIENTED_EDGE('',*,*,#17192,.T.); +#17373=EDGE_LOOP('',(#17369,#17370,#17371,#17372)); +#17374=FACE_OUTER_BOUND('',#17373,.F.); +#17376=CARTESIAN_POINT('',(-2.97E1,5.6E0,0.E0)); +#17377=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17378=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17379=AXIS2_PLACEMENT_3D('',#17376,#17377,#17378); +#17380=PLANE('',#17379); +#17381=ORIENTED_EDGE('',*,*,#17011,.T.); +#17383=ORIENTED_EDGE('',*,*,#17382,.T.); +#17384=ORIENTED_EDGE('',*,*,#17155,.F.); +#17386=ORIENTED_EDGE('',*,*,#17385,.F.); +#17388=ORIENTED_EDGE('',*,*,#17387,.T.); +#17390=ORIENTED_EDGE('',*,*,#17389,.F.); +#17392=ORIENTED_EDGE('',*,*,#17391,.T.); +#17393=ORIENTED_EDGE('',*,*,#17115,.T.); +#17394=ORIENTED_EDGE('',*,*,#16982,.F.); +#17395=EDGE_LOOP('',(#17381,#17383,#17384,#17386,#17388,#17390,#17392,#17393, +#17394)); +#17396=FACE_OUTER_BOUND('',#17395,.F.); +#17398=CARTESIAN_POINT('',(-2.35E1,-5.6E0,0.E0)); +#17399=DIRECTION('',(0.E0,0.E0,1.E0)); +#17400=DIRECTION('',(1.E0,0.E0,0.E0)); +#17401=AXIS2_PLACEMENT_3D('',#17398,#17399,#17400); +#17402=CYLINDRICAL_SURFACE('',#17401,6.2E0); +#17403=ORIENTED_EDGE('',*,*,#17025,.T.); +#17405=ORIENTED_EDGE('',*,*,#17404,.T.); +#17407=ORIENTED_EDGE('',*,*,#17406,.T.); +#17409=ORIENTED_EDGE('',*,*,#17408,.T.); +#17410=ORIENTED_EDGE('',*,*,#17157,.F.); +#17411=ORIENTED_EDGE('',*,*,#17382,.F.); +#17412=EDGE_LOOP('',(#17403,#17405,#17407,#17409,#17410,#17411)); +#17413=FACE_OUTER_BOUND('',#17412,.F.); +#17415=CARTESIAN_POINT('',(-2.35E1,-1.18E1,0.E0)); +#17416=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17417=DIRECTION('',(1.E0,0.E0,0.E0)); +#17418=AXIS2_PLACEMENT_3D('',#17415,#17416,#17417); +#17419=PLANE('',#17418); +#17420=ORIENTED_EDGE('',*,*,#17039,.T.); +#17422=ORIENTED_EDGE('',*,*,#17421,.T.); +#17424=ORIENTED_EDGE('',*,*,#17423,.T.); +#17425=ORIENTED_EDGE('',*,*,#17404,.F.); +#17426=EDGE_LOOP('',(#17420,#17422,#17424,#17425)); +#17427=FACE_OUTER_BOUND('',#17426,.F.); +#17429=CARTESIAN_POINT('',(2.35E1,-5.6E0,0.E0)); +#17430=DIRECTION('',(0.E0,0.E0,1.E0)); +#17431=DIRECTION('',(1.E0,0.E0,0.E0)); +#17432=AXIS2_PLACEMENT_3D('',#17429,#17430,#17431); +#17433=CYLINDRICAL_SURFACE('',#17432,6.2E0); +#17434=ORIENTED_EDGE('',*,*,#17053,.T.); +#17435=ORIENTED_EDGE('',*,*,#17092,.T.); +#17436=ORIENTED_EDGE('',*,*,#17185,.F.); +#17438=ORIENTED_EDGE('',*,*,#17437,.F.); +#17440=ORIENTED_EDGE('',*,*,#17439,.T.); +#17441=ORIENTED_EDGE('',*,*,#17421,.F.); +#17442=EDGE_LOOP('',(#17434,#17435,#17436,#17438,#17440,#17441)); +#17443=FACE_OUTER_BOUND('',#17442,.F.); +#17445=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-2.E1)); +#17446=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17447=DIRECTION('',(1.E0,0.E0,0.E0)); +#17448=AXIS2_PLACEMENT_3D('',#17445,#17446,#17447); +#17449=PLANE('',#17448); +#17450=ORIENTED_EDGE('',*,*,#17437,.T.); +#17451=ORIENTED_EDGE('',*,*,#17183,.T.); +#17453=ORIENTED_EDGE('',*,*,#17452,.F.); +#17455=ORIENTED_EDGE('',*,*,#17454,.F.); +#17457=ORIENTED_EDGE('',*,*,#17456,.F.); +#17458=EDGE_LOOP('',(#17450,#17451,#17453,#17455,#17457)); +#17459=FACE_OUTER_BOUND('',#17458,.F.); +#17461=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.E1)); +#17462=DIRECTION('',(0.E0,0.E0,1.E0)); +#17463=DIRECTION('',(1.E0,0.E0,0.E0)); +#17464=AXIS2_PLACEMENT_3D('',#17461,#17462,#17463); +#17465=CYLINDRICAL_SURFACE('',#17464,3.25E0); +#17467=ORIENTED_EDGE('',*,*,#17466,.F.); +#17468=ORIENTED_EDGE('',*,*,#17452,.T.); +#17469=ORIENTED_EDGE('',*,*,#17181,.T.); +#17471=ORIENTED_EDGE('',*,*,#17470,.F.); +#17472=EDGE_LOOP('',(#17467,#17468,#17469,#17471)); +#17473=FACE_OUTER_BOUND('',#17472,.F.); +#17475=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#17476=DIRECTION('',(0.E0,0.E0,1.E0)); +#17477=DIRECTION('',(1.E0,0.E0,0.E0)); +#17478=AXIS2_PLACEMENT_3D('',#17475,#17476,#17477); +#17479=PLANE('',#17478); +#17481=ORIENTED_EDGE('',*,*,#17480,.F.); +#17483=ORIENTED_EDGE('',*,*,#17482,.F.); +#17485=ORIENTED_EDGE('',*,*,#17484,.F.); +#17487=ORIENTED_EDGE('',*,*,#17486,.F.); +#17489=ORIENTED_EDGE('',*,*,#17488,.F.); +#17491=ORIENTED_EDGE('',*,*,#17490,.F.); +#17493=ORIENTED_EDGE('',*,*,#17492,.F.); +#17495=ORIENTED_EDGE('',*,*,#17494,.F.); +#17496=EDGE_LOOP('',(#17481,#17483,#17485,#17487,#17489,#17491,#17493,#17495)); +#17497=FACE_OUTER_BOUND('',#17496,.F.); +#17499=ORIENTED_EDGE('',*,*,#17498,.T.); +#17501=ORIENTED_EDGE('',*,*,#17500,.T.); +#17503=ORIENTED_EDGE('',*,*,#17502,.T.); +#17505=ORIENTED_EDGE('',*,*,#17504,.T.); +#17507=ORIENTED_EDGE('',*,*,#17506,.T.); +#17509=ORIENTED_EDGE('',*,*,#17508,.T.); +#17511=ORIENTED_EDGE('',*,*,#17510,.T.); +#17513=ORIENTED_EDGE('',*,*,#17512,.T.); +#17514=EDGE_LOOP('',(#17499,#17501,#17503,#17505,#17507,#17509,#17511,#17513)); +#17515=FACE_BOUND('',#17514,.F.); +#17517=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#17518=DIRECTION('',(0.E0,0.E0,1.E0)); +#17519=DIRECTION('',(1.E0,0.E0,0.E0)); +#17520=AXIS2_PLACEMENT_3D('',#17517,#17518,#17519); +#17521=PLANE('',#17520); +#17523=ORIENTED_EDGE('',*,*,#17522,.T.); +#17525=ORIENTED_EDGE('',*,*,#17524,.T.); +#17527=ORIENTED_EDGE('',*,*,#17526,.T.); +#17529=ORIENTED_EDGE('',*,*,#17528,.T.); +#17531=ORIENTED_EDGE('',*,*,#17530,.T.); +#17533=ORIENTED_EDGE('',*,*,#17532,.T.); +#17535=ORIENTED_EDGE('',*,*,#17534,.T.); +#17537=ORIENTED_EDGE('',*,*,#17536,.T.); +#17538=EDGE_LOOP('',(#17523,#17525,#17527,#17529,#17531,#17533,#17535,#17537)); +#17539=FACE_OUTER_BOUND('',#17538,.F.); +#17541=ORIENTED_EDGE('',*,*,#17540,.T.); +#17543=ORIENTED_EDGE('',*,*,#17542,.T.); +#17545=ORIENTED_EDGE('',*,*,#17544,.T.); +#17547=ORIENTED_EDGE('',*,*,#17546,.F.); +#17548=ORIENTED_EDGE('',*,*,#16827,.T.); +#17550=ORIENTED_EDGE('',*,*,#17549,.F.); +#17552=ORIENTED_EDGE('',*,*,#17551,.F.); +#17554=ORIENTED_EDGE('',*,*,#17553,.F.); +#17555=ORIENTED_EDGE('',*,*,#16819,.T.); +#17557=ORIENTED_EDGE('',*,*,#17556,.F.); +#17559=ORIENTED_EDGE('',*,*,#17558,.T.); +#17561=ORIENTED_EDGE('',*,*,#17560,.T.); +#17563=ORIENTED_EDGE('',*,*,#17562,.T.); +#17565=ORIENTED_EDGE('',*,*,#17564,.F.); +#17567=ORIENTED_EDGE('',*,*,#17566,.F.); +#17569=ORIENTED_EDGE('',*,*,#17568,.F.); +#17571=ORIENTED_EDGE('',*,*,#17570,.F.); +#17573=ORIENTED_EDGE('',*,*,#17572,.T.); +#17575=ORIENTED_EDGE('',*,*,#17574,.T.); +#17577=ORIENTED_EDGE('',*,*,#17576,.T.); +#17579=ORIENTED_EDGE('',*,*,#17578,.T.); +#17581=ORIENTED_EDGE('',*,*,#17580,.T.); +#17583=ORIENTED_EDGE('',*,*,#17582,.F.); +#17585=ORIENTED_EDGE('',*,*,#17584,.F.); +#17587=ORIENTED_EDGE('',*,*,#17586,.F.); +#17588=ORIENTED_EDGE('',*,*,#17454,.T.); +#17589=ORIENTED_EDGE('',*,*,#17466,.T.); +#17591=ORIENTED_EDGE('',*,*,#17590,.T.); +#17593=ORIENTED_EDGE('',*,*,#17592,.T.); +#17595=ORIENTED_EDGE('',*,*,#17594,.T.); +#17597=ORIENTED_EDGE('',*,*,#17596,.F.); +#17599=ORIENTED_EDGE('',*,*,#17598,.F.); +#17601=ORIENTED_EDGE('',*,*,#17600,.F.); +#17603=ORIENTED_EDGE('',*,*,#17602,.F.); +#17604=EDGE_LOOP('',(#17541,#17543,#17545,#17547,#17548,#17550,#17552,#17554, +#17555,#17557,#17559,#17561,#17563,#17565,#17567,#17569,#17571,#17573,#17575, +#17577,#17579,#17581,#17583,#17585,#17587,#17588,#17589,#17591,#17593,#17595, +#17597,#17599,#17601,#17603)); +#17605=FACE_BOUND('',#17604,.F.); +#17607=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.E1)); +#17608=DIRECTION('',(0.E0,0.E0,1.E0)); +#17609=DIRECTION('',(1.E0,0.E0,0.E0)); +#17610=AXIS2_PLACEMENT_3D('',#17607,#17608,#17609); +#17611=CYLINDRICAL_SURFACE('',#17610,5.E0); +#17613=ORIENTED_EDGE('',*,*,#17612,.T.); +#17615=ORIENTED_EDGE('',*,*,#17614,.F.); +#17616=ORIENTED_EDGE('',*,*,#17480,.T.); +#17618=ORIENTED_EDGE('',*,*,#17617,.T.); +#17620=ORIENTED_EDGE('',*,*,#17619,.T.); +#17622=ORIENTED_EDGE('',*,*,#17621,.F.); +#17623=EDGE_LOOP('',(#17613,#17615,#17616,#17618,#17620,#17622)); +#17624=FACE_OUTER_BOUND('',#17623,.F.); +#17626=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.15E1)); +#17627=DIRECTION('',(0.E0,0.E0,1.E0)); +#17628=DIRECTION('',(7.064465069690E-1,-7.077664394356E-1,0.E0)); +#17629=AXIS2_PLACEMENT_3D('',#17626,#17627,#17628); +#17630=TOROIDAL_SURFACE('',#17629,4.5E0,5.E-1); +#17632=ORIENTED_EDGE('',*,*,#17631,.T.); +#17634=ORIENTED_EDGE('',*,*,#17633,.T.); +#17635=ORIENTED_EDGE('',*,*,#17612,.F.); +#17637=ORIENTED_EDGE('',*,*,#17636,.T.); +#17638=EDGE_LOOP('',(#17632,#17634,#17635,#17637)); +#17639=FACE_OUTER_BOUND('',#17638,.F.); +#17641=CARTESIAN_POINT('',(0.E0,0.E0,-2.2E1)); +#17642=DIRECTION('',(0.E0,0.E0,1.E0)); +#17643=DIRECTION('',(1.E0,0.E0,0.E0)); +#17644=AXIS2_PLACEMENT_3D('',#17641,#17642,#17643); +#17645=PLANE('',#17644); +#17647=ORIENTED_EDGE('',*,*,#17646,.F.); +#17649=ORIENTED_EDGE('',*,*,#17648,.T.); +#17651=ORIENTED_EDGE('',*,*,#17650,.F.); +#17653=ORIENTED_EDGE('',*,*,#17652,.F.); +#17655=ORIENTED_EDGE('',*,*,#17654,.F.); +#17657=ORIENTED_EDGE('',*,*,#17656,.F.); +#17659=ORIENTED_EDGE('',*,*,#17658,.T.); +#17661=ORIENTED_EDGE('',*,*,#17660,.F.); +#17663=ORIENTED_EDGE('',*,*,#17662,.F.); +#17664=ORIENTED_EDGE('',*,*,#17631,.F.); +#17666=ORIENTED_EDGE('',*,*,#17665,.T.); +#17668=ORIENTED_EDGE('',*,*,#17667,.F.); +#17670=ORIENTED_EDGE('',*,*,#17669,.F.); +#17672=ORIENTED_EDGE('',*,*,#17671,.F.); +#17674=ORIENTED_EDGE('',*,*,#17673,.F.); +#17676=ORIENTED_EDGE('',*,*,#17675,.F.); +#17678=ORIENTED_EDGE('',*,*,#17677,.F.); +#17680=ORIENTED_EDGE('',*,*,#17679,.T.); +#17682=ORIENTED_EDGE('',*,*,#17681,.F.); +#17684=ORIENTED_EDGE('',*,*,#17683,.F.); +#17686=ORIENTED_EDGE('',*,*,#17685,.F.); +#17688=ORIENTED_EDGE('',*,*,#17687,.F.); +#17690=ORIENTED_EDGE('',*,*,#17689,.F.); +#17692=ORIENTED_EDGE('',*,*,#17691,.F.); +#17694=ORIENTED_EDGE('',*,*,#17693,.F.); +#17696=ORIENTED_EDGE('',*,*,#17695,.F.); +#17698=ORIENTED_EDGE('',*,*,#17697,.F.); +#17700=ORIENTED_EDGE('',*,*,#17699,.F.); +#17702=ORIENTED_EDGE('',*,*,#17701,.T.); +#17704=ORIENTED_EDGE('',*,*,#17703,.F.); +#17706=ORIENTED_EDGE('',*,*,#17705,.F.); +#17708=ORIENTED_EDGE('',*,*,#17707,.F.); +#17710=ORIENTED_EDGE('',*,*,#17709,.F.); +#17712=ORIENTED_EDGE('',*,*,#17711,.F.); +#17713=EDGE_LOOP('',(#17647,#17649,#17651,#17653,#17655,#17657,#17659,#17661, +#17663,#17664,#17666,#17668,#17670,#17672,#17674,#17676,#17678,#17680,#17682, +#17684,#17686,#17688,#17690,#17692,#17694,#17696,#17698,#17700,#17702,#17704, +#17706,#17708,#17710,#17712)); +#17714=FACE_OUTER_BOUND('',#17713,.F.); +#17716=ORIENTED_EDGE('',*,*,#17715,.F.); +#17718=ORIENTED_EDGE('',*,*,#17717,.F.); +#17720=ORIENTED_EDGE('',*,*,#17719,.F.); +#17722=ORIENTED_EDGE('',*,*,#17721,.F.); +#17723=EDGE_LOOP('',(#17716,#17718,#17720,#17722)); +#17724=FACE_BOUND('',#17723,.F.); +#17726=CARTESIAN_POINT('',(-2.47E1,7.E0,-2.2E1)); +#17727=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17728=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17729=AXIS2_PLACEMENT_3D('',#17726,#17727,#17728); +#17730=PLANE('',#17729); +#17732=ORIENTED_EDGE('',*,*,#17731,.T.); +#17734=ORIENTED_EDGE('',*,*,#17733,.F.); +#17736=ORIENTED_EDGE('',*,*,#17735,.F.); +#17738=ORIENTED_EDGE('',*,*,#17737,.F.); +#17739=ORIENTED_EDGE('',*,*,#17715,.T.); +#17741=ORIENTED_EDGE('',*,*,#17740,.T.); +#17743=ORIENTED_EDGE('',*,*,#17742,.F.); +#17745=ORIENTED_EDGE('',*,*,#17744,.T.); +#17746=EDGE_LOOP('',(#17732,#17734,#17736,#17738,#17739,#17741,#17743,#17745)); +#17747=FACE_OUTER_BOUND('',#17746,.F.); +#17749=CARTESIAN_POINT('',(0.E0,0.E0,-2.6E1)); +#17750=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17751=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17752=AXIS2_PLACEMENT_3D('',#17749,#17750,#17751); +#17753=PLANE('',#17752); +#17755=ORIENTED_EDGE('',*,*,#17754,.F.); +#17757=ORIENTED_EDGE('',*,*,#17756,.F.); +#17759=ORIENTED_EDGE('',*,*,#17758,.F.); +#17761=ORIENTED_EDGE('',*,*,#17760,.F.); +#17763=ORIENTED_EDGE('',*,*,#17762,.F.); +#17765=ORIENTED_EDGE('',*,*,#17764,.F.); +#17767=ORIENTED_EDGE('',*,*,#17766,.F.); +#17769=ORIENTED_EDGE('',*,*,#17768,.F.); +#17771=ORIENTED_EDGE('',*,*,#17770,.F.); +#17773=ORIENTED_EDGE('',*,*,#17772,.F.); +#17775=ORIENTED_EDGE('',*,*,#17774,.F.); +#17777=ORIENTED_EDGE('',*,*,#17776,.F.); +#17779=ORIENTED_EDGE('',*,*,#17778,.F.); +#17781=ORIENTED_EDGE('',*,*,#17780,.F.); +#17782=ORIENTED_EDGE('',*,*,#17731,.F.); +#17784=ORIENTED_EDGE('',*,*,#17783,.F.); +#17786=ORIENTED_EDGE('',*,*,#17785,.F.); +#17788=ORIENTED_EDGE('',*,*,#17787,.F.); +#17790=ORIENTED_EDGE('',*,*,#17789,.F.); +#17792=ORIENTED_EDGE('',*,*,#17791,.F.); +#17794=ORIENTED_EDGE('',*,*,#17793,.F.); +#17796=ORIENTED_EDGE('',*,*,#17795,.F.); +#17798=ORIENTED_EDGE('',*,*,#17797,.F.); +#17800=ORIENTED_EDGE('',*,*,#17799,.F.); +#17802=ORIENTED_EDGE('',*,*,#17801,.F.); +#17804=ORIENTED_EDGE('',*,*,#17803,.F.); +#17806=ORIENTED_EDGE('',*,*,#17805,.F.); +#17808=ORIENTED_EDGE('',*,*,#17807,.F.); +#17810=ORIENTED_EDGE('',*,*,#17809,.F.); +#17812=ORIENTED_EDGE('',*,*,#17811,.F.); +#17814=ORIENTED_EDGE('',*,*,#17813,.F.); +#17816=ORIENTED_EDGE('',*,*,#17815,.F.); +#17818=ORIENTED_EDGE('',*,*,#17817,.F.); +#17820=ORIENTED_EDGE('',*,*,#17819,.F.); +#17822=ORIENTED_EDGE('',*,*,#17821,.F.); +#17824=ORIENTED_EDGE('',*,*,#17823,.F.); +#17826=ORIENTED_EDGE('',*,*,#17825,.F.); +#17828=ORIENTED_EDGE('',*,*,#17827,.F.); +#17830=ORIENTED_EDGE('',*,*,#17829,.F.); +#17832=ORIENTED_EDGE('',*,*,#17831,.F.); +#17834=ORIENTED_EDGE('',*,*,#17833,.F.); +#17836=ORIENTED_EDGE('',*,*,#17835,.F.); +#17838=ORIENTED_EDGE('',*,*,#17837,.F.); +#17840=ORIENTED_EDGE('',*,*,#17839,.F.); +#17842=ORIENTED_EDGE('',*,*,#17841,.F.); +#17844=ORIENTED_EDGE('',*,*,#17843,.F.); +#17846=ORIENTED_EDGE('',*,*,#17845,.F.); +#17848=ORIENTED_EDGE('',*,*,#17847,.F.); +#17850=ORIENTED_EDGE('',*,*,#17849,.F.); +#17852=ORIENTED_EDGE('',*,*,#17851,.F.); +#17854=ORIENTED_EDGE('',*,*,#17853,.F.); +#17856=ORIENTED_EDGE('',*,*,#17855,.F.); +#17857=EDGE_LOOP('',(#17755,#17757,#17759,#17761,#17763,#17765,#17767,#17769, +#17771,#17773,#17775,#17777,#17779,#17781,#17782,#17784,#17786,#17788,#17790, +#17792,#17794,#17796,#17798,#17800,#17802,#17804,#17806,#17808,#17810,#17812, +#17814,#17816,#17818,#17820,#17822,#17824,#17826,#17828,#17830,#17832,#17834, +#17836,#17838,#17840,#17842,#17844,#17846,#17848,#17850,#17852,#17854,#17856)); +#17858=FACE_OUTER_BOUND('',#17857,.F.); +#17860=ORIENTED_EDGE('',*,*,#17859,.F.); +#17862=ORIENTED_EDGE('',*,*,#17861,.F.); +#17863=EDGE_LOOP('',(#17860,#17862)); +#17864=FACE_BOUND('',#17863,.F.); +#17866=ORIENTED_EDGE('',*,*,#17865,.F.); +#17868=ORIENTED_EDGE('',*,*,#17867,.F.); +#17869=EDGE_LOOP('',(#17866,#17868)); +#17870=FACE_BOUND('',#17869,.F.); +#17872=ORIENTED_EDGE('',*,*,#17871,.F.); +#17874=ORIENTED_EDGE('',*,*,#17873,.F.); +#17875=EDGE_LOOP('',(#17872,#17874)); +#17876=FACE_BOUND('',#17875,.F.); +#17878=ORIENTED_EDGE('',*,*,#17877,.F.); +#17880=ORIENTED_EDGE('',*,*,#17879,.F.); +#17881=EDGE_LOOP('',(#17878,#17880)); +#17882=FACE_BOUND('',#17881,.F.); +#17884=ORIENTED_EDGE('',*,*,#17883,.F.); +#17886=ORIENTED_EDGE('',*,*,#17885,.F.); +#17887=EDGE_LOOP('',(#17884,#17886)); +#17888=FACE_BOUND('',#17887,.F.); +#17890=ORIENTED_EDGE('',*,*,#17889,.F.); +#17892=ORIENTED_EDGE('',*,*,#17891,.F.); +#17893=EDGE_LOOP('',(#17890,#17892)); +#17894=FACE_BOUND('',#17893,.F.); +#17896=ORIENTED_EDGE('',*,*,#17895,.F.); +#17898=ORIENTED_EDGE('',*,*,#17897,.F.); +#17899=EDGE_LOOP('',(#17896,#17898)); +#17900=FACE_BOUND('',#17899,.F.); +#17902=ORIENTED_EDGE('',*,*,#17901,.F.); +#17904=ORIENTED_EDGE('',*,*,#17903,.F.); +#17905=EDGE_LOOP('',(#17902,#17904)); +#17906=FACE_BOUND('',#17905,.F.); +#17908=ORIENTED_EDGE('',*,*,#17907,.F.); +#17910=ORIENTED_EDGE('',*,*,#17909,.F.); +#17911=EDGE_LOOP('',(#17908,#17910)); +#17912=FACE_BOUND('',#17911,.F.); +#17914=ORIENTED_EDGE('',*,*,#17913,.F.); +#17916=ORIENTED_EDGE('',*,*,#17915,.F.); +#17917=EDGE_LOOP('',(#17914,#17916)); +#17918=FACE_BOUND('',#17917,.F.); +#17920=ORIENTED_EDGE('',*,*,#17919,.F.); +#17922=ORIENTED_EDGE('',*,*,#17921,.F.); +#17923=EDGE_LOOP('',(#17920,#17922)); +#17924=FACE_BOUND('',#17923,.F.); +#17926=ORIENTED_EDGE('',*,*,#17925,.F.); +#17928=ORIENTED_EDGE('',*,*,#17927,.F.); +#17929=EDGE_LOOP('',(#17926,#17928)); +#17930=FACE_BOUND('',#17929,.F.); +#17932=ORIENTED_EDGE('',*,*,#17931,.F.); +#17934=ORIENTED_EDGE('',*,*,#17933,.F.); +#17935=EDGE_LOOP('',(#17932,#17934)); +#17936=FACE_BOUND('',#17935,.F.); +#17938=ORIENTED_EDGE('',*,*,#17937,.F.); +#17940=ORIENTED_EDGE('',*,*,#17939,.F.); +#17941=EDGE_LOOP('',(#17938,#17940)); +#17942=FACE_BOUND('',#17941,.F.); +#17944=ORIENTED_EDGE('',*,*,#17943,.F.); +#17946=ORIENTED_EDGE('',*,*,#17945,.F.); +#17947=EDGE_LOOP('',(#17944,#17946)); +#17948=FACE_BOUND('',#17947,.F.); +#17950=ORIENTED_EDGE('',*,*,#17949,.F.); +#17952=ORIENTED_EDGE('',*,*,#17951,.F.); +#17953=EDGE_LOOP('',(#17950,#17952)); +#17954=FACE_BOUND('',#17953,.F.); +#17956=ORIENTED_EDGE('',*,*,#17955,.F.); +#17958=ORIENTED_EDGE('',*,*,#17957,.F.); +#17959=EDGE_LOOP('',(#17956,#17958)); +#17960=FACE_BOUND('',#17959,.F.); +#17962=ORIENTED_EDGE('',*,*,#17961,.F.); +#17964=ORIENTED_EDGE('',*,*,#17963,.F.); +#17965=EDGE_LOOP('',(#17962,#17964)); +#17966=FACE_BOUND('',#17965,.F.); +#17968=ORIENTED_EDGE('',*,*,#17967,.F.); +#17970=ORIENTED_EDGE('',*,*,#17969,.F.); +#17971=EDGE_LOOP('',(#17968,#17970)); +#17972=FACE_BOUND('',#17971,.F.); +#17974=ORIENTED_EDGE('',*,*,#17973,.F.); +#17976=ORIENTED_EDGE('',*,*,#17975,.F.); +#17977=EDGE_LOOP('',(#17974,#17976)); +#17978=FACE_BOUND('',#17977,.F.); +#17980=ORIENTED_EDGE('',*,*,#17979,.F.); +#17982=ORIENTED_EDGE('',*,*,#17981,.F.); +#17983=EDGE_LOOP('',(#17980,#17982)); +#17984=FACE_BOUND('',#17983,.F.); +#17986=ORIENTED_EDGE('',*,*,#17985,.F.); +#17988=ORIENTED_EDGE('',*,*,#17987,.F.); +#17989=EDGE_LOOP('',(#17986,#17988)); +#17990=FACE_BOUND('',#17989,.F.); +#17992=ORIENTED_EDGE('',*,*,#17991,.F.); +#17994=ORIENTED_EDGE('',*,*,#17993,.F.); +#17995=EDGE_LOOP('',(#17992,#17994)); +#17996=FACE_BOUND('',#17995,.F.); +#17998=ORIENTED_EDGE('',*,*,#17997,.F.); +#18000=ORIENTED_EDGE('',*,*,#17999,.F.); +#18001=EDGE_LOOP('',(#17998,#18000)); +#18002=FACE_BOUND('',#18001,.F.); +#18004=ORIENTED_EDGE('',*,*,#18003,.F.); +#18006=ORIENTED_EDGE('',*,*,#18005,.F.); +#18007=EDGE_LOOP('',(#18004,#18006)); +#18008=FACE_BOUND('',#18007,.F.); +#18010=ORIENTED_EDGE('',*,*,#18009,.F.); +#18012=ORIENTED_EDGE('',*,*,#18011,.F.); +#18013=EDGE_LOOP('',(#18010,#18012)); +#18014=FACE_BOUND('',#18013,.F.); +#18016=ORIENTED_EDGE('',*,*,#18015,.F.); +#18018=ORIENTED_EDGE('',*,*,#18017,.F.); +#18019=EDGE_LOOP('',(#18016,#18018)); +#18020=FACE_BOUND('',#18019,.F.); +#18022=ORIENTED_EDGE('',*,*,#18021,.F.); +#18024=ORIENTED_EDGE('',*,*,#18023,.F.); +#18025=EDGE_LOOP('',(#18022,#18024)); +#18026=FACE_BOUND('',#18025,.F.); +#18028=ORIENTED_EDGE('',*,*,#18027,.F.); +#18030=ORIENTED_EDGE('',*,*,#18029,.F.); +#18031=EDGE_LOOP('',(#18028,#18030)); +#18032=FACE_BOUND('',#18031,.F.); +#18034=ORIENTED_EDGE('',*,*,#18033,.F.); +#18036=ORIENTED_EDGE('',*,*,#18035,.F.); +#18037=EDGE_LOOP('',(#18034,#18036)); +#18038=FACE_BOUND('',#18037,.F.); +#18040=ORIENTED_EDGE('',*,*,#18039,.F.); +#18042=ORIENTED_EDGE('',*,*,#18041,.F.); +#18043=EDGE_LOOP('',(#18040,#18042)); +#18044=FACE_BOUND('',#18043,.F.); +#18046=ORIENTED_EDGE('',*,*,#18045,.F.); +#18048=ORIENTED_EDGE('',*,*,#18047,.F.); +#18049=EDGE_LOOP('',(#18046,#18048)); +#18050=FACE_BOUND('',#18049,.F.); +#18052=ORIENTED_EDGE('',*,*,#18051,.F.); +#18054=ORIENTED_EDGE('',*,*,#18053,.F.); +#18055=EDGE_LOOP('',(#18052,#18054)); +#18056=FACE_BOUND('',#18055,.F.); +#18058=ORIENTED_EDGE('',*,*,#18057,.F.); +#18060=ORIENTED_EDGE('',*,*,#18059,.F.); +#18061=EDGE_LOOP('',(#18058,#18060)); +#18062=FACE_BOUND('',#18061,.F.); +#18064=ORIENTED_EDGE('',*,*,#18063,.F.); +#18066=ORIENTED_EDGE('',*,*,#18065,.F.); +#18067=EDGE_LOOP('',(#18064,#18066)); +#18068=FACE_BOUND('',#18067,.F.); +#18070=CARTESIAN_POINT('',(2.E0,4.E0,-2.3E1)); +#18071=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18072=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18073=AXIS2_PLACEMENT_3D('',#18070,#18071,#18072); +#18074=CYLINDRICAL_SURFACE('',#18073,1.5E0); +#18076=ORIENTED_EDGE('',*,*,#18075,.F.); +#18078=ORIENTED_EDGE('',*,*,#18077,.T.); +#18079=ORIENTED_EDGE('',*,*,#17754,.T.); +#18081=ORIENTED_EDGE('',*,*,#18080,.F.); +#18082=EDGE_LOOP('',(#18076,#18078,#18079,#18081)); +#18083=FACE_OUTER_BOUND('',#18082,.F.); +#18085=CARTESIAN_POINT('',(0.E0,0.E0,-2.3E1)); +#18086=DIRECTION('',(0.E0,0.E0,1.E0)); +#18087=DIRECTION('',(1.E0,0.E0,0.E0)); +#18088=AXIS2_PLACEMENT_3D('',#18085,#18086,#18087); +#18089=PLANE('',#18088); +#18090=ORIENTED_EDGE('',*,*,#18075,.T.); +#18092=ORIENTED_EDGE('',*,*,#18091,.T.); +#18094=ORIENTED_EDGE('',*,*,#18093,.T.); +#18096=ORIENTED_EDGE('',*,*,#18095,.T.); +#18098=ORIENTED_EDGE('',*,*,#18097,.T.); +#18100=ORIENTED_EDGE('',*,*,#18099,.T.); +#18102=ORIENTED_EDGE('',*,*,#18101,.T.); +#18104=ORIENTED_EDGE('',*,*,#18103,.T.); +#18106=ORIENTED_EDGE('',*,*,#18105,.T.); +#18108=ORIENTED_EDGE('',*,*,#18107,.T.); +#18110=ORIENTED_EDGE('',*,*,#18109,.T.); +#18112=ORIENTED_EDGE('',*,*,#18111,.F.); +#18114=ORIENTED_EDGE('',*,*,#18113,.T.); +#18116=ORIENTED_EDGE('',*,*,#18115,.T.); +#18117=ORIENTED_EDGE('',*,*,#17735,.T.); +#18119=ORIENTED_EDGE('',*,*,#18118,.T.); +#18121=ORIENTED_EDGE('',*,*,#18120,.T.); +#18123=ORIENTED_EDGE('',*,*,#18122,.T.); +#18125=ORIENTED_EDGE('',*,*,#18124,.T.); +#18127=ORIENTED_EDGE('',*,*,#18126,.T.); +#18129=ORIENTED_EDGE('',*,*,#18128,.T.); +#18131=ORIENTED_EDGE('',*,*,#18130,.T.); +#18133=ORIENTED_EDGE('',*,*,#18132,.T.); +#18135=ORIENTED_EDGE('',*,*,#18134,.T.); +#18137=ORIENTED_EDGE('',*,*,#18136,.T.); +#18139=ORIENTED_EDGE('',*,*,#18138,.T.); +#18141=ORIENTED_EDGE('',*,*,#18140,.T.); +#18143=ORIENTED_EDGE('',*,*,#18142,.T.); +#18144=EDGE_LOOP('',(#18090,#18092,#18094,#18096,#18098,#18100,#18102,#18104, +#18106,#18108,#18110,#18112,#18114,#18116,#18117,#18119,#18121,#18123,#18125, +#18127,#18129,#18131,#18133,#18135,#18137,#18139,#18141,#18143)); +#18145=FACE_OUTER_BOUND('',#18144,.F.); +#18147=CARTESIAN_POINT('',(0.E0,0.E0,-2.3E1)); +#18148=DIRECTION('',(0.E0,0.E0,1.E0)); +#18149=DIRECTION('',(1.E0,0.E0,0.E0)); +#18150=AXIS2_PLACEMENT_3D('',#18147,#18148,#18149); +#18151=PLANE('',#18150); +#18152=ORIENTED_EDGE('',*,*,#17742,.T.); +#18154=ORIENTED_EDGE('',*,*,#18153,.T.); +#18156=ORIENTED_EDGE('',*,*,#18155,.T.); +#18158=ORIENTED_EDGE('',*,*,#18157,.T.); +#18160=ORIENTED_EDGE('',*,*,#18159,.T.); +#18162=ORIENTED_EDGE('',*,*,#18161,.T.); +#18164=ORIENTED_EDGE('',*,*,#18163,.T.); +#18166=ORIENTED_EDGE('',*,*,#18165,.T.); +#18168=ORIENTED_EDGE('',*,*,#18167,.T.); +#18170=ORIENTED_EDGE('',*,*,#18169,.T.); +#18172=ORIENTED_EDGE('',*,*,#18171,.T.); +#18174=ORIENTED_EDGE('',*,*,#18173,.T.); +#18176=ORIENTED_EDGE('',*,*,#18175,.T.); +#18178=ORIENTED_EDGE('',*,*,#18177,.T.); +#18180=ORIENTED_EDGE('',*,*,#18179,.T.); +#18182=ORIENTED_EDGE('',*,*,#18181,.T.); +#18184=ORIENTED_EDGE('',*,*,#18183,.T.); +#18186=ORIENTED_EDGE('',*,*,#18185,.T.); +#18188=ORIENTED_EDGE('',*,*,#18187,.T.); +#18190=ORIENTED_EDGE('',*,*,#18189,.T.); +#18192=ORIENTED_EDGE('',*,*,#18191,.T.); +#18194=ORIENTED_EDGE('',*,*,#18193,.T.); +#18196=ORIENTED_EDGE('',*,*,#18195,.T.); +#18198=ORIENTED_EDGE('',*,*,#18197,.T.); +#18200=ORIENTED_EDGE('',*,*,#18199,.T.); +#18202=ORIENTED_EDGE('',*,*,#18201,.T.); +#18204=ORIENTED_EDGE('',*,*,#18203,.T.); +#18206=ORIENTED_EDGE('',*,*,#18205,.F.); +#18207=EDGE_LOOP('',(#18152,#18154,#18156,#18158,#18160,#18162,#18164,#18166, +#18168,#18170,#18172,#18174,#18176,#18178,#18180,#18182,#18184,#18186,#18188, +#18190,#18192,#18194,#18196,#18198,#18200,#18202,#18204,#18206)); +#18208=FACE_OUTER_BOUND('',#18207,.F.); +#18210=CARTESIAN_POINT('',(3.414213562373E0,4.5E0,-2.3E1)); +#18211=DIRECTION('',(0.E0,1.E0,0.E0)); +#18212=DIRECTION('',(1.E0,0.E0,0.E0)); +#18213=AXIS2_PLACEMENT_3D('',#18210,#18211,#18212); +#18214=PLANE('',#18213); +#18215=ORIENTED_EDGE('',*,*,#18091,.F.); +#18216=ORIENTED_EDGE('',*,*,#18080,.T.); +#18217=ORIENTED_EDGE('',*,*,#17855,.T.); +#18219=ORIENTED_EDGE('',*,*,#18218,.F.); +#18220=EDGE_LOOP('',(#18215,#18216,#18217,#18219)); +#18221=FACE_OUTER_BOUND('',#18220,.F.); +#18223=CARTESIAN_POINT('',(6.E0,4.E0,-2.3E1)); +#18224=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18225=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18226=AXIS2_PLACEMENT_3D('',#18223,#18224,#18225); +#18227=CYLINDRICAL_SURFACE('',#18226,1.5E0); +#18228=ORIENTED_EDGE('',*,*,#18093,.F.); +#18229=ORIENTED_EDGE('',*,*,#18218,.T.); +#18230=ORIENTED_EDGE('',*,*,#17853,.T.); +#18232=ORIENTED_EDGE('',*,*,#18231,.F.); +#18233=EDGE_LOOP('',(#18228,#18229,#18230,#18232)); +#18234=FACE_OUTER_BOUND('',#18233,.F.); +#18236=CARTESIAN_POINT('',(7.414213562373E0,4.5E0,-2.3E1)); +#18237=DIRECTION('',(0.E0,1.E0,0.E0)); +#18238=DIRECTION('',(1.E0,0.E0,0.E0)); +#18239=AXIS2_PLACEMENT_3D('',#18236,#18237,#18238); +#18240=PLANE('',#18239); +#18241=ORIENTED_EDGE('',*,*,#18095,.F.); +#18242=ORIENTED_EDGE('',*,*,#18231,.T.); +#18243=ORIENTED_EDGE('',*,*,#17851,.T.); +#18245=ORIENTED_EDGE('',*,*,#18244,.F.); +#18246=EDGE_LOOP('',(#18241,#18242,#18243,#18245)); +#18247=FACE_OUTER_BOUND('',#18246,.F.); +#18249=CARTESIAN_POINT('',(1.E1,4.E0,-2.3E1)); +#18250=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18251=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18252=AXIS2_PLACEMENT_3D('',#18249,#18250,#18251); +#18253=CYLINDRICAL_SURFACE('',#18252,1.5E0); +#18254=ORIENTED_EDGE('',*,*,#18097,.F.); +#18255=ORIENTED_EDGE('',*,*,#18244,.T.); +#18256=ORIENTED_EDGE('',*,*,#17849,.T.); +#18258=ORIENTED_EDGE('',*,*,#18257,.F.); +#18259=EDGE_LOOP('',(#18254,#18255,#18256,#18258)); +#18260=FACE_OUTER_BOUND('',#18259,.F.); +#18262=CARTESIAN_POINT('',(1.141421356237E1,4.5E0,-2.3E1)); +#18263=DIRECTION('',(0.E0,1.E0,0.E0)); +#18264=DIRECTION('',(1.E0,0.E0,0.E0)); +#18265=AXIS2_PLACEMENT_3D('',#18262,#18263,#18264); +#18266=PLANE('',#18265); +#18267=ORIENTED_EDGE('',*,*,#18099,.F.); +#18268=ORIENTED_EDGE('',*,*,#18257,.T.); +#18269=ORIENTED_EDGE('',*,*,#17847,.T.); +#18271=ORIENTED_EDGE('',*,*,#18270,.F.); +#18272=EDGE_LOOP('',(#18267,#18268,#18269,#18271)); +#18273=FACE_OUTER_BOUND('',#18272,.F.); +#18275=CARTESIAN_POINT('',(1.4E1,4.E0,-2.3E1)); +#18276=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18277=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18278=AXIS2_PLACEMENT_3D('',#18275,#18276,#18277); +#18279=CYLINDRICAL_SURFACE('',#18278,1.5E0); +#18280=ORIENTED_EDGE('',*,*,#18101,.F.); +#18281=ORIENTED_EDGE('',*,*,#18270,.T.); +#18282=ORIENTED_EDGE('',*,*,#17845,.T.); +#18284=ORIENTED_EDGE('',*,*,#18283,.F.); +#18285=EDGE_LOOP('',(#18280,#18281,#18282,#18284)); +#18286=FACE_OUTER_BOUND('',#18285,.F.); +#18288=CARTESIAN_POINT('',(1.541421356237E1,4.5E0,-2.3E1)); +#18289=DIRECTION('',(0.E0,1.E0,0.E0)); +#18290=DIRECTION('',(1.E0,0.E0,0.E0)); +#18291=AXIS2_PLACEMENT_3D('',#18288,#18289,#18290); +#18292=PLANE('',#18291); +#18293=ORIENTED_EDGE('',*,*,#18103,.F.); +#18294=ORIENTED_EDGE('',*,*,#18283,.T.); +#18295=ORIENTED_EDGE('',*,*,#17843,.T.); +#18297=ORIENTED_EDGE('',*,*,#18296,.F.); +#18298=EDGE_LOOP('',(#18293,#18294,#18295,#18297)); +#18299=FACE_OUTER_BOUND('',#18298,.F.); +#18301=CARTESIAN_POINT('',(1.8E1,4.E0,-2.3E1)); +#18302=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18303=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18304=AXIS2_PLACEMENT_3D('',#18301,#18302,#18303); +#18305=CYLINDRICAL_SURFACE('',#18304,1.5E0); +#18306=ORIENTED_EDGE('',*,*,#18105,.F.); +#18307=ORIENTED_EDGE('',*,*,#18296,.T.); +#18308=ORIENTED_EDGE('',*,*,#17841,.T.); +#18310=ORIENTED_EDGE('',*,*,#18309,.F.); +#18311=EDGE_LOOP('',(#18306,#18307,#18308,#18310)); +#18312=FACE_OUTER_BOUND('',#18311,.F.); +#18314=CARTESIAN_POINT('',(1.941421356237E1,4.5E0,-2.3E1)); +#18315=DIRECTION('',(0.E0,1.E0,0.E0)); +#18316=DIRECTION('',(1.E0,0.E0,0.E0)); +#18317=AXIS2_PLACEMENT_3D('',#18314,#18315,#18316); +#18318=PLANE('',#18317); +#18319=ORIENTED_EDGE('',*,*,#18107,.F.); +#18320=ORIENTED_EDGE('',*,*,#18309,.T.); +#18321=ORIENTED_EDGE('',*,*,#17839,.T.); +#18323=ORIENTED_EDGE('',*,*,#18322,.F.); +#18324=EDGE_LOOP('',(#18319,#18320,#18321,#18323)); +#18325=FACE_OUTER_BOUND('',#18324,.F.); +#18327=CARTESIAN_POINT('',(2.2E1,4.E0,-2.3E1)); +#18328=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18329=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18330=AXIS2_PLACEMENT_3D('',#18327,#18328,#18329); +#18331=CYLINDRICAL_SURFACE('',#18330,1.5E0); +#18332=ORIENTED_EDGE('',*,*,#18109,.F.); +#18333=ORIENTED_EDGE('',*,*,#18322,.T.); +#18334=ORIENTED_EDGE('',*,*,#17837,.T.); +#18336=ORIENTED_EDGE('',*,*,#18335,.F.); +#18337=EDGE_LOOP('',(#18332,#18333,#18334,#18336)); +#18338=FACE_OUTER_BOUND('',#18337,.F.); +#18340=CARTESIAN_POINT('',(2.341421356237E1,4.5E0,-2.3E1)); +#18341=DIRECTION('',(0.E0,1.E0,0.E0)); +#18342=DIRECTION('',(1.E0,0.E0,0.E0)); +#18343=AXIS2_PLACEMENT_3D('',#18340,#18341,#18342); +#18344=PLANE('',#18343); +#18345=ORIENTED_EDGE('',*,*,#18111,.T.); +#18346=ORIENTED_EDGE('',*,*,#18335,.T.); +#18347=ORIENTED_EDGE('',*,*,#17835,.T.); +#18349=ORIENTED_EDGE('',*,*,#18348,.F.); +#18350=EDGE_LOOP('',(#18345,#18346,#18347,#18349)); +#18351=FACE_OUTER_BOUND('',#18350,.F.); +#18353=CARTESIAN_POINT('',(2.47E1,-7.E0,-2.2E1)); +#18354=DIRECTION('',(1.E0,0.E0,0.E0)); +#18355=DIRECTION('',(0.E0,1.E0,0.E0)); +#18356=AXIS2_PLACEMENT_3D('',#18353,#18354,#18355); +#18357=PLANE('',#18356); +#18358=ORIENTED_EDGE('',*,*,#17833,.T.); +#18360=ORIENTED_EDGE('',*,*,#18359,.F.); +#18361=ORIENTED_EDGE('',*,*,#18155,.F.); +#18363=ORIENTED_EDGE('',*,*,#18362,.F.); +#18364=ORIENTED_EDGE('',*,*,#17719,.T.); +#18366=ORIENTED_EDGE('',*,*,#18365,.T.); +#18367=ORIENTED_EDGE('',*,*,#18113,.F.); +#18368=ORIENTED_EDGE('',*,*,#18348,.T.); +#18369=EDGE_LOOP('',(#18358,#18360,#18361,#18363,#18364,#18366,#18367,#18368)); +#18370=FACE_OUTER_BOUND('',#18369,.F.); +#18372=CARTESIAN_POINT('',(2.47E1,-4.5E0,-2.3E1)); +#18373=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18374=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18375=AXIS2_PLACEMENT_3D('',#18372,#18373,#18374); +#18376=PLANE('',#18375); +#18377=ORIENTED_EDGE('',*,*,#18157,.F.); +#18378=ORIENTED_EDGE('',*,*,#18359,.T.); +#18379=ORIENTED_EDGE('',*,*,#17831,.T.); +#18381=ORIENTED_EDGE('',*,*,#18380,.F.); +#18382=EDGE_LOOP('',(#18377,#18378,#18379,#18381)); +#18383=FACE_OUTER_BOUND('',#18382,.F.); +#18385=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.3E1)); +#18386=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18387=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18388=AXIS2_PLACEMENT_3D('',#18385,#18386,#18387); +#18389=CYLINDRICAL_SURFACE('',#18388,1.5E0); +#18390=ORIENTED_EDGE('',*,*,#18159,.F.); +#18391=ORIENTED_EDGE('',*,*,#18380,.T.); +#18392=ORIENTED_EDGE('',*,*,#17829,.T.); +#18394=ORIENTED_EDGE('',*,*,#18393,.F.); +#18395=EDGE_LOOP('',(#18390,#18391,#18392,#18394)); +#18396=FACE_OUTER_BOUND('',#18395,.F.); +#18398=CARTESIAN_POINT('',(2.058578643763E1,-4.5E0,-2.3E1)); +#18399=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18400=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18401=AXIS2_PLACEMENT_3D('',#18398,#18399,#18400); +#18402=PLANE('',#18401); +#18403=ORIENTED_EDGE('',*,*,#18161,.F.); +#18404=ORIENTED_EDGE('',*,*,#18393,.T.); +#18405=ORIENTED_EDGE('',*,*,#17827,.T.); +#18407=ORIENTED_EDGE('',*,*,#18406,.F.); +#18408=EDGE_LOOP('',(#18403,#18404,#18405,#18407)); +#18409=FACE_OUTER_BOUND('',#18408,.F.); +#18411=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.3E1)); +#18412=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18413=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18414=AXIS2_PLACEMENT_3D('',#18411,#18412,#18413); +#18415=CYLINDRICAL_SURFACE('',#18414,1.5E0); +#18416=ORIENTED_EDGE('',*,*,#18163,.F.); +#18417=ORIENTED_EDGE('',*,*,#18406,.T.); +#18418=ORIENTED_EDGE('',*,*,#17825,.T.); +#18420=ORIENTED_EDGE('',*,*,#18419,.F.); +#18421=EDGE_LOOP('',(#18416,#18417,#18418,#18420)); +#18422=FACE_OUTER_BOUND('',#18421,.F.); +#18424=CARTESIAN_POINT('',(1.658578643763E1,-4.5E0,-2.3E1)); +#18425=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18426=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18427=AXIS2_PLACEMENT_3D('',#18424,#18425,#18426); +#18428=PLANE('',#18427); +#18429=ORIENTED_EDGE('',*,*,#18165,.F.); +#18430=ORIENTED_EDGE('',*,*,#18419,.T.); +#18431=ORIENTED_EDGE('',*,*,#17823,.T.); +#18433=ORIENTED_EDGE('',*,*,#18432,.F.); +#18434=EDGE_LOOP('',(#18429,#18430,#18431,#18433)); +#18435=FACE_OUTER_BOUND('',#18434,.F.); +#18437=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.3E1)); +#18438=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18439=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18440=AXIS2_PLACEMENT_3D('',#18437,#18438,#18439); +#18441=CYLINDRICAL_SURFACE('',#18440,1.5E0); +#18442=ORIENTED_EDGE('',*,*,#18167,.F.); +#18443=ORIENTED_EDGE('',*,*,#18432,.T.); +#18444=ORIENTED_EDGE('',*,*,#17821,.T.); +#18446=ORIENTED_EDGE('',*,*,#18445,.F.); +#18447=EDGE_LOOP('',(#18442,#18443,#18444,#18446)); +#18448=FACE_OUTER_BOUND('',#18447,.F.); +#18450=CARTESIAN_POINT('',(1.258578643763E1,-4.5E0,-2.3E1)); +#18451=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18452=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18453=AXIS2_PLACEMENT_3D('',#18450,#18451,#18452); +#18454=PLANE('',#18453); +#18455=ORIENTED_EDGE('',*,*,#18169,.F.); +#18456=ORIENTED_EDGE('',*,*,#18445,.T.); +#18457=ORIENTED_EDGE('',*,*,#17819,.T.); +#18459=ORIENTED_EDGE('',*,*,#18458,.F.); +#18460=EDGE_LOOP('',(#18455,#18456,#18457,#18459)); +#18461=FACE_OUTER_BOUND('',#18460,.F.); +#18463=CARTESIAN_POINT('',(1.E1,-4.E0,-2.3E1)); +#18464=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18465=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18466=AXIS2_PLACEMENT_3D('',#18463,#18464,#18465); +#18467=CYLINDRICAL_SURFACE('',#18466,1.5E0); +#18468=ORIENTED_EDGE('',*,*,#18171,.F.); +#18469=ORIENTED_EDGE('',*,*,#18458,.T.); +#18470=ORIENTED_EDGE('',*,*,#17817,.T.); +#18472=ORIENTED_EDGE('',*,*,#18471,.F.); +#18473=EDGE_LOOP('',(#18468,#18469,#18470,#18472)); +#18474=FACE_OUTER_BOUND('',#18473,.F.); +#18476=CARTESIAN_POINT('',(8.585786437627E0,-4.5E0,-2.3E1)); +#18477=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18478=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18479=AXIS2_PLACEMENT_3D('',#18476,#18477,#18478); +#18480=PLANE('',#18479); +#18481=ORIENTED_EDGE('',*,*,#18173,.F.); +#18482=ORIENTED_EDGE('',*,*,#18471,.T.); +#18483=ORIENTED_EDGE('',*,*,#17815,.T.); +#18485=ORIENTED_EDGE('',*,*,#18484,.F.); +#18486=EDGE_LOOP('',(#18481,#18482,#18483,#18485)); +#18487=FACE_OUTER_BOUND('',#18486,.F.); +#18489=CARTESIAN_POINT('',(6.E0,-4.E0,-2.3E1)); +#18490=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18491=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18492=AXIS2_PLACEMENT_3D('',#18489,#18490,#18491); +#18493=CYLINDRICAL_SURFACE('',#18492,1.5E0); +#18494=ORIENTED_EDGE('',*,*,#18175,.F.); +#18495=ORIENTED_EDGE('',*,*,#18484,.T.); +#18496=ORIENTED_EDGE('',*,*,#17813,.T.); +#18498=ORIENTED_EDGE('',*,*,#18497,.F.); +#18499=EDGE_LOOP('',(#18494,#18495,#18496,#18498)); +#18500=FACE_OUTER_BOUND('',#18499,.F.); +#18502=CARTESIAN_POINT('',(4.585786437627E0,-4.5E0,-2.3E1)); +#18503=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18504=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18505=AXIS2_PLACEMENT_3D('',#18502,#18503,#18504); +#18506=PLANE('',#18505); +#18507=ORIENTED_EDGE('',*,*,#18177,.F.); +#18508=ORIENTED_EDGE('',*,*,#18497,.T.); +#18509=ORIENTED_EDGE('',*,*,#17811,.T.); +#18511=ORIENTED_EDGE('',*,*,#18510,.F.); +#18512=EDGE_LOOP('',(#18507,#18508,#18509,#18511)); +#18513=FACE_OUTER_BOUND('',#18512,.F.); +#18515=CARTESIAN_POINT('',(2.E0,-4.E0,-2.3E1)); +#18516=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18517=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18518=AXIS2_PLACEMENT_3D('',#18515,#18516,#18517); +#18519=CYLINDRICAL_SURFACE('',#18518,1.5E0); +#18520=ORIENTED_EDGE('',*,*,#18179,.F.); +#18521=ORIENTED_EDGE('',*,*,#18510,.T.); +#18522=ORIENTED_EDGE('',*,*,#17809,.T.); +#18524=ORIENTED_EDGE('',*,*,#18523,.F.); +#18525=EDGE_LOOP('',(#18520,#18521,#18522,#18524)); +#18526=FACE_OUTER_BOUND('',#18525,.F.); +#18528=CARTESIAN_POINT('',(5.857864376269E-1,-4.5E0,-2.3E1)); +#18529=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18530=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18531=AXIS2_PLACEMENT_3D('',#18528,#18529,#18530); +#18532=PLANE('',#18531); +#18533=ORIENTED_EDGE('',*,*,#18181,.F.); +#18534=ORIENTED_EDGE('',*,*,#18523,.T.); +#18535=ORIENTED_EDGE('',*,*,#17807,.T.); +#18537=ORIENTED_EDGE('',*,*,#18536,.F.); +#18538=EDGE_LOOP('',(#18533,#18534,#18535,#18537)); +#18539=FACE_OUTER_BOUND('',#18538,.F.); +#18541=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.3E1)); +#18542=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18543=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18544=AXIS2_PLACEMENT_3D('',#18541,#18542,#18543); +#18545=CYLINDRICAL_SURFACE('',#18544,1.5E0); +#18546=ORIENTED_EDGE('',*,*,#18183,.F.); +#18547=ORIENTED_EDGE('',*,*,#18536,.T.); +#18548=ORIENTED_EDGE('',*,*,#17805,.T.); +#18550=ORIENTED_EDGE('',*,*,#18549,.F.); +#18551=EDGE_LOOP('',(#18546,#18547,#18548,#18550)); +#18552=FACE_OUTER_BOUND('',#18551,.F.); +#18554=CARTESIAN_POINT('',(-3.414213562373E0,-4.5E0,-2.3E1)); +#18555=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18556=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18557=AXIS2_PLACEMENT_3D('',#18554,#18555,#18556); +#18558=PLANE('',#18557); +#18559=ORIENTED_EDGE('',*,*,#18185,.F.); +#18560=ORIENTED_EDGE('',*,*,#18549,.T.); +#18561=ORIENTED_EDGE('',*,*,#17803,.T.); +#18563=ORIENTED_EDGE('',*,*,#18562,.F.); +#18564=EDGE_LOOP('',(#18559,#18560,#18561,#18563)); +#18565=FACE_OUTER_BOUND('',#18564,.F.); +#18567=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.3E1)); +#18568=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18569=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18570=AXIS2_PLACEMENT_3D('',#18567,#18568,#18569); +#18571=CYLINDRICAL_SURFACE('',#18570,1.5E0); +#18572=ORIENTED_EDGE('',*,*,#18187,.F.); +#18573=ORIENTED_EDGE('',*,*,#18562,.T.); +#18574=ORIENTED_EDGE('',*,*,#17801,.T.); +#18576=ORIENTED_EDGE('',*,*,#18575,.F.); +#18577=EDGE_LOOP('',(#18572,#18573,#18574,#18576)); +#18578=FACE_OUTER_BOUND('',#18577,.F.); +#18580=CARTESIAN_POINT('',(-7.414213562373E0,-4.5E0,-2.3E1)); +#18581=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18582=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18583=AXIS2_PLACEMENT_3D('',#18580,#18581,#18582); +#18584=PLANE('',#18583); +#18585=ORIENTED_EDGE('',*,*,#18189,.F.); +#18586=ORIENTED_EDGE('',*,*,#18575,.T.); +#18587=ORIENTED_EDGE('',*,*,#17799,.T.); +#18589=ORIENTED_EDGE('',*,*,#18588,.F.); +#18590=EDGE_LOOP('',(#18585,#18586,#18587,#18589)); +#18591=FACE_OUTER_BOUND('',#18590,.F.); +#18593=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.3E1)); +#18594=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18595=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18596=AXIS2_PLACEMENT_3D('',#18593,#18594,#18595); +#18597=CYLINDRICAL_SURFACE('',#18596,1.5E0); +#18598=ORIENTED_EDGE('',*,*,#18191,.F.); +#18599=ORIENTED_EDGE('',*,*,#18588,.T.); +#18600=ORIENTED_EDGE('',*,*,#17797,.T.); +#18602=ORIENTED_EDGE('',*,*,#18601,.F.); +#18603=EDGE_LOOP('',(#18598,#18599,#18600,#18602)); +#18604=FACE_OUTER_BOUND('',#18603,.F.); +#18606=CARTESIAN_POINT('',(-1.141421356237E1,-4.5E0,-2.3E1)); +#18607=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18608=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18609=AXIS2_PLACEMENT_3D('',#18606,#18607,#18608); +#18610=PLANE('',#18609); +#18611=ORIENTED_EDGE('',*,*,#18193,.F.); +#18612=ORIENTED_EDGE('',*,*,#18601,.T.); +#18613=ORIENTED_EDGE('',*,*,#17795,.T.); +#18615=ORIENTED_EDGE('',*,*,#18614,.F.); +#18616=EDGE_LOOP('',(#18611,#18612,#18613,#18615)); +#18617=FACE_OUTER_BOUND('',#18616,.F.); +#18619=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.3E1)); +#18620=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18621=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18622=AXIS2_PLACEMENT_3D('',#18619,#18620,#18621); +#18623=CYLINDRICAL_SURFACE('',#18622,1.5E0); +#18624=ORIENTED_EDGE('',*,*,#18195,.F.); +#18625=ORIENTED_EDGE('',*,*,#18614,.T.); +#18626=ORIENTED_EDGE('',*,*,#17793,.T.); +#18628=ORIENTED_EDGE('',*,*,#18627,.F.); +#18629=EDGE_LOOP('',(#18624,#18625,#18626,#18628)); +#18630=FACE_OUTER_BOUND('',#18629,.F.); +#18632=CARTESIAN_POINT('',(-1.541421356237E1,-4.5E0,-2.3E1)); +#18633=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18634=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18635=AXIS2_PLACEMENT_3D('',#18632,#18633,#18634); +#18636=PLANE('',#18635); +#18637=ORIENTED_EDGE('',*,*,#18197,.F.); +#18638=ORIENTED_EDGE('',*,*,#18627,.T.); +#18639=ORIENTED_EDGE('',*,*,#17791,.T.); +#18641=ORIENTED_EDGE('',*,*,#18640,.F.); +#18642=EDGE_LOOP('',(#18637,#18638,#18639,#18641)); +#18643=FACE_OUTER_BOUND('',#18642,.F.); +#18645=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.3E1)); +#18646=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18647=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18648=AXIS2_PLACEMENT_3D('',#18645,#18646,#18647); +#18649=CYLINDRICAL_SURFACE('',#18648,1.5E0); +#18650=ORIENTED_EDGE('',*,*,#18199,.F.); +#18651=ORIENTED_EDGE('',*,*,#18640,.T.); +#18652=ORIENTED_EDGE('',*,*,#17789,.T.); +#18654=ORIENTED_EDGE('',*,*,#18653,.F.); +#18655=EDGE_LOOP('',(#18650,#18651,#18652,#18654)); +#18656=FACE_OUTER_BOUND('',#18655,.F.); +#18658=CARTESIAN_POINT('',(-1.941421356237E1,-4.5E0,-2.3E1)); +#18659=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18660=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18661=AXIS2_PLACEMENT_3D('',#18658,#18659,#18660); +#18662=PLANE('',#18661); +#18663=ORIENTED_EDGE('',*,*,#18201,.F.); +#18664=ORIENTED_EDGE('',*,*,#18653,.T.); +#18665=ORIENTED_EDGE('',*,*,#17787,.T.); +#18667=ORIENTED_EDGE('',*,*,#18666,.F.); +#18668=EDGE_LOOP('',(#18663,#18664,#18665,#18667)); +#18669=FACE_OUTER_BOUND('',#18668,.F.); +#18671=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.3E1)); +#18672=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18673=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18674=AXIS2_PLACEMENT_3D('',#18671,#18672,#18673); +#18675=CYLINDRICAL_SURFACE('',#18674,1.5E0); +#18676=ORIENTED_EDGE('',*,*,#18203,.F.); +#18677=ORIENTED_EDGE('',*,*,#18666,.T.); +#18678=ORIENTED_EDGE('',*,*,#17785,.T.); +#18680=ORIENTED_EDGE('',*,*,#18679,.F.); +#18681=EDGE_LOOP('',(#18676,#18677,#18678,#18680)); +#18682=FACE_OUTER_BOUND('',#18681,.F.); +#18684=CARTESIAN_POINT('',(-2.341421356237E1,-4.5E0,-2.3E1)); +#18685=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18686=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18687=AXIS2_PLACEMENT_3D('',#18684,#18685,#18686); +#18688=PLANE('',#18687); +#18689=ORIENTED_EDGE('',*,*,#18205,.T.); +#18690=ORIENTED_EDGE('',*,*,#18679,.T.); +#18691=ORIENTED_EDGE('',*,*,#17783,.T.); +#18692=ORIENTED_EDGE('',*,*,#17744,.F.); +#18693=EDGE_LOOP('',(#18689,#18690,#18691,#18692)); +#18694=FACE_OUTER_BOUND('',#18693,.F.); +#18696=CARTESIAN_POINT('',(-2.47E1,-7.E0,-2.2E1)); +#18697=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18698=DIRECTION('',(1.E0,0.E0,0.E0)); +#18699=AXIS2_PLACEMENT_3D('',#18696,#18697,#18698); +#18700=PLANE('',#18699); +#18701=ORIENTED_EDGE('',*,*,#17721,.T.); +#18702=ORIENTED_EDGE('',*,*,#18362,.T.); +#18703=ORIENTED_EDGE('',*,*,#18153,.F.); +#18704=ORIENTED_EDGE('',*,*,#17740,.F.); +#18705=EDGE_LOOP('',(#18701,#18702,#18703,#18704)); +#18706=FACE_OUTER_BOUND('',#18705,.F.); +#18708=CARTESIAN_POINT('',(2.47E1,7.E0,-2.2E1)); +#18709=DIRECTION('',(0.E0,1.E0,0.E0)); +#18710=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18711=AXIS2_PLACEMENT_3D('',#18708,#18709,#18710); +#18712=PLANE('',#18711); +#18713=ORIENTED_EDGE('',*,*,#17717,.T.); +#18714=ORIENTED_EDGE('',*,*,#17737,.T.); +#18715=ORIENTED_EDGE('',*,*,#18115,.F.); +#18716=ORIENTED_EDGE('',*,*,#18365,.F.); +#18717=EDGE_LOOP('',(#18713,#18714,#18715,#18716)); +#18718=FACE_OUTER_BOUND('',#18717,.F.); +#18720=CARTESIAN_POINT('',(-2.47E1,4.5E0,-2.3E1)); +#18721=DIRECTION('',(0.E0,1.E0,0.E0)); +#18722=DIRECTION('',(1.E0,0.E0,0.E0)); +#18723=AXIS2_PLACEMENT_3D('',#18720,#18721,#18722); +#18724=PLANE('',#18723); +#18725=ORIENTED_EDGE('',*,*,#18118,.F.); +#18726=ORIENTED_EDGE('',*,*,#17733,.T.); +#18727=ORIENTED_EDGE('',*,*,#17780,.T.); +#18729=ORIENTED_EDGE('',*,*,#18728,.F.); +#18730=EDGE_LOOP('',(#18725,#18726,#18727,#18729)); +#18731=FACE_OUTER_BOUND('',#18730,.F.); +#18733=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.3E1)); +#18734=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18735=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18736=AXIS2_PLACEMENT_3D('',#18733,#18734,#18735); +#18737=CYLINDRICAL_SURFACE('',#18736,1.5E0); +#18738=ORIENTED_EDGE('',*,*,#18120,.F.); +#18739=ORIENTED_EDGE('',*,*,#18728,.T.); +#18740=ORIENTED_EDGE('',*,*,#17778,.T.); +#18742=ORIENTED_EDGE('',*,*,#18741,.F.); +#18743=EDGE_LOOP('',(#18738,#18739,#18740,#18742)); +#18744=FACE_OUTER_BOUND('',#18743,.F.); +#18746=CARTESIAN_POINT('',(-2.058578643763E1,4.5E0,-2.3E1)); +#18747=DIRECTION('',(0.E0,1.E0,0.E0)); +#18748=DIRECTION('',(1.E0,0.E0,0.E0)); +#18749=AXIS2_PLACEMENT_3D('',#18746,#18747,#18748); +#18750=PLANE('',#18749); +#18751=ORIENTED_EDGE('',*,*,#18122,.F.); +#18752=ORIENTED_EDGE('',*,*,#18741,.T.); +#18753=ORIENTED_EDGE('',*,*,#17776,.T.); +#18755=ORIENTED_EDGE('',*,*,#18754,.F.); +#18756=EDGE_LOOP('',(#18751,#18752,#18753,#18755)); +#18757=FACE_OUTER_BOUND('',#18756,.F.); +#18759=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.3E1)); +#18760=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18761=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18762=AXIS2_PLACEMENT_3D('',#18759,#18760,#18761); +#18763=CYLINDRICAL_SURFACE('',#18762,1.5E0); +#18764=ORIENTED_EDGE('',*,*,#18124,.F.); +#18765=ORIENTED_EDGE('',*,*,#18754,.T.); +#18766=ORIENTED_EDGE('',*,*,#17774,.T.); +#18768=ORIENTED_EDGE('',*,*,#18767,.F.); +#18769=EDGE_LOOP('',(#18764,#18765,#18766,#18768)); +#18770=FACE_OUTER_BOUND('',#18769,.F.); +#18772=CARTESIAN_POINT('',(-1.658578643763E1,4.5E0,-2.3E1)); +#18773=DIRECTION('',(0.E0,1.E0,0.E0)); +#18774=DIRECTION('',(1.E0,0.E0,0.E0)); +#18775=AXIS2_PLACEMENT_3D('',#18772,#18773,#18774); +#18776=PLANE('',#18775); +#18777=ORIENTED_EDGE('',*,*,#18126,.F.); +#18778=ORIENTED_EDGE('',*,*,#18767,.T.); +#18779=ORIENTED_EDGE('',*,*,#17772,.T.); +#18781=ORIENTED_EDGE('',*,*,#18780,.F.); +#18782=EDGE_LOOP('',(#18777,#18778,#18779,#18781)); +#18783=FACE_OUTER_BOUND('',#18782,.F.); +#18785=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.3E1)); +#18786=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18787=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18788=AXIS2_PLACEMENT_3D('',#18785,#18786,#18787); +#18789=CYLINDRICAL_SURFACE('',#18788,1.5E0); +#18790=ORIENTED_EDGE('',*,*,#18128,.F.); +#18791=ORIENTED_EDGE('',*,*,#18780,.T.); +#18792=ORIENTED_EDGE('',*,*,#17770,.T.); +#18794=ORIENTED_EDGE('',*,*,#18793,.F.); +#18795=EDGE_LOOP('',(#18790,#18791,#18792,#18794)); +#18796=FACE_OUTER_BOUND('',#18795,.F.); +#18798=CARTESIAN_POINT('',(-1.258578643763E1,4.5E0,-2.3E1)); +#18799=DIRECTION('',(0.E0,1.E0,0.E0)); +#18800=DIRECTION('',(1.E0,0.E0,0.E0)); +#18801=AXIS2_PLACEMENT_3D('',#18798,#18799,#18800); +#18802=PLANE('',#18801); +#18803=ORIENTED_EDGE('',*,*,#18130,.F.); +#18804=ORIENTED_EDGE('',*,*,#18793,.T.); +#18805=ORIENTED_EDGE('',*,*,#17768,.T.); +#18807=ORIENTED_EDGE('',*,*,#18806,.F.); +#18808=EDGE_LOOP('',(#18803,#18804,#18805,#18807)); +#18809=FACE_OUTER_BOUND('',#18808,.F.); +#18811=CARTESIAN_POINT('',(-1.E1,4.E0,-2.3E1)); +#18812=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18813=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18814=AXIS2_PLACEMENT_3D('',#18811,#18812,#18813); +#18815=CYLINDRICAL_SURFACE('',#18814,1.5E0); +#18816=ORIENTED_EDGE('',*,*,#18132,.F.); +#18817=ORIENTED_EDGE('',*,*,#18806,.T.); +#18818=ORIENTED_EDGE('',*,*,#17766,.T.); +#18820=ORIENTED_EDGE('',*,*,#18819,.F.); +#18821=EDGE_LOOP('',(#18816,#18817,#18818,#18820)); +#18822=FACE_OUTER_BOUND('',#18821,.F.); +#18824=CARTESIAN_POINT('',(-8.585786437627E0,4.5E0,-2.3E1)); +#18825=DIRECTION('',(0.E0,1.E0,0.E0)); +#18826=DIRECTION('',(1.E0,0.E0,0.E0)); +#18827=AXIS2_PLACEMENT_3D('',#18824,#18825,#18826); +#18828=PLANE('',#18827); +#18829=ORIENTED_EDGE('',*,*,#18134,.F.); +#18830=ORIENTED_EDGE('',*,*,#18819,.T.); +#18831=ORIENTED_EDGE('',*,*,#17764,.T.); +#18833=ORIENTED_EDGE('',*,*,#18832,.F.); +#18834=EDGE_LOOP('',(#18829,#18830,#18831,#18833)); +#18835=FACE_OUTER_BOUND('',#18834,.F.); +#18837=CARTESIAN_POINT('',(-6.E0,4.E0,-2.3E1)); +#18838=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18839=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18840=AXIS2_PLACEMENT_3D('',#18837,#18838,#18839); +#18841=CYLINDRICAL_SURFACE('',#18840,1.5E0); +#18842=ORIENTED_EDGE('',*,*,#18136,.F.); +#18843=ORIENTED_EDGE('',*,*,#18832,.T.); +#18844=ORIENTED_EDGE('',*,*,#17762,.T.); +#18846=ORIENTED_EDGE('',*,*,#18845,.F.); +#18847=EDGE_LOOP('',(#18842,#18843,#18844,#18846)); +#18848=FACE_OUTER_BOUND('',#18847,.F.); +#18850=CARTESIAN_POINT('',(-4.585786437627E0,4.5E0,-2.3E1)); +#18851=DIRECTION('',(0.E0,1.E0,0.E0)); +#18852=DIRECTION('',(1.E0,0.E0,0.E0)); +#18853=AXIS2_PLACEMENT_3D('',#18850,#18851,#18852); +#18854=PLANE('',#18853); +#18855=ORIENTED_EDGE('',*,*,#18138,.F.); +#18856=ORIENTED_EDGE('',*,*,#18845,.T.); +#18857=ORIENTED_EDGE('',*,*,#17760,.T.); +#18859=ORIENTED_EDGE('',*,*,#18858,.F.); +#18860=EDGE_LOOP('',(#18855,#18856,#18857,#18859)); +#18861=FACE_OUTER_BOUND('',#18860,.F.); +#18863=CARTESIAN_POINT('',(-2.E0,4.E0,-2.3E1)); +#18864=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18865=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18866=AXIS2_PLACEMENT_3D('',#18863,#18864,#18865); +#18867=CYLINDRICAL_SURFACE('',#18866,1.5E0); +#18868=ORIENTED_EDGE('',*,*,#18140,.F.); +#18869=ORIENTED_EDGE('',*,*,#18858,.T.); +#18870=ORIENTED_EDGE('',*,*,#17758,.T.); +#18872=ORIENTED_EDGE('',*,*,#18871,.F.); +#18873=EDGE_LOOP('',(#18868,#18869,#18870,#18872)); +#18874=FACE_OUTER_BOUND('',#18873,.F.); +#18876=CARTESIAN_POINT('',(-5.857864376269E-1,4.5E0,-2.3E1)); +#18877=DIRECTION('',(0.E0,1.E0,0.E0)); +#18878=DIRECTION('',(1.E0,0.E0,0.E0)); +#18879=AXIS2_PLACEMENT_3D('',#18876,#18877,#18878); +#18880=PLANE('',#18879); +#18881=ORIENTED_EDGE('',*,*,#18142,.F.); +#18882=ORIENTED_EDGE('',*,*,#18871,.T.); +#18883=ORIENTED_EDGE('',*,*,#17756,.T.); +#18884=ORIENTED_EDGE('',*,*,#18077,.F.); +#18885=EDGE_LOOP('',(#18881,#18882,#18883,#18884)); +#18886=FACE_OUTER_BOUND('',#18885,.F.); +#18888=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.6E1)); +#18889=DIRECTION('',(0.E0,0.E0,1.E0)); +#18890=DIRECTION('',(1.E0,0.E0,0.E0)); +#18891=AXIS2_PLACEMENT_3D('',#18888,#18889,#18890); +#18892=CYLINDRICAL_SURFACE('',#18891,6.5E-1); +#18893=ORIENTED_EDGE('',*,*,#17859,.T.); +#18895=ORIENTED_EDGE('',*,*,#18894,.T.); +#18897=ORIENTED_EDGE('',*,*,#18896,.F.); +#18899=ORIENTED_EDGE('',*,*,#18898,.F.); +#18900=EDGE_LOOP('',(#18893,#18895,#18897,#18899)); +#18901=FACE_OUTER_BOUND('',#18900,.F.); +#18903=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.6E1)); +#18904=DIRECTION('',(0.E0,0.E0,1.E0)); +#18905=DIRECTION('',(1.E0,0.E0,0.E0)); +#18906=AXIS2_PLACEMENT_3D('',#18903,#18904,#18905); +#18907=CYLINDRICAL_SURFACE('',#18906,6.5E-1); +#18908=ORIENTED_EDGE('',*,*,#17861,.T.); +#18909=ORIENTED_EDGE('',*,*,#18898,.T.); +#18911=ORIENTED_EDGE('',*,*,#18910,.F.); +#18912=ORIENTED_EDGE('',*,*,#18894,.F.); +#18913=EDGE_LOOP('',(#18908,#18909,#18911,#18912)); +#18914=FACE_OUTER_BOUND('',#18913,.F.); +#18916=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#18917=DIRECTION('',(0.E0,0.E0,1.E0)); +#18918=DIRECTION('',(1.E0,0.E0,0.E0)); +#18919=AXIS2_PLACEMENT_3D('',#18916,#18917,#18918); +#18920=PLANE('',#18919); +#18921=ORIENTED_EDGE('',*,*,#18896,.T.); +#18922=ORIENTED_EDGE('',*,*,#18910,.T.); +#18923=EDGE_LOOP('',(#18921,#18922)); +#18924=FACE_OUTER_BOUND('',#18923,.F.); +#18926=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#18927=DIRECTION('',(0.E0,0.E0,1.E0)); +#18928=DIRECTION('',(1.E0,0.E0,0.E0)); +#18929=AXIS2_PLACEMENT_3D('',#18926,#18927,#18928); +#18930=PLANE('',#18929); +#18932=ORIENTED_EDGE('',*,*,#18931,.T.); +#18934=ORIENTED_EDGE('',*,*,#18933,.T.); +#18935=EDGE_LOOP('',(#18932,#18934)); +#18936=FACE_OUTER_BOUND('',#18935,.F.); +#18938=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#18939=DIRECTION('',(0.E0,0.E0,1.E0)); +#18940=DIRECTION('',(1.E0,0.E0,0.E0)); +#18941=AXIS2_PLACEMENT_3D('',#18938,#18939,#18940); +#18942=PLANE('',#18941); +#18944=ORIENTED_EDGE('',*,*,#18943,.T.); +#18946=ORIENTED_EDGE('',*,*,#18945,.T.); +#18947=EDGE_LOOP('',(#18944,#18946)); +#18948=FACE_OUTER_BOUND('',#18947,.F.); +#18950=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#18951=DIRECTION('',(0.E0,0.E0,1.E0)); +#18952=DIRECTION('',(1.E0,0.E0,0.E0)); +#18953=AXIS2_PLACEMENT_3D('',#18950,#18951,#18952); +#18954=PLANE('',#18953); +#18956=ORIENTED_EDGE('',*,*,#18955,.T.); +#18958=ORIENTED_EDGE('',*,*,#18957,.T.); +#18959=EDGE_LOOP('',(#18956,#18958)); +#18960=FACE_OUTER_BOUND('',#18959,.F.); +#18962=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#18963=DIRECTION('',(0.E0,0.E0,1.E0)); +#18964=DIRECTION('',(1.E0,0.E0,0.E0)); +#18965=AXIS2_PLACEMENT_3D('',#18962,#18963,#18964); +#18966=PLANE('',#18965); +#18968=ORIENTED_EDGE('',*,*,#18967,.T.); +#18970=ORIENTED_EDGE('',*,*,#18969,.T.); +#18971=EDGE_LOOP('',(#18968,#18970)); +#18972=FACE_OUTER_BOUND('',#18971,.F.); +#18974=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#18975=DIRECTION('',(0.E0,0.E0,1.E0)); +#18976=DIRECTION('',(1.E0,0.E0,0.E0)); +#18977=AXIS2_PLACEMENT_3D('',#18974,#18975,#18976); +#18978=PLANE('',#18977); +#18980=ORIENTED_EDGE('',*,*,#18979,.T.); +#18982=ORIENTED_EDGE('',*,*,#18981,.T.); +#18983=EDGE_LOOP('',(#18980,#18982)); +#18984=FACE_OUTER_BOUND('',#18983,.F.); +#18986=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#18987=DIRECTION('',(0.E0,0.E0,1.E0)); +#18988=DIRECTION('',(1.E0,0.E0,0.E0)); +#18989=AXIS2_PLACEMENT_3D('',#18986,#18987,#18988); +#18990=PLANE('',#18989); +#18992=ORIENTED_EDGE('',*,*,#18991,.T.); +#18994=ORIENTED_EDGE('',*,*,#18993,.T.); +#18995=EDGE_LOOP('',(#18992,#18994)); +#18996=FACE_OUTER_BOUND('',#18995,.F.); +#18998=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#18999=DIRECTION('',(0.E0,0.E0,1.E0)); +#19000=DIRECTION('',(1.E0,0.E0,0.E0)); +#19001=AXIS2_PLACEMENT_3D('',#18998,#18999,#19000); +#19002=PLANE('',#19001); +#19004=ORIENTED_EDGE('',*,*,#19003,.T.); +#19006=ORIENTED_EDGE('',*,*,#19005,.T.); +#19007=EDGE_LOOP('',(#19004,#19006)); +#19008=FACE_OUTER_BOUND('',#19007,.F.); +#19010=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19011=DIRECTION('',(0.E0,0.E0,1.E0)); +#19012=DIRECTION('',(1.E0,0.E0,0.E0)); +#19013=AXIS2_PLACEMENT_3D('',#19010,#19011,#19012); +#19014=PLANE('',#19013); +#19016=ORIENTED_EDGE('',*,*,#19015,.T.); +#19018=ORIENTED_EDGE('',*,*,#19017,.T.); +#19019=EDGE_LOOP('',(#19016,#19018)); +#19020=FACE_OUTER_BOUND('',#19019,.F.); +#19022=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19023=DIRECTION('',(0.E0,0.E0,1.E0)); +#19024=DIRECTION('',(1.E0,0.E0,0.E0)); +#19025=AXIS2_PLACEMENT_3D('',#19022,#19023,#19024); +#19026=PLANE('',#19025); +#19028=ORIENTED_EDGE('',*,*,#19027,.T.); +#19030=ORIENTED_EDGE('',*,*,#19029,.T.); +#19031=EDGE_LOOP('',(#19028,#19030)); +#19032=FACE_OUTER_BOUND('',#19031,.F.); +#19034=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19035=DIRECTION('',(0.E0,0.E0,1.E0)); +#19036=DIRECTION('',(1.E0,0.E0,0.E0)); +#19037=AXIS2_PLACEMENT_3D('',#19034,#19035,#19036); +#19038=PLANE('',#19037); +#19040=ORIENTED_EDGE('',*,*,#19039,.T.); +#19042=ORIENTED_EDGE('',*,*,#19041,.T.); +#19043=EDGE_LOOP('',(#19040,#19042)); +#19044=FACE_OUTER_BOUND('',#19043,.F.); +#19046=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19047=DIRECTION('',(0.E0,0.E0,1.E0)); +#19048=DIRECTION('',(1.E0,0.E0,0.E0)); +#19049=AXIS2_PLACEMENT_3D('',#19046,#19047,#19048); +#19050=PLANE('',#19049); +#19052=ORIENTED_EDGE('',*,*,#19051,.T.); +#19054=ORIENTED_EDGE('',*,*,#19053,.T.); +#19055=EDGE_LOOP('',(#19052,#19054)); +#19056=FACE_OUTER_BOUND('',#19055,.F.); +#19058=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19059=DIRECTION('',(0.E0,0.E0,1.E0)); +#19060=DIRECTION('',(1.E0,0.E0,0.E0)); +#19061=AXIS2_PLACEMENT_3D('',#19058,#19059,#19060); +#19062=PLANE('',#19061); +#19064=ORIENTED_EDGE('',*,*,#19063,.T.); +#19066=ORIENTED_EDGE('',*,*,#19065,.T.); +#19067=EDGE_LOOP('',(#19064,#19066)); +#19068=FACE_OUTER_BOUND('',#19067,.F.); +#19070=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19071=DIRECTION('',(0.E0,0.E0,1.E0)); +#19072=DIRECTION('',(1.E0,0.E0,0.E0)); +#19073=AXIS2_PLACEMENT_3D('',#19070,#19071,#19072); +#19074=PLANE('',#19073); +#19076=ORIENTED_EDGE('',*,*,#19075,.T.); +#19078=ORIENTED_EDGE('',*,*,#19077,.T.); +#19079=EDGE_LOOP('',(#19076,#19078)); +#19080=FACE_OUTER_BOUND('',#19079,.F.); +#19082=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19083=DIRECTION('',(0.E0,0.E0,1.E0)); +#19084=DIRECTION('',(1.E0,0.E0,0.E0)); +#19085=AXIS2_PLACEMENT_3D('',#19082,#19083,#19084); +#19086=PLANE('',#19085); +#19088=ORIENTED_EDGE('',*,*,#19087,.T.); +#19090=ORIENTED_EDGE('',*,*,#19089,.T.); +#19091=EDGE_LOOP('',(#19088,#19090)); +#19092=FACE_OUTER_BOUND('',#19091,.F.); +#19094=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19095=DIRECTION('',(0.E0,0.E0,1.E0)); +#19096=DIRECTION('',(1.E0,0.E0,0.E0)); +#19097=AXIS2_PLACEMENT_3D('',#19094,#19095,#19096); +#19098=PLANE('',#19097); +#19100=ORIENTED_EDGE('',*,*,#19099,.T.); +#19102=ORIENTED_EDGE('',*,*,#19101,.T.); +#19103=EDGE_LOOP('',(#19100,#19102)); +#19104=FACE_OUTER_BOUND('',#19103,.F.); +#19106=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19107=DIRECTION('',(0.E0,0.E0,1.E0)); +#19108=DIRECTION('',(1.E0,0.E0,0.E0)); +#19109=AXIS2_PLACEMENT_3D('',#19106,#19107,#19108); +#19110=PLANE('',#19109); +#19112=ORIENTED_EDGE('',*,*,#19111,.T.); +#19114=ORIENTED_EDGE('',*,*,#19113,.T.); +#19115=EDGE_LOOP('',(#19112,#19114)); +#19116=FACE_OUTER_BOUND('',#19115,.F.); +#19118=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19119=DIRECTION('',(0.E0,0.E0,1.E0)); +#19120=DIRECTION('',(1.E0,0.E0,0.E0)); +#19121=AXIS2_PLACEMENT_3D('',#19118,#19119,#19120); +#19122=PLANE('',#19121); +#19124=ORIENTED_EDGE('',*,*,#19123,.T.); +#19126=ORIENTED_EDGE('',*,*,#19125,.T.); +#19127=EDGE_LOOP('',(#19124,#19126)); +#19128=FACE_OUTER_BOUND('',#19127,.F.); +#19130=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19131=DIRECTION('',(0.E0,0.E0,1.E0)); +#19132=DIRECTION('',(1.E0,0.E0,0.E0)); +#19133=AXIS2_PLACEMENT_3D('',#19130,#19131,#19132); +#19134=PLANE('',#19133); +#19136=ORIENTED_EDGE('',*,*,#19135,.T.); +#19138=ORIENTED_EDGE('',*,*,#19137,.T.); +#19139=EDGE_LOOP('',(#19136,#19138)); +#19140=FACE_OUTER_BOUND('',#19139,.F.); +#19142=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19143=DIRECTION('',(0.E0,0.E0,1.E0)); +#19144=DIRECTION('',(1.E0,0.E0,0.E0)); +#19145=AXIS2_PLACEMENT_3D('',#19142,#19143,#19144); +#19146=PLANE('',#19145); +#19148=ORIENTED_EDGE('',*,*,#19147,.T.); +#19150=ORIENTED_EDGE('',*,*,#19149,.T.); +#19151=EDGE_LOOP('',(#19148,#19150)); +#19152=FACE_OUTER_BOUND('',#19151,.F.); +#19154=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19155=DIRECTION('',(0.E0,0.E0,1.E0)); +#19156=DIRECTION('',(1.E0,0.E0,0.E0)); +#19157=AXIS2_PLACEMENT_3D('',#19154,#19155,#19156); +#19158=PLANE('',#19157); +#19160=ORIENTED_EDGE('',*,*,#19159,.T.); +#19162=ORIENTED_EDGE('',*,*,#19161,.T.); +#19163=EDGE_LOOP('',(#19160,#19162)); +#19164=FACE_OUTER_BOUND('',#19163,.F.); +#19166=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19167=DIRECTION('',(0.E0,0.E0,1.E0)); +#19168=DIRECTION('',(1.E0,0.E0,0.E0)); +#19169=AXIS2_PLACEMENT_3D('',#19166,#19167,#19168); +#19170=PLANE('',#19169); +#19172=ORIENTED_EDGE('',*,*,#19171,.T.); +#19174=ORIENTED_EDGE('',*,*,#19173,.T.); +#19175=EDGE_LOOP('',(#19172,#19174)); +#19176=FACE_OUTER_BOUND('',#19175,.F.); +#19178=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19179=DIRECTION('',(0.E0,0.E0,1.E0)); +#19180=DIRECTION('',(1.E0,0.E0,0.E0)); +#19181=AXIS2_PLACEMENT_3D('',#19178,#19179,#19180); +#19182=PLANE('',#19181); +#19184=ORIENTED_EDGE('',*,*,#19183,.T.); +#19186=ORIENTED_EDGE('',*,*,#19185,.T.); +#19187=EDGE_LOOP('',(#19184,#19186)); +#19188=FACE_OUTER_BOUND('',#19187,.F.); +#19190=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19191=DIRECTION('',(0.E0,0.E0,1.E0)); +#19192=DIRECTION('',(1.E0,0.E0,0.E0)); +#19193=AXIS2_PLACEMENT_3D('',#19190,#19191,#19192); +#19194=PLANE('',#19193); +#19196=ORIENTED_EDGE('',*,*,#19195,.T.); +#19198=ORIENTED_EDGE('',*,*,#19197,.T.); +#19199=EDGE_LOOP('',(#19196,#19198)); +#19200=FACE_OUTER_BOUND('',#19199,.F.); +#19202=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19203=DIRECTION('',(0.E0,0.E0,1.E0)); +#19204=DIRECTION('',(1.E0,0.E0,0.E0)); +#19205=AXIS2_PLACEMENT_3D('',#19202,#19203,#19204); +#19206=PLANE('',#19205); +#19208=ORIENTED_EDGE('',*,*,#19207,.T.); +#19210=ORIENTED_EDGE('',*,*,#19209,.T.); +#19211=EDGE_LOOP('',(#19208,#19210)); +#19212=FACE_OUTER_BOUND('',#19211,.F.); +#19214=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19215=DIRECTION('',(0.E0,0.E0,1.E0)); +#19216=DIRECTION('',(1.E0,0.E0,0.E0)); +#19217=AXIS2_PLACEMENT_3D('',#19214,#19215,#19216); +#19218=PLANE('',#19217); +#19220=ORIENTED_EDGE('',*,*,#19219,.T.); +#19222=ORIENTED_EDGE('',*,*,#19221,.T.); +#19223=EDGE_LOOP('',(#19220,#19222)); +#19224=FACE_OUTER_BOUND('',#19223,.F.); +#19226=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19227=DIRECTION('',(0.E0,0.E0,1.E0)); +#19228=DIRECTION('',(1.E0,0.E0,0.E0)); +#19229=AXIS2_PLACEMENT_3D('',#19226,#19227,#19228); +#19230=PLANE('',#19229); +#19232=ORIENTED_EDGE('',*,*,#19231,.T.); +#19234=ORIENTED_EDGE('',*,*,#19233,.T.); +#19235=EDGE_LOOP('',(#19232,#19234)); +#19236=FACE_OUTER_BOUND('',#19235,.F.); +#19238=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19239=DIRECTION('',(0.E0,0.E0,1.E0)); +#19240=DIRECTION('',(1.E0,0.E0,0.E0)); +#19241=AXIS2_PLACEMENT_3D('',#19238,#19239,#19240); +#19242=PLANE('',#19241); +#19244=ORIENTED_EDGE('',*,*,#19243,.T.); +#19246=ORIENTED_EDGE('',*,*,#19245,.T.); +#19247=EDGE_LOOP('',(#19244,#19246)); +#19248=FACE_OUTER_BOUND('',#19247,.F.); +#19250=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19251=DIRECTION('',(0.E0,0.E0,1.E0)); +#19252=DIRECTION('',(1.E0,0.E0,0.E0)); +#19253=AXIS2_PLACEMENT_3D('',#19250,#19251,#19252); +#19254=PLANE('',#19253); +#19256=ORIENTED_EDGE('',*,*,#19255,.T.); +#19258=ORIENTED_EDGE('',*,*,#19257,.T.); +#19259=EDGE_LOOP('',(#19256,#19258)); +#19260=FACE_OUTER_BOUND('',#19259,.F.); +#19262=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19263=DIRECTION('',(0.E0,0.E0,1.E0)); +#19264=DIRECTION('',(1.E0,0.E0,0.E0)); +#19265=AXIS2_PLACEMENT_3D('',#19262,#19263,#19264); +#19266=PLANE('',#19265); +#19268=ORIENTED_EDGE('',*,*,#19267,.T.); +#19270=ORIENTED_EDGE('',*,*,#19269,.T.); +#19271=EDGE_LOOP('',(#19268,#19270)); +#19272=FACE_OUTER_BOUND('',#19271,.F.); +#19274=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19275=DIRECTION('',(0.E0,0.E0,1.E0)); +#19276=DIRECTION('',(1.E0,0.E0,0.E0)); +#19277=AXIS2_PLACEMENT_3D('',#19274,#19275,#19276); +#19278=PLANE('',#19277); +#19280=ORIENTED_EDGE('',*,*,#19279,.T.); +#19282=ORIENTED_EDGE('',*,*,#19281,.T.); +#19283=EDGE_LOOP('',(#19280,#19282)); +#19284=FACE_OUTER_BOUND('',#19283,.F.); +#19286=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19287=DIRECTION('',(0.E0,0.E0,1.E0)); +#19288=DIRECTION('',(1.E0,0.E0,0.E0)); +#19289=AXIS2_PLACEMENT_3D('',#19286,#19287,#19288); +#19290=PLANE('',#19289); +#19292=ORIENTED_EDGE('',*,*,#19291,.T.); +#19294=ORIENTED_EDGE('',*,*,#19293,.T.); +#19295=EDGE_LOOP('',(#19292,#19294)); +#19296=FACE_OUTER_BOUND('',#19295,.F.); +#19298=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19299=DIRECTION('',(0.E0,0.E0,1.E0)); +#19300=DIRECTION('',(1.E0,0.E0,0.E0)); +#19301=AXIS2_PLACEMENT_3D('',#19298,#19299,#19300); +#19302=PLANE('',#19301); +#19304=ORIENTED_EDGE('',*,*,#19303,.T.); +#19306=ORIENTED_EDGE('',*,*,#19305,.T.); +#19307=EDGE_LOOP('',(#19304,#19306)); +#19308=FACE_OUTER_BOUND('',#19307,.F.); +#19310=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19311=DIRECTION('',(0.E0,0.E0,1.E0)); +#19312=DIRECTION('',(1.E0,0.E0,0.E0)); +#19313=AXIS2_PLACEMENT_3D('',#19310,#19311,#19312); +#19314=PLANE('',#19313); +#19316=ORIENTED_EDGE('',*,*,#19315,.T.); +#19318=ORIENTED_EDGE('',*,*,#19317,.T.); +#19319=EDGE_LOOP('',(#19316,#19318)); +#19320=FACE_OUTER_BOUND('',#19319,.F.); +#19322=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19323=DIRECTION('',(0.E0,0.E0,1.E0)); +#19324=DIRECTION('',(1.E0,0.E0,0.E0)); +#19325=AXIS2_PLACEMENT_3D('',#19322,#19323,#19324); +#19326=PLANE('',#19325); +#19328=ORIENTED_EDGE('',*,*,#19327,.T.); +#19330=ORIENTED_EDGE('',*,*,#19329,.T.); +#19331=EDGE_LOOP('',(#19328,#19330)); +#19332=FACE_OUTER_BOUND('',#19331,.F.); +#19334=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.6E1)); +#19335=DIRECTION('',(0.E0,0.E0,1.E0)); +#19336=DIRECTION('',(1.E0,0.E0,0.E0)); +#19337=AXIS2_PLACEMENT_3D('',#19334,#19335,#19336); +#19338=CYLINDRICAL_SURFACE('',#19337,6.5E-1); +#19339=ORIENTED_EDGE('',*,*,#17865,.T.); +#19341=ORIENTED_EDGE('',*,*,#19340,.T.); +#19342=ORIENTED_EDGE('',*,*,#18931,.F.); +#19344=ORIENTED_EDGE('',*,*,#19343,.F.); +#19345=EDGE_LOOP('',(#19339,#19341,#19342,#19344)); +#19346=FACE_OUTER_BOUND('',#19345,.F.); +#19348=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.6E1)); +#19349=DIRECTION('',(0.E0,0.E0,1.E0)); +#19350=DIRECTION('',(1.E0,0.E0,0.E0)); +#19351=AXIS2_PLACEMENT_3D('',#19348,#19349,#19350); +#19352=CYLINDRICAL_SURFACE('',#19351,6.5E-1); +#19353=ORIENTED_EDGE('',*,*,#17867,.T.); +#19354=ORIENTED_EDGE('',*,*,#19343,.T.); +#19355=ORIENTED_EDGE('',*,*,#18933,.F.); +#19356=ORIENTED_EDGE('',*,*,#19340,.F.); +#19357=EDGE_LOOP('',(#19353,#19354,#19355,#19356)); +#19358=FACE_OUTER_BOUND('',#19357,.F.); +#19360=CARTESIAN_POINT('',(-6.E0,4.E0,-2.6E1)); +#19361=DIRECTION('',(0.E0,0.E0,1.E0)); +#19362=DIRECTION('',(1.E0,0.E0,0.E0)); +#19363=AXIS2_PLACEMENT_3D('',#19360,#19361,#19362); +#19364=CYLINDRICAL_SURFACE('',#19363,6.5E-1); +#19365=ORIENTED_EDGE('',*,*,#17871,.T.); +#19367=ORIENTED_EDGE('',*,*,#19366,.T.); +#19368=ORIENTED_EDGE('',*,*,#18943,.F.); +#19370=ORIENTED_EDGE('',*,*,#19369,.F.); +#19371=EDGE_LOOP('',(#19365,#19367,#19368,#19370)); +#19372=FACE_OUTER_BOUND('',#19371,.F.); +#19374=CARTESIAN_POINT('',(-6.E0,4.E0,-2.6E1)); +#19375=DIRECTION('',(0.E0,0.E0,1.E0)); +#19376=DIRECTION('',(1.E0,0.E0,0.E0)); +#19377=AXIS2_PLACEMENT_3D('',#19374,#19375,#19376); +#19378=CYLINDRICAL_SURFACE('',#19377,6.5E-1); +#19379=ORIENTED_EDGE('',*,*,#17873,.T.); +#19380=ORIENTED_EDGE('',*,*,#19369,.T.); +#19381=ORIENTED_EDGE('',*,*,#18945,.F.); +#19382=ORIENTED_EDGE('',*,*,#19366,.F.); +#19383=EDGE_LOOP('',(#19379,#19380,#19381,#19382)); +#19384=FACE_OUTER_BOUND('',#19383,.F.); +#19386=CARTESIAN_POINT('',(-2.E0,4.E0,-2.6E1)); +#19387=DIRECTION('',(0.E0,0.E0,1.E0)); +#19388=DIRECTION('',(1.E0,0.E0,0.E0)); +#19389=AXIS2_PLACEMENT_3D('',#19386,#19387,#19388); +#19390=CYLINDRICAL_SURFACE('',#19389,6.5E-1); +#19391=ORIENTED_EDGE('',*,*,#17877,.T.); +#19393=ORIENTED_EDGE('',*,*,#19392,.T.); +#19394=ORIENTED_EDGE('',*,*,#18955,.F.); +#19396=ORIENTED_EDGE('',*,*,#19395,.F.); +#19397=EDGE_LOOP('',(#19391,#19393,#19394,#19396)); +#19398=FACE_OUTER_BOUND('',#19397,.F.); +#19400=CARTESIAN_POINT('',(-2.E0,4.E0,-2.6E1)); +#19401=DIRECTION('',(0.E0,0.E0,1.E0)); +#19402=DIRECTION('',(1.E0,0.E0,0.E0)); +#19403=AXIS2_PLACEMENT_3D('',#19400,#19401,#19402); +#19404=CYLINDRICAL_SURFACE('',#19403,6.5E-1); +#19405=ORIENTED_EDGE('',*,*,#17879,.T.); +#19406=ORIENTED_EDGE('',*,*,#19395,.T.); +#19407=ORIENTED_EDGE('',*,*,#18957,.F.); +#19408=ORIENTED_EDGE('',*,*,#19392,.F.); +#19409=EDGE_LOOP('',(#19405,#19406,#19407,#19408)); +#19410=FACE_OUTER_BOUND('',#19409,.F.); +#19412=CARTESIAN_POINT('',(2.E0,4.E0,-2.6E1)); +#19413=DIRECTION('',(0.E0,0.E0,1.E0)); +#19414=DIRECTION('',(1.E0,0.E0,0.E0)); +#19415=AXIS2_PLACEMENT_3D('',#19412,#19413,#19414); +#19416=CYLINDRICAL_SURFACE('',#19415,6.5E-1); +#19417=ORIENTED_EDGE('',*,*,#17883,.T.); +#19419=ORIENTED_EDGE('',*,*,#19418,.T.); +#19420=ORIENTED_EDGE('',*,*,#18967,.F.); +#19422=ORIENTED_EDGE('',*,*,#19421,.F.); +#19423=EDGE_LOOP('',(#19417,#19419,#19420,#19422)); +#19424=FACE_OUTER_BOUND('',#19423,.F.); +#19426=CARTESIAN_POINT('',(2.E0,4.E0,-2.6E1)); +#19427=DIRECTION('',(0.E0,0.E0,1.E0)); +#19428=DIRECTION('',(1.E0,0.E0,0.E0)); +#19429=AXIS2_PLACEMENT_3D('',#19426,#19427,#19428); +#19430=CYLINDRICAL_SURFACE('',#19429,6.5E-1); +#19431=ORIENTED_EDGE('',*,*,#17885,.T.); +#19432=ORIENTED_EDGE('',*,*,#19421,.T.); +#19433=ORIENTED_EDGE('',*,*,#18969,.F.); +#19434=ORIENTED_EDGE('',*,*,#19418,.F.); +#19435=EDGE_LOOP('',(#19431,#19432,#19433,#19434)); +#19436=FACE_OUTER_BOUND('',#19435,.F.); +#19438=CARTESIAN_POINT('',(6.E0,4.E0,-2.6E1)); +#19439=DIRECTION('',(0.E0,0.E0,1.E0)); +#19440=DIRECTION('',(1.E0,0.E0,0.E0)); +#19441=AXIS2_PLACEMENT_3D('',#19438,#19439,#19440); +#19442=CYLINDRICAL_SURFACE('',#19441,6.5E-1); +#19443=ORIENTED_EDGE('',*,*,#17889,.T.); +#19445=ORIENTED_EDGE('',*,*,#19444,.T.); +#19446=ORIENTED_EDGE('',*,*,#18979,.F.); +#19448=ORIENTED_EDGE('',*,*,#19447,.F.); +#19449=EDGE_LOOP('',(#19443,#19445,#19446,#19448)); +#19450=FACE_OUTER_BOUND('',#19449,.F.); +#19452=CARTESIAN_POINT('',(6.E0,4.E0,-2.6E1)); +#19453=DIRECTION('',(0.E0,0.E0,1.E0)); +#19454=DIRECTION('',(1.E0,0.E0,0.E0)); +#19455=AXIS2_PLACEMENT_3D('',#19452,#19453,#19454); +#19456=CYLINDRICAL_SURFACE('',#19455,6.5E-1); +#19457=ORIENTED_EDGE('',*,*,#17891,.T.); +#19458=ORIENTED_EDGE('',*,*,#19447,.T.); +#19459=ORIENTED_EDGE('',*,*,#18981,.F.); +#19460=ORIENTED_EDGE('',*,*,#19444,.F.); +#19461=EDGE_LOOP('',(#19457,#19458,#19459,#19460)); +#19462=FACE_OUTER_BOUND('',#19461,.F.); +#19464=CARTESIAN_POINT('',(1.4E1,4.E0,-2.6E1)); +#19465=DIRECTION('',(0.E0,0.E0,1.E0)); +#19466=DIRECTION('',(1.E0,0.E0,0.E0)); +#19467=AXIS2_PLACEMENT_3D('',#19464,#19465,#19466); +#19468=CYLINDRICAL_SURFACE('',#19467,6.5E-1); +#19469=ORIENTED_EDGE('',*,*,#17895,.T.); +#19471=ORIENTED_EDGE('',*,*,#19470,.T.); +#19472=ORIENTED_EDGE('',*,*,#18991,.F.); +#19474=ORIENTED_EDGE('',*,*,#19473,.F.); +#19475=EDGE_LOOP('',(#19469,#19471,#19472,#19474)); +#19476=FACE_OUTER_BOUND('',#19475,.F.); +#19478=CARTESIAN_POINT('',(1.4E1,4.E0,-2.6E1)); +#19479=DIRECTION('',(0.E0,0.E0,1.E0)); +#19480=DIRECTION('',(1.E0,0.E0,0.E0)); +#19481=AXIS2_PLACEMENT_3D('',#19478,#19479,#19480); +#19482=CYLINDRICAL_SURFACE('',#19481,6.5E-1); +#19483=ORIENTED_EDGE('',*,*,#17897,.T.); +#19484=ORIENTED_EDGE('',*,*,#19473,.T.); +#19485=ORIENTED_EDGE('',*,*,#18993,.F.); +#19486=ORIENTED_EDGE('',*,*,#19470,.F.); +#19487=EDGE_LOOP('',(#19483,#19484,#19485,#19486)); +#19488=FACE_OUTER_BOUND('',#19487,.F.); +#19490=CARTESIAN_POINT('',(2.2E1,4.E0,-2.6E1)); +#19491=DIRECTION('',(0.E0,0.E0,1.E0)); +#19492=DIRECTION('',(1.E0,0.E0,0.E0)); +#19493=AXIS2_PLACEMENT_3D('',#19490,#19491,#19492); +#19494=CYLINDRICAL_SURFACE('',#19493,6.5E-1); +#19495=ORIENTED_EDGE('',*,*,#17901,.T.); +#19497=ORIENTED_EDGE('',*,*,#19496,.T.); +#19498=ORIENTED_EDGE('',*,*,#19003,.F.); +#19500=ORIENTED_EDGE('',*,*,#19499,.F.); +#19501=EDGE_LOOP('',(#19495,#19497,#19498,#19500)); +#19502=FACE_OUTER_BOUND('',#19501,.F.); +#19504=CARTESIAN_POINT('',(2.2E1,4.E0,-2.6E1)); +#19505=DIRECTION('',(0.E0,0.E0,1.E0)); +#19506=DIRECTION('',(1.E0,0.E0,0.E0)); +#19507=AXIS2_PLACEMENT_3D('',#19504,#19505,#19506); +#19508=CYLINDRICAL_SURFACE('',#19507,6.5E-1); +#19509=ORIENTED_EDGE('',*,*,#17903,.T.); +#19510=ORIENTED_EDGE('',*,*,#19499,.T.); +#19511=ORIENTED_EDGE('',*,*,#19005,.F.); +#19512=ORIENTED_EDGE('',*,*,#19496,.F.); +#19513=EDGE_LOOP('',(#19509,#19510,#19511,#19512)); +#19514=FACE_OUTER_BOUND('',#19513,.F.); +#19516=CARTESIAN_POINT('',(-2.E1,0.E0,-2.6E1)); +#19517=DIRECTION('',(0.E0,0.E0,1.E0)); +#19518=DIRECTION('',(1.E0,0.E0,0.E0)); +#19519=AXIS2_PLACEMENT_3D('',#19516,#19517,#19518); +#19520=CYLINDRICAL_SURFACE('',#19519,6.5E-1); +#19521=ORIENTED_EDGE('',*,*,#17907,.T.); +#19523=ORIENTED_EDGE('',*,*,#19522,.T.); +#19524=ORIENTED_EDGE('',*,*,#19015,.F.); +#19526=ORIENTED_EDGE('',*,*,#19525,.F.); +#19527=EDGE_LOOP('',(#19521,#19523,#19524,#19526)); +#19528=FACE_OUTER_BOUND('',#19527,.F.); +#19530=CARTESIAN_POINT('',(-2.E1,0.E0,-2.6E1)); +#19531=DIRECTION('',(0.E0,0.E0,1.E0)); +#19532=DIRECTION('',(1.E0,0.E0,0.E0)); +#19533=AXIS2_PLACEMENT_3D('',#19530,#19531,#19532); +#19534=CYLINDRICAL_SURFACE('',#19533,6.5E-1); +#19535=ORIENTED_EDGE('',*,*,#17909,.T.); +#19536=ORIENTED_EDGE('',*,*,#19525,.T.); +#19537=ORIENTED_EDGE('',*,*,#19017,.F.); +#19538=ORIENTED_EDGE('',*,*,#19522,.F.); +#19539=EDGE_LOOP('',(#19535,#19536,#19537,#19538)); +#19540=FACE_OUTER_BOUND('',#19539,.F.); +#19542=CARTESIAN_POINT('',(-1.6E1,0.E0,-2.6E1)); +#19543=DIRECTION('',(0.E0,0.E0,1.E0)); +#19544=DIRECTION('',(1.E0,0.E0,0.E0)); +#19545=AXIS2_PLACEMENT_3D('',#19542,#19543,#19544); +#19546=CYLINDRICAL_SURFACE('',#19545,6.5E-1); +#19547=ORIENTED_EDGE('',*,*,#17913,.T.); +#19549=ORIENTED_EDGE('',*,*,#19548,.T.); +#19550=ORIENTED_EDGE('',*,*,#19027,.F.); +#19552=ORIENTED_EDGE('',*,*,#19551,.F.); +#19553=EDGE_LOOP('',(#19547,#19549,#19550,#19552)); +#19554=FACE_OUTER_BOUND('',#19553,.F.); +#19556=CARTESIAN_POINT('',(-1.6E1,0.E0,-2.6E1)); +#19557=DIRECTION('',(0.E0,0.E0,1.E0)); +#19558=DIRECTION('',(1.E0,0.E0,0.E0)); +#19559=AXIS2_PLACEMENT_3D('',#19556,#19557,#19558); +#19560=CYLINDRICAL_SURFACE('',#19559,6.5E-1); +#19561=ORIENTED_EDGE('',*,*,#17915,.T.); +#19562=ORIENTED_EDGE('',*,*,#19551,.T.); +#19563=ORIENTED_EDGE('',*,*,#19029,.F.); +#19564=ORIENTED_EDGE('',*,*,#19548,.F.); +#19565=EDGE_LOOP('',(#19561,#19562,#19563,#19564)); +#19566=FACE_OUTER_BOUND('',#19565,.F.); +#19568=CARTESIAN_POINT('',(-4.E0,0.E0,-2.6E1)); +#19569=DIRECTION('',(0.E0,0.E0,1.E0)); +#19570=DIRECTION('',(1.E0,0.E0,0.E0)); +#19571=AXIS2_PLACEMENT_3D('',#19568,#19569,#19570); +#19572=CYLINDRICAL_SURFACE('',#19571,6.5E-1); +#19573=ORIENTED_EDGE('',*,*,#17919,.T.); +#19575=ORIENTED_EDGE('',*,*,#19574,.T.); +#19576=ORIENTED_EDGE('',*,*,#19039,.F.); +#19578=ORIENTED_EDGE('',*,*,#19577,.F.); +#19579=EDGE_LOOP('',(#19573,#19575,#19576,#19578)); +#19580=FACE_OUTER_BOUND('',#19579,.F.); +#19582=CARTESIAN_POINT('',(-4.E0,0.E0,-2.6E1)); +#19583=DIRECTION('',(0.E0,0.E0,1.E0)); +#19584=DIRECTION('',(1.E0,0.E0,0.E0)); +#19585=AXIS2_PLACEMENT_3D('',#19582,#19583,#19584); +#19586=CYLINDRICAL_SURFACE('',#19585,6.5E-1); +#19587=ORIENTED_EDGE('',*,*,#17921,.T.); +#19588=ORIENTED_EDGE('',*,*,#19577,.T.); +#19589=ORIENTED_EDGE('',*,*,#19041,.F.); +#19590=ORIENTED_EDGE('',*,*,#19574,.F.); +#19591=EDGE_LOOP('',(#19587,#19588,#19589,#19590)); +#19592=FACE_OUTER_BOUND('',#19591,.F.); +#19594=CARTESIAN_POINT('',(0.E0,0.E0,-2.6E1)); +#19595=DIRECTION('',(0.E0,0.E0,1.E0)); +#19596=DIRECTION('',(1.E0,0.E0,0.E0)); +#19597=AXIS2_PLACEMENT_3D('',#19594,#19595,#19596); +#19598=CYLINDRICAL_SURFACE('',#19597,6.5E-1); +#19599=ORIENTED_EDGE('',*,*,#17925,.T.); +#19601=ORIENTED_EDGE('',*,*,#19600,.T.); +#19602=ORIENTED_EDGE('',*,*,#19051,.F.); +#19604=ORIENTED_EDGE('',*,*,#19603,.F.); +#19605=EDGE_LOOP('',(#19599,#19601,#19602,#19604)); +#19606=FACE_OUTER_BOUND('',#19605,.F.); +#19608=CARTESIAN_POINT('',(0.E0,0.E0,-2.6E1)); +#19609=DIRECTION('',(0.E0,0.E0,1.E0)); +#19610=DIRECTION('',(1.E0,0.E0,0.E0)); +#19611=AXIS2_PLACEMENT_3D('',#19608,#19609,#19610); +#19612=CYLINDRICAL_SURFACE('',#19611,6.5E-1); +#19613=ORIENTED_EDGE('',*,*,#17927,.T.); +#19614=ORIENTED_EDGE('',*,*,#19603,.T.); +#19615=ORIENTED_EDGE('',*,*,#19053,.F.); +#19616=ORIENTED_EDGE('',*,*,#19600,.F.); +#19617=EDGE_LOOP('',(#19613,#19614,#19615,#19616)); +#19618=FACE_OUTER_BOUND('',#19617,.F.); +#19620=CARTESIAN_POINT('',(4.E0,0.E0,-2.6E1)); +#19621=DIRECTION('',(0.E0,0.E0,1.E0)); +#19622=DIRECTION('',(1.E0,0.E0,0.E0)); +#19623=AXIS2_PLACEMENT_3D('',#19620,#19621,#19622); +#19624=CYLINDRICAL_SURFACE('',#19623,6.5E-1); +#19625=ORIENTED_EDGE('',*,*,#17931,.T.); +#19627=ORIENTED_EDGE('',*,*,#19626,.T.); +#19628=ORIENTED_EDGE('',*,*,#19063,.F.); +#19630=ORIENTED_EDGE('',*,*,#19629,.F.); +#19631=EDGE_LOOP('',(#19625,#19627,#19628,#19630)); +#19632=FACE_OUTER_BOUND('',#19631,.F.); +#19634=CARTESIAN_POINT('',(4.E0,0.E0,-2.6E1)); +#19635=DIRECTION('',(0.E0,0.E0,1.E0)); +#19636=DIRECTION('',(1.E0,0.E0,0.E0)); +#19637=AXIS2_PLACEMENT_3D('',#19634,#19635,#19636); +#19638=CYLINDRICAL_SURFACE('',#19637,6.5E-1); +#19639=ORIENTED_EDGE('',*,*,#17933,.T.); +#19640=ORIENTED_EDGE('',*,*,#19629,.T.); +#19641=ORIENTED_EDGE('',*,*,#19065,.F.); +#19642=ORIENTED_EDGE('',*,*,#19626,.F.); +#19643=EDGE_LOOP('',(#19639,#19640,#19641,#19642)); +#19644=FACE_OUTER_BOUND('',#19643,.F.); +#19646=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-2.6E1)); +#19647=DIRECTION('',(0.E0,0.E0,1.E0)); +#19648=DIRECTION('',(1.E0,0.E0,0.E0)); +#19649=AXIS2_PLACEMENT_3D('',#19646,#19647,#19648); +#19650=CYLINDRICAL_SURFACE('',#19649,6.5E-1); +#19651=ORIENTED_EDGE('',*,*,#17937,.T.); +#19653=ORIENTED_EDGE('',*,*,#19652,.T.); +#19654=ORIENTED_EDGE('',*,*,#19075,.F.); +#19656=ORIENTED_EDGE('',*,*,#19655,.F.); +#19657=EDGE_LOOP('',(#19651,#19653,#19654,#19656)); +#19658=FACE_OUTER_BOUND('',#19657,.F.); +#19660=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-2.6E1)); +#19661=DIRECTION('',(0.E0,0.E0,1.E0)); +#19662=DIRECTION('',(1.E0,0.E0,0.E0)); +#19663=AXIS2_PLACEMENT_3D('',#19660,#19661,#19662); +#19664=CYLINDRICAL_SURFACE('',#19663,6.5E-1); +#19665=ORIENTED_EDGE('',*,*,#17939,.T.); +#19666=ORIENTED_EDGE('',*,*,#19655,.T.); +#19667=ORIENTED_EDGE('',*,*,#19077,.F.); +#19668=ORIENTED_EDGE('',*,*,#19652,.F.); +#19669=EDGE_LOOP('',(#19665,#19666,#19667,#19668)); +#19670=FACE_OUTER_BOUND('',#19669,.F.); +#19672=CARTESIAN_POINT('',(1.2E1,0.E0,-2.6E1)); +#19673=DIRECTION('',(0.E0,0.E0,1.E0)); +#19674=DIRECTION('',(1.E0,0.E0,0.E0)); +#19675=AXIS2_PLACEMENT_3D('',#19672,#19673,#19674); +#19676=CYLINDRICAL_SURFACE('',#19675,6.5E-1); +#19677=ORIENTED_EDGE('',*,*,#17943,.T.); +#19679=ORIENTED_EDGE('',*,*,#19678,.T.); +#19680=ORIENTED_EDGE('',*,*,#19087,.F.); +#19682=ORIENTED_EDGE('',*,*,#19681,.F.); +#19683=EDGE_LOOP('',(#19677,#19679,#19680,#19682)); +#19684=FACE_OUTER_BOUND('',#19683,.F.); +#19686=CARTESIAN_POINT('',(1.2E1,0.E0,-2.6E1)); +#19687=DIRECTION('',(0.E0,0.E0,1.E0)); +#19688=DIRECTION('',(1.E0,0.E0,0.E0)); +#19689=AXIS2_PLACEMENT_3D('',#19686,#19687,#19688); +#19690=CYLINDRICAL_SURFACE('',#19689,6.5E-1); +#19691=ORIENTED_EDGE('',*,*,#17945,.T.); +#19692=ORIENTED_EDGE('',*,*,#19681,.T.); +#19693=ORIENTED_EDGE('',*,*,#19089,.F.); +#19694=ORIENTED_EDGE('',*,*,#19678,.F.); +#19695=EDGE_LOOP('',(#19691,#19692,#19693,#19694)); +#19696=FACE_OUTER_BOUND('',#19695,.F.); +#19698=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.6E1)); +#19699=DIRECTION('',(0.E0,0.E0,1.E0)); +#19700=DIRECTION('',(1.E0,0.E0,0.E0)); +#19701=AXIS2_PLACEMENT_3D('',#19698,#19699,#19700); +#19702=CYLINDRICAL_SURFACE('',#19701,6.5E-1); +#19703=ORIENTED_EDGE('',*,*,#17949,.T.); +#19705=ORIENTED_EDGE('',*,*,#19704,.T.); +#19706=ORIENTED_EDGE('',*,*,#19099,.F.); +#19708=ORIENTED_EDGE('',*,*,#19707,.F.); +#19709=EDGE_LOOP('',(#19703,#19705,#19706,#19708)); +#19710=FACE_OUTER_BOUND('',#19709,.F.); +#19712=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.6E1)); +#19713=DIRECTION('',(0.E0,0.E0,1.E0)); +#19714=DIRECTION('',(1.E0,0.E0,0.E0)); +#19715=AXIS2_PLACEMENT_3D('',#19712,#19713,#19714); +#19716=CYLINDRICAL_SURFACE('',#19715,6.5E-1); +#19717=ORIENTED_EDGE('',*,*,#17951,.T.); +#19718=ORIENTED_EDGE('',*,*,#19707,.T.); +#19719=ORIENTED_EDGE('',*,*,#19101,.F.); +#19720=ORIENTED_EDGE('',*,*,#19704,.F.); +#19721=EDGE_LOOP('',(#19717,#19718,#19719,#19720)); +#19722=FACE_OUTER_BOUND('',#19721,.F.); +#19724=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.6E1)); +#19725=DIRECTION('',(0.E0,0.E0,1.E0)); +#19726=DIRECTION('',(1.E0,0.E0,0.E0)); +#19727=AXIS2_PLACEMENT_3D('',#19724,#19725,#19726); +#19728=CYLINDRICAL_SURFACE('',#19727,6.5E-1); +#19729=ORIENTED_EDGE('',*,*,#17955,.T.); +#19731=ORIENTED_EDGE('',*,*,#19730,.T.); +#19732=ORIENTED_EDGE('',*,*,#19111,.F.); +#19734=ORIENTED_EDGE('',*,*,#19733,.F.); +#19735=EDGE_LOOP('',(#19729,#19731,#19732,#19734)); +#19736=FACE_OUTER_BOUND('',#19735,.F.); +#19738=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.6E1)); +#19739=DIRECTION('',(0.E0,0.E0,1.E0)); +#19740=DIRECTION('',(1.E0,0.E0,0.E0)); +#19741=AXIS2_PLACEMENT_3D('',#19738,#19739,#19740); +#19742=CYLINDRICAL_SURFACE('',#19741,6.5E-1); +#19743=ORIENTED_EDGE('',*,*,#17957,.T.); +#19744=ORIENTED_EDGE('',*,*,#19733,.T.); +#19745=ORIENTED_EDGE('',*,*,#19113,.F.); +#19746=ORIENTED_EDGE('',*,*,#19730,.F.); +#19747=EDGE_LOOP('',(#19743,#19744,#19745,#19746)); +#19748=FACE_OUTER_BOUND('',#19747,.F.); +#19750=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.6E1)); +#19751=DIRECTION('',(0.E0,0.E0,1.E0)); +#19752=DIRECTION('',(1.E0,0.E0,0.E0)); +#19753=AXIS2_PLACEMENT_3D('',#19750,#19751,#19752); +#19754=CYLINDRICAL_SURFACE('',#19753,6.5E-1); +#19755=ORIENTED_EDGE('',*,*,#17961,.T.); +#19757=ORIENTED_EDGE('',*,*,#19756,.T.); +#19758=ORIENTED_EDGE('',*,*,#19123,.F.); +#19760=ORIENTED_EDGE('',*,*,#19759,.F.); +#19761=EDGE_LOOP('',(#19755,#19757,#19758,#19760)); +#19762=FACE_OUTER_BOUND('',#19761,.F.); +#19764=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.6E1)); +#19765=DIRECTION('',(0.E0,0.E0,1.E0)); +#19766=DIRECTION('',(1.E0,0.E0,0.E0)); +#19767=AXIS2_PLACEMENT_3D('',#19764,#19765,#19766); +#19768=CYLINDRICAL_SURFACE('',#19767,6.5E-1); +#19769=ORIENTED_EDGE('',*,*,#17963,.T.); +#19770=ORIENTED_EDGE('',*,*,#19759,.T.); +#19771=ORIENTED_EDGE('',*,*,#19125,.F.); +#19772=ORIENTED_EDGE('',*,*,#19756,.F.); +#19773=EDGE_LOOP('',(#19769,#19770,#19771,#19772)); +#19774=FACE_OUTER_BOUND('',#19773,.F.); +#19776=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.6E1)); +#19777=DIRECTION('',(0.E0,0.E0,1.E0)); +#19778=DIRECTION('',(1.E0,0.E0,0.E0)); +#19779=AXIS2_PLACEMENT_3D('',#19776,#19777,#19778); +#19780=CYLINDRICAL_SURFACE('',#19779,6.5E-1); +#19781=ORIENTED_EDGE('',*,*,#17967,.T.); +#19783=ORIENTED_EDGE('',*,*,#19782,.T.); +#19784=ORIENTED_EDGE('',*,*,#19135,.F.); +#19786=ORIENTED_EDGE('',*,*,#19785,.F.); +#19787=EDGE_LOOP('',(#19781,#19783,#19784,#19786)); +#19788=FACE_OUTER_BOUND('',#19787,.F.); +#19790=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.6E1)); +#19791=DIRECTION('',(0.E0,0.E0,1.E0)); +#19792=DIRECTION('',(1.E0,0.E0,0.E0)); +#19793=AXIS2_PLACEMENT_3D('',#19790,#19791,#19792); +#19794=CYLINDRICAL_SURFACE('',#19793,6.5E-1); +#19795=ORIENTED_EDGE('',*,*,#17969,.T.); +#19796=ORIENTED_EDGE('',*,*,#19785,.T.); +#19797=ORIENTED_EDGE('',*,*,#19137,.F.); +#19798=ORIENTED_EDGE('',*,*,#19782,.F.); +#19799=EDGE_LOOP('',(#19795,#19796,#19797,#19798)); +#19800=FACE_OUTER_BOUND('',#19799,.F.); +#19802=CARTESIAN_POINT('',(2.E0,-4.E0,-2.6E1)); +#19803=DIRECTION('',(0.E0,0.E0,1.E0)); +#19804=DIRECTION('',(1.E0,0.E0,0.E0)); +#19805=AXIS2_PLACEMENT_3D('',#19802,#19803,#19804); +#19806=CYLINDRICAL_SURFACE('',#19805,6.5E-1); +#19807=ORIENTED_EDGE('',*,*,#17973,.T.); +#19809=ORIENTED_EDGE('',*,*,#19808,.T.); +#19810=ORIENTED_EDGE('',*,*,#19147,.F.); +#19812=ORIENTED_EDGE('',*,*,#19811,.F.); +#19813=EDGE_LOOP('',(#19807,#19809,#19810,#19812)); +#19814=FACE_OUTER_BOUND('',#19813,.F.); +#19816=CARTESIAN_POINT('',(2.E0,-4.E0,-2.6E1)); +#19817=DIRECTION('',(0.E0,0.E0,1.E0)); +#19818=DIRECTION('',(1.E0,0.E0,0.E0)); +#19819=AXIS2_PLACEMENT_3D('',#19816,#19817,#19818); +#19820=CYLINDRICAL_SURFACE('',#19819,6.5E-1); +#19821=ORIENTED_EDGE('',*,*,#17975,.T.); +#19822=ORIENTED_EDGE('',*,*,#19811,.T.); +#19823=ORIENTED_EDGE('',*,*,#19149,.F.); +#19824=ORIENTED_EDGE('',*,*,#19808,.F.); +#19825=EDGE_LOOP('',(#19821,#19822,#19823,#19824)); +#19826=FACE_OUTER_BOUND('',#19825,.F.); +#19828=CARTESIAN_POINT('',(6.E0,-4.E0,-2.6E1)); +#19829=DIRECTION('',(0.E0,0.E0,1.E0)); +#19830=DIRECTION('',(1.E0,0.E0,0.E0)); +#19831=AXIS2_PLACEMENT_3D('',#19828,#19829,#19830); +#19832=CYLINDRICAL_SURFACE('',#19831,6.5E-1); +#19833=ORIENTED_EDGE('',*,*,#17979,.T.); +#19835=ORIENTED_EDGE('',*,*,#19834,.T.); +#19836=ORIENTED_EDGE('',*,*,#19159,.F.); +#19838=ORIENTED_EDGE('',*,*,#19837,.F.); +#19839=EDGE_LOOP('',(#19833,#19835,#19836,#19838)); +#19840=FACE_OUTER_BOUND('',#19839,.F.); +#19842=CARTESIAN_POINT('',(6.E0,-4.E0,-2.6E1)); +#19843=DIRECTION('',(0.E0,0.E0,1.E0)); +#19844=DIRECTION('',(1.E0,0.E0,0.E0)); +#19845=AXIS2_PLACEMENT_3D('',#19842,#19843,#19844); +#19846=CYLINDRICAL_SURFACE('',#19845,6.5E-1); +#19847=ORIENTED_EDGE('',*,*,#17981,.T.); +#19848=ORIENTED_EDGE('',*,*,#19837,.T.); +#19849=ORIENTED_EDGE('',*,*,#19161,.F.); +#19850=ORIENTED_EDGE('',*,*,#19834,.F.); +#19851=EDGE_LOOP('',(#19847,#19848,#19849,#19850)); +#19852=FACE_OUTER_BOUND('',#19851,.F.); +#19854=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.6E1)); +#19855=DIRECTION('',(0.E0,0.E0,1.E0)); +#19856=DIRECTION('',(1.E0,0.E0,0.E0)); +#19857=AXIS2_PLACEMENT_3D('',#19854,#19855,#19856); +#19858=CYLINDRICAL_SURFACE('',#19857,6.5E-1); +#19859=ORIENTED_EDGE('',*,*,#17985,.T.); +#19861=ORIENTED_EDGE('',*,*,#19860,.T.); +#19862=ORIENTED_EDGE('',*,*,#19171,.F.); +#19864=ORIENTED_EDGE('',*,*,#19863,.F.); +#19865=EDGE_LOOP('',(#19859,#19861,#19862,#19864)); +#19866=FACE_OUTER_BOUND('',#19865,.F.); +#19868=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.6E1)); +#19869=DIRECTION('',(0.E0,0.E0,1.E0)); +#19870=DIRECTION('',(1.E0,0.E0,0.E0)); +#19871=AXIS2_PLACEMENT_3D('',#19868,#19869,#19870); +#19872=CYLINDRICAL_SURFACE('',#19871,6.5E-1); +#19873=ORIENTED_EDGE('',*,*,#17987,.T.); +#19874=ORIENTED_EDGE('',*,*,#19863,.T.); +#19875=ORIENTED_EDGE('',*,*,#19173,.F.); +#19876=ORIENTED_EDGE('',*,*,#19860,.F.); +#19877=EDGE_LOOP('',(#19873,#19874,#19875,#19876)); +#19878=FACE_OUTER_BOUND('',#19877,.F.); +#19880=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.6E1)); +#19881=DIRECTION('',(0.E0,0.E0,1.E0)); +#19882=DIRECTION('',(1.E0,0.E0,0.E0)); +#19883=AXIS2_PLACEMENT_3D('',#19880,#19881,#19882); +#19884=CYLINDRICAL_SURFACE('',#19883,6.5E-1); +#19885=ORIENTED_EDGE('',*,*,#17991,.T.); +#19887=ORIENTED_EDGE('',*,*,#19886,.T.); +#19888=ORIENTED_EDGE('',*,*,#19183,.F.); +#19890=ORIENTED_EDGE('',*,*,#19889,.F.); +#19891=EDGE_LOOP('',(#19885,#19887,#19888,#19890)); +#19892=FACE_OUTER_BOUND('',#19891,.F.); +#19894=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.6E1)); +#19895=DIRECTION('',(0.E0,0.E0,1.E0)); +#19896=DIRECTION('',(1.E0,0.E0,0.E0)); +#19897=AXIS2_PLACEMENT_3D('',#19894,#19895,#19896); +#19898=CYLINDRICAL_SURFACE('',#19897,6.5E-1); +#19899=ORIENTED_EDGE('',*,*,#17993,.T.); +#19900=ORIENTED_EDGE('',*,*,#19889,.T.); +#19901=ORIENTED_EDGE('',*,*,#19185,.F.); +#19902=ORIENTED_EDGE('',*,*,#19886,.F.); +#19903=EDGE_LOOP('',(#19899,#19900,#19901,#19902)); +#19904=FACE_OUTER_BOUND('',#19903,.F.); +#19906=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.6E1)); +#19907=DIRECTION('',(0.E0,0.E0,1.E0)); +#19908=DIRECTION('',(1.E0,0.E0,0.E0)); +#19909=AXIS2_PLACEMENT_3D('',#19906,#19907,#19908); +#19910=CYLINDRICAL_SURFACE('',#19909,6.5E-1); +#19911=ORIENTED_EDGE('',*,*,#17997,.T.); +#19913=ORIENTED_EDGE('',*,*,#19912,.T.); +#19914=ORIENTED_EDGE('',*,*,#19195,.F.); +#19916=ORIENTED_EDGE('',*,*,#19915,.F.); +#19917=EDGE_LOOP('',(#19911,#19913,#19914,#19916)); +#19918=FACE_OUTER_BOUND('',#19917,.F.); +#19920=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.6E1)); +#19921=DIRECTION('',(0.E0,0.E0,1.E0)); +#19922=DIRECTION('',(1.E0,0.E0,0.E0)); +#19923=AXIS2_PLACEMENT_3D('',#19920,#19921,#19922); +#19924=CYLINDRICAL_SURFACE('',#19923,6.5E-1); +#19925=ORIENTED_EDGE('',*,*,#17999,.T.); +#19926=ORIENTED_EDGE('',*,*,#19915,.T.); +#19927=ORIENTED_EDGE('',*,*,#19197,.F.); +#19928=ORIENTED_EDGE('',*,*,#19912,.F.); +#19929=EDGE_LOOP('',(#19925,#19926,#19927,#19928)); +#19930=FACE_OUTER_BOUND('',#19929,.F.); +#19932=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.6E1)); +#19933=DIRECTION('',(0.E0,0.E0,1.E0)); +#19934=DIRECTION('',(1.E0,0.E0,0.E0)); +#19935=AXIS2_PLACEMENT_3D('',#19932,#19933,#19934); +#19936=CYLINDRICAL_SURFACE('',#19935,6.5E-1); +#19937=ORIENTED_EDGE('',*,*,#18003,.T.); +#19939=ORIENTED_EDGE('',*,*,#19938,.T.); +#19940=ORIENTED_EDGE('',*,*,#19207,.F.); +#19942=ORIENTED_EDGE('',*,*,#19941,.F.); +#19943=EDGE_LOOP('',(#19937,#19939,#19940,#19942)); +#19944=FACE_OUTER_BOUND('',#19943,.F.); +#19946=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.6E1)); +#19947=DIRECTION('',(0.E0,0.E0,1.E0)); +#19948=DIRECTION('',(1.E0,0.E0,0.E0)); +#19949=AXIS2_PLACEMENT_3D('',#19946,#19947,#19948); +#19950=CYLINDRICAL_SURFACE('',#19949,6.5E-1); +#19951=ORIENTED_EDGE('',*,*,#18005,.T.); +#19952=ORIENTED_EDGE('',*,*,#19941,.T.); +#19953=ORIENTED_EDGE('',*,*,#19209,.F.); +#19954=ORIENTED_EDGE('',*,*,#19938,.F.); +#19955=EDGE_LOOP('',(#19951,#19952,#19953,#19954)); +#19956=FACE_OUTER_BOUND('',#19955,.F.); +#19958=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.6E1)); +#19959=DIRECTION('',(0.E0,0.E0,1.E0)); +#19960=DIRECTION('',(1.E0,0.E0,0.E0)); +#19961=AXIS2_PLACEMENT_3D('',#19958,#19959,#19960); +#19962=CYLINDRICAL_SURFACE('',#19961,6.5E-1); +#19963=ORIENTED_EDGE('',*,*,#18009,.T.); +#19965=ORIENTED_EDGE('',*,*,#19964,.T.); +#19966=ORIENTED_EDGE('',*,*,#19219,.F.); +#19968=ORIENTED_EDGE('',*,*,#19967,.F.); +#19969=EDGE_LOOP('',(#19963,#19965,#19966,#19968)); +#19970=FACE_OUTER_BOUND('',#19969,.F.); +#19972=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.6E1)); +#19973=DIRECTION('',(0.E0,0.E0,1.E0)); +#19974=DIRECTION('',(1.E0,0.E0,0.E0)); +#19975=AXIS2_PLACEMENT_3D('',#19972,#19973,#19974); +#19976=CYLINDRICAL_SURFACE('',#19975,6.5E-1); +#19977=ORIENTED_EDGE('',*,*,#18011,.T.); +#19978=ORIENTED_EDGE('',*,*,#19967,.T.); +#19979=ORIENTED_EDGE('',*,*,#19221,.F.); +#19980=ORIENTED_EDGE('',*,*,#19964,.F.); +#19981=EDGE_LOOP('',(#19977,#19978,#19979,#19980)); +#19982=FACE_OUTER_BOUND('',#19981,.F.); +#19984=CARTESIAN_POINT('',(-1.E1,4.E0,-2.6E1)); +#19985=DIRECTION('',(0.E0,0.E0,1.E0)); +#19986=DIRECTION('',(1.E0,0.E0,0.E0)); +#19987=AXIS2_PLACEMENT_3D('',#19984,#19985,#19986); +#19988=CYLINDRICAL_SURFACE('',#19987,6.5E-1); +#19989=ORIENTED_EDGE('',*,*,#18015,.T.); +#19991=ORIENTED_EDGE('',*,*,#19990,.T.); +#19992=ORIENTED_EDGE('',*,*,#19231,.F.); +#19994=ORIENTED_EDGE('',*,*,#19993,.F.); +#19995=EDGE_LOOP('',(#19989,#19991,#19992,#19994)); +#19996=FACE_OUTER_BOUND('',#19995,.F.); +#19998=CARTESIAN_POINT('',(-1.E1,4.E0,-2.6E1)); +#19999=DIRECTION('',(0.E0,0.E0,1.E0)); +#20000=DIRECTION('',(1.E0,0.E0,0.E0)); +#20001=AXIS2_PLACEMENT_3D('',#19998,#19999,#20000); +#20002=CYLINDRICAL_SURFACE('',#20001,6.5E-1); +#20003=ORIENTED_EDGE('',*,*,#18017,.T.); +#20004=ORIENTED_EDGE('',*,*,#19993,.T.); +#20005=ORIENTED_EDGE('',*,*,#19233,.F.); +#20006=ORIENTED_EDGE('',*,*,#19990,.F.); +#20007=EDGE_LOOP('',(#20003,#20004,#20005,#20006)); +#20008=FACE_OUTER_BOUND('',#20007,.F.); +#20010=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-2.6E1)); +#20011=DIRECTION('',(0.E0,0.E0,1.E0)); +#20012=DIRECTION('',(1.E0,0.E0,0.E0)); +#20013=AXIS2_PLACEMENT_3D('',#20010,#20011,#20012); +#20014=CYLINDRICAL_SURFACE('',#20013,6.5E-1); +#20015=ORIENTED_EDGE('',*,*,#18021,.T.); +#20017=ORIENTED_EDGE('',*,*,#20016,.T.); +#20018=ORIENTED_EDGE('',*,*,#19243,.F.); +#20020=ORIENTED_EDGE('',*,*,#20019,.F.); +#20021=EDGE_LOOP('',(#20015,#20017,#20018,#20020)); +#20022=FACE_OUTER_BOUND('',#20021,.F.); +#20024=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-2.6E1)); +#20025=DIRECTION('',(0.E0,0.E0,1.E0)); +#20026=DIRECTION('',(1.E0,0.E0,0.E0)); +#20027=AXIS2_PLACEMENT_3D('',#20024,#20025,#20026); +#20028=CYLINDRICAL_SURFACE('',#20027,6.5E-1); +#20029=ORIENTED_EDGE('',*,*,#18023,.T.); +#20030=ORIENTED_EDGE('',*,*,#20019,.T.); +#20031=ORIENTED_EDGE('',*,*,#19245,.F.); +#20032=ORIENTED_EDGE('',*,*,#20016,.F.); +#20033=EDGE_LOOP('',(#20029,#20030,#20031,#20032)); +#20034=FACE_OUTER_BOUND('',#20033,.F.); +#20036=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.6E1)); +#20037=DIRECTION('',(0.E0,0.E0,1.E0)); +#20038=DIRECTION('',(1.E0,0.E0,0.E0)); +#20039=AXIS2_PLACEMENT_3D('',#20036,#20037,#20038); +#20040=CYLINDRICAL_SURFACE('',#20039,6.5E-1); +#20041=ORIENTED_EDGE('',*,*,#18027,.T.); +#20043=ORIENTED_EDGE('',*,*,#20042,.T.); +#20044=ORIENTED_EDGE('',*,*,#19255,.F.); +#20046=ORIENTED_EDGE('',*,*,#20045,.F.); +#20047=EDGE_LOOP('',(#20041,#20043,#20044,#20046)); +#20048=FACE_OUTER_BOUND('',#20047,.F.); +#20050=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.6E1)); +#20051=DIRECTION('',(0.E0,0.E0,1.E0)); +#20052=DIRECTION('',(1.E0,0.E0,0.E0)); +#20053=AXIS2_PLACEMENT_3D('',#20050,#20051,#20052); +#20054=CYLINDRICAL_SURFACE('',#20053,6.5E-1); +#20055=ORIENTED_EDGE('',*,*,#18029,.T.); +#20056=ORIENTED_EDGE('',*,*,#20045,.T.); +#20057=ORIENTED_EDGE('',*,*,#19257,.F.); +#20058=ORIENTED_EDGE('',*,*,#20042,.F.); +#20059=EDGE_LOOP('',(#20055,#20056,#20057,#20058)); +#20060=FACE_OUTER_BOUND('',#20059,.F.); +#20062=CARTESIAN_POINT('',(1.E1,4.E0,-2.6E1)); +#20063=DIRECTION('',(0.E0,0.E0,1.E0)); +#20064=DIRECTION('',(1.E0,0.E0,0.E0)); +#20065=AXIS2_PLACEMENT_3D('',#20062,#20063,#20064); +#20066=CYLINDRICAL_SURFACE('',#20065,6.5E-1); +#20067=ORIENTED_EDGE('',*,*,#18033,.T.); +#20069=ORIENTED_EDGE('',*,*,#20068,.T.); +#20070=ORIENTED_EDGE('',*,*,#19267,.F.); +#20072=ORIENTED_EDGE('',*,*,#20071,.F.); +#20073=EDGE_LOOP('',(#20067,#20069,#20070,#20072)); +#20074=FACE_OUTER_BOUND('',#20073,.F.); +#20076=CARTESIAN_POINT('',(1.E1,4.E0,-2.6E1)); +#20077=DIRECTION('',(0.E0,0.E0,1.E0)); +#20078=DIRECTION('',(1.E0,0.E0,0.E0)); +#20079=AXIS2_PLACEMENT_3D('',#20076,#20077,#20078); +#20080=CYLINDRICAL_SURFACE('',#20079,6.5E-1); +#20081=ORIENTED_EDGE('',*,*,#18035,.T.); +#20082=ORIENTED_EDGE('',*,*,#20071,.T.); +#20083=ORIENTED_EDGE('',*,*,#19269,.F.); +#20084=ORIENTED_EDGE('',*,*,#20068,.F.); +#20085=EDGE_LOOP('',(#20081,#20082,#20083,#20084)); +#20086=FACE_OUTER_BOUND('',#20085,.F.); +#20088=CARTESIAN_POINT('',(1.8E1,4.E0,-2.6E1)); +#20089=DIRECTION('',(0.E0,0.E0,1.E0)); +#20090=DIRECTION('',(1.E0,0.E0,0.E0)); +#20091=AXIS2_PLACEMENT_3D('',#20088,#20089,#20090); +#20092=CYLINDRICAL_SURFACE('',#20091,6.5E-1); +#20093=ORIENTED_EDGE('',*,*,#18039,.T.); +#20095=ORIENTED_EDGE('',*,*,#20094,.T.); +#20096=ORIENTED_EDGE('',*,*,#19279,.F.); +#20098=ORIENTED_EDGE('',*,*,#20097,.F.); +#20099=EDGE_LOOP('',(#20093,#20095,#20096,#20098)); +#20100=FACE_OUTER_BOUND('',#20099,.F.); +#20102=CARTESIAN_POINT('',(1.8E1,4.E0,-2.6E1)); +#20103=DIRECTION('',(0.E0,0.E0,1.E0)); +#20104=DIRECTION('',(1.E0,0.E0,0.E0)); +#20105=AXIS2_PLACEMENT_3D('',#20102,#20103,#20104); +#20106=CYLINDRICAL_SURFACE('',#20105,6.5E-1); +#20107=ORIENTED_EDGE('',*,*,#18041,.T.); +#20108=ORIENTED_EDGE('',*,*,#20097,.T.); +#20109=ORIENTED_EDGE('',*,*,#19281,.F.); +#20110=ORIENTED_EDGE('',*,*,#20094,.F.); +#20111=EDGE_LOOP('',(#20107,#20108,#20109,#20110)); +#20112=FACE_OUTER_BOUND('',#20111,.F.); +#20114=CARTESIAN_POINT('',(2.E1,0.E0,-2.6E1)); +#20115=DIRECTION('',(0.E0,0.E0,1.E0)); +#20116=DIRECTION('',(1.E0,0.E0,0.E0)); +#20117=AXIS2_PLACEMENT_3D('',#20114,#20115,#20116); +#20118=CYLINDRICAL_SURFACE('',#20117,6.5E-1); +#20119=ORIENTED_EDGE('',*,*,#18045,.T.); +#20121=ORIENTED_EDGE('',*,*,#20120,.T.); +#20122=ORIENTED_EDGE('',*,*,#19291,.F.); +#20124=ORIENTED_EDGE('',*,*,#20123,.F.); +#20125=EDGE_LOOP('',(#20119,#20121,#20122,#20124)); +#20126=FACE_OUTER_BOUND('',#20125,.F.); +#20128=CARTESIAN_POINT('',(2.E1,0.E0,-2.6E1)); +#20129=DIRECTION('',(0.E0,0.E0,1.E0)); +#20130=DIRECTION('',(1.E0,0.E0,0.E0)); +#20131=AXIS2_PLACEMENT_3D('',#20128,#20129,#20130); +#20132=CYLINDRICAL_SURFACE('',#20131,6.5E-1); +#20133=ORIENTED_EDGE('',*,*,#18047,.T.); +#20134=ORIENTED_EDGE('',*,*,#20123,.T.); +#20135=ORIENTED_EDGE('',*,*,#19293,.F.); +#20136=ORIENTED_EDGE('',*,*,#20120,.F.); +#20137=EDGE_LOOP('',(#20133,#20134,#20135,#20136)); +#20138=FACE_OUTER_BOUND('',#20137,.F.); +#20140=CARTESIAN_POINT('',(1.6E1,0.E0,-2.6E1)); +#20141=DIRECTION('',(0.E0,0.E0,1.E0)); +#20142=DIRECTION('',(1.E0,0.E0,0.E0)); +#20143=AXIS2_PLACEMENT_3D('',#20140,#20141,#20142); +#20144=CYLINDRICAL_SURFACE('',#20143,6.5E-1); +#20145=ORIENTED_EDGE('',*,*,#18051,.T.); +#20147=ORIENTED_EDGE('',*,*,#20146,.T.); +#20148=ORIENTED_EDGE('',*,*,#19303,.F.); +#20150=ORIENTED_EDGE('',*,*,#20149,.F.); +#20151=EDGE_LOOP('',(#20145,#20147,#20148,#20150)); +#20152=FACE_OUTER_BOUND('',#20151,.F.); +#20154=CARTESIAN_POINT('',(1.6E1,0.E0,-2.6E1)); +#20155=DIRECTION('',(0.E0,0.E0,1.E0)); +#20156=DIRECTION('',(1.E0,0.E0,0.E0)); +#20157=AXIS2_PLACEMENT_3D('',#20154,#20155,#20156); +#20158=CYLINDRICAL_SURFACE('',#20157,6.5E-1); +#20159=ORIENTED_EDGE('',*,*,#18053,.T.); +#20160=ORIENTED_EDGE('',*,*,#20149,.T.); +#20161=ORIENTED_EDGE('',*,*,#19305,.F.); +#20162=ORIENTED_EDGE('',*,*,#20146,.F.); +#20163=EDGE_LOOP('',(#20159,#20160,#20161,#20162)); +#20164=FACE_OUTER_BOUND('',#20163,.F.); +#20166=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.6E1)); +#20167=DIRECTION('',(0.E0,0.E0,1.E0)); +#20168=DIRECTION('',(1.E0,0.E0,0.E0)); +#20169=AXIS2_PLACEMENT_3D('',#20166,#20167,#20168); +#20170=CYLINDRICAL_SURFACE('',#20169,6.5E-1); +#20171=ORIENTED_EDGE('',*,*,#18057,.T.); +#20173=ORIENTED_EDGE('',*,*,#20172,.T.); +#20174=ORIENTED_EDGE('',*,*,#19315,.F.); +#20176=ORIENTED_EDGE('',*,*,#20175,.F.); +#20177=EDGE_LOOP('',(#20171,#20173,#20174,#20176)); +#20178=FACE_OUTER_BOUND('',#20177,.F.); +#20180=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.6E1)); +#20181=DIRECTION('',(0.E0,0.E0,1.E0)); +#20182=DIRECTION('',(1.E0,0.E0,0.E0)); +#20183=AXIS2_PLACEMENT_3D('',#20180,#20181,#20182); +#20184=CYLINDRICAL_SURFACE('',#20183,6.5E-1); +#20185=ORIENTED_EDGE('',*,*,#18059,.T.); +#20186=ORIENTED_EDGE('',*,*,#20175,.T.); +#20187=ORIENTED_EDGE('',*,*,#19317,.F.); +#20188=ORIENTED_EDGE('',*,*,#20172,.F.); +#20189=EDGE_LOOP('',(#20185,#20186,#20187,#20188)); +#20190=FACE_OUTER_BOUND('',#20189,.F.); +#20192=CARTESIAN_POINT('',(1.E1,-4.E0,-2.6E1)); +#20193=DIRECTION('',(0.E0,0.E0,1.E0)); +#20194=DIRECTION('',(1.E0,0.E0,0.E0)); +#20195=AXIS2_PLACEMENT_3D('',#20192,#20193,#20194); +#20196=CYLINDRICAL_SURFACE('',#20195,6.5E-1); +#20197=ORIENTED_EDGE('',*,*,#18063,.T.); +#20199=ORIENTED_EDGE('',*,*,#20198,.T.); +#20200=ORIENTED_EDGE('',*,*,#19327,.F.); +#20202=ORIENTED_EDGE('',*,*,#20201,.F.); +#20203=EDGE_LOOP('',(#20197,#20199,#20200,#20202)); +#20204=FACE_OUTER_BOUND('',#20203,.F.); +#20206=CARTESIAN_POINT('',(1.E1,-4.E0,-2.6E1)); +#20207=DIRECTION('',(0.E0,0.E0,1.E0)); +#20208=DIRECTION('',(1.E0,0.E0,0.E0)); +#20209=AXIS2_PLACEMENT_3D('',#20206,#20207,#20208); +#20210=CYLINDRICAL_SURFACE('',#20209,6.5E-1); +#20211=ORIENTED_EDGE('',*,*,#18065,.T.); +#20212=ORIENTED_EDGE('',*,*,#20201,.T.); +#20213=ORIENTED_EDGE('',*,*,#19329,.F.); +#20214=ORIENTED_EDGE('',*,*,#20198,.F.); +#20215=EDGE_LOOP('',(#20211,#20212,#20213,#20214)); +#20216=FACE_OUTER_BOUND('',#20215,.F.); +#20218=CARTESIAN_POINT('',(3.795E1,5.789556E1,-2.15E1)); +#20219=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20220=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20221=AXIS2_PLACEMENT_3D('',#20218,#20219,#20220); +#20222=CYLINDRICAL_SURFACE('',#20221,5.E-1); +#20224=ORIENTED_EDGE('',*,*,#20223,.F.); +#20226=ORIENTED_EDGE('',*,*,#20225,.T.); +#20227=ORIENTED_EDGE('',*,*,#17646,.T.); +#20229=ORIENTED_EDGE('',*,*,#20228,.T.); +#20230=EDGE_LOOP('',(#20224,#20226,#20227,#20229)); +#20231=FACE_OUTER_BOUND('',#20230,.F.); +#20233=CARTESIAN_POINT('',(3.845E1,-1.105E1,-2.E1)); +#20234=DIRECTION('',(1.E0,0.E0,0.E0)); +#20235=DIRECTION('',(0.E0,1.E0,0.E0)); +#20236=AXIS2_PLACEMENT_3D('',#20233,#20234,#20235); +#20237=PLANE('',#20236); +#20238=ORIENTED_EDGE('',*,*,#20223,.T.); +#20240=ORIENTED_EDGE('',*,*,#20239,.F.); +#20242=ORIENTED_EDGE('',*,*,#20241,.T.); +#20244=ORIENTED_EDGE('',*,*,#20243,.F.); +#20246=ORIENTED_EDGE('',*,*,#20245,.T.); +#20248=ORIENTED_EDGE('',*,*,#20247,.F.); +#20249=ORIENTED_EDGE('',*,*,#17486,.T.); +#20251=ORIENTED_EDGE('',*,*,#20250,.F.); +#20253=ORIENTED_EDGE('',*,*,#20252,.T.); +#20255=ORIENTED_EDGE('',*,*,#20254,.F.); +#20256=EDGE_LOOP('',(#20238,#20240,#20242,#20244,#20246,#20248,#20249,#20251, +#20253,#20255)); +#20257=FACE_OUTER_BOUND('',#20256,.F.); +#20259=CARTESIAN_POINT('',(3.679687364248E1,-6.550000000001E0,-2.2E1)); +#20260=DIRECTION('',(0.E0,1.E0,0.E0)); +#20261=DIRECTION('',(1.E0,0.E0,0.E0)); +#20262=AXIS2_PLACEMENT_3D('',#20259,#20260,#20261); +#20263=PLANE('',#20262); +#20264=ORIENTED_EDGE('',*,*,#20239,.T.); +#20265=ORIENTED_EDGE('',*,*,#20228,.F.); +#20266=ORIENTED_EDGE('',*,*,#17711,.T.); +#20268=ORIENTED_EDGE('',*,*,#20267,.T.); +#20270=ORIENTED_EDGE('',*,*,#20269,.T.); +#20271=EDGE_LOOP('',(#20264,#20265,#20266,#20268,#20270)); +#20272=FACE_OUTER_BOUND('',#20271,.F.); +#20274=CARTESIAN_POINT('',(3.679687364248E1,-5.550000000001E0,-2.2E1)); +#20275=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20276=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20277=AXIS2_PLACEMENT_3D('',#20274,#20275,#20276); +#20278=CYLINDRICAL_SURFACE('',#20277,1.E0); +#20279=ORIENTED_EDGE('',*,*,#17709,.T.); +#20281=ORIENTED_EDGE('',*,*,#20280,.F.); +#20283=ORIENTED_EDGE('',*,*,#20282,.T.); +#20284=ORIENTED_EDGE('',*,*,#20267,.F.); +#20285=EDGE_LOOP('',(#20279,#20281,#20283,#20284)); +#20286=FACE_OUTER_BOUND('',#20285,.F.); +#20288=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.2E1)); +#20289=DIRECTION('',(0.E0,0.E0,1.E0)); +#20290=DIRECTION('',(1.E0,0.E0,0.E0)); +#20291=AXIS2_PLACEMENT_3D('',#20288,#20289,#20290); +#20292=CYLINDRICAL_SURFACE('',#20291,3.E0); +#20294=ORIENTED_EDGE('',*,*,#20293,.T.); +#20296=ORIENTED_EDGE('',*,*,#20295,.F.); +#20298=ORIENTED_EDGE('',*,*,#20297,.T.); +#20299=ORIENTED_EDGE('',*,*,#20280,.T.); +#20300=ORIENTED_EDGE('',*,*,#17707,.T.); +#20302=ORIENTED_EDGE('',*,*,#20301,.T.); +#20303=EDGE_LOOP('',(#20294,#20296,#20298,#20299,#20300,#20302)); +#20304=FACE_OUTER_BOUND('',#20303,.F.); +#20306=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-3.375E1)); +#20307=DIRECTION('',(0.E0,0.E0,1.E0)); +#20308=DIRECTION('',(-9.978492724183E-1,-6.555020621099E-2,0.E0)); +#20309=AXIS2_PLACEMENT_3D('',#20306,#20307,#20308); +#20310=TOROIDAL_SURFACE('',#20309,2.5E0,5.E-1); +#20312=ORIENTED_EDGE('',*,*,#20311,.T.); +#20314=ORIENTED_EDGE('',*,*,#20313,.T.); +#20315=ORIENTED_EDGE('',*,*,#20293,.F.); +#20317=ORIENTED_EDGE('',*,*,#20316,.F.); +#20318=EDGE_LOOP('',(#20312,#20314,#20315,#20317)); +#20319=FACE_OUTER_BOUND('',#20318,.F.); +#20321=CARTESIAN_POINT('',(0.E0,0.E0,-3.425E1)); +#20322=DIRECTION('',(0.E0,0.E0,1.E0)); +#20323=DIRECTION('',(1.E0,0.E0,0.E0)); +#20324=AXIS2_PLACEMENT_3D('',#20321,#20322,#20323); +#20325=PLANE('',#20324); +#20327=ORIENTED_EDGE('',*,*,#20326,.F.); +#20329=ORIENTED_EDGE('',*,*,#20328,.F.); +#20330=EDGE_LOOP('',(#20327,#20329)); +#20331=FACE_OUTER_BOUND('',#20330,.F.); +#20333=ORIENTED_EDGE('',*,*,#20332,.T.); +#20335=ORIENTED_EDGE('',*,*,#20334,.T.); +#20336=EDGE_LOOP('',(#20333,#20335)); +#20337=FACE_BOUND('',#20336,.F.); +#20339=CARTESIAN_POINT('',(0.E0,0.E0,-3.425E1)); +#20340=DIRECTION('',(0.E0,0.E0,1.E0)); +#20341=DIRECTION('',(1.E0,0.E0,0.E0)); +#20342=AXIS2_PLACEMENT_3D('',#20339,#20340,#20341); +#20343=PLANE('',#20342); +#20345=ORIENTED_EDGE('',*,*,#20344,.F.); +#20347=ORIENTED_EDGE('',*,*,#20346,.F.); +#20348=EDGE_LOOP('',(#20345,#20347)); +#20349=FACE_OUTER_BOUND('',#20348,.F.); +#20351=CARTESIAN_POINT('',(0.E0,0.E0,-3.425E1)); +#20352=DIRECTION('',(0.E0,0.E0,1.E0)); +#20353=DIRECTION('',(1.E0,0.E0,0.E0)); +#20354=AXIS2_PLACEMENT_3D('',#20351,#20352,#20353); +#20355=PLANE('',#20354); +#20357=ORIENTED_EDGE('',*,*,#20356,.F.); +#20358=ORIENTED_EDGE('',*,*,#20311,.F.); +#20359=EDGE_LOOP('',(#20357,#20358)); +#20360=FACE_OUTER_BOUND('',#20359,.F.); +#20362=CARTESIAN_POINT('',(0.E0,0.E0,-3.425E1)); +#20363=DIRECTION('',(0.E0,0.E0,1.E0)); +#20364=DIRECTION('',(1.E0,0.E0,0.E0)); +#20365=AXIS2_PLACEMENT_3D('',#20362,#20363,#20364); +#20366=PLANE('',#20365); +#20368=ORIENTED_EDGE('',*,*,#20367,.F.); +#20370=ORIENTED_EDGE('',*,*,#20369,.F.); +#20371=EDGE_LOOP('',(#20368,#20370)); +#20372=FACE_OUTER_BOUND('',#20371,.F.); +#20374=ORIENTED_EDGE('',*,*,#20373,.T.); +#20376=ORIENTED_EDGE('',*,*,#20375,.T.); +#20377=EDGE_LOOP('',(#20374,#20376)); +#20378=FACE_BOUND('',#20377,.F.); +#20380=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-3.375E1)); +#20381=DIRECTION('',(0.E0,0.E0,1.E0)); +#20382=DIRECTION('',(9.978492724183E-1,6.555020621099E-2,0.E0)); +#20383=AXIS2_PLACEMENT_3D('',#20380,#20381,#20382); +#20384=TOROIDAL_SURFACE('',#20383,2.5E0,5.E-1); +#20385=ORIENTED_EDGE('',*,*,#20326,.T.); +#20387=ORIENTED_EDGE('',*,*,#20386,.T.); +#20389=ORIENTED_EDGE('',*,*,#20388,.F.); +#20391=ORIENTED_EDGE('',*,*,#20390,.F.); +#20392=EDGE_LOOP('',(#20385,#20387,#20389,#20391)); +#20393=FACE_OUTER_BOUND('',#20392,.F.); +#20395=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-3.375E1)); +#20396=DIRECTION('',(0.E0,0.E0,1.E0)); +#20397=DIRECTION('',(-9.978492724183E-1,-6.555020621099E-2,0.E0)); +#20398=AXIS2_PLACEMENT_3D('',#20395,#20396,#20397); +#20399=TOROIDAL_SURFACE('',#20398,2.5E0,5.E-1); +#20400=ORIENTED_EDGE('',*,*,#20328,.T.); +#20401=ORIENTED_EDGE('',*,*,#20390,.T.); +#20403=ORIENTED_EDGE('',*,*,#20402,.F.); +#20404=ORIENTED_EDGE('',*,*,#20386,.F.); +#20405=EDGE_LOOP('',(#20400,#20401,#20403,#20404)); +#20406=FACE_OUTER_BOUND('',#20405,.F.); +#20408=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.2E1)); +#20409=DIRECTION('',(0.E0,0.E0,1.E0)); +#20410=DIRECTION('',(1.E0,0.E0,0.E0)); +#20411=AXIS2_PLACEMENT_3D('',#20408,#20409,#20410); +#20412=CYLINDRICAL_SURFACE('',#20411,3.E0); +#20413=ORIENTED_EDGE('',*,*,#20402,.T.); +#20415=ORIENTED_EDGE('',*,*,#20414,.F.); +#20416=ORIENTED_EDGE('',*,*,#17683,.T.); +#20418=ORIENTED_EDGE('',*,*,#20417,.F.); +#20420=ORIENTED_EDGE('',*,*,#20419,.T.); +#20422=ORIENTED_EDGE('',*,*,#20421,.T.); +#20423=EDGE_LOOP('',(#20413,#20415,#20416,#20418,#20420,#20422)); +#20424=FACE_OUTER_BOUND('',#20423,.F.); +#20426=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.2E1)); +#20427=DIRECTION('',(0.E0,0.E0,1.E0)); +#20428=DIRECTION('',(1.E0,0.E0,0.E0)); +#20429=AXIS2_PLACEMENT_3D('',#20426,#20427,#20428); +#20430=CYLINDRICAL_SURFACE('',#20429,3.E0); +#20431=ORIENTED_EDGE('',*,*,#20388,.T.); +#20432=ORIENTED_EDGE('',*,*,#20421,.F.); +#20434=ORIENTED_EDGE('',*,*,#20433,.T.); +#20436=ORIENTED_EDGE('',*,*,#20435,.T.); +#20437=ORIENTED_EDGE('',*,*,#17685,.T.); +#20438=ORIENTED_EDGE('',*,*,#20414,.T.); +#20439=EDGE_LOOP('',(#20431,#20432,#20434,#20436,#20437,#20438)); +#20440=FACE_OUTER_BOUND('',#20439,.F.); +#20442=CARTESIAN_POINT('',(0.E0,0.E0,-2.85E1)); +#20443=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20444=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20445=AXIS2_PLACEMENT_3D('',#20442,#20443,#20444); +#20446=PLANE('',#20445); +#20448=ORIENTED_EDGE('',*,*,#20447,.F.); +#20450=ORIENTED_EDGE('',*,*,#20449,.F.); +#20452=ORIENTED_EDGE('',*,*,#20451,.F.); +#20454=ORIENTED_EDGE('',*,*,#20453,.F.); +#20455=ORIENTED_EDGE('',*,*,#20252,.F.); +#20457=ORIENTED_EDGE('',*,*,#20456,.F.); +#20459=ORIENTED_EDGE('',*,*,#20458,.F.); +#20461=ORIENTED_EDGE('',*,*,#20460,.F.); +#20462=EDGE_LOOP('',(#20448,#20450,#20452,#20454,#20455,#20457,#20459,#20461)); +#20463=FACE_OUTER_BOUND('',#20462,.F.); +#20465=CARTESIAN_POINT('',(0.E0,0.E0,-2.85E1)); +#20466=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20467=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20468=AXIS2_PLACEMENT_3D('',#20465,#20466,#20467); +#20469=PLANE('',#20468); +#20471=ORIENTED_EDGE('',*,*,#20470,.F.); +#20473=ORIENTED_EDGE('',*,*,#20472,.F.); +#20475=ORIENTED_EDGE('',*,*,#20474,.F.); +#20476=ORIENTED_EDGE('',*,*,#17619,.F.); +#20478=ORIENTED_EDGE('',*,*,#20477,.F.); +#20480=ORIENTED_EDGE('',*,*,#20479,.F.); +#20482=ORIENTED_EDGE('',*,*,#20481,.F.); +#20484=ORIENTED_EDGE('',*,*,#20483,.F.); +#20485=EDGE_LOOP('',(#20471,#20473,#20475,#20476,#20478,#20480,#20482,#20484)); +#20486=FACE_OUTER_BOUND('',#20485,.F.); +#20488=CARTESIAN_POINT('',(0.E0,0.E0,-2.85E1)); +#20489=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20490=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20491=AXIS2_PLACEMENT_3D('',#20488,#20489,#20490); +#20492=PLANE('',#20491); +#20493=ORIENTED_EDGE('',*,*,#20419,.F.); +#20495=ORIENTED_EDGE('',*,*,#20494,.F.); +#20497=ORIENTED_EDGE('',*,*,#20496,.F.); +#20499=ORIENTED_EDGE('',*,*,#20498,.F.); +#20501=ORIENTED_EDGE('',*,*,#20500,.F.); +#20503=ORIENTED_EDGE('',*,*,#20502,.F.); +#20504=ORIENTED_EDGE('',*,*,#20433,.F.); +#20505=EDGE_LOOP('',(#20493,#20495,#20497,#20499,#20501,#20503,#20504)); +#20506=FACE_OUTER_BOUND('',#20505,.F.); +#20508=CARTESIAN_POINT('',(0.E0,0.E0,-2.85E1)); +#20509=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20510=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20511=AXIS2_PLACEMENT_3D('',#20508,#20509,#20510); +#20512=PLANE('',#20511); +#20513=ORIENTED_EDGE('',*,*,#20297,.F.); +#20515=ORIENTED_EDGE('',*,*,#20514,.F.); +#20517=ORIENTED_EDGE('',*,*,#20516,.F.); +#20519=ORIENTED_EDGE('',*,*,#20518,.F.); +#20520=ORIENTED_EDGE('',*,*,#20241,.F.); +#20521=ORIENTED_EDGE('',*,*,#20269,.F.); +#20522=ORIENTED_EDGE('',*,*,#20282,.F.); +#20523=EDGE_LOOP('',(#20513,#20515,#20517,#20519,#20520,#20521,#20522)); +#20524=FACE_OUTER_BOUND('',#20523,.F.); +#20526=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.2E1)); +#20527=DIRECTION('',(0.E0,0.E0,1.E0)); +#20528=DIRECTION('',(1.E0,0.E0,0.E0)); +#20529=AXIS2_PLACEMENT_3D('',#20526,#20527,#20528); +#20530=CYLINDRICAL_SURFACE('',#20529,3.E0); +#20532=ORIENTED_EDGE('',*,*,#20531,.T.); +#20534=ORIENTED_EDGE('',*,*,#20533,.F.); +#20535=ORIENTED_EDGE('',*,*,#20447,.T.); +#20537=ORIENTED_EDGE('',*,*,#20536,.T.); +#20538=ORIENTED_EDGE('',*,*,#17654,.T.); +#20540=ORIENTED_EDGE('',*,*,#20539,.T.); +#20541=EDGE_LOOP('',(#20532,#20534,#20535,#20537,#20538,#20540)); +#20542=FACE_OUTER_BOUND('',#20541,.F.); +#20544=CARTESIAN_POINT('',(3.17E1,1.08E1,-3.375E1)); +#20545=DIRECTION('',(0.E0,0.E0,1.E0)); +#20546=DIRECTION('',(-9.978492724183E-1,-6.555020621099E-2,0.E0)); +#20547=AXIS2_PLACEMENT_3D('',#20544,#20545,#20546); +#20548=TOROIDAL_SURFACE('',#20547,2.5E0,5.E-1); +#20549=ORIENTED_EDGE('',*,*,#20369,.T.); +#20551=ORIENTED_EDGE('',*,*,#20550,.T.); +#20552=ORIENTED_EDGE('',*,*,#20531,.F.); +#20554=ORIENTED_EDGE('',*,*,#20553,.F.); +#20555=EDGE_LOOP('',(#20549,#20551,#20552,#20554)); +#20556=FACE_OUTER_BOUND('',#20555,.F.); +#20558=CARTESIAN_POINT('',(3.17E1,1.08E1,-3.375E1)); +#20559=DIRECTION('',(0.E0,0.E0,1.E0)); +#20560=DIRECTION('',(9.978492724183E-1,6.555020621099E-2,0.E0)); +#20561=AXIS2_PLACEMENT_3D('',#20558,#20559,#20560); +#20562=TOROIDAL_SURFACE('',#20561,2.5E0,5.E-1); +#20563=ORIENTED_EDGE('',*,*,#20367,.T.); +#20564=ORIENTED_EDGE('',*,*,#20553,.T.); +#20566=ORIENTED_EDGE('',*,*,#20565,.F.); +#20567=ORIENTED_EDGE('',*,*,#20550,.F.); +#20568=EDGE_LOOP('',(#20563,#20564,#20566,#20567)); +#20569=FACE_OUTER_BOUND('',#20568,.F.); +#20571=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.2E1)); +#20572=DIRECTION('',(0.E0,0.E0,1.E0)); +#20573=DIRECTION('',(1.E0,0.E0,0.E0)); +#20574=AXIS2_PLACEMENT_3D('',#20571,#20572,#20573); +#20575=CYLINDRICAL_SURFACE('',#20574,3.E0); +#20576=ORIENTED_EDGE('',*,*,#20565,.T.); +#20577=ORIENTED_EDGE('',*,*,#20539,.F.); +#20578=ORIENTED_EDGE('',*,*,#17652,.T.); +#20580=ORIENTED_EDGE('',*,*,#20579,.F.); +#20581=ORIENTED_EDGE('',*,*,#20449,.T.); +#20582=ORIENTED_EDGE('',*,*,#20533,.T.); +#20583=EDGE_LOOP('',(#20576,#20577,#20578,#20580,#20581,#20582)); +#20584=FACE_OUTER_BOUND('',#20583,.F.); +#20586=CARTESIAN_POINT('',(3.529687364248E1,9.049999999999E0,-2.2E1)); +#20587=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20588=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20589=AXIS2_PLACEMENT_3D('',#20586,#20587,#20588); +#20590=CYLINDRICAL_SURFACE('',#20589,1.E0); +#20591=ORIENTED_EDGE('',*,*,#17650,.T.); +#20593=ORIENTED_EDGE('',*,*,#20592,.T.); +#20594=ORIENTED_EDGE('',*,*,#20451,.T.); +#20595=ORIENTED_EDGE('',*,*,#20579,.T.); +#20596=EDGE_LOOP('',(#20591,#20593,#20594,#20595)); +#20597=FACE_OUTER_BOUND('',#20596,.F.); +#20599=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.2E1)); +#20600=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20601=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20602=AXIS2_PLACEMENT_3D('',#20599,#20600,#20601); +#20603=PLANE('',#20602); +#20604=ORIENTED_EDGE('',*,*,#17648,.F.); +#20605=ORIENTED_EDGE('',*,*,#20225,.F.); +#20606=ORIENTED_EDGE('',*,*,#20254,.T.); +#20607=ORIENTED_EDGE('',*,*,#20453,.T.); +#20608=ORIENTED_EDGE('',*,*,#20592,.F.); +#20609=EDGE_LOOP('',(#20604,#20605,#20606,#20607,#20608)); +#20610=FACE_OUTER_BOUND('',#20609,.F.); +#20612=CARTESIAN_POINT('',(3.529687364248E1,1.255E1,-2.2E1)); +#20613=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20614=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20615=AXIS2_PLACEMENT_3D('',#20612,#20613,#20614); +#20616=CYLINDRICAL_SURFACE('',#20615,1.E0); +#20617=ORIENTED_EDGE('',*,*,#17656,.T.); +#20618=ORIENTED_EDGE('',*,*,#20536,.F.); +#20619=ORIENTED_EDGE('',*,*,#20460,.T.); +#20621=ORIENTED_EDGE('',*,*,#20620,.F.); +#20622=EDGE_LOOP('',(#20617,#20618,#20619,#20621)); +#20623=FACE_OUTER_BOUND('',#20622,.F.); +#20625=CARTESIAN_POINT('',(3.529687364248E1,1.155E1,-2.2E1)); +#20626=DIRECTION('',(0.E0,1.E0,0.E0)); +#20627=DIRECTION('',(1.E0,0.E0,0.E0)); +#20628=AXIS2_PLACEMENT_3D('',#20625,#20626,#20627); +#20629=PLANE('',#20628); +#20631=ORIENTED_EDGE('',*,*,#20630,.T.); +#20633=ORIENTED_EDGE('',*,*,#20632,.F.); +#20634=ORIENTED_EDGE('',*,*,#17658,.F.); +#20635=ORIENTED_EDGE('',*,*,#20620,.T.); +#20636=ORIENTED_EDGE('',*,*,#20458,.T.); +#20637=EDGE_LOOP('',(#20631,#20633,#20634,#20635,#20636)); +#20638=FACE_OUTER_BOUND('',#20637,.F.); +#20640=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.E1)); +#20641=DIRECTION('',(0.E0,0.E0,1.E0)); +#20642=DIRECTION('',(1.E0,0.E0,0.E0)); +#20643=AXIS2_PLACEMENT_3D('',#20640,#20641,#20642); +#20644=CYLINDRICAL_SURFACE('',#20643,5.E0); +#20646=ORIENTED_EDGE('',*,*,#20645,.T.); +#20647=ORIENTED_EDGE('',*,*,#20630,.F.); +#20648=ORIENTED_EDGE('',*,*,#20456,.T.); +#20649=ORIENTED_EDGE('',*,*,#20250,.T.); +#20650=ORIENTED_EDGE('',*,*,#17484,.T.); +#20652=ORIENTED_EDGE('',*,*,#20651,.T.); +#20653=EDGE_LOOP('',(#20646,#20647,#20648,#20649,#20650,#20652)); +#20654=FACE_OUTER_BOUND('',#20653,.F.); +#20656=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.15E1)); +#20657=DIRECTION('',(0.E0,0.E0,1.E0)); +#20658=DIRECTION('',(-3.934818338778E-2,9.992255603537E-1,0.E0)); +#20659=AXIS2_PLACEMENT_3D('',#20656,#20657,#20658); +#20660=TOROIDAL_SURFACE('',#20659,4.5E0,5.E-1); +#20661=ORIENTED_EDGE('',*,*,#20645,.F.); +#20663=ORIENTED_EDGE('',*,*,#20662,.F.); +#20664=ORIENTED_EDGE('',*,*,#17660,.T.); +#20665=ORIENTED_EDGE('',*,*,#20632,.T.); +#20666=EDGE_LOOP('',(#20661,#20663,#20664,#20665)); +#20667=FACE_OUTER_BOUND('',#20666,.F.); +#20669=CARTESIAN_POINT('',(-3.364679172067E1,1.555E1,-2.15E1)); +#20670=DIRECTION('',(1.E0,0.E0,0.E0)); +#20671=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20672=AXIS2_PLACEMENT_3D('',#20669,#20670,#20671); +#20673=CYLINDRICAL_SURFACE('',#20672,5.E-1); +#20674=ORIENTED_EDGE('',*,*,#17662,.T.); +#20675=ORIENTED_EDGE('',*,*,#20662,.T.); +#20677=ORIENTED_EDGE('',*,*,#20676,.F.); +#20678=ORIENTED_EDGE('',*,*,#17633,.F.); +#20679=EDGE_LOOP('',(#20674,#20675,#20677,#20678)); +#20680=FACE_OUTER_BOUND('',#20679,.F.); +#20682=CARTESIAN_POINT('',(3.345E1,1.605E1,-2.E1)); +#20683=DIRECTION('',(0.E0,1.E0,0.E0)); +#20684=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20685=AXIS2_PLACEMENT_3D('',#20682,#20683,#20684); +#20686=PLANE('',#20685); +#20687=ORIENTED_EDGE('',*,*,#20676,.T.); +#20688=ORIENTED_EDGE('',*,*,#20651,.F.); +#20689=ORIENTED_EDGE('',*,*,#17482,.T.); +#20690=ORIENTED_EDGE('',*,*,#17614,.T.); +#20691=EDGE_LOOP('',(#20687,#20688,#20689,#20690)); +#20692=FACE_OUTER_BOUND('',#20691,.F.); +#20694=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.2E1)); +#20695=DIRECTION('',(0.E0,0.E0,1.E0)); +#20696=DIRECTION('',(1.E0,0.E0,0.E0)); +#20697=AXIS2_PLACEMENT_3D('',#20694,#20695,#20696); +#20698=CYLINDRICAL_SURFACE('',#20697,3.E0); +#20700=ORIENTED_EDGE('',*,*,#20699,.T.); +#20702=ORIENTED_EDGE('',*,*,#20701,.F.); +#20703=ORIENTED_EDGE('',*,*,#17669,.T.); +#20705=ORIENTED_EDGE('',*,*,#20704,.F.); +#20706=ORIENTED_EDGE('',*,*,#20470,.T.); +#20708=ORIENTED_EDGE('',*,*,#20707,.T.); +#20709=EDGE_LOOP('',(#20700,#20702,#20703,#20705,#20706,#20708)); +#20710=FACE_OUTER_BOUND('',#20709,.F.); +#20712=CARTESIAN_POINT('',(-3.17E1,1.08E1,-3.375E1)); +#20713=DIRECTION('',(0.E0,0.E0,1.E0)); +#20714=DIRECTION('',(-9.978492724183E-1,-6.555020621099E-2,0.E0)); +#20715=AXIS2_PLACEMENT_3D('',#20712,#20713,#20714); +#20716=TOROIDAL_SURFACE('',#20715,2.5E0,5.E-1); +#20717=ORIENTED_EDGE('',*,*,#20346,.T.); +#20719=ORIENTED_EDGE('',*,*,#20718,.T.); +#20720=ORIENTED_EDGE('',*,*,#20699,.F.); +#20722=ORIENTED_EDGE('',*,*,#20721,.F.); +#20723=EDGE_LOOP('',(#20717,#20719,#20720,#20722)); +#20724=FACE_OUTER_BOUND('',#20723,.F.); +#20726=CARTESIAN_POINT('',(-3.17E1,1.08E1,-3.375E1)); +#20727=DIRECTION('',(0.E0,0.E0,1.E0)); +#20728=DIRECTION('',(9.978492724183E-1,6.555020621099E-2,0.E0)); +#20729=AXIS2_PLACEMENT_3D('',#20726,#20727,#20728); +#20730=TOROIDAL_SURFACE('',#20729,2.5E0,5.E-1); +#20731=ORIENTED_EDGE('',*,*,#20344,.T.); +#20732=ORIENTED_EDGE('',*,*,#20721,.T.); +#20734=ORIENTED_EDGE('',*,*,#20733,.F.); +#20735=ORIENTED_EDGE('',*,*,#20718,.F.); +#20736=EDGE_LOOP('',(#20731,#20732,#20734,#20735)); +#20737=FACE_OUTER_BOUND('',#20736,.F.); +#20739=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.2E1)); +#20740=DIRECTION('',(0.E0,0.E0,1.E0)); +#20741=DIRECTION('',(1.E0,0.E0,0.E0)); +#20742=AXIS2_PLACEMENT_3D('',#20739,#20740,#20741); +#20743=CYLINDRICAL_SURFACE('',#20742,3.E0); +#20744=ORIENTED_EDGE('',*,*,#20733,.T.); +#20745=ORIENTED_EDGE('',*,*,#20707,.F.); +#20746=ORIENTED_EDGE('',*,*,#20483,.T.); +#20748=ORIENTED_EDGE('',*,*,#20747,.T.); +#20749=ORIENTED_EDGE('',*,*,#17671,.T.); +#20750=ORIENTED_EDGE('',*,*,#20701,.T.); +#20751=EDGE_LOOP('',(#20744,#20745,#20746,#20748,#20749,#20750)); +#20752=FACE_OUTER_BOUND('',#20751,.F.); +#20754=CARTESIAN_POINT('',(-3.529687364248E1,9.049999999999E0,-2.2E1)); +#20755=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20756=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20757=AXIS2_PLACEMENT_3D('',#20754,#20755,#20756); +#20758=CYLINDRICAL_SURFACE('',#20757,1.E0); +#20759=ORIENTED_EDGE('',*,*,#17673,.T.); +#20760=ORIENTED_EDGE('',*,*,#20747,.F.); +#20761=ORIENTED_EDGE('',*,*,#20481,.T.); +#20763=ORIENTED_EDGE('',*,*,#20762,.F.); +#20764=EDGE_LOOP('',(#20759,#20760,#20761,#20763)); +#20765=FACE_OUTER_BOUND('',#20764,.F.); +#20767=CARTESIAN_POINT('',(-3.529687364248E1,1.005E1,-2.2E1)); +#20768=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20769=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20770=AXIS2_PLACEMENT_3D('',#20767,#20768,#20769); +#20771=PLANE('',#20770); +#20773=ORIENTED_EDGE('',*,*,#20772,.T.); +#20775=ORIENTED_EDGE('',*,*,#20774,.F.); +#20776=ORIENTED_EDGE('',*,*,#17675,.T.); +#20777=ORIENTED_EDGE('',*,*,#20762,.T.); +#20778=ORIENTED_EDGE('',*,*,#20479,.T.); +#20779=EDGE_LOOP('',(#20773,#20775,#20776,#20777,#20778)); +#20780=FACE_OUTER_BOUND('',#20779,.F.); +#20782=CARTESIAN_POINT('',(-3.845E1,1.105E1,-2.E1)); +#20783=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20784=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20785=AXIS2_PLACEMENT_3D('',#20782,#20783,#20784); +#20786=PLANE('',#20785); +#20788=ORIENTED_EDGE('',*,*,#20787,.T.); +#20790=ORIENTED_EDGE('',*,*,#20789,.F.); +#20791=ORIENTED_EDGE('',*,*,#20498,.T.); +#20793=ORIENTED_EDGE('',*,*,#20792,.F.); +#20795=ORIENTED_EDGE('',*,*,#20794,.T.); +#20796=ORIENTED_EDGE('',*,*,#20772,.F.); +#20797=ORIENTED_EDGE('',*,*,#20477,.T.); +#20798=ORIENTED_EDGE('',*,*,#17617,.F.); +#20799=ORIENTED_EDGE('',*,*,#17494,.T.); +#20801=ORIENTED_EDGE('',*,*,#20800,.T.); +#20802=EDGE_LOOP('',(#20788,#20790,#20791,#20793,#20795,#20796,#20797,#20798, +#20799,#20801)); +#20803=FACE_OUTER_BOUND('',#20802,.F.); +#20805=CARTESIAN_POINT('',(-3.795E1,-1.124679172067E1,-2.15E1)); +#20806=DIRECTION('',(0.E0,1.E0,0.E0)); +#20807=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20808=AXIS2_PLACEMENT_3D('',#20805,#20806,#20807); +#20809=CYLINDRICAL_SURFACE('',#20808,5.E-1); +#20810=ORIENTED_EDGE('',*,*,#20787,.F.); +#20812=ORIENTED_EDGE('',*,*,#20811,.F.); +#20813=ORIENTED_EDGE('',*,*,#17691,.T.); +#20815=ORIENTED_EDGE('',*,*,#20814,.T.); +#20816=EDGE_LOOP('',(#20810,#20812,#20813,#20815)); +#20817=FACE_OUTER_BOUND('',#20816,.F.); +#20819=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.15E1)); +#20820=DIRECTION('',(0.E0,0.E0,1.E0)); +#20821=DIRECTION('',(3.934818338778E-2,-9.992255603537E-1,0.E0)); +#20822=AXIS2_PLACEMENT_3D('',#20819,#20820,#20821); +#20823=TOROIDAL_SURFACE('',#20822,4.5E0,5.E-1); +#20824=ORIENTED_EDGE('',*,*,#17693,.T.); +#20825=ORIENTED_EDGE('',*,*,#20811,.T.); +#20827=ORIENTED_EDGE('',*,*,#20826,.F.); +#20829=ORIENTED_EDGE('',*,*,#20828,.F.); +#20830=EDGE_LOOP('',(#20824,#20825,#20827,#20829)); +#20831=FACE_OUTER_BOUND('',#20830,.F.); +#20833=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.E1)); +#20834=DIRECTION('',(0.E0,0.E0,1.E0)); +#20835=DIRECTION('',(1.E0,0.E0,0.E0)); +#20836=AXIS2_PLACEMENT_3D('',#20833,#20834,#20835); +#20837=CYLINDRICAL_SURFACE('',#20836,5.E0); +#20838=ORIENTED_EDGE('',*,*,#20826,.T.); +#20839=ORIENTED_EDGE('',*,*,#20800,.F.); +#20840=ORIENTED_EDGE('',*,*,#17492,.T.); +#20842=ORIENTED_EDGE('',*,*,#20841,.T.); +#20843=EDGE_LOOP('',(#20838,#20839,#20840,#20842)); +#20844=FACE_OUTER_BOUND('',#20843,.F.); +#20846=CARTESIAN_POINT('',(-3.345E1,-1.605E1,-2.E1)); +#20847=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20848=DIRECTION('',(1.E0,0.E0,0.E0)); +#20849=AXIS2_PLACEMENT_3D('',#20846,#20847,#20848); +#20850=PLANE('',#20849); +#20852=ORIENTED_EDGE('',*,*,#20851,.T.); +#20853=ORIENTED_EDGE('',*,*,#20841,.F.); +#20854=ORIENTED_EDGE('',*,*,#17490,.T.); +#20856=ORIENTED_EDGE('',*,*,#20855,.T.); +#20857=EDGE_LOOP('',(#20852,#20853,#20854,#20856)); +#20858=FACE_OUTER_BOUND('',#20857,.F.); +#20860=CARTESIAN_POINT('',(3.364679172067E1,-1.555E1,-2.15E1)); +#20861=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20862=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20863=AXIS2_PLACEMENT_3D('',#20860,#20861,#20862); +#20864=CYLINDRICAL_SURFACE('',#20863,5.E-1); +#20865=ORIENTED_EDGE('',*,*,#17695,.T.); +#20866=ORIENTED_EDGE('',*,*,#20828,.T.); +#20867=ORIENTED_EDGE('',*,*,#20851,.F.); +#20869=ORIENTED_EDGE('',*,*,#20868,.F.); +#20870=EDGE_LOOP('',(#20865,#20866,#20867,#20869)); +#20871=FACE_OUTER_BOUND('',#20870,.F.); +#20873=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.15E1)); +#20874=DIRECTION('',(0.E0,0.E0,1.E0)); +#20875=DIRECTION('',(9.992255603537E-1,3.934818338778E-2,0.E0)); +#20876=AXIS2_PLACEMENT_3D('',#20873,#20874,#20875); +#20877=TOROIDAL_SURFACE('',#20876,4.5E0,5.E-1); +#20878=ORIENTED_EDGE('',*,*,#17697,.T.); +#20879=ORIENTED_EDGE('',*,*,#20868,.T.); +#20881=ORIENTED_EDGE('',*,*,#20880,.F.); +#20883=ORIENTED_EDGE('',*,*,#20882,.F.); +#20884=EDGE_LOOP('',(#20878,#20879,#20881,#20883)); +#20885=FACE_OUTER_BOUND('',#20884,.F.); +#20887=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.E1)); +#20888=DIRECTION('',(0.E0,0.E0,1.E0)); +#20889=DIRECTION('',(1.E0,0.E0,0.E0)); +#20890=AXIS2_PLACEMENT_3D('',#20887,#20888,#20889); +#20891=CYLINDRICAL_SURFACE('',#20890,5.E0); +#20892=ORIENTED_EDGE('',*,*,#20880,.T.); +#20893=ORIENTED_EDGE('',*,*,#20855,.F.); +#20894=ORIENTED_EDGE('',*,*,#17488,.T.); +#20895=ORIENTED_EDGE('',*,*,#20247,.T.); +#20896=EDGE_LOOP('',(#20892,#20893,#20894,#20895)); +#20897=FACE_OUTER_BOUND('',#20896,.F.); +#20899=CARTESIAN_POINT('',(3.795E1,5.789556E1,-2.15E1)); +#20900=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20901=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20902=AXIS2_PLACEMENT_3D('',#20899,#20900,#20901); +#20903=CYLINDRICAL_SURFACE('',#20902,5.E-1); +#20904=ORIENTED_EDGE('',*,*,#17699,.T.); +#20905=ORIENTED_EDGE('',*,*,#20882,.T.); +#20906=ORIENTED_EDGE('',*,*,#20245,.F.); +#20908=ORIENTED_EDGE('',*,*,#20907,.T.); +#20909=EDGE_LOOP('',(#20904,#20905,#20906,#20908)); +#20910=FACE_OUTER_BOUND('',#20909,.F.); +#20912=CARTESIAN_POINT('',(3.845E1,-8.050000000001E0,-2.2E1)); +#20913=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20914=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20915=AXIS2_PLACEMENT_3D('',#20912,#20913,#20914); +#20916=PLANE('',#20915); +#20917=ORIENTED_EDGE('',*,*,#17701,.F.); +#20918=ORIENTED_EDGE('',*,*,#20907,.F.); +#20919=ORIENTED_EDGE('',*,*,#20243,.T.); +#20920=ORIENTED_EDGE('',*,*,#20518,.T.); +#20922=ORIENTED_EDGE('',*,*,#20921,.F.); +#20923=EDGE_LOOP('',(#20917,#20918,#20919,#20920,#20922)); +#20924=FACE_OUTER_BOUND('',#20923,.F.); +#20926=CARTESIAN_POINT('',(3.679687364248E1,-9.050000000001E0,-2.2E1)); +#20927=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20928=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20929=AXIS2_PLACEMENT_3D('',#20926,#20927,#20928); +#20930=CYLINDRICAL_SURFACE('',#20929,1.E0); +#20931=ORIENTED_EDGE('',*,*,#17703,.T.); +#20932=ORIENTED_EDGE('',*,*,#20921,.T.); +#20933=ORIENTED_EDGE('',*,*,#20516,.T.); +#20935=ORIENTED_EDGE('',*,*,#20934,.T.); +#20936=EDGE_LOOP('',(#20931,#20932,#20933,#20935)); +#20937=FACE_OUTER_BOUND('',#20936,.F.); +#20939=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.2E1)); +#20940=DIRECTION('',(0.E0,0.E0,1.E0)); +#20941=DIRECTION('',(1.E0,0.E0,0.E0)); +#20942=AXIS2_PLACEMENT_3D('',#20939,#20940,#20941); +#20943=CYLINDRICAL_SURFACE('',#20942,3.E0); +#20945=ORIENTED_EDGE('',*,*,#20944,.T.); +#20946=ORIENTED_EDGE('',*,*,#20301,.F.); +#20947=ORIENTED_EDGE('',*,*,#17705,.T.); +#20948=ORIENTED_EDGE('',*,*,#20934,.F.); +#20949=ORIENTED_EDGE('',*,*,#20514,.T.); +#20950=ORIENTED_EDGE('',*,*,#20295,.T.); +#20951=EDGE_LOOP('',(#20945,#20946,#20947,#20948,#20949,#20950)); +#20952=FACE_OUTER_BOUND('',#20951,.F.); +#20954=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-3.375E1)); +#20955=DIRECTION('',(0.E0,0.E0,1.E0)); +#20956=DIRECTION('',(9.978492724183E-1,6.555020621099E-2,0.E0)); +#20957=AXIS2_PLACEMENT_3D('',#20954,#20955,#20956); +#20958=TOROIDAL_SURFACE('',#20957,2.5E0,5.E-1); +#20959=ORIENTED_EDGE('',*,*,#20356,.T.); +#20960=ORIENTED_EDGE('',*,*,#20316,.T.); +#20961=ORIENTED_EDGE('',*,*,#20944,.F.); +#20962=ORIENTED_EDGE('',*,*,#20313,.F.); +#20963=EDGE_LOOP('',(#20959,#20960,#20961,#20962)); +#20964=FACE_OUTER_BOUND('',#20963,.F.); +#20966=CARTESIAN_POINT('',(-3.679687364248E1,-8.050000000001E0,-2.2E1)); +#20967=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20968=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20969=AXIS2_PLACEMENT_3D('',#20966,#20967,#20968); +#20970=PLANE('',#20969); +#20971=ORIENTED_EDGE('',*,*,#20789,.T.); +#20972=ORIENTED_EDGE('',*,*,#20814,.F.); +#20973=ORIENTED_EDGE('',*,*,#17689,.T.); +#20975=ORIENTED_EDGE('',*,*,#20974,.T.); +#20976=ORIENTED_EDGE('',*,*,#20500,.T.); +#20977=EDGE_LOOP('',(#20971,#20972,#20973,#20975,#20976)); +#20978=FACE_OUTER_BOUND('',#20977,.F.); +#20980=CARTESIAN_POINT('',(-3.679687364248E1,-9.050000000001E0,-2.2E1)); +#20981=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20982=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20983=AXIS2_PLACEMENT_3D('',#20980,#20981,#20982); +#20984=CYLINDRICAL_SURFACE('',#20983,1.E0); +#20985=ORIENTED_EDGE('',*,*,#17687,.T.); +#20986=ORIENTED_EDGE('',*,*,#20435,.F.); +#20987=ORIENTED_EDGE('',*,*,#20502,.T.); +#20988=ORIENTED_EDGE('',*,*,#20974,.F.); +#20989=EDGE_LOOP('',(#20985,#20986,#20987,#20988)); +#20990=FACE_OUTER_BOUND('',#20989,.F.); +#20992=CARTESIAN_POINT('',(-3.845E1,-6.550000000001E0,-2.2E1)); +#20993=DIRECTION('',(0.E0,1.E0,0.E0)); +#20994=DIRECTION('',(1.E0,0.E0,0.E0)); +#20995=AXIS2_PLACEMENT_3D('',#20992,#20993,#20994); +#20996=PLANE('',#20995); +#20997=ORIENTED_EDGE('',*,*,#17679,.F.); +#20999=ORIENTED_EDGE('',*,*,#20998,.F.); +#21000=ORIENTED_EDGE('',*,*,#20792,.T.); +#21001=ORIENTED_EDGE('',*,*,#20496,.T.); +#21003=ORIENTED_EDGE('',*,*,#21002,.F.); +#21004=EDGE_LOOP('',(#20997,#20999,#21000,#21001,#21003)); +#21005=FACE_OUTER_BOUND('',#21004,.F.); +#21007=CARTESIAN_POINT('',(-3.795E1,-5.789556E1,-2.15E1)); +#21008=DIRECTION('',(0.E0,1.E0,0.E0)); +#21009=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21010=AXIS2_PLACEMENT_3D('',#21007,#21008,#21009); +#21011=CYLINDRICAL_SURFACE('',#21010,5.E-1); +#21012=ORIENTED_EDGE('',*,*,#20794,.F.); +#21013=ORIENTED_EDGE('',*,*,#20998,.T.); +#21014=ORIENTED_EDGE('',*,*,#17677,.T.); +#21015=ORIENTED_EDGE('',*,*,#20774,.T.); +#21016=EDGE_LOOP('',(#21012,#21013,#21014,#21015)); +#21017=FACE_OUTER_BOUND('',#21016,.F.); +#21019=CARTESIAN_POINT('',(-3.679687364248E1,-5.550000000001E0,-2.2E1)); +#21020=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21021=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21022=AXIS2_PLACEMENT_3D('',#21019,#21020,#21021); +#21023=CYLINDRICAL_SURFACE('',#21022,1.E0); +#21024=ORIENTED_EDGE('',*,*,#17681,.T.); +#21025=ORIENTED_EDGE('',*,*,#21002,.T.); +#21026=ORIENTED_EDGE('',*,*,#20494,.T.); +#21027=ORIENTED_EDGE('',*,*,#20417,.T.); +#21028=EDGE_LOOP('',(#21024,#21025,#21026,#21027)); +#21029=FACE_OUTER_BOUND('',#21028,.F.); +#21031=CARTESIAN_POINT('',(-3.529687364248E1,1.255E1,-2.2E1)); +#21032=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21033=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21034=AXIS2_PLACEMENT_3D('',#21031,#21032,#21033); +#21035=CYLINDRICAL_SURFACE('',#21034,1.E0); +#21036=ORIENTED_EDGE('',*,*,#17667,.T.); +#21038=ORIENTED_EDGE('',*,*,#21037,.T.); +#21039=ORIENTED_EDGE('',*,*,#20472,.T.); +#21040=ORIENTED_EDGE('',*,*,#20704,.T.); +#21041=EDGE_LOOP('',(#21036,#21038,#21039,#21040)); +#21042=FACE_OUTER_BOUND('',#21041,.F.); +#21044=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.2E1)); +#21045=DIRECTION('',(0.E0,1.E0,0.E0)); +#21046=DIRECTION('',(1.E0,0.E0,0.E0)); +#21047=AXIS2_PLACEMENT_3D('',#21044,#21045,#21046); +#21048=PLANE('',#21047); +#21049=ORIENTED_EDGE('',*,*,#17665,.F.); +#21050=ORIENTED_EDGE('',*,*,#17636,.F.); +#21051=ORIENTED_EDGE('',*,*,#17621,.T.); +#21052=ORIENTED_EDGE('',*,*,#20474,.T.); +#21053=ORIENTED_EDGE('',*,*,#21037,.F.); +#21054=EDGE_LOOP('',(#21049,#21050,#21051,#21052,#21053)); +#21055=FACE_OUTER_BOUND('',#21054,.F.); +#21057=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-3.425E1)); +#21058=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21059=DIRECTION('',(1.E0,0.E0,0.E0)); +#21060=AXIS2_PLACEMENT_3D('',#21057,#21058,#21059); +#21061=CYLINDRICAL_SURFACE('',#21060,7.5E-1); +#21062=ORIENTED_EDGE('',*,*,#20332,.F.); +#21064=ORIENTED_EDGE('',*,*,#21063,.T.); +#21066=ORIENTED_EDGE('',*,*,#21065,.T.); +#21068=ORIENTED_EDGE('',*,*,#21067,.F.); +#21069=EDGE_LOOP('',(#21062,#21064,#21066,#21068)); +#21070=FACE_OUTER_BOUND('',#21069,.F.); +#21072=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-3.425E1)); +#21073=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21074=DIRECTION('',(1.E0,0.E0,0.E0)); +#21075=AXIS2_PLACEMENT_3D('',#21072,#21073,#21074); +#21076=CYLINDRICAL_SURFACE('',#21075,7.5E-1); +#21077=ORIENTED_EDGE('',*,*,#20334,.F.); +#21078=ORIENTED_EDGE('',*,*,#21067,.T.); +#21080=ORIENTED_EDGE('',*,*,#21079,.T.); +#21081=ORIENTED_EDGE('',*,*,#21063,.F.); +#21082=EDGE_LOOP('',(#21077,#21078,#21080,#21081)); +#21083=FACE_OUTER_BOUND('',#21082,.F.); +#21085=CARTESIAN_POINT('',(0.E0,0.E0,-3.625E1)); +#21086=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21087=DIRECTION('',(1.E0,0.E0,0.E0)); +#21088=AXIS2_PLACEMENT_3D('',#21085,#21086,#21087); +#21089=PLANE('',#21088); +#21090=ORIENTED_EDGE('',*,*,#21065,.F.); +#21091=ORIENTED_EDGE('',*,*,#21079,.F.); +#21092=EDGE_LOOP('',(#21090,#21091)); +#21093=FACE_OUTER_BOUND('',#21092,.F.); +#21095=CARTESIAN_POINT('',(0.E0,0.E0,-3.625E1)); +#21096=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21097=DIRECTION('',(1.E0,0.E0,0.E0)); +#21098=AXIS2_PLACEMENT_3D('',#21095,#21096,#21097); +#21099=PLANE('',#21098); +#21101=ORIENTED_EDGE('',*,*,#21100,.F.); +#21103=ORIENTED_EDGE('',*,*,#21102,.F.); +#21104=EDGE_LOOP('',(#21101,#21103)); +#21105=FACE_OUTER_BOUND('',#21104,.F.); +#21107=CARTESIAN_POINT('',(3.17E1,1.08E1,-3.425E1)); +#21108=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21109=DIRECTION('',(1.E0,0.E0,0.E0)); +#21110=AXIS2_PLACEMENT_3D('',#21107,#21108,#21109); +#21111=CYLINDRICAL_SURFACE('',#21110,7.5E-1); +#21112=ORIENTED_EDGE('',*,*,#20373,.F.); +#21114=ORIENTED_EDGE('',*,*,#21113,.T.); +#21115=ORIENTED_EDGE('',*,*,#21100,.T.); +#21117=ORIENTED_EDGE('',*,*,#21116,.F.); +#21118=EDGE_LOOP('',(#21112,#21114,#21115,#21117)); +#21119=FACE_OUTER_BOUND('',#21118,.F.); +#21121=CARTESIAN_POINT('',(3.17E1,1.08E1,-3.425E1)); +#21122=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21123=DIRECTION('',(1.E0,0.E0,0.E0)); +#21124=AXIS2_PLACEMENT_3D('',#21121,#21122,#21123); +#21125=CYLINDRICAL_SURFACE('',#21124,7.5E-1); +#21126=ORIENTED_EDGE('',*,*,#20375,.F.); +#21127=ORIENTED_EDGE('',*,*,#21116,.T.); +#21128=ORIENTED_EDGE('',*,*,#21102,.T.); +#21129=ORIENTED_EDGE('',*,*,#21113,.F.); +#21130=EDGE_LOOP('',(#21126,#21127,#21128,#21129)); +#21131=FACE_OUTER_BOUND('',#21130,.F.); +#21133=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#21134=DIRECTION('',(0.E0,0.E0,1.E0)); +#21135=DIRECTION('',(1.E0,0.E0,0.E0)); +#21136=AXIS2_PLACEMENT_3D('',#21133,#21134,#21135); +#21137=CYLINDRICAL_SURFACE('',#21136,3.25E0); +#21138=ORIENTED_EDGE('',*,*,#17540,.F.); +#21140=ORIENTED_EDGE('',*,*,#21139,.T.); +#21141=ORIENTED_EDGE('',*,*,#17209,.T.); +#21143=ORIENTED_EDGE('',*,*,#21142,.F.); +#21144=EDGE_LOOP('',(#21138,#21140,#21141,#21143)); +#21145=FACE_OUTER_BOUND('',#21144,.F.); +#21147=CARTESIAN_POINT('',(3.07E1,6.669322089535E0,-2.E1)); +#21148=DIRECTION('',(0.E0,0.E0,1.E0)); +#21149=DIRECTION('',(1.E0,0.E0,0.E0)); +#21150=AXIS2_PLACEMENT_3D('',#21147,#21148,#21149); +#21151=CYLINDRICAL_SURFACE('',#21150,1.E0); +#21152=ORIENTED_EDGE('',*,*,#17602,.T.); +#21154=ORIENTED_EDGE('',*,*,#21153,.F.); +#21155=ORIENTED_EDGE('',*,*,#17082,.T.); +#21156=ORIENTED_EDGE('',*,*,#17211,.T.); +#21157=ORIENTED_EDGE('',*,*,#21139,.F.); +#21158=EDGE_LOOP('',(#21152,#21154,#21155,#21156,#21157)); +#21159=FACE_OUTER_BOUND('',#21158,.F.); +#21161=CARTESIAN_POINT('',(2.35E1,5.6E0,-1.95E1)); +#21162=DIRECTION('',(0.E0,0.E0,1.E0)); +#21163=DIRECTION('',(-2.061272718600E-2,9.997875351683E-1,0.E0)); +#21164=AXIS2_PLACEMENT_3D('',#21161,#21162,#21163); +#21165=TOROIDAL_SURFACE('',#21164,6.7E0,5.E-1); +#21166=ORIENTED_EDGE('',*,*,#16825,.T.); +#21168=ORIENTED_EDGE('',*,*,#21167,.T.); +#21169=ORIENTED_EDGE('',*,*,#17549,.T.); +#21170=EDGE_LOOP('',(#21166,#21168,#21169)); +#21171=FACE_OUTER_BOUND('',#21170,.F.); +#21173=CARTESIAN_POINT('',(2.35E1,5.6E0,-1.95E1)); +#21174=DIRECTION('',(0.E0,0.E0,1.E0)); +#21175=DIRECTION('',(-2.061272718600E-2,9.997875351683E-1,0.E0)); +#21176=AXIS2_PLACEMENT_3D('',#21173,#21174,#21175); +#21177=TOROIDAL_SURFACE('',#21176,6.7E0,5.E-1); +#21178=ORIENTED_EDGE('',*,*,#17084,.T.); +#21179=ORIENTED_EDGE('',*,*,#21153,.T.); +#21180=ORIENTED_EDGE('',*,*,#17600,.T.); +#21182=ORIENTED_EDGE('',*,*,#21181,.F.); +#21183=EDGE_LOOP('',(#21178,#21179,#21180,#21182)); +#21184=FACE_OUTER_BOUND('',#21183,.F.); +#21186=CARTESIAN_POINT('',(-2.362780796025E1,1.23E1,-1.95E1)); +#21187=DIRECTION('',(1.E0,0.E0,0.E0)); +#21188=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21189=AXIS2_PLACEMENT_3D('',#21186,#21187,#21188); +#21190=CYLINDRICAL_SURFACE('',#21189,5.E-1); +#21191=ORIENTED_EDGE('',*,*,#16823,.F.); +#21193=ORIENTED_EDGE('',*,*,#21192,.T.); +#21194=ORIENTED_EDGE('',*,*,#17551,.T.); +#21195=ORIENTED_EDGE('',*,*,#21167,.F.); +#21196=EDGE_LOOP('',(#21191,#21193,#21194,#21195)); +#21197=FACE_OUTER_BOUND('',#21196,.F.); +#21199=CARTESIAN_POINT('',(-2.35E1,5.6E0,-1.95E1)); +#21200=DIRECTION('',(0.E0,0.E0,1.E0)); +#21201=DIRECTION('',(-9.997875351683E-1,-2.061272718600E-2,0.E0)); +#21202=AXIS2_PLACEMENT_3D('',#21199,#21200,#21201); +#21203=TOROIDAL_SURFACE('',#21202,6.7E0,5.E-1); +#21204=ORIENTED_EDGE('',*,*,#17389,.T.); +#21206=ORIENTED_EDGE('',*,*,#21205,.T.); +#21207=ORIENTED_EDGE('',*,*,#17566,.T.); +#21209=ORIENTED_EDGE('',*,*,#21208,.T.); +#21210=EDGE_LOOP('',(#21204,#21206,#21207,#21209)); +#21211=FACE_OUTER_BOUND('',#21210,.F.); +#21213=CARTESIAN_POINT('',(-2.35E1,5.6E0,-1.95E1)); +#21214=DIRECTION('',(0.E0,0.E0,1.E0)); +#21215=DIRECTION('',(-9.997875351683E-1,-2.061272718600E-2,0.E0)); +#21216=AXIS2_PLACEMENT_3D('',#21213,#21214,#21215); +#21217=TOROIDAL_SURFACE('',#21216,6.7E0,5.E-1); +#21218=ORIENTED_EDGE('',*,*,#16821,.T.); +#21219=ORIENTED_EDGE('',*,*,#17553,.T.); +#21220=ORIENTED_EDGE('',*,*,#21192,.F.); +#21221=EDGE_LOOP('',(#21218,#21219,#21220)); +#21222=FACE_OUTER_BOUND('',#21221,.F.); +#21224=CARTESIAN_POINT('',(-3.02E1,-5.727807960247E0,-1.95E1)); +#21225=DIRECTION('',(0.E0,1.E0,0.E0)); +#21226=DIRECTION('',(1.E0,0.E0,0.E0)); +#21227=AXIS2_PLACEMENT_3D('',#21224,#21225,#21226); +#21228=CYLINDRICAL_SURFACE('',#21227,5.E-1); +#21230=ORIENTED_EDGE('',*,*,#21229,.T.); +#21231=ORIENTED_EDGE('',*,*,#17568,.T.); +#21232=ORIENTED_EDGE('',*,*,#21205,.F.); +#21233=ORIENTED_EDGE('',*,*,#17387,.F.); +#21234=EDGE_LOOP('',(#21230,#21231,#21232,#21233)); +#21235=FACE_OUTER_BOUND('',#21234,.F.); +#21237=CARTESIAN_POINT('',(-3.07E1,-3.050000000001E0,-2.E1)); +#21238=DIRECTION('',(0.E0,0.E0,1.E0)); +#21239=DIRECTION('',(1.E0,0.E0,0.E0)); +#21240=AXIS2_PLACEMENT_3D('',#21237,#21238,#21239); +#21241=CYLINDRICAL_SURFACE('',#21240,1.E0); +#21242=ORIENTED_EDGE('',*,*,#17385,.T.); +#21243=ORIENTED_EDGE('',*,*,#17153,.T.); +#21245=ORIENTED_EDGE('',*,*,#21244,.F.); +#21246=ORIENTED_EDGE('',*,*,#17570,.T.); +#21247=ORIENTED_EDGE('',*,*,#21229,.F.); +#21248=EDGE_LOOP('',(#21242,#21243,#21245,#21246,#21247)); +#21249=FACE_OUTER_BOUND('',#21248,.F.); +#21251=CARTESIAN_POINT('',(-3.07E1,-4.050000000001E0,-2.E1)); +#21252=DIRECTION('',(0.E0,1.E0,0.E0)); +#21253=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21254=AXIS2_PLACEMENT_3D('',#21251,#21252,#21253); +#21255=PLANE('',#21254); +#21256=ORIENTED_EDGE('',*,*,#17572,.F.); +#21257=ORIENTED_EDGE('',*,*,#21244,.T.); +#21258=ORIENTED_EDGE('',*,*,#17151,.T.); +#21260=ORIENTED_EDGE('',*,*,#21259,.F.); +#21261=EDGE_LOOP('',(#21256,#21257,#21258,#21260)); +#21262=FACE_OUTER_BOUND('',#21261,.F.); +#21264=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.E1)); +#21265=DIRECTION('',(0.E0,0.E0,1.E0)); +#21266=DIRECTION('',(1.E0,0.E0,0.E0)); +#21267=AXIS2_PLACEMENT_3D('',#21264,#21265,#21266); +#21268=CYLINDRICAL_SURFACE('',#21267,3.25E0); +#21269=ORIENTED_EDGE('',*,*,#17574,.F.); +#21270=ORIENTED_EDGE('',*,*,#21259,.T.); +#21271=ORIENTED_EDGE('',*,*,#17149,.T.); +#21273=ORIENTED_EDGE('',*,*,#21272,.F.); +#21274=EDGE_LOOP('',(#21269,#21270,#21271,#21273)); +#21275=FACE_OUTER_BOUND('',#21274,.F.); +#21277=CARTESIAN_POINT('',(-3.545E1,-4.954792120089E0,-2.E1)); +#21278=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21279=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21280=AXIS2_PLACEMENT_3D('',#21277,#21278,#21279); +#21281=PLANE('',#21280); +#21282=ORIENTED_EDGE('',*,*,#17576,.F.); +#21283=ORIENTED_EDGE('',*,*,#21272,.T.); +#21284=ORIENTED_EDGE('',*,*,#17147,.T.); +#21286=ORIENTED_EDGE('',*,*,#21285,.F.); +#21287=EDGE_LOOP('',(#21282,#21283,#21284,#21286)); +#21288=FACE_OUTER_BOUND('',#21287,.F.); +#21290=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.E1)); +#21291=DIRECTION('',(0.E0,0.E0,1.E0)); +#21292=DIRECTION('',(1.E0,0.E0,0.E0)); +#21293=AXIS2_PLACEMENT_3D('',#21290,#21291,#21292); +#21294=CYLINDRICAL_SURFACE('',#21293,3.25E0); +#21295=ORIENTED_EDGE('',*,*,#17578,.F.); +#21296=ORIENTED_EDGE('',*,*,#21285,.T.); +#21297=ORIENTED_EDGE('',*,*,#17145,.T.); +#21299=ORIENTED_EDGE('',*,*,#21298,.F.); +#21300=EDGE_LOOP('',(#21295,#21296,#21297,#21299)); +#21301=FACE_OUTER_BOUND('',#21300,.F.); +#21303=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-2.E1)); +#21304=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21305=DIRECTION('',(1.E0,0.E0,0.E0)); +#21306=AXIS2_PLACEMENT_3D('',#21303,#21304,#21305); +#21307=PLANE('',#21306); +#21308=ORIENTED_EDGE('',*,*,#17408,.F.); +#21310=ORIENTED_EDGE('',*,*,#21309,.F.); +#21311=ORIENTED_EDGE('',*,*,#17580,.F.); +#21312=ORIENTED_EDGE('',*,*,#21298,.T.); +#21313=ORIENTED_EDGE('',*,*,#17143,.T.); +#21314=EDGE_LOOP('',(#21308,#21310,#21311,#21312,#21313)); +#21315=FACE_OUTER_BOUND('',#21314,.F.); +#21317=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-1.95E1)); +#21318=DIRECTION('',(0.E0,0.E0,1.E0)); +#21319=DIRECTION('',(2.061272718600E-2,-9.997875351683E-1,0.E0)); +#21320=AXIS2_PLACEMENT_3D('',#21317,#21318,#21319); +#21321=TOROIDAL_SURFACE('',#21320,6.7E0,5.E-1); +#21322=ORIENTED_EDGE('',*,*,#21309,.T.); +#21323=ORIENTED_EDGE('',*,*,#17406,.F.); +#21325=ORIENTED_EDGE('',*,*,#21324,.T.); +#21326=ORIENTED_EDGE('',*,*,#17582,.T.); +#21327=EDGE_LOOP('',(#21322,#21323,#21325,#21326)); +#21328=FACE_OUTER_BOUND('',#21327,.F.); +#21330=CARTESIAN_POINT('',(2.362780796025E1,-1.23E1,-1.95E1)); +#21331=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21332=DIRECTION('',(0.E0,1.E0,0.E0)); +#21333=AXIS2_PLACEMENT_3D('',#21330,#21331,#21332); +#21334=CYLINDRICAL_SURFACE('',#21333,5.E-1); +#21335=ORIENTED_EDGE('',*,*,#17423,.F.); +#21337=ORIENTED_EDGE('',*,*,#21336,.T.); +#21338=ORIENTED_EDGE('',*,*,#17584,.T.); +#21339=ORIENTED_EDGE('',*,*,#21324,.F.); +#21340=EDGE_LOOP('',(#21335,#21337,#21338,#21339)); +#21341=FACE_OUTER_BOUND('',#21340,.F.); +#21343=CARTESIAN_POINT('',(2.35E1,-5.6E0,-1.95E1)); +#21344=DIRECTION('',(0.E0,0.E0,1.E0)); +#21345=DIRECTION('',(9.997875351683E-1,2.061272718600E-2,0.E0)); +#21346=AXIS2_PLACEMENT_3D('',#21343,#21344,#21345); +#21347=TOROIDAL_SURFACE('',#21346,6.7E0,5.E-1); +#21348=ORIENTED_EDGE('',*,*,#17456,.T.); +#21349=ORIENTED_EDGE('',*,*,#17586,.T.); +#21350=ORIENTED_EDGE('',*,*,#21336,.F.); +#21351=ORIENTED_EDGE('',*,*,#17439,.F.); +#21352=EDGE_LOOP('',(#21348,#21349,#21350,#21351)); +#21353=FACE_OUTER_BOUND('',#21352,.F.); +#21355=CARTESIAN_POINT('',(-3.07E1,6.669322089535E0,-2.E1)); +#21356=DIRECTION('',(0.E0,0.E0,1.E0)); +#21357=DIRECTION('',(1.E0,0.E0,0.E0)); +#21358=AXIS2_PLACEMENT_3D('',#21355,#21356,#21357); +#21359=CYLINDRICAL_SURFACE('',#21358,1.E0); +#21360=ORIENTED_EDGE('',*,*,#17564,.T.); +#21362=ORIENTED_EDGE('',*,*,#21361,.T.); +#21363=ORIENTED_EDGE('',*,*,#17117,.T.); +#21364=ORIENTED_EDGE('',*,*,#17391,.F.); +#21365=ORIENTED_EDGE('',*,*,#21208,.F.); +#21366=EDGE_LOOP('',(#21360,#21362,#21363,#21364,#21365)); +#21367=FACE_OUTER_BOUND('',#21366,.F.); +#21369=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#21370=DIRECTION('',(0.E0,0.E0,1.E0)); +#21371=DIRECTION('',(1.E0,0.E0,0.E0)); +#21372=AXIS2_PLACEMENT_3D('',#21369,#21370,#21371); +#21373=CYLINDRICAL_SURFACE('',#21372,3.25E0); +#21374=ORIENTED_EDGE('',*,*,#17562,.F.); +#21376=ORIENTED_EDGE('',*,*,#21375,.T.); +#21377=ORIENTED_EDGE('',*,*,#17119,.T.); +#21378=ORIENTED_EDGE('',*,*,#21361,.F.); +#21379=EDGE_LOOP('',(#21374,#21376,#21377,#21378)); +#21380=FACE_OUTER_BOUND('',#21379,.F.); +#21382=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-2.E1)); +#21383=DIRECTION('',(0.E0,1.E0,0.E0)); +#21384=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21385=AXIS2_PLACEMENT_3D('',#21382,#21383,#21384); +#21386=PLANE('',#21385); +#21387=ORIENTED_EDGE('',*,*,#17560,.F.); +#21389=ORIENTED_EDGE('',*,*,#21388,.T.); +#21390=ORIENTED_EDGE('',*,*,#17121,.T.); +#21391=ORIENTED_EDGE('',*,*,#21375,.F.); +#21392=EDGE_LOOP('',(#21387,#21389,#21390,#21391)); +#21393=FACE_OUTER_BOUND('',#21392,.F.); +#21395=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#21396=DIRECTION('',(0.E0,0.E0,1.E0)); +#21397=DIRECTION('',(1.E0,0.E0,0.E0)); +#21398=AXIS2_PLACEMENT_3D('',#21395,#21396,#21397); +#21399=CYLINDRICAL_SURFACE('',#21398,3.25E0); +#21400=ORIENTED_EDGE('',*,*,#17558,.F.); +#21402=ORIENTED_EDGE('',*,*,#21401,.T.); +#21403=ORIENTED_EDGE('',*,*,#17123,.T.); +#21404=ORIENTED_EDGE('',*,*,#21388,.F.); +#21405=EDGE_LOOP('',(#21400,#21402,#21403,#21404)); +#21406=FACE_OUTER_BOUND('',#21405,.F.); +#21408=CARTESIAN_POINT('',(-2.795E1,1.28E1,-2.E1)); +#21409=DIRECTION('',(0.E0,0.E0,1.E0)); +#21410=DIRECTION('',(1.E0,0.E0,0.E0)); +#21411=AXIS2_PLACEMENT_3D('',#21408,#21409,#21410); +#21412=CYLINDRICAL_SURFACE('',#21411,1.E0); +#21413=ORIENTED_EDGE('',*,*,#17556,.T.); +#21414=ORIENTED_EDGE('',*,*,#16817,.T.); +#21415=ORIENTED_EDGE('',*,*,#17125,.T.); +#21416=ORIENTED_EDGE('',*,*,#21401,.F.); +#21417=EDGE_LOOP('',(#21413,#21414,#21415,#21416)); +#21418=FACE_OUTER_BOUND('',#21417,.F.); +#21420=CARTESIAN_POINT('',(3.02E1,5.727807960247E0,-1.95E1)); +#21421=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21422=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21423=AXIS2_PLACEMENT_3D('',#21420,#21421,#21422); +#21424=CYLINDRICAL_SURFACE('',#21423,5.E-1); +#21426=ORIENTED_EDGE('',*,*,#21425,.T.); +#21427=ORIENTED_EDGE('',*,*,#17086,.F.); +#21428=ORIENTED_EDGE('',*,*,#21181,.T.); +#21429=ORIENTED_EDGE('',*,*,#17598,.T.); +#21430=EDGE_LOOP('',(#21426,#21427,#21428,#21429)); +#21431=FACE_OUTER_BOUND('',#21430,.F.); +#21433=CARTESIAN_POINT('',(3.07E1,-3.050000000001E0,-2.E1)); +#21434=DIRECTION('',(0.E0,0.E0,1.E0)); +#21435=DIRECTION('',(1.E0,0.E0,0.E0)); +#21436=AXIS2_PLACEMENT_3D('',#21433,#21434,#21435); +#21437=CYLINDRICAL_SURFACE('',#21436,1.E0); +#21438=ORIENTED_EDGE('',*,*,#17088,.F.); +#21439=ORIENTED_EDGE('',*,*,#21425,.F.); +#21440=ORIENTED_EDGE('',*,*,#17596,.T.); +#21442=ORIENTED_EDGE('',*,*,#21441,.T.); +#21443=ORIENTED_EDGE('',*,*,#17173,.T.); +#21444=EDGE_LOOP('',(#21438,#21439,#21440,#21442,#21443)); +#21445=FACE_OUTER_BOUND('',#21444,.F.); +#21447=CARTESIAN_POINT('',(3.32E1,-4.050000000001E0,-2.E1)); +#21448=DIRECTION('',(0.E0,1.E0,0.E0)); +#21449=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21450=AXIS2_PLACEMENT_3D('',#21447,#21448,#21449); +#21451=PLANE('',#21450); +#21452=ORIENTED_EDGE('',*,*,#17594,.F.); +#21454=ORIENTED_EDGE('',*,*,#21453,.T.); +#21455=ORIENTED_EDGE('',*,*,#17175,.T.); +#21456=ORIENTED_EDGE('',*,*,#21441,.F.); +#21457=EDGE_LOOP('',(#21452,#21454,#21455,#21456)); +#21458=FACE_OUTER_BOUND('',#21457,.F.); +#21460=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.E1)); +#21461=DIRECTION('',(0.E0,0.E0,1.E0)); +#21462=DIRECTION('',(1.E0,0.E0,0.E0)); +#21463=AXIS2_PLACEMENT_3D('',#21460,#21461,#21462); +#21464=CYLINDRICAL_SURFACE('',#21463,3.25E0); +#21465=ORIENTED_EDGE('',*,*,#17592,.F.); +#21467=ORIENTED_EDGE('',*,*,#21466,.T.); +#21468=ORIENTED_EDGE('',*,*,#17177,.T.); +#21469=ORIENTED_EDGE('',*,*,#21453,.F.); +#21470=EDGE_LOOP('',(#21465,#21467,#21468,#21469)); +#21471=FACE_OUTER_BOUND('',#21470,.F.); +#21473=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-2.E1)); +#21474=DIRECTION('',(1.E0,0.E0,0.E0)); +#21475=DIRECTION('',(0.E0,1.E0,0.E0)); +#21476=AXIS2_PLACEMENT_3D('',#21473,#21474,#21475); +#21477=PLANE('',#21476); +#21478=ORIENTED_EDGE('',*,*,#17590,.F.); +#21479=ORIENTED_EDGE('',*,*,#17470,.T.); +#21480=ORIENTED_EDGE('',*,*,#17179,.T.); +#21481=ORIENTED_EDGE('',*,*,#21466,.F.); +#21482=EDGE_LOOP('',(#21478,#21479,#21480,#21481)); +#21483=FACE_OUTER_BOUND('',#21482,.F.); +#21485=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-2.E1)); +#21486=DIRECTION('',(0.E0,1.E0,0.E0)); +#21487=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21488=AXIS2_PLACEMENT_3D('',#21485,#21486,#21487); +#21489=PLANE('',#21488); +#21490=ORIENTED_EDGE('',*,*,#17542,.F.); +#21491=ORIENTED_EDGE('',*,*,#21142,.T.); +#21492=ORIENTED_EDGE('',*,*,#17207,.T.); +#21494=ORIENTED_EDGE('',*,*,#21493,.F.); +#21495=EDGE_LOOP('',(#21490,#21491,#21492,#21494)); +#21496=FACE_OUTER_BOUND('',#21495,.F.); +#21498=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#21499=DIRECTION('',(0.E0,0.E0,1.E0)); +#21500=DIRECTION('',(1.E0,0.E0,0.E0)); +#21501=AXIS2_PLACEMENT_3D('',#21498,#21499,#21500); +#21502=CYLINDRICAL_SURFACE('',#21501,3.25E0); +#21503=ORIENTED_EDGE('',*,*,#17544,.F.); +#21504=ORIENTED_EDGE('',*,*,#21493,.T.); +#21505=ORIENTED_EDGE('',*,*,#17205,.T.); +#21507=ORIENTED_EDGE('',*,*,#21506,.F.); +#21508=EDGE_LOOP('',(#21503,#21504,#21505,#21507)); +#21509=FACE_OUTER_BOUND('',#21508,.F.); +#21511=CARTESIAN_POINT('',(2.795E1,1.28E1,-2.E1)); +#21512=DIRECTION('',(0.E0,0.E0,1.E0)); +#21513=DIRECTION('',(1.E0,0.E0,0.E0)); +#21514=AXIS2_PLACEMENT_3D('',#21511,#21512,#21513); +#21515=CYLINDRICAL_SURFACE('',#21514,1.E0); +#21516=ORIENTED_EDGE('',*,*,#17546,.T.); +#21517=ORIENTED_EDGE('',*,*,#21506,.T.); +#21518=ORIENTED_EDGE('',*,*,#17203,.T.); +#21519=ORIENTED_EDGE('',*,*,#16829,.F.); +#21520=EDGE_LOOP('',(#21516,#21517,#21518,#21519)); +#21521=FACE_OUTER_BOUND('',#21520,.F.); +#21523=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.E1)); +#21524=DIRECTION('',(0.E0,0.E0,1.E0)); +#21525=DIRECTION('',(1.E0,0.E0,0.E0)); +#21526=AXIS2_PLACEMENT_3D('',#21523,#21524,#21525); +#21527=CYLINDRICAL_SURFACE('',#21526,2.3E0); +#21529=ORIENTED_EDGE('',*,*,#21528,.T.); +#21531=ORIENTED_EDGE('',*,*,#21530,.F.); +#21532=ORIENTED_EDGE('',*,*,#17522,.F.); +#21534=ORIENTED_EDGE('',*,*,#21533,.T.); +#21535=EDGE_LOOP('',(#21529,#21531,#21532,#21534)); +#21536=FACE_OUTER_BOUND('',#21535,.F.); +#21538=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.9E1)); +#21539=DIRECTION('',(0.E0,0.E0,1.E0)); +#21540=DIRECTION('',(-9.962783316575E-1,-8.619446542400E-2,0.E0)); +#21541=AXIS2_PLACEMENT_3D('',#21538,#21539,#21540); +#21542=TOROIDAL_SURFACE('',#21541,2.8E0,5.E-1); +#21544=ORIENTED_EDGE('',*,*,#21543,.T.); +#21546=ORIENTED_EDGE('',*,*,#21545,.T.); +#21547=ORIENTED_EDGE('',*,*,#21528,.F.); +#21549=ORIENTED_EDGE('',*,*,#21548,.F.); +#21550=EDGE_LOOP('',(#21544,#21546,#21547,#21549)); +#21551=FACE_OUTER_BOUND('',#21550,.F.); +#21553=CARTESIAN_POINT('',(0.E0,0.E0,-1.85E1)); +#21554=DIRECTION('',(0.E0,0.E0,1.E0)); +#21555=DIRECTION('',(1.E0,0.E0,0.E0)); +#21556=AXIS2_PLACEMENT_3D('',#21553,#21554,#21555); +#21557=PLANE('',#21556); +#21559=ORIENTED_EDGE('',*,*,#21558,.F.); +#21561=ORIENTED_EDGE('',*,*,#21560,.F.); +#21563=ORIENTED_EDGE('',*,*,#21562,.F.); +#21565=ORIENTED_EDGE('',*,*,#21564,.F.); +#21567=ORIENTED_EDGE('',*,*,#21566,.F.); +#21569=ORIENTED_EDGE('',*,*,#21568,.F.); +#21571=ORIENTED_EDGE('',*,*,#21570,.F.); +#21573=ORIENTED_EDGE('',*,*,#21572,.F.); +#21574=EDGE_LOOP('',(#21559,#21561,#21563,#21565,#21567,#21569,#21571,#21573)); +#21575=FACE_OUTER_BOUND('',#21574,.F.); +#21576=ORIENTED_EDGE('',*,*,#21543,.F.); +#21578=ORIENTED_EDGE('',*,*,#21577,.F.); +#21580=ORIENTED_EDGE('',*,*,#21579,.F.); +#21582=ORIENTED_EDGE('',*,*,#21581,.F.); +#21584=ORIENTED_EDGE('',*,*,#21583,.F.); +#21586=ORIENTED_EDGE('',*,*,#21585,.F.); +#21588=ORIENTED_EDGE('',*,*,#21587,.F.); +#21590=ORIENTED_EDGE('',*,*,#21589,.F.); +#21591=EDGE_LOOP('',(#21576,#21578,#21580,#21582,#21584,#21586,#21588,#21590)); +#21592=FACE_BOUND('',#21591,.F.); +#21594=CARTESIAN_POINT('',(-3.625E1,-1.118972953127E1,-1.9E1)); +#21595=DIRECTION('',(0.E0,1.E0,0.E0)); +#21596=DIRECTION('',(0.E0,0.E0,1.E0)); +#21597=AXIS2_PLACEMENT_3D('',#21594,#21595,#21596); +#21598=CYLINDRICAL_SURFACE('',#21597,5.E-1); +#21599=ORIENTED_EDGE('',*,*,#21577,.T.); +#21600=ORIENTED_EDGE('',*,*,#21548,.T.); +#21602=ORIENTED_EDGE('',*,*,#21601,.F.); +#21604=ORIENTED_EDGE('',*,*,#21603,.F.); +#21605=EDGE_LOOP('',(#21599,#21600,#21602,#21604)); +#21606=FACE_OUTER_BOUND('',#21605,.F.); +#21608=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-2.E1)); +#21609=DIRECTION('',(1.E0,0.E0,0.E0)); +#21610=DIRECTION('',(0.E0,1.E0,0.E0)); +#21611=AXIS2_PLACEMENT_3D('',#21608,#21609,#21610); +#21612=PLANE('',#21611); +#21613=ORIENTED_EDGE('',*,*,#21601,.T.); +#21614=ORIENTED_EDGE('',*,*,#21533,.F.); +#21615=ORIENTED_EDGE('',*,*,#17536,.F.); +#21617=ORIENTED_EDGE('',*,*,#21616,.T.); +#21618=EDGE_LOOP('',(#21613,#21614,#21615,#21617)); +#21619=FACE_OUTER_BOUND('',#21618,.F.); +#21621=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.E1)); +#21622=DIRECTION('',(0.E0,0.E0,1.E0)); +#21623=DIRECTION('',(1.E0,0.E0,0.E0)); +#21624=AXIS2_PLACEMENT_3D('',#21621,#21622,#21623); +#21625=CYLINDRICAL_SURFACE('',#21624,2.3E0); +#21627=ORIENTED_EDGE('',*,*,#21626,.T.); +#21628=ORIENTED_EDGE('',*,*,#21616,.F.); +#21629=ORIENTED_EDGE('',*,*,#17534,.F.); +#21631=ORIENTED_EDGE('',*,*,#21630,.T.); +#21632=EDGE_LOOP('',(#21627,#21628,#21629,#21631)); +#21633=FACE_OUTER_BOUND('',#21632,.F.); +#21635=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.9E1)); +#21636=DIRECTION('',(0.E0,0.E0,1.E0)); +#21637=DIRECTION('',(8.619446542400E-2,-9.962783316575E-1,0.E0)); +#21638=AXIS2_PLACEMENT_3D('',#21635,#21636,#21637); +#21639=TOROIDAL_SURFACE('',#21638,2.8E0,5.E-1); +#21640=ORIENTED_EDGE('',*,*,#21579,.T.); +#21641=ORIENTED_EDGE('',*,*,#21603,.T.); +#21642=ORIENTED_EDGE('',*,*,#21626,.F.); +#21644=ORIENTED_EDGE('',*,*,#21643,.F.); +#21645=EDGE_LOOP('',(#21640,#21641,#21642,#21644)); +#21646=FACE_OUTER_BOUND('',#21645,.F.); +#21648=CARTESIAN_POINT('',(3.358972953127E1,-1.385E1,-1.9E1)); +#21649=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21650=DIRECTION('',(0.E0,0.E0,1.E0)); +#21651=AXIS2_PLACEMENT_3D('',#21648,#21649,#21650); +#21652=CYLINDRICAL_SURFACE('',#21651,5.E-1); +#21653=ORIENTED_EDGE('',*,*,#21581,.T.); +#21654=ORIENTED_EDGE('',*,*,#21643,.T.); +#21656=ORIENTED_EDGE('',*,*,#21655,.F.); +#21658=ORIENTED_EDGE('',*,*,#21657,.F.); +#21659=EDGE_LOOP('',(#21653,#21654,#21656,#21658)); +#21660=FACE_OUTER_BOUND('',#21659,.F.); +#21662=CARTESIAN_POINT('',(3.345E1,-1.335E1,-2.E1)); +#21663=DIRECTION('',(0.E0,1.E0,0.E0)); +#21664=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21665=AXIS2_PLACEMENT_3D('',#21662,#21663,#21664); +#21666=PLANE('',#21665); +#21667=ORIENTED_EDGE('',*,*,#21655,.T.); +#21668=ORIENTED_EDGE('',*,*,#21630,.F.); +#21669=ORIENTED_EDGE('',*,*,#17532,.F.); +#21671=ORIENTED_EDGE('',*,*,#21670,.T.); +#21672=EDGE_LOOP('',(#21667,#21668,#21669,#21671)); +#21673=FACE_OUTER_BOUND('',#21672,.F.); +#21675=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.E1)); +#21676=DIRECTION('',(0.E0,0.E0,1.E0)); +#21677=DIRECTION('',(1.E0,0.E0,0.E0)); +#21678=AXIS2_PLACEMENT_3D('',#21675,#21676,#21677); +#21679=CYLINDRICAL_SURFACE('',#21678,2.3E0); +#21681=ORIENTED_EDGE('',*,*,#21680,.T.); +#21682=ORIENTED_EDGE('',*,*,#21670,.F.); +#21683=ORIENTED_EDGE('',*,*,#17530,.F.); +#21685=ORIENTED_EDGE('',*,*,#21684,.T.); +#21686=EDGE_LOOP('',(#21681,#21682,#21683,#21685)); +#21687=FACE_OUTER_BOUND('',#21686,.F.); +#21689=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.9E1)); +#21690=DIRECTION('',(0.E0,0.E0,1.E0)); +#21691=DIRECTION('',(9.962783316575E-1,8.619446542400E-2,0.E0)); +#21692=AXIS2_PLACEMENT_3D('',#21689,#21690,#21691); +#21693=TOROIDAL_SURFACE('',#21692,2.8E0,5.E-1); +#21694=ORIENTED_EDGE('',*,*,#21583,.T.); +#21695=ORIENTED_EDGE('',*,*,#21657,.T.); +#21696=ORIENTED_EDGE('',*,*,#21680,.F.); +#21698=ORIENTED_EDGE('',*,*,#21697,.F.); +#21699=EDGE_LOOP('',(#21694,#21695,#21696,#21698)); +#21700=FACE_OUTER_BOUND('',#21699,.F.); +#21702=CARTESIAN_POINT('',(3.625E1,1.118972953127E1,-1.9E1)); +#21703=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21704=DIRECTION('',(0.E0,0.E0,1.E0)); +#21705=AXIS2_PLACEMENT_3D('',#21702,#21703,#21704); +#21706=CYLINDRICAL_SURFACE('',#21705,5.E-1); +#21707=ORIENTED_EDGE('',*,*,#21585,.T.); +#21708=ORIENTED_EDGE('',*,*,#21697,.T.); +#21710=ORIENTED_EDGE('',*,*,#21709,.F.); +#21712=ORIENTED_EDGE('',*,*,#21711,.F.); +#21713=EDGE_LOOP('',(#21707,#21708,#21710,#21712)); +#21714=FACE_OUTER_BOUND('',#21713,.F.); +#21716=CARTESIAN_POINT('',(3.575E1,1.105E1,-2.E1)); +#21717=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21718=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21719=AXIS2_PLACEMENT_3D('',#21716,#21717,#21718); +#21720=PLANE('',#21719); +#21721=ORIENTED_EDGE('',*,*,#21709,.T.); +#21722=ORIENTED_EDGE('',*,*,#21684,.F.); +#21723=ORIENTED_EDGE('',*,*,#17528,.F.); +#21725=ORIENTED_EDGE('',*,*,#21724,.T.); +#21726=EDGE_LOOP('',(#21721,#21722,#21723,#21725)); +#21727=FACE_OUTER_BOUND('',#21726,.F.); +#21729=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.E1)); +#21730=DIRECTION('',(0.E0,0.E0,1.E0)); +#21731=DIRECTION('',(1.E0,0.E0,0.E0)); +#21732=AXIS2_PLACEMENT_3D('',#21729,#21730,#21731); +#21733=CYLINDRICAL_SURFACE('',#21732,2.3E0); +#21735=ORIENTED_EDGE('',*,*,#21734,.T.); +#21736=ORIENTED_EDGE('',*,*,#21724,.F.); +#21737=ORIENTED_EDGE('',*,*,#17526,.F.); +#21739=ORIENTED_EDGE('',*,*,#21738,.T.); +#21740=EDGE_LOOP('',(#21735,#21736,#21737,#21739)); +#21741=FACE_OUTER_BOUND('',#21740,.F.); +#21743=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.9E1)); +#21744=DIRECTION('',(0.E0,0.E0,1.E0)); +#21745=DIRECTION('',(-8.619446542400E-2,9.962783316575E-1,0.E0)); +#21746=AXIS2_PLACEMENT_3D('',#21743,#21744,#21745); +#21747=TOROIDAL_SURFACE('',#21746,2.8E0,5.E-1); +#21748=ORIENTED_EDGE('',*,*,#21587,.T.); +#21749=ORIENTED_EDGE('',*,*,#21711,.T.); +#21750=ORIENTED_EDGE('',*,*,#21734,.F.); +#21752=ORIENTED_EDGE('',*,*,#21751,.F.); +#21753=EDGE_LOOP('',(#21748,#21749,#21750,#21752)); +#21754=FACE_OUTER_BOUND('',#21753,.F.); +#21756=CARTESIAN_POINT('',(-3.358972953127E1,1.385E1,-1.9E1)); +#21757=DIRECTION('',(1.E0,0.E0,0.E0)); +#21758=DIRECTION('',(0.E0,0.E0,1.E0)); +#21759=AXIS2_PLACEMENT_3D('',#21756,#21757,#21758); +#21760=CYLINDRICAL_SURFACE('',#21759,5.E-1); +#21761=ORIENTED_EDGE('',*,*,#21589,.T.); +#21762=ORIENTED_EDGE('',*,*,#21751,.T.); +#21764=ORIENTED_EDGE('',*,*,#21763,.F.); +#21765=ORIENTED_EDGE('',*,*,#21545,.F.); +#21766=EDGE_LOOP('',(#21761,#21762,#21764,#21765)); +#21767=FACE_OUTER_BOUND('',#21766,.F.); +#21769=CARTESIAN_POINT('',(-3.345E1,1.335E1,-2.E1)); +#21770=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21771=DIRECTION('',(1.E0,0.E0,0.E0)); +#21772=AXIS2_PLACEMENT_3D('',#21769,#21770,#21771); +#21773=PLANE('',#21772); +#21774=ORIENTED_EDGE('',*,*,#21763,.T.); +#21775=ORIENTED_EDGE('',*,*,#21738,.F.); +#21776=ORIENTED_EDGE('',*,*,#17524,.F.); +#21777=ORIENTED_EDGE('',*,*,#21530,.T.); +#21778=EDGE_LOOP('',(#21774,#21775,#21776,#21777)); +#21779=FACE_OUTER_BOUND('',#21778,.F.); +#21781=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.9E1)); +#21782=DIRECTION('',(0.E0,0.E0,1.E0)); +#21783=DIRECTION('',(-9.995934398399E-1,2.851236624626E-2,0.E0)); +#21784=AXIS2_PLACEMENT_3D('',#21781,#21782,#21783); +#21785=TOROIDAL_SURFACE('',#21784,4.4E0,5.E-1); +#21786=ORIENTED_EDGE('',*,*,#21558,.T.); +#21788=ORIENTED_EDGE('',*,*,#21787,.T.); +#21790=ORIENTED_EDGE('',*,*,#21789,.F.); +#21792=ORIENTED_EDGE('',*,*,#21791,.F.); +#21793=EDGE_LOOP('',(#21786,#21788,#21790,#21792)); +#21794=FACE_OUTER_BOUND('',#21793,.F.); +#21796=CARTESIAN_POINT('',(-3.358972953127E1,-1.545E1,-1.9E1)); +#21797=DIRECTION('',(1.E0,0.E0,0.E0)); +#21798=DIRECTION('',(0.E0,0.E0,1.E0)); +#21799=AXIS2_PLACEMENT_3D('',#21796,#21797,#21798); +#21800=CYLINDRICAL_SURFACE('',#21799,5.E-1); +#21801=ORIENTED_EDGE('',*,*,#21572,.T.); +#21803=ORIENTED_EDGE('',*,*,#21802,.T.); +#21805=ORIENTED_EDGE('',*,*,#21804,.F.); +#21806=ORIENTED_EDGE('',*,*,#21787,.F.); +#21807=EDGE_LOOP('',(#21801,#21803,#21805,#21806)); +#21808=FACE_OUTER_BOUND('',#21807,.F.); +#21810=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.9E1)); +#21811=DIRECTION('',(0.E0,0.E0,1.E0)); +#21812=DIRECTION('',(-2.851236624626E-2,-9.995934398399E-1,0.E0)); +#21813=AXIS2_PLACEMENT_3D('',#21810,#21811,#21812); +#21814=TOROIDAL_SURFACE('',#21813,4.4E0,5.E-1); +#21815=ORIENTED_EDGE('',*,*,#21570,.T.); +#21817=ORIENTED_EDGE('',*,*,#21816,.T.); +#21819=ORIENTED_EDGE('',*,*,#21818,.F.); +#21820=ORIENTED_EDGE('',*,*,#21802,.F.); +#21821=EDGE_LOOP('',(#21815,#21817,#21819,#21820)); +#21822=FACE_OUTER_BOUND('',#21821,.F.); +#21824=CARTESIAN_POINT('',(3.785E1,-1.118972953127E1,-1.9E1)); +#21825=DIRECTION('',(0.E0,1.E0,0.E0)); +#21826=DIRECTION('',(0.E0,0.E0,1.E0)); +#21827=AXIS2_PLACEMENT_3D('',#21824,#21825,#21826); +#21828=CYLINDRICAL_SURFACE('',#21827,5.E-1); +#21829=ORIENTED_EDGE('',*,*,#21568,.T.); +#21831=ORIENTED_EDGE('',*,*,#21830,.T.); +#21833=ORIENTED_EDGE('',*,*,#21832,.F.); +#21834=ORIENTED_EDGE('',*,*,#21816,.F.); +#21835=EDGE_LOOP('',(#21829,#21831,#21833,#21834)); +#21836=FACE_OUTER_BOUND('',#21835,.F.); +#21838=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.9E1)); +#21839=DIRECTION('',(0.E0,0.E0,1.E0)); +#21840=DIRECTION('',(9.995934398399E-1,-2.851236624626E-2,0.E0)); +#21841=AXIS2_PLACEMENT_3D('',#21838,#21839,#21840); +#21842=TOROIDAL_SURFACE('',#21841,4.4E0,5.E-1); +#21843=ORIENTED_EDGE('',*,*,#21566,.T.); +#21845=ORIENTED_EDGE('',*,*,#21844,.T.); +#21847=ORIENTED_EDGE('',*,*,#21846,.F.); +#21848=ORIENTED_EDGE('',*,*,#21830,.F.); +#21849=EDGE_LOOP('',(#21843,#21845,#21847,#21848)); +#21850=FACE_OUTER_BOUND('',#21849,.F.); +#21852=CARTESIAN_POINT('',(3.358972953127E1,1.545E1,-1.9E1)); +#21853=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21854=DIRECTION('',(0.E0,0.E0,1.E0)); +#21855=AXIS2_PLACEMENT_3D('',#21852,#21853,#21854); +#21856=CYLINDRICAL_SURFACE('',#21855,5.E-1); +#21857=ORIENTED_EDGE('',*,*,#21564,.T.); +#21859=ORIENTED_EDGE('',*,*,#21858,.T.); +#21861=ORIENTED_EDGE('',*,*,#21860,.F.); +#21862=ORIENTED_EDGE('',*,*,#21844,.F.); +#21863=EDGE_LOOP('',(#21857,#21859,#21861,#21862)); +#21864=FACE_OUTER_BOUND('',#21863,.F.); +#21866=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.9E1)); +#21867=DIRECTION('',(0.E0,0.E0,1.E0)); +#21868=DIRECTION('',(2.851236624626E-2,9.995934398399E-1,0.E0)); +#21869=AXIS2_PLACEMENT_3D('',#21866,#21867,#21868); +#21870=TOROIDAL_SURFACE('',#21869,4.4E0,5.E-1); +#21871=ORIENTED_EDGE('',*,*,#21562,.T.); +#21873=ORIENTED_EDGE('',*,*,#21872,.T.); +#21875=ORIENTED_EDGE('',*,*,#21874,.F.); +#21876=ORIENTED_EDGE('',*,*,#21858,.F.); +#21877=EDGE_LOOP('',(#21871,#21873,#21875,#21876)); +#21878=FACE_OUTER_BOUND('',#21877,.F.); +#21880=CARTESIAN_POINT('',(-3.785E1,1.118972953127E1,-1.9E1)); +#21881=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21882=DIRECTION('',(0.E0,0.E0,1.E0)); +#21883=AXIS2_PLACEMENT_3D('',#21880,#21881,#21882); +#21884=CYLINDRICAL_SURFACE('',#21883,5.E-1); +#21885=ORIENTED_EDGE('',*,*,#21560,.T.); +#21886=ORIENTED_EDGE('',*,*,#21791,.T.); +#21888=ORIENTED_EDGE('',*,*,#21887,.F.); +#21889=ORIENTED_EDGE('',*,*,#21872,.F.); +#21890=EDGE_LOOP('',(#21885,#21886,#21888,#21889)); +#21891=FACE_OUTER_BOUND('',#21890,.F.); +#21893=CARTESIAN_POINT('',(-3.835E1,1.105E1,-2.E1)); +#21894=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21895=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21896=AXIS2_PLACEMENT_3D('',#21893,#21894,#21895); +#21897=PLANE('',#21896); +#21898=ORIENTED_EDGE('',*,*,#21887,.T.); +#21900=ORIENTED_EDGE('',*,*,#21899,.F.); +#21901=ORIENTED_EDGE('',*,*,#17500,.F.); +#21903=ORIENTED_EDGE('',*,*,#21902,.T.); +#21904=EDGE_LOOP('',(#21898,#21900,#21901,#21903)); +#21905=FACE_OUTER_BOUND('',#21904,.F.); +#21907=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.E1)); +#21908=DIRECTION('',(0.E0,0.E0,1.E0)); +#21909=DIRECTION('',(1.E0,0.E0,0.E0)); +#21910=AXIS2_PLACEMENT_3D('',#21907,#21908,#21909); +#21911=CYLINDRICAL_SURFACE('',#21910,4.9E0); +#21912=ORIENTED_EDGE('',*,*,#21789,.T.); +#21914=ORIENTED_EDGE('',*,*,#21913,.F.); +#21915=ORIENTED_EDGE('',*,*,#17502,.F.); +#21916=ORIENTED_EDGE('',*,*,#21899,.T.); +#21917=EDGE_LOOP('',(#21912,#21914,#21915,#21916)); +#21918=FACE_OUTER_BOUND('',#21917,.F.); +#21920=CARTESIAN_POINT('',(-3.345E1,-1.595E1,-2.E1)); +#21921=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21922=DIRECTION('',(1.E0,0.E0,0.E0)); +#21923=AXIS2_PLACEMENT_3D('',#21920,#21921,#21922); +#21924=PLANE('',#21923); +#21925=ORIENTED_EDGE('',*,*,#21804,.T.); +#21927=ORIENTED_EDGE('',*,*,#21926,.F.); +#21928=ORIENTED_EDGE('',*,*,#17504,.F.); +#21929=ORIENTED_EDGE('',*,*,#21913,.T.); +#21930=EDGE_LOOP('',(#21925,#21927,#21928,#21929)); +#21931=FACE_OUTER_BOUND('',#21930,.F.); +#21933=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.E1)); +#21934=DIRECTION('',(0.E0,0.E0,1.E0)); +#21935=DIRECTION('',(1.E0,0.E0,0.E0)); +#21936=AXIS2_PLACEMENT_3D('',#21933,#21934,#21935); +#21937=CYLINDRICAL_SURFACE('',#21936,4.9E0); +#21938=ORIENTED_EDGE('',*,*,#21818,.T.); +#21940=ORIENTED_EDGE('',*,*,#21939,.F.); +#21941=ORIENTED_EDGE('',*,*,#17506,.F.); +#21942=ORIENTED_EDGE('',*,*,#21926,.T.); +#21943=EDGE_LOOP('',(#21938,#21940,#21941,#21942)); +#21944=FACE_OUTER_BOUND('',#21943,.F.); +#21946=CARTESIAN_POINT('',(3.835E1,-1.105E1,-2.E1)); +#21947=DIRECTION('',(1.E0,0.E0,0.E0)); +#21948=DIRECTION('',(0.E0,1.E0,0.E0)); +#21949=AXIS2_PLACEMENT_3D('',#21946,#21947,#21948); +#21950=PLANE('',#21949); +#21951=ORIENTED_EDGE('',*,*,#21832,.T.); +#21953=ORIENTED_EDGE('',*,*,#21952,.F.); +#21954=ORIENTED_EDGE('',*,*,#17508,.F.); +#21955=ORIENTED_EDGE('',*,*,#21939,.T.); +#21956=EDGE_LOOP('',(#21951,#21953,#21954,#21955)); +#21957=FACE_OUTER_BOUND('',#21956,.F.); +#21959=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.E1)); +#21960=DIRECTION('',(0.E0,0.E0,1.E0)); +#21961=DIRECTION('',(1.E0,0.E0,0.E0)); +#21962=AXIS2_PLACEMENT_3D('',#21959,#21960,#21961); +#21963=CYLINDRICAL_SURFACE('',#21962,4.9E0); +#21964=ORIENTED_EDGE('',*,*,#21846,.T.); +#21966=ORIENTED_EDGE('',*,*,#21965,.F.); +#21967=ORIENTED_EDGE('',*,*,#17510,.F.); +#21968=ORIENTED_EDGE('',*,*,#21952,.T.); +#21969=EDGE_LOOP('',(#21964,#21966,#21967,#21968)); +#21970=FACE_OUTER_BOUND('',#21969,.F.); +#21972=CARTESIAN_POINT('',(3.345E1,1.595E1,-2.E1)); +#21973=DIRECTION('',(0.E0,1.E0,0.E0)); +#21974=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21975=AXIS2_PLACEMENT_3D('',#21972,#21973,#21974); +#21976=PLANE('',#21975); +#21977=ORIENTED_EDGE('',*,*,#21860,.T.); +#21979=ORIENTED_EDGE('',*,*,#21978,.F.); +#21980=ORIENTED_EDGE('',*,*,#17512,.F.); +#21981=ORIENTED_EDGE('',*,*,#21965,.T.); +#21982=EDGE_LOOP('',(#21977,#21979,#21980,#21981)); +#21983=FACE_OUTER_BOUND('',#21982,.F.); +#21985=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.E1)); +#21986=DIRECTION('',(0.E0,0.E0,1.E0)); +#21987=DIRECTION('',(1.E0,0.E0,0.E0)); +#21988=AXIS2_PLACEMENT_3D('',#21985,#21986,#21987); +#21989=CYLINDRICAL_SURFACE('',#21988,4.9E0); +#21990=ORIENTED_EDGE('',*,*,#21874,.T.); +#21991=ORIENTED_EDGE('',*,*,#21902,.F.); +#21992=ORIENTED_EDGE('',*,*,#17498,.F.); +#21993=ORIENTED_EDGE('',*,*,#21978,.T.); +#21994=EDGE_LOOP('',(#21990,#21991,#21992,#21993)); +#21995=FACE_OUTER_BOUND('',#21994,.F.); +#21997=CLOSED_SHELL('',(#10597,#10612,#10626,#10640,#10654,#10668,#10682,#10696, +#10709,#10724,#10882,#10897,#10913,#10927,#10942,#10958,#10974,#10990,#11006, +#11022,#11038,#11054,#11070,#11086,#11102,#11118,#11134,#11150,#11166,#11182, +#11198,#11214,#11230,#11246,#11262,#11278,#11294,#11310,#11323,#11337,#11353, +#11366,#11379,#11392,#11833,#11849,#11863,#11877,#11891,#11906,#11919,#11932, +#11944,#11956,#11972,#11986,#12000,#12014,#12029,#12042,#12055,#12067,#12079, +#12095,#12109,#12123,#12137,#12152,#12165,#12178,#12190,#12202,#12218,#12232, +#12246,#12260,#12275,#12288,#12301,#12313,#12325,#12341,#12355,#12369,#12383, +#12398,#12411,#12424,#12436,#12448,#12461,#12496,#12510,#12524,#12539,#12555, +#12571,#12587,#12600,#12615,#12629,#12643,#12659,#12673,#12687,#12719,#12732, +#12746,#12758,#12774,#12788,#12802,#12815,#12830,#12843,#12856,#12869,#12881, +#12894,#13039,#13054,#13069,#13082,#13096,#13110,#13125,#13138,#13150,#13162, +#13178,#13192,#13206,#13219,#13234,#13247,#13260,#13273,#13285,#13301,#13315, +#13329,#13342,#13357,#13370,#13383,#13396,#13408,#13424,#13438,#13452,#13465, +#13480,#13493,#13506,#13519,#13531,#13547,#13561,#13575,#13588,#13603,#13616, +#13629,#13642,#13654,#13670,#13684,#13698,#13711,#13726,#13739,#13752,#13765, +#13777,#13793,#13807,#13821,#13834,#13849,#13862,#13875,#13888,#13900,#13916, +#13930,#13944,#13957,#13972,#13985,#13998,#14011,#14023,#14039,#14053,#14067, +#14080,#14095,#14108,#14121,#14134,#14146,#14162,#14176,#14190,#14203,#14218, +#14231,#14244,#14257,#14269,#14285,#14299,#14313,#14326,#14341,#14354,#14367, +#14380,#14392,#14404,#14420,#14434,#14448,#14461,#14476,#14489,#14502,#14515, +#14527,#14542,#14556,#14570,#14585,#14598,#14611,#14624,#14638,#14650,#14663, +#14676,#14688,#14704,#14718,#14732,#14746,#14761,#14774,#14787,#14799,#14811, +#14827,#14841,#14855,#14869,#14884,#14897,#14910,#14922,#14934,#14950,#14964, +#14978,#14992,#15007,#15020,#15033,#15045,#15057,#15073,#15087,#15101,#15115, +#15130,#15143,#15156,#15168,#15180,#15196,#15210,#15224,#15238,#15253,#15266, +#15279,#15291,#15303,#15319,#15333,#15347,#15361,#15376,#15389,#15402,#15414, +#15426,#15441,#15454,#15464,#15476,#15488,#15500,#15512,#15524,#15536,#15548, +#15560,#15572,#15584,#15596,#15608,#15620,#15632,#15644,#15656,#15668,#15680, +#15692,#15704,#15716,#15728,#15740,#15752,#15764,#15776,#15788,#15800,#15812, +#15824,#15836,#15848,#15860,#15872,#15886,#15898,#15912,#15924,#15938,#15950, +#15964,#15976,#15990,#16002,#16016,#16028,#16042,#16054,#16068,#16080,#16094, +#16106,#16120,#16132,#16146,#16158,#16172,#16184,#16198,#16210,#16224,#16236, +#16250,#16262,#16276,#16288,#16302,#16314,#16328,#16340,#16354,#16366,#16380, +#16392,#16406,#16418,#16432,#16444,#16458,#16470,#16484,#16496,#16510,#16522, +#16536,#16548,#16562,#16574,#16588,#16600,#16614,#16626,#16640,#16652,#16666, +#16678,#16692,#16704,#16718,#16730,#16744,#16756,#16771,#16793,#16838,#16853, +#16867,#16882,#16896,#16909,#16924,#16936,#16950,#16962,#16974,#16989,#17002, +#17016,#17030,#17044,#17058,#17071,#17096,#17109,#17137,#17167,#17196,#17223, +#17238,#17251,#17263,#17275,#17285,#17297,#17311,#17323,#17337,#17349,#17363, +#17375,#17397,#17414,#17428,#17444,#17460,#17474,#17516,#17606,#17625,#17640, +#17725,#17748,#18069,#18084,#18146,#18209,#18222,#18235,#18248,#18261,#18274, +#18287,#18300,#18313,#18326,#18339,#18352,#18371,#18384,#18397,#18410,#18423, +#18436,#18449,#18462,#18475,#18488,#18501,#18514,#18527,#18540,#18553,#18566, +#18579,#18592,#18605,#18618,#18631,#18644,#18657,#18670,#18683,#18695,#18707, +#18719,#18732,#18745,#18758,#18771,#18784,#18797,#18810,#18823,#18836,#18849, +#18862,#18875,#18887,#18902,#18915,#18925,#18937,#18949,#18961,#18973,#18985, +#18997,#19009,#19021,#19033,#19045,#19057,#19069,#19081,#19093,#19105,#19117, +#19129,#19141,#19153,#19165,#19177,#19189,#19201,#19213,#19225,#19237,#19249, +#19261,#19273,#19285,#19297,#19309,#19321,#19333,#19347,#19359,#19373,#19385, +#19399,#19411,#19425,#19437,#19451,#19463,#19477,#19489,#19503,#19515,#19529, +#19541,#19555,#19567,#19581,#19593,#19607,#19619,#19633,#19645,#19659,#19671, +#19685,#19697,#19711,#19723,#19737,#19749,#19763,#19775,#19789,#19801,#19815, +#19827,#19841,#19853,#19867,#19879,#19893,#19905,#19919,#19931,#19945,#19957, +#19971,#19983,#19997,#20009,#20023,#20035,#20049,#20061,#20075,#20087,#20101, +#20113,#20127,#20139,#20153,#20165,#20179,#20191,#20205,#20217,#20232,#20258, +#20273,#20287,#20305,#20320,#20338,#20350,#20361,#20379,#20394,#20407,#20425, +#20441,#20464,#20487,#20507,#20525,#20543,#20557,#20570,#20585,#20598,#20611, +#20624,#20639,#20655,#20668,#20681,#20693,#20711,#20725,#20738,#20753,#20766, +#20781,#20804,#20818,#20832,#20845,#20859,#20872,#20886,#20898,#20911,#20925, +#20938,#20953,#20965,#20979,#20991,#21006,#21018,#21030,#21043,#21056,#21071, +#21084,#21094,#21106,#21120,#21132,#21146,#21160,#21172,#21185,#21198,#21212, +#21223,#21236,#21250,#21263,#21276,#21289,#21302,#21316,#21329,#21342,#21354, +#21368,#21381,#21394,#21407,#21419,#21432,#21446,#21459,#21472,#21484,#21497, +#21510,#21522,#21537,#21552,#21593,#21607,#21620,#21634,#21647,#21661,#21674, +#21688,#21701,#21715,#21728,#21742,#21755,#21768,#21780,#21795,#21809,#21823, +#21837,#21851,#21865,#21879,#21892,#21906,#21919,#21932,#21945,#21958,#21971, +#21984,#21996)); +#21998=MANIFOLD_SOLID_BREP('',#21997); +#22001=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), +#22000); +#22002=(CONVERSION_BASED_UNIT('DEGREE',#22001)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); +#22004=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(9.160322684069E-3),#21999, +'distance_accuracy_value', +'Maximum model space distance between geometric entities at asserted connectivities'); +#22007=APPLICATION_CONTEXT('automotive_design'); +#22008=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2001,#22007); +#22009=PRODUCT_DEFINITION_CONTEXT('part definition',#22007,'design'); +#22010=PRODUCT_CONTEXT('',#22007,'mechanical'); +#22011=PRODUCT('C-776231-1','C-776231-1','NOT SPECIFIED',(#22010)); +#22012=PRODUCT_DEFINITION_FORMATION('1','LAST_VERSION',#22011); +#22020=DERIVED_UNIT_ELEMENT(#22019,2.E0); +#22021=DERIVED_UNIT((#22020)); +#22022=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +1.706919921606E4),#22021); +#22027=DERIVED_UNIT_ELEMENT(#22026,3.E0); +#22028=DERIVED_UNIT((#22027)); +#22029=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +1.528600755130E4),#22028); +#22033=CARTESIAN_POINT('centre point',(4.515700717660E-3,2.128901078893E-1, +-1.893651149092E1)); +#22038=DERIVED_UNIT_ELEMENT(#22037,2.E0); +#22039=DERIVED_UNIT((#22038)); +#22040=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +1.706919921606E4),#22039); +#22045=DERIVED_UNIT_ELEMENT(#22044,3.E0); +#22046=DERIVED_UNIT((#22045)); +#22047=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +1.528600755130E4),#22046); +#22051=CARTESIAN_POINT('centre point',(4.515700717660E-3,2.128901078893E-1, +-1.893651149092E1)); +#22056=PRODUCT_RELATED_PRODUCT_CATEGORY('part','',(#22011)); +#1=COLOUR_RGB('',8.784E-1,9.49E-1,1.E0); +#10=CIRCLE('',#9,5.235898384862E0); +#19=CIRCLE('',#18,5.235898384862E0); +#28=CIRCLE('',#27,5.235898384862E0); +#37=CIRCLE('',#36,5.235898384862E0); +#42=CIRCLE('',#41,5.7E0); +#51=CIRCLE('',#50,5.7E0); +#60=CIRCLE('',#59,5.7E0); +#69=CIRCLE('',#68,5.7E0); +#110=CIRCLE('',#109,4.7E0); +#121=B_SPLINE_CURVE_WITH_KNOTS('',3,(#115,#116,#117,#118,#119,#120), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#136=B_SPLINE_CURVE_WITH_KNOTS('',3,(#130,#131,#132,#133,#134,#135), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#147=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141,#142,#143,#144,#145,#146), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#162=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156,#157,#158,#159,#160,#161), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#173=B_SPLINE_CURVE_WITH_KNOTS('',3,(#167,#168,#169,#170,#171,#172), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#188=B_SPLINE_CURVE_WITH_KNOTS('',3,(#182,#183,#184,#185,#186,#187), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#199=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193,#194,#195,#196,#197,#198), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#214=B_SPLINE_CURVE_WITH_KNOTS('',3,(#208,#209,#210,#211,#212,#213), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#225=B_SPLINE_CURVE_WITH_KNOTS('',3,(#219,#220,#221,#222,#223,#224), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#240=B_SPLINE_CURVE_WITH_KNOTS('',3,(#234,#235,#236,#237,#238,#239), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#251=B_SPLINE_CURVE_WITH_KNOTS('',3,(#245,#246,#247,#248,#249,#250), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#266=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260,#261,#262,#263,#264,#265), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#277=B_SPLINE_CURVE_WITH_KNOTS('',3,(#271,#272,#273,#274,#275,#276), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#292=B_SPLINE_CURVE_WITH_KNOTS('',3,(#286,#287,#288,#289,#290,#291), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#303=B_SPLINE_CURVE_WITH_KNOTS('',3,(#297,#298,#299,#300,#301,#302), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#318=B_SPLINE_CURVE_WITH_KNOTS('',3,(#312,#313,#314,#315,#316,#317), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#329=B_SPLINE_CURVE_WITH_KNOTS('',3,(#323,#324,#325,#326,#327,#328), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#344=B_SPLINE_CURVE_WITH_KNOTS('',3,(#338,#339,#340,#341,#342,#343), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#355=B_SPLINE_CURVE_WITH_KNOTS('',3,(#349,#350,#351,#352,#353,#354), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#370=B_SPLINE_CURVE_WITH_KNOTS('',3,(#364,#365,#366,#367,#368,#369), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#381=B_SPLINE_CURVE_WITH_KNOTS('',3,(#375,#376,#377,#378,#379,#380), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#396=B_SPLINE_CURVE_WITH_KNOTS('',3,(#390,#391,#392,#393,#394,#395), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#407=B_SPLINE_CURVE_WITH_KNOTS('',3,(#401,#402,#403,#404,#405,#406), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#430=B_SPLINE_CURVE_WITH_KNOTS('',3,(#424,#425,#426,#427,#428,#429), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#439=CIRCLE('',#438,1.E-1); +#444=CIRCLE('',#443,1.E-1); +#453=CIRCLE('',#452,2.E-1); +#470=CIRCLE('',#469,4.E-1); +#487=CIRCLE('',#486,4.E-1); +#500=CIRCLE('',#499,4.E-1); +#517=CIRCLE('',#516,4.E-1); +#534=CIRCLE('',#533,4.E-1); +#551=CIRCLE('',#550,4.E-1); +#568=CIRCLE('',#567,5.75E-1); +#585=CIRCLE('',#584,4.E-1); +#602=CIRCLE('',#601,4.E-1); +#619=CIRCLE('',#618,4.E-1); +#636=CIRCLE('',#635,4.E-1); +#653=CIRCLE('',#652,4.E-1); +#670=CIRCLE('',#669,4.E-1); +#691=CIRCLE('',#690,4.E-1); +#708=CIRCLE('',#707,4.E-1); +#725=CIRCLE('',#724,4.E-1); +#742=CIRCLE('',#741,4.E-1); +#759=CIRCLE('',#758,4.E-1); +#776=CIRCLE('',#775,4.E-1); +#793=CIRCLE('',#792,5.75E-1); +#810=CIRCLE('',#809,4.E-1); +#827=CIRCLE('',#826,4.E-1); +#844=CIRCLE('',#843,4.E-1); +#861=CIRCLE('',#860,4.E-1); +#866=CIRCLE('',#865,1.E-1); +#871=CIRCLE('',#870,1.E-1); +#880=CIRCLE('',#879,2.E-1); +#889=CIRCLE('',#888,1.E-1); +#894=CIRCLE('',#893,1.E-1); +#899=CIRCLE('',#898,5.E-1); +#912=CIRCLE('',#911,5.E-1); +#929=CIRCLE('',#928,5.E-1); +#946=CIRCLE('',#945,5.E-1); +#963=CIRCLE('',#962,5.E-1); +#980=CIRCLE('',#979,5.E-1); +#997=CIRCLE('',#996,5.E-1); +#1010=CIRCLE('',#1009,4.7E0); +#1023=CIRCLE('',#1022,5.E-1); +#1040=CIRCLE('',#1039,5.E-1); +#1053=CIRCLE('',#1052,4.7E0); +#1066=CIRCLE('',#1065,5.E-1); +#1083=CIRCLE('',#1082,5.E-1); +#1100=CIRCLE('',#1099,5.E-1); +#1117=CIRCLE('',#1116,5.E-1); +#1134=CIRCLE('',#1133,6.75E-1); +#1151=CIRCLE('',#1150,5.E-1); +#1168=CIRCLE('',#1167,5.E-1); +#1185=CIRCLE('',#1184,5.E-1); +#1202=CIRCLE('',#1201,5.E-1); +#1219=CIRCLE('',#1218,5.E-1); +#1236=CIRCLE('',#1235,5.E-1); +#1253=CIRCLE('',#1252,5.E-1); +#1266=CIRCLE('',#1265,4.7E0); +#1279=CIRCLE('',#1278,5.E-1); +#1296=CIRCLE('',#1295,5.E-1); +#1309=CIRCLE('',#1308,4.7E0); +#1322=CIRCLE('',#1321,5.E-1); +#1339=CIRCLE('',#1338,5.E-1); +#1356=CIRCLE('',#1355,5.E-1); +#1373=CIRCLE('',#1372,5.E-1); +#1390=CIRCLE('',#1389,5.E-1); +#1407=CIRCLE('',#1406,6.75E-1); +#1420=CIRCLE('',#1419,6.5E-1); +#1425=CIRCLE('',#1424,6.5E-1); +#1430=CIRCLE('',#1429,6.5E-1); +#1435=CIRCLE('',#1434,6.5E-1); +#1440=CIRCLE('',#1439,6.5E-1); +#1445=CIRCLE('',#1444,6.5E-1); +#1450=CIRCLE('',#1449,6.5E-1); +#1455=CIRCLE('',#1454,6.5E-1); +#1460=CIRCLE('',#1459,6.5E-1); +#1465=CIRCLE('',#1464,6.5E-1); +#1470=CIRCLE('',#1469,6.5E-1); +#1475=CIRCLE('',#1474,6.5E-1); +#1480=CIRCLE('',#1479,6.5E-1); +#1485=CIRCLE('',#1484,6.5E-1); +#1490=CIRCLE('',#1489,6.5E-1); +#1495=CIRCLE('',#1494,6.5E-1); +#1500=CIRCLE('',#1499,6.5E-1); +#1505=CIRCLE('',#1504,6.5E-1); +#1510=CIRCLE('',#1509,6.5E-1); +#1515=CIRCLE('',#1514,6.5E-1); +#1520=CIRCLE('',#1519,6.5E-1); +#1525=CIRCLE('',#1524,6.5E-1); +#1530=CIRCLE('',#1529,6.5E-1); +#1535=CIRCLE('',#1534,6.5E-1); +#1540=CIRCLE('',#1539,6.5E-1); +#1545=CIRCLE('',#1544,6.5E-1); +#1550=CIRCLE('',#1549,6.5E-1); +#1555=CIRCLE('',#1554,6.5E-1); +#1560=CIRCLE('',#1559,6.5E-1); +#1565=CIRCLE('',#1564,6.5E-1); +#1570=CIRCLE('',#1569,6.5E-1); +#1575=CIRCLE('',#1574,6.5E-1); +#1580=CIRCLE('',#1579,6.5E-1); +#1585=CIRCLE('',#1584,6.5E-1); +#1590=CIRCLE('',#1589,6.5E-1); +#1595=CIRCLE('',#1594,6.5E-1); +#1600=CIRCLE('',#1599,6.5E-1); +#1605=CIRCLE('',#1604,6.5E-1); +#1610=CIRCLE('',#1609,6.5E-1); +#1615=CIRCLE('',#1614,6.5E-1); +#1620=CIRCLE('',#1619,6.5E-1); +#1625=CIRCLE('',#1624,6.5E-1); +#1630=CIRCLE('',#1629,6.5E-1); +#1635=CIRCLE('',#1634,6.5E-1); +#1640=CIRCLE('',#1639,6.5E-1); +#1645=CIRCLE('',#1644,6.5E-1); +#1650=CIRCLE('',#1649,6.5E-1); +#1655=CIRCLE('',#1654,6.5E-1); +#1660=CIRCLE('',#1659,6.5E-1); +#1665=CIRCLE('',#1664,6.5E-1); +#1670=CIRCLE('',#1669,6.5E-1); +#1675=CIRCLE('',#1674,6.5E-1); +#1680=CIRCLE('',#1679,6.5E-1); +#1685=CIRCLE('',#1684,6.5E-1); +#1690=CIRCLE('',#1689,6.5E-1); +#1695=CIRCLE('',#1694,6.5E-1); +#1700=CIRCLE('',#1699,6.5E-1); +#1705=CIRCLE('',#1704,6.5E-1); +#1710=CIRCLE('',#1709,6.5E-1); +#1715=CIRCLE('',#1714,6.5E-1); +#1720=CIRCLE('',#1719,6.5E-1); +#1725=CIRCLE('',#1724,6.5E-1); +#1730=CIRCLE('',#1729,6.5E-1); +#1735=CIRCLE('',#1734,6.5E-1); +#1740=CIRCLE('',#1739,6.5E-1); +#1745=CIRCLE('',#1744,6.5E-1); +#1750=CIRCLE('',#1749,6.5E-1); +#1755=CIRCLE('',#1754,6.5E-1); +#1760=CIRCLE('',#1759,6.5E-1); +#1765=CIRCLE('',#1764,6.5E-1); +#1774=CIRCLE('',#1773,2.E-1); +#1779=CIRCLE('',#1778,1.E-1); +#1784=CIRCLE('',#1783,1.E-1); +#1793=CIRCLE('',#1792,2.E-1); +#1802=CIRCLE('',#1801,1.E-1); +#1807=CIRCLE('',#1806,1.E-1); +#1812=CIRCLE('',#1811,1.E-1); +#1817=CIRCLE('',#1816,1.E-1); +#1822=CIRCLE('',#1821,5.E-1); +#1835=CIRCLE('',#1834,2.E-1); +#1840=CIRCLE('',#1839,1.E-1); +#1845=CIRCLE('',#1844,1.E-1); +#1854=CIRCLE('',#1853,2.E-1); +#1863=CIRCLE('',#1862,1.E-1); +#1868=CIRCLE('',#1867,1.E-1); +#1873=CIRCLE('',#1872,1.E-1); +#1878=CIRCLE('',#1877,1.E-1); +#1883=CIRCLE('',#1882,5.E-1); +#1896=CIRCLE('',#1895,2.E-1); +#1901=CIRCLE('',#1900,1.E-1); +#1906=CIRCLE('',#1905,1.E-1); +#1915=CIRCLE('',#1914,2.E-1); +#1924=CIRCLE('',#1923,1.E-1); +#1929=CIRCLE('',#1928,1.E-1); +#1934=CIRCLE('',#1933,1.E-1); +#1939=CIRCLE('',#1938,1.E-1); +#1944=CIRCLE('',#1943,5.E-1); +#1957=CIRCLE('',#1956,2.E-1); +#1962=CIRCLE('',#1961,1.E-1); +#1967=CIRCLE('',#1966,1.E-1); +#1976=CIRCLE('',#1975,2.E-1); +#1985=CIRCLE('',#1984,1.E-1); +#1990=CIRCLE('',#1989,1.E-1); +#1995=CIRCLE('',#1994,1.E-1); +#2000=CIRCLE('',#1999,1.E-1); +#2005=CIRCLE('',#2004,5.E-1); +#2018=CIRCLE('',#2017,2.E-1); +#2023=CIRCLE('',#2022,1.E-1); +#2028=CIRCLE('',#2027,1.E-1); +#2037=CIRCLE('',#2036,2.E-1); +#2046=CIRCLE('',#2045,1.E-1); +#2051=CIRCLE('',#2050,1.E-1); +#2056=CIRCLE('',#2055,1.E-1); +#2061=CIRCLE('',#2060,1.E-1); +#2066=CIRCLE('',#2065,5.E-1); +#2075=CIRCLE('',#2074,4.7E0); +#2102=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2096,#2097,#2098,#2099,#2100,#2101), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2113=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2107,#2108,#2109,#2110,#2111,#2112), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2138=CIRCLE('',#2137,1.E-1); +#2143=CIRCLE('',#2142,1.E-1); +#2148=CIRCLE('',#2147,1.E-1); +#2165=CIRCLE('',#2164,4.E-1); +#2178=CIRCLE('',#2177,4.E-1); +#2199=CIRCLE('',#2198,4.E-1); +#2208=CIRCLE('',#2207,4.E-1); +#2217=CIRCLE('',#2216,1.E-1); +#2222=CIRCLE('',#2221,1.E-1); +#2231=CIRCLE('',#2230,2.E-1); +#2240=CIRCLE('',#2239,1.E-1); +#2245=CIRCLE('',#2244,1.E-1); +#2252=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2246,#2247,#2248,#2249,#2250,#2251), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2267=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2261,#2262,#2263,#2264,#2265,#2266), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2278=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2272,#2273,#2274,#2275,#2276,#2277), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2301=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2295,#2296,#2297,#2298,#2299,#2300), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2310=CIRCLE('',#2309,1.E-1); +#2315=CIRCLE('',#2314,1.E-1); +#2324=CIRCLE('',#2323,2.E-1); +#2329=CIRCLE('',#2328,5.E-1); +#2342=CIRCLE('',#2341,2.E-1); +#2351=CIRCLE('',#2350,1.E-1); +#2356=CIRCLE('',#2355,1.E-1); +#2361=CIRCLE('',#2360,5.E-1); +#2374=CIRCLE('',#2373,2.E-1); +#2379=CIRCLE('',#2378,1.E-1); +#2384=CIRCLE('',#2383,1.E-1); +#2389=CIRCLE('',#2388,1.E-1); +#2394=CIRCLE('',#2393,1.E-1); +#2399=CIRCLE('',#2398,4.7E0); +#2410=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2404,#2405,#2406,#2407,#2408,#2409), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2425=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2419,#2420,#2421,#2422,#2423,#2424), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2436=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2430,#2431,#2432,#2433,#2434,#2435), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2451=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2445,#2446,#2447,#2448,#2449,#2450), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2462=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2456,#2457,#2458,#2459,#2460,#2461), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2477=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2471,#2472,#2473,#2474,#2475,#2476), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2488=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2482,#2483,#2484,#2485,#2486,#2487), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2503=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2497,#2498,#2499,#2500,#2501,#2502), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2514=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2508,#2509,#2510,#2511,#2512,#2513), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2529=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2523,#2524,#2525,#2526,#2527,#2528), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2540=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2534,#2535,#2536,#2537,#2538,#2539), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2555=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2549,#2550,#2551,#2552,#2553,#2554), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2566=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2560,#2561,#2562,#2563,#2564,#2565), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2581=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2575,#2576,#2577,#2578,#2579,#2580), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2592=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2586,#2587,#2588,#2589,#2590,#2591), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2607=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2601,#2602,#2603,#2604,#2605,#2606), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2618=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2612,#2613,#2614,#2615,#2616,#2617), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2633=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2627,#2628,#2629,#2630,#2631,#2632), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2644=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2638,#2639,#2640,#2641,#2642,#2643), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2659=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2653,#2654,#2655,#2656,#2657,#2658), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2670=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2664,#2665,#2666,#2667,#2668,#2669), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2693=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2687,#2688,#2689,#2690,#2691,#2692), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2704=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2698,#2699,#2700,#2701,#2702,#2703), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2719=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2713,#2714,#2715,#2716,#2717,#2718), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2728=CIRCLE('',#2727,1.E-1); +#2733=CIRCLE('',#2732,1.E-1); +#2742=CIRCLE('',#2741,2.E-1); +#2747=CIRCLE('',#2746,1.E-1); +#2752=CIRCLE('',#2751,1.E-1); +#2761=CIRCLE('',#2760,2.E-1); +#2770=CIRCLE('',#2769,1.E-1); +#2775=CIRCLE('',#2774,1.E-1); +#2780=CIRCLE('',#2779,5.E-1); +#2793=CIRCLE('',#2792,2.E-1); +#2802=CIRCLE('',#2801,1.E-1); +#2807=CIRCLE('',#2806,1.E-1); +#2812=CIRCLE('',#2811,5.E-1); +#2825=CIRCLE('',#2824,2.E-1); +#2830=CIRCLE('',#2829,1.E-1); +#2835=CIRCLE('',#2834,1.E-1); +#2840=CIRCLE('',#2839,1.E-1); +#2845=CIRCLE('',#2844,1.E-1); +#2854=CIRCLE('',#2853,2.E-1); +#2863=CIRCLE('',#2862,1.E-1); +#2868=CIRCLE('',#2867,1.E-1); +#2873=CIRCLE('',#2872,5.E-1); +#2886=CIRCLE('',#2885,2.E-1); +#2891=CIRCLE('',#2890,1.E-1); +#2896=CIRCLE('',#2895,1.E-1); +#2901=CIRCLE('',#2900,1.E-1); +#2906=CIRCLE('',#2905,1.E-1); +#2915=CIRCLE('',#2914,2.E-1); +#2924=CIRCLE('',#2923,1.E-1); +#2929=CIRCLE('',#2928,1.E-1); +#2934=CIRCLE('',#2933,5.E-1); +#2947=CIRCLE('',#2946,2.E-1); +#2952=CIRCLE('',#2951,1.E-1); +#2957=CIRCLE('',#2956,1.E-1); +#2962=CIRCLE('',#2961,1.E-1); +#2967=CIRCLE('',#2966,1.E-1); +#2976=CIRCLE('',#2975,2.E-1); +#2985=CIRCLE('',#2984,1.E-1); +#2990=CIRCLE('',#2989,1.E-1); +#2995=CIRCLE('',#2994,5.E-1); +#3008=CIRCLE('',#3007,2.E-1); +#3013=CIRCLE('',#3012,1.E-1); +#3018=CIRCLE('',#3017,1.E-1); +#3023=CIRCLE('',#3022,1.E-1); +#3028=CIRCLE('',#3027,1.E-1); +#3037=CIRCLE('',#3036,2.E-1); +#3046=CIRCLE('',#3045,1.E-1); +#3051=CIRCLE('',#3050,1.E-1); +#3056=CIRCLE('',#3055,5.E-1); +#3069=CIRCLE('',#3068,2.E-1); +#3074=CIRCLE('',#3073,1.E-1); +#3079=CIRCLE('',#3078,1.E-1); +#3084=CIRCLE('',#3083,1.E-1); +#3089=CIRCLE('',#3088,1.E-1); +#3098=CIRCLE('',#3097,2.E-1); +#3107=CIRCLE('',#3106,1.E-1); +#3112=CIRCLE('',#3111,1.E-1); +#3117=CIRCLE('',#3116,6.75E-1); +#3130=CIRCLE('',#3129,2.E-1); +#3135=CIRCLE('',#3134,1.E-1); +#3140=CIRCLE('',#3139,1.E-1); +#3145=CIRCLE('',#3144,1.E-1); +#3150=CIRCLE('',#3149,1.E-1); +#3159=CIRCLE('',#3158,2.E-1); +#3168=CIRCLE('',#3167,1.E-1); +#3173=CIRCLE('',#3172,1.E-1); +#3178=CIRCLE('',#3177,5.E-1); +#3191=CIRCLE('',#3190,2.E-1); +#3196=CIRCLE('',#3195,1.E-1); +#3201=CIRCLE('',#3200,1.E-1); +#3206=CIRCLE('',#3205,1.E-1); +#3211=CIRCLE('',#3210,1.E-1); +#3220=CIRCLE('',#3219,2.E-1); +#3229=CIRCLE('',#3228,1.E-1); +#3234=CIRCLE('',#3233,1.E-1); +#3239=CIRCLE('',#3238,5.E-1); +#3252=CIRCLE('',#3251,2.E-1); +#3257=CIRCLE('',#3256,1.E-1); +#3262=CIRCLE('',#3261,1.E-1); +#3267=CIRCLE('',#3266,1.E-1); +#3272=CIRCLE('',#3271,1.E-1); +#3281=CIRCLE('',#3280,2.E-1); +#3290=CIRCLE('',#3289,1.E-1); +#3295=CIRCLE('',#3294,1.E-1); +#3300=CIRCLE('',#3299,5.E-1); +#3313=CIRCLE('',#3312,2.E-1); +#3318=CIRCLE('',#3317,1.E-1); +#3323=CIRCLE('',#3322,1.E-1); +#3328=CIRCLE('',#3327,1.E-1); +#3333=CIRCLE('',#3332,1.E-1); +#3342=CIRCLE('',#3341,2.E-1); +#3351=CIRCLE('',#3350,1.E-1); +#3356=CIRCLE('',#3355,1.E-1); +#3361=CIRCLE('',#3360,5.E-1); +#3374=CIRCLE('',#3373,2.E-1); +#3379=CIRCLE('',#3378,1.E-1); +#3384=CIRCLE('',#3383,1.E-1); +#3389=CIRCLE('',#3388,1.E-1); +#3394=CIRCLE('',#3393,1.E-1); +#3399=CIRCLE('',#3398,4.7E0); +#3412=CIRCLE('',#3411,2.E-1); +#3421=CIRCLE('',#3420,1.E-1); +#3426=CIRCLE('',#3425,1.E-1); +#3431=CIRCLE('',#3430,5.E-1); +#3444=CIRCLE('',#3443,2.E-1); +#3449=CIRCLE('',#3448,1.E-1); +#3454=CIRCLE('',#3453,1.E-1); +#3459=CIRCLE('',#3458,1.E-1); +#3464=CIRCLE('',#3463,1.E-1); +#3469=CIRCLE('',#3468,1.E-1); +#3474=CIRCLE('',#3473,1.E-1); +#3483=CIRCLE('',#3482,2.E-1); +#3492=CIRCLE('',#3491,1.E-1); +#3497=CIRCLE('',#3496,1.E-1); +#3502=CIRCLE('',#3501,1.E-1); +#3507=CIRCLE('',#3506,1.E-1); +#3516=CIRCLE('',#3515,2.E-1); +#3521=CIRCLE('',#3520,5.E-1); +#3530=CIRCLE('',#3529,1.E-1); +#3539=CIRCLE('',#3538,5.E-1); +#3552=CIRCLE('',#3551,2.E-1); +#3557=CIRCLE('',#3556,1.E-1); +#3562=CIRCLE('',#3561,1.E-1); +#3571=CIRCLE('',#3570,2.E-1); +#3580=CIRCLE('',#3579,1.E-1); +#3585=CIRCLE('',#3584,1.E-1); +#3590=CIRCLE('',#3589,1.E-1); +#3595=CIRCLE('',#3594,1.E-1); +#3600=CIRCLE('',#3599,5.E-1); +#3613=CIRCLE('',#3612,2.E-1); +#3618=CIRCLE('',#3617,1.E-1); +#3623=CIRCLE('',#3622,1.E-1); +#3632=CIRCLE('',#3631,2.E-1); +#3641=CIRCLE('',#3640,1.E-1); +#3646=CIRCLE('',#3645,1.E-1); +#3651=CIRCLE('',#3650,1.E-1); +#3656=CIRCLE('',#3655,1.E-1); +#3661=CIRCLE('',#3660,5.E-1); +#3674=CIRCLE('',#3673,2.E-1); +#3679=CIRCLE('',#3678,1.E-1); +#3684=CIRCLE('',#3683,1.E-1); +#3693=CIRCLE('',#3692,2.E-1); +#3702=CIRCLE('',#3701,1.E-1); +#3707=CIRCLE('',#3706,1.E-1); +#3712=CIRCLE('',#3711,1.E-1); +#3717=CIRCLE('',#3716,1.E-1); +#3722=CIRCLE('',#3721,5.E-1); +#3735=CIRCLE('',#3734,2.E-1); +#3740=CIRCLE('',#3739,1.E-1); +#3745=CIRCLE('',#3744,1.E-1); +#3754=CIRCLE('',#3753,2.E-1); +#3763=CIRCLE('',#3762,1.E-1); +#3768=CIRCLE('',#3767,1.E-1); +#3773=CIRCLE('',#3772,1.E-1); +#3778=CIRCLE('',#3777,1.E-1); +#3783=CIRCLE('',#3782,5.E-1); +#3796=CIRCLE('',#3795,2.E-1); +#3801=CIRCLE('',#3800,1.E-1); +#3806=CIRCLE('',#3805,1.E-1); +#3815=CIRCLE('',#3814,2.E-1); +#3824=CIRCLE('',#3823,1.E-1); +#3829=CIRCLE('',#3828,1.E-1); +#3834=CIRCLE('',#3833,1.E-1); +#3839=CIRCLE('',#3838,1.E-1); +#3844=CIRCLE('',#3843,5.E-1); +#3857=CIRCLE('',#3856,2.E-1); +#3862=CIRCLE('',#3861,1.E-1); +#3867=CIRCLE('',#3866,1.E-1); +#3876=CIRCLE('',#3875,2.E-1); +#3885=CIRCLE('',#3884,1.E-1); +#3890=CIRCLE('',#3889,1.E-1); +#3895=CIRCLE('',#3894,1.E-1); +#3900=CIRCLE('',#3899,1.E-1); +#3905=CIRCLE('',#3904,6.75E-1); +#3922=CIRCLE('',#3921,6.5E-1); +#3927=CIRCLE('',#3926,6.5E-1); +#3932=CIRCLE('',#3931,6.5E-1); +#3937=CIRCLE('',#3936,6.5E-1); +#3942=CIRCLE('',#3941,6.5E-1); +#3947=CIRCLE('',#3946,6.5E-1); +#3952=CIRCLE('',#3951,6.5E-1); +#3957=CIRCLE('',#3956,6.5E-1); +#3962=CIRCLE('',#3961,6.5E-1); +#3967=CIRCLE('',#3966,6.5E-1); +#3972=CIRCLE('',#3971,6.5E-1); +#3977=CIRCLE('',#3976,6.5E-1); +#3982=CIRCLE('',#3981,6.5E-1); +#3987=CIRCLE('',#3986,6.5E-1); +#3992=CIRCLE('',#3991,6.5E-1); +#3997=CIRCLE('',#3996,6.5E-1); +#4002=CIRCLE('',#4001,6.5E-1); +#4007=CIRCLE('',#4006,6.5E-1); +#4012=CIRCLE('',#4011,6.5E-1); +#4017=CIRCLE('',#4016,6.5E-1); +#4022=CIRCLE('',#4021,6.5E-1); +#4027=CIRCLE('',#4026,6.5E-1); +#4032=CIRCLE('',#4031,6.5E-1); +#4037=CIRCLE('',#4036,6.5E-1); +#4042=CIRCLE('',#4041,6.5E-1); +#4047=CIRCLE('',#4046,6.5E-1); +#4052=CIRCLE('',#4051,6.5E-1); +#4057=CIRCLE('',#4056,6.5E-1); +#4062=CIRCLE('',#4061,6.5E-1); +#4067=CIRCLE('',#4066,6.5E-1); +#4072=CIRCLE('',#4071,6.5E-1); +#4077=CIRCLE('',#4076,6.5E-1); +#4082=CIRCLE('',#4081,6.5E-1); +#4087=CIRCLE('',#4086,6.5E-1); +#4092=CIRCLE('',#4091,6.5E-1); +#4097=CIRCLE('',#4096,6.5E-1); +#4102=CIRCLE('',#4101,6.5E-1); +#4107=CIRCLE('',#4106,6.5E-1); +#4112=CIRCLE('',#4111,6.5E-1); +#4117=CIRCLE('',#4116,6.5E-1); +#4122=CIRCLE('',#4121,6.5E-1); +#4127=CIRCLE('',#4126,6.5E-1); +#4132=CIRCLE('',#4131,6.5E-1); +#4137=CIRCLE('',#4136,6.5E-1); +#4142=CIRCLE('',#4141,6.5E-1); +#4147=CIRCLE('',#4146,6.5E-1); +#4152=CIRCLE('',#4151,6.5E-1); +#4157=CIRCLE('',#4156,6.5E-1); +#4162=CIRCLE('',#4161,6.5E-1); +#4167=CIRCLE('',#4166,6.5E-1); +#4172=CIRCLE('',#4171,6.5E-1); +#4177=CIRCLE('',#4176,6.5E-1); +#4182=CIRCLE('',#4181,6.5E-1); +#4187=CIRCLE('',#4186,6.5E-1); +#4192=CIRCLE('',#4191,6.5E-1); +#4197=CIRCLE('',#4196,6.5E-1); +#4202=CIRCLE('',#4201,6.5E-1); +#4207=CIRCLE('',#4206,6.5E-1); +#4212=CIRCLE('',#4211,6.5E-1); +#4217=CIRCLE('',#4216,6.5E-1); +#4222=CIRCLE('',#4221,6.5E-1); +#4227=CIRCLE('',#4226,6.5E-1); +#4232=CIRCLE('',#4231,6.5E-1); +#4237=CIRCLE('',#4236,6.5E-1); +#4242=CIRCLE('',#4241,6.5E-1); +#4247=CIRCLE('',#4246,6.5E-1); +#4252=CIRCLE('',#4251,6.5E-1); +#4257=CIRCLE('',#4256,6.5E-1); +#4262=CIRCLE('',#4261,6.5E-1); +#4267=CIRCLE('',#4266,6.5E-1); +#4544=CIRCLE('',#4543,5.E-1); +#4549=CIRCLE('',#4548,5.E-1); +#4674=CIRCLE('',#4673,6.2E0); +#4679=CIRCLE('',#4678,6.2E0); +#4688=CIRCLE('',#4687,5.E-1); +#4693=CIRCLE('',#4692,5.E-1); +#4698=CIRCLE('',#4697,5.E-1); +#4703=CIRCLE('',#4702,5.E-1); +#4708=CIRCLE('',#4707,5.E-1); +#4713=CIRCLE('',#4712,5.E-1); +#4738=CIRCLE('',#4737,6.2E0); +#4743=CIRCLE('',#4742,6.2E0); +#4752=CIRCLE('',#4751,1.085E0); +#4757=CIRCLE('',#4756,1.085E0); +#4762=CIRCLE('',#4761,1.085E0); +#4767=CIRCLE('',#4766,1.085E0); +#4772=CIRCLE('',#4771,1.085E0); +#4777=CIRCLE('',#4776,1.085E0); +#4782=CIRCLE('',#4781,1.085E0); +#4787=CIRCLE('',#4786,1.085E0); +#4796=CIRCLE('',#4795,1.E0); +#4801=CIRCLE('',#4800,3.25E0); +#4810=CIRCLE('',#4809,3.25E0); +#4815=CIRCLE('',#4814,1.E0); +#4828=CIRCLE('',#4827,3.25E0); +#4837=CIRCLE('',#4836,3.25E0); +#4846=CIRCLE('',#4845,1.E0); +#4851=CIRCLE('',#4850,1.E0); +#4860=CIRCLE('',#4859,3.25E0); +#4869=CIRCLE('',#4868,3.25E0); +#4882=CIRCLE('',#4881,1.E0); +#4887=CIRCLE('',#4886,3.25E0); +#4896=CIRCLE('',#4895,3.25E0); +#4901=CIRCLE('',#4900,1.E0); +#4918=CIRCLE('',#4917,1.085E0); +#4923=CIRCLE('',#4922,1.085E0); +#4928=CIRCLE('',#4927,1.085E0); +#4933=CIRCLE('',#4932,1.085E0); +#4938=CIRCLE('',#4937,1.085E0); +#4943=CIRCLE('',#4942,1.085E0); +#4948=CIRCLE('',#4947,1.085E0); +#4953=CIRCLE('',#4952,1.085E0); +#5006=CIRCLE('',#5005,6.2E0); +#5011=CIRCLE('',#5010,6.2E0); +#5020=CIRCLE('',#5019,6.2E0); +#5041=CIRCLE('',#5040,6.2E0); +#5046=CIRCLE('',#5045,6.2E0); +#5055=CIRCLE('',#5054,6.2E0); +#5068=CIRCLE('',#5067,5.E0); +#5077=CIRCLE('',#5076,5.E0); +#5086=CIRCLE('',#5085,5.E0); +#5095=CIRCLE('',#5094,5.E0); +#5104=CIRCLE('',#5103,3.25E0); +#5113=CIRCLE('',#5112,3.25E0); +#5118=CIRCLE('',#5117,1.E0); +#5127=CIRCLE('',#5126,6.7E0); +#5136=CIRCLE('',#5135,6.7E0); +#5145=CIRCLE('',#5144,1.E0); +#5150=CIRCLE('',#5149,3.25E0); +#5159=CIRCLE('',#5158,3.25E0); +#5164=CIRCLE('',#5163,1.E0); +#5169=CIRCLE('',#5168,6.7E0); +#5178=CIRCLE('',#5177,1.E0); +#5187=CIRCLE('',#5186,3.25E0); +#5196=CIRCLE('',#5195,3.25E0); +#5205=CIRCLE('',#5204,6.7E0); +#5214=CIRCLE('',#5213,6.7E0); +#5223=CIRCLE('',#5222,3.25E0); +#5232=CIRCLE('',#5231,3.25E0); +#5241=CIRCLE('',#5240,1.E0); +#5250=CIRCLE('',#5249,6.7E0); +#5255=CIRCLE('',#5254,1.E0); +#5260=CIRCLE('',#5259,2.3E0); +#5269=CIRCLE('',#5268,2.3E0); +#5278=CIRCLE('',#5277,2.3E0); +#5287=CIRCLE('',#5286,2.3E0); +#5296=CIRCLE('',#5295,4.9E0); +#5305=CIRCLE('',#5304,4.9E0); +#5314=CIRCLE('',#5313,4.9E0); +#5323=CIRCLE('',#5322,4.9E0); +#5332=CIRCLE('',#5331,5.E0); +#5349=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5341,#5342,#5343,#5344,#5345,#5346,#5347, +#5348),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#5378=CIRCLE('',#5377,1.E0); +#5383=CIRCLE('',#5382,3.E0); +#5388=CIRCLE('',#5387,3.E0); +#5393=CIRCLE('',#5392,1.E0); +#5402=CIRCLE('',#5401,4.5E0); +#5411=CIRCLE('',#5410,4.5E0); +#5420=CIRCLE('',#5419,1.E0); +#5425=CIRCLE('',#5424,3.E0); +#5430=CIRCLE('',#5429,3.E0); +#5435=CIRCLE('',#5434,1.E0); +#5452=CIRCLE('',#5451,1.E0); +#5457=CIRCLE('',#5456,3.E0); +#5462=CIRCLE('',#5461,3.E0); +#5467=CIRCLE('',#5466,1.E0); +#5480=CIRCLE('',#5479,4.5E0); +#5489=CIRCLE('',#5488,4.5E0); +#5502=CIRCLE('',#5501,1.E0); +#5507=CIRCLE('',#5506,3.E0); +#5512=CIRCLE('',#5511,3.E0); +#5517=CIRCLE('',#5516,1.E0); +#5538=CIRCLE('',#5537,1.5E0); +#5547=CIRCLE('',#5546,1.5E0); +#5556=CIRCLE('',#5555,1.5E0); +#5565=CIRCLE('',#5564,1.5E0); +#5574=CIRCLE('',#5573,1.5E0); +#5583=CIRCLE('',#5582,1.5E0); +#5592=CIRCLE('',#5591,1.5E0); +#5605=CIRCLE('',#5604,1.5E0); +#5614=CIRCLE('',#5613,1.5E0); +#5623=CIRCLE('',#5622,1.5E0); +#5632=CIRCLE('',#5631,1.5E0); +#5641=CIRCLE('',#5640,1.5E0); +#5650=CIRCLE('',#5649,1.5E0); +#5659=CIRCLE('',#5658,1.5E0); +#5668=CIRCLE('',#5667,1.5E0); +#5677=CIRCLE('',#5676,1.5E0); +#5686=CIRCLE('',#5685,1.5E0); +#5695=CIRCLE('',#5694,1.5E0); +#5704=CIRCLE('',#5703,1.5E0); +#5717=CIRCLE('',#5716,1.5E0); +#5726=CIRCLE('',#5725,1.5E0); +#5735=CIRCLE('',#5734,1.5E0); +#5744=CIRCLE('',#5743,1.5E0); +#5753=CIRCLE('',#5752,1.5E0); +#5762=CIRCLE('',#5761,6.5E-1); +#5767=CIRCLE('',#5766,6.5E-1); +#5772=CIRCLE('',#5771,6.5E-1); +#5777=CIRCLE('',#5776,6.5E-1); +#5782=CIRCLE('',#5781,6.5E-1); +#5787=CIRCLE('',#5786,6.5E-1); +#5792=CIRCLE('',#5791,6.5E-1); +#5797=CIRCLE('',#5796,6.5E-1); +#5802=CIRCLE('',#5801,6.5E-1); +#5807=CIRCLE('',#5806,6.5E-1); +#5812=CIRCLE('',#5811,6.5E-1); +#5817=CIRCLE('',#5816,6.5E-1); +#5822=CIRCLE('',#5821,6.5E-1); +#5827=CIRCLE('',#5826,6.5E-1); +#5832=CIRCLE('',#5831,6.5E-1); +#5837=CIRCLE('',#5836,6.5E-1); +#5842=CIRCLE('',#5841,6.5E-1); +#5847=CIRCLE('',#5846,6.5E-1); +#5852=CIRCLE('',#5851,6.5E-1); +#5857=CIRCLE('',#5856,6.5E-1); +#5862=CIRCLE('',#5861,6.5E-1); +#5867=CIRCLE('',#5866,6.5E-1); +#5872=CIRCLE('',#5871,6.5E-1); +#5877=CIRCLE('',#5876,6.5E-1); +#5882=CIRCLE('',#5881,6.5E-1); +#5887=CIRCLE('',#5886,6.5E-1); +#5892=CIRCLE('',#5891,6.5E-1); +#5897=CIRCLE('',#5896,6.5E-1); +#5902=CIRCLE('',#5901,6.5E-1); +#5907=CIRCLE('',#5906,6.5E-1); +#5912=CIRCLE('',#5911,6.5E-1); +#5917=CIRCLE('',#5916,6.5E-1); +#5922=CIRCLE('',#5921,6.5E-1); +#5927=CIRCLE('',#5926,6.5E-1); +#5932=CIRCLE('',#5931,6.5E-1); +#5937=CIRCLE('',#5936,6.5E-1); +#5942=CIRCLE('',#5941,6.5E-1); +#5947=CIRCLE('',#5946,6.5E-1); +#5952=CIRCLE('',#5951,6.5E-1); +#5957=CIRCLE('',#5956,6.5E-1); +#5962=CIRCLE('',#5961,6.5E-1); +#5967=CIRCLE('',#5966,6.5E-1); +#5972=CIRCLE('',#5971,6.5E-1); +#5977=CIRCLE('',#5976,6.5E-1); +#5982=CIRCLE('',#5981,6.5E-1); +#5987=CIRCLE('',#5986,6.5E-1); +#5992=CIRCLE('',#5991,6.5E-1); +#5997=CIRCLE('',#5996,6.5E-1); +#6002=CIRCLE('',#6001,6.5E-1); +#6007=CIRCLE('',#6006,6.5E-1); +#6012=CIRCLE('',#6011,6.5E-1); +#6017=CIRCLE('',#6016,6.5E-1); +#6022=CIRCLE('',#6021,6.5E-1); +#6027=CIRCLE('',#6026,6.5E-1); +#6032=CIRCLE('',#6031,6.5E-1); +#6037=CIRCLE('',#6036,6.5E-1); +#6042=CIRCLE('',#6041,6.5E-1); +#6047=CIRCLE('',#6046,6.5E-1); +#6052=CIRCLE('',#6051,6.5E-1); +#6057=CIRCLE('',#6056,6.5E-1); +#6062=CIRCLE('',#6061,6.5E-1); +#6067=CIRCLE('',#6066,6.5E-1); +#6072=CIRCLE('',#6071,6.5E-1); +#6077=CIRCLE('',#6076,6.5E-1); +#6082=CIRCLE('',#6081,6.5E-1); +#6087=CIRCLE('',#6086,6.5E-1); +#6092=CIRCLE('',#6091,6.5E-1); +#6097=CIRCLE('',#6096,6.5E-1); +#6102=CIRCLE('',#6101,6.5E-1); +#6107=CIRCLE('',#6106,6.5E-1); +#6116=CIRCLE('',#6115,1.5E0); +#6125=CIRCLE('',#6124,1.5E0); +#6134=CIRCLE('',#6133,1.5E0); +#6143=CIRCLE('',#6142,1.5E0); +#6152=CIRCLE('',#6151,1.5E0); +#6161=CIRCLE('',#6160,1.5E0); +#6186=CIRCLE('',#6185,1.5E0); +#6195=CIRCLE('',#6194,1.5E0); +#6204=CIRCLE('',#6203,1.5E0); +#6213=CIRCLE('',#6212,1.5E0); +#6222=CIRCLE('',#6221,1.5E0); +#6231=CIRCLE('',#6230,1.5E0); +#6256=CIRCLE('',#6255,1.5E0); +#6265=CIRCLE('',#6264,1.5E0); +#6274=CIRCLE('',#6273,1.5E0); +#6283=CIRCLE('',#6282,1.5E0); +#6292=CIRCLE('',#6291,1.5E0); +#6301=CIRCLE('',#6300,1.5E0); +#6310=CIRCLE('',#6309,1.5E0); +#6319=CIRCLE('',#6318,1.5E0); +#6328=CIRCLE('',#6327,1.5E0); +#6337=CIRCLE('',#6336,1.5E0); +#6346=CIRCLE('',#6345,1.5E0); +#6355=CIRCLE('',#6354,1.5E0); +#6588=CIRCLE('',#6587,6.5E-1); +#6593=CIRCLE('',#6592,6.5E-1); +#6598=CIRCLE('',#6597,6.5E-1); +#6603=CIRCLE('',#6602,6.5E-1); +#6608=CIRCLE('',#6607,6.5E-1); +#6613=CIRCLE('',#6612,6.5E-1); +#6618=CIRCLE('',#6617,6.5E-1); +#6623=CIRCLE('',#6622,6.5E-1); +#6628=CIRCLE('',#6627,6.5E-1); +#6633=CIRCLE('',#6632,6.5E-1); +#6638=CIRCLE('',#6637,6.5E-1); +#6643=CIRCLE('',#6642,6.5E-1); +#6648=CIRCLE('',#6647,6.5E-1); +#6653=CIRCLE('',#6652,6.5E-1); +#6658=CIRCLE('',#6657,6.5E-1); +#6663=CIRCLE('',#6662,6.5E-1); +#6668=CIRCLE('',#6667,6.5E-1); +#6673=CIRCLE('',#6672,6.5E-1); +#6678=CIRCLE('',#6677,6.5E-1); +#6683=CIRCLE('',#6682,6.5E-1); +#6688=CIRCLE('',#6687,6.5E-1); +#6693=CIRCLE('',#6692,6.5E-1); +#6698=CIRCLE('',#6697,6.5E-1); +#6703=CIRCLE('',#6702,6.5E-1); +#6708=CIRCLE('',#6707,6.5E-1); +#6713=CIRCLE('',#6712,6.5E-1); +#6718=CIRCLE('',#6717,6.5E-1); +#6723=CIRCLE('',#6722,6.5E-1); +#6728=CIRCLE('',#6727,6.5E-1); +#6733=CIRCLE('',#6732,6.5E-1); +#6738=CIRCLE('',#6737,6.5E-1); +#6743=CIRCLE('',#6742,6.5E-1); +#6748=CIRCLE('',#6747,6.5E-1); +#6753=CIRCLE('',#6752,6.5E-1); +#6758=CIRCLE('',#6757,6.5E-1); +#6763=CIRCLE('',#6762,6.5E-1); +#6768=CIRCLE('',#6767,6.5E-1); +#6773=CIRCLE('',#6772,6.5E-1); +#6778=CIRCLE('',#6777,6.5E-1); +#6783=CIRCLE('',#6782,6.5E-1); +#6788=CIRCLE('',#6787,6.5E-1); +#6793=CIRCLE('',#6792,6.5E-1); +#6798=CIRCLE('',#6797,6.5E-1); +#6803=CIRCLE('',#6802,6.5E-1); +#6808=CIRCLE('',#6807,6.5E-1); +#6813=CIRCLE('',#6812,6.5E-1); +#6818=CIRCLE('',#6817,6.5E-1); +#6823=CIRCLE('',#6822,6.5E-1); +#6828=CIRCLE('',#6827,6.5E-1); +#6833=CIRCLE('',#6832,6.5E-1); +#6838=CIRCLE('',#6837,6.5E-1); +#6843=CIRCLE('',#6842,6.5E-1); +#6848=CIRCLE('',#6847,6.5E-1); +#6853=CIRCLE('',#6852,6.5E-1); +#6858=CIRCLE('',#6857,6.5E-1); +#6863=CIRCLE('',#6862,6.5E-1); +#6868=CIRCLE('',#6867,6.5E-1); +#6873=CIRCLE('',#6872,6.5E-1); +#6878=CIRCLE('',#6877,6.5E-1); +#6883=CIRCLE('',#6882,6.5E-1); +#6888=CIRCLE('',#6887,6.5E-1); +#6893=CIRCLE('',#6892,6.5E-1); +#6898=CIRCLE('',#6897,6.5E-1); +#6903=CIRCLE('',#6902,6.5E-1); +#6908=CIRCLE('',#6907,6.5E-1); +#6913=CIRCLE('',#6912,6.5E-1); +#6918=CIRCLE('',#6917,6.5E-1); +#6923=CIRCLE('',#6922,6.5E-1); +#6928=CIRCLE('',#6927,6.5E-1); +#6933=CIRCLE('',#6932,6.5E-1); +#7210=CIRCLE('',#7209,5.E-1); +#7215=CIRCLE('',#7214,5.E-1); +#7240=CIRCLE('',#7239,3.E0); +#7249=CIRCLE('',#7248,3.E0); +#7258=CIRCLE('',#7257,5.E-1); +#7263=CIRCLE('',#7262,2.5E0); +#7268=CIRCLE('',#7267,2.5E0); +#7273=CIRCLE('',#7272,2.5E0); +#7278=CIRCLE('',#7277,2.5E0); +#7283=CIRCLE('',#7282,2.5E0); +#7288=CIRCLE('',#7287,2.5E0); +#7293=CIRCLE('',#7292,2.5E0); +#7298=CIRCLE('',#7297,2.5E0); +#7303=CIRCLE('',#7302,7.5E-1); +#7308=CIRCLE('',#7307,7.5E-1); +#7313=CIRCLE('',#7312,7.5E-1); +#7318=CIRCLE('',#7317,7.5E-1); +#7323=CIRCLE('',#7322,5.E-1); +#7328=CIRCLE('',#7327,5.E-1); +#7333=CIRCLE('',#7332,3.E0); +#7346=CIRCLE('',#7345,3.E0); +#7351=CIRCLE('',#7350,3.E0); +#7360=CIRCLE('',#7359,3.E0); +#7369=CIRCLE('',#7368,1.E0); +#7382=CIRCLE('',#7381,5.E0); +#7391=CIRCLE('',#7390,1.E0); +#7396=CIRCLE('',#7395,1.E0); +#7405=CIRCLE('',#7404,5.E0); +#7418=CIRCLE('',#7417,1.E0); +#7423=CIRCLE('',#7422,1.E0); +#7440=CIRCLE('',#7439,1.E0); +#7445=CIRCLE('',#7444,1.E0); +#7462=CIRCLE('',#7461,1.E0); +#7467=CIRCLE('',#7466,3.E0); +#7476=CIRCLE('',#7475,3.E0); +#7485=CIRCLE('',#7484,5.E-1); +#7490=CIRCLE('',#7489,5.E-1); +#7495=CIRCLE('',#7494,3.E0); +#7508=CIRCLE('',#7507,3.E0); +#7529=CIRCLE('',#7528,5.E0); +#7538=CIRCLE('',#7537,5.E-1); +#7547=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7539,#7540,#7541,#7542,#7543,#7544,#7545, +#7546),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#7552=CIRCLE('',#7551,5.E-1); +#7565=CIRCLE('',#7564,3.E0); +#7578=CIRCLE('',#7577,3.E0); +#7583=CIRCLE('',#7582,5.E-1); +#7588=CIRCLE('',#7587,5.E-1); +#7593=CIRCLE('',#7592,3.E0); +#7602=CIRCLE('',#7601,3.E0); +#7627=CIRCLE('',#7626,5.E-1); +#7632=CIRCLE('',#7631,5.E-1); +#7637=CIRCLE('',#7636,5.E-1); +#7642=CIRCLE('',#7641,5.E0); +#7659=CIRCLE('',#7658,5.E-1); +#7664=CIRCLE('',#7663,5.E-1); +#7669=CIRCLE('',#7668,5.E0); +#7678=CIRCLE('',#7677,5.E-1); +#7691=CIRCLE('',#7690,3.E0); +#7704=CIRCLE('',#7703,3.E0); +#7709=CIRCLE('',#7708,5.E-1); +#7726=CIRCLE('',#7725,5.E-1); +#7731=CIRCLE('',#7730,5.E-1); +#7756=CIRCLE('',#7755,7.5E-1); +#7761=CIRCLE('',#7760,7.5E-1); +#7766=CIRCLE('',#7765,7.5E-1); +#7771=CIRCLE('',#7770,7.5E-1); +#7799=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7788,#7789,#7790,#7791,#7792,#7793,#7794, +#7795,#7796,#7797,#7798),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#7804=CIRCLE('',#7803,5.E-1); +#7811=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7805,#7806,#7807,#7808,#7809,#7810), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#7820=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7812,#7813,#7814,#7815,#7816,#7817,#7818, +#7819),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#7825=CIRCLE('',#7824,5.E-1); +#7832=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7826,#7827,#7828,#7829,#7830,#7831), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#7837=CIRCLE('',#7836,5.E-1); +#7846=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7838,#7839,#7840,#7841,#7842,#7843,#7844, +#7845),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#7858=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7847,#7848,#7849,#7850,#7851,#7852,#7853, +#7854,#7855,#7856,#7857),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#7868=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7859,#7860,#7861,#7862,#7863,#7864,#7865, +#7866,#7867),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7898=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7889,#7890,#7891,#7892,#7893,#7894,#7895, +#7896,#7897),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7903=CIRCLE('',#7902,5.E-1); +#7908=CIRCLE('',#7907,5.E-1); +#7918=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7909,#7910,#7911,#7912,#7913,#7914,#7915, +#7916,#7917),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7950=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7939,#7940,#7941,#7942,#7943,#7944,#7945, +#7946,#7947,#7948,#7949),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#7955=CIRCLE('',#7954,5.E-1); +#7988=CIRCLE('',#7987,2.3E0); +#7997=CIRCLE('',#7996,5.E-1); +#8002=CIRCLE('',#8001,2.8E0); +#8011=CIRCLE('',#8010,2.8E0); +#8020=CIRCLE('',#8019,2.8E0); +#8029=CIRCLE('',#8028,2.8E0); +#8038=CIRCLE('',#8037,4.4E0); +#8047=CIRCLE('',#8046,4.4E0); +#8056=CIRCLE('',#8055,4.4E0); +#8065=CIRCLE('',#8064,4.4E0); +#8074=CIRCLE('',#8073,5.E-1); +#8087=CIRCLE('',#8086,2.3E0); +#8096=CIRCLE('',#8095,5.E-1); +#8101=CIRCLE('',#8100,5.E-1); +#8114=CIRCLE('',#8113,2.3E0); +#8123=CIRCLE('',#8122,5.E-1); +#8128=CIRCLE('',#8127,5.E-1); +#8141=CIRCLE('',#8140,2.3E0); +#8150=CIRCLE('',#8149,5.E-1); +#8155=CIRCLE('',#8154,5.E-1); +#8168=CIRCLE('',#8167,5.E-1); +#8173=CIRCLE('',#8172,5.E-1); +#8178=CIRCLE('',#8177,5.E-1); +#8183=CIRCLE('',#8182,5.E-1); +#8188=CIRCLE('',#8187,5.E-1); +#8193=CIRCLE('',#8192,5.E-1); +#8198=CIRCLE('',#8197,5.E-1); +#8203=CIRCLE('',#8202,5.E-1); +#8216=CIRCLE('',#8215,4.9E0); +#8233=CIRCLE('',#8232,4.9E0); +#8250=CIRCLE('',#8249,4.9E0); +#8267=CIRCLE('',#8266,4.9E0); +#10561=EDGE_CURVE('',#9312,#9313,#42,.T.); +#10563=EDGE_CURVE('',#9319,#9312,#46,.T.); +#10565=EDGE_CURVE('',#9323,#9319,#51,.T.); +#10567=EDGE_CURVE('',#9327,#9323,#55,.T.); +#10569=EDGE_CURVE('',#9331,#9327,#60,.T.); +#10571=EDGE_CURVE('',#9335,#9331,#64,.T.); +#10573=EDGE_CURVE('',#9339,#9335,#69,.T.); +#10575=EDGE_CURVE('',#9313,#9339,#73,.T.); +#10579=EDGE_CURVE('',#8852,#8853,#5,.T.); +#10581=EDGE_CURVE('',#8865,#8852,#10,.T.); +#10583=EDGE_CURVE('',#8863,#8865,#14,.T.); +#10585=EDGE_CURVE('',#8861,#8863,#19,.T.); +#10587=EDGE_CURVE('',#8859,#8861,#23,.T.); +#10589=EDGE_CURVE('',#8857,#8859,#28,.T.); +#10591=EDGE_CURVE('',#8855,#8857,#32,.T.); +#10593=EDGE_CURVE('',#8853,#8855,#37,.T.); +#10597=ADVANCED_FACE('',(#10578,#10596),#10560,.T.); +#10604=EDGE_CURVE('',#8881,#8853,#77,.T.); +#10606=EDGE_CURVE('',#8881,#8868,#415,.T.); +#10608=EDGE_CURVE('',#8868,#8852,#101,.T.); +#10612=ADVANCED_FACE('',(#10611),#10602,.F.); +#10619=EDGE_CURVE('',#8879,#8855,#81,.T.); +#10621=EDGE_CURVE('',#8879,#8881,#2075,.T.); +#10626=ADVANCED_FACE('',(#10625),#10617,.F.); +#10633=EDGE_CURVE('',#8877,#8857,#85,.T.); +#10635=EDGE_CURVE('',#8877,#8879,#2121,.T.); +#10640=ADVANCED_FACE('',(#10639),#10631,.F.); +#10647=EDGE_CURVE('',#8875,#8859,#89,.T.); +#10649=EDGE_CURVE('',#8875,#8877,#3399,.T.); +#10654=ADVANCED_FACE('',(#10653),#10645,.F.); +#10661=EDGE_CURVE('',#8873,#8861,#93,.T.); +#10663=EDGE_CURVE('',#8873,#8875,#2678,.T.); +#10668=ADVANCED_FACE('',(#10667),#10659,.F.); +#10675=EDGE_CURVE('',#8871,#8863,#97,.T.); +#10677=EDGE_CURVE('',#8871,#8873,#2399,.T.); +#10682=ADVANCED_FACE('',(#10681),#10673,.F.); +#10689=EDGE_CURVE('',#8869,#8865,#105,.T.); +#10691=EDGE_CURVE('',#8869,#8871,#2286,.T.); +#10696=ADVANCED_FACE('',(#10695),#10687,.F.); +#10704=EDGE_CURVE('',#8868,#8869,#110,.T.); +#10709=ADVANCED_FACE('',(#10708),#10701,.F.); +#10716=EDGE_CURVE('',#8868,#8290,#114,.T.); +#10718=EDGE_CURVE('',#8290,#8291,#1309,.T.); +#10720=EDGE_CURVE('',#8869,#8291,#2290,.T.); +#10724=ADVANCED_FACE('',(#10723),#10714,.F.); +#10730=EDGE_CURVE('',#9911,#9915,#121,.T.); +#10732=EDGE_CURVE('',#8566,#9915,#125,.T.); +#10734=EDGE_CURVE('',#8566,#8567,#988,.T.); +#10736=EDGE_CURVE('',#8567,#9937,#129,.T.); +#10738=EDGE_CURVE('',#9937,#9934,#136,.T.); +#10740=EDGE_CURVE('',#9934,#9935,#140,.T.); +#10742=EDGE_CURVE('',#9935,#9939,#147,.T.); +#10744=EDGE_CURVE('',#8569,#9939,#151,.T.); +#10746=EDGE_CURVE('',#8569,#8530,#971,.T.); +#10748=EDGE_CURVE('',#8530,#9961,#155,.T.); +#10750=EDGE_CURVE('',#9961,#9942,#162,.T.); +#10752=EDGE_CURVE('',#9942,#9943,#166,.T.); +#10754=EDGE_CURVE('',#9943,#9963,#173,.T.); +#10756=EDGE_CURVE('',#8526,#9963,#177,.T.); +#10758=EDGE_CURVE('',#8526,#8522,#954,.T.); +#10760=EDGE_CURVE('',#8522,#9985,#181,.T.); +#10762=EDGE_CURVE('',#9985,#9966,#188,.T.); +#10764=EDGE_CURVE('',#9966,#9967,#192,.T.); +#10766=EDGE_CURVE('',#9967,#9987,#199,.T.); +#10768=EDGE_CURVE('',#8518,#9987,#203,.T.); +#10770=EDGE_CURVE('',#8518,#8514,#937,.T.); +#10772=EDGE_CURVE('',#8514,#10009,#207,.T.); +#10774=EDGE_CURVE('',#10009,#9990,#214,.T.); +#10776=EDGE_CURVE('',#9990,#9991,#218,.T.); +#10778=EDGE_CURVE('',#9991,#10011,#225,.T.); +#10780=EDGE_CURVE('',#8510,#10011,#229,.T.); +#10782=EDGE_CURVE('',#8510,#8506,#920,.T.); +#10784=EDGE_CURVE('',#8506,#10033,#233,.T.); +#10786=EDGE_CURVE('',#10033,#10014,#240,.T.); +#10788=EDGE_CURVE('',#10014,#10015,#244,.T.); +#10790=EDGE_CURVE('',#10015,#10035,#251,.T.); +#10792=EDGE_CURVE('',#8502,#10035,#255,.T.); +#10794=EDGE_CURVE('',#8502,#8571,#1415,.T.); +#10796=EDGE_CURVE('',#8571,#10057,#259,.T.); +#10798=EDGE_CURVE('',#10057,#10038,#266,.T.); +#10800=EDGE_CURVE('',#10038,#10039,#270,.T.); +#10802=EDGE_CURVE('',#10039,#10059,#277,.T.); +#10804=EDGE_CURVE('',#8574,#10059,#281,.T.); +#10806=EDGE_CURVE('',#8574,#8575,#1398,.T.); +#10808=EDGE_CURVE('',#8575,#10081,#285,.T.); +#10810=EDGE_CURVE('',#10081,#10062,#292,.T.); +#10812=EDGE_CURVE('',#10062,#10063,#296,.T.); +#10814=EDGE_CURVE('',#10063,#10083,#303,.T.); +#10816=EDGE_CURVE('',#8892,#10083,#307,.T.); +#10818=EDGE_CURVE('',#8892,#8893,#1381,.T.); +#10820=EDGE_CURVE('',#8893,#10105,#311,.T.); +#10822=EDGE_CURVE('',#10105,#10086,#318,.T.); +#10824=EDGE_CURVE('',#10086,#10087,#322,.T.); +#10826=EDGE_CURVE('',#10087,#10107,#329,.T.); +#10828=EDGE_CURVE('',#9824,#10107,#333,.T.); +#10830=EDGE_CURVE('',#9824,#9835,#1364,.T.); +#10832=EDGE_CURVE('',#9835,#10129,#337,.T.); +#10834=EDGE_CURVE('',#10129,#10110,#344,.T.); +#10836=EDGE_CURVE('',#10110,#10111,#348,.T.); +#10838=EDGE_CURVE('',#10111,#10131,#355,.T.); +#10840=EDGE_CURVE('',#9830,#10131,#359,.T.); +#10842=EDGE_CURVE('',#9830,#9843,#1347,.T.); +#10844=EDGE_CURVE('',#9843,#10153,#363,.T.); +#10846=EDGE_CURVE('',#10153,#10134,#370,.T.); +#10848=EDGE_CURVE('',#10134,#10135,#374,.T.); +#10850=EDGE_CURVE('',#10135,#10155,#381,.T.); +#10852=EDGE_CURVE('',#9838,#10155,#385,.T.); +#10854=EDGE_CURVE('',#9838,#9851,#1330,.T.); +#10856=EDGE_CURVE('',#9851,#10177,#389,.T.); +#10858=EDGE_CURVE('',#10177,#10158,#396,.T.); +#10860=EDGE_CURVE('',#10158,#10159,#400,.T.); +#10862=EDGE_CURVE('',#10159,#10179,#407,.T.); +#10864=EDGE_CURVE('',#9846,#10179,#411,.T.); +#10866=EDGE_CURVE('',#9846,#8290,#1313,.T.); +#10870=EDGE_CURVE('',#8881,#8303,#419,.T.); +#10872=EDGE_CURVE('',#8303,#8563,#1005,.T.); +#10874=EDGE_CURVE('',#8563,#9913,#423,.T.); +#10876=EDGE_CURVE('',#9913,#9910,#430,.T.); +#10878=EDGE_CURVE('',#9910,#9911,#434,.T.); +#10882=ADVANCED_FACE('',(#10881),#10729,.F.); +#10888=EDGE_CURVE('',#9898,#9915,#453,.T.); +#10891=EDGE_CURVE('',#9894,#9911,#439,.T.); +#10893=EDGE_CURVE('',#9898,#9894,#444,.T.); +#10897=ADVANCED_FACE('',(#10896),#10887,.T.); +#10903=EDGE_CURVE('',#9898,#9899,#448,.T.); +#10905=EDGE_CURVE('',#9757,#9899,#903,.T.); +#10907=EDGE_CURVE('',#8566,#9757,#992,.T.); +#10913=ADVANCED_FACE('',(#10912),#10902,.T.); +#10919=EDGE_CURVE('',#9894,#9895,#457,.T.); +#10921=EDGE_CURVE('',#9895,#9899,#894,.T.); +#10927=ADVANCED_FACE('',(#10926),#10918,.T.); +#10934=EDGE_CURVE('',#9907,#9894,#461,.T.); +#10936=EDGE_CURVE('',#9901,#9907,#465,.T.); +#10938=EDGE_CURVE('',#9895,#9901,#470,.T.); +#10942=ADVANCED_FACE('',(#10941),#10932,.T.); +#10948=EDGE_CURVE('',#9918,#9919,#474,.T.); +#10950=EDGE_CURVE('',#9931,#9918,#478,.T.); +#10952=EDGE_CURVE('',#9925,#9931,#482,.T.); +#10954=EDGE_CURVE('',#9919,#9925,#487,.T.); +#10958=ADVANCED_FACE('',(#10957),#10947,.T.); +#10964=EDGE_CURVE('',#9946,#9947,#491,.T.); +#10966=EDGE_CURVE('',#9955,#9946,#495,.T.); +#10968=EDGE_CURVE('',#9949,#9955,#500,.T.); +#10970=EDGE_CURVE('',#9947,#9949,#504,.T.); +#10974=ADVANCED_FACE('',(#10973),#10963,.T.); +#10980=EDGE_CURVE('',#9970,#9971,#508,.T.); +#10982=EDGE_CURVE('',#9979,#9970,#512,.T.); +#10984=EDGE_CURVE('',#9973,#9979,#517,.T.); +#10986=EDGE_CURVE('',#9971,#9973,#521,.T.); +#10990=ADVANCED_FACE('',(#10989),#10979,.T.); +#10996=EDGE_CURVE('',#9994,#9995,#525,.T.); +#10998=EDGE_CURVE('',#10003,#9994,#529,.T.); +#11000=EDGE_CURVE('',#9997,#10003,#534,.T.); +#11002=EDGE_CURVE('',#9995,#9997,#538,.T.); +#11006=ADVANCED_FACE('',(#11005),#10995,.T.); +#11012=EDGE_CURVE('',#10018,#10019,#542,.T.); +#11014=EDGE_CURVE('',#10027,#10018,#546,.T.); +#11016=EDGE_CURVE('',#10021,#10027,#551,.T.); +#11018=EDGE_CURVE('',#10019,#10021,#555,.T.); +#11022=ADVANCED_FACE('',(#11021),#11011,.T.); +#11028=EDGE_CURVE('',#10042,#10043,#559,.T.); +#11030=EDGE_CURVE('',#10051,#10042,#563,.T.); +#11032=EDGE_CURVE('',#10045,#10051,#568,.T.); +#11034=EDGE_CURVE('',#10043,#10045,#572,.T.); +#11038=ADVANCED_FACE('',(#11037),#11027,.T.); +#11044=EDGE_CURVE('',#10066,#10067,#576,.T.); +#11046=EDGE_CURVE('',#10075,#10066,#580,.T.); +#11048=EDGE_CURVE('',#10069,#10075,#585,.T.); +#11050=EDGE_CURVE('',#10067,#10069,#589,.T.); +#11054=ADVANCED_FACE('',(#11053),#11043,.T.); +#11060=EDGE_CURVE('',#10090,#10091,#593,.T.); +#11062=EDGE_CURVE('',#10099,#10090,#597,.T.); +#11064=EDGE_CURVE('',#10093,#10099,#602,.T.); +#11066=EDGE_CURVE('',#10091,#10093,#606,.T.); +#11070=ADVANCED_FACE('',(#11069),#11059,.T.); +#11076=EDGE_CURVE('',#10114,#10115,#610,.T.); +#11078=EDGE_CURVE('',#10123,#10114,#614,.T.); +#11080=EDGE_CURVE('',#10117,#10123,#619,.T.); +#11082=EDGE_CURVE('',#10115,#10117,#623,.T.); +#11086=ADVANCED_FACE('',(#11085),#11075,.T.); +#11092=EDGE_CURVE('',#10138,#10139,#627,.T.); +#11094=EDGE_CURVE('',#10147,#10138,#631,.T.); +#11096=EDGE_CURVE('',#10141,#10147,#636,.T.); +#11098=EDGE_CURVE('',#10139,#10141,#640,.T.); +#11102=ADVANCED_FACE('',(#11101),#11091,.T.); +#11108=EDGE_CURVE('',#10162,#10163,#644,.T.); +#11110=EDGE_CURVE('',#10171,#10162,#648,.T.); +#11112=EDGE_CURVE('',#10165,#10171,#653,.T.); +#11114=EDGE_CURVE('',#10163,#10165,#657,.T.); +#11118=ADVANCED_FACE('',(#11117),#11107,.T.); +#11124=EDGE_CURVE('',#10234,#10235,#661,.T.); +#11126=EDGE_CURVE('',#10243,#10234,#665,.T.); +#11128=EDGE_CURVE('',#10237,#10243,#670,.T.); +#11130=EDGE_CURVE('',#10235,#10237,#674,.T.); +#11134=ADVANCED_FACE('',(#11133),#11123,.T.); +#11140=EDGE_CURVE('',#10254,#10255,#678,.T.); +#11142=EDGE_CURVE('',#10267,#10254,#682,.T.); +#11144=EDGE_CURVE('',#10261,#10267,#686,.T.); +#11146=EDGE_CURVE('',#10255,#10261,#691,.T.); +#11150=ADVANCED_FACE('',(#11149),#11139,.T.); +#11156=EDGE_CURVE('',#10278,#10279,#695,.T.); +#11158=EDGE_CURVE('',#10291,#10278,#699,.T.); +#11160=EDGE_CURVE('',#10285,#10291,#703,.T.); +#11162=EDGE_CURVE('',#10279,#10285,#708,.T.); +#11166=ADVANCED_FACE('',(#11165),#11155,.T.); +#11172=EDGE_CURVE('',#10302,#10303,#712,.T.); +#11174=EDGE_CURVE('',#10315,#10302,#716,.T.); +#11176=EDGE_CURVE('',#10309,#10315,#720,.T.); +#11178=EDGE_CURVE('',#10303,#10309,#725,.T.); +#11182=ADVANCED_FACE('',(#11181),#11171,.T.); +#11188=EDGE_CURVE('',#10326,#10327,#729,.T.); +#11190=EDGE_CURVE('',#10339,#10326,#733,.T.); +#11192=EDGE_CURVE('',#10333,#10339,#737,.T.); +#11194=EDGE_CURVE('',#10327,#10333,#742,.T.); +#11198=ADVANCED_FACE('',(#11197),#11187,.T.); +#11204=EDGE_CURVE('',#10350,#10351,#746,.T.); +#11206=EDGE_CURVE('',#10363,#10350,#750,.T.); +#11208=EDGE_CURVE('',#10357,#10363,#754,.T.); +#11210=EDGE_CURVE('',#10351,#10357,#759,.T.); +#11214=ADVANCED_FACE('',(#11213),#11203,.T.); +#11220=EDGE_CURVE('',#10374,#10375,#763,.T.); +#11222=EDGE_CURVE('',#10387,#10374,#767,.T.); +#11224=EDGE_CURVE('',#10381,#10387,#771,.T.); +#11226=EDGE_CURVE('',#10375,#10381,#776,.T.); +#11230=ADVANCED_FACE('',(#11229),#11219,.T.); +#11236=EDGE_CURVE('',#10398,#10399,#780,.T.); +#11238=EDGE_CURVE('',#10411,#10398,#784,.T.); +#11240=EDGE_CURVE('',#10405,#10411,#788,.T.); +#11242=EDGE_CURVE('',#10399,#10405,#793,.T.); +#11246=ADVANCED_FACE('',(#11245),#11235,.T.); +#11252=EDGE_CURVE('',#10422,#10423,#797,.T.); +#11254=EDGE_CURVE('',#10435,#10422,#801,.T.); +#11256=EDGE_CURVE('',#10429,#10435,#805,.T.); +#11258=EDGE_CURVE('',#10423,#10429,#810,.T.); +#11262=ADVANCED_FACE('',(#11261),#11251,.T.); +#11268=EDGE_CURVE('',#10446,#10447,#814,.T.); +#11270=EDGE_CURVE('',#10459,#10446,#818,.T.); +#11272=EDGE_CURVE('',#10453,#10459,#822,.T.); +#11274=EDGE_CURVE('',#10447,#10453,#827,.T.); +#11278=ADVANCED_FACE('',(#11277),#11267,.T.); +#11284=EDGE_CURVE('',#10470,#10471,#831,.T.); +#11286=EDGE_CURVE('',#10483,#10470,#835,.T.); +#11288=EDGE_CURVE('',#10477,#10483,#839,.T.); +#11290=EDGE_CURVE('',#10471,#10477,#844,.T.); +#11294=ADVANCED_FACE('',(#11293),#11283,.T.); +#11300=EDGE_CURVE('',#10494,#10495,#848,.T.); +#11302=EDGE_CURVE('',#10507,#10494,#852,.T.); +#11304=EDGE_CURVE('',#10501,#10507,#856,.T.); +#11306=EDGE_CURVE('',#10495,#10501,#861,.T.); +#11310=ADVANCED_FACE('',(#11309),#11299,.T.); +#11317=EDGE_CURVE('',#9910,#9907,#871,.T.); +#11323=ADVANCED_FACE('',(#11322),#11315,.F.); +#11329=EDGE_CURVE('',#9905,#9913,#880,.T.); +#11331=EDGE_CURVE('',#9907,#9905,#866,.T.); +#11337=ADVANCED_FACE('',(#11336),#11328,.T.); +#11343=EDGE_CURVE('',#9903,#9905,#875,.T.); +#11347=EDGE_CURVE('',#8563,#9759,#1001,.T.); +#11349=EDGE_CURVE('',#9759,#9903,#884,.T.); +#11353=ADVANCED_FACE('',(#11352),#11342,.T.); +#11360=EDGE_CURVE('',#9901,#9903,#889,.T.); +#11366=ADVANCED_FACE('',(#11365),#11358,.T.); +#11374=EDGE_CURVE('',#9899,#9903,#899,.T.); +#11379=ADVANCED_FACE('',(#11378),#11371,.T.); +#11387=EDGE_CURVE('',#9757,#9759,#997,.T.); +#11392=ADVANCED_FACE('',(#11391),#11384,.T.); +#11398=EDGE_CURVE('',#8502,#8503,#907,.T.); +#11400=EDGE_CURVE('',#8503,#8507,#912,.T.); +#11402=EDGE_CURVE('',#8506,#8507,#916,.T.); +#11405=EDGE_CURVE('',#8510,#8511,#924,.T.); +#11407=EDGE_CURVE('',#8511,#8515,#929,.T.); +#11409=EDGE_CURVE('',#8514,#8515,#933,.T.); +#11412=EDGE_CURVE('',#8518,#8519,#941,.T.); +#11414=EDGE_CURVE('',#8519,#8523,#946,.T.); +#11416=EDGE_CURVE('',#8522,#8523,#950,.T.); +#11419=EDGE_CURVE('',#8526,#8527,#958,.T.); +#11421=EDGE_CURVE('',#8527,#8531,#963,.T.); +#11423=EDGE_CURVE('',#8530,#8531,#967,.T.); +#11426=EDGE_CURVE('',#8569,#9753,#975,.T.); +#11428=EDGE_CURVE('',#9753,#9755,#980,.T.); +#11430=EDGE_CURVE('',#8567,#9755,#984,.T.); +#11437=EDGE_CURVE('',#8302,#8303,#1010,.T.); +#11439=EDGE_CURVE('',#8896,#8302,#1014,.T.); +#11441=EDGE_CURVE('',#8896,#8897,#1018,.T.); +#11443=EDGE_CURVE('',#8897,#8901,#1023,.T.); +#11445=EDGE_CURVE('',#8900,#8901,#1027,.T.); +#11447=EDGE_CURVE('',#8913,#8900,#1031,.T.); +#11449=EDGE_CURVE('',#8913,#8915,#1035,.T.); +#11451=EDGE_CURVE('',#8915,#8917,#1040,.T.); +#11453=EDGE_CURVE('',#8911,#8917,#1044,.T.); +#11455=EDGE_CURVE('',#8299,#8911,#1048,.T.); +#11457=EDGE_CURVE('',#8298,#8299,#1053,.T.); +#11459=EDGE_CURVE('',#9792,#8298,#1057,.T.); +#11461=EDGE_CURVE('',#9792,#9793,#1061,.T.); +#11463=EDGE_CURVE('',#9793,#9789,#1066,.T.); +#11465=EDGE_CURVE('',#9788,#9789,#1070,.T.); +#11467=EDGE_CURVE('',#9780,#9788,#1074,.T.); +#11469=EDGE_CURVE('',#9780,#9781,#1078,.T.); +#11471=EDGE_CURVE('',#9781,#9783,#1083,.T.); +#11473=EDGE_CURVE('',#9785,#9783,#1087,.T.); +#11475=EDGE_CURVE('',#9776,#9785,#1091,.T.); +#11477=EDGE_CURVE('',#9776,#9777,#1095,.T.); +#11479=EDGE_CURVE('',#9777,#9773,#1100,.T.); +#11481=EDGE_CURVE('',#9772,#9773,#1104,.T.); +#11483=EDGE_CURVE('',#9766,#9772,#1108,.T.); +#11485=EDGE_CURVE('',#9766,#9767,#1112,.T.); +#11487=EDGE_CURVE('',#9767,#9769,#1117,.T.); +#11489=EDGE_CURVE('',#8889,#9769,#1121,.T.); +#11491=EDGE_CURVE('',#8888,#8889,#1125,.T.); +#11493=EDGE_CURVE('',#8888,#9795,#1129,.T.); +#11495=EDGE_CURVE('',#9795,#9797,#1134,.T.); +#11497=EDGE_CURVE('',#8561,#9797,#1138,.T.); +#11499=EDGE_CURVE('',#8560,#8561,#1142,.T.); +#11501=EDGE_CURVE('',#8560,#9761,#1146,.T.); +#11503=EDGE_CURVE('',#9761,#9763,#1151,.T.); +#11505=EDGE_CURVE('',#8557,#9763,#1155,.T.); +#11507=EDGE_CURVE('',#8556,#8557,#1159,.T.); +#11509=EDGE_CURVE('',#8556,#9799,#1163,.T.); +#11511=EDGE_CURVE('',#9799,#9801,#1168,.T.); +#11513=EDGE_CURVE('',#8553,#9801,#1172,.T.); +#11515=EDGE_CURVE('',#8552,#8553,#1176,.T.); +#11517=EDGE_CURVE('',#8552,#9853,#1180,.T.); +#11519=EDGE_CURVE('',#9853,#9855,#1185,.T.); +#11521=EDGE_CURVE('',#8549,#9855,#1189,.T.); +#11523=EDGE_CURVE('',#8548,#8549,#1193,.T.); +#11525=EDGE_CURVE('',#8548,#9803,#1197,.T.); +#11527=EDGE_CURVE('',#9803,#9805,#1202,.T.); +#11529=EDGE_CURVE('',#8545,#9805,#1206,.T.); +#11531=EDGE_CURVE('',#8544,#8545,#1210,.T.); +#11533=EDGE_CURVE('',#8544,#9808,#1214,.T.); +#11535=EDGE_CURVE('',#9808,#9809,#1219,.T.); +#11537=EDGE_CURVE('',#8541,#9809,#1223,.T.); +#11539=EDGE_CURVE('',#8540,#8541,#1227,.T.); +#11541=EDGE_CURVE('',#8540,#9811,#1231,.T.); +#11543=EDGE_CURVE('',#9811,#9813,#1236,.T.); +#11545=EDGE_CURVE('',#8537,#9813,#1240,.T.); +#11547=EDGE_CURVE('',#8536,#8537,#1244,.T.); +#11549=EDGE_CURVE('',#8536,#9816,#1248,.T.); +#11551=EDGE_CURVE('',#9816,#9817,#1253,.T.); +#11553=EDGE_CURVE('',#8533,#9817,#1257,.T.); +#11555=EDGE_CURVE('',#8295,#8533,#1261,.T.); +#11557=EDGE_CURVE('',#8294,#8295,#1266,.T.); +#11559=EDGE_CURVE('',#8909,#8294,#1270,.T.); +#11561=EDGE_CURVE('',#8909,#8923,#1274,.T.); +#11563=EDGE_CURVE('',#8923,#8925,#1279,.T.); +#11565=EDGE_CURVE('',#8907,#8925,#1283,.T.); +#11567=EDGE_CURVE('',#8906,#8907,#1287,.T.); +#11569=EDGE_CURVE('',#8906,#8919,#1291,.T.); +#11571=EDGE_CURVE('',#8919,#8921,#1296,.T.); +#11573=EDGE_CURVE('',#8903,#8921,#1300,.T.); +#11575=EDGE_CURVE('',#8291,#8903,#1304,.T.); +#11579=EDGE_CURVE('',#9846,#9847,#1317,.T.); +#11581=EDGE_CURVE('',#9847,#9849,#1322,.T.); +#11583=EDGE_CURVE('',#9851,#9849,#1326,.T.); +#11586=EDGE_CURVE('',#9838,#9839,#1334,.T.); +#11588=EDGE_CURVE('',#9839,#9841,#1339,.T.); +#11590=EDGE_CURVE('',#9843,#9841,#1343,.T.); +#11593=EDGE_CURVE('',#9830,#9831,#1351,.T.); +#11595=EDGE_CURVE('',#9831,#9833,#1356,.T.); +#11597=EDGE_CURVE('',#9835,#9833,#1360,.T.); +#11600=EDGE_CURVE('',#9824,#9825,#1368,.T.); +#11602=EDGE_CURVE('',#9825,#9827,#1373,.T.); +#11604=EDGE_CURVE('',#8893,#9827,#1377,.T.); +#11607=EDGE_CURVE('',#8892,#9819,#1385,.T.); +#11609=EDGE_CURVE('',#9819,#9821,#1390,.T.); +#11611=EDGE_CURVE('',#8575,#9821,#1394,.T.); +#11614=EDGE_CURVE('',#8574,#8883,#1402,.T.); +#11616=EDGE_CURVE('',#8883,#8885,#1407,.T.); +#11618=EDGE_CURVE('',#8571,#8885,#1411,.T.); +#11623=EDGE_CURVE('',#9036,#9037,#1420,.T.); +#11625=EDGE_CURVE('',#9037,#9036,#1425,.T.); +#11629=EDGE_CURVE('',#9040,#9041,#1430,.T.); +#11631=EDGE_CURVE('',#9041,#9040,#1435,.T.); +#11635=EDGE_CURVE('',#9044,#9045,#1440,.T.); +#11637=EDGE_CURVE('',#9045,#9044,#1445,.T.); +#11641=EDGE_CURVE('',#9048,#9049,#1450,.T.); +#11643=EDGE_CURVE('',#9049,#9048,#1455,.T.); +#11647=EDGE_CURVE('',#9052,#9053,#1460,.T.); +#11649=EDGE_CURVE('',#9053,#9052,#1465,.T.); +#11653=EDGE_CURVE('',#9056,#9057,#1470,.T.); +#11655=EDGE_CURVE('',#9057,#9056,#1475,.T.); +#11659=EDGE_CURVE('',#9060,#9061,#1480,.T.); +#11661=EDGE_CURVE('',#9061,#9060,#1485,.T.); +#11665=EDGE_CURVE('',#9064,#9065,#1490,.T.); +#11667=EDGE_CURVE('',#9065,#9064,#1495,.T.); +#11671=EDGE_CURVE('',#9068,#9069,#1500,.T.); +#11673=EDGE_CURVE('',#9069,#9068,#1505,.T.); +#11677=EDGE_CURVE('',#9072,#9073,#1510,.T.); +#11679=EDGE_CURVE('',#9073,#9072,#1515,.T.); +#11683=EDGE_CURVE('',#9076,#9077,#1520,.T.); +#11685=EDGE_CURVE('',#9077,#9076,#1525,.T.); +#11689=EDGE_CURVE('',#9080,#9081,#1530,.T.); +#11691=EDGE_CURVE('',#9081,#9080,#1535,.T.); +#11695=EDGE_CURVE('',#9084,#9085,#1540,.T.); +#11697=EDGE_CURVE('',#9085,#9084,#1545,.T.); +#11701=EDGE_CURVE('',#9088,#9089,#1550,.T.); +#11703=EDGE_CURVE('',#9089,#9088,#1555,.T.); +#11707=EDGE_CURVE('',#9092,#9093,#1560,.T.); +#11709=EDGE_CURVE('',#9093,#9092,#1565,.T.); +#11713=EDGE_CURVE('',#9096,#9097,#1570,.T.); +#11715=EDGE_CURVE('',#9097,#9096,#1575,.T.); +#11719=EDGE_CURVE('',#9100,#9101,#1580,.T.); +#11721=EDGE_CURVE('',#9101,#9100,#1585,.T.); +#11725=EDGE_CURVE('',#9104,#9105,#1590,.T.); +#11727=EDGE_CURVE('',#9105,#9104,#1595,.T.); +#11731=EDGE_CURVE('',#9108,#9109,#1600,.T.); +#11733=EDGE_CURVE('',#9109,#9108,#1605,.T.); +#11737=EDGE_CURVE('',#9112,#9113,#1610,.T.); +#11739=EDGE_CURVE('',#9113,#9112,#1615,.T.); +#11743=EDGE_CURVE('',#9116,#9117,#1620,.T.); +#11745=EDGE_CURVE('',#9117,#9116,#1625,.T.); +#11749=EDGE_CURVE('',#9120,#9121,#1630,.T.); +#11751=EDGE_CURVE('',#9121,#9120,#1635,.T.); +#11755=EDGE_CURVE('',#9124,#9125,#1640,.T.); +#11757=EDGE_CURVE('',#9125,#9124,#1645,.T.); +#11761=EDGE_CURVE('',#9610,#9611,#1650,.T.); +#11763=EDGE_CURVE('',#9611,#9610,#1655,.T.); +#11767=EDGE_CURVE('',#9614,#9615,#1660,.T.); +#11769=EDGE_CURVE('',#9615,#9614,#1665,.T.); +#11773=EDGE_CURVE('',#9618,#9619,#1670,.T.); +#11775=EDGE_CURVE('',#9619,#9618,#1675,.T.); +#11779=EDGE_CURVE('',#9622,#9623,#1680,.T.); +#11781=EDGE_CURVE('',#9623,#9622,#1685,.T.); +#11785=EDGE_CURVE('',#9626,#9627,#1690,.T.); +#11787=EDGE_CURVE('',#9627,#9626,#1695,.T.); +#11791=EDGE_CURVE('',#9630,#9631,#1700,.T.); +#11793=EDGE_CURVE('',#9631,#9630,#1705,.T.); +#11797=EDGE_CURVE('',#9634,#9635,#1710,.T.); +#11799=EDGE_CURVE('',#9635,#9634,#1715,.T.); +#11803=EDGE_CURVE('',#9638,#9639,#1720,.T.); +#11805=EDGE_CURVE('',#9639,#9638,#1725,.T.); +#11809=EDGE_CURVE('',#9642,#9643,#1730,.T.); +#11811=EDGE_CURVE('',#9643,#9642,#1735,.T.); +#11815=EDGE_CURVE('',#9646,#9647,#1740,.T.); +#11817=EDGE_CURVE('',#9647,#9646,#1745,.T.); +#11821=EDGE_CURVE('',#9650,#9651,#1750,.T.); +#11823=EDGE_CURVE('',#9651,#9650,#1755,.T.); +#11827=EDGE_CURVE('',#9654,#9655,#1760,.T.); +#11829=EDGE_CURVE('',#9655,#9654,#1765,.T.); +#11833=ADVANCED_FACE('',(#11622,#11628,#11634,#11640,#11646,#11652,#11658, +#11664,#11670,#11676,#11682,#11688,#11694,#11700,#11706,#11712,#11718,#11724, +#11730,#11736,#11742,#11748,#11754,#11760,#11766,#11772,#11778,#11784,#11790, +#11796,#11802,#11808,#11814,#11820,#11826,#11832),#11397,.T.); +#11839=EDGE_CURVE('',#10024,#10025,#1769,.T.); +#11841=EDGE_CURVE('',#8503,#10025,#1826,.T.); +#11845=EDGE_CURVE('',#10024,#10035,#1774,.T.); +#11849=ADVANCED_FACE('',(#11848),#11838,.T.); +#11856=EDGE_CURVE('',#10021,#10025,#1779,.T.); +#11859=EDGE_CURVE('',#10024,#10019,#1817,.T.); +#11863=ADVANCED_FACE('',(#11862),#11854,.T.); +#11870=EDGE_CURVE('',#10027,#10029,#1784,.T.); +#11872=EDGE_CURVE('',#10025,#10029,#1822,.T.); +#11877=ADVANCED_FACE('',(#11876),#11868,.T.); +#11883=EDGE_CURVE('',#10029,#10031,#1788,.T.); +#11887=EDGE_CURVE('',#10018,#10031,#1802,.T.); +#11891=ADVANCED_FACE('',(#11890),#11882,.T.); +#11898=EDGE_CURVE('',#10031,#10033,#1793,.T.); +#11902=EDGE_CURVE('',#8507,#10029,#1797,.T.); +#11906=ADVANCED_FACE('',(#11905),#11896,.T.); +#11914=EDGE_CURVE('',#10014,#10018,#1807,.T.); +#11919=ADVANCED_FACE('',(#11918),#11911,.T.); +#11928=EDGE_CURVE('',#10019,#10015,#1812,.T.); +#11932=ADVANCED_FACE('',(#11931),#11924,.F.); +#11944=ADVANCED_FACE('',(#11943),#11937,.T.); +#11956=ADVANCED_FACE('',(#11955),#11949,.T.); +#11962=EDGE_CURVE('',#10000,#10001,#1830,.T.); +#11964=EDGE_CURVE('',#8511,#10001,#1887,.T.); +#11968=EDGE_CURVE('',#10000,#10011,#1835,.T.); +#11972=ADVANCED_FACE('',(#11971),#11961,.T.); +#11979=EDGE_CURVE('',#9997,#10001,#1840,.T.); +#11982=EDGE_CURVE('',#10000,#9995,#1878,.T.); +#11986=ADVANCED_FACE('',(#11985),#11977,.T.); +#11993=EDGE_CURVE('',#10003,#10005,#1845,.T.); +#11995=EDGE_CURVE('',#10001,#10005,#1883,.T.); +#12000=ADVANCED_FACE('',(#11999),#11991,.T.); +#12006=EDGE_CURVE('',#10005,#10007,#1849,.T.); +#12010=EDGE_CURVE('',#9994,#10007,#1863,.T.); +#12014=ADVANCED_FACE('',(#12013),#12005,.T.); +#12021=EDGE_CURVE('',#10007,#10009,#1854,.T.); +#12025=EDGE_CURVE('',#8515,#10005,#1858,.T.); +#12029=ADVANCED_FACE('',(#12028),#12019,.T.); +#12037=EDGE_CURVE('',#9990,#9994,#1868,.T.); +#12042=ADVANCED_FACE('',(#12041),#12034,.T.); +#12051=EDGE_CURVE('',#9995,#9991,#1873,.T.); +#12055=ADVANCED_FACE('',(#12054),#12047,.F.); +#12067=ADVANCED_FACE('',(#12066),#12060,.T.); +#12079=ADVANCED_FACE('',(#12078),#12072,.T.); +#12085=EDGE_CURVE('',#9976,#9977,#1891,.T.); +#12087=EDGE_CURVE('',#8519,#9977,#1948,.T.); +#12091=EDGE_CURVE('',#9976,#9987,#1896,.T.); +#12095=ADVANCED_FACE('',(#12094),#12084,.T.); +#12102=EDGE_CURVE('',#9973,#9977,#1901,.T.); +#12105=EDGE_CURVE('',#9976,#9971,#1939,.T.); +#12109=ADVANCED_FACE('',(#12108),#12100,.T.); +#12116=EDGE_CURVE('',#9979,#9981,#1906,.T.); +#12118=EDGE_CURVE('',#9977,#9981,#1944,.T.); +#12123=ADVANCED_FACE('',(#12122),#12114,.T.); +#12129=EDGE_CURVE('',#9981,#9983,#1910,.T.); +#12133=EDGE_CURVE('',#9970,#9983,#1924,.T.); +#12137=ADVANCED_FACE('',(#12136),#12128,.T.); +#12144=EDGE_CURVE('',#9983,#9985,#1915,.T.); +#12148=EDGE_CURVE('',#8523,#9981,#1919,.T.); +#12152=ADVANCED_FACE('',(#12151),#12142,.T.); +#12160=EDGE_CURVE('',#9966,#9970,#1929,.T.); +#12165=ADVANCED_FACE('',(#12164),#12157,.T.); +#12174=EDGE_CURVE('',#9971,#9967,#1934,.T.); +#12178=ADVANCED_FACE('',(#12177),#12170,.F.); +#12190=ADVANCED_FACE('',(#12189),#12183,.T.); +#12202=ADVANCED_FACE('',(#12201),#12195,.T.); +#12208=EDGE_CURVE('',#9952,#9953,#1952,.T.); +#12210=EDGE_CURVE('',#8527,#9953,#2009,.T.); +#12214=EDGE_CURVE('',#9952,#9963,#1957,.T.); +#12218=ADVANCED_FACE('',(#12217),#12207,.T.); +#12225=EDGE_CURVE('',#9949,#9953,#1962,.T.); +#12228=EDGE_CURVE('',#9952,#9947,#2000,.T.); +#12232=ADVANCED_FACE('',(#12231),#12223,.T.); +#12239=EDGE_CURVE('',#9955,#9957,#1967,.T.); +#12241=EDGE_CURVE('',#9953,#9957,#2005,.T.); +#12246=ADVANCED_FACE('',(#12245),#12237,.T.); +#12252=EDGE_CURVE('',#9957,#9959,#1971,.T.); +#12256=EDGE_CURVE('',#9946,#9959,#1985,.T.); +#12260=ADVANCED_FACE('',(#12259),#12251,.T.); +#12267=EDGE_CURVE('',#9959,#9961,#1976,.T.); +#12271=EDGE_CURVE('',#8531,#9957,#1980,.T.); +#12275=ADVANCED_FACE('',(#12274),#12265,.T.); +#12283=EDGE_CURVE('',#9942,#9946,#1990,.T.); +#12288=ADVANCED_FACE('',(#12287),#12280,.T.); +#12297=EDGE_CURVE('',#9947,#9943,#1995,.T.); +#12301=ADVANCED_FACE('',(#12300),#12293,.F.); +#12313=ADVANCED_FACE('',(#12312),#12306,.T.); +#12325=ADVANCED_FACE('',(#12324),#12318,.T.); +#12331=EDGE_CURVE('',#9922,#9923,#2013,.T.); +#12333=EDGE_CURVE('',#9753,#9923,#2070,.T.); +#12337=EDGE_CURVE('',#9922,#9939,#2018,.T.); +#12341=ADVANCED_FACE('',(#12340),#12330,.T.); +#12348=EDGE_CURVE('',#9919,#9923,#2023,.T.); +#12351=EDGE_CURVE('',#9922,#9918,#2061,.T.); +#12355=ADVANCED_FACE('',(#12354),#12346,.T.); +#12362=EDGE_CURVE('',#9925,#9927,#2028,.T.); +#12364=EDGE_CURVE('',#9923,#9927,#2066,.T.); +#12369=ADVANCED_FACE('',(#12368),#12360,.T.); +#12375=EDGE_CURVE('',#9927,#9929,#2032,.T.); +#12379=EDGE_CURVE('',#9931,#9929,#2046,.T.); +#12383=ADVANCED_FACE('',(#12382),#12374,.T.); +#12390=EDGE_CURVE('',#9929,#9937,#2037,.T.); +#12394=EDGE_CURVE('',#9755,#9927,#2041,.T.); +#12398=ADVANCED_FACE('',(#12397),#12388,.T.); +#12406=EDGE_CURVE('',#9934,#9931,#2051,.T.); +#12411=ADVANCED_FACE('',(#12410),#12403,.T.); +#12420=EDGE_CURVE('',#9918,#9935,#2056,.T.); +#12424=ADVANCED_FACE('',(#12423),#12416,.F.); +#12436=ADVANCED_FACE('',(#12435),#12429,.T.); +#12448=ADVANCED_FACE('',(#12447),#12441,.T.); +#12455=EDGE_CURVE('',#8879,#8302,#2079,.T.); +#12461=ADVANCED_FACE('',(#12460),#12453,.F.); +#12467=EDGE_CURVE('',#8911,#10543,#2083,.T.); +#12469=EDGE_CURVE('',#10547,#10543,#2143,.T.); +#12471=EDGE_CURVE('',#10547,#10553,#2087,.T.); +#12473=EDGE_CURVE('',#10555,#10553,#3530,.T.); +#12475=EDGE_CURVE('',#8913,#10555,#2091,.T.); +#12478=EDGE_CURVE('',#8900,#10537,#2095,.T.); +#12480=EDGE_CURVE('',#10537,#10534,#2102,.T.); +#12482=EDGE_CURVE('',#10534,#10535,#2106,.T.); +#12484=EDGE_CURVE('',#10535,#10539,#2113,.T.); +#12486=EDGE_CURVE('',#8896,#10539,#2117,.T.); +#12491=EDGE_CURVE('',#8877,#8299,#2125,.T.); +#12496=ADVANCED_FACE('',(#12495),#12466,.F.); +#12502=EDGE_CURVE('',#10542,#10543,#2129,.T.); +#12506=EDGE_CURVE('',#8917,#10542,#2133,.T.); +#12510=ADVANCED_FACE('',(#12509),#12501,.T.); +#12517=EDGE_CURVE('',#10546,#10542,#2138,.T.); +#12519=EDGE_CURVE('',#10546,#10547,#2203,.T.); +#12524=ADVANCED_FACE('',(#12523),#12515,.T.); +#12530=EDGE_CURVE('',#10549,#10546,#2208,.T.); +#12533=EDGE_CURVE('',#10551,#10542,#3539,.T.); +#12535=EDGE_CURVE('',#10549,#10551,#2148,.T.); +#12539=ADVANCED_FACE('',(#12538),#12529,.T.); +#12545=EDGE_CURVE('',#10182,#10183,#2152,.T.); +#12547=EDGE_CURVE('',#10195,#10182,#2156,.T.); +#12549=EDGE_CURVE('',#10189,#10195,#2160,.T.); +#12551=EDGE_CURVE('',#10183,#10189,#2165,.T.); +#12555=ADVANCED_FACE('',(#12554),#12544,.T.); +#12561=EDGE_CURVE('',#10210,#10211,#2169,.T.); +#12563=EDGE_CURVE('',#10219,#10210,#2173,.T.); +#12565=EDGE_CURVE('',#10213,#10219,#2178,.T.); +#12567=EDGE_CURVE('',#10211,#10213,#2182,.T.); +#12571=ADVANCED_FACE('',(#12570),#12560,.T.); +#12577=EDGE_CURVE('',#10518,#10519,#2186,.T.); +#12579=EDGE_CURVE('',#10531,#10518,#2190,.T.); +#12581=EDGE_CURVE('',#10525,#10531,#2194,.T.); +#12583=EDGE_CURVE('',#10519,#10525,#2199,.T.); +#12587=ADVANCED_FACE('',(#12586),#12576,.T.); +#12595=EDGE_CURVE('',#10553,#10549,#2212,.T.); +#12600=ADVANCED_FACE('',(#12599),#12592,.T.); +#12607=EDGE_CURVE('',#10183,#10187,#2217,.T.); +#12609=EDGE_CURVE('',#10186,#10187,#2319,.T.); +#12611=EDGE_CURVE('',#10186,#10182,#2315,.T.); +#12615=ADVANCED_FACE('',(#12614),#12605,.T.); +#12622=EDGE_CURVE('',#10189,#10191,#2222,.T.); +#12624=EDGE_CURVE('',#10187,#10191,#2329,.T.); +#12629=ADVANCED_FACE('',(#12628),#12620,.T.); +#12635=EDGE_CURVE('',#10191,#10193,#2226,.T.); +#12639=EDGE_CURVE('',#10195,#10193,#2240,.T.); +#12643=ADVANCED_FACE('',(#12642),#12634,.T.); +#12650=EDGE_CURVE('',#10193,#10201,#2231,.T.); +#12652=EDGE_CURVE('',#8903,#10201,#2294,.T.); +#12655=EDGE_CURVE('',#8921,#10191,#2235,.T.); +#12659=ADVANCED_FACE('',(#12658),#12648,.T.); +#12667=EDGE_CURVE('',#10198,#10195,#2245,.T.); +#12669=EDGE_CURVE('',#10201,#10198,#2301,.T.); +#12673=ADVANCED_FACE('',(#12672),#12664,.T.); +#12679=EDGE_CURVE('',#10198,#10199,#2305,.T.); +#12683=EDGE_CURVE('',#10182,#10199,#2310,.T.); +#12687=ADVANCED_FACE('',(#12686),#12678,.F.); +#12693=EDGE_CURVE('',#10199,#10203,#2252,.T.); +#12695=EDGE_CURVE('',#8906,#10203,#2256,.T.); +#12698=EDGE_CURVE('',#8907,#10225,#2260,.T.); +#12700=EDGE_CURVE('',#10225,#10206,#2267,.T.); +#12702=EDGE_CURVE('',#10206,#10207,#2271,.T.); +#12704=EDGE_CURVE('',#10207,#10227,#2278,.T.); +#12706=EDGE_CURVE('',#8909,#10227,#2282,.T.); +#12709=EDGE_CURVE('',#8871,#8294,#2403,.T.); +#12719=ADVANCED_FACE('',(#12718),#12692,.F.); +#12725=EDGE_CURVE('',#10186,#10203,#2324,.T.); +#12732=ADVANCED_FACE('',(#12731),#12724,.T.); +#12739=EDGE_CURVE('',#8919,#10187,#2333,.T.); +#12746=ADVANCED_FACE('',(#12745),#12737,.T.); +#12758=ADVANCED_FACE('',(#12757),#12751,.T.); +#12764=EDGE_CURVE('',#10221,#10223,#2337,.T.); +#12766=EDGE_CURVE('',#10223,#10225,#2342,.T.); +#12770=EDGE_CURVE('',#8925,#10221,#2346,.T.); +#12774=ADVANCED_FACE('',(#12773),#12763,.T.); +#12781=EDGE_CURVE('',#10219,#10221,#2351,.T.); +#12784=EDGE_CURVE('',#10210,#10223,#2389,.T.); +#12788=ADVANCED_FACE('',(#12787),#12779,.T.); +#12796=EDGE_CURVE('',#10217,#10221,#2361,.T.); +#12798=EDGE_CURVE('',#10213,#10217,#2356,.T.); +#12802=ADVANCED_FACE('',(#12801),#12793,.T.); +#12811=EDGE_CURVE('',#8923,#10217,#2365,.T.); +#12815=ADVANCED_FACE('',(#12814),#12807,.T.); +#12821=EDGE_CURVE('',#10216,#10217,#2369,.T.); +#12826=EDGE_CURVE('',#10216,#10227,#2374,.T.); +#12830=ADVANCED_FACE('',(#12829),#12820,.T.); +#12839=EDGE_CURVE('',#10216,#10211,#2384,.T.); +#12843=ADVANCED_FACE('',(#12842),#12835,.T.); +#12851=EDGE_CURVE('',#10211,#10207,#2379,.T.); +#12856=ADVANCED_FACE('',(#12855),#12848,.T.); +#12863=EDGE_CURVE('',#10206,#10210,#2394,.T.); +#12869=ADVANCED_FACE('',(#12868),#12861,.F.); +#12881=ADVANCED_FACE('',(#12880),#12874,.T.); +#12890=EDGE_CURVE('',#8873,#8295,#2682,.T.); +#12894=ADVANCED_FACE('',(#12893),#12886,.F.); +#12900=EDGE_CURVE('',#10231,#10251,#2410,.T.); +#12902=EDGE_CURVE('',#8540,#10251,#2414,.T.); +#12905=EDGE_CURVE('',#8541,#10297,#2418,.T.); +#12907=EDGE_CURVE('',#10297,#10294,#2425,.T.); +#12909=EDGE_CURVE('',#10294,#10295,#2429,.T.); +#12911=EDGE_CURVE('',#10295,#10299,#2436,.T.); +#12913=EDGE_CURVE('',#8544,#10299,#2440,.T.); +#12916=EDGE_CURVE('',#8545,#10321,#2444,.T.); +#12918=EDGE_CURVE('',#10321,#10318,#2451,.T.); +#12920=EDGE_CURVE('',#10318,#10319,#2455,.T.); +#12922=EDGE_CURVE('',#10319,#10323,#2462,.T.); +#12924=EDGE_CURVE('',#8548,#10323,#2466,.T.); +#12927=EDGE_CURVE('',#8549,#10345,#2470,.T.); +#12929=EDGE_CURVE('',#10345,#10342,#2477,.T.); +#12931=EDGE_CURVE('',#10342,#10343,#2481,.T.); +#12933=EDGE_CURVE('',#10343,#10347,#2488,.T.); +#12935=EDGE_CURVE('',#8552,#10347,#2492,.T.); +#12938=EDGE_CURVE('',#8553,#10369,#2496,.T.); +#12940=EDGE_CURVE('',#10369,#10366,#2503,.T.); +#12942=EDGE_CURVE('',#10366,#10367,#2507,.T.); +#12944=EDGE_CURVE('',#10367,#10371,#2514,.T.); +#12946=EDGE_CURVE('',#8556,#10371,#2518,.T.); +#12949=EDGE_CURVE('',#8557,#10393,#2522,.T.); +#12951=EDGE_CURVE('',#10393,#10390,#2529,.T.); +#12953=EDGE_CURVE('',#10390,#10391,#2533,.T.); +#12955=EDGE_CURVE('',#10391,#10395,#2540,.T.); +#12957=EDGE_CURVE('',#8560,#10395,#2544,.T.); +#12960=EDGE_CURVE('',#8561,#10417,#2548,.T.); +#12962=EDGE_CURVE('',#10417,#10414,#2555,.T.); +#12964=EDGE_CURVE('',#10414,#10415,#2559,.T.); +#12966=EDGE_CURVE('',#10415,#10419,#2566,.T.); +#12968=EDGE_CURVE('',#8888,#10419,#2570,.T.); +#12971=EDGE_CURVE('',#8889,#10441,#2574,.T.); +#12973=EDGE_CURVE('',#10441,#10438,#2581,.T.); +#12975=EDGE_CURVE('',#10438,#10439,#2585,.T.); +#12977=EDGE_CURVE('',#10439,#10443,#2592,.T.); +#12979=EDGE_CURVE('',#9766,#10443,#2596,.T.); +#12982=EDGE_CURVE('',#9772,#10465,#2600,.T.); +#12984=EDGE_CURVE('',#10465,#10462,#2607,.T.); +#12986=EDGE_CURVE('',#10462,#10463,#2611,.T.); +#12988=EDGE_CURVE('',#10463,#10467,#2618,.T.); +#12990=EDGE_CURVE('',#9776,#10467,#2622,.T.); +#12993=EDGE_CURVE('',#9785,#10489,#2626,.T.); +#12995=EDGE_CURVE('',#10489,#10486,#2633,.T.); +#12997=EDGE_CURVE('',#10486,#10487,#2637,.T.); +#12999=EDGE_CURVE('',#10487,#10491,#2644,.T.); +#13001=EDGE_CURVE('',#9780,#10491,#2648,.T.); +#13004=EDGE_CURVE('',#9788,#10513,#2652,.T.); +#13006=EDGE_CURVE('',#10513,#10510,#2659,.T.); +#13008=EDGE_CURVE('',#10510,#10511,#2663,.T.); +#13010=EDGE_CURVE('',#10511,#10515,#2670,.T.); +#13012=EDGE_CURVE('',#9792,#10515,#2674,.T.); +#13015=EDGE_CURVE('',#8875,#8298,#3403,.T.); +#13020=EDGE_CURVE('',#8533,#10273,#2686,.T.); +#13022=EDGE_CURVE('',#10273,#10270,#2693,.T.); +#13024=EDGE_CURVE('',#10270,#10271,#2697,.T.); +#13026=EDGE_CURVE('',#10271,#10275,#2704,.T.); +#13028=EDGE_CURVE('',#8536,#10275,#2708,.T.); +#13031=EDGE_CURVE('',#8537,#10249,#2712,.T.); +#13033=EDGE_CURVE('',#10249,#10230,#2719,.T.); +#13035=EDGE_CURVE('',#10230,#10231,#2723,.T.); +#13039=ADVANCED_FACE('',(#13038),#12899,.F.); +#13045=EDGE_CURVE('',#10240,#10251,#2742,.T.); +#13048=EDGE_CURVE('',#10235,#10231,#2728,.T.); +#13050=EDGE_CURVE('',#10240,#10235,#2733,.T.); +#13054=ADVANCED_FACE('',(#13053),#13044,.T.); +#13060=EDGE_CURVE('',#10240,#10241,#2737,.T.); +#13062=EDGE_CURVE('',#9811,#10241,#2784,.T.); +#13069=ADVANCED_FACE('',(#13068),#13059,.T.); +#13076=EDGE_CURVE('',#10237,#10241,#2747,.T.); +#13082=ADVANCED_FACE('',(#13081),#13074,.T.); +#13089=EDGE_CURVE('',#10243,#10245,#2752,.T.); +#13091=EDGE_CURVE('',#10241,#10245,#2780,.T.); +#13096=ADVANCED_FACE('',(#13095),#13087,.T.); +#13102=EDGE_CURVE('',#10245,#10247,#2756,.T.); +#13106=EDGE_CURVE('',#10234,#10247,#2770,.T.); +#13110=ADVANCED_FACE('',(#13109),#13101,.T.); +#13117=EDGE_CURVE('',#10247,#10249,#2761,.T.); +#13121=EDGE_CURVE('',#9813,#10245,#2765,.T.); +#13125=ADVANCED_FACE('',(#13124),#13115,.T.); +#13133=EDGE_CURVE('',#10230,#10234,#2775,.T.); +#13138=ADVANCED_FACE('',(#13137),#13130,.T.); +#13150=ADVANCED_FACE('',(#13149),#13143,.F.); +#13162=ADVANCED_FACE('',(#13161),#13155,.T.); +#13168=EDGE_CURVE('',#10287,#10289,#2788,.T.); +#13170=EDGE_CURVE('',#10289,#10297,#2793,.T.); +#13174=EDGE_CURVE('',#9809,#10287,#2797,.T.); +#13178=ADVANCED_FACE('',(#13177),#13167,.T.); +#13185=EDGE_CURVE('',#10285,#10287,#2802,.T.); +#13188=EDGE_CURVE('',#10291,#10289,#2840,.T.); +#13192=ADVANCED_FACE('',(#13191),#13183,.T.); +#13200=EDGE_CURVE('',#10283,#10287,#2812,.T.); +#13202=EDGE_CURVE('',#10279,#10283,#2807,.T.); +#13206=ADVANCED_FACE('',(#13205),#13197,.T.); +#13215=EDGE_CURVE('',#9808,#10283,#2816,.T.); +#13219=ADVANCED_FACE('',(#13218),#13211,.T.); +#13225=EDGE_CURVE('',#10282,#10283,#2820,.T.); +#13230=EDGE_CURVE('',#10282,#10299,#2825,.T.); +#13234=ADVANCED_FACE('',(#13233),#13224,.T.); +#13243=EDGE_CURVE('',#10282,#10278,#2835,.T.); +#13247=ADVANCED_FACE('',(#13246),#13239,.T.); +#13255=EDGE_CURVE('',#10278,#10295,#2830,.T.); +#13260=ADVANCED_FACE('',(#13259),#13252,.T.); +#13267=EDGE_CURVE('',#10294,#10291,#2845,.T.); +#13273=ADVANCED_FACE('',(#13272),#13265,.F.); +#13285=ADVANCED_FACE('',(#13284),#13278,.T.); +#13291=EDGE_CURVE('',#10311,#10313,#2849,.T.); +#13293=EDGE_CURVE('',#10313,#10321,#2854,.T.); +#13297=EDGE_CURVE('',#9805,#10311,#2858,.T.); +#13301=ADVANCED_FACE('',(#13300),#13290,.T.); +#13308=EDGE_CURVE('',#10309,#10311,#2863,.T.); +#13311=EDGE_CURVE('',#10315,#10313,#2901,.T.); +#13315=ADVANCED_FACE('',(#13314),#13306,.T.); +#13323=EDGE_CURVE('',#10307,#10311,#2873,.T.); +#13325=EDGE_CURVE('',#10303,#10307,#2868,.T.); +#13329=ADVANCED_FACE('',(#13328),#13320,.T.); +#13338=EDGE_CURVE('',#9803,#10307,#2877,.T.); +#13342=ADVANCED_FACE('',(#13341),#13334,.T.); +#13348=EDGE_CURVE('',#10306,#10307,#2881,.T.); +#13353=EDGE_CURVE('',#10306,#10323,#2886,.T.); +#13357=ADVANCED_FACE('',(#13356),#13347,.T.); +#13366=EDGE_CURVE('',#10306,#10302,#2896,.T.); +#13370=ADVANCED_FACE('',(#13369),#13362,.T.); +#13378=EDGE_CURVE('',#10302,#10319,#2891,.T.); +#13383=ADVANCED_FACE('',(#13382),#13375,.T.); +#13390=EDGE_CURVE('',#10318,#10315,#2906,.T.); +#13396=ADVANCED_FACE('',(#13395),#13388,.F.); +#13408=ADVANCED_FACE('',(#13407),#13401,.T.); +#13414=EDGE_CURVE('',#10335,#10337,#2910,.T.); +#13416=EDGE_CURVE('',#10337,#10345,#2915,.T.); +#13420=EDGE_CURVE('',#9855,#10335,#2919,.T.); +#13424=ADVANCED_FACE('',(#13423),#13413,.T.); +#13431=EDGE_CURVE('',#10333,#10335,#2924,.T.); +#13434=EDGE_CURVE('',#10339,#10337,#2962,.T.); +#13438=ADVANCED_FACE('',(#13437),#13429,.T.); +#13446=EDGE_CURVE('',#10331,#10335,#2934,.T.); +#13448=EDGE_CURVE('',#10327,#10331,#2929,.T.); +#13452=ADVANCED_FACE('',(#13451),#13443,.T.); +#13461=EDGE_CURVE('',#9853,#10331,#2938,.T.); +#13465=ADVANCED_FACE('',(#13464),#13457,.T.); +#13471=EDGE_CURVE('',#10330,#10331,#2942,.T.); +#13476=EDGE_CURVE('',#10330,#10347,#2947,.T.); +#13480=ADVANCED_FACE('',(#13479),#13470,.T.); +#13489=EDGE_CURVE('',#10330,#10326,#2957,.T.); +#13493=ADVANCED_FACE('',(#13492),#13485,.T.); +#13501=EDGE_CURVE('',#10326,#10343,#2952,.T.); +#13506=ADVANCED_FACE('',(#13505),#13498,.T.); +#13513=EDGE_CURVE('',#10342,#10339,#2967,.T.); +#13519=ADVANCED_FACE('',(#13518),#13511,.F.); +#13531=ADVANCED_FACE('',(#13530),#13524,.T.); +#13537=EDGE_CURVE('',#10359,#10361,#2971,.T.); +#13539=EDGE_CURVE('',#10361,#10369,#2976,.T.); +#13543=EDGE_CURVE('',#9801,#10359,#2980,.T.); +#13547=ADVANCED_FACE('',(#13546),#13536,.T.); +#13554=EDGE_CURVE('',#10357,#10359,#2985,.T.); +#13557=EDGE_CURVE('',#10363,#10361,#3023,.T.); +#13561=ADVANCED_FACE('',(#13560),#13552,.T.); +#13569=EDGE_CURVE('',#10355,#10359,#2995,.T.); +#13571=EDGE_CURVE('',#10351,#10355,#2990,.T.); +#13575=ADVANCED_FACE('',(#13574),#13566,.T.); +#13584=EDGE_CURVE('',#9799,#10355,#2999,.T.); +#13588=ADVANCED_FACE('',(#13587),#13580,.T.); +#13594=EDGE_CURVE('',#10354,#10355,#3003,.T.); +#13599=EDGE_CURVE('',#10354,#10371,#3008,.T.); +#13603=ADVANCED_FACE('',(#13602),#13593,.T.); +#13612=EDGE_CURVE('',#10354,#10350,#3018,.T.); +#13616=ADVANCED_FACE('',(#13615),#13608,.T.); +#13624=EDGE_CURVE('',#10350,#10367,#3013,.T.); +#13629=ADVANCED_FACE('',(#13628),#13621,.T.); +#13636=EDGE_CURVE('',#10366,#10363,#3028,.T.); +#13642=ADVANCED_FACE('',(#13641),#13634,.F.); +#13654=ADVANCED_FACE('',(#13653),#13647,.T.); +#13660=EDGE_CURVE('',#10383,#10385,#3032,.T.); +#13662=EDGE_CURVE('',#10385,#10393,#3037,.T.); +#13666=EDGE_CURVE('',#9763,#10383,#3041,.T.); +#13670=ADVANCED_FACE('',(#13669),#13659,.T.); +#13677=EDGE_CURVE('',#10381,#10383,#3046,.T.); +#13680=EDGE_CURVE('',#10387,#10385,#3084,.T.); +#13684=ADVANCED_FACE('',(#13683),#13675,.T.); +#13692=EDGE_CURVE('',#10379,#10383,#3056,.T.); +#13694=EDGE_CURVE('',#10375,#10379,#3051,.T.); +#13698=ADVANCED_FACE('',(#13697),#13689,.T.); +#13707=EDGE_CURVE('',#9761,#10379,#3060,.T.); +#13711=ADVANCED_FACE('',(#13710),#13703,.T.); +#13717=EDGE_CURVE('',#10378,#10379,#3064,.T.); +#13722=EDGE_CURVE('',#10378,#10395,#3069,.T.); +#13726=ADVANCED_FACE('',(#13725),#13716,.T.); +#13735=EDGE_CURVE('',#10378,#10374,#3079,.T.); +#13739=ADVANCED_FACE('',(#13738),#13731,.T.); +#13747=EDGE_CURVE('',#10374,#10391,#3074,.T.); +#13752=ADVANCED_FACE('',(#13751),#13744,.T.); +#13759=EDGE_CURVE('',#10390,#10387,#3089,.T.); +#13765=ADVANCED_FACE('',(#13764),#13757,.F.); +#13777=ADVANCED_FACE('',(#13776),#13770,.T.); +#13783=EDGE_CURVE('',#10407,#10409,#3093,.T.); +#13785=EDGE_CURVE('',#10409,#10417,#3098,.T.); +#13789=EDGE_CURVE('',#9797,#10407,#3102,.T.); +#13793=ADVANCED_FACE('',(#13792),#13782,.T.); +#13800=EDGE_CURVE('',#10405,#10407,#3107,.T.); +#13803=EDGE_CURVE('',#10411,#10409,#3145,.T.); +#13807=ADVANCED_FACE('',(#13806),#13798,.T.); +#13815=EDGE_CURVE('',#10403,#10407,#3117,.T.); +#13817=EDGE_CURVE('',#10399,#10403,#3112,.T.); +#13821=ADVANCED_FACE('',(#13820),#13812,.T.); +#13830=EDGE_CURVE('',#9795,#10403,#3121,.T.); +#13834=ADVANCED_FACE('',(#13833),#13826,.T.); +#13840=EDGE_CURVE('',#10402,#10403,#3125,.T.); +#13845=EDGE_CURVE('',#10402,#10419,#3130,.T.); +#13849=ADVANCED_FACE('',(#13848),#13839,.T.); +#13858=EDGE_CURVE('',#10402,#10398,#3140,.T.); +#13862=ADVANCED_FACE('',(#13861),#13854,.T.); +#13870=EDGE_CURVE('',#10398,#10415,#3135,.T.); +#13875=ADVANCED_FACE('',(#13874),#13867,.T.); +#13882=EDGE_CURVE('',#10414,#10411,#3150,.T.); +#13888=ADVANCED_FACE('',(#13887),#13880,.F.); +#13900=ADVANCED_FACE('',(#13899),#13893,.T.); +#13906=EDGE_CURVE('',#10431,#10433,#3154,.T.); +#13908=EDGE_CURVE('',#10433,#10441,#3159,.T.); +#13912=EDGE_CURVE('',#9769,#10431,#3163,.T.); +#13916=ADVANCED_FACE('',(#13915),#13905,.T.); +#13923=EDGE_CURVE('',#10429,#10431,#3168,.T.); +#13926=EDGE_CURVE('',#10435,#10433,#3206,.T.); +#13930=ADVANCED_FACE('',(#13929),#13921,.T.); +#13938=EDGE_CURVE('',#10427,#10431,#3178,.T.); +#13940=EDGE_CURVE('',#10423,#10427,#3173,.T.); +#13944=ADVANCED_FACE('',(#13943),#13935,.T.); +#13953=EDGE_CURVE('',#9767,#10427,#3182,.T.); +#13957=ADVANCED_FACE('',(#13956),#13949,.T.); +#13963=EDGE_CURVE('',#10426,#10427,#3186,.T.); +#13968=EDGE_CURVE('',#10426,#10443,#3191,.T.); +#13972=ADVANCED_FACE('',(#13971),#13962,.T.); +#13981=EDGE_CURVE('',#10426,#10422,#3201,.T.); +#13985=ADVANCED_FACE('',(#13984),#13977,.T.); +#13993=EDGE_CURVE('',#10422,#10439,#3196,.T.); +#13998=ADVANCED_FACE('',(#13997),#13990,.T.); +#14005=EDGE_CURVE('',#10438,#10435,#3211,.T.); +#14011=ADVANCED_FACE('',(#14010),#14003,.F.); +#14023=ADVANCED_FACE('',(#14022),#14016,.T.); +#14029=EDGE_CURVE('',#10455,#10457,#3215,.T.); +#14031=EDGE_CURVE('',#10457,#10465,#3220,.T.); +#14035=EDGE_CURVE('',#9773,#10455,#3224,.T.); +#14039=ADVANCED_FACE('',(#14038),#14028,.T.); +#14046=EDGE_CURVE('',#10453,#10455,#3229,.T.); +#14049=EDGE_CURVE('',#10459,#10457,#3267,.T.); +#14053=ADVANCED_FACE('',(#14052),#14044,.T.); +#14061=EDGE_CURVE('',#10451,#10455,#3239,.T.); +#14063=EDGE_CURVE('',#10447,#10451,#3234,.T.); +#14067=ADVANCED_FACE('',(#14066),#14058,.T.); +#14076=EDGE_CURVE('',#9777,#10451,#3243,.T.); +#14080=ADVANCED_FACE('',(#14079),#14072,.T.); +#14086=EDGE_CURVE('',#10450,#10451,#3247,.T.); +#14091=EDGE_CURVE('',#10450,#10467,#3252,.T.); +#14095=ADVANCED_FACE('',(#14094),#14085,.T.); +#14104=EDGE_CURVE('',#10450,#10446,#3262,.T.); +#14108=ADVANCED_FACE('',(#14107),#14100,.T.); +#14116=EDGE_CURVE('',#10446,#10463,#3257,.T.); +#14121=ADVANCED_FACE('',(#14120),#14113,.T.); +#14128=EDGE_CURVE('',#10462,#10459,#3272,.T.); +#14134=ADVANCED_FACE('',(#14133),#14126,.F.); +#14146=ADVANCED_FACE('',(#14145),#14139,.T.); +#14152=EDGE_CURVE('',#10479,#10481,#3276,.T.); +#14154=EDGE_CURVE('',#10481,#10489,#3281,.T.); +#14158=EDGE_CURVE('',#9783,#10479,#3285,.T.); +#14162=ADVANCED_FACE('',(#14161),#14151,.T.); +#14169=EDGE_CURVE('',#10477,#10479,#3290,.T.); +#14172=EDGE_CURVE('',#10483,#10481,#3328,.T.); +#14176=ADVANCED_FACE('',(#14175),#14167,.T.); +#14184=EDGE_CURVE('',#10475,#10479,#3300,.T.); +#14186=EDGE_CURVE('',#10471,#10475,#3295,.T.); +#14190=ADVANCED_FACE('',(#14189),#14181,.T.); +#14199=EDGE_CURVE('',#9781,#10475,#3304,.T.); +#14203=ADVANCED_FACE('',(#14202),#14195,.T.); +#14209=EDGE_CURVE('',#10474,#10475,#3308,.T.); +#14214=EDGE_CURVE('',#10474,#10491,#3313,.T.); +#14218=ADVANCED_FACE('',(#14217),#14208,.T.); +#14227=EDGE_CURVE('',#10474,#10470,#3323,.T.); +#14231=ADVANCED_FACE('',(#14230),#14223,.T.); +#14239=EDGE_CURVE('',#10470,#10487,#3318,.T.); +#14244=ADVANCED_FACE('',(#14243),#14236,.T.); +#14251=EDGE_CURVE('',#10486,#10483,#3333,.T.); +#14257=ADVANCED_FACE('',(#14256),#14249,.F.); +#14269=ADVANCED_FACE('',(#14268),#14262,.T.); +#14275=EDGE_CURVE('',#10503,#10505,#3337,.T.); +#14277=EDGE_CURVE('',#10505,#10513,#3342,.T.); +#14281=EDGE_CURVE('',#9789,#10503,#3346,.T.); +#14285=ADVANCED_FACE('',(#14284),#14274,.T.); +#14292=EDGE_CURVE('',#10501,#10503,#3351,.T.); +#14295=EDGE_CURVE('',#10507,#10505,#3389,.T.); +#14299=ADVANCED_FACE('',(#14298),#14290,.T.); +#14307=EDGE_CURVE('',#10499,#10503,#3361,.T.); +#14309=EDGE_CURVE('',#10495,#10499,#3356,.T.); +#14313=ADVANCED_FACE('',(#14312),#14304,.T.); +#14322=EDGE_CURVE('',#9793,#10499,#3365,.T.); +#14326=ADVANCED_FACE('',(#14325),#14318,.T.); +#14332=EDGE_CURVE('',#10498,#10499,#3369,.T.); +#14337=EDGE_CURVE('',#10498,#10515,#3374,.T.); +#14341=ADVANCED_FACE('',(#14340),#14331,.T.); +#14350=EDGE_CURVE('',#10498,#10494,#3384,.T.); +#14354=ADVANCED_FACE('',(#14353),#14346,.T.); +#14362=EDGE_CURVE('',#10494,#10511,#3379,.T.); +#14367=ADVANCED_FACE('',(#14366),#14359,.T.); +#14374=EDGE_CURVE('',#10510,#10507,#3394,.T.); +#14380=ADVANCED_FACE('',(#14379),#14372,.F.); +#14392=ADVANCED_FACE('',(#14391),#14385,.T.); +#14404=ADVANCED_FACE('',(#14403),#14397,.F.); +#14410=EDGE_CURVE('',#10263,#10265,#3407,.T.); +#14412=EDGE_CURVE('',#10265,#10273,#3412,.T.); +#14416=EDGE_CURVE('',#9817,#10263,#3416,.T.); +#14420=ADVANCED_FACE('',(#14419),#14409,.T.); +#14427=EDGE_CURVE('',#10261,#10263,#3421,.T.); +#14430=EDGE_CURVE('',#10267,#10265,#3459,.T.); +#14434=ADVANCED_FACE('',(#14433),#14425,.T.); +#14442=EDGE_CURVE('',#10259,#10263,#3431,.T.); +#14444=EDGE_CURVE('',#10255,#10259,#3426,.T.); +#14448=ADVANCED_FACE('',(#14447),#14439,.T.); +#14457=EDGE_CURVE('',#9816,#10259,#3435,.T.); +#14461=ADVANCED_FACE('',(#14460),#14453,.T.); +#14467=EDGE_CURVE('',#10258,#10259,#3439,.T.); +#14472=EDGE_CURVE('',#10258,#10275,#3444,.T.); +#14476=ADVANCED_FACE('',(#14475),#14466,.T.); +#14485=EDGE_CURVE('',#10258,#10254,#3454,.T.); +#14489=ADVANCED_FACE('',(#14488),#14481,.T.); +#14497=EDGE_CURVE('',#10254,#10271,#3449,.T.); +#14502=ADVANCED_FACE('',(#14501),#14494,.T.); +#14509=EDGE_CURVE('',#10270,#10267,#3464,.T.); +#14515=ADVANCED_FACE('',(#14514),#14507,.F.); +#14527=ADVANCED_FACE('',(#14526),#14520,.T.); +#14534=EDGE_CURVE('',#10519,#10523,#3469,.T.); +#14536=EDGE_CURVE('',#10522,#10523,#3511,.T.); +#14538=EDGE_CURVE('',#10522,#10518,#3507,.T.); +#14542=ADVANCED_FACE('',(#14541),#14532,.T.); +#14549=EDGE_CURVE('',#10525,#10527,#3474,.T.); +#14551=EDGE_CURVE('',#10523,#10527,#3521,.T.); +#14556=ADVANCED_FACE('',(#14555),#14547,.T.); +#14562=EDGE_CURVE('',#10527,#10529,#3478,.T.); +#14566=EDGE_CURVE('',#10531,#10529,#3492,.T.); +#14570=ADVANCED_FACE('',(#14569),#14561,.T.); +#14577=EDGE_CURVE('',#10529,#10537,#3483,.T.); +#14581=EDGE_CURVE('',#8901,#10527,#3487,.T.); +#14585=ADVANCED_FACE('',(#14584),#14575,.T.); +#14593=EDGE_CURVE('',#10534,#10531,#3497,.T.); +#14598=ADVANCED_FACE('',(#14597),#14590,.T.); +#14607=EDGE_CURVE('',#10518,#10535,#3502,.T.); +#14611=ADVANCED_FACE('',(#14610),#14603,.F.); +#14617=EDGE_CURVE('',#10522,#10539,#3516,.T.); +#14624=ADVANCED_FACE('',(#14623),#14616,.T.); +#14631=EDGE_CURVE('',#8897,#10523,#3525,.T.); +#14638=ADVANCED_FACE('',(#14637),#14629,.T.); +#14650=ADVANCED_FACE('',(#14649),#14643,.T.); +#14658=EDGE_CURVE('',#10555,#10551,#3534,.T.); +#14663=ADVANCED_FACE('',(#14662),#14655,.T.); +#14670=EDGE_CURVE('',#8915,#10551,#3543,.T.); +#14676=ADVANCED_FACE('',(#14675),#14668,.T.); +#14688=ADVANCED_FACE('',(#14687),#14681,.T.); +#14694=EDGE_CURVE('',#10168,#10169,#3547,.T.); +#14696=EDGE_CURVE('',#9847,#10169,#3604,.T.); +#14700=EDGE_CURVE('',#10168,#10179,#3552,.T.); +#14704=ADVANCED_FACE('',(#14703),#14693,.T.); +#14711=EDGE_CURVE('',#10165,#10169,#3557,.T.); +#14714=EDGE_CURVE('',#10168,#10163,#3595,.T.); +#14718=ADVANCED_FACE('',(#14717),#14709,.T.); +#14725=EDGE_CURVE('',#10171,#10173,#3562,.T.); +#14727=EDGE_CURVE('',#10169,#10173,#3600,.T.); +#14732=ADVANCED_FACE('',(#14731),#14723,.T.); +#14738=EDGE_CURVE('',#10173,#10175,#3566,.T.); +#14742=EDGE_CURVE('',#10162,#10175,#3580,.T.); +#14746=ADVANCED_FACE('',(#14745),#14737,.T.); +#14753=EDGE_CURVE('',#10175,#10177,#3571,.T.); +#14757=EDGE_CURVE('',#9849,#10173,#3575,.T.); +#14761=ADVANCED_FACE('',(#14760),#14751,.T.); +#14769=EDGE_CURVE('',#10158,#10162,#3585,.T.); +#14774=ADVANCED_FACE('',(#14773),#14766,.T.); +#14783=EDGE_CURVE('',#10163,#10159,#3590,.T.); +#14787=ADVANCED_FACE('',(#14786),#14779,.F.); +#14799=ADVANCED_FACE('',(#14798),#14792,.T.); +#14811=ADVANCED_FACE('',(#14810),#14804,.T.); +#14817=EDGE_CURVE('',#10144,#10145,#3608,.T.); +#14819=EDGE_CURVE('',#9839,#10145,#3665,.T.); +#14823=EDGE_CURVE('',#10144,#10155,#3613,.T.); +#14827=ADVANCED_FACE('',(#14826),#14816,.T.); +#14834=EDGE_CURVE('',#10141,#10145,#3618,.T.); +#14837=EDGE_CURVE('',#10144,#10139,#3656,.T.); +#14841=ADVANCED_FACE('',(#14840),#14832,.T.); +#14848=EDGE_CURVE('',#10147,#10149,#3623,.T.); +#14850=EDGE_CURVE('',#10145,#10149,#3661,.T.); +#14855=ADVANCED_FACE('',(#14854),#14846,.T.); +#14861=EDGE_CURVE('',#10149,#10151,#3627,.T.); +#14865=EDGE_CURVE('',#10138,#10151,#3641,.T.); +#14869=ADVANCED_FACE('',(#14868),#14860,.T.); +#14876=EDGE_CURVE('',#10151,#10153,#3632,.T.); +#14880=EDGE_CURVE('',#9841,#10149,#3636,.T.); +#14884=ADVANCED_FACE('',(#14883),#14874,.T.); +#14892=EDGE_CURVE('',#10134,#10138,#3646,.T.); +#14897=ADVANCED_FACE('',(#14896),#14889,.T.); +#14906=EDGE_CURVE('',#10139,#10135,#3651,.T.); +#14910=ADVANCED_FACE('',(#14909),#14902,.F.); +#14922=ADVANCED_FACE('',(#14921),#14915,.T.); +#14934=ADVANCED_FACE('',(#14933),#14927,.T.); +#14940=EDGE_CURVE('',#10120,#10121,#3669,.T.); +#14942=EDGE_CURVE('',#9831,#10121,#3726,.T.); +#14946=EDGE_CURVE('',#10120,#10131,#3674,.T.); +#14950=ADVANCED_FACE('',(#14949),#14939,.T.); +#14957=EDGE_CURVE('',#10117,#10121,#3679,.T.); +#14960=EDGE_CURVE('',#10120,#10115,#3717,.T.); +#14964=ADVANCED_FACE('',(#14963),#14955,.T.); +#14971=EDGE_CURVE('',#10123,#10125,#3684,.T.); +#14973=EDGE_CURVE('',#10121,#10125,#3722,.T.); +#14978=ADVANCED_FACE('',(#14977),#14969,.T.); +#14984=EDGE_CURVE('',#10125,#10127,#3688,.T.); +#14988=EDGE_CURVE('',#10114,#10127,#3702,.T.); +#14992=ADVANCED_FACE('',(#14991),#14983,.T.); +#14999=EDGE_CURVE('',#10127,#10129,#3693,.T.); +#15003=EDGE_CURVE('',#9833,#10125,#3697,.T.); +#15007=ADVANCED_FACE('',(#15006),#14997,.T.); +#15015=EDGE_CURVE('',#10110,#10114,#3707,.T.); +#15020=ADVANCED_FACE('',(#15019),#15012,.T.); +#15029=EDGE_CURVE('',#10115,#10111,#3712,.T.); +#15033=ADVANCED_FACE('',(#15032),#15025,.F.); +#15045=ADVANCED_FACE('',(#15044),#15038,.T.); +#15057=ADVANCED_FACE('',(#15056),#15050,.T.); +#15063=EDGE_CURVE('',#10096,#10097,#3730,.T.); +#15065=EDGE_CURVE('',#9825,#10097,#3787,.T.); +#15069=EDGE_CURVE('',#10096,#10107,#3735,.T.); +#15073=ADVANCED_FACE('',(#15072),#15062,.T.); +#15080=EDGE_CURVE('',#10093,#10097,#3740,.T.); +#15083=EDGE_CURVE('',#10096,#10091,#3778,.T.); +#15087=ADVANCED_FACE('',(#15086),#15078,.T.); +#15094=EDGE_CURVE('',#10099,#10101,#3745,.T.); +#15096=EDGE_CURVE('',#10097,#10101,#3783,.T.); +#15101=ADVANCED_FACE('',(#15100),#15092,.T.); +#15107=EDGE_CURVE('',#10101,#10103,#3749,.T.); +#15111=EDGE_CURVE('',#10090,#10103,#3763,.T.); +#15115=ADVANCED_FACE('',(#15114),#15106,.T.); +#15122=EDGE_CURVE('',#10103,#10105,#3754,.T.); +#15126=EDGE_CURVE('',#9827,#10101,#3758,.T.); +#15130=ADVANCED_FACE('',(#15129),#15120,.T.); +#15138=EDGE_CURVE('',#10086,#10090,#3768,.T.); +#15143=ADVANCED_FACE('',(#15142),#15135,.T.); +#15152=EDGE_CURVE('',#10091,#10087,#3773,.T.); +#15156=ADVANCED_FACE('',(#15155),#15148,.F.); +#15168=ADVANCED_FACE('',(#15167),#15161,.T.); +#15180=ADVANCED_FACE('',(#15179),#15173,.T.); +#15186=EDGE_CURVE('',#10072,#10073,#3791,.T.); +#15188=EDGE_CURVE('',#9819,#10073,#3848,.T.); +#15192=EDGE_CURVE('',#10072,#10083,#3796,.T.); +#15196=ADVANCED_FACE('',(#15195),#15185,.T.); +#15203=EDGE_CURVE('',#10069,#10073,#3801,.T.); +#15206=EDGE_CURVE('',#10072,#10067,#3839,.T.); +#15210=ADVANCED_FACE('',(#15209),#15201,.T.); +#15217=EDGE_CURVE('',#10075,#10077,#3806,.T.); +#15219=EDGE_CURVE('',#10073,#10077,#3844,.T.); +#15224=ADVANCED_FACE('',(#15223),#15215,.T.); +#15230=EDGE_CURVE('',#10077,#10079,#3810,.T.); +#15234=EDGE_CURVE('',#10066,#10079,#3824,.T.); +#15238=ADVANCED_FACE('',(#15237),#15229,.T.); +#15245=EDGE_CURVE('',#10079,#10081,#3815,.T.); +#15249=EDGE_CURVE('',#9821,#10077,#3819,.T.); +#15253=ADVANCED_FACE('',(#15252),#15243,.T.); +#15261=EDGE_CURVE('',#10062,#10066,#3829,.T.); +#15266=ADVANCED_FACE('',(#15265),#15258,.T.); +#15275=EDGE_CURVE('',#10067,#10063,#3834,.T.); +#15279=ADVANCED_FACE('',(#15278),#15271,.F.); +#15291=ADVANCED_FACE('',(#15290),#15284,.T.); +#15303=ADVANCED_FACE('',(#15302),#15296,.T.); +#15309=EDGE_CURVE('',#10048,#10049,#3852,.T.); +#15311=EDGE_CURVE('',#8883,#10049,#3909,.T.); +#15315=EDGE_CURVE('',#10048,#10059,#3857,.T.); +#15319=ADVANCED_FACE('',(#15318),#15308,.T.); +#15326=EDGE_CURVE('',#10045,#10049,#3862,.T.); +#15329=EDGE_CURVE('',#10048,#10043,#3900,.T.); +#15333=ADVANCED_FACE('',(#15332),#15324,.T.); +#15340=EDGE_CURVE('',#10051,#10053,#3867,.T.); +#15342=EDGE_CURVE('',#10049,#10053,#3905,.T.); +#15347=ADVANCED_FACE('',(#15346),#15338,.T.); +#15353=EDGE_CURVE('',#10053,#10055,#3871,.T.); +#15357=EDGE_CURVE('',#10042,#10055,#3885,.T.); +#15361=ADVANCED_FACE('',(#15360),#15352,.T.); +#15368=EDGE_CURVE('',#10055,#10057,#3876,.T.); +#15372=EDGE_CURVE('',#8885,#10053,#3880,.T.); +#15376=ADVANCED_FACE('',(#15375),#15366,.T.); +#15384=EDGE_CURVE('',#10038,#10042,#3890,.T.); +#15389=ADVANCED_FACE('',(#15388),#15381,.T.); +#15398=EDGE_CURVE('',#10043,#10039,#3895,.T.); +#15402=ADVANCED_FACE('',(#15401),#15394,.F.); +#15414=ADVANCED_FACE('',(#15413),#15407,.T.); +#15426=ADVANCED_FACE('',(#15425),#15419,.T.); +#15433=EDGE_CURVE('',#9036,#8944,#3913,.T.); +#15435=EDGE_CURVE('',#8944,#8945,#3922,.T.); +#15437=EDGE_CURVE('',#9037,#8945,#3917,.T.); +#15441=ADVANCED_FACE('',(#15440),#15431,.T.); +#15449=EDGE_CURVE('',#8945,#8944,#3927,.T.); +#15454=ADVANCED_FACE('',(#15453),#15446,.T.); +#15464=ADVANCED_FACE('',(#15463),#15459,.T.); +#15470=EDGE_CURVE('',#8948,#8949,#3932,.T.); +#15472=EDGE_CURVE('',#8949,#8948,#3937,.T.); +#15476=ADVANCED_FACE('',(#15475),#15469,.T.); +#15482=EDGE_CURVE('',#8952,#8953,#3942,.T.); +#15484=EDGE_CURVE('',#8953,#8952,#3947,.T.); +#15488=ADVANCED_FACE('',(#15487),#15481,.T.); +#15494=EDGE_CURVE('',#8956,#8957,#3952,.T.); +#15496=EDGE_CURVE('',#8957,#8956,#3957,.T.); +#15500=ADVANCED_FACE('',(#15499),#15493,.T.); +#15506=EDGE_CURVE('',#8960,#8961,#3962,.T.); +#15508=EDGE_CURVE('',#8961,#8960,#3967,.T.); +#15512=ADVANCED_FACE('',(#15511),#15505,.T.); +#15518=EDGE_CURVE('',#8964,#8965,#3972,.T.); +#15520=EDGE_CURVE('',#8965,#8964,#3977,.T.); +#15524=ADVANCED_FACE('',(#15523),#15517,.T.); +#15530=EDGE_CURVE('',#8968,#8969,#3982,.T.); +#15532=EDGE_CURVE('',#8969,#8968,#3987,.T.); +#15536=ADVANCED_FACE('',(#15535),#15529,.T.); +#15542=EDGE_CURVE('',#8972,#8973,#3992,.T.); +#15544=EDGE_CURVE('',#8973,#8972,#3997,.T.); +#15548=ADVANCED_FACE('',(#15547),#15541,.T.); +#15554=EDGE_CURVE('',#8976,#8977,#4002,.T.); +#15556=EDGE_CURVE('',#8977,#8976,#4007,.T.); +#15560=ADVANCED_FACE('',(#15559),#15553,.T.); +#15566=EDGE_CURVE('',#8980,#8981,#4012,.T.); +#15568=EDGE_CURVE('',#8981,#8980,#4017,.T.); +#15572=ADVANCED_FACE('',(#15571),#15565,.T.); +#15578=EDGE_CURVE('',#8984,#8985,#4022,.T.); +#15580=EDGE_CURVE('',#8985,#8984,#4027,.T.); +#15584=ADVANCED_FACE('',(#15583),#15577,.T.); +#15590=EDGE_CURVE('',#8988,#8989,#4032,.T.); +#15592=EDGE_CURVE('',#8989,#8988,#4037,.T.); +#15596=ADVANCED_FACE('',(#15595),#15589,.T.); +#15602=EDGE_CURVE('',#8992,#8993,#4042,.T.); +#15604=EDGE_CURVE('',#8993,#8992,#4047,.T.); +#15608=ADVANCED_FACE('',(#15607),#15601,.T.); +#15614=EDGE_CURVE('',#8996,#8997,#4052,.T.); +#15616=EDGE_CURVE('',#8997,#8996,#4057,.T.); +#15620=ADVANCED_FACE('',(#15619),#15613,.T.); +#15626=EDGE_CURVE('',#9000,#9001,#4062,.T.); +#15628=EDGE_CURVE('',#9001,#9000,#4067,.T.); +#15632=ADVANCED_FACE('',(#15631),#15625,.T.); +#15638=EDGE_CURVE('',#9004,#9005,#4072,.T.); +#15640=EDGE_CURVE('',#9005,#9004,#4077,.T.); +#15644=ADVANCED_FACE('',(#15643),#15637,.T.); +#15650=EDGE_CURVE('',#9008,#9009,#4082,.T.); +#15652=EDGE_CURVE('',#9009,#9008,#4087,.T.); +#15656=ADVANCED_FACE('',(#15655),#15649,.T.); +#15662=EDGE_CURVE('',#9012,#9013,#4092,.T.); +#15664=EDGE_CURVE('',#9013,#9012,#4097,.T.); +#15668=ADVANCED_FACE('',(#15667),#15661,.T.); +#15674=EDGE_CURVE('',#9016,#9017,#4102,.T.); +#15676=EDGE_CURVE('',#9017,#9016,#4107,.T.); +#15680=ADVANCED_FACE('',(#15679),#15673,.T.); +#15686=EDGE_CURVE('',#9020,#9021,#4112,.T.); +#15688=EDGE_CURVE('',#9021,#9020,#4117,.T.); +#15692=ADVANCED_FACE('',(#15691),#15685,.T.); +#15698=EDGE_CURVE('',#9024,#9025,#4122,.T.); +#15700=EDGE_CURVE('',#9025,#9024,#4127,.T.); +#15704=ADVANCED_FACE('',(#15703),#15697,.T.); +#15710=EDGE_CURVE('',#9028,#9029,#4132,.T.); +#15712=EDGE_CURVE('',#9029,#9028,#4137,.T.); +#15716=ADVANCED_FACE('',(#15715),#15709,.T.); +#15722=EDGE_CURVE('',#9032,#9033,#4142,.T.); +#15724=EDGE_CURVE('',#9033,#9032,#4147,.T.); +#15728=ADVANCED_FACE('',(#15727),#15721,.T.); +#15734=EDGE_CURVE('',#9562,#9563,#4152,.T.); +#15736=EDGE_CURVE('',#9563,#9562,#4157,.T.); +#15740=ADVANCED_FACE('',(#15739),#15733,.T.); +#15746=EDGE_CURVE('',#9566,#9567,#4162,.T.); +#15748=EDGE_CURVE('',#9567,#9566,#4167,.T.); +#15752=ADVANCED_FACE('',(#15751),#15745,.T.); +#15758=EDGE_CURVE('',#9570,#9571,#4172,.T.); +#15760=EDGE_CURVE('',#9571,#9570,#4177,.T.); +#15764=ADVANCED_FACE('',(#15763),#15757,.T.); +#15770=EDGE_CURVE('',#9574,#9575,#4182,.T.); +#15772=EDGE_CURVE('',#9575,#9574,#4187,.T.); +#15776=ADVANCED_FACE('',(#15775),#15769,.T.); +#15782=EDGE_CURVE('',#9578,#9579,#4192,.T.); +#15784=EDGE_CURVE('',#9579,#9578,#4197,.T.); +#15788=ADVANCED_FACE('',(#15787),#15781,.T.); +#15794=EDGE_CURVE('',#9582,#9583,#4202,.T.); +#15796=EDGE_CURVE('',#9583,#9582,#4207,.T.); +#15800=ADVANCED_FACE('',(#15799),#15793,.T.); +#15806=EDGE_CURVE('',#9586,#9587,#4212,.T.); +#15808=EDGE_CURVE('',#9587,#9586,#4217,.T.); +#15812=ADVANCED_FACE('',(#15811),#15805,.T.); +#15818=EDGE_CURVE('',#9590,#9591,#4222,.T.); +#15820=EDGE_CURVE('',#9591,#9590,#4227,.T.); +#15824=ADVANCED_FACE('',(#15823),#15817,.T.); +#15830=EDGE_CURVE('',#9594,#9595,#4232,.T.); +#15832=EDGE_CURVE('',#9595,#9594,#4237,.T.); +#15836=ADVANCED_FACE('',(#15835),#15829,.T.); +#15842=EDGE_CURVE('',#9598,#9599,#4242,.T.); +#15844=EDGE_CURVE('',#9599,#9598,#4247,.T.); +#15848=ADVANCED_FACE('',(#15847),#15841,.T.); +#15854=EDGE_CURVE('',#9602,#9603,#4252,.T.); +#15856=EDGE_CURVE('',#9603,#9602,#4257,.T.); +#15860=ADVANCED_FACE('',(#15859),#15853,.T.); +#15866=EDGE_CURVE('',#9606,#9607,#4262,.T.); +#15868=EDGE_CURVE('',#9607,#9606,#4267,.T.); +#15872=ADVANCED_FACE('',(#15871),#15865,.T.); +#15879=EDGE_CURVE('',#9040,#8948,#4271,.T.); +#15882=EDGE_CURVE('',#9041,#8949,#4275,.T.); +#15886=ADVANCED_FACE('',(#15885),#15877,.T.); +#15898=ADVANCED_FACE('',(#15897),#15891,.T.); +#15905=EDGE_CURVE('',#9044,#8952,#4279,.T.); +#15908=EDGE_CURVE('',#9045,#8953,#4283,.T.); +#15912=ADVANCED_FACE('',(#15911),#15903,.T.); +#15924=ADVANCED_FACE('',(#15923),#15917,.T.); +#15931=EDGE_CURVE('',#9048,#8956,#4287,.T.); +#15934=EDGE_CURVE('',#9049,#8957,#4291,.T.); +#15938=ADVANCED_FACE('',(#15937),#15929,.T.); +#15950=ADVANCED_FACE('',(#15949),#15943,.T.); +#15957=EDGE_CURVE('',#9052,#8960,#4295,.T.); +#15960=EDGE_CURVE('',#9053,#8961,#4299,.T.); +#15964=ADVANCED_FACE('',(#15963),#15955,.T.); +#15976=ADVANCED_FACE('',(#15975),#15969,.T.); +#15983=EDGE_CURVE('',#9056,#8964,#4303,.T.); +#15986=EDGE_CURVE('',#9057,#8965,#4307,.T.); +#15990=ADVANCED_FACE('',(#15989),#15981,.T.); +#16002=ADVANCED_FACE('',(#16001),#15995,.T.); +#16009=EDGE_CURVE('',#9060,#8968,#4311,.T.); +#16012=EDGE_CURVE('',#9061,#8969,#4315,.T.); +#16016=ADVANCED_FACE('',(#16015),#16007,.T.); +#16028=ADVANCED_FACE('',(#16027),#16021,.T.); +#16035=EDGE_CURVE('',#9064,#8972,#4319,.T.); +#16038=EDGE_CURVE('',#9065,#8973,#4323,.T.); +#16042=ADVANCED_FACE('',(#16041),#16033,.T.); +#16054=ADVANCED_FACE('',(#16053),#16047,.T.); +#16061=EDGE_CURVE('',#9068,#8976,#4327,.T.); +#16064=EDGE_CURVE('',#9069,#8977,#4331,.T.); +#16068=ADVANCED_FACE('',(#16067),#16059,.T.); +#16080=ADVANCED_FACE('',(#16079),#16073,.T.); +#16087=EDGE_CURVE('',#9072,#8980,#4335,.T.); +#16090=EDGE_CURVE('',#9073,#8981,#4339,.T.); +#16094=ADVANCED_FACE('',(#16093),#16085,.T.); +#16106=ADVANCED_FACE('',(#16105),#16099,.T.); +#16113=EDGE_CURVE('',#9076,#8984,#4343,.T.); +#16116=EDGE_CURVE('',#9077,#8985,#4347,.T.); +#16120=ADVANCED_FACE('',(#16119),#16111,.T.); +#16132=ADVANCED_FACE('',(#16131),#16125,.T.); +#16139=EDGE_CURVE('',#9080,#8988,#4351,.T.); +#16142=EDGE_CURVE('',#9081,#8989,#4355,.T.); +#16146=ADVANCED_FACE('',(#16145),#16137,.T.); +#16158=ADVANCED_FACE('',(#16157),#16151,.T.); +#16165=EDGE_CURVE('',#9084,#8992,#4359,.T.); +#16168=EDGE_CURVE('',#9085,#8993,#4363,.T.); +#16172=ADVANCED_FACE('',(#16171),#16163,.T.); +#16184=ADVANCED_FACE('',(#16183),#16177,.T.); +#16191=EDGE_CURVE('',#9088,#8996,#4367,.T.); +#16194=EDGE_CURVE('',#9089,#8997,#4371,.T.); +#16198=ADVANCED_FACE('',(#16197),#16189,.T.); +#16210=ADVANCED_FACE('',(#16209),#16203,.T.); +#16217=EDGE_CURVE('',#9092,#9000,#4375,.T.); +#16220=EDGE_CURVE('',#9093,#9001,#4379,.T.); +#16224=ADVANCED_FACE('',(#16223),#16215,.T.); +#16236=ADVANCED_FACE('',(#16235),#16229,.T.); +#16243=EDGE_CURVE('',#9096,#9004,#4383,.T.); +#16246=EDGE_CURVE('',#9097,#9005,#4387,.T.); +#16250=ADVANCED_FACE('',(#16249),#16241,.T.); +#16262=ADVANCED_FACE('',(#16261),#16255,.T.); +#16269=EDGE_CURVE('',#9100,#9008,#4391,.T.); +#16272=EDGE_CURVE('',#9101,#9009,#4395,.T.); +#16276=ADVANCED_FACE('',(#16275),#16267,.T.); +#16288=ADVANCED_FACE('',(#16287),#16281,.T.); +#16295=EDGE_CURVE('',#9104,#9012,#4399,.T.); +#16298=EDGE_CURVE('',#9105,#9013,#4403,.T.); +#16302=ADVANCED_FACE('',(#16301),#16293,.T.); +#16314=ADVANCED_FACE('',(#16313),#16307,.T.); +#16321=EDGE_CURVE('',#9108,#9016,#4407,.T.); +#16324=EDGE_CURVE('',#9109,#9017,#4411,.T.); +#16328=ADVANCED_FACE('',(#16327),#16319,.T.); +#16340=ADVANCED_FACE('',(#16339),#16333,.T.); +#16347=EDGE_CURVE('',#9112,#9020,#4415,.T.); +#16350=EDGE_CURVE('',#9113,#9021,#4419,.T.); +#16354=ADVANCED_FACE('',(#16353),#16345,.T.); +#16366=ADVANCED_FACE('',(#16365),#16359,.T.); +#16373=EDGE_CURVE('',#9116,#9024,#4423,.T.); +#16376=EDGE_CURVE('',#9117,#9025,#4427,.T.); +#16380=ADVANCED_FACE('',(#16379),#16371,.T.); +#16392=ADVANCED_FACE('',(#16391),#16385,.T.); +#16399=EDGE_CURVE('',#9120,#9028,#4431,.T.); +#16402=EDGE_CURVE('',#9121,#9029,#4435,.T.); +#16406=ADVANCED_FACE('',(#16405),#16397,.T.); +#16418=ADVANCED_FACE('',(#16417),#16411,.T.); +#16425=EDGE_CURVE('',#9124,#9032,#4439,.T.); +#16428=EDGE_CURVE('',#9125,#9033,#4443,.T.); +#16432=ADVANCED_FACE('',(#16431),#16423,.T.); +#16444=ADVANCED_FACE('',(#16443),#16437,.T.); +#16451=EDGE_CURVE('',#9610,#9562,#4447,.T.); +#16454=EDGE_CURVE('',#9611,#9563,#4451,.T.); +#16458=ADVANCED_FACE('',(#16457),#16449,.T.); +#16470=ADVANCED_FACE('',(#16469),#16463,.T.); +#16477=EDGE_CURVE('',#9614,#9566,#4455,.T.); +#16480=EDGE_CURVE('',#9615,#9567,#4459,.T.); +#16484=ADVANCED_FACE('',(#16483),#16475,.T.); +#16496=ADVANCED_FACE('',(#16495),#16489,.T.); +#16503=EDGE_CURVE('',#9618,#9570,#4463,.T.); +#16506=EDGE_CURVE('',#9619,#9571,#4467,.T.); +#16510=ADVANCED_FACE('',(#16509),#16501,.T.); +#16522=ADVANCED_FACE('',(#16521),#16515,.T.); +#16529=EDGE_CURVE('',#9622,#9574,#4471,.T.); +#16532=EDGE_CURVE('',#9623,#9575,#4475,.T.); +#16536=ADVANCED_FACE('',(#16535),#16527,.T.); +#16548=ADVANCED_FACE('',(#16547),#16541,.T.); +#16555=EDGE_CURVE('',#9626,#9578,#4479,.T.); +#16558=EDGE_CURVE('',#9627,#9579,#4483,.T.); +#16562=ADVANCED_FACE('',(#16561),#16553,.T.); +#16574=ADVANCED_FACE('',(#16573),#16567,.T.); +#16581=EDGE_CURVE('',#9630,#9582,#4487,.T.); +#16584=EDGE_CURVE('',#9631,#9583,#4491,.T.); +#16588=ADVANCED_FACE('',(#16587),#16579,.T.); +#16600=ADVANCED_FACE('',(#16599),#16593,.T.); +#16607=EDGE_CURVE('',#9634,#9586,#4495,.T.); +#16610=EDGE_CURVE('',#9635,#9587,#4499,.T.); +#16614=ADVANCED_FACE('',(#16613),#16605,.T.); +#16626=ADVANCED_FACE('',(#16625),#16619,.T.); +#16633=EDGE_CURVE('',#9638,#9590,#4503,.T.); +#16636=EDGE_CURVE('',#9639,#9591,#4507,.T.); +#16640=ADVANCED_FACE('',(#16639),#16631,.T.); +#16652=ADVANCED_FACE('',(#16651),#16645,.T.); +#16659=EDGE_CURVE('',#9642,#9594,#4511,.T.); +#16662=EDGE_CURVE('',#9643,#9595,#4515,.T.); +#16666=ADVANCED_FACE('',(#16665),#16657,.T.); +#16678=ADVANCED_FACE('',(#16677),#16671,.T.); +#16685=EDGE_CURVE('',#9646,#9598,#4519,.T.); +#16688=EDGE_CURVE('',#9647,#9599,#4523,.T.); +#16692=ADVANCED_FACE('',(#16691),#16683,.T.); +#16704=ADVANCED_FACE('',(#16703),#16697,.T.); +#16711=EDGE_CURVE('',#9650,#9602,#4527,.T.); +#16714=EDGE_CURVE('',#9651,#9603,#4531,.T.); +#16718=ADVANCED_FACE('',(#16717),#16709,.T.); +#16730=ADVANCED_FACE('',(#16729),#16723,.T.); +#16737=EDGE_CURVE('',#9654,#9606,#4535,.T.); +#16740=EDGE_CURVE('',#9655,#9607,#4539,.T.); +#16744=ADVANCED_FACE('',(#16743),#16735,.T.); +#16756=ADVANCED_FACE('',(#16755),#16749,.T.); +#16763=EDGE_CURVE('',#9313,#9317,#4549,.T.); +#16765=EDGE_CURVE('',#9316,#9317,#4738,.T.); +#16767=EDGE_CURVE('',#9312,#9316,#4544,.T.); +#16771=ADVANCED_FACE('',(#16770),#16761,.T.); +#16777=EDGE_CURVE('',#9317,#8470,#4605,.T.); +#16781=EDGE_CURVE('',#9339,#9341,#4688,.T.); +#16783=EDGE_CURVE('',#8478,#9341,#4589,.T.); +#16785=EDGE_CURVE('',#8478,#8482,#4553,.T.); +#16787=EDGE_CURVE('',#8482,#8474,#4557,.T.); +#16789=EDGE_CURVE('',#8474,#8470,#4561,.T.); +#16793=ADVANCED_FACE('',(#16792),#16776,.T.); +#16799=EDGE_CURVE('',#8470,#8471,#4565,.T.); +#16801=EDGE_CURVE('',#8475,#8471,#4569,.T.); +#16803=EDGE_CURVE('',#8474,#8475,#4573,.T.); +#16806=EDGE_CURVE('',#8482,#8483,#4577,.T.); +#16808=EDGE_CURVE('',#8479,#8483,#4581,.T.); +#16810=EDGE_CURVE('',#8478,#8479,#4585,.T.); +#16813=EDGE_CURVE('',#9341,#8382,#4683,.T.); +#16815=EDGE_CURVE('',#8382,#8360,#4819,.T.); +#16817=EDGE_CURVE('',#8439,#8360,#7938,.T.); +#16819=EDGE_CURVE('',#8438,#8439,#5140,.T.); +#16821=EDGE_CURVE('',#9885,#8438,#7858,.T.); +#16823=EDGE_CURVE('',#9885,#9886,#4593,.T.); +#16825=EDGE_CURVE('',#8419,#9886,#7799,.T.); +#16827=EDGE_CURVE('',#8417,#8419,#5122,.T.); +#16829=EDGE_CURVE('',#8417,#8345,#4597,.T.); +#16831=EDGE_CURVE('',#8345,#8407,#4877,.T.); +#16833=EDGE_CURVE('',#9317,#8407,#4601,.T.); +#16838=ADVANCED_FACE('',(#16837),#16798,.T.); +#16844=EDGE_CURVE('',#8493,#8470,#4609,.T.); +#16846=EDGE_CURVE('',#8485,#8493,#4649,.T.); +#16848=EDGE_CURVE('',#8485,#8471,#4633,.T.); +#16853=ADVANCED_FACE('',(#16852),#16843,.F.); +#16861=EDGE_CURVE('',#8495,#8474,#4613,.T.); +#16863=EDGE_CURVE('',#8495,#8493,#4617,.T.); +#16867=ADVANCED_FACE('',(#16866),#16858,.T.); +#16873=EDGE_CURVE('',#8497,#8478,#4621,.T.); +#16875=EDGE_CURVE('',#8497,#8499,#4625,.T.); +#16877=EDGE_CURVE('',#8499,#8482,#4629,.T.); +#16882=ADVANCED_FACE('',(#16881),#16872,.T.); +#16890=EDGE_CURVE('',#8475,#8487,#4637,.T.); +#16892=EDGE_CURVE('',#8487,#8495,#4653,.T.); +#16896=ADVANCED_FACE('',(#16895),#16887,.F.); +#16903=EDGE_CURVE('',#8487,#8485,#4657,.T.); +#16909=ADVANCED_FACE('',(#16908),#16901,.T.); +#16915=EDGE_CURVE('',#8479,#8489,#4641,.T.); +#16918=EDGE_CURVE('',#8491,#8483,#4645,.T.); +#16920=EDGE_CURVE('',#8489,#8491,#4665,.T.); +#16924=ADVANCED_FACE('',(#16923),#16914,.T.); +#16936=ADVANCED_FACE('',(#16935),#16929,.T.); +#16942=EDGE_CURVE('',#8489,#8497,#4661,.T.); +#16945=EDGE_CURVE('',#8491,#8499,#4669,.T.); +#16950=ADVANCED_FACE('',(#16949),#16941,.T.); +#16962=ADVANCED_FACE('',(#16961),#16955,.F.); +#16974=ADVANCED_FACE('',(#16973),#16967,.F.); +#16980=EDGE_CURVE('',#9341,#9337,#4674,.T.); +#16982=EDGE_CURVE('',#9337,#8383,#5001,.T.); +#16984=EDGE_CURVE('',#8382,#8383,#4679,.T.); +#16989=ADVANCED_FACE('',(#16988),#16979,.T.); +#16996=EDGE_CURVE('',#9335,#9337,#4693,.T.); +#17002=ADVANCED_FACE('',(#17001),#16994,.T.); +#17009=EDGE_CURVE('',#9331,#9333,#4698,.T.); +#17011=EDGE_CURVE('',#9337,#9333,#4981,.T.); +#17016=ADVANCED_FACE('',(#17015),#17007,.T.); +#17023=EDGE_CURVE('',#9327,#9329,#4703,.T.); +#17025=EDGE_CURVE('',#9333,#9329,#5006,.T.); +#17030=ADVANCED_FACE('',(#17029),#17021,.T.); +#17037=EDGE_CURVE('',#9323,#9325,#4708,.T.); +#17039=EDGE_CURVE('',#9329,#9325,#5028,.T.); +#17044=ADVANCED_FACE('',(#17043),#17035,.T.); +#17051=EDGE_CURVE('',#9319,#9321,#4713,.T.); +#17053=EDGE_CURVE('',#9325,#9321,#5041,.T.); +#17058=ADVANCED_FACE('',(#17057),#17049,.T.); +#17066=EDGE_CURVE('',#9321,#9316,#4717,.T.); +#17071=ADVANCED_FACE('',(#17070),#17063,.T.); +#17078=EDGE_CURVE('',#9316,#8406,#4747,.T.); +#17080=EDGE_CURVE('',#8406,#8347,#4905,.T.); +#17082=EDGE_CURVE('',#8465,#8347,#7787,.T.); +#17084=EDGE_CURVE('',#9887,#8465,#7811,.T.); +#17086=EDGE_CURVE('',#9887,#8403,#4721,.T.); +#17088=EDGE_CURVE('',#8403,#8401,#4725,.T.); +#17090=EDGE_CURVE('',#8397,#8401,#4729,.T.); +#17092=EDGE_CURVE('',#9321,#8397,#4733,.T.); +#17096=ADVANCED_FACE('',(#17095),#17076,.T.); +#17104=EDGE_CURVE('',#8406,#8407,#4743,.T.); +#17109=ADVANCED_FACE('',(#17108),#17101,.T.); +#17115=EDGE_CURVE('',#8369,#8383,#4791,.T.); +#17117=EDGE_CURVE('',#8367,#8369,#4796,.T.); +#17119=EDGE_CURVE('',#8365,#8367,#4801,.T.); +#17121=EDGE_CURVE('',#8363,#8365,#4805,.T.); +#17123=EDGE_CURVE('',#8361,#8363,#4810,.T.); +#17125=EDGE_CURVE('',#8360,#8361,#4815,.T.); +#17131=EDGE_CURVE('',#8330,#8331,#4772,.T.); +#17133=EDGE_CURVE('',#8331,#8330,#4777,.T.); +#17137=ADVANCED_FACE('',(#17130,#17136),#17114,.T.); +#17143=EDGE_CURVE('',#8377,#8391,#4823,.T.); +#17145=EDGE_CURVE('',#8375,#8377,#4828,.T.); +#17147=EDGE_CURVE('',#8373,#8375,#4832,.T.); +#17149=EDGE_CURVE('',#8372,#8373,#4837,.T.); +#17151=EDGE_CURVE('',#8379,#8372,#4841,.T.); +#17153=EDGE_CURVE('',#8386,#8379,#4846,.T.); +#17155=EDGE_CURVE('',#8386,#8387,#4985,.T.); +#17157=EDGE_CURVE('',#8387,#8391,#5020,.T.); +#17161=EDGE_CURVE('',#8334,#8335,#4782,.T.); +#17163=EDGE_CURVE('',#8335,#8334,#4787,.T.); +#17167=ADVANCED_FACE('',(#17160,#17166),#17142,.T.); +#17173=EDGE_CURVE('',#8351,#8401,#4851,.T.); +#17175=EDGE_CURVE('',#8350,#8351,#4855,.T.); +#17177=EDGE_CURVE('',#8357,#8350,#4860,.T.); +#17179=EDGE_CURVE('',#8355,#8357,#4864,.T.); +#17181=EDGE_CURVE('',#8354,#8355,#4869,.T.); +#17183=EDGE_CURVE('',#8396,#8354,#4873,.T.); +#17185=EDGE_CURVE('',#8396,#8397,#5046,.T.); +#17190=EDGE_CURVE('',#8326,#8327,#4762,.T.); +#17192=EDGE_CURVE('',#8327,#8326,#4767,.T.); +#17196=ADVANCED_FACE('',(#17189,#17195),#17172,.T.); +#17203=EDGE_CURVE('',#8343,#8345,#4882,.T.); +#17205=EDGE_CURVE('',#8341,#8343,#4887,.T.); +#17207=EDGE_CURVE('',#8339,#8341,#4891,.T.); +#17209=EDGE_CURVE('',#8338,#8339,#4896,.T.); +#17211=EDGE_CURVE('',#8347,#8338,#4901,.T.); +#17217=EDGE_CURVE('',#8322,#8323,#4752,.T.); +#17219=EDGE_CURVE('',#8323,#8322,#4757,.T.); +#17223=ADVANCED_FACE('',(#17216,#17222),#17201,.T.); +#17229=EDGE_CURVE('',#9866,#8323,#4913,.T.); +#17231=EDGE_CURVE('',#9866,#9867,#4938,.T.); +#17233=EDGE_CURVE('',#8322,#9867,#4909,.T.); +#17238=ADVANCED_FACE('',(#17237),#17228,.F.); +#17245=EDGE_CURVE('',#9867,#9866,#4943,.T.); +#17251=ADVANCED_FACE('',(#17250),#17243,.F.); +#17257=EDGE_CURVE('',#9858,#9859,#4918,.T.); +#17259=EDGE_CURVE('',#9859,#9858,#4923,.T.); +#17263=ADVANCED_FACE('',(#17262),#17256,.T.); +#17269=EDGE_CURVE('',#9862,#9863,#4928,.T.); +#17271=EDGE_CURVE('',#9863,#9862,#4933,.T.); +#17275=ADVANCED_FACE('',(#17274),#17268,.T.); +#17285=ADVANCED_FACE('',(#17284),#17280,.T.); +#17291=EDGE_CURVE('',#9870,#9871,#4948,.T.); +#17293=EDGE_CURVE('',#9871,#9870,#4953,.T.); +#17297=ADVANCED_FACE('',(#17296),#17290,.T.); +#17303=EDGE_CURVE('',#9858,#8331,#4961,.T.); +#17306=EDGE_CURVE('',#8330,#9859,#4957,.T.); +#17311=ADVANCED_FACE('',(#17310),#17302,.F.); +#17323=ADVANCED_FACE('',(#17322),#17316,.F.); +#17329=EDGE_CURVE('',#9862,#8335,#4969,.T.); +#17332=EDGE_CURVE('',#8334,#9863,#4965,.T.); +#17337=ADVANCED_FACE('',(#17336),#17328,.F.); +#17349=ADVANCED_FACE('',(#17348),#17342,.F.); +#17355=EDGE_CURVE('',#9870,#8327,#4977,.T.); +#17358=EDGE_CURVE('',#8326,#9871,#4973,.T.); +#17363=ADVANCED_FACE('',(#17362),#17354,.F.); +#17375=ADVANCED_FACE('',(#17374),#17368,.F.); +#17382=EDGE_CURVE('',#9333,#8387,#5024,.T.); +#17385=EDGE_CURVE('',#8389,#8386,#4989,.T.); +#17387=EDGE_CURVE('',#8389,#9884,#4993,.T.); +#17389=EDGE_CURVE('',#8467,#9884,#7832,.T.); +#17391=EDGE_CURVE('',#8467,#8369,#4997,.T.); +#17397=ADVANCED_FACE('',(#17396),#17380,.T.); +#17404=EDGE_CURVE('',#9329,#9875,#5036,.T.); +#17406=EDGE_CURVE('',#9875,#8393,#5011,.T.); +#17408=EDGE_CURVE('',#8393,#8391,#5015,.T.); +#17414=ADVANCED_FACE('',(#17413),#17402,.T.); +#17421=EDGE_CURVE('',#9325,#9874,#5059,.T.); +#17423=EDGE_CURVE('',#9874,#9875,#5032,.T.); +#17428=ADVANCED_FACE('',(#17427),#17419,.T.); +#17437=EDGE_CURVE('',#8399,#8396,#5050,.T.); +#17439=EDGE_CURVE('',#8399,#9874,#5055,.T.); +#17444=ADVANCED_FACE('',(#17443),#17433,.T.); +#17452=EDGE_CURVE('',#8431,#8354,#5063,.T.); +#17454=EDGE_CURVE('',#8430,#8431,#5218,.T.); +#17456=EDGE_CURVE('',#8399,#8430,#7918,.T.); +#17460=ADVANCED_FACE('',(#17459),#17449,.T.); +#17466=EDGE_CURVE('',#8431,#8433,#5223,.T.); +#17470=EDGE_CURVE('',#8433,#8355,#7971,.T.); +#17474=ADVANCED_FACE('',(#17473),#17465,.T.); +#17480=EDGE_CURVE('',#8274,#8275,#5068,.T.); +#17482=EDGE_CURVE('',#8287,#8274,#5072,.T.); +#17484=EDGE_CURVE('',#8285,#8287,#5077,.T.); +#17486=EDGE_CURVE('',#8283,#8285,#5081,.T.); +#17488=EDGE_CURVE('',#8281,#8283,#5086,.T.); +#17490=EDGE_CURVE('',#8279,#8281,#5090,.T.); +#17492=EDGE_CURVE('',#8277,#8279,#5095,.T.); +#17494=EDGE_CURVE('',#8275,#8277,#5099,.T.); +#17498=EDGE_CURVE('',#8660,#8661,#5296,.T.); +#17500=EDGE_CURVE('',#8661,#8663,#5300,.T.); +#17502=EDGE_CURVE('',#8663,#8665,#5305,.T.); +#17504=EDGE_CURVE('',#8665,#8667,#5309,.T.); +#17506=EDGE_CURVE('',#8667,#8669,#5314,.T.); +#17508=EDGE_CURVE('',#8669,#8671,#5318,.T.); +#17510=EDGE_CURVE('',#8671,#8673,#5323,.T.); +#17512=EDGE_CURVE('',#8673,#8660,#5327,.T.); +#17516=ADVANCED_FACE('',(#17497,#17515),#17479,.T.); +#17522=EDGE_CURVE('',#8578,#8579,#5260,.T.); +#17524=EDGE_CURVE('',#8579,#8591,#5264,.T.); +#17526=EDGE_CURVE('',#8591,#8589,#5269,.T.); +#17528=EDGE_CURVE('',#8589,#8587,#5273,.T.); +#17530=EDGE_CURVE('',#8587,#8585,#5278,.T.); +#17532=EDGE_CURVE('',#8585,#8583,#5282,.T.); +#17534=EDGE_CURVE('',#8583,#8581,#5287,.T.); +#17536=EDGE_CURVE('',#8581,#8578,#5291,.T.); +#17540=EDGE_CURVE('',#8410,#8411,#5104,.T.); +#17542=EDGE_CURVE('',#8411,#8413,#5108,.T.); +#17544=EDGE_CURVE('',#8413,#8415,#5113,.T.); +#17546=EDGE_CURVE('',#8417,#8415,#5118,.T.); +#17549=EDGE_CURVE('',#9883,#8419,#5127,.T.); +#17551=EDGE_CURVE('',#9882,#9883,#5131,.T.); +#17553=EDGE_CURVE('',#8438,#9882,#5136,.T.); +#17556=EDGE_CURVE('',#8441,#8439,#5145,.T.); +#17558=EDGE_CURVE('',#8441,#8443,#5150,.T.); +#17560=EDGE_CURVE('',#8443,#8445,#5154,.T.); +#17562=EDGE_CURVE('',#8445,#8447,#5159,.T.); +#17564=EDGE_CURVE('',#8449,#8447,#5164,.T.); +#17566=EDGE_CURVE('',#9891,#8449,#5169,.T.); +#17568=EDGE_CURVE('',#8463,#9891,#5173,.T.); +#17570=EDGE_CURVE('',#8462,#8463,#5178,.T.); +#17572=EDGE_CURVE('',#8462,#8452,#5182,.T.); +#17574=EDGE_CURVE('',#8452,#8453,#5187,.T.); +#17576=EDGE_CURVE('',#8453,#8455,#5191,.T.); +#17578=EDGE_CURVE('',#8455,#8457,#5196,.T.); +#17580=EDGE_CURVE('',#8457,#8459,#5200,.T.); +#17582=EDGE_CURVE('',#9879,#8459,#5205,.T.); +#17584=EDGE_CURVE('',#9878,#9879,#5209,.T.); +#17586=EDGE_CURVE('',#8430,#9878,#5214,.T.); +#17590=EDGE_CURVE('',#8433,#8435,#5227,.T.); +#17592=EDGE_CURVE('',#8435,#8424,#5232,.T.); +#17594=EDGE_CURVE('',#8424,#8425,#5236,.T.); +#17596=EDGE_CURVE('',#8427,#8425,#5241,.T.); +#17598=EDGE_CURVE('',#9889,#8427,#5245,.T.); +#17600=EDGE_CURVE('',#8421,#9889,#5250,.T.); +#17602=EDGE_CURVE('',#8410,#8421,#5255,.T.); +#17606=ADVANCED_FACE('',(#17539,#17605),#17521,.T.); +#17612=EDGE_CURVE('',#9397,#9393,#5332,.T.); +#17614=EDGE_CURVE('',#8274,#9393,#5336,.T.); +#17617=EDGE_CURVE('',#8275,#8605,#5340,.T.); +#17619=EDGE_CURVE('',#8605,#8607,#7405,.T.); +#17621=EDGE_CURVE('',#9397,#8607,#7743,.T.); +#17625=ADVANCED_FACE('',(#17624),#17611,.T.); +#17631=EDGE_CURVE('',#9395,#9391,#5411,.T.); +#17633=EDGE_CURVE('',#9391,#9393,#7552,.T.); +#17636=EDGE_CURVE('',#9397,#9395,#5349,.T.); +#17640=ADVANCED_FACE('',(#17639),#17630,.T.); +#17646=EDGE_CURVE('',#9348,#9349,#5369,.T.); +#17648=EDGE_CURVE('',#9348,#9461,#5373,.T.); +#17650=EDGE_CURVE('',#8615,#9461,#5378,.T.); +#17652=EDGE_CURVE('',#8613,#8615,#5383,.T.); +#17654=EDGE_CURVE('',#8612,#8613,#5388,.T.); +#17656=EDGE_CURVE('',#9463,#8612,#5393,.T.); +#17658=EDGE_CURVE('',#9463,#9389,#5397,.T.); +#17660=EDGE_CURVE('',#9388,#9389,#5402,.T.); +#17662=EDGE_CURVE('',#9391,#9388,#5406,.T.); +#17665=EDGE_CURVE('',#9395,#9437,#5415,.T.); +#17667=EDGE_CURVE('',#8619,#9437,#5420,.T.); +#17669=EDGE_CURVE('',#8618,#8619,#5425,.T.); +#17671=EDGE_CURVE('',#8621,#8618,#5430,.T.); +#17673=EDGE_CURVE('',#9435,#8621,#5435,.T.); +#17675=EDGE_CURVE('',#9381,#9435,#5439,.T.); +#17677=EDGE_CURVE('',#9380,#9381,#5443,.T.); +#17679=EDGE_CURVE('',#9380,#9433,#5447,.T.); +#17681=EDGE_CURVE('',#8625,#9433,#5452,.T.); +#17683=EDGE_CURVE('',#8624,#8625,#5457,.T.); +#17685=EDGE_CURVE('',#8627,#8624,#5462,.T.); +#17687=EDGE_CURVE('',#9431,#8627,#5467,.T.); +#17689=EDGE_CURVE('',#9357,#9431,#5471,.T.); +#17691=EDGE_CURVE('',#9356,#9357,#5475,.T.); +#17693=EDGE_CURVE('',#9359,#9356,#5480,.T.); +#17695=EDGE_CURVE('',#9363,#9359,#5484,.T.); +#17697=EDGE_CURVE('',#9367,#9363,#5489,.T.); +#17699=EDGE_CURVE('',#9371,#9367,#5493,.T.); +#17701=EDGE_CURVE('',#9371,#9457,#5497,.T.); +#17703=EDGE_CURVE('',#8633,#9457,#5502,.T.); +#17705=EDGE_CURVE('',#8631,#8633,#5507,.T.); +#17707=EDGE_CURVE('',#8630,#8631,#5512,.T.); +#17709=EDGE_CURVE('',#9459,#8630,#5517,.T.); +#17711=EDGE_CURVE('',#9349,#9459,#5521,.T.); +#17715=EDGE_CURVE('',#8314,#8315,#5353,.T.); +#17717=EDGE_CURVE('',#8319,#8314,#5357,.T.); +#17719=EDGE_CURVE('',#8317,#8319,#5361,.T.); +#17721=EDGE_CURVE('',#8315,#8317,#5365,.T.); +#17725=ADVANCED_FACE('',(#17714,#17724),#17645,.F.); +#17731=EDGE_CURVE('',#8789,#8698,#5525,.T.); +#17733=EDGE_CURVE('',#8742,#8698,#6527,.T.); +#17735=EDGE_CURVE('',#8311,#8742,#6177,.T.); +#17737=EDGE_CURVE('',#8314,#8311,#5529,.T.); +#17740=EDGE_CURVE('',#8315,#8306,#6519,.T.); +#17742=EDGE_CURVE('',#8763,#8306,#6239,.T.); +#17744=EDGE_CURVE('',#8763,#8789,#5533,.T.); +#17748=ADVANCED_FACE('',(#17747),#17730,.T.); +#17754=EDGE_CURVE('',#8676,#8677,#5538,.T.); +#17756=EDGE_CURVE('',#8717,#8676,#5542,.T.); +#17758=EDGE_CURVE('',#8715,#8717,#5547,.T.); +#17760=EDGE_CURVE('',#8713,#8715,#5551,.T.); +#17762=EDGE_CURVE('',#8711,#8713,#5556,.T.); +#17764=EDGE_CURVE('',#8710,#8711,#5560,.T.); +#17766=EDGE_CURVE('',#9535,#8710,#5565,.T.); +#17768=EDGE_CURVE('',#8707,#9535,#5569,.T.); +#17770=EDGE_CURVE('',#8705,#8707,#5574,.T.); +#17772=EDGE_CURVE('',#8704,#8705,#5578,.T.); +#17774=EDGE_CURVE('',#9533,#8704,#5583,.T.); +#17776=EDGE_CURVE('',#8701,#9533,#5587,.T.); +#17778=EDGE_CURVE('',#8699,#8701,#5592,.T.); +#17780=EDGE_CURVE('',#8698,#8699,#5596,.T.); +#17783=EDGE_CURVE('',#8787,#8789,#5600,.T.); +#17785=EDGE_CURVE('',#8786,#8787,#5605,.T.); +#17787=EDGE_CURVE('',#9539,#8786,#5609,.T.); +#17789=EDGE_CURVE('',#8783,#9539,#5614,.T.); +#17791=EDGE_CURVE('',#8781,#8783,#5618,.T.); +#17793=EDGE_CURVE('',#8780,#8781,#5623,.T.); +#17795=EDGE_CURVE('',#9537,#8780,#5627,.T.); +#17797=EDGE_CURVE('',#8777,#9537,#5632,.T.); +#17799=EDGE_CURVE('',#8775,#8777,#5636,.T.); +#17801=EDGE_CURVE('',#8773,#8775,#5641,.T.); +#17803=EDGE_CURVE('',#8771,#8773,#5645,.T.); +#17805=EDGE_CURVE('',#8769,#8771,#5650,.T.); +#17807=EDGE_CURVE('',#8768,#8769,#5654,.T.); +#17809=EDGE_CURVE('',#8809,#8768,#5659,.T.); +#17811=EDGE_CURVE('',#8807,#8809,#5663,.T.); +#17813=EDGE_CURVE('',#8805,#8807,#5668,.T.); +#17815=EDGE_CURVE('',#8804,#8805,#5672,.T.); +#17817=EDGE_CURVE('',#9543,#8804,#5677,.T.); +#17819=EDGE_CURVE('',#8801,#9543,#5681,.T.); +#17821=EDGE_CURVE('',#8799,#8801,#5686,.T.); +#17823=EDGE_CURVE('',#8798,#8799,#5690,.T.); +#17825=EDGE_CURVE('',#9541,#8798,#5695,.T.); +#17827=EDGE_CURVE('',#8795,#9541,#5699,.T.); +#17829=EDGE_CURVE('',#8793,#8795,#5704,.T.); +#17831=EDGE_CURVE('',#8792,#8793,#5708,.T.); +#17833=EDGE_CURVE('',#8695,#8792,#6407,.T.); +#17835=EDGE_CURVE('',#8693,#8695,#5712,.T.); +#17837=EDGE_CURVE('',#8692,#8693,#5717,.T.); +#17839=EDGE_CURVE('',#9531,#8692,#5721,.T.); +#17841=EDGE_CURVE('',#8689,#9531,#5726,.T.); +#17843=EDGE_CURVE('',#8687,#8689,#5730,.T.); +#17845=EDGE_CURVE('',#8686,#8687,#5735,.T.); +#17847=EDGE_CURVE('',#9529,#8686,#5739,.T.); +#17849=EDGE_CURVE('',#8683,#9529,#5744,.T.); +#17851=EDGE_CURVE('',#8681,#8683,#5748,.T.); +#17853=EDGE_CURVE('',#8679,#8681,#5753,.T.); +#17855=EDGE_CURVE('',#8677,#8679,#5757,.T.); +#17859=EDGE_CURVE('',#9220,#9221,#5762,.T.); +#17861=EDGE_CURVE('',#9221,#9220,#5767,.T.); +#17865=EDGE_CURVE('',#9224,#9225,#5772,.T.); +#17867=EDGE_CURVE('',#9225,#9224,#5777,.T.); +#17871=EDGE_CURVE('',#9228,#9229,#5782,.T.); +#17873=EDGE_CURVE('',#9229,#9228,#5787,.T.); +#17877=EDGE_CURVE('',#9232,#9233,#5792,.T.); +#17879=EDGE_CURVE('',#9233,#9232,#5797,.T.); +#17883=EDGE_CURVE('',#9236,#9237,#5802,.T.); +#17885=EDGE_CURVE('',#9237,#9236,#5807,.T.); +#17889=EDGE_CURVE('',#9240,#9241,#5812,.T.); +#17891=EDGE_CURVE('',#9241,#9240,#5817,.T.); +#17895=EDGE_CURVE('',#9244,#9245,#5822,.T.); +#17897=EDGE_CURVE('',#9245,#9244,#5827,.T.); +#17901=EDGE_CURVE('',#9248,#9249,#5832,.T.); +#17903=EDGE_CURVE('',#9249,#9248,#5837,.T.); +#17907=EDGE_CURVE('',#9252,#9253,#5842,.T.); +#17909=EDGE_CURVE('',#9253,#9252,#5847,.T.); +#17913=EDGE_CURVE('',#9256,#9257,#5852,.T.); +#17915=EDGE_CURVE('',#9257,#9256,#5857,.T.); +#17919=EDGE_CURVE('',#9260,#9261,#5862,.T.); +#17921=EDGE_CURVE('',#9261,#9260,#5867,.T.); +#17925=EDGE_CURVE('',#9264,#9265,#5872,.T.); +#17927=EDGE_CURVE('',#9265,#9264,#5877,.T.); +#17931=EDGE_CURVE('',#9268,#9269,#5882,.T.); +#17933=EDGE_CURVE('',#9269,#9268,#5887,.T.); +#17937=EDGE_CURVE('',#9272,#9273,#5892,.T.); +#17939=EDGE_CURVE('',#9273,#9272,#5897,.T.); +#17943=EDGE_CURVE('',#9276,#9277,#5902,.T.); +#17945=EDGE_CURVE('',#9277,#9276,#5907,.T.); +#17949=EDGE_CURVE('',#9280,#9281,#5912,.T.); +#17951=EDGE_CURVE('',#9281,#9280,#5917,.T.); +#17955=EDGE_CURVE('',#9284,#9285,#5922,.T.); +#17957=EDGE_CURVE('',#9285,#9284,#5927,.T.); +#17961=EDGE_CURVE('',#9288,#9289,#5932,.T.); +#17963=EDGE_CURVE('',#9289,#9288,#5937,.T.); +#17967=EDGE_CURVE('',#9292,#9293,#5942,.T.); +#17969=EDGE_CURVE('',#9293,#9292,#5947,.T.); +#17973=EDGE_CURVE('',#9296,#9297,#5952,.T.); +#17975=EDGE_CURVE('',#9297,#9296,#5957,.T.); +#17979=EDGE_CURVE('',#9300,#9301,#5962,.T.); +#17981=EDGE_CURVE('',#9301,#9300,#5967,.T.); +#17985=EDGE_CURVE('',#9304,#9305,#5972,.T.); +#17987=EDGE_CURVE('',#9305,#9304,#5977,.T.); +#17991=EDGE_CURVE('',#9308,#9309,#5982,.T.); +#17993=EDGE_CURVE('',#9309,#9308,#5987,.T.); +#17997=EDGE_CURVE('',#9706,#9707,#5992,.T.); +#17999=EDGE_CURVE('',#9707,#9706,#5997,.T.); +#18003=EDGE_CURVE('',#9710,#9711,#6002,.T.); +#18005=EDGE_CURVE('',#9711,#9710,#6007,.T.); +#18009=EDGE_CURVE('',#9714,#9715,#6012,.T.); +#18011=EDGE_CURVE('',#9715,#9714,#6017,.T.); +#18015=EDGE_CURVE('',#9718,#9719,#6022,.T.); +#18017=EDGE_CURVE('',#9719,#9718,#6027,.T.); +#18021=EDGE_CURVE('',#9722,#9723,#6032,.T.); +#18023=EDGE_CURVE('',#9723,#9722,#6037,.T.); +#18027=EDGE_CURVE('',#9726,#9727,#6042,.T.); +#18029=EDGE_CURVE('',#9727,#9726,#6047,.T.); +#18033=EDGE_CURVE('',#9730,#9731,#6052,.T.); +#18035=EDGE_CURVE('',#9731,#9730,#6057,.T.); +#18039=EDGE_CURVE('',#9734,#9735,#6062,.T.); +#18041=EDGE_CURVE('',#9735,#9734,#6067,.T.); +#18045=EDGE_CURVE('',#9738,#9739,#6072,.T.); +#18047=EDGE_CURVE('',#9739,#9738,#6077,.T.); +#18051=EDGE_CURVE('',#9742,#9743,#6082,.T.); +#18053=EDGE_CURVE('',#9743,#9742,#6087,.T.); +#18057=EDGE_CURVE('',#9746,#9747,#6092,.T.); +#18059=EDGE_CURVE('',#9747,#9746,#6097,.T.); +#18063=EDGE_CURVE('',#9750,#9751,#6102,.T.); +#18065=EDGE_CURVE('',#9751,#9750,#6107,.T.); +#18069=ADVANCED_FACE('',(#17858,#17864,#17870,#17876,#17882,#17888,#17894, +#17900,#17906,#17912,#17918,#17924,#17930,#17936,#17942,#17948,#17954,#17960, +#17966,#17972,#17978,#17984,#17990,#17996,#18002,#18008,#18014,#18020,#18026, +#18032,#18038,#18044,#18050,#18056,#18062,#18068),#17753,.T.); +#18075=EDGE_CURVE('',#8720,#8721,#6116,.T.); +#18077=EDGE_CURVE('',#8720,#8676,#6111,.T.); +#18080=EDGE_CURVE('',#8721,#8677,#6363,.T.); +#18084=ADVANCED_FACE('',(#18083),#18074,.T.); +#18091=EDGE_CURVE('',#8721,#8723,#6120,.T.); +#18093=EDGE_CURVE('',#8723,#8725,#6125,.T.); +#18095=EDGE_CURVE('',#8725,#8727,#6129,.T.); +#18097=EDGE_CURVE('',#8727,#9545,#6134,.T.); +#18099=EDGE_CURVE('',#9545,#8730,#6138,.T.); +#18101=EDGE_CURVE('',#8730,#8731,#6143,.T.); +#18103=EDGE_CURVE('',#8731,#8733,#6147,.T.); +#18105=EDGE_CURVE('',#8733,#9547,#6152,.T.); +#18107=EDGE_CURVE('',#9547,#8736,#6156,.T.); +#18109=EDGE_CURVE('',#8736,#8737,#6161,.T.); +#18111=EDGE_CURVE('',#8739,#8737,#6165,.T.); +#18113=EDGE_CURVE('',#8739,#8310,#6169,.T.); +#18115=EDGE_CURVE('',#8310,#8311,#6173,.T.); +#18118=EDGE_CURVE('',#8742,#8743,#6181,.T.); +#18120=EDGE_CURVE('',#8743,#8745,#6186,.T.); +#18122=EDGE_CURVE('',#8745,#9549,#6190,.T.); +#18124=EDGE_CURVE('',#9549,#8748,#6195,.T.); +#18126=EDGE_CURVE('',#8748,#8749,#6199,.T.); +#18128=EDGE_CURVE('',#8749,#8751,#6204,.T.); +#18130=EDGE_CURVE('',#8751,#9551,#6208,.T.); +#18132=EDGE_CURVE('',#9551,#8754,#6213,.T.); +#18134=EDGE_CURVE('',#8754,#8755,#6217,.T.); +#18136=EDGE_CURVE('',#8755,#8757,#6222,.T.); +#18138=EDGE_CURVE('',#8757,#8759,#6226,.T.); +#18140=EDGE_CURVE('',#8759,#8761,#6231,.T.); +#18142=EDGE_CURVE('',#8761,#8720,#6235,.T.); +#18146=ADVANCED_FACE('',(#18145),#18089,.F.); +#18153=EDGE_CURVE('',#8306,#8307,#6243,.T.); +#18155=EDGE_CURVE('',#8307,#8765,#6247,.T.); +#18157=EDGE_CURVE('',#8765,#8833,#6251,.T.); +#18159=EDGE_CURVE('',#8833,#8835,#6256,.T.); +#18161=EDGE_CURVE('',#8835,#9557,#6260,.T.); +#18163=EDGE_CURVE('',#9557,#8838,#6265,.T.); +#18165=EDGE_CURVE('',#8838,#8839,#6269,.T.); +#18167=EDGE_CURVE('',#8839,#8841,#6274,.T.); +#18169=EDGE_CURVE('',#8841,#9559,#6278,.T.); +#18171=EDGE_CURVE('',#9559,#8844,#6283,.T.); +#18173=EDGE_CURVE('',#8844,#8845,#6287,.T.); +#18175=EDGE_CURVE('',#8845,#8847,#6292,.T.); +#18177=EDGE_CURVE('',#8847,#8849,#6296,.T.); +#18179=EDGE_CURVE('',#8849,#8812,#6301,.T.); +#18181=EDGE_CURVE('',#8812,#8813,#6305,.T.); +#18183=EDGE_CURVE('',#8813,#8815,#6310,.T.); +#18185=EDGE_CURVE('',#8815,#8817,#6314,.T.); +#18187=EDGE_CURVE('',#8817,#8819,#6319,.T.); +#18189=EDGE_CURVE('',#8819,#8821,#6323,.T.); +#18191=EDGE_CURVE('',#8821,#9553,#6328,.T.); +#18193=EDGE_CURVE('',#9553,#8824,#6332,.T.); +#18195=EDGE_CURVE('',#8824,#8825,#6337,.T.); +#18197=EDGE_CURVE('',#8825,#8827,#6341,.T.); +#18199=EDGE_CURVE('',#8827,#9555,#6346,.T.); +#18201=EDGE_CURVE('',#9555,#8830,#6350,.T.); +#18203=EDGE_CURVE('',#8830,#8831,#6355,.T.); +#18205=EDGE_CURVE('',#8763,#8831,#6359,.T.); +#18209=ADVANCED_FACE('',(#18208),#18151,.F.); +#18218=EDGE_CURVE('',#8723,#8679,#6367,.T.); +#18222=ADVANCED_FACE('',(#18221),#18214,.T.); +#18231=EDGE_CURVE('',#8725,#8681,#6371,.T.); +#18235=ADVANCED_FACE('',(#18234),#18227,.T.); +#18244=EDGE_CURVE('',#8727,#8683,#6375,.T.); +#18248=ADVANCED_FACE('',(#18247),#18240,.T.); +#18257=EDGE_CURVE('',#9545,#9529,#6379,.T.); +#18261=ADVANCED_FACE('',(#18260),#18253,.T.); +#18270=EDGE_CURVE('',#8730,#8686,#6383,.T.); +#18274=ADVANCED_FACE('',(#18273),#18266,.T.); +#18283=EDGE_CURVE('',#8731,#8687,#6387,.T.); +#18287=ADVANCED_FACE('',(#18286),#18279,.T.); +#18296=EDGE_CURVE('',#8733,#8689,#6391,.T.); +#18300=ADVANCED_FACE('',(#18299),#18292,.T.); +#18309=EDGE_CURVE('',#9547,#9531,#6395,.T.); +#18313=ADVANCED_FACE('',(#18312),#18305,.T.); +#18322=EDGE_CURVE('',#8736,#8692,#6399,.T.); +#18326=ADVANCED_FACE('',(#18325),#18318,.T.); +#18335=EDGE_CURVE('',#8737,#8693,#6403,.T.); +#18339=ADVANCED_FACE('',(#18338),#18331,.T.); +#18348=EDGE_CURVE('',#8739,#8695,#6415,.T.); +#18352=ADVANCED_FACE('',(#18351),#18344,.T.); +#18359=EDGE_CURVE('',#8765,#8792,#6419,.T.); +#18362=EDGE_CURVE('',#8317,#8307,#6411,.T.); +#18365=EDGE_CURVE('',#8319,#8310,#6523,.T.); +#18371=ADVANCED_FACE('',(#18370),#18357,.T.); +#18380=EDGE_CURVE('',#8833,#8793,#6423,.T.); +#18384=ADVANCED_FACE('',(#18383),#18376,.T.); +#18393=EDGE_CURVE('',#8835,#8795,#6427,.T.); +#18397=ADVANCED_FACE('',(#18396),#18389,.T.); +#18406=EDGE_CURVE('',#9557,#9541,#6431,.T.); +#18410=ADVANCED_FACE('',(#18409),#18402,.T.); +#18419=EDGE_CURVE('',#8838,#8798,#6435,.T.); +#18423=ADVANCED_FACE('',(#18422),#18415,.T.); +#18432=EDGE_CURVE('',#8839,#8799,#6439,.T.); +#18436=ADVANCED_FACE('',(#18435),#18428,.T.); +#18445=EDGE_CURVE('',#8841,#8801,#6443,.T.); +#18449=ADVANCED_FACE('',(#18448),#18441,.T.); +#18458=EDGE_CURVE('',#9559,#9543,#6447,.T.); +#18462=ADVANCED_FACE('',(#18461),#18454,.T.); +#18471=EDGE_CURVE('',#8844,#8804,#6451,.T.); +#18475=ADVANCED_FACE('',(#18474),#18467,.T.); +#18484=EDGE_CURVE('',#8845,#8805,#6455,.T.); +#18488=ADVANCED_FACE('',(#18487),#18480,.T.); +#18497=EDGE_CURVE('',#8847,#8807,#6459,.T.); +#18501=ADVANCED_FACE('',(#18500),#18493,.T.); +#18510=EDGE_CURVE('',#8849,#8809,#6463,.T.); +#18514=ADVANCED_FACE('',(#18513),#18506,.T.); +#18523=EDGE_CURVE('',#8812,#8768,#6467,.T.); +#18527=ADVANCED_FACE('',(#18526),#18519,.T.); +#18536=EDGE_CURVE('',#8813,#8769,#6471,.T.); +#18540=ADVANCED_FACE('',(#18539),#18532,.T.); +#18549=EDGE_CURVE('',#8815,#8771,#6475,.T.); +#18553=ADVANCED_FACE('',(#18552),#18545,.T.); +#18562=EDGE_CURVE('',#8817,#8773,#6479,.T.); +#18566=ADVANCED_FACE('',(#18565),#18558,.T.); +#18575=EDGE_CURVE('',#8819,#8775,#6483,.T.); +#18579=ADVANCED_FACE('',(#18578),#18571,.T.); +#18588=EDGE_CURVE('',#8821,#8777,#6487,.T.); +#18592=ADVANCED_FACE('',(#18591),#18584,.T.); +#18601=EDGE_CURVE('',#9553,#9537,#6491,.T.); +#18605=ADVANCED_FACE('',(#18604),#18597,.T.); +#18614=EDGE_CURVE('',#8824,#8780,#6495,.T.); +#18618=ADVANCED_FACE('',(#18617),#18610,.T.); +#18627=EDGE_CURVE('',#8825,#8781,#6499,.T.); +#18631=ADVANCED_FACE('',(#18630),#18623,.T.); +#18640=EDGE_CURVE('',#8827,#8783,#6503,.T.); +#18644=ADVANCED_FACE('',(#18643),#18636,.T.); +#18653=EDGE_CURVE('',#9555,#9539,#6507,.T.); +#18657=ADVANCED_FACE('',(#18656),#18649,.T.); +#18666=EDGE_CURVE('',#8830,#8786,#6511,.T.); +#18670=ADVANCED_FACE('',(#18669),#18662,.T.); +#18679=EDGE_CURVE('',#8831,#8787,#6515,.T.); +#18683=ADVANCED_FACE('',(#18682),#18675,.T.); +#18695=ADVANCED_FACE('',(#18694),#18688,.T.); +#18707=ADVANCED_FACE('',(#18706),#18700,.T.); +#18719=ADVANCED_FACE('',(#18718),#18712,.T.); +#18728=EDGE_CURVE('',#8743,#8699,#6531,.T.); +#18732=ADVANCED_FACE('',(#18731),#18724,.T.); +#18741=EDGE_CURVE('',#8745,#8701,#6535,.T.); +#18745=ADVANCED_FACE('',(#18744),#18737,.T.); +#18754=EDGE_CURVE('',#9549,#9533,#6539,.T.); +#18758=ADVANCED_FACE('',(#18757),#18750,.T.); +#18767=EDGE_CURVE('',#8748,#8704,#6543,.T.); +#18771=ADVANCED_FACE('',(#18770),#18763,.T.); +#18780=EDGE_CURVE('',#8749,#8705,#6547,.T.); +#18784=ADVANCED_FACE('',(#18783),#18776,.T.); +#18793=EDGE_CURVE('',#8751,#8707,#6551,.T.); +#18797=ADVANCED_FACE('',(#18796),#18789,.T.); +#18806=EDGE_CURVE('',#9551,#9535,#6555,.T.); +#18810=ADVANCED_FACE('',(#18809),#18802,.T.); +#18819=EDGE_CURVE('',#8754,#8710,#6559,.T.); +#18823=ADVANCED_FACE('',(#18822),#18815,.T.); +#18832=EDGE_CURVE('',#8755,#8711,#6563,.T.); +#18836=ADVANCED_FACE('',(#18835),#18828,.T.); +#18845=EDGE_CURVE('',#8757,#8713,#6567,.T.); +#18849=ADVANCED_FACE('',(#18848),#18841,.T.); +#18858=EDGE_CURVE('',#8759,#8715,#6571,.T.); +#18862=ADVANCED_FACE('',(#18861),#18854,.T.); +#18871=EDGE_CURVE('',#8761,#8717,#6575,.T.); +#18875=ADVANCED_FACE('',(#18874),#18867,.T.); +#18887=ADVANCED_FACE('',(#18886),#18880,.T.); +#18894=EDGE_CURVE('',#9221,#9129,#6583,.T.); +#18896=EDGE_CURVE('',#9128,#9129,#6588,.T.); +#18898=EDGE_CURVE('',#9220,#9128,#6579,.T.); +#18902=ADVANCED_FACE('',(#18901),#18892,.T.); +#18910=EDGE_CURVE('',#9129,#9128,#6593,.T.); +#18915=ADVANCED_FACE('',(#18914),#18907,.T.); +#18925=ADVANCED_FACE('',(#18924),#18920,.F.); +#18931=EDGE_CURVE('',#9132,#9133,#6598,.T.); +#18933=EDGE_CURVE('',#9133,#9132,#6603,.T.); +#18937=ADVANCED_FACE('',(#18936),#18930,.F.); +#18943=EDGE_CURVE('',#9136,#9137,#6608,.T.); +#18945=EDGE_CURVE('',#9137,#9136,#6613,.T.); +#18949=ADVANCED_FACE('',(#18948),#18942,.F.); +#18955=EDGE_CURVE('',#9140,#9141,#6618,.T.); +#18957=EDGE_CURVE('',#9141,#9140,#6623,.T.); +#18961=ADVANCED_FACE('',(#18960),#18954,.F.); +#18967=EDGE_CURVE('',#9144,#9145,#6628,.T.); +#18969=EDGE_CURVE('',#9145,#9144,#6633,.T.); +#18973=ADVANCED_FACE('',(#18972),#18966,.F.); +#18979=EDGE_CURVE('',#9148,#9149,#6638,.T.); +#18981=EDGE_CURVE('',#9149,#9148,#6643,.T.); +#18985=ADVANCED_FACE('',(#18984),#18978,.F.); +#18991=EDGE_CURVE('',#9152,#9153,#6648,.T.); +#18993=EDGE_CURVE('',#9153,#9152,#6653,.T.); +#18997=ADVANCED_FACE('',(#18996),#18990,.F.); +#19003=EDGE_CURVE('',#9156,#9157,#6658,.T.); +#19005=EDGE_CURVE('',#9157,#9156,#6663,.T.); +#19009=ADVANCED_FACE('',(#19008),#19002,.F.); +#19015=EDGE_CURVE('',#9160,#9161,#6668,.T.); +#19017=EDGE_CURVE('',#9161,#9160,#6673,.T.); +#19021=ADVANCED_FACE('',(#19020),#19014,.F.); +#19027=EDGE_CURVE('',#9164,#9165,#6678,.T.); +#19029=EDGE_CURVE('',#9165,#9164,#6683,.T.); +#19033=ADVANCED_FACE('',(#19032),#19026,.F.); +#19039=EDGE_CURVE('',#9168,#9169,#6688,.T.); +#19041=EDGE_CURVE('',#9169,#9168,#6693,.T.); +#19045=ADVANCED_FACE('',(#19044),#19038,.F.); +#19051=EDGE_CURVE('',#9172,#9173,#6698,.T.); +#19053=EDGE_CURVE('',#9173,#9172,#6703,.T.); +#19057=ADVANCED_FACE('',(#19056),#19050,.F.); +#19063=EDGE_CURVE('',#9176,#9177,#6708,.T.); +#19065=EDGE_CURVE('',#9177,#9176,#6713,.T.); +#19069=ADVANCED_FACE('',(#19068),#19062,.F.); +#19075=EDGE_CURVE('',#9180,#9181,#6718,.T.); +#19077=EDGE_CURVE('',#9181,#9180,#6723,.T.); +#19081=ADVANCED_FACE('',(#19080),#19074,.F.); +#19087=EDGE_CURVE('',#9184,#9185,#6728,.T.); +#19089=EDGE_CURVE('',#9185,#9184,#6733,.T.); +#19093=ADVANCED_FACE('',(#19092),#19086,.F.); +#19099=EDGE_CURVE('',#9188,#9189,#6738,.T.); +#19101=EDGE_CURVE('',#9189,#9188,#6743,.T.); +#19105=ADVANCED_FACE('',(#19104),#19098,.F.); +#19111=EDGE_CURVE('',#9192,#9193,#6748,.T.); +#19113=EDGE_CURVE('',#9193,#9192,#6753,.T.); +#19117=ADVANCED_FACE('',(#19116),#19110,.F.); +#19123=EDGE_CURVE('',#9196,#9197,#6758,.T.); +#19125=EDGE_CURVE('',#9197,#9196,#6763,.T.); +#19129=ADVANCED_FACE('',(#19128),#19122,.F.); +#19135=EDGE_CURVE('',#9200,#9201,#6768,.T.); +#19137=EDGE_CURVE('',#9201,#9200,#6773,.T.); +#19141=ADVANCED_FACE('',(#19140),#19134,.F.); +#19147=EDGE_CURVE('',#9204,#9205,#6778,.T.); +#19149=EDGE_CURVE('',#9205,#9204,#6783,.T.); +#19153=ADVANCED_FACE('',(#19152),#19146,.F.); +#19159=EDGE_CURVE('',#9208,#9209,#6788,.T.); +#19161=EDGE_CURVE('',#9209,#9208,#6793,.T.); +#19165=ADVANCED_FACE('',(#19164),#19158,.F.); +#19171=EDGE_CURVE('',#9212,#9213,#6798,.T.); +#19173=EDGE_CURVE('',#9213,#9212,#6803,.T.); +#19177=ADVANCED_FACE('',(#19176),#19170,.F.); +#19183=EDGE_CURVE('',#9216,#9217,#6808,.T.); +#19185=EDGE_CURVE('',#9217,#9216,#6813,.T.); +#19189=ADVANCED_FACE('',(#19188),#19182,.F.); +#19195=EDGE_CURVE('',#9658,#9659,#6818,.T.); +#19197=EDGE_CURVE('',#9659,#9658,#6823,.T.); +#19201=ADVANCED_FACE('',(#19200),#19194,.F.); +#19207=EDGE_CURVE('',#9662,#9663,#6828,.T.); +#19209=EDGE_CURVE('',#9663,#9662,#6833,.T.); +#19213=ADVANCED_FACE('',(#19212),#19206,.F.); +#19219=EDGE_CURVE('',#9666,#9667,#6838,.T.); +#19221=EDGE_CURVE('',#9667,#9666,#6843,.T.); +#19225=ADVANCED_FACE('',(#19224),#19218,.F.); +#19231=EDGE_CURVE('',#9670,#9671,#6848,.T.); +#19233=EDGE_CURVE('',#9671,#9670,#6853,.T.); +#19237=ADVANCED_FACE('',(#19236),#19230,.F.); +#19243=EDGE_CURVE('',#9674,#9675,#6858,.T.); +#19245=EDGE_CURVE('',#9675,#9674,#6863,.T.); +#19249=ADVANCED_FACE('',(#19248),#19242,.F.); +#19255=EDGE_CURVE('',#9678,#9679,#6868,.T.); +#19257=EDGE_CURVE('',#9679,#9678,#6873,.T.); +#19261=ADVANCED_FACE('',(#19260),#19254,.F.); +#19267=EDGE_CURVE('',#9682,#9683,#6878,.T.); +#19269=EDGE_CURVE('',#9683,#9682,#6883,.T.); +#19273=ADVANCED_FACE('',(#19272),#19266,.F.); +#19279=EDGE_CURVE('',#9686,#9687,#6888,.T.); +#19281=EDGE_CURVE('',#9687,#9686,#6893,.T.); +#19285=ADVANCED_FACE('',(#19284),#19278,.F.); +#19291=EDGE_CURVE('',#9690,#9691,#6898,.T.); +#19293=EDGE_CURVE('',#9691,#9690,#6903,.T.); +#19297=ADVANCED_FACE('',(#19296),#19290,.F.); +#19303=EDGE_CURVE('',#9694,#9695,#6908,.T.); +#19305=EDGE_CURVE('',#9695,#9694,#6913,.T.); +#19309=ADVANCED_FACE('',(#19308),#19302,.F.); +#19315=EDGE_CURVE('',#9698,#9699,#6918,.T.); +#19317=EDGE_CURVE('',#9699,#9698,#6923,.T.); +#19321=ADVANCED_FACE('',(#19320),#19314,.F.); +#19327=EDGE_CURVE('',#9702,#9703,#6928,.T.); +#19329=EDGE_CURVE('',#9703,#9702,#6933,.T.); +#19333=ADVANCED_FACE('',(#19332),#19326,.F.); +#19340=EDGE_CURVE('',#9225,#9133,#6941,.T.); +#19343=EDGE_CURVE('',#9224,#9132,#6937,.T.); +#19347=ADVANCED_FACE('',(#19346),#19338,.T.); +#19359=ADVANCED_FACE('',(#19358),#19352,.T.); +#19366=EDGE_CURVE('',#9229,#9137,#6949,.T.); +#19369=EDGE_CURVE('',#9228,#9136,#6945,.T.); +#19373=ADVANCED_FACE('',(#19372),#19364,.T.); +#19385=ADVANCED_FACE('',(#19384),#19378,.T.); +#19392=EDGE_CURVE('',#9233,#9141,#6957,.T.); +#19395=EDGE_CURVE('',#9232,#9140,#6953,.T.); +#19399=ADVANCED_FACE('',(#19398),#19390,.T.); +#19411=ADVANCED_FACE('',(#19410),#19404,.T.); +#19418=EDGE_CURVE('',#9237,#9145,#6965,.T.); +#19421=EDGE_CURVE('',#9236,#9144,#6961,.T.); +#19425=ADVANCED_FACE('',(#19424),#19416,.T.); +#19437=ADVANCED_FACE('',(#19436),#19430,.T.); +#19444=EDGE_CURVE('',#9241,#9149,#6973,.T.); +#19447=EDGE_CURVE('',#9240,#9148,#6969,.T.); +#19451=ADVANCED_FACE('',(#19450),#19442,.T.); +#19463=ADVANCED_FACE('',(#19462),#19456,.T.); +#19470=EDGE_CURVE('',#9245,#9153,#6981,.T.); +#19473=EDGE_CURVE('',#9244,#9152,#6977,.T.); +#19477=ADVANCED_FACE('',(#19476),#19468,.T.); +#19489=ADVANCED_FACE('',(#19488),#19482,.T.); +#19496=EDGE_CURVE('',#9249,#9157,#6989,.T.); +#19499=EDGE_CURVE('',#9248,#9156,#6985,.T.); +#19503=ADVANCED_FACE('',(#19502),#19494,.T.); +#19515=ADVANCED_FACE('',(#19514),#19508,.T.); +#19522=EDGE_CURVE('',#9253,#9161,#6997,.T.); +#19525=EDGE_CURVE('',#9252,#9160,#6993,.T.); +#19529=ADVANCED_FACE('',(#19528),#19520,.T.); +#19541=ADVANCED_FACE('',(#19540),#19534,.T.); +#19548=EDGE_CURVE('',#9257,#9165,#7005,.T.); +#19551=EDGE_CURVE('',#9256,#9164,#7001,.T.); +#19555=ADVANCED_FACE('',(#19554),#19546,.T.); +#19567=ADVANCED_FACE('',(#19566),#19560,.T.); +#19574=EDGE_CURVE('',#9261,#9169,#7013,.T.); +#19577=EDGE_CURVE('',#9260,#9168,#7009,.T.); +#19581=ADVANCED_FACE('',(#19580),#19572,.T.); +#19593=ADVANCED_FACE('',(#19592),#19586,.T.); +#19600=EDGE_CURVE('',#9265,#9173,#7021,.T.); +#19603=EDGE_CURVE('',#9264,#9172,#7017,.T.); +#19607=ADVANCED_FACE('',(#19606),#19598,.T.); +#19619=ADVANCED_FACE('',(#19618),#19612,.T.); +#19626=EDGE_CURVE('',#9269,#9177,#7029,.T.); +#19629=EDGE_CURVE('',#9268,#9176,#7025,.T.); +#19633=ADVANCED_FACE('',(#19632),#19624,.T.); +#19645=ADVANCED_FACE('',(#19644),#19638,.T.); +#19652=EDGE_CURVE('',#9273,#9181,#7037,.T.); +#19655=EDGE_CURVE('',#9272,#9180,#7033,.T.); +#19659=ADVANCED_FACE('',(#19658),#19650,.T.); +#19671=ADVANCED_FACE('',(#19670),#19664,.T.); +#19678=EDGE_CURVE('',#9277,#9185,#7045,.T.); +#19681=EDGE_CURVE('',#9276,#9184,#7041,.T.); +#19685=ADVANCED_FACE('',(#19684),#19676,.T.); +#19697=ADVANCED_FACE('',(#19696),#19690,.T.); +#19704=EDGE_CURVE('',#9281,#9189,#7053,.T.); +#19707=EDGE_CURVE('',#9280,#9188,#7049,.T.); +#19711=ADVANCED_FACE('',(#19710),#19702,.T.); +#19723=ADVANCED_FACE('',(#19722),#19716,.T.); +#19730=EDGE_CURVE('',#9285,#9193,#7061,.T.); +#19733=EDGE_CURVE('',#9284,#9192,#7057,.T.); +#19737=ADVANCED_FACE('',(#19736),#19728,.T.); +#19749=ADVANCED_FACE('',(#19748),#19742,.T.); +#19756=EDGE_CURVE('',#9289,#9197,#7069,.T.); +#19759=EDGE_CURVE('',#9288,#9196,#7065,.T.); +#19763=ADVANCED_FACE('',(#19762),#19754,.T.); +#19775=ADVANCED_FACE('',(#19774),#19768,.T.); +#19782=EDGE_CURVE('',#9293,#9201,#7077,.T.); +#19785=EDGE_CURVE('',#9292,#9200,#7073,.T.); +#19789=ADVANCED_FACE('',(#19788),#19780,.T.); +#19801=ADVANCED_FACE('',(#19800),#19794,.T.); +#19808=EDGE_CURVE('',#9297,#9205,#7085,.T.); +#19811=EDGE_CURVE('',#9296,#9204,#7081,.T.); +#19815=ADVANCED_FACE('',(#19814),#19806,.T.); +#19827=ADVANCED_FACE('',(#19826),#19820,.T.); +#19834=EDGE_CURVE('',#9301,#9209,#7093,.T.); +#19837=EDGE_CURVE('',#9300,#9208,#7089,.T.); +#19841=ADVANCED_FACE('',(#19840),#19832,.T.); +#19853=ADVANCED_FACE('',(#19852),#19846,.T.); +#19860=EDGE_CURVE('',#9305,#9213,#7101,.T.); +#19863=EDGE_CURVE('',#9304,#9212,#7097,.T.); +#19867=ADVANCED_FACE('',(#19866),#19858,.T.); +#19879=ADVANCED_FACE('',(#19878),#19872,.T.); +#19886=EDGE_CURVE('',#9309,#9217,#7109,.T.); +#19889=EDGE_CURVE('',#9308,#9216,#7105,.T.); +#19893=ADVANCED_FACE('',(#19892),#19884,.T.); +#19905=ADVANCED_FACE('',(#19904),#19898,.T.); +#19912=EDGE_CURVE('',#9707,#9659,#7117,.T.); +#19915=EDGE_CURVE('',#9706,#9658,#7113,.T.); +#19919=ADVANCED_FACE('',(#19918),#19910,.T.); +#19931=ADVANCED_FACE('',(#19930),#19924,.T.); +#19938=EDGE_CURVE('',#9711,#9663,#7125,.T.); +#19941=EDGE_CURVE('',#9710,#9662,#7121,.T.); +#19945=ADVANCED_FACE('',(#19944),#19936,.T.); +#19957=ADVANCED_FACE('',(#19956),#19950,.T.); +#19964=EDGE_CURVE('',#9715,#9667,#7133,.T.); +#19967=EDGE_CURVE('',#9714,#9666,#7129,.T.); +#19971=ADVANCED_FACE('',(#19970),#19962,.T.); +#19983=ADVANCED_FACE('',(#19982),#19976,.T.); +#19990=EDGE_CURVE('',#9719,#9671,#7141,.T.); +#19993=EDGE_CURVE('',#9718,#9670,#7137,.T.); +#19997=ADVANCED_FACE('',(#19996),#19988,.T.); +#20009=ADVANCED_FACE('',(#20008),#20002,.T.); +#20016=EDGE_CURVE('',#9723,#9675,#7149,.T.); +#20019=EDGE_CURVE('',#9722,#9674,#7145,.T.); +#20023=ADVANCED_FACE('',(#20022),#20014,.T.); +#20035=ADVANCED_FACE('',(#20034),#20028,.T.); +#20042=EDGE_CURVE('',#9727,#9679,#7157,.T.); +#20045=EDGE_CURVE('',#9726,#9678,#7153,.T.); +#20049=ADVANCED_FACE('',(#20048),#20040,.T.); +#20061=ADVANCED_FACE('',(#20060),#20054,.T.); +#20068=EDGE_CURVE('',#9731,#9683,#7165,.T.); +#20071=EDGE_CURVE('',#9730,#9682,#7161,.T.); +#20075=ADVANCED_FACE('',(#20074),#20066,.T.); +#20087=ADVANCED_FACE('',(#20086),#20080,.T.); +#20094=EDGE_CURVE('',#9735,#9687,#7173,.T.); +#20097=EDGE_CURVE('',#9734,#9686,#7169,.T.); +#20101=ADVANCED_FACE('',(#20100),#20092,.T.); +#20113=ADVANCED_FACE('',(#20112),#20106,.T.); +#20120=EDGE_CURVE('',#9739,#9691,#7181,.T.); +#20123=EDGE_CURVE('',#9738,#9690,#7177,.T.); +#20127=ADVANCED_FACE('',(#20126),#20118,.T.); +#20139=ADVANCED_FACE('',(#20138),#20132,.T.); +#20146=EDGE_CURVE('',#9743,#9695,#7189,.T.); +#20149=EDGE_CURVE('',#9742,#9694,#7185,.T.); +#20153=ADVANCED_FACE('',(#20152),#20144,.T.); +#20165=ADVANCED_FACE('',(#20164),#20158,.T.); +#20172=EDGE_CURVE('',#9747,#9699,#7197,.T.); +#20175=EDGE_CURVE('',#9746,#9698,#7193,.T.); +#20179=ADVANCED_FACE('',(#20178),#20170,.T.); +#20191=ADVANCED_FACE('',(#20190),#20184,.T.); +#20198=EDGE_CURVE('',#9751,#9703,#7205,.T.); +#20201=EDGE_CURVE('',#9750,#9702,#7201,.T.); +#20205=ADVANCED_FACE('',(#20204),#20196,.T.); +#20217=ADVANCED_FACE('',(#20216),#20210,.T.); +#20223=EDGE_CURVE('',#9344,#9345,#7219,.T.); +#20225=EDGE_CURVE('',#9344,#9348,#7210,.T.); +#20228=EDGE_CURVE('',#9349,#9345,#7215,.T.); +#20232=ADVANCED_FACE('',(#20231),#20222,.T.); +#20239=EDGE_CURVE('',#9441,#9345,#7231,.T.); +#20241=EDGE_CURVE('',#9441,#9443,#7453,.T.); +#20243=EDGE_CURVE('',#9373,#9443,#7682,.T.); +#20245=EDGE_CURVE('',#9373,#9369,#7223,.T.); +#20247=EDGE_CURVE('',#8283,#9369,#7227,.T.); +#20250=EDGE_CURVE('',#9451,#8285,#7533,.T.); +#20252=EDGE_CURVE('',#9451,#9453,#7377,.T.); +#20254=EDGE_CURVE('',#9344,#9453,#7516,.T.); +#20258=ADVANCED_FACE('',(#20257),#20237,.T.); +#20267=EDGE_CURVE('',#9459,#9440,#7235,.T.); +#20269=EDGE_CURVE('',#9440,#9441,#7457,.T.); +#20273=ADVANCED_FACE('',(#20272),#20263,.T.); +#20280=EDGE_CURVE('',#8655,#8630,#7253,.T.); +#20282=EDGE_CURVE('',#8655,#9440,#7462,.T.); +#20287=ADVANCED_FACE('',(#20286),#20278,.F.); +#20293=EDGE_CURVE('',#9421,#9420,#7240,.T.); +#20295=EDGE_CURVE('',#8654,#9420,#7244,.T.); +#20297=EDGE_CURVE('',#8654,#8655,#7249,.T.); +#20301=EDGE_CURVE('',#8631,#9421,#7695,.T.); +#20305=ADVANCED_FACE('',(#20304),#20292,.T.); +#20311=EDGE_CURVE('',#9417,#9416,#7288,.T.); +#20313=EDGE_CURVE('',#9416,#9420,#7709,.T.); +#20316=EDGE_CURVE('',#9417,#9421,#7258,.T.); +#20320=ADVANCED_FACE('',(#20319),#20310,.T.); +#20326=EDGE_CURVE('',#9400,#9401,#7263,.T.); +#20328=EDGE_CURVE('',#9401,#9400,#7268,.T.); +#20332=EDGE_CURVE('',#8936,#8937,#7303,.T.); +#20334=EDGE_CURVE('',#8937,#8936,#7308,.T.); +#20338=ADVANCED_FACE('',(#20331,#20337),#20325,.F.); +#20344=EDGE_CURVE('',#9408,#9409,#7273,.T.); +#20346=EDGE_CURVE('',#9409,#9408,#7278,.T.); +#20350=ADVANCED_FACE('',(#20349),#20343,.F.); +#20356=EDGE_CURVE('',#9416,#9417,#7283,.T.); +#20361=ADVANCED_FACE('',(#20360),#20355,.F.); +#20367=EDGE_CURVE('',#9424,#9425,#7293,.T.); +#20369=EDGE_CURVE('',#9425,#9424,#7298,.T.); +#20373=EDGE_CURVE('',#8940,#8941,#7313,.T.); +#20375=EDGE_CURVE('',#8941,#8940,#7318,.T.); +#20379=ADVANCED_FACE('',(#20372,#20378),#20366,.F.); +#20386=EDGE_CURVE('',#9401,#9405,#7328,.T.); +#20388=EDGE_CURVE('',#9404,#9405,#7351,.T.); +#20390=EDGE_CURVE('',#9400,#9404,#7323,.T.); +#20394=ADVANCED_FACE('',(#20393),#20384,.T.); +#20402=EDGE_CURVE('',#9405,#9404,#7333,.T.); +#20407=ADVANCED_FACE('',(#20406),#20399,.T.); +#20414=EDGE_CURVE('',#8624,#9404,#7337,.T.); +#20417=EDGE_CURVE('',#8648,#8625,#7341,.T.); +#20419=EDGE_CURVE('',#8648,#8649,#7346,.T.); +#20421=EDGE_CURVE('',#8649,#9405,#7355,.T.); +#20425=ADVANCED_FACE('',(#20424),#20412,.T.); +#20433=EDGE_CURVE('',#8649,#8651,#7360,.T.); +#20435=EDGE_CURVE('',#8651,#8627,#7364,.T.); +#20441=ADVANCED_FACE('',(#20440),#20430,.T.); +#20447=EDGE_CURVE('',#8636,#8637,#7476,.T.); +#20449=EDGE_CURVE('',#8639,#8636,#7508,.T.); +#20451=EDGE_CURVE('',#9455,#8639,#7369,.T.); +#20453=EDGE_CURVE('',#9453,#9455,#7373,.T.); +#20456=EDGE_CURVE('',#9449,#9451,#7382,.T.); +#20458=EDGE_CURVE('',#9448,#9449,#7386,.T.); +#20460=EDGE_CURVE('',#8637,#9448,#7391,.T.); +#20464=ADVANCED_FACE('',(#20463),#20446,.T.); +#20470=EDGE_CURVE('',#8642,#8643,#7578,.T.); +#20472=EDGE_CURVE('',#8609,#8642,#7396,.T.); +#20474=EDGE_CURVE('',#8607,#8609,#7400,.T.); +#20477=EDGE_CURVE('',#8603,#8605,#7409,.T.); +#20479=EDGE_CURVE('',#8602,#8603,#7413,.T.); +#20481=EDGE_CURVE('',#8645,#8602,#7418,.T.); +#20483=EDGE_CURVE('',#8643,#8645,#7602,.T.); +#20487=ADVANCED_FACE('',(#20486),#20469,.T.); +#20494=EDGE_CURVE('',#8599,#8648,#7423,.T.); +#20496=EDGE_CURVE('',#8597,#8599,#7427,.T.); +#20498=EDGE_CURVE('',#8595,#8597,#7431,.T.); +#20500=EDGE_CURVE('',#8594,#8595,#7435,.T.); +#20502=EDGE_CURVE('',#8651,#8594,#7440,.T.); +#20507=ADVANCED_FACE('',(#20506),#20492,.T.); +#20514=EDGE_CURVE('',#8657,#8654,#7704,.T.); +#20516=EDGE_CURVE('',#9445,#8657,#7445,.T.); +#20518=EDGE_CURVE('',#9443,#9445,#7449,.T.); +#20525=ADVANCED_FACE('',(#20524),#20512,.T.); +#20531=EDGE_CURVE('',#9429,#9428,#7467,.T.); +#20533=EDGE_CURVE('',#8636,#9428,#7471,.T.); +#20536=EDGE_CURVE('',#8637,#8612,#7480,.T.); +#20539=EDGE_CURVE('',#8613,#9429,#7499,.T.); +#20543=ADVANCED_FACE('',(#20542),#20530,.T.); +#20550=EDGE_CURVE('',#9424,#9428,#7490,.T.); +#20553=EDGE_CURVE('',#9425,#9429,#7485,.T.); +#20557=ADVANCED_FACE('',(#20556),#20548,.T.); +#20565=EDGE_CURVE('',#9428,#9429,#7495,.T.); +#20570=ADVANCED_FACE('',(#20569),#20562,.T.); +#20579=EDGE_CURVE('',#8639,#8615,#7503,.T.); +#20585=ADVANCED_FACE('',(#20584),#20575,.T.); +#20592=EDGE_CURVE('',#9461,#9455,#7512,.T.); +#20598=ADVANCED_FACE('',(#20597),#20590,.F.); +#20611=ADVANCED_FACE('',(#20610),#20603,.T.); +#20620=EDGE_CURVE('',#9463,#9448,#7524,.T.); +#20624=ADVANCED_FACE('',(#20623),#20616,.F.); +#20630=EDGE_CURVE('',#9449,#9385,#7520,.T.); +#20632=EDGE_CURVE('',#9389,#9385,#7547,.T.); +#20639=ADVANCED_FACE('',(#20638),#20629,.T.); +#20645=EDGE_CURVE('',#9384,#9385,#7529,.T.); +#20651=EDGE_CURVE('',#8287,#9384,#7560,.T.); +#20655=ADVANCED_FACE('',(#20654),#20644,.T.); +#20662=EDGE_CURVE('',#9388,#9384,#7538,.T.); +#20668=ADVANCED_FACE('',(#20667),#20660,.T.); +#20676=EDGE_CURVE('',#9393,#9384,#7556,.T.); +#20681=ADVANCED_FACE('',(#20680),#20673,.T.); +#20693=ADVANCED_FACE('',(#20692),#20686,.T.); +#20699=EDGE_CURVE('',#9413,#9412,#7565,.T.); +#20701=EDGE_CURVE('',#8618,#9412,#7569,.T.); +#20704=EDGE_CURVE('',#8642,#8619,#7573,.T.); +#20707=EDGE_CURVE('',#8643,#9413,#7597,.T.); +#20711=ADVANCED_FACE('',(#20710),#20698,.T.); +#20718=EDGE_CURVE('',#9408,#9412,#7588,.T.); +#20721=EDGE_CURVE('',#9409,#9413,#7583,.T.); +#20725=ADVANCED_FACE('',(#20724),#20716,.T.); +#20733=EDGE_CURVE('',#9412,#9413,#7593,.T.); +#20738=ADVANCED_FACE('',(#20737),#20730,.T.); +#20747=EDGE_CURVE('',#8645,#8621,#7606,.T.); +#20753=ADVANCED_FACE('',(#20752),#20743,.T.); +#20762=EDGE_CURVE('',#9435,#8602,#7614,.T.); +#20766=ADVANCED_FACE('',(#20765),#20758,.F.); +#20772=EDGE_CURVE('',#8603,#9377,#7610,.T.); +#20774=EDGE_CURVE('',#9381,#9377,#7731,.T.); +#20781=ADVANCED_FACE('',(#20780),#20771,.T.); +#20787=EDGE_CURVE('',#9352,#9353,#7618,.T.); +#20789=EDGE_CURVE('',#8595,#9353,#7713,.T.); +#20792=EDGE_CURVE('',#9376,#8597,#7721,.T.); +#20794=EDGE_CURVE('',#9376,#9377,#7622,.T.); +#20800=EDGE_CURVE('',#8277,#9352,#7646,.T.); +#20804=ADVANCED_FACE('',(#20803),#20786,.T.); +#20811=EDGE_CURVE('',#9356,#9352,#7627,.T.); +#20814=EDGE_CURVE('',#9357,#9353,#7632,.T.); +#20818=ADVANCED_FACE('',(#20817),#20809,.T.); +#20826=EDGE_CURVE('',#9361,#9352,#7642,.T.); +#20828=EDGE_CURVE('',#9359,#9361,#7637,.T.); +#20832=ADVANCED_FACE('',(#20831),#20823,.T.); +#20841=EDGE_CURVE('',#8279,#9361,#7654,.T.); +#20845=ADVANCED_FACE('',(#20844),#20837,.T.); +#20851=EDGE_CURVE('',#9365,#9361,#7650,.T.); +#20855=EDGE_CURVE('',#8281,#9365,#7673,.T.); +#20859=ADVANCED_FACE('',(#20858),#20850,.T.); +#20868=EDGE_CURVE('',#9363,#9365,#7659,.T.); +#20872=ADVANCED_FACE('',(#20871),#20864,.T.); +#20880=EDGE_CURVE('',#9369,#9365,#7669,.T.); +#20882=EDGE_CURVE('',#9367,#9369,#7664,.T.); +#20886=ADVANCED_FACE('',(#20885),#20877,.T.); +#20898=ADVANCED_FACE('',(#20897),#20891,.T.); +#20907=EDGE_CURVE('',#9373,#9371,#7678,.T.); +#20911=ADVANCED_FACE('',(#20910),#20903,.T.); +#20921=EDGE_CURVE('',#9457,#9445,#7686,.T.); +#20925=ADVANCED_FACE('',(#20924),#20916,.T.); +#20934=EDGE_CURVE('',#8657,#8633,#7699,.T.); +#20938=ADVANCED_FACE('',(#20937),#20930,.F.); +#20944=EDGE_CURVE('',#9420,#9421,#7691,.T.); +#20953=ADVANCED_FACE('',(#20952),#20943,.T.); +#20965=ADVANCED_FACE('',(#20964),#20958,.T.); +#20974=EDGE_CURVE('',#9431,#8594,#7717,.T.); +#20979=ADVANCED_FACE('',(#20978),#20970,.T.); +#20991=ADVANCED_FACE('',(#20990),#20984,.F.); +#20998=EDGE_CURVE('',#9376,#9380,#7726,.T.); +#21002=EDGE_CURVE('',#9433,#8599,#7735,.T.); +#21006=ADVANCED_FACE('',(#21005),#20996,.T.); +#21018=ADVANCED_FACE('',(#21017),#21011,.T.); +#21030=ADVANCED_FACE('',(#21029),#21023,.F.); +#21037=EDGE_CURVE('',#9437,#8609,#7739,.T.); +#21043=ADVANCED_FACE('',(#21042),#21035,.F.); +#21056=ADVANCED_FACE('',(#21055),#21048,.T.); +#21063=EDGE_CURVE('',#8936,#8928,#7747,.T.); +#21065=EDGE_CURVE('',#8928,#8929,#7756,.T.); +#21067=EDGE_CURVE('',#8937,#8929,#7751,.T.); +#21071=ADVANCED_FACE('',(#21070),#21061,.T.); +#21079=EDGE_CURVE('',#8929,#8928,#7761,.T.); +#21084=ADVANCED_FACE('',(#21083),#21076,.T.); +#21094=ADVANCED_FACE('',(#21093),#21089,.T.); +#21100=EDGE_CURVE('',#8932,#8933,#7766,.T.); +#21102=EDGE_CURVE('',#8933,#8932,#7771,.T.); +#21106=ADVANCED_FACE('',(#21105),#21099,.T.); +#21113=EDGE_CURVE('',#8940,#8932,#7775,.T.); +#21116=EDGE_CURVE('',#8941,#8933,#7779,.T.); +#21120=ADVANCED_FACE('',(#21119),#21111,.T.); +#21132=ADVANCED_FACE('',(#21131),#21125,.T.); +#21139=EDGE_CURVE('',#8410,#8338,#7783,.T.); +#21142=EDGE_CURVE('',#8411,#8339,#7975,.T.); +#21146=ADVANCED_FACE('',(#21145),#21137,.T.); +#21153=EDGE_CURVE('',#8465,#8421,#7820,.T.); +#21160=ADVANCED_FACE('',(#21159),#21151,.F.); +#21167=EDGE_CURVE('',#9886,#9883,#7804,.T.); +#21172=ADVANCED_FACE('',(#21171),#21165,.F.); +#21181=EDGE_CURVE('',#9887,#9889,#7955,.T.); +#21185=ADVANCED_FACE('',(#21184),#21177,.F.); +#21192=EDGE_CURVE('',#9885,#9882,#7825,.T.); +#21198=ADVANCED_FACE('',(#21197),#21190,.F.); +#21205=EDGE_CURVE('',#9884,#9891,#7837,.T.); +#21208=EDGE_CURVE('',#8449,#8467,#7846,.T.); +#21212=ADVANCED_FACE('',(#21211),#21203,.F.); +#21223=ADVANCED_FACE('',(#21222),#21217,.F.); +#21229=EDGE_CURVE('',#8389,#8463,#7868,.T.); +#21236=ADVANCED_FACE('',(#21235),#21228,.F.); +#21244=EDGE_CURVE('',#8462,#8379,#7872,.T.); +#21250=ADVANCED_FACE('',(#21249),#21241,.F.); +#21259=EDGE_CURVE('',#8452,#8372,#7876,.T.); +#21263=ADVANCED_FACE('',(#21262),#21255,.T.); +#21272=EDGE_CURVE('',#8453,#8373,#7880,.T.); +#21276=ADVANCED_FACE('',(#21275),#21268,.T.); +#21285=EDGE_CURVE('',#8455,#8375,#7884,.T.); +#21289=ADVANCED_FACE('',(#21288),#21281,.T.); +#21298=EDGE_CURVE('',#8457,#8377,#7888,.T.); +#21302=ADVANCED_FACE('',(#21301),#21294,.T.); +#21309=EDGE_CURVE('',#8459,#8393,#7898,.T.); +#21316=ADVANCED_FACE('',(#21315),#21307,.T.); +#21324=EDGE_CURVE('',#9875,#9879,#7903,.T.); +#21329=ADVANCED_FACE('',(#21328),#21321,.F.); +#21336=EDGE_CURVE('',#9874,#9878,#7908,.T.); +#21342=ADVANCED_FACE('',(#21341),#21334,.F.); +#21354=ADVANCED_FACE('',(#21353),#21347,.F.); +#21361=EDGE_CURVE('',#8447,#8367,#7922,.T.); +#21368=ADVANCED_FACE('',(#21367),#21359,.F.); +#21375=EDGE_CURVE('',#8445,#8365,#7926,.T.); +#21381=ADVANCED_FACE('',(#21380),#21373,.T.); +#21388=EDGE_CURVE('',#8443,#8363,#7930,.T.); +#21394=ADVANCED_FACE('',(#21393),#21386,.T.); +#21401=EDGE_CURVE('',#8441,#8361,#7934,.T.); +#21407=ADVANCED_FACE('',(#21406),#21399,.T.); +#21419=ADVANCED_FACE('',(#21418),#21412,.F.); +#21425=EDGE_CURVE('',#8427,#8403,#7950,.T.); +#21432=ADVANCED_FACE('',(#21431),#21424,.F.); +#21441=EDGE_CURVE('',#8425,#8351,#7959,.T.); +#21446=ADVANCED_FACE('',(#21445),#21437,.F.); +#21453=EDGE_CURVE('',#8424,#8350,#7963,.T.); +#21459=ADVANCED_FACE('',(#21458),#21451,.T.); +#21466=EDGE_CURVE('',#8435,#8357,#7967,.T.); +#21472=ADVANCED_FACE('',(#21471),#21464,.T.); +#21484=ADVANCED_FACE('',(#21483),#21477,.T.); +#21493=EDGE_CURVE('',#8413,#8341,#7979,.T.); +#21497=ADVANCED_FACE('',(#21496),#21489,.T.); +#21506=EDGE_CURVE('',#8415,#8343,#7983,.T.); +#21510=ADVANCED_FACE('',(#21509),#21502,.T.); +#21522=ADVANCED_FACE('',(#21521),#21515,.F.); +#21528=EDGE_CURVE('',#9470,#9471,#7988,.T.); +#21530=EDGE_CURVE('',#8579,#9471,#8163,.T.); +#21533=EDGE_CURVE('',#8578,#9470,#7992,.T.); +#21537=ADVANCED_FACE('',(#21536),#21527,.F.); +#21543=EDGE_CURVE('',#9466,#9467,#8002,.T.); +#21545=EDGE_CURVE('',#9467,#9471,#8155,.T.); +#21548=EDGE_CURVE('',#9466,#9470,#7997,.T.); +#21552=ADVANCED_FACE('',(#21551),#21542,.T.); +#21558=EDGE_CURVE('',#9498,#9499,#8038,.T.); +#21560=EDGE_CURVE('',#9525,#9498,#8042,.T.); +#21562=EDGE_CURVE('',#9521,#9525,#8047,.T.); +#21564=EDGE_CURVE('',#9517,#9521,#8051,.T.); +#21566=EDGE_CURVE('',#9513,#9517,#8056,.T.); +#21568=EDGE_CURVE('',#9509,#9513,#8060,.T.); +#21570=EDGE_CURVE('',#9505,#9509,#8065,.T.); +#21572=EDGE_CURVE('',#9499,#9505,#8069,.T.); +#21577=EDGE_CURVE('',#9493,#9466,#8006,.T.); +#21579=EDGE_CURVE('',#9489,#9493,#8011,.T.); +#21581=EDGE_CURVE('',#9485,#9489,#8015,.T.); +#21583=EDGE_CURVE('',#9481,#9485,#8020,.T.); +#21585=EDGE_CURVE('',#9477,#9481,#8024,.T.); +#21587=EDGE_CURVE('',#9473,#9477,#8029,.T.); +#21589=EDGE_CURVE('',#9467,#9473,#8033,.T.); +#21593=ADVANCED_FACE('',(#21575,#21592),#21557,.T.); +#21601=EDGE_CURVE('',#9495,#9470,#8078,.T.); +#21603=EDGE_CURVE('',#9493,#9495,#8074,.T.); +#21607=ADVANCED_FACE('',(#21606),#21598,.T.); +#21616=EDGE_CURVE('',#8581,#9495,#8082,.T.); +#21620=ADVANCED_FACE('',(#21619),#21612,.T.); +#21626=EDGE_CURVE('',#9491,#9495,#8087,.T.); +#21630=EDGE_CURVE('',#8583,#9491,#8091,.T.); +#21634=ADVANCED_FACE('',(#21633),#21625,.F.); +#21643=EDGE_CURVE('',#9489,#9491,#8096,.T.); +#21647=ADVANCED_FACE('',(#21646),#21639,.T.); +#21655=EDGE_CURVE('',#9487,#9491,#8105,.T.); +#21657=EDGE_CURVE('',#9485,#9487,#8101,.T.); +#21661=ADVANCED_FACE('',(#21660),#21652,.T.); +#21670=EDGE_CURVE('',#8585,#9487,#8109,.T.); +#21674=ADVANCED_FACE('',(#21673),#21666,.T.); +#21680=EDGE_CURVE('',#9483,#9487,#8114,.T.); +#21684=EDGE_CURVE('',#8587,#9483,#8118,.T.); +#21688=ADVANCED_FACE('',(#21687),#21679,.F.); +#21697=EDGE_CURVE('',#9481,#9483,#8123,.T.); +#21701=ADVANCED_FACE('',(#21700),#21693,.T.); +#21709=EDGE_CURVE('',#9479,#9483,#8132,.T.); +#21711=EDGE_CURVE('',#9477,#9479,#8128,.T.); +#21715=ADVANCED_FACE('',(#21714),#21706,.T.); +#21724=EDGE_CURVE('',#8589,#9479,#8136,.T.); +#21728=ADVANCED_FACE('',(#21727),#21720,.T.); +#21734=EDGE_CURVE('',#9475,#9479,#8141,.T.); +#21738=EDGE_CURVE('',#8591,#9475,#8145,.T.); +#21742=ADVANCED_FACE('',(#21741),#21733,.F.); +#21751=EDGE_CURVE('',#9473,#9475,#8150,.T.); +#21755=ADVANCED_FACE('',(#21754),#21747,.T.); +#21763=EDGE_CURVE('',#9471,#9475,#8159,.T.); +#21768=ADVANCED_FACE('',(#21767),#21760,.T.); +#21780=ADVANCED_FACE('',(#21779),#21773,.T.); +#21787=EDGE_CURVE('',#9499,#9503,#8173,.T.); +#21789=EDGE_CURVE('',#9502,#9503,#8216,.T.); +#21791=EDGE_CURVE('',#9498,#9502,#8168,.T.); +#21795=ADVANCED_FACE('',(#21794),#21785,.T.); +#21802=EDGE_CURVE('',#9505,#9507,#8178,.T.); +#21804=EDGE_CURVE('',#9503,#9507,#8224,.T.); +#21809=ADVANCED_FACE('',(#21808),#21800,.T.); +#21816=EDGE_CURVE('',#9509,#9511,#8183,.T.); +#21818=EDGE_CURVE('',#9507,#9511,#8233,.T.); +#21823=ADVANCED_FACE('',(#21822),#21814,.T.); +#21830=EDGE_CURVE('',#9513,#9515,#8188,.T.); +#21832=EDGE_CURVE('',#9511,#9515,#8241,.T.); +#21837=ADVANCED_FACE('',(#21836),#21828,.T.); +#21844=EDGE_CURVE('',#9517,#9519,#8193,.T.); +#21846=EDGE_CURVE('',#9515,#9519,#8250,.T.); +#21851=ADVANCED_FACE('',(#21850),#21842,.T.); +#21858=EDGE_CURVE('',#9521,#9523,#8198,.T.); +#21860=EDGE_CURVE('',#9519,#9523,#8258,.T.); +#21865=ADVANCED_FACE('',(#21864),#21856,.T.); +#21872=EDGE_CURVE('',#9525,#9527,#8203,.T.); +#21874=EDGE_CURVE('',#9523,#9527,#8267,.T.); +#21879=ADVANCED_FACE('',(#21878),#21870,.T.); +#21887=EDGE_CURVE('',#9527,#9502,#8207,.T.); +#21892=ADVANCED_FACE('',(#21891),#21884,.T.); +#21899=EDGE_CURVE('',#8663,#9502,#8220,.T.); +#21902=EDGE_CURVE('',#8661,#9527,#8211,.T.); +#21906=ADVANCED_FACE('',(#21905),#21897,.T.); +#21913=EDGE_CURVE('',#8665,#9503,#8228,.T.); +#21919=ADVANCED_FACE('',(#21918),#21911,.T.); +#21926=EDGE_CURVE('',#8667,#9507,#8237,.T.); +#21932=ADVANCED_FACE('',(#21931),#21924,.T.); +#21939=EDGE_CURVE('',#8669,#9511,#8245,.T.); +#21945=ADVANCED_FACE('',(#21944),#21937,.T.); +#21952=EDGE_CURVE('',#8671,#9515,#8254,.T.); +#21958=ADVANCED_FACE('',(#21957),#21950,.T.); +#21965=EDGE_CURVE('',#8673,#9519,#8262,.T.); +#21971=ADVANCED_FACE('',(#21970),#21963,.T.); +#21978=EDGE_CURVE('',#8660,#9523,#8271,.T.); +#21984=ADVANCED_FACE('',(#21983),#21976,.T.); +#21996=ADVANCED_FACE('',(#21995),#21989,.T.); +#21999=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#22000=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#22003=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#22005=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( +#22004))GLOBAL_UNIT_ASSIGNED_CONTEXT((#21999,#22002,#22003))REPRESENTATION_CONTEXT('ID1','3')); +#22006=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#21998),#22005); +#22013=PRODUCT_DEFINITION('part definition','',#22012,#22009); +#22014=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR C-776231-1.',#22013); +#22015=SHAPE_ASPECT('','solid data associated with C-776231-1',#22014,.F.); +#22016=PROPERTY_DEFINITION('', +'shape for solid data with which properties are associated',#22015); +#22017=SHAPE_REPRESENTATION('',(#21998),#22005); +#22018=SHAPE_DEFINITION_REPRESENTATION(#22016,#22017); +#22019=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#22023=PROPERTY_DEFINITION('geometric validation property','area of C-776231-1', +#22015); +#22024=REPRESENTATION('surface area',(#22022),#22005); +#22025=PROPERTY_DEFINITION_REPRESENTATION(#22023,#22024); +#22026=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#22030=PROPERTY_DEFINITION('geometric validation property', +'volume of C-776231-1',#22015); +#22031=REPRESENTATION('volume',(#22029),#22005); +#22032=PROPERTY_DEFINITION_REPRESENTATION(#22030,#22031); +#22034=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-776231-1',#22015); +#22035=REPRESENTATION('centroid',(#22033),#22005); +#22036=PROPERTY_DEFINITION_REPRESENTATION(#22034,#22035); +#22037=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#22041=PROPERTY_DEFINITION('geometric validation property','area of C-776231-1', +#22014); +#22042=REPRESENTATION('surface area',(#22040),#22005); +#22043=PROPERTY_DEFINITION_REPRESENTATION(#22041,#22042); +#22044=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#22048=PROPERTY_DEFINITION('geometric validation property', +'volume of C-776231-1',#22014); +#22049=REPRESENTATION('volume',(#22047),#22005); +#22050=PROPERTY_DEFINITION_REPRESENTATION(#22048,#22049); +#22052=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-776231-1',#22014); +#22053=REPRESENTATION('centroid',(#22051),#22005); +#22054=PROPERTY_DEFINITION_REPRESENTATION(#22052,#22053); +#22055=SHAPE_DEFINITION_REPRESENTATION(#22014,#22006); +ENDSEC; +END-ISO-10303-21; diff --git a/lib/c-776231-2-d-3d.stp b/lib/c-776231-2-d-3d.stp new file mode 100644 index 0000000..7b6996d --- /dev/null +++ b/lib/c-776231-2-d-3d.stp @@ -0,0 +1,22460 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION((''),'2;1'); +FILE_NAME('C-776231-2','2017-12-29T',('workeradm'),('TE Connectivity Ltd.'), +'CREO PARAMETRIC BY PTC INC, 2016050','CREO PARAMETRIC BY PTC INC, 2016050',''); +FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); +ENDSEC; +DATA; +#2=DIRECTION('',(1.E0,0.E0,0.E0)); +#3=VECTOR('',#2,4.7E1); +#4=CARTESIAN_POINT('',(-2.35E1,1.083589838486E1,0.E0)); +#5=LINE('',#4,#3); +#6=CARTESIAN_POINT('',(-2.35E1,5.6E0,0.E0)); +#7=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9=AXIS2_PLACEMENT_3D('',#6,#7,#8); +#11=DIRECTION('',(0.E0,1.E0,0.E0)); +#12=VECTOR('',#11,1.12E1); +#13=CARTESIAN_POINT('',(-2.873589838486E1,-5.6E0,0.E0)); +#14=LINE('',#13,#12); +#15=CARTESIAN_POINT('',(-2.35E1,-5.6E0,0.E0)); +#16=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18=AXIS2_PLACEMENT_3D('',#15,#16,#17); +#20=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21=VECTOR('',#20,4.7E1); +#22=CARTESIAN_POINT('',(2.35E1,-1.083589838486E1,0.E0)); +#23=LINE('',#22,#21); +#24=CARTESIAN_POINT('',(2.35E1,-5.6E0,0.E0)); +#25=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26=DIRECTION('',(1.E0,0.E0,0.E0)); +#27=AXIS2_PLACEMENT_3D('',#24,#25,#26); +#29=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30=VECTOR('',#29,1.12E1); +#31=CARTESIAN_POINT('',(2.873589838486E1,5.6E0,0.E0)); +#32=LINE('',#31,#30); +#33=CARTESIAN_POINT('',(2.35E1,5.6E0,0.E0)); +#34=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35=DIRECTION('',(0.E0,1.E0,0.E0)); +#36=AXIS2_PLACEMENT_3D('',#33,#34,#35); +#38=CARTESIAN_POINT('',(2.35E1,5.6E0,0.E0)); +#39=DIRECTION('',(0.E0,0.E0,1.E0)); +#40=DIRECTION('',(1.E0,0.E0,0.E0)); +#41=AXIS2_PLACEMENT_3D('',#38,#39,#40); +#43=DIRECTION('',(0.E0,1.E0,0.E0)); +#44=VECTOR('',#43,1.12E1); +#45=CARTESIAN_POINT('',(2.92E1,-5.6E0,0.E0)); +#46=LINE('',#45,#44); +#47=CARTESIAN_POINT('',(2.35E1,-5.6E0,0.E0)); +#48=DIRECTION('',(0.E0,0.E0,1.E0)); +#49=DIRECTION('',(0.E0,-1.E0,0.E0)); +#50=AXIS2_PLACEMENT_3D('',#47,#48,#49); +#52=DIRECTION('',(1.E0,0.E0,0.E0)); +#53=VECTOR('',#52,4.7E1); +#54=CARTESIAN_POINT('',(-2.35E1,-1.13E1,0.E0)); +#55=LINE('',#54,#53); +#56=CARTESIAN_POINT('',(-2.35E1,-5.6E0,0.E0)); +#57=DIRECTION('',(0.E0,0.E0,1.E0)); +#58=DIRECTION('',(-1.E0,0.E0,0.E0)); +#59=AXIS2_PLACEMENT_3D('',#56,#57,#58); +#61=DIRECTION('',(0.E0,-1.E0,0.E0)); +#62=VECTOR('',#61,1.12E1); +#63=CARTESIAN_POINT('',(-2.92E1,5.6E0,0.E0)); +#64=LINE('',#63,#62); +#65=CARTESIAN_POINT('',(-2.35E1,5.6E0,0.E0)); +#66=DIRECTION('',(0.E0,0.E0,1.E0)); +#67=DIRECTION('',(0.E0,1.E0,0.E0)); +#68=AXIS2_PLACEMENT_3D('',#65,#66,#67); +#70=DIRECTION('',(-1.E0,0.E0,0.E0)); +#71=VECTOR('',#70,4.7E1); +#72=CARTESIAN_POINT('',(2.35E1,1.13E1,0.E0)); +#73=LINE('',#72,#71); +#74=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); +#75=VECTOR('',#74,2.070552360820E0); +#76=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E0)); +#77=LINE('',#76,#75); +#78=DIRECTION('',(2.588190451025E-1,0.E0,9.659258262891E-1)); +#79=VECTOR('',#78,2.070552360820E0); +#80=CARTESIAN_POINT('',(2.82E1,5.6E0,-2.E0)); +#81=LINE('',#80,#79); +#82=DIRECTION('',(2.588190451025E-1,0.E0,9.659258262891E-1)); +#83=VECTOR('',#82,2.070552360820E0); +#84=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E0)); +#85=LINE('',#84,#83); +#86=DIRECTION('',(0.E0,-2.588190451025E-1,9.659258262891E-1)); +#87=VECTOR('',#86,2.070552360820E0); +#88=CARTESIAN_POINT('',(2.35E1,-1.03E1,-2.E0)); +#89=LINE('',#88,#87); +#90=DIRECTION('',(0.E0,-2.588190451025E-1,9.659258262891E-1)); +#91=VECTOR('',#90,2.070552360820E0); +#92=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E0)); +#93=LINE('',#92,#91); +#94=DIRECTION('',(-2.588190451025E-1,0.E0,9.659258262891E-1)); +#95=VECTOR('',#94,2.070552360820E0); +#96=CARTESIAN_POINT('',(-2.82E1,-5.6E0,-2.E0)); +#97=LINE('',#96,#95); +#98=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); +#99=VECTOR('',#98,2.070552360820E0); +#100=CARTESIAN_POINT('',(-2.35E1,1.03E1,-2.E0)); +#101=LINE('',#100,#99); +#102=DIRECTION('',(-2.588190451025E-1,0.E0,9.659258262891E-1)); +#103=VECTOR('',#102,2.070552360820E0); +#104=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E0)); +#105=LINE('',#104,#103); +#106=CARTESIAN_POINT('',(-2.35E1,5.6E0,-2.E0)); +#107=DIRECTION('',(0.E0,0.E0,1.E0)); +#108=DIRECTION('',(0.E0,1.E0,0.E0)); +#109=AXIS2_PLACEMENT_3D('',#106,#107,#108); +#111=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112=VECTOR('',#111,1.8E1); +#113=CARTESIAN_POINT('',(-2.35E1,1.03E1,-2.E0)); +#114=LINE('',#113,#112); +#115=CARTESIAN_POINT('',(1.535E1,1.03E1,-9.9E0)); +#116=CARTESIAN_POINT('',(1.533142081123E1,1.03E1,-9.918579188768E0)); +#117=CARTESIAN_POINT('',(1.529660340412E1,1.03E1,-9.953546520062E0)); +#118=CARTESIAN_POINT('',(1.525882225745E1,1.03E1,-1.001283399170E1)); +#119=CARTESIAN_POINT('',(1.525E1,1.03E1,-1.005277555995E1)); +#120=CARTESIAN_POINT('',(1.525E1,1.03E1,-1.007320508076E1)); +#122=DIRECTION('',(0.E0,2.165242450519E-14,1.E0)); +#123=VECTOR('',#122,9.926794919245E0); +#124=CARTESIAN_POINT('',(1.525E1,1.03E1,-2.E1)); +#125=LINE('',#124,#123); +#126=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#127=VECTOR('',#126,9.926794919245E0); +#128=CARTESIAN_POINT('',(1.275E1,1.03E1,-2.E1)); +#129=LINE('',#128,#127); +#130=CARTESIAN_POINT('',(1.275E1,1.03E1,-1.007320508075E1)); +#131=CARTESIAN_POINT('',(1.275E1,1.03E1,-1.005277633148E1)); +#132=CARTESIAN_POINT('',(1.274117843809E1,1.03E1,-1.001283577128E1)); +#133=CARTESIAN_POINT('',(1.270339785E1,1.03E1,-9.953547863190E0)); +#134=CARTESIAN_POINT('',(1.266857975156E1,1.03E1,-9.918579751560E0)); +#135=CARTESIAN_POINT('',(1.265E1,1.03E1,-9.9E0)); +#137=DIRECTION('',(-1.E0,0.E0,0.E0)); +#138=VECTOR('',#137,8.E-1); +#139=CARTESIAN_POINT('',(1.265E1,1.03E1,-9.9E0)); +#140=LINE('',#139,#138); +#141=CARTESIAN_POINT('',(1.185E1,1.03E1,-9.9E0)); +#142=CARTESIAN_POINT('',(1.183142081123E1,1.03E1,-9.918579188768E0)); +#143=CARTESIAN_POINT('',(1.179660340412E1,1.03E1,-9.953546520062E0)); +#144=CARTESIAN_POINT('',(1.175882225745E1,1.03E1,-1.001283399170E1)); +#145=CARTESIAN_POINT('',(1.175E1,1.03E1,-1.005277555995E1)); +#146=CARTESIAN_POINT('',(1.175E1,1.03E1,-1.007320508076E1)); +#148=DIRECTION('',(0.E0,2.165242450519E-14,1.E0)); +#149=VECTOR('',#148,9.926794919245E0); +#150=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#151=LINE('',#150,#149); +#152=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#153=VECTOR('',#152,9.926794919245E0); +#154=CARTESIAN_POINT('',(9.25E0,1.03E1,-2.E1)); +#155=LINE('',#154,#153); +#156=CARTESIAN_POINT('',(9.25E0,1.03E1,-1.007320508075E1)); +#157=CARTESIAN_POINT('',(9.249999999999E0,1.03E1,-1.005277633148E1)); +#158=CARTESIAN_POINT('',(9.241178438088E0,1.03E1,-1.001283577128E1)); +#159=CARTESIAN_POINT('',(9.203397850001E0,1.03E1,-9.953547863190E0)); +#160=CARTESIAN_POINT('',(9.168579751560E0,1.03E1,-9.918579751560E0)); +#161=CARTESIAN_POINT('',(9.15E0,1.03E1,-9.9E0)); +#163=DIRECTION('',(-1.E0,0.E0,0.E0)); +#164=VECTOR('',#163,8.E-1); +#165=CARTESIAN_POINT('',(9.15E0,1.03E1,-9.9E0)); +#166=LINE('',#165,#164); +#167=CARTESIAN_POINT('',(8.35E0,1.03E1,-9.9E0)); +#168=CARTESIAN_POINT('',(8.331420811232E0,1.03E1,-9.918579188768E0)); +#169=CARTESIAN_POINT('',(8.296603404117E0,1.03E1,-9.953546520062E0)); +#170=CARTESIAN_POINT('',(8.258822257453E0,1.03E1,-1.001283399170E1)); +#171=CARTESIAN_POINT('',(8.250000000001E0,1.03E1,-1.005277555995E1)); +#172=CARTESIAN_POINT('',(8.25E0,1.03E1,-1.007320508076E1)); +#174=DIRECTION('',(0.E0,2.165242450519E-14,1.E0)); +#175=VECTOR('',#174,9.926794919245E0); +#176=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#177=LINE('',#176,#175); +#178=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#179=VECTOR('',#178,9.926794919245E0); +#180=CARTESIAN_POINT('',(5.75E0,1.03E1,-2.E1)); +#181=LINE('',#180,#179); +#182=CARTESIAN_POINT('',(5.75E0,1.03E1,-1.007320508075E1)); +#183=CARTESIAN_POINT('',(5.749999999999E0,1.03E1,-1.005277633148E1)); +#184=CARTESIAN_POINT('',(5.741178438088E0,1.03E1,-1.001283577128E1)); +#185=CARTESIAN_POINT('',(5.703397850001E0,1.03E1,-9.953547863190E0)); +#186=CARTESIAN_POINT('',(5.668579751560E0,1.03E1,-9.918579751560E0)); +#187=CARTESIAN_POINT('',(5.65E0,1.03E1,-9.9E0)); +#189=DIRECTION('',(-1.E0,0.E0,0.E0)); +#190=VECTOR('',#189,8.E-1); +#191=CARTESIAN_POINT('',(5.65E0,1.03E1,-9.9E0)); +#192=LINE('',#191,#190); +#193=CARTESIAN_POINT('',(4.85E0,1.03E1,-9.9E0)); +#194=CARTESIAN_POINT('',(4.831420811232E0,1.03E1,-9.918579188768E0)); +#195=CARTESIAN_POINT('',(4.796603404117E0,1.03E1,-9.953546520062E0)); +#196=CARTESIAN_POINT('',(4.758822257453E0,1.03E1,-1.001283399170E1)); +#197=CARTESIAN_POINT('',(4.750000000001E0,1.03E1,-1.005277555995E1)); +#198=CARTESIAN_POINT('',(4.75E0,1.03E1,-1.007320508076E1)); +#200=DIRECTION('',(0.E0,2.165242450519E-14,1.E0)); +#201=VECTOR('',#200,9.926794919245E0); +#202=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#203=LINE('',#202,#201); +#204=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#205=VECTOR('',#204,9.926794919245E0); +#206=CARTESIAN_POINT('',(2.425E0,1.03E1,-2.E1)); +#207=LINE('',#206,#205); +#208=CARTESIAN_POINT('',(2.425E0,1.03E1,-1.007320508075E1)); +#209=CARTESIAN_POINT('',(2.424999999999E0,1.03E1,-1.005277633148E1)); +#210=CARTESIAN_POINT('',(2.416178438088E0,1.03E1,-1.001283577128E1)); +#211=CARTESIAN_POINT('',(2.378397850001E0,1.03E1,-9.953547863190E0)); +#212=CARTESIAN_POINT('',(2.343579751560E0,1.03E1,-9.918579751560E0)); +#213=CARTESIAN_POINT('',(2.325E0,1.03E1,-9.9E0)); +#215=DIRECTION('',(-1.E0,0.E0,0.E0)); +#216=VECTOR('',#215,1.15E0); +#217=CARTESIAN_POINT('',(2.325E0,1.03E1,-9.9E0)); +#218=LINE('',#217,#216); +#219=CARTESIAN_POINT('',(1.175E0,1.03E1,-9.9E0)); +#220=CARTESIAN_POINT('',(1.156420811232E0,1.03E1,-9.918579188768E0)); +#221=CARTESIAN_POINT('',(1.121603404117E0,1.03E1,-9.953546520062E0)); +#222=CARTESIAN_POINT('',(1.083822257453E0,1.03E1,-1.001283399170E1)); +#223=CARTESIAN_POINT('',(1.075000000001E0,1.03E1,-1.005277555995E1)); +#224=CARTESIAN_POINT('',(1.075E0,1.03E1,-1.007320508076E1)); +#226=DIRECTION('',(0.E0,2.147347884812E-14,1.E0)); +#227=VECTOR('',#226,9.926794919245E0); +#228=CARTESIAN_POINT('',(1.075E0,1.03E1,-2.E1)); +#229=LINE('',#228,#227); +#230=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#231=VECTOR('',#230,9.926794919245E0); +#232=CARTESIAN_POINT('',(-1.25E0,1.03E1,-2.E1)); +#233=LINE('',#232,#231); +#234=CARTESIAN_POINT('',(-1.25E0,1.03E1,-1.007320508075E1)); +#235=CARTESIAN_POINT('',(-1.250000000001E0,1.03E1,-1.005277633148E1)); +#236=CARTESIAN_POINT('',(-1.258821561912E0,1.03E1,-1.001283577128E1)); +#237=CARTESIAN_POINT('',(-1.296602149999E0,1.03E1,-9.953547863190E0)); +#238=CARTESIAN_POINT('',(-1.331420248440E0,1.03E1,-9.918579751560E0)); +#239=CARTESIAN_POINT('',(-1.35E0,1.03E1,-9.9E0)); +#241=DIRECTION('',(-1.E0,0.E0,0.E0)); +#242=VECTOR('',#241,8.E-1); +#243=CARTESIAN_POINT('',(-1.35E0,1.03E1,-9.9E0)); +#244=LINE('',#243,#242); +#245=CARTESIAN_POINT('',(-2.15E0,1.03E1,-9.9E0)); +#246=CARTESIAN_POINT('',(-2.168579188768E0,1.03E1,-9.918579188768E0)); +#247=CARTESIAN_POINT('',(-2.203396595883E0,1.03E1,-9.953546520062E0)); +#248=CARTESIAN_POINT('',(-2.241177742547E0,1.03E1,-1.001283399170E1)); +#249=CARTESIAN_POINT('',(-2.249999999999E0,1.03E1,-1.005277555995E1)); +#250=CARTESIAN_POINT('',(-2.25E0,1.03E1,-1.007320508076E1)); +#252=DIRECTION('',(0.E0,2.165242450519E-14,1.E0)); +#253=VECTOR('',#252,9.926794919245E0); +#254=CARTESIAN_POINT('',(-2.25E0,1.03E1,-2.E1)); +#255=LINE('',#254,#253); +#256=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#257=VECTOR('',#256,9.926794919245E0); +#258=CARTESIAN_POINT('',(-4.75E0,1.03E1,-2.E1)); +#259=LINE('',#258,#257); +#260=CARTESIAN_POINT('',(-4.75E0,1.03E1,-1.007320508075E1)); +#261=CARTESIAN_POINT('',(-4.750000000001E0,1.03E1,-1.005277633148E1)); +#262=CARTESIAN_POINT('',(-4.758821561912E0,1.03E1,-1.001283577128E1)); +#263=CARTESIAN_POINT('',(-4.796602149999E0,1.03E1,-9.953547863190E0)); +#264=CARTESIAN_POINT('',(-4.831420248440E0,1.03E1,-9.918579751560E0)); +#265=CARTESIAN_POINT('',(-4.85E0,1.03E1,-9.9E0)); +#267=DIRECTION('',(-1.E0,0.E0,0.E0)); +#268=VECTOR('',#267,8.E-1); +#269=CARTESIAN_POINT('',(-4.85E0,1.03E1,-9.9E0)); +#270=LINE('',#269,#268); +#271=CARTESIAN_POINT('',(-5.65E0,1.03E1,-9.9E0)); +#272=CARTESIAN_POINT('',(-5.668579188768E0,1.03E1,-9.918579188768E0)); +#273=CARTESIAN_POINT('',(-5.703396595883E0,1.03E1,-9.953546520062E0)); +#274=CARTESIAN_POINT('',(-5.741177742547E0,1.03E1,-1.001283399170E1)); +#275=CARTESIAN_POINT('',(-5.749999999999E0,1.03E1,-1.005277555995E1)); +#276=CARTESIAN_POINT('',(-5.75E0,1.03E1,-1.007320508076E1)); +#278=DIRECTION('',(0.E0,2.183137016226E-14,1.E0)); +#279=VECTOR('',#278,9.926794919245E0); +#280=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#281=LINE('',#280,#279); +#282=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#283=VECTOR('',#282,9.926794919245E0); +#284=CARTESIAN_POINT('',(-8.25E0,1.03E1,-2.E1)); +#285=LINE('',#284,#283); +#286=CARTESIAN_POINT('',(-8.25E0,1.03E1,-1.007320508075E1)); +#287=CARTESIAN_POINT('',(-8.250000000001E0,1.03E1,-1.005277633148E1)); +#288=CARTESIAN_POINT('',(-8.258821561912E0,1.03E1,-1.001283577128E1)); +#289=CARTESIAN_POINT('',(-8.296602149999E0,1.03E1,-9.953547863190E0)); +#290=CARTESIAN_POINT('',(-8.331420248440E0,1.03E1,-9.918579751560E0)); +#291=CARTESIAN_POINT('',(-8.35E0,1.03E1,-9.9E0)); +#293=DIRECTION('',(-1.E0,0.E0,0.E0)); +#294=VECTOR('',#293,8.E-1); +#295=CARTESIAN_POINT('',(-8.35E0,1.03E1,-9.9E0)); +#296=LINE('',#295,#294); +#297=CARTESIAN_POINT('',(-9.15E0,1.03E1,-9.9E0)); +#298=CARTESIAN_POINT('',(-9.168579188768E0,1.03E1,-9.918579188768E0)); +#299=CARTESIAN_POINT('',(-9.203396595883E0,1.03E1,-9.953546520062E0)); +#300=CARTESIAN_POINT('',(-9.241177742547E0,1.03E1,-1.001283399170E1)); +#301=CARTESIAN_POINT('',(-9.249999999999E0,1.03E1,-1.005277555995E1)); +#302=CARTESIAN_POINT('',(-9.25E0,1.03E1,-1.007320508076E1)); +#304=DIRECTION('',(0.E0,2.165242450519E-14,1.E0)); +#305=VECTOR('',#304,9.926794919245E0); +#306=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#307=LINE('',#306,#305); +#308=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#309=VECTOR('',#308,9.926794919245E0); +#310=CARTESIAN_POINT('',(-1.175E1,1.03E1,-2.E1)); +#311=LINE('',#310,#309); +#312=CARTESIAN_POINT('',(-1.175E1,1.03E1,-1.007320508075E1)); +#313=CARTESIAN_POINT('',(-1.175E1,1.03E1,-1.005277633148E1)); +#314=CARTESIAN_POINT('',(-1.175882156191E1,1.03E1,-1.001283577128E1)); +#315=CARTESIAN_POINT('',(-1.179660215E1,1.03E1,-9.953547863190E0)); +#316=CARTESIAN_POINT('',(-1.183142024844E1,1.03E1,-9.918579751560E0)); +#317=CARTESIAN_POINT('',(-1.185E1,1.03E1,-9.9E0)); +#319=DIRECTION('',(-1.E0,0.E0,0.E0)); +#320=VECTOR('',#319,8.E-1); +#321=CARTESIAN_POINT('',(-1.185E1,1.03E1,-9.9E0)); +#322=LINE('',#321,#320); +#323=CARTESIAN_POINT('',(-1.265E1,1.03E1,-9.9E0)); +#324=CARTESIAN_POINT('',(-1.266857918877E1,1.03E1,-9.918579188768E0)); +#325=CARTESIAN_POINT('',(-1.270339659588E1,1.03E1,-9.953546520062E0)); +#326=CARTESIAN_POINT('',(-1.274117774255E1,1.03E1,-1.001283399170E1)); +#327=CARTESIAN_POINT('',(-1.275E1,1.03E1,-1.005277555995E1)); +#328=CARTESIAN_POINT('',(-1.275E1,1.03E1,-1.007320508076E1)); +#330=DIRECTION('',(0.E0,2.165242450519E-14,1.E0)); +#331=VECTOR('',#330,9.926794919245E0); +#332=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#333=LINE('',#332,#331); +#334=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#335=VECTOR('',#334,9.926794919245E0); +#336=CARTESIAN_POINT('',(-1.525E1,1.03E1,-2.E1)); +#337=LINE('',#336,#335); +#338=CARTESIAN_POINT('',(-1.525E1,1.03E1,-1.007320508075E1)); +#339=CARTESIAN_POINT('',(-1.525E1,1.03E1,-1.005277633148E1)); +#340=CARTESIAN_POINT('',(-1.525882156191E1,1.03E1,-1.001283577128E1)); +#341=CARTESIAN_POINT('',(-1.529660215E1,1.03E1,-9.953547863190E0)); +#342=CARTESIAN_POINT('',(-1.533142024844E1,1.03E1,-9.918579751560E0)); +#343=CARTESIAN_POINT('',(-1.535E1,1.03E1,-9.9E0)); +#345=DIRECTION('',(-1.E0,0.E0,0.E0)); +#346=VECTOR('',#345,8.E-1); +#347=CARTESIAN_POINT('',(-1.535E1,1.03E1,-9.9E0)); +#348=LINE('',#347,#346); +#349=CARTESIAN_POINT('',(-1.615E1,1.03E1,-9.9E0)); +#350=CARTESIAN_POINT('',(-1.616857918877E1,1.03E1,-9.918579188768E0)); +#351=CARTESIAN_POINT('',(-1.620339659588E1,1.03E1,-9.953546520062E0)); +#352=CARTESIAN_POINT('',(-1.624117774255E1,1.03E1,-1.001283399170E1)); +#353=CARTESIAN_POINT('',(-1.625E1,1.03E1,-1.005277555995E1)); +#354=CARTESIAN_POINT('',(-1.625E1,1.03E1,-1.007320508076E1)); +#356=DIRECTION('',(0.E0,2.183137016226E-14,1.E0)); +#357=VECTOR('',#356,9.926794919245E0); +#358=CARTESIAN_POINT('',(-1.625E1,1.03E1,-2.E1)); +#359=LINE('',#358,#357); +#360=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#361=VECTOR('',#360,9.926794919245E0); +#362=CARTESIAN_POINT('',(-1.875E1,1.03E1,-2.E1)); +#363=LINE('',#362,#361); +#364=CARTESIAN_POINT('',(-1.875E1,1.03E1,-1.007320508075E1)); +#365=CARTESIAN_POINT('',(-1.875E1,1.03E1,-1.005277633148E1)); +#366=CARTESIAN_POINT('',(-1.875882156191E1,1.03E1,-1.001283577128E1)); +#367=CARTESIAN_POINT('',(-1.879660215E1,1.03E1,-9.953547863190E0)); +#368=CARTESIAN_POINT('',(-1.883142024844E1,1.03E1,-9.918579751560E0)); +#369=CARTESIAN_POINT('',(-1.885E1,1.03E1,-9.9E0)); +#371=DIRECTION('',(-1.E0,0.E0,0.E0)); +#372=VECTOR('',#371,8.E-1); +#373=CARTESIAN_POINT('',(-1.885E1,1.03E1,-9.9E0)); +#374=LINE('',#373,#372); +#375=CARTESIAN_POINT('',(-1.965E1,1.03E1,-9.9E0)); +#376=CARTESIAN_POINT('',(-1.966857918877E1,1.03E1,-9.918579188768E0)); +#377=CARTESIAN_POINT('',(-1.970339659588E1,1.03E1,-9.953546520062E0)); +#378=CARTESIAN_POINT('',(-1.974117774255E1,1.03E1,-1.001283399170E1)); +#379=CARTESIAN_POINT('',(-1.975E1,1.03E1,-1.005277555995E1)); +#380=CARTESIAN_POINT('',(-1.975E1,1.03E1,-1.007320508076E1)); +#382=DIRECTION('',(0.E0,2.183137016226E-14,1.E0)); +#383=VECTOR('',#382,9.926794919245E0); +#384=CARTESIAN_POINT('',(-1.975E1,1.03E1,-2.E1)); +#385=LINE('',#384,#383); +#386=DIRECTION('',(-1.E0,0.E0,0.E0)); +#387=VECTOR('',#386,4.7E1); +#388=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E0)); +#389=LINE('',#388,#387); +#390=DIRECTION('',(0.E0,0.E0,-1.E0)); +#391=VECTOR('',#390,1.8E1); +#392=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E0)); +#393=LINE('',#392,#391); +#394=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#395=VECTOR('',#394,9.926794919245E0); +#396=CARTESIAN_POINT('',(1.975E1,1.03E1,-2.E1)); +#397=LINE('',#396,#395); +#398=CARTESIAN_POINT('',(1.975E1,1.03E1,-1.007320508075E1)); +#399=CARTESIAN_POINT('',(1.975E1,1.03E1,-1.005277633148E1)); +#400=CARTESIAN_POINT('',(1.974117843809E1,1.03E1,-1.001283577128E1)); +#401=CARTESIAN_POINT('',(1.970339785E1,1.03E1,-9.953547863190E0)); +#402=CARTESIAN_POINT('',(1.966857975156E1,1.03E1,-9.918579751560E0)); +#403=CARTESIAN_POINT('',(1.965E1,1.03E1,-9.9E0)); +#405=DIRECTION('',(-1.E0,0.E0,0.E0)); +#406=VECTOR('',#405,8.E-1); +#407=CARTESIAN_POINT('',(1.965E1,1.03E1,-9.9E0)); +#408=LINE('',#407,#406); +#409=CARTESIAN_POINT('',(1.885E1,1.03E1,-9.9E0)); +#410=CARTESIAN_POINT('',(1.883142081123E1,1.03E1,-9.918579188768E0)); +#411=CARTESIAN_POINT('',(1.879660340412E1,1.03E1,-9.953546520062E0)); +#412=CARTESIAN_POINT('',(1.875882225745E1,1.03E1,-1.001283399170E1)); +#413=CARTESIAN_POINT('',(1.875E1,1.03E1,-1.005277555995E1)); +#414=CARTESIAN_POINT('',(1.875E1,1.03E1,-1.007320508076E1)); +#416=DIRECTION('',(0.E0,2.147347884812E-14,1.E0)); +#417=VECTOR('',#416,9.926794919245E0); +#418=CARTESIAN_POINT('',(1.875E1,1.03E1,-2.E1)); +#419=LINE('',#418,#417); +#420=DIRECTION('',(0.E0,2.791552250256E-14,1.E0)); +#421=VECTOR('',#420,9.926794919245E0); +#422=CARTESIAN_POINT('',(1.625E1,1.03E1,-2.E1)); +#423=LINE('',#422,#421); +#424=CARTESIAN_POINT('',(1.625E1,1.03E1,-1.007320508075E1)); +#425=CARTESIAN_POINT('',(1.625E1,1.03E1,-1.005277633148E1)); +#426=CARTESIAN_POINT('',(1.624117843809E1,1.03E1,-1.001283577128E1)); +#427=CARTESIAN_POINT('',(1.620339785E1,1.03E1,-9.953547863190E0)); +#428=CARTESIAN_POINT('',(1.616857975156E1,1.03E1,-9.918579751560E0)); +#429=CARTESIAN_POINT('',(1.615E1,1.03E1,-9.9E0)); +#431=DIRECTION('',(-1.E0,0.E0,0.E0)); +#432=VECTOR('',#431,8.E-1); +#433=CARTESIAN_POINT('',(1.615E1,1.03E1,-9.9E0)); +#434=LINE('',#433,#432); +#435=CARTESIAN_POINT('',(1.535E1,1.02E1,-9.9E0)); +#436=DIRECTION('',(1.E0,0.E0,0.E0)); +#437=DIRECTION('',(0.E0,0.E0,-1.E0)); +#438=AXIS2_PLACEMENT_3D('',#435,#436,#437); +#440=CARTESIAN_POINT('',(1.535E1,1.02E1,-1.01E1)); +#441=DIRECTION('',(0.E0,1.E0,-2.331468351713E-14)); +#442=DIRECTION('',(-1.E0,0.E0,0.E0)); +#443=AXIS2_PLACEMENT_3D('',#440,#441,#442); +#445=DIRECTION('',(0.E0,-1.E0,-4.844609500002E-14)); +#446=VECTOR('',#445,1.100000014077E0); +#447=CARTESIAN_POINT('',(1.525E1,1.02E1,-1.01E1)); +#448=LINE('',#447,#446); +#449=CARTESIAN_POINT('',(1.525E1,1.02E1,-9.9E0)); +#450=DIRECTION('',(1.E0,0.E0,0.E0)); +#451=DIRECTION('',(0.E0,0.E0,-1.E0)); +#452=AXIS2_PLACEMENT_3D('',#449,#450,#451); +#454=DIRECTION('',(4.117918127699E-13,-1.E0,0.E0)); +#455=VECTOR('',#454,1.1E0); +#456=CARTESIAN_POINT('',(1.535E1,1.02E1,-1.E1)); +#457=LINE('',#456,#455); +#458=DIRECTION('',(-1.E0,0.E0,0.E0)); +#459=VECTOR('',#458,8.E-1); +#460=CARTESIAN_POINT('',(1.615E1,1.02E1,-1.E1)); +#461=LINE('',#460,#459); +#462=DIRECTION('',(0.E0,1.E0,0.E0)); +#463=VECTOR('',#462,1.1E0); +#464=CARTESIAN_POINT('',(1.615E1,9.1E0,-1.E1)); +#465=LINE('',#464,#463); +#466=CARTESIAN_POINT('',(1.575E1,9.1E0,-1.E1)); +#467=DIRECTION('',(0.E0,0.E0,1.E0)); +#468=DIRECTION('',(-1.E0,0.E0,0.E0)); +#469=AXIS2_PLACEMENT_3D('',#466,#467,#468); +#471=DIRECTION('',(4.069472032079E-13,-1.E0,0.E0)); +#472=VECTOR('',#471,1.1E0); +#473=CARTESIAN_POINT('',(1.885E1,1.02E1,-1.E1)); +#474=LINE('',#473,#472); +#475=DIRECTION('',(-1.E0,0.E0,0.E0)); +#476=VECTOR('',#475,8.E-1); +#477=CARTESIAN_POINT('',(1.965E1,1.02E1,-1.E1)); +#478=LINE('',#477,#476); +#479=DIRECTION('',(0.E0,1.E0,0.E0)); +#480=VECTOR('',#479,1.1E0); +#481=CARTESIAN_POINT('',(1.965E1,9.1E0,-1.E1)); +#482=LINE('',#481,#480); +#483=CARTESIAN_POINT('',(1.925E1,9.1E0,-1.E1)); +#484=DIRECTION('',(0.E0,0.E0,1.E0)); +#485=DIRECTION('',(-1.E0,0.E0,0.E0)); +#486=AXIS2_PLACEMENT_3D('',#483,#484,#485); +#488=DIRECTION('',(4.117918127699E-13,-1.E0,0.E0)); +#489=VECTOR('',#488,1.1E0); +#490=CARTESIAN_POINT('',(1.185E1,1.02E1,-1.E1)); +#491=LINE('',#490,#489); +#492=DIRECTION('',(-1.E0,0.E0,0.E0)); +#493=VECTOR('',#492,8.E-1); +#494=CARTESIAN_POINT('',(1.265E1,1.02E1,-1.E1)); +#495=LINE('',#494,#493); +#496=DIRECTION('',(0.E0,1.E0,0.E0)); +#497=VECTOR('',#496,1.1E0); +#498=CARTESIAN_POINT('',(1.265E1,9.1E0,-1.E1)); +#499=LINE('',#498,#497); +#500=CARTESIAN_POINT('',(1.225E1,9.1E0,-1.E1)); +#501=DIRECTION('',(0.E0,0.E0,1.E0)); +#502=DIRECTION('',(-1.E0,0.E0,0.E0)); +#503=AXIS2_PLACEMENT_3D('',#500,#501,#502); +#505=DIRECTION('',(4.101769429159E-13,-1.E0,0.E0)); +#506=VECTOR('',#505,1.1E0); +#507=CARTESIAN_POINT('',(8.35E0,1.02E1,-1.E1)); +#508=LINE('',#507,#506); +#509=DIRECTION('',(-1.E0,0.E0,0.E0)); +#510=VECTOR('',#509,8.E-1); +#511=CARTESIAN_POINT('',(9.15E0,1.02E1,-1.E1)); +#512=LINE('',#511,#510); +#513=DIRECTION('',(0.E0,1.E0,0.E0)); +#514=VECTOR('',#513,1.1E0); +#515=CARTESIAN_POINT('',(9.15E0,9.1E0,-1.E1)); +#516=LINE('',#515,#514); +#517=CARTESIAN_POINT('',(8.75E0,9.1E0,-1.E1)); +#518=DIRECTION('',(0.E0,0.E0,1.E0)); +#519=DIRECTION('',(-1.E0,0.E0,0.E0)); +#520=AXIS2_PLACEMENT_3D('',#517,#518,#519); +#522=DIRECTION('',(4.093695079889E-13,-1.E0,0.E0)); +#523=VECTOR('',#522,1.1E0); +#524=CARTESIAN_POINT('',(4.85E0,1.02E1,-1.E1)); +#525=LINE('',#524,#523); +#526=DIRECTION('',(-1.E0,0.E0,0.E0)); +#527=VECTOR('',#526,8.E-1); +#528=CARTESIAN_POINT('',(5.65E0,1.02E1,-1.E1)); +#529=LINE('',#528,#527); +#530=DIRECTION('',(0.E0,1.E0,0.E0)); +#531=VECTOR('',#530,1.1E0); +#532=CARTESIAN_POINT('',(5.65E0,9.1E0,-1.E1)); +#533=LINE('',#532,#531); +#534=CARTESIAN_POINT('',(5.25E0,9.1E0,-1.E1)); +#535=DIRECTION('',(0.E0,0.E0,1.E0)); +#536=DIRECTION('',(-1.E0,0.E0,0.E0)); +#537=AXIS2_PLACEMENT_3D('',#534,#535,#536); +#539=DIRECTION('',(4.592404887743E-13,-1.E0,0.E0)); +#540=VECTOR('',#539,2.125E0); +#541=CARTESIAN_POINT('',(1.175E0,1.02E1,-1.E1)); +#542=LINE('',#541,#540); +#543=DIRECTION('',(-1.E0,0.E0,0.E0)); +#544=VECTOR('',#543,1.15E0); +#545=CARTESIAN_POINT('',(2.325E0,1.02E1,-1.E1)); +#546=LINE('',#545,#544); +#547=DIRECTION('',(0.E0,1.E0,0.E0)); +#548=VECTOR('',#547,2.125E0); +#549=CARTESIAN_POINT('',(2.325E0,8.075E0,-1.E1)); +#550=LINE('',#549,#548); +#551=CARTESIAN_POINT('',(1.75E0,8.075E0,-1.E1)); +#552=DIRECTION('',(0.E0,0.E0,1.E0)); +#553=DIRECTION('',(-1.E0,0.E0,0.E0)); +#554=AXIS2_PLACEMENT_3D('',#551,#552,#553); +#556=DIRECTION('',(4.097732254524E-13,-1.E0,0.E0)); +#557=VECTOR('',#556,1.1E0); +#558=CARTESIAN_POINT('',(-2.15E0,1.02E1,-1.E1)); +#559=LINE('',#558,#557); +#560=DIRECTION('',(-1.E0,0.E0,0.E0)); +#561=VECTOR('',#560,8.E-1); +#562=CARTESIAN_POINT('',(-1.35E0,1.02E1,-1.E1)); +#563=LINE('',#562,#561); +#564=DIRECTION('',(0.E0,1.E0,0.E0)); +#565=VECTOR('',#564,1.1E0); +#566=CARTESIAN_POINT('',(-1.35E0,9.1E0,-1.E1)); +#567=LINE('',#566,#565); +#568=CARTESIAN_POINT('',(-1.75E0,9.1E0,-1.E1)); +#569=DIRECTION('',(0.E0,0.E0,1.E0)); +#570=DIRECTION('',(-1.E0,0.E0,0.E0)); +#571=AXIS2_PLACEMENT_3D('',#568,#569,#570); +#573=DIRECTION('',(4.109843778429E-13,-1.E0,0.E0)); +#574=VECTOR('',#573,1.1E0); +#575=CARTESIAN_POINT('',(-5.65E0,1.02E1,-1.E1)); +#576=LINE('',#575,#574); +#577=DIRECTION('',(-1.E0,0.E0,0.E0)); +#578=VECTOR('',#577,8.E-1); +#579=CARTESIAN_POINT('',(-4.85E0,1.02E1,-1.E1)); +#580=LINE('',#579,#578); +#581=DIRECTION('',(0.E0,1.E0,0.E0)); +#582=VECTOR('',#581,1.1E0); +#583=CARTESIAN_POINT('',(-4.85E0,9.1E0,-1.E1)); +#584=LINE('',#583,#582); +#585=CARTESIAN_POINT('',(-5.25E0,9.1E0,-1.E1)); +#586=DIRECTION('',(0.E0,0.E0,1.E0)); +#587=DIRECTION('',(-1.E0,0.E0,0.E0)); +#588=AXIS2_PLACEMENT_3D('',#585,#586,#587); +#590=DIRECTION('',(4.117918127699E-13,-1.E0,0.E0)); +#591=VECTOR('',#590,1.1E0); +#592=CARTESIAN_POINT('',(-9.15E0,1.02E1,-1.E1)); +#593=LINE('',#592,#591); +#594=DIRECTION('',(-1.E0,0.E0,0.E0)); +#595=VECTOR('',#594,8.E-1); +#596=CARTESIAN_POINT('',(-8.35E0,1.02E1,-1.E1)); +#597=LINE('',#596,#595); +#598=DIRECTION('',(0.E0,1.E0,0.E0)); +#599=VECTOR('',#598,1.1E0); +#600=CARTESIAN_POINT('',(-8.35E0,9.1E0,-1.E1)); +#601=LINE('',#600,#599); +#602=CARTESIAN_POINT('',(-8.75E0,9.1E0,-1.E1)); +#603=DIRECTION('',(0.E0,0.E0,1.E0)); +#604=DIRECTION('',(-1.E0,0.E0,0.E0)); +#605=AXIS2_PLACEMENT_3D('',#602,#603,#604); +#607=DIRECTION('',(4.117918127699E-13,-1.E0,0.E0)); +#608=VECTOR('',#607,1.1E0); +#609=CARTESIAN_POINT('',(-1.265E1,1.02E1,-1.E1)); +#610=LINE('',#609,#608); +#611=DIRECTION('',(-1.E0,0.E0,0.E0)); +#612=VECTOR('',#611,8.E-1); +#613=CARTESIAN_POINT('',(-1.185E1,1.02E1,-1.E1)); +#614=LINE('',#613,#612); +#615=DIRECTION('',(0.E0,1.E0,0.E0)); +#616=VECTOR('',#615,1.1E0); +#617=CARTESIAN_POINT('',(-1.185E1,9.1E0,-1.E1)); +#618=LINE('',#617,#616); +#619=CARTESIAN_POINT('',(-1.225E1,9.1E0,-1.E1)); +#620=DIRECTION('',(0.E0,0.E0,1.E0)); +#621=DIRECTION('',(-1.E0,0.E0,0.E0)); +#622=AXIS2_PLACEMENT_3D('',#619,#620,#621); +#624=DIRECTION('',(4.101769429159E-13,-1.E0,0.E0)); +#625=VECTOR('',#624,1.1E0); +#626=CARTESIAN_POINT('',(-1.615E1,1.02E1,-1.E1)); +#627=LINE('',#626,#625); +#628=DIRECTION('',(-1.E0,0.E0,0.E0)); +#629=VECTOR('',#628,8.E-1); +#630=CARTESIAN_POINT('',(-1.535E1,1.02E1,-1.E1)); +#631=LINE('',#630,#629); +#632=DIRECTION('',(0.E0,1.E0,0.E0)); +#633=VECTOR('',#632,1.1E0); +#634=CARTESIAN_POINT('',(-1.535E1,9.1E0,-1.E1)); +#635=LINE('',#634,#633); +#636=CARTESIAN_POINT('',(-1.575E1,9.1E0,-1.E1)); +#637=DIRECTION('',(0.E0,0.E0,1.E0)); +#638=DIRECTION('',(-1.E0,0.E0,0.E0)); +#639=AXIS2_PLACEMENT_3D('',#636,#637,#638); +#641=DIRECTION('',(-1.E0,0.E0,0.E0)); +#642=VECTOR('',#641,8.E-1); +#643=CARTESIAN_POINT('',(-1.885E1,1.02E1,-1.E1)); +#644=LINE('',#643,#642); +#645=DIRECTION('',(0.E0,1.E0,0.E0)); +#646=VECTOR('',#645,1.1E0); +#647=CARTESIAN_POINT('',(-1.885E1,9.1E0,-1.E1)); +#648=LINE('',#647,#646); +#649=CARTESIAN_POINT('',(-1.925E1,9.1E0,-1.E1)); +#650=DIRECTION('',(0.E0,0.E0,1.E0)); +#651=DIRECTION('',(-1.E0,0.E0,0.E0)); +#652=AXIS2_PLACEMENT_3D('',#649,#650,#651); +#654=DIRECTION('',(4.166364223319E-13,-1.E0,0.E0)); +#655=VECTOR('',#654,1.1E0); +#656=CARTESIAN_POINT('',(-1.965E1,1.02E1,-1.E1)); +#657=LINE('',#656,#655); +#658=DIRECTION('',(1.E0,0.E0,0.E0)); +#659=VECTOR('',#658,8.E-1); +#660=CARTESIAN_POINT('',(-1.965E1,-1.02E1,-1.E1)); +#661=LINE('',#660,#659); +#662=DIRECTION('',(0.E0,-1.E0,0.E0)); +#663=VECTOR('',#662,1.1E0); +#664=CARTESIAN_POINT('',(-1.965E1,-9.1E0,-1.E1)); +#665=LINE('',#664,#663); +#666=CARTESIAN_POINT('',(-1.925E1,-9.1E0,-1.E1)); +#667=DIRECTION('',(0.E0,0.E0,1.E0)); +#668=DIRECTION('',(1.E0,0.E0,0.E0)); +#669=AXIS2_PLACEMENT_3D('',#666,#667,#668); +#671=DIRECTION('',(-4.037174634999E-13,1.E0,0.E0)); +#672=VECTOR('',#671,1.1E0); +#673=CARTESIAN_POINT('',(-1.885E1,-1.02E1,-1.E1)); +#674=LINE('',#673,#672); +#675=DIRECTION('',(-4.117918127699E-13,1.E0,0.E0)); +#676=VECTOR('',#675,1.1E0); +#677=CARTESIAN_POINT('',(-1.535E1,-1.02E1,-1.E1)); +#678=LINE('',#677,#676); +#679=DIRECTION('',(1.E0,0.E0,0.E0)); +#680=VECTOR('',#679,8.E-1); +#681=CARTESIAN_POINT('',(-1.615E1,-1.02E1,-1.E1)); +#682=LINE('',#681,#680); +#683=DIRECTION('',(0.E0,-1.E0,0.E0)); +#684=VECTOR('',#683,1.1E0); +#685=CARTESIAN_POINT('',(-1.615E1,-9.1E0,-1.E1)); +#686=LINE('',#685,#684); +#687=CARTESIAN_POINT('',(-1.575E1,-9.1E0,-1.E1)); +#688=DIRECTION('',(0.E0,0.E0,1.E0)); +#689=DIRECTION('',(1.E0,0.E0,0.E0)); +#690=AXIS2_PLACEMENT_3D('',#687,#688,#689); +#692=DIRECTION('',(-4.117918127699E-13,1.E0,0.E0)); +#693=VECTOR('',#692,1.1E0); +#694=CARTESIAN_POINT('',(-1.185E1,-1.02E1,-1.E1)); +#695=LINE('',#694,#693); +#696=DIRECTION('',(1.E0,0.E0,0.E0)); +#697=VECTOR('',#696,8.E-1); +#698=CARTESIAN_POINT('',(-1.265E1,-1.02E1,-1.E1)); +#699=LINE('',#698,#697); +#700=DIRECTION('',(0.E0,-1.E0,0.E0)); +#701=VECTOR('',#700,1.1E0); +#702=CARTESIAN_POINT('',(-1.265E1,-9.1E0,-1.E1)); +#703=LINE('',#702,#701); +#704=CARTESIAN_POINT('',(-1.225E1,-9.1E0,-1.E1)); +#705=DIRECTION('',(0.E0,0.E0,1.E0)); +#706=DIRECTION('',(1.E0,0.E0,0.E0)); +#707=AXIS2_PLACEMENT_3D('',#704,#705,#706); +#709=DIRECTION('',(-4.117918127699E-13,1.E0,0.E0)); +#710=VECTOR('',#709,1.1E0); +#711=CARTESIAN_POINT('',(-8.35E0,-1.02E1,-1.E1)); +#712=LINE('',#711,#710); +#713=DIRECTION('',(1.E0,0.E0,0.E0)); +#714=VECTOR('',#713,8.E-1); +#715=CARTESIAN_POINT('',(-9.15E0,-1.02E1,-1.E1)); +#716=LINE('',#715,#714); +#717=DIRECTION('',(0.E0,-1.E0,0.E0)); +#718=VECTOR('',#717,1.1E0); +#719=CARTESIAN_POINT('',(-9.15E0,-9.1E0,-1.E1)); +#720=LINE('',#719,#718); +#721=CARTESIAN_POINT('',(-8.75E0,-9.1E0,-1.E1)); +#722=DIRECTION('',(0.E0,0.E0,1.E0)); +#723=DIRECTION('',(1.E0,0.E0,0.E0)); +#724=AXIS2_PLACEMENT_3D('',#721,#722,#723); +#726=DIRECTION('',(1.E0,0.E0,0.E0)); +#727=VECTOR('',#726,1.15E0); +#728=CARTESIAN_POINT('',(-5.825E0,-1.02E1,-1.E1)); +#729=LINE('',#728,#727); +#730=DIRECTION('',(0.E0,-1.E0,0.E0)); +#731=VECTOR('',#730,2.125E0); +#732=CARTESIAN_POINT('',(-5.825E0,-8.075E0,-1.E1)); +#733=LINE('',#732,#731); +#734=CARTESIAN_POINT('',(-5.25E0,-8.075E0,-1.E1)); +#735=DIRECTION('',(0.E0,0.E0,1.E0)); +#736=DIRECTION('',(1.E0,0.E0,0.E0)); +#737=AXIS2_PLACEMENT_3D('',#734,#735,#736); +#739=DIRECTION('',(-4.593449803531E-13,1.E0,0.E0)); +#740=VECTOR('',#739,2.125E0); +#741=CARTESIAN_POINT('',(-4.675E0,-1.02E1,-1.E1)); +#742=LINE('',#741,#740); +#743=DIRECTION('',(1.E0,0.E0,0.E0)); +#744=VECTOR('',#743,8.E-1); +#745=CARTESIAN_POINT('',(-2.15E0,-1.02E1,-1.E1)); +#746=LINE('',#745,#744); +#747=DIRECTION('',(0.E0,-1.E0,0.E0)); +#748=VECTOR('',#747,1.1E0); +#749=CARTESIAN_POINT('',(-2.15E0,-9.1E0,-1.E1)); +#750=LINE('',#749,#748); +#751=CARTESIAN_POINT('',(-1.75E0,-9.1E0,-1.E1)); +#752=DIRECTION('',(0.E0,0.E0,1.E0)); +#753=DIRECTION('',(1.E0,0.E0,0.E0)); +#754=AXIS2_PLACEMENT_3D('',#751,#752,#753); +#756=DIRECTION('',(-4.099750841842E-13,1.E0,0.E0)); +#757=VECTOR('',#756,1.1E0); +#758=CARTESIAN_POINT('',(-1.35E0,-1.02E1,-1.E1)); +#759=LINE('',#758,#757); +#760=DIRECTION('',(1.E0,0.E0,0.E0)); +#761=VECTOR('',#760,8.E-1); +#762=CARTESIAN_POINT('',(1.35E0,-1.02E1,-1.E1)); +#763=LINE('',#762,#761); +#764=DIRECTION('',(0.E0,-1.E0,0.E0)); +#765=VECTOR('',#764,1.1E0); +#766=CARTESIAN_POINT('',(1.35E0,-9.1E0,-1.E1)); +#767=LINE('',#766,#765); +#768=CARTESIAN_POINT('',(1.75E0,-9.1E0,-1.E1)); +#769=DIRECTION('',(0.E0,0.E0,1.E0)); +#770=DIRECTION('',(1.E0,0.E0,0.E0)); +#771=AXIS2_PLACEMENT_3D('',#768,#769,#770); +#773=DIRECTION('',(-4.097732254524E-13,1.E0,0.E0)); +#774=VECTOR('',#773,1.1E0); +#775=CARTESIAN_POINT('',(2.15E0,-1.02E1,-1.E1)); +#776=LINE('',#775,#774); +#777=DIRECTION('',(1.E0,0.E0,0.E0)); +#778=VECTOR('',#777,8.E-1); +#779=CARTESIAN_POINT('',(4.85E0,-1.02E1,-1.E1)); +#780=LINE('',#779,#778); +#781=DIRECTION('',(0.E0,-1.E0,0.E0)); +#782=VECTOR('',#781,1.1E0); +#783=CARTESIAN_POINT('',(4.85E0,-9.1E0,-1.E1)); +#784=LINE('',#783,#782); +#785=CARTESIAN_POINT('',(5.25E0,-9.1E0,-1.E1)); +#786=DIRECTION('',(0.E0,0.E0,1.E0)); +#787=DIRECTION('',(1.E0,0.E0,0.E0)); +#788=AXIS2_PLACEMENT_3D('',#785,#786,#787); +#790=DIRECTION('',(-4.101769429159E-13,1.E0,0.E0)); +#791=VECTOR('',#790,1.1E0); +#792=CARTESIAN_POINT('',(5.65E0,-1.02E1,-1.E1)); +#793=LINE('',#792,#791); +#794=DIRECTION('',(1.E0,0.E0,0.E0)); +#795=VECTOR('',#794,8.E-1); +#796=CARTESIAN_POINT('',(8.35E0,-1.02E1,-1.E1)); +#797=LINE('',#796,#795); +#798=DIRECTION('',(0.E0,-1.E0,0.E0)); +#799=VECTOR('',#798,1.1E0); +#800=CARTESIAN_POINT('',(8.35E0,-9.1E0,-1.E1)); +#801=LINE('',#800,#799); +#802=CARTESIAN_POINT('',(8.75E0,-9.1E0,-1.E1)); +#803=DIRECTION('',(0.E0,0.E0,1.E0)); +#804=DIRECTION('',(1.E0,0.E0,0.E0)); +#805=AXIS2_PLACEMENT_3D('',#802,#803,#804); +#807=DIRECTION('',(-4.117918127699E-13,1.E0,0.E0)); +#808=VECTOR('',#807,1.1E0); +#809=CARTESIAN_POINT('',(9.15E0,-1.02E1,-1.E1)); +#810=LINE('',#809,#808); +#811=DIRECTION('',(1.E0,0.E0,0.E0)); +#812=VECTOR('',#811,8.E-1); +#813=CARTESIAN_POINT('',(1.185E1,-1.02E1,-1.E1)); +#814=LINE('',#813,#812); +#815=DIRECTION('',(0.E0,-1.E0,0.E0)); +#816=VECTOR('',#815,1.1E0); +#817=CARTESIAN_POINT('',(1.185E1,-9.1E0,-1.E1)); +#818=LINE('',#817,#816); +#819=CARTESIAN_POINT('',(1.225E1,-9.1E0,-1.E1)); +#820=DIRECTION('',(0.E0,0.E0,1.E0)); +#821=DIRECTION('',(1.E0,0.E0,0.E0)); +#822=AXIS2_PLACEMENT_3D('',#819,#820,#821); +#824=DIRECTION('',(-4.117918127699E-13,1.E0,0.E0)); +#825=VECTOR('',#824,1.1E0); +#826=CARTESIAN_POINT('',(1.265E1,-1.02E1,-1.E1)); +#827=LINE('',#826,#825); +#828=DIRECTION('',(1.E0,0.E0,0.E0)); +#829=VECTOR('',#828,8.E-1); +#830=CARTESIAN_POINT('',(1.535E1,-1.02E1,-1.E1)); +#831=LINE('',#830,#829); +#832=DIRECTION('',(0.E0,-1.E0,0.E0)); +#833=VECTOR('',#832,1.1E0); +#834=CARTESIAN_POINT('',(1.535E1,-9.1E0,-1.E1)); +#835=LINE('',#834,#833); +#836=CARTESIAN_POINT('',(1.575E1,-9.1E0,-1.E1)); +#837=DIRECTION('',(0.E0,0.E0,1.E0)); +#838=DIRECTION('',(1.E0,0.E0,0.E0)); +#839=AXIS2_PLACEMENT_3D('',#836,#837,#838); +#841=DIRECTION('',(-4.101769429159E-13,1.E0,0.E0)); +#842=VECTOR('',#841,1.1E0); +#843=CARTESIAN_POINT('',(1.615E1,-1.02E1,-1.E1)); +#844=LINE('',#843,#842); +#845=DIRECTION('',(1.E0,0.E0,0.E0)); +#846=VECTOR('',#845,8.E-1); +#847=CARTESIAN_POINT('',(1.885E1,-1.02E1,-1.E1)); +#848=LINE('',#847,#846); +#849=DIRECTION('',(0.E0,-1.E0,0.E0)); +#850=VECTOR('',#849,1.1E0); +#851=CARTESIAN_POINT('',(1.885E1,-9.1E0,-1.E1)); +#852=LINE('',#851,#850); +#853=CARTESIAN_POINT('',(1.925E1,-9.1E0,-1.E1)); +#854=DIRECTION('',(0.E0,0.E0,1.E0)); +#855=DIRECTION('',(1.E0,0.E0,0.E0)); +#856=AXIS2_PLACEMENT_3D('',#853,#854,#855); +#858=DIRECTION('',(-4.166364223319E-13,1.E0,0.E0)); +#859=VECTOR('',#858,1.1E0); +#860=CARTESIAN_POINT('',(1.965E1,-1.02E1,-1.E1)); +#861=LINE('',#860,#859); +#862=CARTESIAN_POINT('',(1.615E1,1.02E1,-1.01E1)); +#863=DIRECTION('',(0.E0,1.E0,-2.253752739989E-14)); +#864=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#865=AXIS2_PLACEMENT_3D('',#862,#863,#864); +#867=CARTESIAN_POINT('',(1.615E1,1.02E1,-9.9E0)); +#868=DIRECTION('',(-1.E0,0.E0,0.E0)); +#869=DIRECTION('',(0.E0,1.E0,0.E0)); +#870=AXIS2_PLACEMENT_3D('',#867,#868,#869); +#872=DIRECTION('',(0.E0,1.E0,4.037174635E-14)); +#873=VECTOR('',#872,1.1E0); +#874=CARTESIAN_POINT('',(1.625E1,9.1E0,-1.01E1)); +#875=LINE('',#874,#873); +#876=CARTESIAN_POINT('',(1.625E1,1.02E1,-9.9E0)); +#877=DIRECTION('',(1.E0,0.E0,0.E0)); +#878=DIRECTION('',(0.E0,0.E0,-1.E0)); +#879=AXIS2_PLACEMENT_3D('',#876,#877,#878); +#881=DIRECTION('',(0.E0,3.552713678801E-14,1.E0)); +#882=VECTOR('',#881,9.9E0); +#883=CARTESIAN_POINT('',(1.625E1,9.1E0,-2.E1)); +#884=LINE('',#883,#882); +#885=CARTESIAN_POINT('',(1.615E1,9.1E0,-1.01E1)); +#886=DIRECTION('',(0.E0,1.E0,0.E0)); +#887=DIRECTION('',(0.E0,0.E0,1.E0)); +#888=AXIS2_PLACEMENT_3D('',#885,#886,#887); +#890=CARTESIAN_POINT('',(1.535E1,9.1E0,-1.01E1)); +#891=DIRECTION('',(0.E0,-1.E0,0.E0)); +#892=DIRECTION('',(-1.776356839400E-14,0.E0,1.E0)); +#893=AXIS2_PLACEMENT_3D('',#890,#891,#892); +#895=CARTESIAN_POINT('',(1.575E1,9.1E0,-1.01E1)); +#896=DIRECTION('',(0.E0,0.E0,1.E0)); +#897=DIRECTION('',(-1.E0,0.E0,0.E0)); +#898=AXIS2_PLACEMENT_3D('',#895,#896,#897); +#900=DIRECTION('',(0.E0,-1.421909952296E-9,1.E0)); +#901=VECTOR('',#900,9.9E0); +#902=CARTESIAN_POINT('',(1.525E1,9.1E0,-2.E1)); +#903=LINE('',#902,#901); +#904=DIRECTION('',(1.E0,0.E0,0.E0)); +#905=VECTOR('',#904,3.75E0); +#906=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E1)); +#907=LINE('',#906,#905); +#908=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-2.E1)); +#909=DIRECTION('',(0.E0,0.E0,1.E0)); +#910=DIRECTION('',(-1.E0,0.E0,0.E0)); +#911=AXIS2_PLACEMENT_3D('',#908,#909,#910); +#913=DIRECTION('',(0.E0,-1.E0,0.E0)); +#914=VECTOR('',#913,4.E-1); +#915=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-2.E1)); +#916=LINE('',#915,#914); +#917=DIRECTION('',(1.E0,0.E0,0.E0)); +#918=VECTOR('',#917,1.2E0); +#919=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-2.E1)); +#920=LINE('',#919,#918); +#921=CARTESIAN_POINT('',(-2.7E1,-4.7E0,-2.E1)); +#922=DIRECTION('',(0.E0,0.E0,1.E0)); +#923=DIRECTION('',(0.E0,-1.E0,0.E0)); +#924=AXIS2_PLACEMENT_3D('',#921,#922,#923); +#926=DIRECTION('',(1.E0,0.E0,0.E0)); +#927=VECTOR('',#926,1.2E0); +#928=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-2.E1)); +#929=LINE('',#928,#927); +#930=DIRECTION('',(0.E0,-1.E0,0.E0)); +#931=VECTOR('',#930,8.4E0); +#932=CARTESIAN_POINT('',(-2.82E1,4.2E0,-2.E1)); +#933=LINE('',#932,#931); +#934=DIRECTION('',(1.E0,0.E0,0.E0)); +#935=VECTOR('',#934,1.2E0); +#936=CARTESIAN_POINT('',(-2.82E1,4.2E0,-2.E1)); +#937=LINE('',#936,#935); +#938=CARTESIAN_POINT('',(-2.7E1,4.7E0,-2.E1)); +#939=DIRECTION('',(0.E0,0.E0,1.E0)); +#940=DIRECTION('',(0.E0,-1.E0,0.E0)); +#941=AXIS2_PLACEMENT_3D('',#938,#939,#940); +#943=DIRECTION('',(1.E0,0.E0,0.E0)); +#944=VECTOR('',#943,1.2E0); +#945=CARTESIAN_POINT('',(-2.82E1,5.2E0,-2.E1)); +#946=LINE('',#945,#944); +#947=DIRECTION('',(0.E0,-1.E0,0.E0)); +#948=VECTOR('',#947,4.E-1); +#949=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E1)); +#950=LINE('',#949,#948); +#951=CARTESIAN_POINT('',(-2.35E1,5.6E0,-2.E1)); +#952=DIRECTION('',(0.E0,0.E0,1.E0)); +#953=DIRECTION('',(0.E0,1.E0,0.E0)); +#954=AXIS2_PLACEMENT_3D('',#951,#952,#953); +#956=DIRECTION('',(-1.E0,0.E0,0.E0)); +#957=VECTOR('',#956,3.75E0); +#958=CARTESIAN_POINT('',(-1.975E1,1.03E1,-2.E1)); +#959=LINE('',#958,#957); +#960=DIRECTION('',(0.E0,-1.E0,0.E0)); +#961=VECTOR('',#960,1.2E0); +#962=CARTESIAN_POINT('',(-1.975E1,1.03E1,-2.E1)); +#963=LINE('',#962,#961); +#964=CARTESIAN_POINT('',(-1.925E1,9.1E0,-2.E1)); +#965=DIRECTION('',(0.E0,0.E0,1.E0)); +#966=DIRECTION('',(-1.E0,0.E0,0.E0)); +#967=AXIS2_PLACEMENT_3D('',#964,#965,#966); +#969=DIRECTION('',(0.E0,-1.E0,0.E0)); +#970=VECTOR('',#969,1.2E0); +#971=CARTESIAN_POINT('',(-1.875E1,1.03E1,-2.E1)); +#972=LINE('',#971,#970); +#973=DIRECTION('',(-1.E0,0.E0,0.E0)); +#974=VECTOR('',#973,2.5E0); +#975=CARTESIAN_POINT('',(-1.625E1,1.03E1,-2.E1)); +#976=LINE('',#975,#974); +#977=DIRECTION('',(0.E0,-1.E0,0.E0)); +#978=VECTOR('',#977,1.2E0); +#979=CARTESIAN_POINT('',(-1.625E1,1.03E1,-2.E1)); +#980=LINE('',#979,#978); +#981=CARTESIAN_POINT('',(-1.575E1,9.1E0,-2.E1)); +#982=DIRECTION('',(0.E0,0.E0,1.E0)); +#983=DIRECTION('',(-1.E0,0.E0,0.E0)); +#984=AXIS2_PLACEMENT_3D('',#981,#982,#983); +#986=DIRECTION('',(0.E0,-1.E0,0.E0)); +#987=VECTOR('',#986,1.2E0); +#988=CARTESIAN_POINT('',(-1.525E1,1.03E1,-2.E1)); +#989=LINE('',#988,#987); +#990=DIRECTION('',(-1.E0,0.E0,0.E0)); +#991=VECTOR('',#990,2.5E0); +#992=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#993=LINE('',#992,#991); +#994=DIRECTION('',(0.E0,-1.E0,0.E0)); +#995=VECTOR('',#994,1.2E0); +#996=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#997=LINE('',#996,#995); +#998=CARTESIAN_POINT('',(-1.225E1,9.1E0,-2.E1)); +#999=DIRECTION('',(0.E0,0.E0,1.E0)); +#1000=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1001=AXIS2_PLACEMENT_3D('',#998,#999,#1000); +#1003=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1004=VECTOR('',#1003,1.2E0); +#1005=CARTESIAN_POINT('',(-1.175E1,1.03E1,-2.E1)); +#1006=LINE('',#1005,#1004); +#1007=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1008=VECTOR('',#1007,2.5E0); +#1009=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#1010=LINE('',#1009,#1008); +#1011=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1012=VECTOR('',#1011,1.2E0); +#1013=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#1014=LINE('',#1013,#1012); +#1015=CARTESIAN_POINT('',(-8.75E0,9.1E0,-2.E1)); +#1016=DIRECTION('',(0.E0,0.E0,1.E0)); +#1017=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1018=AXIS2_PLACEMENT_3D('',#1015,#1016,#1017); +#1020=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1021=VECTOR('',#1020,1.2E0); +#1022=CARTESIAN_POINT('',(-8.25E0,1.03E1,-2.E1)); +#1023=LINE('',#1022,#1021); +#1024=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1025=VECTOR('',#1024,2.5E0); +#1026=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#1027=LINE('',#1026,#1025); +#1028=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1029=VECTOR('',#1028,1.2E0); +#1030=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#1031=LINE('',#1030,#1029); +#1032=CARTESIAN_POINT('',(-5.25E0,9.1E0,-2.E1)); +#1033=DIRECTION('',(0.E0,0.E0,1.E0)); +#1034=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1035=AXIS2_PLACEMENT_3D('',#1032,#1033,#1034); +#1037=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1038=VECTOR('',#1037,1.2E0); +#1039=CARTESIAN_POINT('',(-4.75E0,1.03E1,-2.E1)); +#1040=LINE('',#1039,#1038); +#1041=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1042=VECTOR('',#1041,2.5E0); +#1043=CARTESIAN_POINT('',(-2.25E0,1.03E1,-2.E1)); +#1044=LINE('',#1043,#1042); +#1045=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1046=VECTOR('',#1045,1.2E0); +#1047=CARTESIAN_POINT('',(-2.25E0,1.03E1,-2.E1)); +#1048=LINE('',#1047,#1046); +#1049=CARTESIAN_POINT('',(-1.75E0,9.1E0,-2.E1)); +#1050=DIRECTION('',(0.E0,0.E0,1.E0)); +#1051=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1052=AXIS2_PLACEMENT_3D('',#1049,#1050,#1051); +#1054=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1055=VECTOR('',#1054,1.2E0); +#1056=CARTESIAN_POINT('',(-1.25E0,1.03E1,-2.E1)); +#1057=LINE('',#1056,#1055); +#1058=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1059=VECTOR('',#1058,2.325E0); +#1060=CARTESIAN_POINT('',(1.075E0,1.03E1,-2.E1)); +#1061=LINE('',#1060,#1059); +#1062=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1063=VECTOR('',#1062,2.225E0); +#1064=CARTESIAN_POINT('',(1.075E0,1.03E1,-2.E1)); +#1065=LINE('',#1064,#1063); +#1066=CARTESIAN_POINT('',(1.75E0,8.075E0,-2.E1)); +#1067=DIRECTION('',(0.E0,0.E0,1.E0)); +#1068=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1069=AXIS2_PLACEMENT_3D('',#1066,#1067,#1068); +#1071=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1072=VECTOR('',#1071,2.225E0); +#1073=CARTESIAN_POINT('',(2.425E0,1.03E1,-2.E1)); +#1074=LINE('',#1073,#1072); +#1075=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1076=VECTOR('',#1075,2.325E0); +#1077=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#1078=LINE('',#1077,#1076); +#1079=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1080=VECTOR('',#1079,1.2E0); +#1081=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#1082=LINE('',#1081,#1080); +#1083=CARTESIAN_POINT('',(5.25E0,9.1E0,-2.E1)); +#1084=DIRECTION('',(0.E0,0.E0,1.E0)); +#1085=DIRECTION('',(-1.E0,-1.065814103640E-14,0.E0)); +#1086=AXIS2_PLACEMENT_3D('',#1083,#1084,#1085); +#1088=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1089=VECTOR('',#1088,1.2E0); +#1090=CARTESIAN_POINT('',(5.75E0,1.03E1,-2.E1)); +#1091=LINE('',#1090,#1089); +#1092=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1093=VECTOR('',#1092,2.5E0); +#1094=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#1095=LINE('',#1094,#1093); +#1096=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1097=VECTOR('',#1096,1.2E0); +#1098=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#1099=LINE('',#1098,#1097); +#1100=CARTESIAN_POINT('',(8.75E0,9.1E0,-2.E1)); +#1101=DIRECTION('',(0.E0,0.E0,1.E0)); +#1102=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1103=AXIS2_PLACEMENT_3D('',#1100,#1101,#1102); +#1105=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1106=VECTOR('',#1105,1.2E0); +#1107=CARTESIAN_POINT('',(9.25E0,1.03E1,-2.E1)); +#1108=LINE('',#1107,#1106); +#1109=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1110=VECTOR('',#1109,2.5E0); +#1111=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#1112=LINE('',#1111,#1110); +#1113=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1114=VECTOR('',#1113,1.2E0); +#1115=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#1116=LINE('',#1115,#1114); +#1117=CARTESIAN_POINT('',(1.225E1,9.1E0,-2.E1)); +#1118=DIRECTION('',(0.E0,0.E0,1.E0)); +#1119=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1120=AXIS2_PLACEMENT_3D('',#1117,#1118,#1119); +#1122=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1123=VECTOR('',#1122,1.2E0); +#1124=CARTESIAN_POINT('',(1.275E1,1.03E1,-2.E1)); +#1125=LINE('',#1124,#1123); +#1126=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1127=VECTOR('',#1126,2.5E0); +#1128=CARTESIAN_POINT('',(1.525E1,1.03E1,-2.E1)); +#1129=LINE('',#1128,#1127); +#1130=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1131=VECTOR('',#1130,1.2E0); +#1132=CARTESIAN_POINT('',(1.525E1,1.03E1,-2.E1)); +#1133=LINE('',#1132,#1131); +#1134=CARTESIAN_POINT('',(1.575E1,9.1E0,-2.E1)); +#1135=DIRECTION('',(0.E0,0.E0,1.E0)); +#1136=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1137=AXIS2_PLACEMENT_3D('',#1134,#1135,#1136); +#1139=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1140=VECTOR('',#1139,1.2E0); +#1141=CARTESIAN_POINT('',(1.625E1,1.03E1,-2.E1)); +#1142=LINE('',#1141,#1140); +#1143=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1144=VECTOR('',#1143,2.5E0); +#1145=CARTESIAN_POINT('',(1.875E1,1.03E1,-2.E1)); +#1146=LINE('',#1145,#1144); +#1147=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1148=VECTOR('',#1147,1.2E0); +#1149=CARTESIAN_POINT('',(1.875E1,1.03E1,-2.E1)); +#1150=LINE('',#1149,#1148); +#1151=CARTESIAN_POINT('',(1.925E1,9.1E0,-2.E1)); +#1152=DIRECTION('',(0.E0,0.E0,1.E0)); +#1153=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1154=AXIS2_PLACEMENT_3D('',#1151,#1152,#1153); +#1156=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1157=VECTOR('',#1156,1.2E0); +#1158=CARTESIAN_POINT('',(1.975E1,1.03E1,-2.E1)); +#1159=LINE('',#1158,#1157); +#1160=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1161=VECTOR('',#1160,3.75E0); +#1162=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E1)); +#1163=LINE('',#1162,#1161); +#1164=CARTESIAN_POINT('',(2.35E1,5.6E0,-2.E1)); +#1165=DIRECTION('',(0.E0,0.E0,1.E0)); +#1166=DIRECTION('',(1.E0,0.E0,0.E0)); +#1167=AXIS2_PLACEMENT_3D('',#1164,#1165,#1166); +#1169=DIRECTION('',(0.E0,1.E0,0.E0)); +#1170=VECTOR('',#1169,4.E-1); +#1171=CARTESIAN_POINT('',(2.82E1,5.2E0,-2.E1)); +#1172=LINE('',#1171,#1170); +#1173=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1174=VECTOR('',#1173,1.2E0); +#1175=CARTESIAN_POINT('',(2.82E1,5.2E0,-2.E1)); +#1176=LINE('',#1175,#1174); +#1177=CARTESIAN_POINT('',(2.7E1,4.7E0,-2.E1)); +#1178=DIRECTION('',(0.E0,0.E0,1.E0)); +#1179=DIRECTION('',(0.E0,1.E0,0.E0)); +#1180=AXIS2_PLACEMENT_3D('',#1177,#1178,#1179); +#1182=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1183=VECTOR('',#1182,1.2E0); +#1184=CARTESIAN_POINT('',(2.82E1,4.2E0,-2.E1)); +#1185=LINE('',#1184,#1183); +#1186=DIRECTION('',(0.E0,1.E0,0.E0)); +#1187=VECTOR('',#1186,8.4E0); +#1188=CARTESIAN_POINT('',(2.82E1,-4.2E0,-2.E1)); +#1189=LINE('',#1188,#1187); +#1190=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1191=VECTOR('',#1190,1.2E0); +#1192=CARTESIAN_POINT('',(2.82E1,-4.2E0,-2.E1)); +#1193=LINE('',#1192,#1191); +#1194=CARTESIAN_POINT('',(2.7E1,-4.7E0,-2.E1)); +#1195=DIRECTION('',(0.E0,0.E0,1.E0)); +#1196=DIRECTION('',(0.E0,1.E0,0.E0)); +#1197=AXIS2_PLACEMENT_3D('',#1194,#1195,#1196); +#1199=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1200=VECTOR('',#1199,1.2E0); +#1201=CARTESIAN_POINT('',(2.82E1,-5.2E0,-2.E1)); +#1202=LINE('',#1201,#1200); +#1203=DIRECTION('',(0.E0,1.E0,0.E0)); +#1204=VECTOR('',#1203,4.E-1); +#1205=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E1)); +#1206=LINE('',#1205,#1204); +#1207=CARTESIAN_POINT('',(2.35E1,-5.6E0,-2.E1)); +#1208=DIRECTION('',(0.E0,0.E0,1.E0)); +#1209=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1210=AXIS2_PLACEMENT_3D('',#1207,#1208,#1209); +#1212=DIRECTION('',(1.E0,0.E0,0.E0)); +#1213=VECTOR('',#1212,3.75E0); +#1214=CARTESIAN_POINT('',(1.975E1,-1.03E1,-2.E1)); +#1215=LINE('',#1214,#1213); +#1216=DIRECTION('',(0.E0,1.E0,0.E0)); +#1217=VECTOR('',#1216,1.2E0); +#1218=CARTESIAN_POINT('',(1.975E1,-1.03E1,-2.E1)); +#1219=LINE('',#1218,#1217); +#1220=CARTESIAN_POINT('',(1.925E1,-9.1E0,-2.E1)); +#1221=DIRECTION('',(0.E0,0.E0,1.E0)); +#1222=DIRECTION('',(1.E0,0.E0,0.E0)); +#1223=AXIS2_PLACEMENT_3D('',#1220,#1221,#1222); +#1225=DIRECTION('',(0.E0,1.E0,0.E0)); +#1226=VECTOR('',#1225,1.2E0); +#1227=CARTESIAN_POINT('',(1.875E1,-1.03E1,-2.E1)); +#1228=LINE('',#1227,#1226); +#1229=DIRECTION('',(1.E0,0.E0,0.E0)); +#1230=VECTOR('',#1229,2.5E0); +#1231=CARTESIAN_POINT('',(1.625E1,-1.03E1,-2.E1)); +#1232=LINE('',#1231,#1230); +#1233=DIRECTION('',(0.E0,1.E0,0.E0)); +#1234=VECTOR('',#1233,1.2E0); +#1235=CARTESIAN_POINT('',(1.625E1,-1.03E1,-2.E1)); +#1236=LINE('',#1235,#1234); +#1237=CARTESIAN_POINT('',(1.575E1,-9.1E0,-2.E1)); +#1238=DIRECTION('',(0.E0,0.E0,1.E0)); +#1239=DIRECTION('',(1.E0,0.E0,0.E0)); +#1240=AXIS2_PLACEMENT_3D('',#1237,#1238,#1239); +#1242=DIRECTION('',(0.E0,1.E0,0.E0)); +#1243=VECTOR('',#1242,1.2E0); +#1244=CARTESIAN_POINT('',(1.525E1,-1.03E1,-2.E1)); +#1245=LINE('',#1244,#1243); +#1246=DIRECTION('',(1.E0,0.E0,0.E0)); +#1247=VECTOR('',#1246,2.5E0); +#1248=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#1249=LINE('',#1248,#1247); +#1250=DIRECTION('',(0.E0,1.E0,0.E0)); +#1251=VECTOR('',#1250,1.2E0); +#1252=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#1253=LINE('',#1252,#1251); +#1254=CARTESIAN_POINT('',(1.225E1,-9.1E0,-2.E1)); +#1255=DIRECTION('',(0.E0,0.E0,1.E0)); +#1256=DIRECTION('',(1.E0,0.E0,0.E0)); +#1257=AXIS2_PLACEMENT_3D('',#1254,#1255,#1256); +#1259=DIRECTION('',(0.E0,1.E0,0.E0)); +#1260=VECTOR('',#1259,1.2E0); +#1261=CARTESIAN_POINT('',(1.175E1,-1.03E1,-2.E1)); +#1262=LINE('',#1261,#1260); +#1263=DIRECTION('',(1.E0,0.E0,0.E0)); +#1264=VECTOR('',#1263,2.5E0); +#1265=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#1266=LINE('',#1265,#1264); +#1267=DIRECTION('',(0.E0,1.E0,0.E0)); +#1268=VECTOR('',#1267,1.2E0); +#1269=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#1270=LINE('',#1269,#1268); +#1271=CARTESIAN_POINT('',(8.75E0,-9.1E0,-2.E1)); +#1272=DIRECTION('',(0.E0,0.E0,1.E0)); +#1273=DIRECTION('',(1.E0,0.E0,0.E0)); +#1274=AXIS2_PLACEMENT_3D('',#1271,#1272,#1273); +#1276=DIRECTION('',(0.E0,1.E0,0.E0)); +#1277=VECTOR('',#1276,1.2E0); +#1278=CARTESIAN_POINT('',(8.25E0,-1.03E1,-2.E1)); +#1279=LINE('',#1278,#1277); +#1280=DIRECTION('',(1.E0,0.E0,0.E0)); +#1281=VECTOR('',#1280,2.5E0); +#1282=CARTESIAN_POINT('',(5.75E0,-1.03E1,-2.E1)); +#1283=LINE('',#1282,#1281); +#1284=DIRECTION('',(0.E0,1.E0,0.E0)); +#1285=VECTOR('',#1284,1.2E0); +#1286=CARTESIAN_POINT('',(5.75E0,-1.03E1,-2.E1)); +#1287=LINE('',#1286,#1285); +#1288=CARTESIAN_POINT('',(5.25E0,-9.1E0,-2.E1)); +#1289=DIRECTION('',(0.E0,0.E0,1.E0)); +#1290=DIRECTION('',(1.E0,1.065814103640E-14,0.E0)); +#1291=AXIS2_PLACEMENT_3D('',#1288,#1289,#1290); +#1293=DIRECTION('',(0.E0,1.E0,0.E0)); +#1294=VECTOR('',#1293,1.2E0); +#1295=CARTESIAN_POINT('',(4.75E0,-1.03E1,-2.E1)); +#1296=LINE('',#1295,#1294); +#1297=DIRECTION('',(1.E0,0.E0,0.E0)); +#1298=VECTOR('',#1297,2.5E0); +#1299=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#1300=LINE('',#1299,#1298); +#1301=DIRECTION('',(0.E0,1.E0,0.E0)); +#1302=VECTOR('',#1301,1.2E0); +#1303=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#1304=LINE('',#1303,#1302); +#1305=CARTESIAN_POINT('',(1.75E0,-9.1E0,-2.E1)); +#1306=DIRECTION('',(0.E0,0.E0,1.E0)); +#1307=DIRECTION('',(1.E0,1.065814103640E-14,0.E0)); +#1308=AXIS2_PLACEMENT_3D('',#1305,#1306,#1307); +#1310=DIRECTION('',(0.E0,1.E0,0.E0)); +#1311=VECTOR('',#1310,1.2E0); +#1312=CARTESIAN_POINT('',(1.25E0,-1.03E1,-2.E1)); +#1313=LINE('',#1312,#1311); +#1314=DIRECTION('',(1.E0,0.E0,0.E0)); +#1315=VECTOR('',#1314,2.5E0); +#1316=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#1317=LINE('',#1316,#1315); +#1318=DIRECTION('',(0.E0,1.E0,0.E0)); +#1319=VECTOR('',#1318,1.2E0); +#1320=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#1321=LINE('',#1320,#1319); +#1322=CARTESIAN_POINT('',(-1.75E0,-9.1E0,-2.E1)); +#1323=DIRECTION('',(0.E0,0.E0,1.E0)); +#1324=DIRECTION('',(1.E0,0.E0,0.E0)); +#1325=AXIS2_PLACEMENT_3D('',#1322,#1323,#1324); +#1327=DIRECTION('',(0.E0,1.E0,0.E0)); +#1328=VECTOR('',#1327,1.2E0); +#1329=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-2.E1)); +#1330=LINE('',#1329,#1328); +#1331=DIRECTION('',(1.E0,0.E0,0.E0)); +#1332=VECTOR('',#1331,2.325E0); +#1333=CARTESIAN_POINT('',(-4.575E0,-1.03E1,-2.E1)); +#1334=LINE('',#1333,#1332); +#1335=DIRECTION('',(0.E0,1.E0,0.E0)); +#1336=VECTOR('',#1335,2.225E0); +#1337=CARTESIAN_POINT('',(-4.575E0,-1.03E1,-2.E1)); +#1338=LINE('',#1337,#1336); +#1339=CARTESIAN_POINT('',(-5.25E0,-8.075E0,-2.E1)); +#1340=DIRECTION('',(0.E0,0.E0,1.E0)); +#1341=DIRECTION('',(1.E0,0.E0,0.E0)); +#1342=AXIS2_PLACEMENT_3D('',#1339,#1340,#1341); +#1344=DIRECTION('',(0.E0,1.E0,0.E0)); +#1345=VECTOR('',#1344,2.225E0); +#1346=CARTESIAN_POINT('',(-5.925E0,-1.03E1,-2.E1)); +#1347=LINE('',#1346,#1345); +#1348=DIRECTION('',(1.E0,0.E0,0.E0)); +#1349=VECTOR('',#1348,2.325E0); +#1350=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#1351=LINE('',#1350,#1349); +#1352=DIRECTION('',(0.E0,1.E0,0.E0)); +#1353=VECTOR('',#1352,1.2E0); +#1354=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#1355=LINE('',#1354,#1353); +#1356=CARTESIAN_POINT('',(-8.75E0,-9.1E0,-2.E1)); +#1357=DIRECTION('',(0.E0,0.E0,1.E0)); +#1358=DIRECTION('',(1.E0,0.E0,0.E0)); +#1359=AXIS2_PLACEMENT_3D('',#1356,#1357,#1358); +#1361=DIRECTION('',(0.E0,1.E0,0.E0)); +#1362=VECTOR('',#1361,1.2E0); +#1363=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-2.E1)); +#1364=LINE('',#1363,#1362); +#1365=DIRECTION('',(1.E0,0.E0,0.E0)); +#1366=VECTOR('',#1365,2.5E0); +#1367=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#1368=LINE('',#1367,#1366); +#1369=DIRECTION('',(0.E0,1.E0,0.E0)); +#1370=VECTOR('',#1369,1.2E0); +#1371=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#1372=LINE('',#1371,#1370); +#1373=CARTESIAN_POINT('',(-1.225E1,-9.1E0,-2.E1)); +#1374=DIRECTION('',(0.E0,0.E0,1.E0)); +#1375=DIRECTION('',(1.E0,0.E0,0.E0)); +#1376=AXIS2_PLACEMENT_3D('',#1373,#1374,#1375); +#1378=DIRECTION('',(0.E0,1.E0,0.E0)); +#1379=VECTOR('',#1378,1.2E0); +#1380=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-2.E1)); +#1381=LINE('',#1380,#1379); +#1382=DIRECTION('',(1.E0,0.E0,0.E0)); +#1383=VECTOR('',#1382,2.5E0); +#1384=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-2.E1)); +#1385=LINE('',#1384,#1383); +#1386=DIRECTION('',(0.E0,1.E0,0.E0)); +#1387=VECTOR('',#1386,1.2E0); +#1388=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-2.E1)); +#1389=LINE('',#1388,#1387); +#1390=CARTESIAN_POINT('',(-1.575E1,-9.1E0,-2.E1)); +#1391=DIRECTION('',(0.E0,0.E0,1.E0)); +#1392=DIRECTION('',(1.E0,0.E0,0.E0)); +#1393=AXIS2_PLACEMENT_3D('',#1390,#1391,#1392); +#1395=DIRECTION('',(0.E0,1.E0,0.E0)); +#1396=VECTOR('',#1395,1.2E0); +#1397=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-2.E1)); +#1398=LINE('',#1397,#1396); +#1399=DIRECTION('',(1.E0,0.E0,0.E0)); +#1400=VECTOR('',#1399,2.5E0); +#1401=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-2.E1)); +#1402=LINE('',#1401,#1400); +#1403=DIRECTION('',(0.E0,1.E0,0.E0)); +#1404=VECTOR('',#1403,1.2E0); +#1405=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-2.E1)); +#1406=LINE('',#1405,#1404); +#1407=CARTESIAN_POINT('',(-1.925E1,-9.1E0,-2.E1)); +#1408=DIRECTION('',(0.E0,0.E0,1.E0)); +#1409=DIRECTION('',(1.E0,0.E0,0.E0)); +#1410=AXIS2_PLACEMENT_3D('',#1407,#1408,#1409); +#1412=DIRECTION('',(0.E0,1.E0,0.E0)); +#1413=VECTOR('',#1412,1.2E0); +#1414=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-2.E1)); +#1415=LINE('',#1414,#1413); +#1416=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.E1)); +#1417=DIRECTION('',(0.E0,0.E0,1.E0)); +#1418=DIRECTION('',(1.E0,0.E0,0.E0)); +#1419=AXIS2_PLACEMENT_3D('',#1416,#1417,#1418); +#1421=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.E1)); +#1422=DIRECTION('',(0.E0,0.E0,1.E0)); +#1423=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1424=AXIS2_PLACEMENT_3D('',#1421,#1422,#1423); +#1426=CARTESIAN_POINT('',(-2.E1,0.E0,-2.E1)); +#1427=DIRECTION('',(0.E0,0.E0,1.E0)); +#1428=DIRECTION('',(1.E0,0.E0,0.E0)); +#1429=AXIS2_PLACEMENT_3D('',#1426,#1427,#1428); +#1431=CARTESIAN_POINT('',(-2.E1,0.E0,-2.E1)); +#1432=DIRECTION('',(0.E0,0.E0,1.E0)); +#1433=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1434=AXIS2_PLACEMENT_3D('',#1431,#1432,#1433); +#1436=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.E1)); +#1437=DIRECTION('',(0.E0,0.E0,1.E0)); +#1438=DIRECTION('',(1.E0,0.E0,0.E0)); +#1439=AXIS2_PLACEMENT_3D('',#1436,#1437,#1438); +#1441=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.E1)); +#1442=DIRECTION('',(0.E0,0.E0,1.E0)); +#1443=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1444=AXIS2_PLACEMENT_3D('',#1441,#1442,#1443); +#1446=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.E1)); +#1447=DIRECTION('',(0.E0,0.E0,1.E0)); +#1448=DIRECTION('',(1.E0,0.E0,0.E0)); +#1449=AXIS2_PLACEMENT_3D('',#1446,#1447,#1448); +#1451=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.E1)); +#1452=DIRECTION('',(0.E0,0.E0,1.E0)); +#1453=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1454=AXIS2_PLACEMENT_3D('',#1451,#1452,#1453); +#1456=CARTESIAN_POINT('',(-1.6E1,0.E0,-2.E1)); +#1457=DIRECTION('',(0.E0,0.E0,1.E0)); +#1458=DIRECTION('',(1.E0,0.E0,0.E0)); +#1459=AXIS2_PLACEMENT_3D('',#1456,#1457,#1458); +#1461=CARTESIAN_POINT('',(-1.6E1,0.E0,-2.E1)); +#1462=DIRECTION('',(0.E0,0.E0,1.E0)); +#1463=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1464=AXIS2_PLACEMENT_3D('',#1461,#1462,#1463); +#1466=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.E1)); +#1467=DIRECTION('',(0.E0,0.E0,1.E0)); +#1468=DIRECTION('',(1.E0,0.E0,0.E0)); +#1469=AXIS2_PLACEMENT_3D('',#1466,#1467,#1468); +#1471=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.E1)); +#1472=DIRECTION('',(0.E0,0.E0,1.E0)); +#1473=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1474=AXIS2_PLACEMENT_3D('',#1471,#1472,#1473); +#1476=CARTESIAN_POINT('',(-6.E0,4.E0,-2.E1)); +#1477=DIRECTION('',(0.E0,0.E0,1.E0)); +#1478=DIRECTION('',(1.E0,0.E0,0.E0)); +#1479=AXIS2_PLACEMENT_3D('',#1476,#1477,#1478); +#1481=CARTESIAN_POINT('',(-6.E0,4.E0,-2.E1)); +#1482=DIRECTION('',(0.E0,0.E0,1.E0)); +#1483=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1484=AXIS2_PLACEMENT_3D('',#1481,#1482,#1483); +#1486=CARTESIAN_POINT('',(-4.E0,0.E0,-2.E1)); +#1487=DIRECTION('',(0.E0,0.E0,1.E0)); +#1488=DIRECTION('',(1.E0,0.E0,0.E0)); +#1489=AXIS2_PLACEMENT_3D('',#1486,#1487,#1488); +#1491=CARTESIAN_POINT('',(-4.E0,0.E0,-2.E1)); +#1492=DIRECTION('',(0.E0,0.E0,1.E0)); +#1493=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1494=AXIS2_PLACEMENT_3D('',#1491,#1492,#1493); +#1496=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.E1)); +#1497=DIRECTION('',(0.E0,0.E0,1.E0)); +#1498=DIRECTION('',(1.E0,0.E0,0.E0)); +#1499=AXIS2_PLACEMENT_3D('',#1496,#1497,#1498); +#1501=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.E1)); +#1502=DIRECTION('',(0.E0,0.E0,1.E0)); +#1503=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1504=AXIS2_PLACEMENT_3D('',#1501,#1502,#1503); +#1506=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.E1)); +#1507=DIRECTION('',(0.E0,0.E0,1.E0)); +#1508=DIRECTION('',(1.E0,0.E0,0.E0)); +#1509=AXIS2_PLACEMENT_3D('',#1506,#1507,#1508); +#1511=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.E1)); +#1512=DIRECTION('',(0.E0,0.E0,1.E0)); +#1513=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1514=AXIS2_PLACEMENT_3D('',#1511,#1512,#1513); +#1516=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#1517=DIRECTION('',(0.E0,0.E0,1.E0)); +#1518=DIRECTION('',(1.E0,0.E0,0.E0)); +#1519=AXIS2_PLACEMENT_3D('',#1516,#1517,#1518); +#1521=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#1522=DIRECTION('',(0.E0,0.E0,1.E0)); +#1523=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1524=AXIS2_PLACEMENT_3D('',#1521,#1522,#1523); +#1526=CARTESIAN_POINT('',(-2.E0,4.E0,-2.E1)); +#1527=DIRECTION('',(0.E0,0.E0,1.E0)); +#1528=DIRECTION('',(1.E0,0.E0,0.E0)); +#1529=AXIS2_PLACEMENT_3D('',#1526,#1527,#1528); +#1531=CARTESIAN_POINT('',(-2.E0,4.E0,-2.E1)); +#1532=DIRECTION('',(0.E0,0.E0,1.E0)); +#1533=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1534=AXIS2_PLACEMENT_3D('',#1531,#1532,#1533); +#1536=CARTESIAN_POINT('',(2.E0,4.E0,-2.E1)); +#1537=DIRECTION('',(0.E0,0.E0,1.E0)); +#1538=DIRECTION('',(1.E0,0.E0,0.E0)); +#1539=AXIS2_PLACEMENT_3D('',#1536,#1537,#1538); +#1541=CARTESIAN_POINT('',(2.E0,4.E0,-2.E1)); +#1542=DIRECTION('',(0.E0,0.E0,1.E0)); +#1543=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1544=AXIS2_PLACEMENT_3D('',#1541,#1542,#1543); +#1546=CARTESIAN_POINT('',(4.E0,0.E0,-2.E1)); +#1547=DIRECTION('',(0.E0,0.E0,1.E0)); +#1548=DIRECTION('',(1.E0,0.E0,0.E0)); +#1549=AXIS2_PLACEMENT_3D('',#1546,#1547,#1548); +#1551=CARTESIAN_POINT('',(4.E0,0.E0,-2.E1)); +#1552=DIRECTION('',(0.E0,0.E0,1.E0)); +#1553=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1554=AXIS2_PLACEMENT_3D('',#1551,#1552,#1553); +#1556=CARTESIAN_POINT('',(2.E0,-4.E0,-2.E1)); +#1557=DIRECTION('',(0.E0,0.E0,1.E0)); +#1558=DIRECTION('',(1.E0,0.E0,0.E0)); +#1559=AXIS2_PLACEMENT_3D('',#1556,#1557,#1558); +#1561=CARTESIAN_POINT('',(2.E0,-4.E0,-2.E1)); +#1562=DIRECTION('',(0.E0,0.E0,1.E0)); +#1563=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1564=AXIS2_PLACEMENT_3D('',#1561,#1562,#1563); +#1566=CARTESIAN_POINT('',(6.E0,-4.E0,-2.E1)); +#1567=DIRECTION('',(0.E0,0.E0,1.E0)); +#1568=DIRECTION('',(1.E0,0.E0,0.E0)); +#1569=AXIS2_PLACEMENT_3D('',#1566,#1567,#1568); +#1571=CARTESIAN_POINT('',(6.E0,-4.E0,-2.E1)); +#1572=DIRECTION('',(0.E0,0.E0,1.E0)); +#1573=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1574=AXIS2_PLACEMENT_3D('',#1571,#1572,#1573); +#1576=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-2.E1)); +#1577=DIRECTION('',(0.E0,0.E0,1.E0)); +#1578=DIRECTION('',(1.E0,0.E0,0.E0)); +#1579=AXIS2_PLACEMENT_3D('',#1576,#1577,#1578); +#1581=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-2.E1)); +#1582=DIRECTION('',(0.E0,0.E0,1.E0)); +#1583=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1584=AXIS2_PLACEMENT_3D('',#1581,#1582,#1583); +#1586=CARTESIAN_POINT('',(6.E0,4.E0,-2.E1)); +#1587=DIRECTION('',(0.E0,0.E0,1.E0)); +#1588=DIRECTION('',(1.E0,0.E0,0.E0)); +#1589=AXIS2_PLACEMENT_3D('',#1586,#1587,#1588); +#1591=CARTESIAN_POINT('',(6.E0,4.E0,-2.E1)); +#1592=DIRECTION('',(0.E0,0.E0,1.E0)); +#1593=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1594=AXIS2_PLACEMENT_3D('',#1591,#1592,#1593); +#1596=CARTESIAN_POINT('',(1.4E1,4.E0,-2.E1)); +#1597=DIRECTION('',(0.E0,0.E0,1.E0)); +#1598=DIRECTION('',(1.E0,0.E0,0.E0)); +#1599=AXIS2_PLACEMENT_3D('',#1596,#1597,#1598); +#1601=CARTESIAN_POINT('',(1.4E1,4.E0,-2.E1)); +#1602=DIRECTION('',(0.E0,0.E0,1.E0)); +#1603=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1604=AXIS2_PLACEMENT_3D('',#1601,#1602,#1603); +#1606=CARTESIAN_POINT('',(2.2E1,4.E0,-2.E1)); +#1607=DIRECTION('',(0.E0,0.E0,1.E0)); +#1608=DIRECTION('',(1.E0,0.E0,0.E0)); +#1609=AXIS2_PLACEMENT_3D('',#1606,#1607,#1608); +#1611=CARTESIAN_POINT('',(2.2E1,4.E0,-2.E1)); +#1612=DIRECTION('',(0.E0,0.E0,1.E0)); +#1613=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1614=AXIS2_PLACEMENT_3D('',#1611,#1612,#1613); +#1616=CARTESIAN_POINT('',(1.2E1,0.E0,-2.E1)); +#1617=DIRECTION('',(0.E0,0.E0,1.E0)); +#1618=DIRECTION('',(1.E0,0.E0,0.E0)); +#1619=AXIS2_PLACEMENT_3D('',#1616,#1617,#1618); +#1621=CARTESIAN_POINT('',(1.2E1,0.E0,-2.E1)); +#1622=DIRECTION('',(0.E0,0.E0,1.E0)); +#1623=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1624=AXIS2_PLACEMENT_3D('',#1621,#1622,#1623); +#1626=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.E1)); +#1627=DIRECTION('',(0.E0,0.E0,1.E0)); +#1628=DIRECTION('',(1.E0,0.E0,0.E0)); +#1629=AXIS2_PLACEMENT_3D('',#1626,#1627,#1628); +#1631=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.E1)); +#1632=DIRECTION('',(0.E0,0.E0,1.E0)); +#1633=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1634=AXIS2_PLACEMENT_3D('',#1631,#1632,#1633); +#1636=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.E1)); +#1637=DIRECTION('',(0.E0,0.E0,1.E0)); +#1638=DIRECTION('',(1.E0,0.E0,0.E0)); +#1639=AXIS2_PLACEMENT_3D('',#1636,#1637,#1638); +#1641=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.E1)); +#1642=DIRECTION('',(0.E0,0.E0,1.E0)); +#1643=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1644=AXIS2_PLACEMENT_3D('',#1641,#1642,#1643); +#1646=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.E1)); +#1647=DIRECTION('',(0.E0,0.E0,1.E0)); +#1648=DIRECTION('',(1.E0,0.E0,0.E0)); +#1649=AXIS2_PLACEMENT_3D('',#1646,#1647,#1648); +#1651=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.E1)); +#1652=DIRECTION('',(0.E0,0.E0,1.E0)); +#1653=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1654=AXIS2_PLACEMENT_3D('',#1651,#1652,#1653); +#1656=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.E1)); +#1657=DIRECTION('',(0.E0,0.E0,1.E0)); +#1658=DIRECTION('',(1.E0,0.E0,0.E0)); +#1659=AXIS2_PLACEMENT_3D('',#1656,#1657,#1658); +#1661=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.E1)); +#1662=DIRECTION('',(0.E0,0.E0,1.E0)); +#1663=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1664=AXIS2_PLACEMENT_3D('',#1661,#1662,#1663); +#1666=CARTESIAN_POINT('',(-1.E1,4.E0,-2.E1)); +#1667=DIRECTION('',(0.E0,0.E0,1.E0)); +#1668=DIRECTION('',(1.E0,0.E0,0.E0)); +#1669=AXIS2_PLACEMENT_3D('',#1666,#1667,#1668); +#1671=CARTESIAN_POINT('',(-1.E1,4.E0,-2.E1)); +#1672=DIRECTION('',(0.E0,0.E0,1.E0)); +#1673=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1674=AXIS2_PLACEMENT_3D('',#1671,#1672,#1673); +#1676=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-2.E1)); +#1677=DIRECTION('',(0.E0,0.E0,1.E0)); +#1678=DIRECTION('',(1.E0,0.E0,0.E0)); +#1679=AXIS2_PLACEMENT_3D('',#1676,#1677,#1678); +#1681=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-2.E1)); +#1682=DIRECTION('',(0.E0,0.E0,1.E0)); +#1683=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1684=AXIS2_PLACEMENT_3D('',#1681,#1682,#1683); +#1686=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.E1)); +#1687=DIRECTION('',(0.E0,0.E0,1.E0)); +#1688=DIRECTION('',(1.E0,0.E0,0.E0)); +#1689=AXIS2_PLACEMENT_3D('',#1686,#1687,#1688); +#1691=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.E1)); +#1692=DIRECTION('',(0.E0,0.E0,1.E0)); +#1693=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1694=AXIS2_PLACEMENT_3D('',#1691,#1692,#1693); +#1696=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.E1)); +#1697=DIRECTION('',(0.E0,0.E0,1.E0)); +#1698=DIRECTION('',(1.E0,0.E0,0.E0)); +#1699=AXIS2_PLACEMENT_3D('',#1696,#1697,#1698); +#1701=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.E1)); +#1702=DIRECTION('',(0.E0,0.E0,1.E0)); +#1703=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1704=AXIS2_PLACEMENT_3D('',#1701,#1702,#1703); +#1706=CARTESIAN_POINT('',(1.E1,4.E0,-2.E1)); +#1707=DIRECTION('',(0.E0,0.E0,1.E0)); +#1708=DIRECTION('',(1.E0,0.E0,0.E0)); +#1709=AXIS2_PLACEMENT_3D('',#1706,#1707,#1708); +#1711=CARTESIAN_POINT('',(1.E1,4.E0,-2.E1)); +#1712=DIRECTION('',(0.E0,0.E0,1.E0)); +#1713=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1714=AXIS2_PLACEMENT_3D('',#1711,#1712,#1713); +#1716=CARTESIAN_POINT('',(1.E1,-4.E0,-2.E1)); +#1717=DIRECTION('',(0.E0,0.E0,1.E0)); +#1718=DIRECTION('',(1.E0,0.E0,0.E0)); +#1719=AXIS2_PLACEMENT_3D('',#1716,#1717,#1718); +#1721=CARTESIAN_POINT('',(1.E1,-4.E0,-2.E1)); +#1722=DIRECTION('',(0.E0,0.E0,1.E0)); +#1723=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1724=AXIS2_PLACEMENT_3D('',#1721,#1722,#1723); +#1726=CARTESIAN_POINT('',(1.8E1,4.E0,-2.E1)); +#1727=DIRECTION('',(0.E0,0.E0,1.E0)); +#1728=DIRECTION('',(1.E0,0.E0,0.E0)); +#1729=AXIS2_PLACEMENT_3D('',#1726,#1727,#1728); +#1731=CARTESIAN_POINT('',(1.8E1,4.E0,-2.E1)); +#1732=DIRECTION('',(0.E0,0.E0,1.E0)); +#1733=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1734=AXIS2_PLACEMENT_3D('',#1731,#1732,#1733); +#1736=CARTESIAN_POINT('',(1.6E1,0.E0,-2.E1)); +#1737=DIRECTION('',(0.E0,0.E0,1.E0)); +#1738=DIRECTION('',(1.E0,0.E0,0.E0)); +#1739=AXIS2_PLACEMENT_3D('',#1736,#1737,#1738); +#1741=CARTESIAN_POINT('',(1.6E1,0.E0,-2.E1)); +#1742=DIRECTION('',(0.E0,0.E0,1.E0)); +#1743=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1744=AXIS2_PLACEMENT_3D('',#1741,#1742,#1743); +#1746=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.E1)); +#1747=DIRECTION('',(0.E0,0.E0,1.E0)); +#1748=DIRECTION('',(1.E0,0.E0,0.E0)); +#1749=AXIS2_PLACEMENT_3D('',#1746,#1747,#1748); +#1751=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.E1)); +#1752=DIRECTION('',(0.E0,0.E0,1.E0)); +#1753=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1754=AXIS2_PLACEMENT_3D('',#1751,#1752,#1753); +#1756=CARTESIAN_POINT('',(2.E1,0.E0,-2.E1)); +#1757=DIRECTION('',(0.E0,0.E0,1.E0)); +#1758=DIRECTION('',(1.E0,0.E0,0.E0)); +#1759=AXIS2_PLACEMENT_3D('',#1756,#1757,#1758); +#1761=CARTESIAN_POINT('',(2.E1,0.E0,-2.E1)); +#1762=DIRECTION('',(0.E0,0.E0,1.E0)); +#1763=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1764=AXIS2_PLACEMENT_3D('',#1761,#1762,#1763); +#1766=CARTESIAN_POINT('',(-1.885E1,-1.03E1,-9.9E0)); +#1767=CARTESIAN_POINT('',(-1.883142081123E1,-1.03E1,-9.918579188768E0)); +#1768=CARTESIAN_POINT('',(-1.879660340412E1,-1.03E1,-9.953546520062E0)); +#1769=CARTESIAN_POINT('',(-1.875882225745E1,-1.03E1,-1.001283399170E1)); +#1770=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-1.005277555995E1)); +#1771=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-1.007320508076E1)); +#1773=DIRECTION('',(0.E0,-2.183137016226E-14,1.E0)); +#1774=VECTOR('',#1773,9.926794919245E0); +#1775=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-2.E1)); +#1776=LINE('',#1775,#1774); +#1777=DIRECTION('',(0.E0,-2.809446815962E-14,1.E0)); +#1778=VECTOR('',#1777,9.926794919245E0); +#1779=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-2.E1)); +#1780=LINE('',#1779,#1778); +#1781=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-1.007320508075E1)); +#1782=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-1.005277633148E1)); +#1783=CARTESIAN_POINT('',(-1.624117843809E1,-1.03E1,-1.001283577128E1)); +#1784=CARTESIAN_POINT('',(-1.620339785E1,-1.03E1,-9.953547863190E0)); +#1785=CARTESIAN_POINT('',(-1.616857975156E1,-1.03E1,-9.918579751560E0)); +#1786=CARTESIAN_POINT('',(-1.615E1,-1.03E1,-9.9E0)); +#1788=DIRECTION('',(1.E0,0.E0,0.E0)); +#1789=VECTOR('',#1788,8.E-1); +#1790=CARTESIAN_POINT('',(-1.615E1,-1.03E1,-9.9E0)); +#1791=LINE('',#1790,#1789); +#1792=CARTESIAN_POINT('',(-1.535E1,-1.03E1,-9.9E0)); +#1793=CARTESIAN_POINT('',(-1.533142081123E1,-1.03E1,-9.918579188768E0)); +#1794=CARTESIAN_POINT('',(-1.529660340412E1,-1.03E1,-9.953546520062E0)); +#1795=CARTESIAN_POINT('',(-1.525882225745E1,-1.03E1,-1.001283399170E1)); +#1796=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-1.005277555995E1)); +#1797=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-1.007320508076E1)); +#1799=DIRECTION('',(0.E0,-2.183137016226E-14,1.E0)); +#1800=VECTOR('',#1799,9.926794919245E0); +#1801=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-2.E1)); +#1802=LINE('',#1801,#1800); +#1803=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#1804=VECTOR('',#1803,9.926794919245E0); +#1805=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-2.E1)); +#1806=LINE('',#1805,#1804); +#1807=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-1.007320508075E1)); +#1808=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-1.005277633148E1)); +#1809=CARTESIAN_POINT('',(-1.274117843809E1,-1.03E1,-1.001283577128E1)); +#1810=CARTESIAN_POINT('',(-1.270339785E1,-1.03E1,-9.953547863190E0)); +#1811=CARTESIAN_POINT('',(-1.266857975156E1,-1.03E1,-9.918579751560E0)); +#1812=CARTESIAN_POINT('',(-1.265E1,-1.03E1,-9.9E0)); +#1814=DIRECTION('',(1.E0,0.E0,0.E0)); +#1815=VECTOR('',#1814,8.E-1); +#1816=CARTESIAN_POINT('',(-1.265E1,-1.03E1,-9.9E0)); +#1817=LINE('',#1816,#1815); +#1818=CARTESIAN_POINT('',(-1.185E1,-1.03E1,-9.9E0)); +#1819=CARTESIAN_POINT('',(-1.183142081123E1,-1.03E1,-9.918579188768E0)); +#1820=CARTESIAN_POINT('',(-1.179660340412E1,-1.03E1,-9.953546520062E0)); +#1821=CARTESIAN_POINT('',(-1.175882225745E1,-1.03E1,-1.001283399170E1)); +#1822=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-1.005277555995E1)); +#1823=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-1.007320508076E1)); +#1825=DIRECTION('',(0.E0,-2.165242450519E-14,1.E0)); +#1826=VECTOR('',#1825,9.926794919245E0); +#1827=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#1828=LINE('',#1827,#1826); +#1829=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#1830=VECTOR('',#1829,9.926794919245E0); +#1831=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-2.E1)); +#1832=LINE('',#1831,#1830); +#1833=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-1.007320508075E1)); +#1834=CARTESIAN_POINT('',(-9.249999999999E0,-1.03E1,-1.005277633148E1)); +#1835=CARTESIAN_POINT('',(-9.241178438088E0,-1.03E1,-1.001283577128E1)); +#1836=CARTESIAN_POINT('',(-9.203397850001E0,-1.03E1,-9.953547863190E0)); +#1837=CARTESIAN_POINT('',(-9.168579751560E0,-1.03E1,-9.918579751560E0)); +#1838=CARTESIAN_POINT('',(-9.15E0,-1.03E1,-9.9E0)); +#1840=DIRECTION('',(1.E0,0.E0,0.E0)); +#1841=VECTOR('',#1840,8.E-1); +#1842=CARTESIAN_POINT('',(-9.15E0,-1.03E1,-9.9E0)); +#1843=LINE('',#1842,#1841); +#1844=CARTESIAN_POINT('',(-8.35E0,-1.03E1,-9.9E0)); +#1845=CARTESIAN_POINT('',(-8.331420811232E0,-1.03E1,-9.918579188768E0)); +#1846=CARTESIAN_POINT('',(-8.296603404117E0,-1.03E1,-9.953546520062E0)); +#1847=CARTESIAN_POINT('',(-8.258822257453E0,-1.03E1,-1.001283399170E1)); +#1848=CARTESIAN_POINT('',(-8.250000000001E0,-1.03E1,-1.005277555995E1)); +#1849=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-1.007320508076E1)); +#1851=DIRECTION('',(0.E0,-2.165242450519E-14,1.E0)); +#1852=VECTOR('',#1851,9.926794919245E0); +#1853=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#1854=LINE('',#1853,#1852); +#1855=DIRECTION('',(0.E0,-2.755763118842E-14,1.E0)); +#1856=VECTOR('',#1855,9.926794919245E0); +#1857=CARTESIAN_POINT('',(-5.925E0,-1.03E1,-2.E1)); +#1858=LINE('',#1857,#1856); +#1859=CARTESIAN_POINT('',(-5.925E0,-1.03E1,-1.007320508075E1)); +#1860=CARTESIAN_POINT('',(-5.924999999999E0,-1.03E1,-1.005277633148E1)); +#1861=CARTESIAN_POINT('',(-5.916178438088E0,-1.03E1,-1.001283577128E1)); +#1862=CARTESIAN_POINT('',(-5.878397850001E0,-1.03E1,-9.953547863190E0)); +#1863=CARTESIAN_POINT('',(-5.843579751560E0,-1.03E1,-9.918579751560E0)); +#1864=CARTESIAN_POINT('',(-5.825E0,-1.03E1,-9.9E0)); +#1866=DIRECTION('',(1.E0,0.E0,0.E0)); +#1867=VECTOR('',#1866,1.15E0); +#1868=CARTESIAN_POINT('',(-5.825E0,-1.03E1,-9.9E0)); +#1869=LINE('',#1868,#1867); +#1870=CARTESIAN_POINT('',(-4.675E0,-1.03E1,-9.9E0)); +#1871=CARTESIAN_POINT('',(-4.656420811232E0,-1.03E1,-9.918579188768E0)); +#1872=CARTESIAN_POINT('',(-4.621603404117E0,-1.03E1,-9.953546520062E0)); +#1873=CARTESIAN_POINT('',(-4.583822257453E0,-1.03E1,-1.001283399170E1)); +#1874=CARTESIAN_POINT('',(-4.575000000001E0,-1.03E1,-1.005277555995E1)); +#1875=CARTESIAN_POINT('',(-4.575E0,-1.03E1,-1.007320508076E1)); +#1877=DIRECTION('',(0.E0,-2.147347884812E-14,1.E0)); +#1878=VECTOR('',#1877,9.926794919245E0); +#1879=CARTESIAN_POINT('',(-4.575E0,-1.03E1,-2.E1)); +#1880=LINE('',#1879,#1878); +#1881=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#1882=VECTOR('',#1881,9.926794919245E0); +#1883=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-2.E1)); +#1884=LINE('',#1883,#1882); +#1885=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-1.007320508075E1)); +#1886=CARTESIAN_POINT('',(-2.249999999999E0,-1.03E1,-1.005277633148E1)); +#1887=CARTESIAN_POINT('',(-2.241178438088E0,-1.03E1,-1.001283577128E1)); +#1888=CARTESIAN_POINT('',(-2.203397850001E0,-1.03E1,-9.953547863190E0)); +#1889=CARTESIAN_POINT('',(-2.168579751560E0,-1.03E1,-9.918579751560E0)); +#1890=CARTESIAN_POINT('',(-2.15E0,-1.03E1,-9.9E0)); +#1892=DIRECTION('',(1.E0,0.E0,0.E0)); +#1893=VECTOR('',#1892,8.E-1); +#1894=CARTESIAN_POINT('',(-2.15E0,-1.03E1,-9.9E0)); +#1895=LINE('',#1894,#1893); +#1896=CARTESIAN_POINT('',(-1.35E0,-1.03E1,-9.9E0)); +#1897=CARTESIAN_POINT('',(-1.331420811232E0,-1.03E1,-9.918579188768E0)); +#1898=CARTESIAN_POINT('',(-1.296603404117E0,-1.03E1,-9.953546520062E0)); +#1899=CARTESIAN_POINT('',(-1.258822257453E0,-1.03E1,-1.001283399170E1)); +#1900=CARTESIAN_POINT('',(-1.250000000001E0,-1.03E1,-1.005277555995E1)); +#1901=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-1.007320508076E1)); +#1903=DIRECTION('',(0.E0,-2.165242450519E-14,1.E0)); +#1904=VECTOR('',#1903,9.926794919245E0); +#1905=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#1906=LINE('',#1905,#1904); +#1907=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#1908=VECTOR('',#1907,9.926794919245E0); +#1909=CARTESIAN_POINT('',(1.25E0,-1.03E1,-2.E1)); +#1910=LINE('',#1909,#1908); +#1911=CARTESIAN_POINT('',(1.25E0,-1.03E1,-1.007320508075E1)); +#1912=CARTESIAN_POINT('',(1.250000000001E0,-1.03E1,-1.005277633148E1)); +#1913=CARTESIAN_POINT('',(1.258821561912E0,-1.03E1,-1.001283577128E1)); +#1914=CARTESIAN_POINT('',(1.296602149999E0,-1.03E1,-9.953547863190E0)); +#1915=CARTESIAN_POINT('',(1.331420248440E0,-1.03E1,-9.918579751560E0)); +#1916=CARTESIAN_POINT('',(1.35E0,-1.03E1,-9.9E0)); +#1918=DIRECTION('',(1.E0,0.E0,0.E0)); +#1919=VECTOR('',#1918,8.E-1); +#1920=CARTESIAN_POINT('',(1.35E0,-1.03E1,-9.9E0)); +#1921=LINE('',#1920,#1919); +#1922=CARTESIAN_POINT('',(2.15E0,-1.03E1,-9.9E0)); +#1923=CARTESIAN_POINT('',(2.168579188768E0,-1.03E1,-9.918579188768E0)); +#1924=CARTESIAN_POINT('',(2.203396595883E0,-1.03E1,-9.953546520062E0)); +#1925=CARTESIAN_POINT('',(2.241177742547E0,-1.03E1,-1.001283399170E1)); +#1926=CARTESIAN_POINT('',(2.249999999999E0,-1.03E1,-1.005277555995E1)); +#1927=CARTESIAN_POINT('',(2.25E0,-1.03E1,-1.007320508076E1)); +#1929=DIRECTION('',(0.E0,-2.183137016226E-14,1.E0)); +#1930=VECTOR('',#1929,9.926794919245E0); +#1931=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#1932=LINE('',#1931,#1930); +#1933=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#1934=VECTOR('',#1933,9.926794919245E0); +#1935=CARTESIAN_POINT('',(4.75E0,-1.03E1,-2.E1)); +#1936=LINE('',#1935,#1934); +#1937=CARTESIAN_POINT('',(4.75E0,-1.03E1,-1.007320508075E1)); +#1938=CARTESIAN_POINT('',(4.750000000001E0,-1.03E1,-1.005277633148E1)); +#1939=CARTESIAN_POINT('',(4.758821561912E0,-1.03E1,-1.001283577128E1)); +#1940=CARTESIAN_POINT('',(4.796602149999E0,-1.03E1,-9.953547863190E0)); +#1941=CARTESIAN_POINT('',(4.831420248440E0,-1.03E1,-9.918579751560E0)); +#1942=CARTESIAN_POINT('',(4.85E0,-1.03E1,-9.9E0)); +#1944=DIRECTION('',(1.E0,0.E0,0.E0)); +#1945=VECTOR('',#1944,8.E-1); +#1946=CARTESIAN_POINT('',(4.85E0,-1.03E1,-9.9E0)); +#1947=LINE('',#1946,#1945); +#1948=CARTESIAN_POINT('',(5.65E0,-1.03E1,-9.9E0)); +#1949=CARTESIAN_POINT('',(5.668579188768E0,-1.03E1,-9.918579188768E0)); +#1950=CARTESIAN_POINT('',(5.703396595883E0,-1.03E1,-9.953546520062E0)); +#1951=CARTESIAN_POINT('',(5.741177742547E0,-1.03E1,-1.001283399170E1)); +#1952=CARTESIAN_POINT('',(5.749999999999E0,-1.03E1,-1.005277555995E1)); +#1953=CARTESIAN_POINT('',(5.75E0,-1.03E1,-1.007320508076E1)); +#1955=DIRECTION('',(0.E0,-2.183137016226E-14,1.E0)); +#1956=VECTOR('',#1955,9.926794919245E0); +#1957=CARTESIAN_POINT('',(5.75E0,-1.03E1,-2.E1)); +#1958=LINE('',#1957,#1956); +#1959=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#1960=VECTOR('',#1959,9.926794919245E0); +#1961=CARTESIAN_POINT('',(8.25E0,-1.03E1,-2.E1)); +#1962=LINE('',#1961,#1960); +#1963=CARTESIAN_POINT('',(8.25E0,-1.03E1,-1.007320508075E1)); +#1964=CARTESIAN_POINT('',(8.250000000001E0,-1.03E1,-1.005277633148E1)); +#1965=CARTESIAN_POINT('',(8.258821561912E0,-1.03E1,-1.001283577128E1)); +#1966=CARTESIAN_POINT('',(8.296602149999E0,-1.03E1,-9.953547863190E0)); +#1967=CARTESIAN_POINT('',(8.331420248440E0,-1.03E1,-9.918579751560E0)); +#1968=CARTESIAN_POINT('',(8.35E0,-1.03E1,-9.9E0)); +#1970=DIRECTION('',(1.E0,0.E0,0.E0)); +#1971=VECTOR('',#1970,8.E-1); +#1972=CARTESIAN_POINT('',(8.35E0,-1.03E1,-9.9E0)); +#1973=LINE('',#1972,#1971); +#1974=CARTESIAN_POINT('',(9.15E0,-1.03E1,-9.9E0)); +#1975=CARTESIAN_POINT('',(9.168579188768E0,-1.03E1,-9.918579188768E0)); +#1976=CARTESIAN_POINT('',(9.203396595883E0,-1.03E1,-9.953546520062E0)); +#1977=CARTESIAN_POINT('',(9.241177742547E0,-1.03E1,-1.001283399170E1)); +#1978=CARTESIAN_POINT('',(9.249999999999E0,-1.03E1,-1.005277555995E1)); +#1979=CARTESIAN_POINT('',(9.25E0,-1.03E1,-1.007320508076E1)); +#1981=DIRECTION('',(0.E0,-2.183137016226E-14,1.E0)); +#1982=VECTOR('',#1981,9.926794919245E0); +#1983=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#1984=LINE('',#1983,#1982); +#1985=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#1986=VECTOR('',#1985,9.926794919245E0); +#1987=CARTESIAN_POINT('',(1.175E1,-1.03E1,-2.E1)); +#1988=LINE('',#1987,#1986); +#1989=CARTESIAN_POINT('',(1.175E1,-1.03E1,-1.007320508075E1)); +#1990=CARTESIAN_POINT('',(1.175E1,-1.03E1,-1.005277633148E1)); +#1991=CARTESIAN_POINT('',(1.175882156191E1,-1.03E1,-1.001283577128E1)); +#1992=CARTESIAN_POINT('',(1.179660215E1,-1.03E1,-9.953547863190E0)); +#1993=CARTESIAN_POINT('',(1.183142024844E1,-1.03E1,-9.918579751560E0)); +#1994=CARTESIAN_POINT('',(1.185E1,-1.03E1,-9.9E0)); +#1996=DIRECTION('',(1.E0,0.E0,0.E0)); +#1997=VECTOR('',#1996,8.E-1); +#1998=CARTESIAN_POINT('',(1.185E1,-1.03E1,-9.9E0)); +#1999=LINE('',#1998,#1997); +#2000=CARTESIAN_POINT('',(1.265E1,-1.03E1,-9.9E0)); +#2001=CARTESIAN_POINT('',(1.266857918877E1,-1.03E1,-9.918579188768E0)); +#2002=CARTESIAN_POINT('',(1.270339659588E1,-1.03E1,-9.953546520062E0)); +#2003=CARTESIAN_POINT('',(1.274117774255E1,-1.03E1,-1.001283399170E1)); +#2004=CARTESIAN_POINT('',(1.275E1,-1.03E1,-1.005277555995E1)); +#2005=CARTESIAN_POINT('',(1.275E1,-1.03E1,-1.007320508076E1)); +#2007=DIRECTION('',(0.E0,-2.165242450519E-14,1.E0)); +#2008=VECTOR('',#2007,9.926794919245E0); +#2009=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#2010=LINE('',#2009,#2008); +#2011=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#2012=VECTOR('',#2011,9.926794919245E0); +#2013=CARTESIAN_POINT('',(1.525E1,-1.03E1,-2.E1)); +#2014=LINE('',#2013,#2012); +#2015=CARTESIAN_POINT('',(1.525E1,-1.03E1,-1.007320508075E1)); +#2016=CARTESIAN_POINT('',(1.525E1,-1.03E1,-1.005277633148E1)); +#2017=CARTESIAN_POINT('',(1.525882156191E1,-1.03E1,-1.001283577128E1)); +#2018=CARTESIAN_POINT('',(1.529660215E1,-1.03E1,-9.953547863190E0)); +#2019=CARTESIAN_POINT('',(1.533142024844E1,-1.03E1,-9.918579751560E0)); +#2020=CARTESIAN_POINT('',(1.535E1,-1.03E1,-9.9E0)); +#2022=DIRECTION('',(1.E0,0.E0,0.E0)); +#2023=VECTOR('',#2022,8.E-1); +#2024=CARTESIAN_POINT('',(1.535E1,-1.03E1,-9.9E0)); +#2025=LINE('',#2024,#2023); +#2026=CARTESIAN_POINT('',(1.615E1,-1.03E1,-9.9E0)); +#2027=CARTESIAN_POINT('',(1.616857918877E1,-1.03E1,-9.918579188768E0)); +#2028=CARTESIAN_POINT('',(1.620339659588E1,-1.03E1,-9.953546520062E0)); +#2029=CARTESIAN_POINT('',(1.624117774255E1,-1.03E1,-1.001283399170E1)); +#2030=CARTESIAN_POINT('',(1.625E1,-1.03E1,-1.005277555995E1)); +#2031=CARTESIAN_POINT('',(1.625E1,-1.03E1,-1.007320508076E1)); +#2033=DIRECTION('',(0.E0,-2.183137016226E-14,1.E0)); +#2034=VECTOR('',#2033,9.926794919245E0); +#2035=CARTESIAN_POINT('',(1.625E1,-1.03E1,-2.E1)); +#2036=LINE('',#2035,#2034); +#2037=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#2038=VECTOR('',#2037,9.926794919245E0); +#2039=CARTESIAN_POINT('',(1.875E1,-1.03E1,-2.E1)); +#2040=LINE('',#2039,#2038); +#2041=CARTESIAN_POINT('',(1.875E1,-1.03E1,-1.007320508075E1)); +#2042=CARTESIAN_POINT('',(1.875E1,-1.03E1,-1.005277633148E1)); +#2043=CARTESIAN_POINT('',(1.875882156191E1,-1.03E1,-1.001283577128E1)); +#2044=CARTESIAN_POINT('',(1.879660215E1,-1.03E1,-9.953547863190E0)); +#2045=CARTESIAN_POINT('',(1.883142024844E1,-1.03E1,-9.918579751560E0)); +#2046=CARTESIAN_POINT('',(1.885E1,-1.03E1,-9.9E0)); +#2048=DIRECTION('',(1.E0,0.E0,0.E0)); +#2049=VECTOR('',#2048,8.E-1); +#2050=CARTESIAN_POINT('',(1.885E1,-1.03E1,-9.9E0)); +#2051=LINE('',#2050,#2049); +#2052=CARTESIAN_POINT('',(1.965E1,-1.03E1,-9.9E0)); +#2053=CARTESIAN_POINT('',(1.966857918877E1,-1.03E1,-9.918579188768E0)); +#2054=CARTESIAN_POINT('',(1.970339659588E1,-1.03E1,-9.953546520062E0)); +#2055=CARTESIAN_POINT('',(1.974117774255E1,-1.03E1,-1.001283399170E1)); +#2056=CARTESIAN_POINT('',(1.975E1,-1.03E1,-1.005277555995E1)); +#2057=CARTESIAN_POINT('',(1.975E1,-1.03E1,-1.007320508076E1)); +#2059=DIRECTION('',(0.E0,-2.183137016226E-14,1.E0)); +#2060=VECTOR('',#2059,9.926794919245E0); +#2061=CARTESIAN_POINT('',(1.975E1,-1.03E1,-2.E1)); +#2062=LINE('',#2061,#2060); +#2063=DIRECTION('',(1.E0,0.E0,0.E0)); +#2064=VECTOR('',#2063,4.7E1); +#2065=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E0)); +#2066=LINE('',#2065,#2064); +#2067=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2068=VECTOR('',#2067,1.8E1); +#2069=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E0)); +#2070=LINE('',#2069,#2068); +#2071=DIRECTION('',(0.E0,-2.791552250256E-14,1.E0)); +#2072=VECTOR('',#2071,9.926794919245E0); +#2073=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-2.E1)); +#2074=LINE('',#2073,#2072); +#2075=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-1.007320508075E1)); +#2076=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-1.005277633148E1)); +#2077=CARTESIAN_POINT('',(-1.974117843809E1,-1.03E1,-1.001283577128E1)); +#2078=CARTESIAN_POINT('',(-1.970339785E1,-1.03E1,-9.953547863190E0)); +#2079=CARTESIAN_POINT('',(-1.966857975156E1,-1.03E1,-9.918579751560E0)); +#2080=CARTESIAN_POINT('',(-1.965E1,-1.03E1,-9.9E0)); +#2082=DIRECTION('',(1.E0,0.E0,0.E0)); +#2083=VECTOR('',#2082,8.E-1); +#2084=CARTESIAN_POINT('',(-1.965E1,-1.03E1,-9.9E0)); +#2085=LINE('',#2084,#2083); +#2086=CARTESIAN_POINT('',(-1.885E1,-1.02E1,-9.9E0)); +#2087=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2088=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2089=AXIS2_PLACEMENT_3D('',#2086,#2087,#2088); +#2091=CARTESIAN_POINT('',(-1.885E1,-1.02E1,-1.01E1)); +#2092=DIRECTION('',(0.E0,-1.E0,-1.543210004229E-14)); +#2093=DIRECTION('',(1.E0,0.E0,0.E0)); +#2094=AXIS2_PLACEMENT_3D('',#2091,#2092,#2093); +#2096=DIRECTION('',(0.E0,1.E0,-4.844609500002E-14)); +#2097=VECTOR('',#2096,1.100000014077E0); +#2098=CARTESIAN_POINT('',(-1.875E1,-1.02E1,-1.01E1)); +#2099=LINE('',#2098,#2097); +#2100=CARTESIAN_POINT('',(-1.875E1,-1.02E1,-9.9E0)); +#2101=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2102=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2103=AXIS2_PLACEMENT_3D('',#2100,#2101,#2102); +#2105=CARTESIAN_POINT('',(-1.885E1,-9.1E0,-1.01E1)); +#2106=DIRECTION('',(0.E0,1.E0,0.E0)); +#2107=DIRECTION('',(-3.552713678801E-14,0.E0,1.E0)); +#2108=AXIS2_PLACEMENT_3D('',#2105,#2106,#2107); +#2110=CARTESIAN_POINT('',(-1.965E1,-9.1E0,-1.01E1)); +#2111=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2112=DIRECTION('',(0.E0,0.E0,1.E0)); +#2113=AXIS2_PLACEMENT_3D('',#2110,#2111,#2112); +#2115=DIRECTION('',(0.E0,-1.E0,4.037174635E-14)); +#2116=VECTOR('',#2115,1.1E0); +#2117=CARTESIAN_POINT('',(-1.975E1,-9.1E0,-1.01E1)); +#2118=LINE('',#2117,#2116); +#2119=CARTESIAN_POINT('',(-1.975E1,-1.02E1,-9.9E0)); +#2120=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2121=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2122=AXIS2_PLACEMENT_3D('',#2119,#2120,#2121); +#2124=DIRECTION('',(0.E0,-3.552713678801E-14,1.E0)); +#2125=VECTOR('',#2124,9.9E0); +#2126=CARTESIAN_POINT('',(-1.975E1,-9.1E0,-2.E1)); +#2127=LINE('',#2126,#2125); +#2128=CARTESIAN_POINT('',(-1.965E1,-1.02E1,-1.01E1)); +#2129=DIRECTION('',(0.E0,-1.E0,1.132427485118E-14)); +#2130=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#2131=AXIS2_PLACEMENT_3D('',#2128,#2129,#2130); +#2133=CARTESIAN_POINT('',(-1.965E1,-1.02E1,-9.9E0)); +#2134=DIRECTION('',(1.E0,0.E0,0.E0)); +#2135=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2136=AXIS2_PLACEMENT_3D('',#2133,#2134,#2135); +#2138=CARTESIAN_POINT('',(-1.925E1,-9.1E0,-1.01E1)); +#2139=DIRECTION('',(0.E0,0.E0,1.E0)); +#2140=DIRECTION('',(1.E0,0.E0,0.E0)); +#2141=AXIS2_PLACEMENT_3D('',#2138,#2139,#2140); +#2143=DIRECTION('',(0.E0,1.421910131726E-9,1.E0)); +#2144=VECTOR('',#2143,9.9E0); +#2145=CARTESIAN_POINT('',(-1.875E1,-9.1E0,-2.E1)); +#2146=LINE('',#2145,#2144); +#2147=DIRECTION('',(0.E0,-1.E0,4.037174635E-14)); +#2148=VECTOR('',#2147,1.1E0); +#2149=CARTESIAN_POINT('',(-1.625E1,-9.1E0,-1.01E1)); +#2150=LINE('',#2149,#2148); +#2151=CARTESIAN_POINT('',(-1.625E1,-1.02E1,-9.9E0)); +#2152=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2153=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2154=AXIS2_PLACEMENT_3D('',#2151,#2152,#2153); +#2156=DIRECTION('',(0.E0,-3.534770680423E-14,1.E0)); +#2157=VECTOR('',#2156,9.9E0); +#2158=CARTESIAN_POINT('',(-1.625E1,-9.1E0,-2.E1)); +#2159=LINE('',#2158,#2157); +#2160=CARTESIAN_POINT('',(-1.615E1,-9.1E0,-1.01E1)); +#2161=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2162=DIRECTION('',(0.E0,0.E0,1.E0)); +#2163=AXIS2_PLACEMENT_3D('',#2160,#2161,#2162); +#2165=CARTESIAN_POINT('',(-1.535E1,-9.1E0,-1.01E1)); +#2166=DIRECTION('',(0.E0,1.E0,0.E0)); +#2167=DIRECTION('',(1.776356839400E-14,0.E0,1.E0)); +#2168=AXIS2_PLACEMENT_3D('',#2165,#2166,#2167); +#2170=CARTESIAN_POINT('',(-1.575E1,-9.1E0,-1.01E1)); +#2171=DIRECTION('',(0.E0,0.E0,1.E0)); +#2172=DIRECTION('',(1.E0,0.E0,0.E0)); +#2173=AXIS2_PLACEMENT_3D('',#2170,#2171,#2172); +#2175=DIRECTION('',(0.E0,1.421910131726E-9,1.E0)); +#2176=VECTOR('',#2175,9.9E0); +#2177=CARTESIAN_POINT('',(-1.525E1,-9.1E0,-2.E1)); +#2178=LINE('',#2177,#2176); +#2179=DIRECTION('',(0.E0,1.E0,-5.006096483335E-14)); +#2180=VECTOR('',#2179,1.100000014077E0); +#2181=CARTESIAN_POINT('',(-1.525E1,-1.02E1,-1.01E1)); +#2182=LINE('',#2181,#2180); +#2183=CARTESIAN_POINT('',(-1.525E1,-1.02E1,-9.9E0)); +#2184=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2185=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2186=AXIS2_PLACEMENT_3D('',#2183,#2184,#2185); +#2188=CARTESIAN_POINT('',(-1.535E1,-1.02E1,-9.9E0)); +#2189=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2190=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2191=AXIS2_PLACEMENT_3D('',#2188,#2189,#2190); +#2193=CARTESIAN_POINT('',(-1.535E1,-1.02E1,-1.01E1)); +#2194=DIRECTION('',(0.E0,-1.E0,-2.337019466836E-14)); +#2195=DIRECTION('',(1.E0,0.E0,0.E0)); +#2196=AXIS2_PLACEMENT_3D('',#2193,#2194,#2195); +#2198=CARTESIAN_POINT('',(-1.615E1,-1.02E1,-1.01E1)); +#2199=DIRECTION('',(0.E0,-1.E0,-2.242650509743E-14)); +#2200=DIRECTION('',(0.E0,-1.776356839400E-14,1.E0)); +#2201=AXIS2_PLACEMENT_3D('',#2198,#2199,#2200); +#2203=CARTESIAN_POINT('',(-1.615E1,-1.02E1,-9.9E0)); +#2204=DIRECTION('',(1.E0,0.E0,0.E0)); +#2205=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2206=AXIS2_PLACEMENT_3D('',#2203,#2204,#2205); +#2208=DIRECTION('',(0.E0,-1.E0,4.037174635E-14)); +#2209=VECTOR('',#2208,1.1E0); +#2210=CARTESIAN_POINT('',(-1.275E1,-9.1E0,-1.01E1)); +#2211=LINE('',#2210,#2209); +#2212=CARTESIAN_POINT('',(-1.275E1,-1.02E1,-9.9E0)); +#2213=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2214=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2215=AXIS2_PLACEMENT_3D('',#2212,#2213,#2214); +#2217=DIRECTION('',(0.E0,-3.534770680423E-14,1.E0)); +#2218=VECTOR('',#2217,9.9E0); +#2219=CARTESIAN_POINT('',(-1.275E1,-9.1E0,-2.E1)); +#2220=LINE('',#2219,#2218); +#2221=CARTESIAN_POINT('',(-1.265E1,-9.1E0,-1.01E1)); +#2222=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2223=DIRECTION('',(0.E0,0.E0,1.E0)); +#2224=AXIS2_PLACEMENT_3D('',#2221,#2222,#2223); +#2226=CARTESIAN_POINT('',(-1.185E1,-9.1E0,-1.01E1)); +#2227=DIRECTION('',(0.E0,1.E0,0.E0)); +#2228=DIRECTION('',(1.776356839400E-14,0.E0,1.E0)); +#2229=AXIS2_PLACEMENT_3D('',#2226,#2227,#2228); +#2231=CARTESIAN_POINT('',(-1.225E1,-9.1E0,-1.01E1)); +#2232=DIRECTION('',(0.E0,0.E0,1.E0)); +#2233=DIRECTION('',(1.E0,0.E0,0.E0)); +#2234=AXIS2_PLACEMENT_3D('',#2231,#2232,#2233); +#2236=DIRECTION('',(0.E0,1.421910131726E-9,1.E0)); +#2237=VECTOR('',#2236,9.9E0); +#2238=CARTESIAN_POINT('',(-1.175E1,-9.1E0,-2.E1)); +#2239=LINE('',#2238,#2237); +#2240=DIRECTION('',(0.E0,1.E0,-4.844609500002E-14)); +#2241=VECTOR('',#2240,1.100000014077E0); +#2242=CARTESIAN_POINT('',(-1.175E1,-1.02E1,-1.01E1)); +#2243=LINE('',#2242,#2241); +#2244=CARTESIAN_POINT('',(-1.175E1,-1.02E1,-9.9E0)); +#2245=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2246=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2247=AXIS2_PLACEMENT_3D('',#2244,#2245,#2246); +#2249=CARTESIAN_POINT('',(-1.185E1,-1.02E1,-9.9E0)); +#2250=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2251=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2252=AXIS2_PLACEMENT_3D('',#2249,#2250,#2251); +#2254=CARTESIAN_POINT('',(-1.185E1,-1.02E1,-1.01E1)); +#2255=DIRECTION('',(0.E0,-1.E0,-2.337019466836E-14)); +#2256=DIRECTION('',(1.E0,0.E0,0.E0)); +#2257=AXIS2_PLACEMENT_3D('',#2254,#2255,#2256); +#2259=CARTESIAN_POINT('',(-1.265E1,-1.02E1,-1.01E1)); +#2260=DIRECTION('',(0.E0,-1.E0,-1.687538997430E-14)); +#2261=DIRECTION('',(0.E0,-1.776356839400E-14,1.E0)); +#2262=AXIS2_PLACEMENT_3D('',#2259,#2260,#2261); +#2264=CARTESIAN_POINT('',(-1.265E1,-1.02E1,-9.9E0)); +#2265=DIRECTION('',(1.E0,0.E0,0.E0)); +#2266=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2267=AXIS2_PLACEMENT_3D('',#2264,#2265,#2266); +#2269=DIRECTION('',(0.E0,-1.E0,4.037174635E-14)); +#2270=VECTOR('',#2269,1.1E0); +#2271=CARTESIAN_POINT('',(-9.25E0,-9.1E0,-1.01E1)); +#2272=LINE('',#2271,#2270); +#2273=CARTESIAN_POINT('',(-9.25E0,-1.02E1,-9.9E0)); +#2274=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2275=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2276=AXIS2_PLACEMENT_3D('',#2273,#2274,#2275); +#2278=DIRECTION('',(0.E0,-3.552713678801E-14,1.E0)); +#2279=VECTOR('',#2278,9.9E0); +#2280=CARTESIAN_POINT('',(-9.25E0,-9.1E0,-2.E1)); +#2281=LINE('',#2280,#2279); +#2282=CARTESIAN_POINT('',(-9.15E0,-9.1E0,-1.01E1)); +#2283=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2284=DIRECTION('',(0.E0,0.E0,1.E0)); +#2285=AXIS2_PLACEMENT_3D('',#2282,#2283,#2284); +#2287=CARTESIAN_POINT('',(-8.35E0,-9.1E0,-1.01E1)); +#2288=DIRECTION('',(0.E0,1.E0,0.E0)); +#2289=DIRECTION('',(1.776356839400E-14,0.E0,1.E0)); +#2290=AXIS2_PLACEMENT_3D('',#2287,#2288,#2289); +#2292=CARTESIAN_POINT('',(-8.75E0,-9.1E0,-1.01E1)); +#2293=DIRECTION('',(0.E0,0.E0,1.E0)); +#2294=DIRECTION('',(1.E0,0.E0,0.E0)); +#2295=AXIS2_PLACEMENT_3D('',#2292,#2293,#2294); +#2297=DIRECTION('',(0.E0,1.421910311156E-9,1.E0)); +#2298=VECTOR('',#2297,9.9E0); +#2299=CARTESIAN_POINT('',(-8.25E0,-9.1E0,-2.E1)); +#2300=LINE('',#2299,#2298); +#2301=DIRECTION('',(0.E0,1.E0,-4.844609500002E-14)); +#2302=VECTOR('',#2301,1.100000014077E0); +#2303=CARTESIAN_POINT('',(-8.25E0,-1.02E1,-1.01E1)); +#2304=LINE('',#2303,#2302); +#2305=CARTESIAN_POINT('',(-8.25E0,-1.02E1,-9.9E0)); +#2306=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2307=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2308=AXIS2_PLACEMENT_3D('',#2305,#2306,#2307); +#2310=CARTESIAN_POINT('',(-8.35E0,-1.02E1,-9.9E0)); +#2311=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2312=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2313=AXIS2_PLACEMENT_3D('',#2310,#2311,#2312); +#2315=CARTESIAN_POINT('',(-8.35E0,-1.02E1,-1.01E1)); +#2316=DIRECTION('',(0.E0,-1.E0,-2.337019466836E-14)); +#2317=DIRECTION('',(1.E0,0.E0,0.E0)); +#2318=AXIS2_PLACEMENT_3D('',#2315,#2316,#2317); +#2320=CARTESIAN_POINT('',(-9.15E0,-1.02E1,-1.01E1)); +#2321=DIRECTION('',(0.E0,-1.E0,-1.687538997430E-14)); +#2322=DIRECTION('',(0.E0,-1.776356839400E-14,1.E0)); +#2323=AXIS2_PLACEMENT_3D('',#2320,#2321,#2322); +#2325=CARTESIAN_POINT('',(-9.15E0,-1.02E1,-9.9E0)); +#2326=DIRECTION('',(1.E0,0.E0,0.E0)); +#2327=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2328=AXIS2_PLACEMENT_3D('',#2325,#2326,#2327); +#2330=DIRECTION('',(0.E0,-1.E0,2.006238311910E-14)); +#2331=VECTOR('',#2330,2.125000000873E0); +#2332=CARTESIAN_POINT('',(-5.925E0,-8.074999999127E0,-1.01E1)); +#2333=LINE('',#2332,#2331); +#2334=CARTESIAN_POINT('',(-5.925E0,-1.02E1,-9.9E0)); +#2335=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2336=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2337=AXIS2_PLACEMENT_3D('',#2334,#2335,#2336); +#2339=DIRECTION('',(0.E0,8.821136862484E-11,1.E0)); +#2340=VECTOR('',#2339,9.9E0); +#2341=CARTESIAN_POINT('',(-5.925E0,-8.075E0,-2.E1)); +#2342=LINE('',#2341,#2340); +#2343=CARTESIAN_POINT('',(-5.825E0,-8.075E0,-1.01E1)); +#2344=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2345=DIRECTION('',(0.E0,0.E0,1.E0)); +#2346=AXIS2_PLACEMENT_3D('',#2343,#2344,#2345); +#2348=CARTESIAN_POINT('',(-4.675E0,-8.075E0,-1.01E1)); +#2349=DIRECTION('',(0.E0,1.E0,0.E0)); +#2350=DIRECTION('',(0.E0,0.E0,1.E0)); +#2351=AXIS2_PLACEMENT_3D('',#2348,#2349,#2350); +#2353=CARTESIAN_POINT('',(-5.25E0,-8.075E0,-1.01E1)); +#2354=DIRECTION('',(0.E0,0.E0,1.E0)); +#2355=DIRECTION('',(1.E0,0.E0,0.E0)); +#2356=AXIS2_PLACEMENT_3D('',#2353,#2354,#2355); +#2358=DIRECTION('',(0.E0,6.680278776839E-10,1.E0)); +#2359=VECTOR('',#2358,9.9E0); +#2360=CARTESIAN_POINT('',(-4.575E0,-8.075E0,-2.E1)); +#2361=LINE('',#2360,#2359); +#2362=DIRECTION('',(0.E0,1.E0,-2.424204620343E-14)); +#2363=VECTOR('',#2362,2.125000006614E0); +#2364=CARTESIAN_POINT('',(-4.575E0,-1.02E1,-1.01E1)); +#2365=LINE('',#2364,#2363); +#2366=CARTESIAN_POINT('',(-4.575E0,-1.02E1,-9.9E0)); +#2367=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2368=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2369=AXIS2_PLACEMENT_3D('',#2366,#2367,#2368); +#2371=CARTESIAN_POINT('',(-4.675E0,-1.02E1,-9.9E0)); +#2372=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2373=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2374=AXIS2_PLACEMENT_3D('',#2371,#2372,#2373); +#2376=CARTESIAN_POINT('',(-4.675E0,-1.02E1,-1.01E1)); +#2377=DIRECTION('',(0.E0,-1.E0,5.051514762044E-14)); +#2378=DIRECTION('',(1.E0,0.E0,0.E0)); +#2379=AXIS2_PLACEMENT_3D('',#2376,#2377,#2378); +#2381=CARTESIAN_POINT('',(-5.825E0,-1.02E1,-1.01E1)); +#2382=DIRECTION('',(0.E0,-1.E0,3.658184866140E-14)); +#2383=DIRECTION('',(-1.776356839400E-14,3.552713678801E-14,1.E0)); +#2384=AXIS2_PLACEMENT_3D('',#2381,#2382,#2383); +#2386=CARTESIAN_POINT('',(-5.825E0,-1.02E1,-9.9E0)); +#2387=DIRECTION('',(1.E0,0.E0,0.E0)); +#2388=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2389=AXIS2_PLACEMENT_3D('',#2386,#2387,#2388); +#2391=DIRECTION('',(0.E0,-1.E0,4.037174635E-14)); +#2392=VECTOR('',#2391,1.1E0); +#2393=CARTESIAN_POINT('',(-2.25E0,-9.1E0,-1.01E1)); +#2394=LINE('',#2393,#2392); +#2395=CARTESIAN_POINT('',(-2.25E0,-1.02E1,-9.9E0)); +#2396=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2397=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2398=AXIS2_PLACEMENT_3D('',#2395,#2396,#2397); +#2400=DIRECTION('',(0.E0,-3.552713678801E-14,1.E0)); +#2401=VECTOR('',#2400,9.9E0); +#2402=CARTESIAN_POINT('',(-2.25E0,-9.1E0,-2.E1)); +#2403=LINE('',#2402,#2401); +#2404=CARTESIAN_POINT('',(-2.15E0,-9.1E0,-1.01E1)); +#2405=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2406=DIRECTION('',(0.E0,0.E0,1.E0)); +#2407=AXIS2_PLACEMENT_3D('',#2404,#2405,#2406); +#2409=CARTESIAN_POINT('',(-1.35E0,-9.1E0,-1.01E1)); +#2410=DIRECTION('',(0.E0,1.E0,0.E0)); +#2411=DIRECTION('',(0.E0,0.E0,1.E0)); +#2412=AXIS2_PLACEMENT_3D('',#2409,#2410,#2411); +#2414=CARTESIAN_POINT('',(-1.75E0,-9.1E0,-1.01E1)); +#2415=DIRECTION('',(0.E0,0.E0,1.E0)); +#2416=DIRECTION('',(1.E0,0.E0,0.E0)); +#2417=AXIS2_PLACEMENT_3D('',#2414,#2415,#2416); +#2419=DIRECTION('',(0.E0,1.421910311156E-9,1.E0)); +#2420=VECTOR('',#2419,9.9E0); +#2421=CARTESIAN_POINT('',(-1.25E0,-9.1E0,-2.E1)); +#2422=LINE('',#2421,#2420); +#2423=DIRECTION('',(0.E0,1.E0,-4.844609500001E-14)); +#2424=VECTOR('',#2423,1.100000014077E0); +#2425=CARTESIAN_POINT('',(-1.25E0,-1.02E1,-1.01E1)); +#2426=LINE('',#2425,#2424); +#2427=CARTESIAN_POINT('',(-1.25E0,-1.02E1,-9.9E0)); +#2428=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2429=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2430=AXIS2_PLACEMENT_3D('',#2427,#2428,#2429); +#2432=CARTESIAN_POINT('',(-1.35E0,-1.02E1,-9.9E0)); +#2433=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2434=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2435=AXIS2_PLACEMENT_3D('',#2432,#2433,#2434); +#2437=CARTESIAN_POINT('',(-1.35E0,-1.02E1,-1.01E1)); +#2438=DIRECTION('',(0.E0,-1.E0,-2.420286193683E-14)); +#2439=DIRECTION('',(1.E0,0.E0,0.E0)); +#2440=AXIS2_PLACEMENT_3D('',#2437,#2438,#2439); +#2442=CARTESIAN_POINT('',(-2.15E0,-1.02E1,-1.01E1)); +#2443=DIRECTION('',(0.E0,-1.E0,-1.826316875508E-14)); +#2444=DIRECTION('',(0.E0,-1.776356839400E-14,1.E0)); +#2445=AXIS2_PLACEMENT_3D('',#2442,#2443,#2444); +#2447=CARTESIAN_POINT('',(-2.15E0,-1.02E1,-9.9E0)); +#2448=DIRECTION('',(1.E0,0.E0,0.E0)); +#2449=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2450=AXIS2_PLACEMENT_3D('',#2447,#2448,#2449); +#2452=DIRECTION('',(0.E0,-1.E0,4.037174635E-14)); +#2453=VECTOR('',#2452,1.1E0); +#2454=CARTESIAN_POINT('',(1.25E0,-9.1E0,-1.01E1)); +#2455=LINE('',#2454,#2453); +#2456=CARTESIAN_POINT('',(1.25E0,-1.02E1,-9.9E0)); +#2457=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2458=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2459=AXIS2_PLACEMENT_3D('',#2456,#2457,#2458); +#2461=DIRECTION('',(0.E0,-3.534770680423E-14,1.E0)); +#2462=VECTOR('',#2461,9.9E0); +#2463=CARTESIAN_POINT('',(1.25E0,-9.1E0,-2.E1)); +#2464=LINE('',#2463,#2462); +#2465=CARTESIAN_POINT('',(1.35E0,-9.1E0,-1.01E1)); +#2466=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2467=DIRECTION('',(0.E0,0.E0,1.E0)); +#2468=AXIS2_PLACEMENT_3D('',#2465,#2466,#2467); +#2470=CARTESIAN_POINT('',(2.15E0,-9.1E0,-1.01E1)); +#2471=DIRECTION('',(0.E0,1.E0,0.E0)); +#2472=DIRECTION('',(0.E0,0.E0,1.E0)); +#2473=AXIS2_PLACEMENT_3D('',#2470,#2471,#2472); +#2475=CARTESIAN_POINT('',(1.75E0,-9.1E0,-1.01E1)); +#2476=DIRECTION('',(0.E0,0.E0,1.E0)); +#2477=DIRECTION('',(1.E0,0.E0,0.E0)); +#2478=AXIS2_PLACEMENT_3D('',#2475,#2476,#2477); +#2480=DIRECTION('',(0.E0,1.421910311156E-9,1.E0)); +#2481=VECTOR('',#2480,9.9E0); +#2482=CARTESIAN_POINT('',(2.25E0,-9.1E0,-2.E1)); +#2483=LINE('',#2482,#2481); +#2484=DIRECTION('',(0.E0,1.E0,-5.006096483335E-14)); +#2485=VECTOR('',#2484,1.100000014077E0); +#2486=CARTESIAN_POINT('',(2.25E0,-1.02E1,-1.01E1)); +#2487=LINE('',#2486,#2485); +#2488=CARTESIAN_POINT('',(2.25E0,-1.02E1,-9.9E0)); +#2489=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2490=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2491=AXIS2_PLACEMENT_3D('',#2488,#2489,#2490); +#2493=CARTESIAN_POINT('',(2.15E0,-1.02E1,-9.9E0)); +#2494=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2495=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2496=AXIS2_PLACEMENT_3D('',#2493,#2494,#2495); +#2498=CARTESIAN_POINT('',(2.15E0,-1.02E1,-1.01E1)); +#2499=DIRECTION('',(0.E0,-1.E0,-2.714495295209E-14)); +#2500=DIRECTION('',(1.E0,0.E0,0.E0)); +#2501=AXIS2_PLACEMENT_3D('',#2498,#2499,#2500); +#2503=CARTESIAN_POINT('',(1.35E0,-1.02E1,-1.01E1)); +#2504=DIRECTION('',(0.E0,-1.E0,-1.748601263785E-14)); +#2505=DIRECTION('',(0.E0,-1.776356839400E-14,1.E0)); +#2506=AXIS2_PLACEMENT_3D('',#2503,#2504,#2505); +#2508=CARTESIAN_POINT('',(1.35E0,-1.02E1,-9.9E0)); +#2509=DIRECTION('',(1.E0,0.E0,0.E0)); +#2510=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2511=AXIS2_PLACEMENT_3D('',#2508,#2509,#2510); +#2513=DIRECTION('',(0.E0,-1.E0,4.037174635E-14)); +#2514=VECTOR('',#2513,1.1E0); +#2515=CARTESIAN_POINT('',(4.75E0,-9.1E0,-1.01E1)); +#2516=LINE('',#2515,#2514); +#2517=CARTESIAN_POINT('',(4.75E0,-1.02E1,-9.9E0)); +#2518=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2519=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2520=AXIS2_PLACEMENT_3D('',#2517,#2518,#2519); +#2522=DIRECTION('',(0.E0,-3.552713678801E-14,1.E0)); +#2523=VECTOR('',#2522,9.9E0); +#2524=CARTESIAN_POINT('',(4.75E0,-9.1E0,-2.E1)); +#2525=LINE('',#2524,#2523); +#2526=CARTESIAN_POINT('',(4.85E0,-9.1E0,-1.01E1)); +#2527=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2528=DIRECTION('',(0.E0,0.E0,1.E0)); +#2529=AXIS2_PLACEMENT_3D('',#2526,#2527,#2528); +#2531=CARTESIAN_POINT('',(5.65E0,-9.1E0,-1.01E1)); +#2532=DIRECTION('',(0.E0,1.E0,0.E0)); +#2533=DIRECTION('',(0.E0,0.E0,1.E0)); +#2534=AXIS2_PLACEMENT_3D('',#2531,#2532,#2533); +#2536=CARTESIAN_POINT('',(5.25E0,-9.1E0,-1.01E1)); +#2537=DIRECTION('',(0.E0,0.E0,1.E0)); +#2538=DIRECTION('',(1.E0,0.E0,0.E0)); +#2539=AXIS2_PLACEMENT_3D('',#2536,#2537,#2538); +#2541=DIRECTION('',(0.E0,1.421910311156E-9,1.E0)); +#2542=VECTOR('',#2541,9.9E0); +#2543=CARTESIAN_POINT('',(5.75E0,-9.1E0,-2.E1)); +#2544=LINE('',#2543,#2542); +#2545=DIRECTION('',(0.E0,1.E0,-4.844609500002E-14)); +#2546=VECTOR('',#2545,1.100000014077E0); +#2547=CARTESIAN_POINT('',(5.75E0,-1.02E1,-1.01E1)); +#2548=LINE('',#2547,#2546); +#2549=CARTESIAN_POINT('',(5.75E0,-1.02E1,-9.9E0)); +#2550=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2551=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2552=AXIS2_PLACEMENT_3D('',#2549,#2550,#2551); +#2554=CARTESIAN_POINT('',(5.65E0,-1.02E1,-9.9E0)); +#2555=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2556=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2557=AXIS2_PLACEMENT_3D('',#2554,#2555,#2556); +#2559=CARTESIAN_POINT('',(5.65E0,-1.02E1,-1.01E1)); +#2560=DIRECTION('',(0.E0,-1.E0,-2.331468351713E-14)); +#2561=DIRECTION('',(1.E0,0.E0,0.E0)); +#2562=AXIS2_PLACEMENT_3D('',#2559,#2560,#2561); +#2564=CARTESIAN_POINT('',(4.85E0,-1.02E1,-1.01E1)); +#2565=DIRECTION('',(0.E0,-1.E0,-1.687538997430E-14)); +#2566=DIRECTION('',(0.E0,-1.776356839400E-14,1.E0)); +#2567=AXIS2_PLACEMENT_3D('',#2564,#2565,#2566); +#2569=CARTESIAN_POINT('',(4.85E0,-1.02E1,-9.9E0)); +#2570=DIRECTION('',(1.E0,0.E0,0.E0)); +#2571=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2572=AXIS2_PLACEMENT_3D('',#2569,#2570,#2571); +#2574=DIRECTION('',(0.E0,-1.E0,4.037174635E-14)); +#2575=VECTOR('',#2574,1.1E0); +#2576=CARTESIAN_POINT('',(8.25E0,-9.1E0,-1.01E1)); +#2577=LINE('',#2576,#2575); +#2578=CARTESIAN_POINT('',(8.25E0,-1.02E1,-9.9E0)); +#2579=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2580=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2581=AXIS2_PLACEMENT_3D('',#2578,#2579,#2580); +#2583=DIRECTION('',(0.E0,-3.552713678801E-14,1.E0)); +#2584=VECTOR('',#2583,9.9E0); +#2585=CARTESIAN_POINT('',(8.25E0,-9.1E0,-2.E1)); +#2586=LINE('',#2585,#2584); +#2587=CARTESIAN_POINT('',(8.35E0,-9.1E0,-1.01E1)); +#2588=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2589=DIRECTION('',(0.E0,0.E0,1.E0)); +#2590=AXIS2_PLACEMENT_3D('',#2587,#2588,#2589); +#2592=CARTESIAN_POINT('',(9.15E0,-9.1E0,-1.01E1)); +#2593=DIRECTION('',(0.E0,1.E0,0.E0)); +#2594=DIRECTION('',(-1.776356839400E-14,0.E0,1.E0)); +#2595=AXIS2_PLACEMENT_3D('',#2592,#2593,#2594); +#2597=CARTESIAN_POINT('',(8.75E0,-9.1E0,-1.01E1)); +#2598=DIRECTION('',(0.E0,0.E0,1.E0)); +#2599=DIRECTION('',(1.E0,0.E0,0.E0)); +#2600=AXIS2_PLACEMENT_3D('',#2597,#2598,#2599); +#2602=DIRECTION('',(0.E0,1.421909952296E-9,1.E0)); +#2603=VECTOR('',#2602,9.9E0); +#2604=CARTESIAN_POINT('',(9.25E0,-9.1E0,-2.E1)); +#2605=LINE('',#2604,#2603); +#2606=DIRECTION('',(0.E0,1.E0,-5.006096483335E-14)); +#2607=VECTOR('',#2606,1.100000014077E0); +#2608=CARTESIAN_POINT('',(9.25E0,-1.02E1,-1.01E1)); +#2609=LINE('',#2608,#2607); +#2610=CARTESIAN_POINT('',(9.25E0,-1.02E1,-9.9E0)); +#2611=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2612=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2613=AXIS2_PLACEMENT_3D('',#2610,#2611,#2612); +#2615=CARTESIAN_POINT('',(9.15E0,-1.02E1,-9.9E0)); +#2616=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2617=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2618=AXIS2_PLACEMENT_3D('',#2615,#2616,#2617); +#2620=CARTESIAN_POINT('',(9.15E0,-1.02E1,-1.01E1)); +#2621=DIRECTION('',(0.E0,-1.E0,-2.337019466836E-14)); +#2622=DIRECTION('',(1.E0,0.E0,0.E0)); +#2623=AXIS2_PLACEMENT_3D('',#2620,#2621,#2622); +#2625=CARTESIAN_POINT('',(8.35E0,-1.02E1,-1.01E1)); +#2626=DIRECTION('',(0.E0,-1.E0,-1.687538997430E-14)); +#2627=DIRECTION('',(0.E0,-1.776356839400E-14,1.E0)); +#2628=AXIS2_PLACEMENT_3D('',#2625,#2626,#2627); +#2630=CARTESIAN_POINT('',(8.35E0,-1.02E1,-9.9E0)); +#2631=DIRECTION('',(1.E0,0.E0,0.E0)); +#2632=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2633=AXIS2_PLACEMENT_3D('',#2630,#2631,#2632); +#2635=DIRECTION('',(0.E0,-1.E0,4.037174635E-14)); +#2636=VECTOR('',#2635,1.1E0); +#2637=CARTESIAN_POINT('',(1.175E1,-9.1E0,-1.01E1)); +#2638=LINE('',#2637,#2636); +#2639=CARTESIAN_POINT('',(1.175E1,-1.02E1,-9.9E0)); +#2640=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2641=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2642=AXIS2_PLACEMENT_3D('',#2639,#2640,#2641); +#2644=DIRECTION('',(0.E0,-3.534770680423E-14,1.E0)); +#2645=VECTOR('',#2644,9.9E0); +#2646=CARTESIAN_POINT('',(1.175E1,-9.1E0,-2.E1)); +#2647=LINE('',#2646,#2645); +#2648=CARTESIAN_POINT('',(1.185E1,-9.1E0,-1.01E1)); +#2649=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2650=DIRECTION('',(0.E0,0.E0,1.E0)); +#2651=AXIS2_PLACEMENT_3D('',#2648,#2649,#2650); +#2653=CARTESIAN_POINT('',(1.265E1,-9.1E0,-1.01E1)); +#2654=DIRECTION('',(0.E0,1.E0,0.E0)); +#2655=DIRECTION('',(1.776356839400E-14,0.E0,1.E0)); +#2656=AXIS2_PLACEMENT_3D('',#2653,#2654,#2655); +#2658=CARTESIAN_POINT('',(1.225E1,-9.1E0,-1.01E1)); +#2659=DIRECTION('',(0.E0,0.E0,1.E0)); +#2660=DIRECTION('',(1.E0,0.E0,0.E0)); +#2661=AXIS2_PLACEMENT_3D('',#2658,#2659,#2660); +#2663=DIRECTION('',(0.E0,1.421910311156E-9,1.E0)); +#2664=VECTOR('',#2663,9.9E0); +#2665=CARTESIAN_POINT('',(1.275E1,-9.1E0,-2.E1)); +#2666=LINE('',#2665,#2664); +#2667=DIRECTION('',(0.E0,1.E0,-4.844609500002E-14)); +#2668=VECTOR('',#2667,1.100000014077E0); +#2669=CARTESIAN_POINT('',(1.275E1,-1.02E1,-1.01E1)); +#2670=LINE('',#2669,#2668); +#2671=CARTESIAN_POINT('',(1.275E1,-1.02E1,-9.9E0)); +#2672=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2673=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2674=AXIS2_PLACEMENT_3D('',#2671,#2672,#2673); +#2676=CARTESIAN_POINT('',(1.265E1,-1.02E1,-9.9E0)); +#2677=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2678=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2679=AXIS2_PLACEMENT_3D('',#2676,#2677,#2678); +#2681=CARTESIAN_POINT('',(1.265E1,-1.02E1,-1.01E1)); +#2682=DIRECTION('',(0.E0,-1.E0,-2.337019466836E-14)); +#2683=DIRECTION('',(1.E0,0.E0,0.E0)); +#2684=AXIS2_PLACEMENT_3D('',#2681,#2682,#2683); +#2686=CARTESIAN_POINT('',(1.185E1,-1.02E1,-1.01E1)); +#2687=DIRECTION('',(0.E0,-1.E0,-1.687538997430E-14)); +#2688=DIRECTION('',(0.E0,-1.776356839400E-14,1.E0)); +#2689=AXIS2_PLACEMENT_3D('',#2686,#2687,#2688); +#2691=CARTESIAN_POINT('',(1.185E1,-1.02E1,-9.9E0)); +#2692=DIRECTION('',(1.E0,0.E0,0.E0)); +#2693=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2694=AXIS2_PLACEMENT_3D('',#2691,#2692,#2693); +#2696=DIRECTION('',(0.E0,-1.E0,4.037174635E-14)); +#2697=VECTOR('',#2696,1.1E0); +#2698=CARTESIAN_POINT('',(1.525E1,-9.1E0,-1.01E1)); +#2699=LINE('',#2698,#2697); +#2700=CARTESIAN_POINT('',(1.525E1,-1.02E1,-9.9E0)); +#2701=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2702=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2703=AXIS2_PLACEMENT_3D('',#2700,#2701,#2702); +#2705=DIRECTION('',(0.E0,-3.552713678801E-14,1.E0)); +#2706=VECTOR('',#2705,9.9E0); +#2707=CARTESIAN_POINT('',(1.525E1,-9.1E0,-2.E1)); +#2708=LINE('',#2707,#2706); +#2709=CARTESIAN_POINT('',(1.535E1,-9.1E0,-1.01E1)); +#2710=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2711=DIRECTION('',(0.E0,0.E0,1.E0)); +#2712=AXIS2_PLACEMENT_3D('',#2709,#2710,#2711); +#2714=CARTESIAN_POINT('',(1.615E1,-9.1E0,-1.01E1)); +#2715=DIRECTION('',(0.E0,1.E0,0.E0)); +#2716=DIRECTION('',(-3.552713678801E-14,0.E0,1.E0)); +#2717=AXIS2_PLACEMENT_3D('',#2714,#2715,#2716); +#2719=CARTESIAN_POINT('',(1.575E1,-9.1E0,-1.01E1)); +#2720=DIRECTION('',(0.E0,0.E0,1.E0)); +#2721=DIRECTION('',(1.E0,0.E0,0.E0)); +#2722=AXIS2_PLACEMENT_3D('',#2719,#2720,#2721); +#2724=DIRECTION('',(0.E0,1.421910311156E-9,1.E0)); +#2725=VECTOR('',#2724,9.9E0); +#2726=CARTESIAN_POINT('',(1.625E1,-9.1E0,-2.E1)); +#2727=LINE('',#2726,#2725); +#2728=DIRECTION('',(0.E0,1.E0,-4.844609500002E-14)); +#2729=VECTOR('',#2728,1.100000014077E0); +#2730=CARTESIAN_POINT('',(1.625E1,-1.02E1,-1.01E1)); +#2731=LINE('',#2730,#2729); +#2732=CARTESIAN_POINT('',(1.625E1,-1.02E1,-9.9E0)); +#2733=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2734=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2735=AXIS2_PLACEMENT_3D('',#2732,#2733,#2734); +#2737=CARTESIAN_POINT('',(1.615E1,-1.02E1,-9.9E0)); +#2738=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2739=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2740=AXIS2_PLACEMENT_3D('',#2737,#2738,#2739); +#2742=CARTESIAN_POINT('',(1.615E1,-1.02E1,-1.01E1)); +#2743=DIRECTION('',(0.E0,-1.E0,-3.103073353827E-14)); +#2744=DIRECTION('',(1.E0,0.E0,0.E0)); +#2745=AXIS2_PLACEMENT_3D('',#2742,#2743,#2744); +#2747=CARTESIAN_POINT('',(1.535E1,-1.02E1,-1.01E1)); +#2748=DIRECTION('',(0.E0,-1.E0,-1.687538997430E-14)); +#2749=DIRECTION('',(0.E0,-1.776356839400E-14,1.E0)); +#2750=AXIS2_PLACEMENT_3D('',#2747,#2748,#2749); +#2752=CARTESIAN_POINT('',(1.535E1,-1.02E1,-9.9E0)); +#2753=DIRECTION('',(1.E0,0.E0,0.E0)); +#2754=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2755=AXIS2_PLACEMENT_3D('',#2752,#2753,#2754); +#2757=DIRECTION('',(0.E0,-1.E0,4.037174635E-14)); +#2758=VECTOR('',#2757,1.1E0); +#2759=CARTESIAN_POINT('',(1.875E1,-9.1E0,-1.01E1)); +#2760=LINE('',#2759,#2758); +#2761=CARTESIAN_POINT('',(1.875E1,-1.02E1,-9.9E0)); +#2762=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2763=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2764=AXIS2_PLACEMENT_3D('',#2761,#2762,#2763); +#2766=DIRECTION('',(0.E0,-3.552713678801E-14,1.E0)); +#2767=VECTOR('',#2766,9.9E0); +#2768=CARTESIAN_POINT('',(1.875E1,-9.1E0,-2.E1)); +#2769=LINE('',#2768,#2767); +#2770=CARTESIAN_POINT('',(1.885E1,-9.1E0,-1.01E1)); +#2771=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2772=DIRECTION('',(0.E0,0.E0,1.E0)); +#2773=AXIS2_PLACEMENT_3D('',#2770,#2771,#2772); +#2775=CARTESIAN_POINT('',(1.965E1,-9.1E0,-1.01E1)); +#2776=DIRECTION('',(0.E0,1.E0,0.E0)); +#2777=DIRECTION('',(-3.552713678801E-14,0.E0,1.E0)); +#2778=AXIS2_PLACEMENT_3D('',#2775,#2776,#2777); +#2780=CARTESIAN_POINT('',(1.925E1,-9.1E0,-1.01E1)); +#2781=DIRECTION('',(0.E0,0.E0,1.E0)); +#2782=DIRECTION('',(1.E0,0.E0,0.E0)); +#2783=AXIS2_PLACEMENT_3D('',#2780,#2781,#2782); +#2785=DIRECTION('',(0.E0,1.421910311156E-9,1.E0)); +#2786=VECTOR('',#2785,9.9E0); +#2787=CARTESIAN_POINT('',(1.975E1,-9.1E0,-2.E1)); +#2788=LINE('',#2787,#2786); +#2789=DIRECTION('',(0.E0,1.E0,-5.006096483335E-14)); +#2790=VECTOR('',#2789,1.100000014077E0); +#2791=CARTESIAN_POINT('',(1.975E1,-1.02E1,-1.01E1)); +#2792=LINE('',#2791,#2790); +#2793=CARTESIAN_POINT('',(1.975E1,-1.02E1,-9.9E0)); +#2794=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2795=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2796=AXIS2_PLACEMENT_3D('',#2793,#2794,#2795); +#2798=CARTESIAN_POINT('',(1.965E1,-1.02E1,-9.9E0)); +#2799=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2800=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2801=AXIS2_PLACEMENT_3D('',#2798,#2799,#2800); +#2803=CARTESIAN_POINT('',(1.965E1,-1.02E1,-1.01E1)); +#2804=DIRECTION('',(0.E0,-1.E0,-6.222800053024E-14)); +#2805=DIRECTION('',(1.E0,0.E0,0.E0)); +#2806=AXIS2_PLACEMENT_3D('',#2803,#2804,#2805); +#2808=CARTESIAN_POINT('',(1.885E1,-1.02E1,-1.01E1)); +#2809=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2810=DIRECTION('',(0.E0,0.E0,1.E0)); +#2811=AXIS2_PLACEMENT_3D('',#2808,#2809,#2810); +#2813=CARTESIAN_POINT('',(1.885E1,-1.02E1,-9.9E0)); +#2814=DIRECTION('',(1.E0,0.E0,0.E0)); +#2815=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2816=AXIS2_PLACEMENT_3D('',#2813,#2814,#2815); +#2818=CARTESIAN_POINT('',(2.35E1,-5.6E0,-2.E0)); +#2819=DIRECTION('',(0.E0,0.E0,1.E0)); +#2820=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2821=AXIS2_PLACEMENT_3D('',#2818,#2819,#2820); +#2823=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2824=VECTOR('',#2823,1.8E1); +#2825=CARTESIAN_POINT('',(2.35E1,-1.03E1,-2.E0)); +#2826=LINE('',#2825,#2824); +#2827=CARTESIAN_POINT('',(2.82E1,-4.3E0,-9.9E0)); +#2828=CARTESIAN_POINT('',(2.82E1,-4.281420811232E0,-9.918579188768E0)); +#2829=CARTESIAN_POINT('',(2.82E1,-4.246603404117E0,-9.953546520062E0)); +#2830=CARTESIAN_POINT('',(2.82E1,-4.208822257453E0,-1.001283399170E1)); +#2831=CARTESIAN_POINT('',(2.82E1,-4.200000000001E0,-1.005277555995E1)); +#2832=CARTESIAN_POINT('',(2.82E1,-4.2E0,-1.007320508076E1)); +#2834=DIRECTION('',(2.147347884812E-14,0.E0,1.E0)); +#2835=VECTOR('',#2834,9.926794919245E0); +#2836=CARTESIAN_POINT('',(2.82E1,-4.2E0,-2.E1)); +#2837=LINE('',#2836,#2835); +#2838=DIRECTION('',(2.791552250256E-14,0.E0,1.E0)); +#2839=VECTOR('',#2838,9.926794919245E0); +#2840=CARTESIAN_POINT('',(2.82E1,4.2E0,-2.E1)); +#2841=LINE('',#2840,#2839); +#2842=CARTESIAN_POINT('',(2.82E1,4.2E0,-1.007320508075E1)); +#2843=CARTESIAN_POINT('',(2.82E1,4.200000000001E0,-1.005277633148E1)); +#2844=CARTESIAN_POINT('',(2.82E1,4.208821561912E0,-1.001283577128E1)); +#2845=CARTESIAN_POINT('',(2.82E1,4.246602149999E0,-9.953547863190E0)); +#2846=CARTESIAN_POINT('',(2.82E1,4.281420248440E0,-9.918579751560E0)); +#2847=CARTESIAN_POINT('',(2.82E1,4.3E0,-9.9E0)); +#2849=DIRECTION('',(0.E0,1.E0,0.E0)); +#2850=VECTOR('',#2849,8.E-1); +#2851=CARTESIAN_POINT('',(2.82E1,4.3E0,-9.9E0)); +#2852=LINE('',#2851,#2850); +#2853=CARTESIAN_POINT('',(2.82E1,5.1E0,-9.9E0)); +#2854=CARTESIAN_POINT('',(2.82E1,5.118579188768E0,-9.918579188768E0)); +#2855=CARTESIAN_POINT('',(2.82E1,5.153396595883E0,-9.953546520062E0)); +#2856=CARTESIAN_POINT('',(2.82E1,5.191177742547E0,-1.001283399170E1)); +#2857=CARTESIAN_POINT('',(2.82E1,5.199999999999E0,-1.005277555995E1)); +#2858=CARTESIAN_POINT('',(2.82E1,5.2E0,-1.007320508076E1)); +#2860=DIRECTION('',(2.147347884812E-14,0.E0,1.E0)); +#2861=VECTOR('',#2860,9.926794919245E0); +#2862=CARTESIAN_POINT('',(2.82E1,5.2E0,-2.E1)); +#2863=LINE('',#2862,#2861); +#2864=DIRECTION('',(0.E0,1.E0,0.E0)); +#2865=VECTOR('',#2864,1.12E1); +#2866=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E0)); +#2867=LINE('',#2866,#2865); +#2868=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2869=VECTOR('',#2868,1.8E1); +#2870=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E0)); +#2871=LINE('',#2870,#2869); +#2872=DIRECTION('',(2.791552250256E-14,0.E0,1.E0)); +#2873=VECTOR('',#2872,9.926794919245E0); +#2874=CARTESIAN_POINT('',(2.82E1,-5.2E0,-2.E1)); +#2875=LINE('',#2874,#2873); +#2876=CARTESIAN_POINT('',(2.82E1,-5.2E0,-1.007320508075E1)); +#2877=CARTESIAN_POINT('',(2.82E1,-5.199999999999E0,-1.005277633148E1)); +#2878=CARTESIAN_POINT('',(2.82E1,-5.191178438088E0,-1.001283577128E1)); +#2879=CARTESIAN_POINT('',(2.82E1,-5.153397850001E0,-9.953547863190E0)); +#2880=CARTESIAN_POINT('',(2.82E1,-5.118579751560E0,-9.918579751560E0)); +#2881=CARTESIAN_POINT('',(2.82E1,-5.1E0,-9.9E0)); +#2883=DIRECTION('',(0.E0,1.E0,0.E0)); +#2884=VECTOR('',#2883,8.E-1); +#2885=CARTESIAN_POINT('',(2.82E1,-5.1E0,-9.9E0)); +#2886=LINE('',#2885,#2884); +#2887=CARTESIAN_POINT('',(2.81E1,-4.3E0,-9.9E0)); +#2888=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2889=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2890=AXIS2_PLACEMENT_3D('',#2887,#2888,#2889); +#2892=CARTESIAN_POINT('',(2.81E1,-4.3E0,-1.01E1)); +#2893=DIRECTION('',(1.E0,0.E0,0.E0)); +#2894=DIRECTION('',(0.E0,1.E0,0.E0)); +#2895=AXIS2_PLACEMENT_3D('',#2892,#2893,#2894); +#2897=DIRECTION('',(-1.E0,0.E0,-4.844609500003E-14)); +#2898=VECTOR('',#2897,1.100000014077E0); +#2899=CARTESIAN_POINT('',(2.81E1,-4.2E0,-1.01E1)); +#2900=LINE('',#2899,#2898); +#2901=CARTESIAN_POINT('',(2.81E1,-4.2E0,-9.9E0)); +#2902=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2903=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2904=AXIS2_PLACEMENT_3D('',#2901,#2902,#2903); +#2906=DIRECTION('',(1.E0,4.077546381351E-13,0.E0)); +#2907=VECTOR('',#2906,1.1E0); +#2908=CARTESIAN_POINT('',(-2.81E1,4.3E0,-1.E1)); +#2909=LINE('',#2908,#2907); +#2910=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2911=VECTOR('',#2910,8.E-1); +#2912=CARTESIAN_POINT('',(-2.81E1,5.1E0,-1.E1)); +#2913=LINE('',#2912,#2911); +#2914=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2915=VECTOR('',#2914,1.1E0); +#2916=CARTESIAN_POINT('',(-2.7E1,5.1E0,-1.E1)); +#2917=LINE('',#2916,#2915); +#2918=CARTESIAN_POINT('',(-2.7E1,4.7E0,-1.E1)); +#2919=DIRECTION('',(0.E0,0.E0,1.E0)); +#2920=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2921=AXIS2_PLACEMENT_3D('',#2918,#2919,#2920); +#2923=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2924=VECTOR('',#2923,8.E-1); +#2925=CARTESIAN_POINT('',(-2.81E1,-4.3E0,-1.E1)); +#2926=LINE('',#2925,#2924); +#2927=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2928=VECTOR('',#2927,1.1E0); +#2929=CARTESIAN_POINT('',(-2.7E1,-4.3E0,-1.E1)); +#2930=LINE('',#2929,#2928); +#2931=CARTESIAN_POINT('',(-2.7E1,-4.7E0,-1.E1)); +#2932=DIRECTION('',(0.E0,0.E0,1.E0)); +#2933=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2934=AXIS2_PLACEMENT_3D('',#2931,#2932,#2933); +#2936=DIRECTION('',(1.E0,4.093695079891E-13,0.E0)); +#2937=VECTOR('',#2936,1.1E0); +#2938=CARTESIAN_POINT('',(-2.81E1,-5.1E0,-1.E1)); +#2939=LINE('',#2938,#2937); +#2940=DIRECTION('',(-1.E0,-4.093695079891E-13,0.E0)); +#2941=VECTOR('',#2940,1.1E0); +#2942=CARTESIAN_POINT('',(2.81E1,-4.3E0,-1.E1)); +#2943=LINE('',#2942,#2941); +#2944=DIRECTION('',(0.E0,1.E0,0.E0)); +#2945=VECTOR('',#2944,8.E-1); +#2946=CARTESIAN_POINT('',(2.81E1,-5.1E0,-1.E1)); +#2947=LINE('',#2946,#2945); +#2948=DIRECTION('',(1.E0,0.E0,0.E0)); +#2949=VECTOR('',#2948,1.1E0); +#2950=CARTESIAN_POINT('',(2.7E1,-5.1E0,-1.E1)); +#2951=LINE('',#2950,#2949); +#2952=CARTESIAN_POINT('',(2.7E1,-4.7E0,-1.E1)); +#2953=DIRECTION('',(0.E0,0.E0,1.E0)); +#2954=DIRECTION('',(0.E0,1.E0,0.E0)); +#2955=AXIS2_PLACEMENT_3D('',#2952,#2953,#2954); +#2957=DIRECTION('',(-1.E0,-4.093695079891E-13,0.E0)); +#2958=VECTOR('',#2957,1.1E0); +#2959=CARTESIAN_POINT('',(2.81E1,5.1E0,-1.E1)); +#2960=LINE('',#2959,#2958); +#2961=DIRECTION('',(0.E0,1.E0,0.E0)); +#2962=VECTOR('',#2961,8.E-1); +#2963=CARTESIAN_POINT('',(2.81E1,4.3E0,-1.E1)); +#2964=LINE('',#2963,#2962); +#2965=DIRECTION('',(1.E0,0.E0,0.E0)); +#2966=VECTOR('',#2965,1.1E0); +#2967=CARTESIAN_POINT('',(2.7E1,4.3E0,-1.E1)); +#2968=LINE('',#2967,#2966); +#2969=CARTESIAN_POINT('',(2.7E1,4.7E0,-1.E1)); +#2970=DIRECTION('',(0.E0,0.E0,1.E0)); +#2971=DIRECTION('',(0.E0,1.E0,0.E0)); +#2972=AXIS2_PLACEMENT_3D('',#2969,#2970,#2971); +#2974=CARTESIAN_POINT('',(-2.7E1,4.3E0,-1.01E1)); +#2975=DIRECTION('',(1.E0,0.E0,0.E0)); +#2976=DIRECTION('',(0.E0,0.E0,1.E0)); +#2977=AXIS2_PLACEMENT_3D('',#2974,#2975,#2976); +#2979=CARTESIAN_POINT('',(-2.7E1,5.1E0,-1.01E1)); +#2980=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2981=DIRECTION('',(0.E0,0.E0,1.E0)); +#2982=AXIS2_PLACEMENT_3D('',#2979,#2980,#2981); +#2984=DIRECTION('',(-1.E0,0.E0,4.037174635002E-14)); +#2985=VECTOR('',#2984,1.1E0); +#2986=CARTESIAN_POINT('',(-2.7E1,5.2E0,-1.01E1)); +#2987=LINE('',#2986,#2985); +#2988=CARTESIAN_POINT('',(-2.81E1,5.2E0,-9.9E0)); +#2989=DIRECTION('',(0.E0,1.E0,0.E0)); +#2990=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2991=AXIS2_PLACEMENT_3D('',#2988,#2989,#2990); +#2993=DIRECTION('',(-3.480941685289E-14,0.E0,1.E0)); +#2994=VECTOR('',#2993,9.9E0); +#2995=CARTESIAN_POINT('',(-2.7E1,5.2E0,-2.E1)); +#2996=LINE('',#2995,#2994); +#2997=CARTESIAN_POINT('',(-2.81E1,5.1E0,-1.01E1)); +#2998=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2999=DIRECTION('',(0.E0,0.E0,1.E0)); +#3000=AXIS2_PLACEMENT_3D('',#2997,#2998,#2999); +#3002=CARTESIAN_POINT('',(-2.81E1,5.1E0,-9.9E0)); +#3003=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3004=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3005=AXIS2_PLACEMENT_3D('',#3002,#3003,#3004); +#3007=CARTESIAN_POINT('',(-2.82E1,4.3E0,-9.9E0)); +#3008=CARTESIAN_POINT('',(-2.82E1,4.281420811232E0,-9.918579188768E0)); +#3009=CARTESIAN_POINT('',(-2.82E1,4.246603404117E0,-9.953546520062E0)); +#3010=CARTESIAN_POINT('',(-2.82E1,4.208822257453E0,-1.001283399170E1)); +#3011=CARTESIAN_POINT('',(-2.82E1,4.200000000001E0,-1.005277555995E1)); +#3012=CARTESIAN_POINT('',(-2.82E1,4.2E0,-1.007320508076E1)); +#3014=DIRECTION('',(-2.147347884812E-14,0.E0,1.E0)); +#3015=VECTOR('',#3014,9.926794919245E0); +#3016=CARTESIAN_POINT('',(-2.82E1,4.2E0,-2.E1)); +#3017=LINE('',#3016,#3015); +#3018=DIRECTION('',(-2.791552250256E-14,0.E0,1.E0)); +#3019=VECTOR('',#3018,9.926794919245E0); +#3020=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-2.E1)); +#3021=LINE('',#3020,#3019); +#3022=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-1.007320508075E1)); +#3023=CARTESIAN_POINT('',(-2.82E1,-4.200000000001E0,-1.005277633148E1)); +#3024=CARTESIAN_POINT('',(-2.82E1,-4.208821561912E0,-1.001283577128E1)); +#3025=CARTESIAN_POINT('',(-2.82E1,-4.246602149999E0,-9.953547863190E0)); +#3026=CARTESIAN_POINT('',(-2.82E1,-4.281420248440E0,-9.918579751560E0)); +#3027=CARTESIAN_POINT('',(-2.82E1,-4.3E0,-9.9E0)); +#3029=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3030=VECTOR('',#3029,8.E-1); +#3031=CARTESIAN_POINT('',(-2.82E1,-4.3E0,-9.9E0)); +#3032=LINE('',#3031,#3030); +#3033=CARTESIAN_POINT('',(-2.82E1,-5.1E0,-9.9E0)); +#3034=CARTESIAN_POINT('',(-2.82E1,-5.118579188768E0,-9.918579188768E0)); +#3035=CARTESIAN_POINT('',(-2.82E1,-5.153396595883E0,-9.953546520062E0)); +#3036=CARTESIAN_POINT('',(-2.82E1,-5.191177742547E0,-1.001283399170E1)); +#3037=CARTESIAN_POINT('',(-2.82E1,-5.199999999999E0,-1.005277555995E1)); +#3038=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-1.007320508076E1)); +#3040=DIRECTION('',(-2.147347884812E-14,0.E0,1.E0)); +#3041=VECTOR('',#3040,9.926794919245E0); +#3042=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-2.E1)); +#3043=LINE('',#3042,#3041); +#3044=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3045=VECTOR('',#3044,1.12E1); +#3046=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E0)); +#3047=LINE('',#3046,#3045); +#3048=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3049=VECTOR('',#3048,1.8E1); +#3050=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E0)); +#3051=LINE('',#3050,#3049); +#3052=DIRECTION('',(-2.791552250256E-14,0.E0,1.E0)); +#3053=VECTOR('',#3052,9.926794919245E0); +#3054=CARTESIAN_POINT('',(-2.82E1,5.2E0,-2.E1)); +#3055=LINE('',#3054,#3053); +#3056=CARTESIAN_POINT('',(-2.82E1,5.2E0,-1.007320508075E1)); +#3057=CARTESIAN_POINT('',(-2.82E1,5.199999999999E0,-1.005277633148E1)); +#3058=CARTESIAN_POINT('',(-2.82E1,5.191178438088E0,-1.001283577128E1)); +#3059=CARTESIAN_POINT('',(-2.82E1,5.153397850001E0,-9.953547863190E0)); +#3060=CARTESIAN_POINT('',(-2.82E1,5.118579751560E0,-9.918579751560E0)); +#3061=CARTESIAN_POINT('',(-2.82E1,5.1E0,-9.9E0)); +#3063=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3064=VECTOR('',#3063,8.E-1); +#3065=CARTESIAN_POINT('',(-2.82E1,5.1E0,-9.9E0)); +#3066=LINE('',#3065,#3064); +#3067=CARTESIAN_POINT('',(-2.81E1,4.3E0,-9.9E0)); +#3068=DIRECTION('',(0.E0,1.E0,0.E0)); +#3069=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3070=AXIS2_PLACEMENT_3D('',#3067,#3068,#3069); +#3072=CARTESIAN_POINT('',(-2.81E1,4.3E0,-1.01E1)); +#3073=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3074=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3075=AXIS2_PLACEMENT_3D('',#3072,#3073,#3074); +#3077=DIRECTION('',(1.E0,0.E0,-5.006096483337E-14)); +#3078=VECTOR('',#3077,1.100000014077E0); +#3079=CARTESIAN_POINT('',(-2.81E1,4.2E0,-1.01E1)); +#3080=LINE('',#3079,#3078); +#3081=CARTESIAN_POINT('',(-2.81E1,4.2E0,-9.9E0)); +#3082=DIRECTION('',(0.E0,1.E0,0.E0)); +#3083=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3084=AXIS2_PLACEMENT_3D('',#3081,#3082,#3083); +#3086=CARTESIAN_POINT('',(-2.7E1,4.7E0,-1.01E1)); +#3087=DIRECTION('',(0.E0,0.E0,1.E0)); +#3088=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3089=AXIS2_PLACEMENT_3D('',#3086,#3087,#3088); +#3091=DIRECTION('',(1.421910131726E-9,0.E0,1.E0)); +#3092=VECTOR('',#3091,9.9E0); +#3093=CARTESIAN_POINT('',(-2.7E1,4.2E0,-2.E1)); +#3094=LINE('',#3093,#3092); +#3095=DIRECTION('',(-1.E0,0.E0,4.037174635002E-14)); +#3096=VECTOR('',#3095,1.1E0); +#3097=CARTESIAN_POINT('',(-2.7E1,-4.2E0,-1.01E1)); +#3098=LINE('',#3097,#3096); +#3099=CARTESIAN_POINT('',(-2.81E1,-4.2E0,-9.9E0)); +#3100=DIRECTION('',(0.E0,1.E0,0.E0)); +#3101=DIRECTION('',(-1.776356839400E-14,0.E0,-1.E0)); +#3102=AXIS2_PLACEMENT_3D('',#3099,#3100,#3101); +#3104=DIRECTION('',(-3.480941685289E-14,0.E0,1.E0)); +#3105=VECTOR('',#3104,9.9E0); +#3106=CARTESIAN_POINT('',(-2.7E1,-4.2E0,-2.E1)); +#3107=LINE('',#3106,#3105); +#3108=CARTESIAN_POINT('',(-2.7E1,-4.3E0,-1.01E1)); +#3109=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3110=DIRECTION('',(0.E0,0.E0,1.E0)); +#3111=AXIS2_PLACEMENT_3D('',#3108,#3109,#3110); +#3113=CARTESIAN_POINT('',(-2.7E1,-5.1E0,-1.01E1)); +#3114=DIRECTION('',(1.E0,0.E0,0.E0)); +#3115=DIRECTION('',(0.E0,0.E0,1.E0)); +#3116=AXIS2_PLACEMENT_3D('',#3113,#3114,#3115); +#3118=CARTESIAN_POINT('',(-2.7E1,-4.7E0,-1.01E1)); +#3119=DIRECTION('',(0.E0,0.E0,1.E0)); +#3120=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3121=AXIS2_PLACEMENT_3D('',#3118,#3119,#3120); +#3123=DIRECTION('',(1.421910131726E-9,0.E0,1.E0)); +#3124=VECTOR('',#3123,9.9E0); +#3125=CARTESIAN_POINT('',(-2.7E1,-5.2E0,-2.E1)); +#3126=LINE('',#3125,#3124); +#3127=DIRECTION('',(1.E0,0.E0,-4.683122516670E-14)); +#3128=VECTOR('',#3127,1.100000014077E0); +#3129=CARTESIAN_POINT('',(-2.81E1,-5.2E0,-1.01E1)); +#3130=LINE('',#3129,#3128); +#3131=CARTESIAN_POINT('',(-2.81E1,-5.2E0,-9.9E0)); +#3132=DIRECTION('',(0.E0,1.E0,0.E0)); +#3133=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3134=AXIS2_PLACEMENT_3D('',#3131,#3132,#3133); +#3136=CARTESIAN_POINT('',(-2.81E1,-5.1E0,-9.9E0)); +#3137=DIRECTION('',(0.E0,1.E0,0.E0)); +#3138=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3139=AXIS2_PLACEMENT_3D('',#3136,#3137,#3138); +#3141=CARTESIAN_POINT('',(-2.81E1,-5.1E0,-1.01E1)); +#3142=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3143=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3144=AXIS2_PLACEMENT_3D('',#3141,#3142,#3143); +#3146=CARTESIAN_POINT('',(-2.81E1,-4.3E0,-1.01E1)); +#3147=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3148=DIRECTION('',(0.E0,0.E0,1.E0)); +#3149=AXIS2_PLACEMENT_3D('',#3146,#3147,#3148); +#3151=CARTESIAN_POINT('',(-2.81E1,-4.3E0,-9.9E0)); +#3152=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3153=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3154=AXIS2_PLACEMENT_3D('',#3151,#3152,#3153); +#3156=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-2.E0)); +#3157=DIRECTION('',(0.E0,0.E0,1.E0)); +#3158=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3159=AXIS2_PLACEMENT_3D('',#3156,#3157,#3158); +#3161=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3162=VECTOR('',#3161,1.8E1); +#3163=CARTESIAN_POINT('',(-2.82E1,-5.6E0,-2.E0)); +#3164=LINE('',#3163,#3162); +#3165=CARTESIAN_POINT('',(2.81E1,-5.1E0,-1.01E1)); +#3166=DIRECTION('',(1.E0,0.E0,0.E0)); +#3167=DIRECTION('',(0.E0,0.E0,1.E0)); +#3168=AXIS2_PLACEMENT_3D('',#3165,#3166,#3167); +#3170=CARTESIAN_POINT('',(2.81E1,-5.1E0,-9.9E0)); +#3171=DIRECTION('',(0.E0,1.E0,0.E0)); +#3172=DIRECTION('',(1.E0,0.E0,0.E0)); +#3173=AXIS2_PLACEMENT_3D('',#3170,#3171,#3172); +#3175=DIRECTION('',(1.E0,0.E0,4.037174635002E-14)); +#3176=VECTOR('',#3175,1.1E0); +#3177=CARTESIAN_POINT('',(2.7E1,-5.2E0,-1.01E1)); +#3178=LINE('',#3177,#3176); +#3179=CARTESIAN_POINT('',(2.81E1,-5.2E0,-9.9E0)); +#3180=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3181=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3182=AXIS2_PLACEMENT_3D('',#3179,#3180,#3181); +#3184=DIRECTION('',(3.480941685289E-14,0.E0,1.E0)); +#3185=VECTOR('',#3184,9.9E0); +#3186=CARTESIAN_POINT('',(2.7E1,-5.2E0,-2.E1)); +#3187=LINE('',#3186,#3185); +#3188=CARTESIAN_POINT('',(2.7E1,-5.1E0,-1.01E1)); +#3189=DIRECTION('',(1.E0,0.E0,0.E0)); +#3190=DIRECTION('',(0.E0,0.E0,1.E0)); +#3191=AXIS2_PLACEMENT_3D('',#3188,#3189,#3190); +#3193=CARTESIAN_POINT('',(2.7E1,-4.3E0,-1.01E1)); +#3194=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3195=DIRECTION('',(0.E0,0.E0,1.E0)); +#3196=AXIS2_PLACEMENT_3D('',#3193,#3194,#3195); +#3198=CARTESIAN_POINT('',(2.7E1,-4.7E0,-1.01E1)); +#3199=DIRECTION('',(0.E0,0.E0,1.E0)); +#3200=DIRECTION('',(0.E0,1.E0,0.E0)); +#3201=AXIS2_PLACEMENT_3D('',#3198,#3199,#3200); +#3203=DIRECTION('',(-1.421910131726E-9,0.E0,1.E0)); +#3204=VECTOR('',#3203,9.9E0); +#3205=CARTESIAN_POINT('',(2.7E1,-4.2E0,-2.E1)); +#3206=LINE('',#3205,#3204); +#3207=CARTESIAN_POINT('',(2.7E1,5.1E0,-1.01E1)); +#3208=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3209=DIRECTION('',(0.E0,0.E0,1.E0)); +#3210=AXIS2_PLACEMENT_3D('',#3207,#3208,#3209); +#3212=CARTESIAN_POINT('',(2.7E1,4.3E0,-1.01E1)); +#3213=DIRECTION('',(1.E0,0.E0,0.E0)); +#3214=DIRECTION('',(0.E0,0.E0,1.E0)); +#3215=AXIS2_PLACEMENT_3D('',#3212,#3213,#3214); +#3217=DIRECTION('',(1.E0,0.E0,4.037174635002E-14)); +#3218=VECTOR('',#3217,1.1E0); +#3219=CARTESIAN_POINT('',(2.7E1,4.2E0,-1.01E1)); +#3220=LINE('',#3219,#3218); +#3221=CARTESIAN_POINT('',(2.81E1,4.2E0,-9.9E0)); +#3222=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3223=DIRECTION('',(1.776356839400E-14,0.E0,-1.E0)); +#3224=AXIS2_PLACEMENT_3D('',#3221,#3222,#3223); +#3226=DIRECTION('',(3.480941685289E-14,0.E0,1.E0)); +#3227=VECTOR('',#3226,9.9E0); +#3228=CARTESIAN_POINT('',(2.7E1,4.2E0,-2.E1)); +#3229=LINE('',#3228,#3227); +#3230=CARTESIAN_POINT('',(2.81E1,4.3E0,-1.01E1)); +#3231=DIRECTION('',(1.E0,0.E0,0.E0)); +#3232=DIRECTION('',(0.E0,0.E0,1.E0)); +#3233=AXIS2_PLACEMENT_3D('',#3230,#3231,#3232); +#3235=CARTESIAN_POINT('',(2.81E1,4.3E0,-9.9E0)); +#3236=DIRECTION('',(0.E0,1.E0,0.E0)); +#3237=DIRECTION('',(1.E0,0.E0,0.E0)); +#3238=AXIS2_PLACEMENT_3D('',#3235,#3236,#3237); +#3240=CARTESIAN_POINT('',(2.81E1,5.1E0,-9.9E0)); +#3241=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3242=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3243=AXIS2_PLACEMENT_3D('',#3240,#3241,#3242); +#3245=CARTESIAN_POINT('',(2.81E1,5.1E0,-1.01E1)); +#3246=DIRECTION('',(1.E0,0.E0,0.E0)); +#3247=DIRECTION('',(0.E0,1.E0,0.E0)); +#3248=AXIS2_PLACEMENT_3D('',#3245,#3246,#3247); +#3250=DIRECTION('',(-1.E0,0.E0,-4.844609500003E-14)); +#3251=VECTOR('',#3250,1.100000014077E0); +#3252=CARTESIAN_POINT('',(2.81E1,5.2E0,-1.01E1)); +#3253=LINE('',#3252,#3251); +#3254=CARTESIAN_POINT('',(2.81E1,5.2E0,-9.9E0)); +#3255=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3256=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3257=AXIS2_PLACEMENT_3D('',#3254,#3255,#3256); +#3259=CARTESIAN_POINT('',(2.7E1,4.7E0,-1.01E1)); +#3260=DIRECTION('',(0.E0,0.E0,1.E0)); +#3261=DIRECTION('',(0.E0,1.E0,0.E0)); +#3262=AXIS2_PLACEMENT_3D('',#3259,#3260,#3261); +#3264=DIRECTION('',(-1.421910131726E-9,0.E0,1.E0)); +#3265=VECTOR('',#3264,9.9E0); +#3266=CARTESIAN_POINT('',(2.7E1,5.2E0,-2.E1)); +#3267=LINE('',#3266,#3265); +#3268=CARTESIAN_POINT('',(2.35E1,5.6E0,-2.E0)); +#3269=DIRECTION('',(0.E0,0.E0,1.E0)); +#3270=DIRECTION('',(1.E0,0.E0,0.E0)); +#3271=AXIS2_PLACEMENT_3D('',#3268,#3269,#3270); +#3273=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3274=VECTOR('',#3273,1.8E1); +#3275=CARTESIAN_POINT('',(2.82E1,5.6E0,-2.E0)); +#3276=LINE('',#3275,#3274); +#3277=DIRECTION('',(0.E0,-1.E0,-5.167583466668E-14)); +#3278=VECTOR('',#3277,1.100000014077E0); +#3279=CARTESIAN_POINT('',(-1.975E1,1.02E1,-1.01E1)); +#3280=LINE('',#3279,#3278); +#3281=CARTESIAN_POINT('',(-1.975E1,1.02E1,-9.9E0)); +#3282=DIRECTION('',(1.E0,0.E0,0.E0)); +#3283=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3284=AXIS2_PLACEMENT_3D('',#3281,#3282,#3283); +#3286=CARTESIAN_POINT('',(-1.965E1,9.1E0,-1.01E1)); +#3287=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3288=DIRECTION('',(3.552713678801E-14,0.E0,1.E0)); +#3289=AXIS2_PLACEMENT_3D('',#3286,#3287,#3288); +#3291=CARTESIAN_POINT('',(-1.885E1,9.1E0,-1.01E1)); +#3292=DIRECTION('',(0.E0,1.E0,0.E0)); +#3293=DIRECTION('',(0.E0,0.E0,1.E0)); +#3294=AXIS2_PLACEMENT_3D('',#3291,#3292,#3293); +#3296=DIRECTION('',(0.E0,1.E0,4.037174635E-14)); +#3297=VECTOR('',#3296,1.1E0); +#3298=CARTESIAN_POINT('',(-1.875E1,9.1E0,-1.01E1)); +#3299=LINE('',#3298,#3297); +#3300=CARTESIAN_POINT('',(-1.875E1,1.02E1,-9.9E0)); +#3301=DIRECTION('',(1.E0,0.E0,0.E0)); +#3302=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3303=AXIS2_PLACEMENT_3D('',#3300,#3301,#3302); +#3305=DIRECTION('',(0.E0,3.534770680423E-14,1.E0)); +#3306=VECTOR('',#3305,9.9E0); +#3307=CARTESIAN_POINT('',(-1.875E1,9.1E0,-2.E1)); +#3308=LINE('',#3307,#3306); +#3309=CARTESIAN_POINT('',(-1.885E1,1.02E1,-1.01E1)); +#3310=DIRECTION('',(0.E0,1.E0,0.E0)); +#3311=DIRECTION('',(0.E0,0.E0,1.E0)); +#3312=AXIS2_PLACEMENT_3D('',#3309,#3310,#3311); +#3314=CARTESIAN_POINT('',(-1.885E1,1.02E1,-9.9E0)); +#3315=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3316=DIRECTION('',(0.E0,1.E0,0.E0)); +#3317=AXIS2_PLACEMENT_3D('',#3314,#3315,#3316); +#3319=CARTESIAN_POINT('',(-1.965E1,1.02E1,-9.9E0)); +#3320=DIRECTION('',(1.E0,0.E0,0.E0)); +#3321=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3322=AXIS2_PLACEMENT_3D('',#3319,#3320,#3321); +#3324=CARTESIAN_POINT('',(-1.965E1,1.02E1,-1.01E1)); +#3325=DIRECTION('',(0.E0,1.E0,-6.200595592532E-14)); +#3326=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3327=AXIS2_PLACEMENT_3D('',#3324,#3325,#3326); +#3329=CARTESIAN_POINT('',(-1.925E1,9.1E0,-1.01E1)); +#3330=DIRECTION('',(0.E0,0.E0,1.E0)); +#3331=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3332=AXIS2_PLACEMENT_3D('',#3329,#3330,#3331); +#3334=DIRECTION('',(0.E0,-1.421910670016E-9,1.E0)); +#3335=VECTOR('',#3334,9.9E0); +#3336=CARTESIAN_POINT('',(-1.975E1,9.1E0,-2.E1)); +#3337=LINE('',#3336,#3335); +#3338=DIRECTION('',(0.E0,-1.E0,-4.844609500002E-14)); +#3339=VECTOR('',#3338,1.100000014077E0); +#3340=CARTESIAN_POINT('',(-1.625E1,1.02E1,-1.01E1)); +#3341=LINE('',#3340,#3339); +#3342=CARTESIAN_POINT('',(-1.625E1,1.02E1,-9.9E0)); +#3343=DIRECTION('',(1.E0,0.E0,0.E0)); +#3344=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3345=AXIS2_PLACEMENT_3D('',#3342,#3343,#3344); +#3347=CARTESIAN_POINT('',(-1.615E1,9.1E0,-1.01E1)); +#3348=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3349=DIRECTION('',(3.552713678801E-14,0.E0,1.E0)); +#3350=AXIS2_PLACEMENT_3D('',#3347,#3348,#3349); +#3352=CARTESIAN_POINT('',(-1.535E1,9.1E0,-1.01E1)); +#3353=DIRECTION('',(0.E0,1.E0,0.E0)); +#3354=DIRECTION('',(0.E0,0.E0,1.E0)); +#3355=AXIS2_PLACEMENT_3D('',#3352,#3353,#3354); +#3357=DIRECTION('',(0.E0,1.E0,4.037174635E-14)); +#3358=VECTOR('',#3357,1.1E0); +#3359=CARTESIAN_POINT('',(-1.525E1,9.1E0,-1.01E1)); +#3360=LINE('',#3359,#3358); +#3361=CARTESIAN_POINT('',(-1.525E1,1.02E1,-9.9E0)); +#3362=DIRECTION('',(1.E0,0.E0,0.E0)); +#3363=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3364=AXIS2_PLACEMENT_3D('',#3361,#3362,#3363); +#3366=DIRECTION('',(0.E0,3.534770680423E-14,1.E0)); +#3367=VECTOR('',#3366,9.9E0); +#3368=CARTESIAN_POINT('',(-1.525E1,9.1E0,-2.E1)); +#3369=LINE('',#3368,#3367); +#3370=CARTESIAN_POINT('',(-1.535E1,1.02E1,-1.01E1)); +#3371=DIRECTION('',(0.E0,1.E0,-1.687538997430E-14)); +#3372=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#3373=AXIS2_PLACEMENT_3D('',#3370,#3371,#3372); +#3375=CARTESIAN_POINT('',(-1.535E1,1.02E1,-9.9E0)); +#3376=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3377=DIRECTION('',(0.E0,1.E0,0.E0)); +#3378=AXIS2_PLACEMENT_3D('',#3375,#3376,#3377); +#3380=CARTESIAN_POINT('',(-1.615E1,1.02E1,-9.9E0)); +#3381=DIRECTION('',(1.E0,0.E0,0.E0)); +#3382=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3383=AXIS2_PLACEMENT_3D('',#3380,#3381,#3382); +#3385=CARTESIAN_POINT('',(-1.615E1,1.02E1,-1.01E1)); +#3386=DIRECTION('',(0.E0,1.E0,-3.091971123581E-14)); +#3387=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3388=AXIS2_PLACEMENT_3D('',#3385,#3386,#3387); +#3390=CARTESIAN_POINT('',(-1.575E1,9.1E0,-1.01E1)); +#3391=DIRECTION('',(0.E0,0.E0,1.E0)); +#3392=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3393=AXIS2_PLACEMENT_3D('',#3390,#3391,#3392); +#3395=DIRECTION('',(0.E0,-1.421910311156E-9,1.E0)); +#3396=VECTOR('',#3395,9.9E0); +#3397=CARTESIAN_POINT('',(-1.625E1,9.1E0,-2.E1)); +#3398=LINE('',#3397,#3396); +#3399=DIRECTION('',(0.E0,-1.E0,-4.844609500002E-14)); +#3400=VECTOR('',#3399,1.100000014077E0); +#3401=CARTESIAN_POINT('',(-1.275E1,1.02E1,-1.01E1)); +#3402=LINE('',#3401,#3400); +#3403=CARTESIAN_POINT('',(-1.275E1,1.02E1,-9.9E0)); +#3404=DIRECTION('',(1.E0,0.E0,0.E0)); +#3405=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3406=AXIS2_PLACEMENT_3D('',#3403,#3404,#3405); +#3408=CARTESIAN_POINT('',(-1.265E1,9.1E0,-1.01E1)); +#3409=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3410=DIRECTION('',(-1.776356839400E-14,0.E0,1.E0)); +#3411=AXIS2_PLACEMENT_3D('',#3408,#3409,#3410); +#3413=CARTESIAN_POINT('',(-1.185E1,9.1E0,-1.01E1)); +#3414=DIRECTION('',(0.E0,1.E0,0.E0)); +#3415=DIRECTION('',(0.E0,0.E0,1.E0)); +#3416=AXIS2_PLACEMENT_3D('',#3413,#3414,#3415); +#3418=DIRECTION('',(0.E0,1.E0,4.037174635E-14)); +#3419=VECTOR('',#3418,1.1E0); +#3420=CARTESIAN_POINT('',(-1.175E1,9.1E0,-1.01E1)); +#3421=LINE('',#3420,#3419); +#3422=CARTESIAN_POINT('',(-1.175E1,1.02E1,-9.9E0)); +#3423=DIRECTION('',(1.E0,0.E0,0.E0)); +#3424=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3425=AXIS2_PLACEMENT_3D('',#3422,#3423,#3424); +#3427=DIRECTION('',(0.E0,3.534770680423E-14,1.E0)); +#3428=VECTOR('',#3427,9.9E0); +#3429=CARTESIAN_POINT('',(-1.175E1,9.1E0,-2.E1)); +#3430=LINE('',#3429,#3428); +#3431=CARTESIAN_POINT('',(-1.185E1,1.02E1,-1.01E1)); +#3432=DIRECTION('',(0.E0,1.E0,-1.687538997430E-14)); +#3433=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#3434=AXIS2_PLACEMENT_3D('',#3431,#3432,#3433); +#3436=CARTESIAN_POINT('',(-1.185E1,1.02E1,-9.9E0)); +#3437=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3438=DIRECTION('',(0.E0,1.E0,0.E0)); +#3439=AXIS2_PLACEMENT_3D('',#3436,#3437,#3438); +#3441=CARTESIAN_POINT('',(-1.265E1,1.02E1,-9.9E0)); +#3442=DIRECTION('',(1.E0,0.E0,0.E0)); +#3443=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3444=AXIS2_PLACEMENT_3D('',#3441,#3442,#3443); +#3446=CARTESIAN_POINT('',(-1.265E1,1.02E1,-1.01E1)); +#3447=DIRECTION('',(0.E0,1.E0,-2.331468351713E-14)); +#3448=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3449=AXIS2_PLACEMENT_3D('',#3446,#3447,#3448); +#3451=CARTESIAN_POINT('',(-1.225E1,9.1E0,-1.01E1)); +#3452=DIRECTION('',(0.E0,0.E0,1.E0)); +#3453=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3454=AXIS2_PLACEMENT_3D('',#3451,#3452,#3453); +#3456=DIRECTION('',(0.E0,-1.421910311156E-9,1.E0)); +#3457=VECTOR('',#3456,9.9E0); +#3458=CARTESIAN_POINT('',(-1.275E1,9.1E0,-2.E1)); +#3459=LINE('',#3458,#3457); +#3460=DIRECTION('',(0.E0,-1.E0,-5.006096483335E-14)); +#3461=VECTOR('',#3460,1.100000014077E0); +#3462=CARTESIAN_POINT('',(-9.25E0,1.02E1,-1.01E1)); +#3463=LINE('',#3462,#3461); +#3464=CARTESIAN_POINT('',(-9.25E0,1.02E1,-9.9E0)); +#3465=DIRECTION('',(1.E0,0.E0,0.E0)); +#3466=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3467=AXIS2_PLACEMENT_3D('',#3464,#3465,#3466); +#3469=CARTESIAN_POINT('',(-9.15E0,9.1E0,-1.01E1)); +#3470=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3471=DIRECTION('',(1.776356839400E-14,0.E0,1.E0)); +#3472=AXIS2_PLACEMENT_3D('',#3469,#3470,#3471); +#3474=CARTESIAN_POINT('',(-8.35E0,9.1E0,-1.01E1)); +#3475=DIRECTION('',(0.E0,1.E0,0.E0)); +#3476=DIRECTION('',(0.E0,0.E0,1.E0)); +#3477=AXIS2_PLACEMENT_3D('',#3474,#3475,#3476); +#3479=DIRECTION('',(0.E0,1.E0,4.037174635E-14)); +#3480=VECTOR('',#3479,1.1E0); +#3481=CARTESIAN_POINT('',(-8.25E0,9.1E0,-1.01E1)); +#3482=LINE('',#3481,#3480); +#3483=CARTESIAN_POINT('',(-8.25E0,1.02E1,-9.9E0)); +#3484=DIRECTION('',(1.E0,0.E0,0.E0)); +#3485=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3486=AXIS2_PLACEMENT_3D('',#3483,#3484,#3485); +#3488=DIRECTION('',(0.E0,3.534770680423E-14,1.E0)); +#3489=VECTOR('',#3488,9.9E0); +#3490=CARTESIAN_POINT('',(-8.25E0,9.1E0,-2.E1)); +#3491=LINE('',#3490,#3489); +#3492=CARTESIAN_POINT('',(-8.35E0,1.02E1,-1.01E1)); +#3493=DIRECTION('',(0.E0,1.E0,-1.687538997430E-14)); +#3494=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#3495=AXIS2_PLACEMENT_3D('',#3492,#3493,#3494); +#3497=CARTESIAN_POINT('',(-8.35E0,1.02E1,-9.9E0)); +#3498=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3499=DIRECTION('',(0.E0,1.E0,0.E0)); +#3500=AXIS2_PLACEMENT_3D('',#3497,#3498,#3499); +#3502=CARTESIAN_POINT('',(-9.15E0,1.02E1,-9.9E0)); +#3503=DIRECTION('',(1.E0,0.E0,0.E0)); +#3504=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3505=AXIS2_PLACEMENT_3D('',#3502,#3503,#3504); +#3507=CARTESIAN_POINT('',(-9.15E0,1.02E1,-1.01E1)); +#3508=DIRECTION('',(0.E0,1.E0,-2.331468351713E-14)); +#3509=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3510=AXIS2_PLACEMENT_3D('',#3507,#3508,#3509); +#3512=CARTESIAN_POINT('',(-8.75E0,9.1E0,-1.01E1)); +#3513=DIRECTION('',(0.E0,0.E0,1.E0)); +#3514=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3515=AXIS2_PLACEMENT_3D('',#3512,#3513,#3514); +#3517=DIRECTION('',(0.E0,-1.421910670016E-9,1.E0)); +#3518=VECTOR('',#3517,9.9E0); +#3519=CARTESIAN_POINT('',(-9.25E0,9.1E0,-2.E1)); +#3520=LINE('',#3519,#3518); +#3521=DIRECTION('',(0.E0,-1.E0,-5.006096483335E-14)); +#3522=VECTOR('',#3521,1.100000014077E0); +#3523=CARTESIAN_POINT('',(-5.75E0,1.02E1,-1.01E1)); +#3524=LINE('',#3523,#3522); +#3525=CARTESIAN_POINT('',(-5.75E0,1.02E1,-9.9E0)); +#3526=DIRECTION('',(1.E0,0.E0,0.E0)); +#3527=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3528=AXIS2_PLACEMENT_3D('',#3525,#3526,#3527); +#3530=CARTESIAN_POINT('',(-5.65E0,9.1E0,-1.01E1)); +#3531=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3532=DIRECTION('',(0.E0,0.E0,1.E0)); +#3533=AXIS2_PLACEMENT_3D('',#3530,#3531,#3532); +#3535=CARTESIAN_POINT('',(-4.85E0,9.1E0,-1.01E1)); +#3536=DIRECTION('',(0.E0,1.E0,0.E0)); +#3537=DIRECTION('',(0.E0,0.E0,1.E0)); +#3538=AXIS2_PLACEMENT_3D('',#3535,#3536,#3537); +#3540=DIRECTION('',(0.E0,1.E0,4.037174635E-14)); +#3541=VECTOR('',#3540,1.1E0); +#3542=CARTESIAN_POINT('',(-4.75E0,9.1E0,-1.01E1)); +#3543=LINE('',#3542,#3541); +#3544=CARTESIAN_POINT('',(-4.75E0,1.02E1,-9.9E0)); +#3545=DIRECTION('',(1.E0,0.E0,0.E0)); +#3546=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3547=AXIS2_PLACEMENT_3D('',#3544,#3545,#3546); +#3549=DIRECTION('',(0.E0,3.552713678801E-14,1.E0)); +#3550=VECTOR('',#3549,9.9E0); +#3551=CARTESIAN_POINT('',(-4.75E0,9.1E0,-2.E1)); +#3552=LINE('',#3551,#3550); +#3553=CARTESIAN_POINT('',(-4.85E0,1.02E1,-1.01E1)); +#3554=DIRECTION('',(0.E0,1.E0,-1.315614284181E-14)); +#3555=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#3556=AXIS2_PLACEMENT_3D('',#3553,#3554,#3555); +#3558=CARTESIAN_POINT('',(-4.85E0,1.02E1,-9.9E0)); +#3559=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3560=DIRECTION('',(0.E0,1.E0,0.E0)); +#3561=AXIS2_PLACEMENT_3D('',#3558,#3559,#3560); +#3563=CARTESIAN_POINT('',(-5.65E0,1.02E1,-9.9E0)); +#3564=DIRECTION('',(1.E0,0.E0,0.E0)); +#3565=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3566=AXIS2_PLACEMENT_3D('',#3563,#3564,#3565); +#3568=CARTESIAN_POINT('',(-5.65E0,1.02E1,-1.01E1)); +#3569=DIRECTION('',(0.E0,1.E0,-2.331468351713E-14)); +#3570=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3571=AXIS2_PLACEMENT_3D('',#3568,#3569,#3570); +#3573=CARTESIAN_POINT('',(-5.25E0,9.1E0,-1.01E1)); +#3574=DIRECTION('',(0.E0,0.E0,1.E0)); +#3575=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3576=AXIS2_PLACEMENT_3D('',#3573,#3574,#3575); +#3578=DIRECTION('',(0.E0,-1.421910311156E-9,1.E0)); +#3579=VECTOR('',#3578,9.9E0); +#3580=CARTESIAN_POINT('',(-5.75E0,9.1E0,-2.E1)); +#3581=LINE('',#3580,#3579); +#3582=DIRECTION('',(0.E0,-1.E0,-5.006096483335E-14)); +#3583=VECTOR('',#3582,1.100000014077E0); +#3584=CARTESIAN_POINT('',(-2.25E0,1.02E1,-1.01E1)); +#3585=LINE('',#3584,#3583); +#3586=CARTESIAN_POINT('',(-2.25E0,1.02E1,-9.9E0)); +#3587=DIRECTION('',(1.E0,0.E0,0.E0)); +#3588=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3589=AXIS2_PLACEMENT_3D('',#3586,#3587,#3588); +#3591=CARTESIAN_POINT('',(-2.15E0,9.1E0,-1.01E1)); +#3592=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3593=DIRECTION('',(0.E0,0.E0,1.E0)); +#3594=AXIS2_PLACEMENT_3D('',#3591,#3592,#3593); +#3596=CARTESIAN_POINT('',(-1.35E0,9.1E0,-1.01E1)); +#3597=DIRECTION('',(0.E0,1.E0,0.E0)); +#3598=DIRECTION('',(0.E0,0.E0,1.E0)); +#3599=AXIS2_PLACEMENT_3D('',#3596,#3597,#3598); +#3601=DIRECTION('',(0.E0,1.E0,4.037174635E-14)); +#3602=VECTOR('',#3601,1.1E0); +#3603=CARTESIAN_POINT('',(-1.25E0,9.1E0,-1.01E1)); +#3604=LINE('',#3603,#3602); +#3605=CARTESIAN_POINT('',(-1.25E0,1.02E1,-9.9E0)); +#3606=DIRECTION('',(1.E0,0.E0,0.E0)); +#3607=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3608=AXIS2_PLACEMENT_3D('',#3605,#3606,#3607); +#3610=DIRECTION('',(0.E0,3.534770680423E-14,1.E0)); +#3611=VECTOR('',#3610,9.9E0); +#3612=CARTESIAN_POINT('',(-1.25E0,9.1E0,-2.E1)); +#3613=LINE('',#3612,#3611); +#3614=CARTESIAN_POINT('',(-1.35E0,1.02E1,-1.01E1)); +#3615=DIRECTION('',(0.E0,1.E0,-1.748601263785E-14)); +#3616=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#3617=AXIS2_PLACEMENT_3D('',#3614,#3615,#3616); +#3619=CARTESIAN_POINT('',(-1.35E0,1.02E1,-9.9E0)); +#3620=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3621=DIRECTION('',(0.E0,1.E0,0.E0)); +#3622=AXIS2_PLACEMENT_3D('',#3619,#3620,#3621); +#3624=CARTESIAN_POINT('',(-2.15E0,1.02E1,-9.9E0)); +#3625=DIRECTION('',(1.E0,0.E0,0.E0)); +#3626=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3627=AXIS2_PLACEMENT_3D('',#3624,#3625,#3626); +#3629=CARTESIAN_POINT('',(-2.15E0,1.02E1,-1.01E1)); +#3630=DIRECTION('',(0.E0,1.E0,-2.520206265899E-14)); +#3631=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3632=AXIS2_PLACEMENT_3D('',#3629,#3630,#3631); +#3634=CARTESIAN_POINT('',(-1.75E0,9.1E0,-1.01E1)); +#3635=DIRECTION('',(0.E0,0.E0,1.E0)); +#3636=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3637=AXIS2_PLACEMENT_3D('',#3634,#3635,#3636); +#3639=DIRECTION('',(0.E0,-1.421910311156E-9,1.E0)); +#3640=VECTOR('',#3639,9.9E0); +#3641=CARTESIAN_POINT('',(-2.25E0,9.1E0,-2.E1)); +#3642=LINE('',#3641,#3640); +#3643=DIRECTION('',(0.E0,-1.E0,-2.424204620343E-14)); +#3644=VECTOR('',#3643,2.125000006614E0); +#3645=CARTESIAN_POINT('',(1.075E0,1.02E1,-1.01E1)); +#3646=LINE('',#3645,#3644); +#3647=CARTESIAN_POINT('',(1.075E0,1.02E1,-9.9E0)); +#3648=DIRECTION('',(1.E0,0.E0,0.E0)); +#3649=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3650=AXIS2_PLACEMENT_3D('',#3647,#3648,#3649); +#3652=CARTESIAN_POINT('',(1.175E0,8.075E0,-1.01E1)); +#3653=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3654=DIRECTION('',(0.E0,0.E0,1.E0)); +#3655=AXIS2_PLACEMENT_3D('',#3652,#3653,#3654); +#3657=CARTESIAN_POINT('',(2.325E0,8.075E0,-1.01E1)); +#3658=DIRECTION('',(0.E0,1.E0,0.E0)); +#3659=DIRECTION('',(0.E0,0.E0,1.E0)); +#3660=AXIS2_PLACEMENT_3D('',#3657,#3658,#3659); +#3662=DIRECTION('',(0.E0,1.E0,2.006238311910E-14)); +#3663=VECTOR('',#3662,2.125000000873E0); +#3664=CARTESIAN_POINT('',(2.425E0,8.074999999127E0,-1.01E1)); +#3665=LINE('',#3664,#3663); +#3666=CARTESIAN_POINT('',(2.425E0,1.02E1,-9.9E0)); +#3667=DIRECTION('',(1.E0,0.E0,0.E0)); +#3668=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3669=AXIS2_PLACEMENT_3D('',#3666,#3667,#3668); +#3671=DIRECTION('',(0.E0,-8.821083033489E-11,1.E0)); +#3672=VECTOR('',#3671,9.9E0); +#3673=CARTESIAN_POINT('',(2.425E0,8.075E0,-2.E1)); +#3674=LINE('',#3673,#3672); +#3675=CARTESIAN_POINT('',(2.325E0,1.02E1,-1.01E1)); +#3676=DIRECTION('',(0.E0,1.E0,3.519406988062E-14)); +#3677=DIRECTION('',(1.776356839400E-14,-3.552713678801E-14,1.E0)); +#3678=AXIS2_PLACEMENT_3D('',#3675,#3676,#3677); +#3680=CARTESIAN_POINT('',(2.325E0,1.02E1,-9.9E0)); +#3681=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3682=DIRECTION('',(0.E0,1.E0,0.E0)); +#3683=AXIS2_PLACEMENT_3D('',#3680,#3681,#3682); +#3685=CARTESIAN_POINT('',(1.175E0,1.02E1,-9.9E0)); +#3686=DIRECTION('',(1.E0,0.E0,0.E0)); +#3687=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3688=AXIS2_PLACEMENT_3D('',#3685,#3686,#3687); +#3690=CARTESIAN_POINT('',(1.175E0,1.02E1,-1.01E1)); +#3691=DIRECTION('',(0.E0,1.E0,4.940492459582E-14)); +#3692=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3693=AXIS2_PLACEMENT_3D('',#3690,#3691,#3692); +#3695=CARTESIAN_POINT('',(1.75E0,8.075E0,-1.01E1)); +#3696=DIRECTION('',(0.E0,0.E0,1.E0)); +#3697=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3698=AXIS2_PLACEMENT_3D('',#3695,#3696,#3697); +#3700=DIRECTION('',(0.E0,-6.680278776839E-10,1.E0)); +#3701=VECTOR('',#3700,9.9E0); +#3702=CARTESIAN_POINT('',(1.075E0,8.075E0,-2.E1)); +#3703=LINE('',#3702,#3701); +#3704=DIRECTION('',(0.E0,-1.E0,-5.006096483335E-14)); +#3705=VECTOR('',#3704,1.100000014077E0); +#3706=CARTESIAN_POINT('',(4.75E0,1.02E1,-1.01E1)); +#3707=LINE('',#3706,#3705); +#3708=CARTESIAN_POINT('',(4.75E0,1.02E1,-9.9E0)); +#3709=DIRECTION('',(1.E0,0.E0,0.E0)); +#3710=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3711=AXIS2_PLACEMENT_3D('',#3708,#3709,#3710); +#3713=CARTESIAN_POINT('',(4.85E0,9.1E0,-1.01E1)); +#3714=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3715=DIRECTION('',(0.E0,0.E0,1.E0)); +#3716=AXIS2_PLACEMENT_3D('',#3713,#3714,#3715); +#3718=CARTESIAN_POINT('',(5.65E0,9.1E0,-1.01E1)); +#3719=DIRECTION('',(0.E0,1.E0,0.E0)); +#3720=DIRECTION('',(0.E0,0.E0,1.E0)); +#3721=AXIS2_PLACEMENT_3D('',#3718,#3719,#3720); +#3723=DIRECTION('',(0.E0,1.E0,4.037174635E-14)); +#3724=VECTOR('',#3723,1.1E0); +#3725=CARTESIAN_POINT('',(5.75E0,9.1E0,-1.01E1)); +#3726=LINE('',#3725,#3724); +#3727=CARTESIAN_POINT('',(5.75E0,1.02E1,-9.9E0)); +#3728=DIRECTION('',(1.E0,0.E0,0.E0)); +#3729=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3730=AXIS2_PLACEMENT_3D('',#3727,#3728,#3729); +#3732=DIRECTION('',(0.E0,3.552713678801E-14,1.E0)); +#3733=VECTOR('',#3732,9.9E0); +#3734=CARTESIAN_POINT('',(5.75E0,9.1E0,-2.E1)); +#3735=LINE('',#3734,#3733); +#3736=CARTESIAN_POINT('',(5.65E0,1.02E1,-1.01E1)); +#3737=DIRECTION('',(0.E0,1.E0,-1.698641227676E-14)); +#3738=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#3739=AXIS2_PLACEMENT_3D('',#3736,#3737,#3738); +#3741=CARTESIAN_POINT('',(5.65E0,1.02E1,-9.9E0)); +#3742=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3743=DIRECTION('',(0.E0,1.E0,0.E0)); +#3744=AXIS2_PLACEMENT_3D('',#3741,#3742,#3743); +#3746=CARTESIAN_POINT('',(4.85E0,1.02E1,-9.9E0)); +#3747=DIRECTION('',(1.E0,0.E0,0.E0)); +#3748=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3749=AXIS2_PLACEMENT_3D('',#3746,#3747,#3748); +#3751=CARTESIAN_POINT('',(4.85E0,1.02E1,-1.01E1)); +#3752=DIRECTION('',(0.E0,1.E0,-2.331468351713E-14)); +#3753=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3754=AXIS2_PLACEMENT_3D('',#3751,#3752,#3753); +#3756=CARTESIAN_POINT('',(5.25E0,9.1E0,-1.01E1)); +#3757=DIRECTION('',(0.E0,0.E0,1.E0)); +#3758=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3759=AXIS2_PLACEMENT_3D('',#3756,#3757,#3758); +#3761=DIRECTION('',(0.E0,-1.421910311156E-9,1.E0)); +#3762=VECTOR('',#3761,9.9E0); +#3763=CARTESIAN_POINT('',(4.75E0,9.1E0,-2.E1)); +#3764=LINE('',#3763,#3762); +#3765=DIRECTION('',(0.E0,-1.E0,-5.006096483335E-14)); +#3766=VECTOR('',#3765,1.100000014077E0); +#3767=CARTESIAN_POINT('',(8.25E0,1.02E1,-1.01E1)); +#3768=LINE('',#3767,#3766); +#3769=CARTESIAN_POINT('',(8.25E0,1.02E1,-9.9E0)); +#3770=DIRECTION('',(1.E0,0.E0,0.E0)); +#3771=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3772=AXIS2_PLACEMENT_3D('',#3769,#3770,#3771); +#3774=CARTESIAN_POINT('',(8.35E0,9.1E0,-1.01E1)); +#3775=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3776=DIRECTION('',(1.776356839400E-14,0.E0,1.E0)); +#3777=AXIS2_PLACEMENT_3D('',#3774,#3775,#3776); +#3779=CARTESIAN_POINT('',(9.15E0,9.1E0,-1.01E1)); +#3780=DIRECTION('',(0.E0,1.E0,0.E0)); +#3781=DIRECTION('',(0.E0,0.E0,1.E0)); +#3782=AXIS2_PLACEMENT_3D('',#3779,#3780,#3781); +#3784=DIRECTION('',(0.E0,1.E0,4.037174635E-14)); +#3785=VECTOR('',#3784,1.1E0); +#3786=CARTESIAN_POINT('',(9.25E0,9.1E0,-1.01E1)); +#3787=LINE('',#3786,#3785); +#3788=CARTESIAN_POINT('',(9.25E0,1.02E1,-9.9E0)); +#3789=DIRECTION('',(1.E0,0.E0,0.E0)); +#3790=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3791=AXIS2_PLACEMENT_3D('',#3788,#3789,#3790); +#3793=DIRECTION('',(0.E0,3.534770680423E-14,1.E0)); +#3794=VECTOR('',#3793,9.9E0); +#3795=CARTESIAN_POINT('',(9.25E0,9.1E0,-2.E1)); +#3796=LINE('',#3795,#3794); +#3797=CARTESIAN_POINT('',(9.15E0,1.02E1,-1.01E1)); +#3798=DIRECTION('',(0.E0,1.E0,-1.687538997430E-14)); +#3799=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#3800=AXIS2_PLACEMENT_3D('',#3797,#3798,#3799); +#3802=CARTESIAN_POINT('',(9.15E0,1.02E1,-9.9E0)); +#3803=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3804=DIRECTION('',(0.E0,1.E0,0.E0)); +#3805=AXIS2_PLACEMENT_3D('',#3802,#3803,#3804); +#3807=CARTESIAN_POINT('',(8.35E0,1.02E1,-9.9E0)); +#3808=DIRECTION('',(1.E0,0.E0,0.E0)); +#3809=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3810=AXIS2_PLACEMENT_3D('',#3807,#3808,#3809); +#3812=CARTESIAN_POINT('',(8.35E0,1.02E1,-1.01E1)); +#3813=DIRECTION('',(0.E0,1.E0,-2.331468351713E-14)); +#3814=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3815=AXIS2_PLACEMENT_3D('',#3812,#3813,#3814); +#3817=CARTESIAN_POINT('',(8.75E0,9.1E0,-1.01E1)); +#3818=DIRECTION('',(0.E0,0.E0,1.E0)); +#3819=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3820=AXIS2_PLACEMENT_3D('',#3817,#3818,#3819); +#3822=DIRECTION('',(0.E0,-1.421910311156E-9,1.E0)); +#3823=VECTOR('',#3822,9.9E0); +#3824=CARTESIAN_POINT('',(8.25E0,9.1E0,-2.E1)); +#3825=LINE('',#3824,#3823); +#3826=DIRECTION('',(0.E0,-1.E0,-5.006096483335E-14)); +#3827=VECTOR('',#3826,1.100000014077E0); +#3828=CARTESIAN_POINT('',(1.175E1,1.02E1,-1.01E1)); +#3829=LINE('',#3828,#3827); +#3830=CARTESIAN_POINT('',(1.175E1,1.02E1,-9.9E0)); +#3831=DIRECTION('',(1.E0,0.E0,0.E0)); +#3832=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3833=AXIS2_PLACEMENT_3D('',#3830,#3831,#3832); +#3835=CARTESIAN_POINT('',(1.185E1,9.1E0,-1.01E1)); +#3836=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3837=DIRECTION('',(-1.776356839400E-14,0.E0,1.E0)); +#3838=AXIS2_PLACEMENT_3D('',#3835,#3836,#3837); +#3840=CARTESIAN_POINT('',(1.265E1,9.1E0,-1.01E1)); +#3841=DIRECTION('',(0.E0,1.E0,0.E0)); +#3842=DIRECTION('',(0.E0,0.E0,1.E0)); +#3843=AXIS2_PLACEMENT_3D('',#3840,#3841,#3842); +#3845=DIRECTION('',(0.E0,1.E0,4.037174635E-14)); +#3846=VECTOR('',#3845,1.1E0); +#3847=CARTESIAN_POINT('',(1.275E1,9.1E0,-1.01E1)); +#3848=LINE('',#3847,#3846); +#3849=CARTESIAN_POINT('',(1.275E1,1.02E1,-9.9E0)); +#3850=DIRECTION('',(1.E0,0.E0,0.E0)); +#3851=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3852=AXIS2_PLACEMENT_3D('',#3849,#3850,#3851); +#3854=DIRECTION('',(0.E0,3.534770680423E-14,1.E0)); +#3855=VECTOR('',#3854,9.9E0); +#3856=CARTESIAN_POINT('',(1.275E1,9.1E0,-2.E1)); +#3857=LINE('',#3856,#3855); +#3858=CARTESIAN_POINT('',(1.265E1,1.02E1,-1.01E1)); +#3859=DIRECTION('',(0.E0,1.E0,-1.687538997430E-14)); +#3860=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#3861=AXIS2_PLACEMENT_3D('',#3858,#3859,#3860); +#3863=CARTESIAN_POINT('',(1.265E1,1.02E1,-9.9E0)); +#3864=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3865=DIRECTION('',(0.E0,1.E0,0.E0)); +#3866=AXIS2_PLACEMENT_3D('',#3863,#3864,#3865); +#3868=CARTESIAN_POINT('',(1.185E1,1.02E1,-9.9E0)); +#3869=DIRECTION('',(1.E0,0.E0,0.E0)); +#3870=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3871=AXIS2_PLACEMENT_3D('',#3868,#3869,#3870); +#3873=CARTESIAN_POINT('',(1.185E1,1.02E1,-1.01E1)); +#3874=DIRECTION('',(0.E0,1.E0,-2.337019466836E-14)); +#3875=DIRECTION('',(-1.E0,0.E0,1.776356839400E-14)); +#3876=AXIS2_PLACEMENT_3D('',#3873,#3874,#3875); +#3878=CARTESIAN_POINT('',(1.225E1,9.1E0,-1.01E1)); +#3879=DIRECTION('',(0.E0,0.E0,1.E0)); +#3880=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3881=AXIS2_PLACEMENT_3D('',#3878,#3879,#3880); +#3883=DIRECTION('',(0.E0,-1.421910311156E-9,1.E0)); +#3884=VECTOR('',#3883,9.9E0); +#3885=CARTESIAN_POINT('',(1.175E1,9.1E0,-2.E1)); +#3886=LINE('',#3885,#3884); +#3887=DIRECTION('',(0.E0,-1.E0,-4.683122516668E-14)); +#3888=VECTOR('',#3887,1.100000014077E0); +#3889=CARTESIAN_POINT('',(1.875E1,1.02E1,-1.01E1)); +#3890=LINE('',#3889,#3888); +#3891=CARTESIAN_POINT('',(1.875E1,1.02E1,-9.9E0)); +#3892=DIRECTION('',(1.E0,0.E0,0.E0)); +#3893=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3894=AXIS2_PLACEMENT_3D('',#3891,#3892,#3893); +#3896=CARTESIAN_POINT('',(1.885E1,9.1E0,-1.01E1)); +#3897=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3898=DIRECTION('',(3.552713678801E-14,0.E0,1.E0)); +#3899=AXIS2_PLACEMENT_3D('',#3896,#3897,#3898); +#3901=CARTESIAN_POINT('',(1.965E1,9.1E0,-1.01E1)); +#3902=DIRECTION('',(0.E0,1.E0,0.E0)); +#3903=DIRECTION('',(0.E0,0.E0,1.E0)); +#3904=AXIS2_PLACEMENT_3D('',#3901,#3902,#3903); +#3906=DIRECTION('',(0.E0,1.E0,4.037174635E-14)); +#3907=VECTOR('',#3906,1.1E0); +#3908=CARTESIAN_POINT('',(1.975E1,9.1E0,-1.01E1)); +#3909=LINE('',#3908,#3907); +#3910=CARTESIAN_POINT('',(1.975E1,1.02E1,-9.9E0)); +#3911=DIRECTION('',(1.E0,0.E0,0.E0)); +#3912=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3913=AXIS2_PLACEMENT_3D('',#3910,#3911,#3912); +#3915=DIRECTION('',(0.E0,3.534770680423E-14,1.E0)); +#3916=VECTOR('',#3915,9.9E0); +#3917=CARTESIAN_POINT('',(1.975E1,9.1E0,-2.E1)); +#3918=LINE('',#3917,#3916); +#3919=CARTESIAN_POINT('',(1.965E1,1.02E1,-1.01E1)); +#3920=DIRECTION('',(0.E0,1.E0,0.E0)); +#3921=DIRECTION('',(0.E0,0.E0,1.E0)); +#3922=AXIS2_PLACEMENT_3D('',#3919,#3920,#3921); +#3924=CARTESIAN_POINT('',(1.965E1,1.02E1,-9.9E0)); +#3925=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3926=DIRECTION('',(0.E0,1.E0,0.E0)); +#3927=AXIS2_PLACEMENT_3D('',#3924,#3925,#3926); +#3929=CARTESIAN_POINT('',(1.885E1,1.02E1,-9.9E0)); +#3930=DIRECTION('',(1.E0,0.E0,0.E0)); +#3931=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3932=AXIS2_PLACEMENT_3D('',#3929,#3930,#3931); +#3934=CARTESIAN_POINT('',(1.885E1,1.02E1,-1.01E1)); +#3935=DIRECTION('',(0.E0,1.E0,0.E0)); +#3936=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3937=AXIS2_PLACEMENT_3D('',#3934,#3935,#3936); +#3939=CARTESIAN_POINT('',(1.925E1,9.1E0,-1.01E1)); +#3940=DIRECTION('',(0.E0,0.E0,1.E0)); +#3941=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3942=AXIS2_PLACEMENT_3D('',#3939,#3940,#3941); +#3944=DIRECTION('',(0.E0,-1.421910311156E-9,1.E0)); +#3945=VECTOR('',#3944,9.9E0); +#3946=CARTESIAN_POINT('',(1.875E1,9.1E0,-2.E1)); +#3947=LINE('',#3946,#3945); +#3948=DIRECTION('',(0.E0,0.E0,1.E0)); +#3949=VECTOR('',#3948,7.55E0); +#3950=CARTESIAN_POINT('',(-2.135E1,4.E0,-2.E1)); +#3951=LINE('',#3950,#3949); +#3952=DIRECTION('',(0.E0,0.E0,1.E0)); +#3953=VECTOR('',#3952,7.55E0); +#3954=CARTESIAN_POINT('',(-2.265E1,4.E0,-2.E1)); +#3955=LINE('',#3954,#3953); +#3956=CARTESIAN_POINT('',(-2.2E1,4.E0,-1.245E1)); +#3957=DIRECTION('',(0.E0,0.E0,1.E0)); +#3958=DIRECTION('',(1.E0,0.E0,0.E0)); +#3959=AXIS2_PLACEMENT_3D('',#3956,#3957,#3958); +#3961=CARTESIAN_POINT('',(-2.2E1,4.E0,-1.245E1)); +#3962=DIRECTION('',(0.E0,0.E0,1.E0)); +#3963=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3964=AXIS2_PLACEMENT_3D('',#3961,#3962,#3963); +#3966=CARTESIAN_POINT('',(-2.E1,0.E0,-1.245E1)); +#3967=DIRECTION('',(0.E0,0.E0,1.E0)); +#3968=DIRECTION('',(1.E0,0.E0,0.E0)); +#3969=AXIS2_PLACEMENT_3D('',#3966,#3967,#3968); +#3971=CARTESIAN_POINT('',(-2.E1,0.E0,-1.245E1)); +#3972=DIRECTION('',(0.E0,0.E0,1.E0)); +#3973=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3974=AXIS2_PLACEMENT_3D('',#3971,#3972,#3973); +#3976=CARTESIAN_POINT('',(-2.2E1,-4.E0,-1.245E1)); +#3977=DIRECTION('',(0.E0,0.E0,1.E0)); +#3978=DIRECTION('',(1.E0,0.E0,0.E0)); +#3979=AXIS2_PLACEMENT_3D('',#3976,#3977,#3978); +#3981=CARTESIAN_POINT('',(-2.2E1,-4.E0,-1.245E1)); +#3982=DIRECTION('',(0.E0,0.E0,1.E0)); +#3983=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3984=AXIS2_PLACEMENT_3D('',#3981,#3982,#3983); +#3986=CARTESIAN_POINT('',(-1.4E1,-4.E0,-1.245E1)); +#3987=DIRECTION('',(0.E0,0.E0,1.E0)); +#3988=DIRECTION('',(1.E0,0.E0,0.E0)); +#3989=AXIS2_PLACEMENT_3D('',#3986,#3987,#3988); +#3991=CARTESIAN_POINT('',(-1.4E1,-4.E0,-1.245E1)); +#3992=DIRECTION('',(0.E0,0.E0,1.E0)); +#3993=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3994=AXIS2_PLACEMENT_3D('',#3991,#3992,#3993); +#3996=CARTESIAN_POINT('',(-1.6E1,0.E0,-1.245E1)); +#3997=DIRECTION('',(0.E0,0.E0,1.E0)); +#3998=DIRECTION('',(1.E0,0.E0,0.E0)); +#3999=AXIS2_PLACEMENT_3D('',#3996,#3997,#3998); +#4001=CARTESIAN_POINT('',(-1.6E1,0.E0,-1.245E1)); +#4002=DIRECTION('',(0.E0,0.E0,1.E0)); +#4003=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4004=AXIS2_PLACEMENT_3D('',#4001,#4002,#4003); +#4006=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.245E1)); +#4007=DIRECTION('',(0.E0,0.E0,1.E0)); +#4008=DIRECTION('',(1.E0,0.E0,0.E0)); +#4009=AXIS2_PLACEMENT_3D('',#4006,#4007,#4008); +#4011=CARTESIAN_POINT('',(-1.4E1,4.E0,-1.245E1)); +#4012=DIRECTION('',(0.E0,0.E0,1.E0)); +#4013=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4014=AXIS2_PLACEMENT_3D('',#4011,#4012,#4013); +#4016=CARTESIAN_POINT('',(-6.E0,4.E0,-1.245E1)); +#4017=DIRECTION('',(0.E0,0.E0,1.E0)); +#4018=DIRECTION('',(1.E0,0.E0,0.E0)); +#4019=AXIS2_PLACEMENT_3D('',#4016,#4017,#4018); +#4021=CARTESIAN_POINT('',(-6.E0,4.E0,-1.245E1)); +#4022=DIRECTION('',(0.E0,0.E0,1.E0)); +#4023=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4024=AXIS2_PLACEMENT_3D('',#4021,#4022,#4023); +#4026=CARTESIAN_POINT('',(-4.E0,0.E0,-1.245E1)); +#4027=DIRECTION('',(0.E0,0.E0,1.E0)); +#4028=DIRECTION('',(1.E0,0.E0,0.E0)); +#4029=AXIS2_PLACEMENT_3D('',#4026,#4027,#4028); +#4031=CARTESIAN_POINT('',(-4.E0,0.E0,-1.245E1)); +#4032=DIRECTION('',(0.E0,0.E0,1.E0)); +#4033=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4034=AXIS2_PLACEMENT_3D('',#4031,#4032,#4033); +#4036=CARTESIAN_POINT('',(-6.E0,-4.E0,-1.245E1)); +#4037=DIRECTION('',(0.E0,0.E0,1.E0)); +#4038=DIRECTION('',(1.E0,0.E0,0.E0)); +#4039=AXIS2_PLACEMENT_3D('',#4036,#4037,#4038); +#4041=CARTESIAN_POINT('',(-6.E0,-4.E0,-1.245E1)); +#4042=DIRECTION('',(0.E0,0.E0,1.E0)); +#4043=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4044=AXIS2_PLACEMENT_3D('',#4041,#4042,#4043); +#4046=CARTESIAN_POINT('',(-2.E0,-4.E0,-1.245E1)); +#4047=DIRECTION('',(0.E0,0.E0,1.E0)); +#4048=DIRECTION('',(1.E0,0.E0,0.E0)); +#4049=AXIS2_PLACEMENT_3D('',#4046,#4047,#4048); +#4051=CARTESIAN_POINT('',(-2.E0,-4.E0,-1.245E1)); +#4052=DIRECTION('',(0.E0,0.E0,1.E0)); +#4053=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4054=AXIS2_PLACEMENT_3D('',#4051,#4052,#4053); +#4056=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#4057=DIRECTION('',(0.E0,0.E0,1.E0)); +#4058=DIRECTION('',(1.E0,0.E0,0.E0)); +#4059=AXIS2_PLACEMENT_3D('',#4056,#4057,#4058); +#4061=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#4062=DIRECTION('',(0.E0,0.E0,1.E0)); +#4063=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4064=AXIS2_PLACEMENT_3D('',#4061,#4062,#4063); +#4066=CARTESIAN_POINT('',(-2.E0,4.E0,-1.245E1)); +#4067=DIRECTION('',(0.E0,0.E0,1.E0)); +#4068=DIRECTION('',(1.E0,0.E0,0.E0)); +#4069=AXIS2_PLACEMENT_3D('',#4066,#4067,#4068); +#4071=CARTESIAN_POINT('',(-2.E0,4.E0,-1.245E1)); +#4072=DIRECTION('',(0.E0,0.E0,1.E0)); +#4073=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4074=AXIS2_PLACEMENT_3D('',#4071,#4072,#4073); +#4076=CARTESIAN_POINT('',(2.E0,4.E0,-1.245E1)); +#4077=DIRECTION('',(0.E0,0.E0,1.E0)); +#4078=DIRECTION('',(1.E0,0.E0,0.E0)); +#4079=AXIS2_PLACEMENT_3D('',#4076,#4077,#4078); +#4081=CARTESIAN_POINT('',(2.E0,4.E0,-1.245E1)); +#4082=DIRECTION('',(0.E0,0.E0,1.E0)); +#4083=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4084=AXIS2_PLACEMENT_3D('',#4081,#4082,#4083); +#4086=CARTESIAN_POINT('',(4.E0,0.E0,-1.245E1)); +#4087=DIRECTION('',(0.E0,0.E0,1.E0)); +#4088=DIRECTION('',(1.E0,0.E0,0.E0)); +#4089=AXIS2_PLACEMENT_3D('',#4086,#4087,#4088); +#4091=CARTESIAN_POINT('',(4.E0,0.E0,-1.245E1)); +#4092=DIRECTION('',(0.E0,0.E0,1.E0)); +#4093=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4094=AXIS2_PLACEMENT_3D('',#4091,#4092,#4093); +#4096=CARTESIAN_POINT('',(2.E0,-4.E0,-1.245E1)); +#4097=DIRECTION('',(0.E0,0.E0,1.E0)); +#4098=DIRECTION('',(1.E0,0.E0,0.E0)); +#4099=AXIS2_PLACEMENT_3D('',#4096,#4097,#4098); +#4101=CARTESIAN_POINT('',(2.E0,-4.E0,-1.245E1)); +#4102=DIRECTION('',(0.E0,0.E0,1.E0)); +#4103=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4104=AXIS2_PLACEMENT_3D('',#4101,#4102,#4103); +#4106=CARTESIAN_POINT('',(6.E0,-4.E0,-1.245E1)); +#4107=DIRECTION('',(0.E0,0.E0,1.E0)); +#4108=DIRECTION('',(1.E0,0.E0,0.E0)); +#4109=AXIS2_PLACEMENT_3D('',#4106,#4107,#4108); +#4111=CARTESIAN_POINT('',(6.E0,-4.E0,-1.245E1)); +#4112=DIRECTION('',(0.E0,0.E0,1.E0)); +#4113=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4114=AXIS2_PLACEMENT_3D('',#4111,#4112,#4113); +#4116=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-1.245E1)); +#4117=DIRECTION('',(0.E0,0.E0,1.E0)); +#4118=DIRECTION('',(1.E0,0.E0,0.E0)); +#4119=AXIS2_PLACEMENT_3D('',#4116,#4117,#4118); +#4121=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-1.245E1)); +#4122=DIRECTION('',(0.E0,0.E0,1.E0)); +#4123=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4124=AXIS2_PLACEMENT_3D('',#4121,#4122,#4123); +#4126=CARTESIAN_POINT('',(6.E0,4.E0,-1.245E1)); +#4127=DIRECTION('',(0.E0,0.E0,1.E0)); +#4128=DIRECTION('',(1.E0,0.E0,0.E0)); +#4129=AXIS2_PLACEMENT_3D('',#4126,#4127,#4128); +#4131=CARTESIAN_POINT('',(6.E0,4.E0,-1.245E1)); +#4132=DIRECTION('',(0.E0,0.E0,1.E0)); +#4133=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4134=AXIS2_PLACEMENT_3D('',#4131,#4132,#4133); +#4136=CARTESIAN_POINT('',(1.4E1,4.E0,-1.245E1)); +#4137=DIRECTION('',(0.E0,0.E0,1.E0)); +#4138=DIRECTION('',(1.E0,0.E0,0.E0)); +#4139=AXIS2_PLACEMENT_3D('',#4136,#4137,#4138); +#4141=CARTESIAN_POINT('',(1.4E1,4.E0,-1.245E1)); +#4142=DIRECTION('',(0.E0,0.E0,1.E0)); +#4143=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4144=AXIS2_PLACEMENT_3D('',#4141,#4142,#4143); +#4146=CARTESIAN_POINT('',(2.2E1,4.E0,-1.245E1)); +#4147=DIRECTION('',(0.E0,0.E0,1.E0)); +#4148=DIRECTION('',(1.E0,0.E0,0.E0)); +#4149=AXIS2_PLACEMENT_3D('',#4146,#4147,#4148); +#4151=CARTESIAN_POINT('',(2.2E1,4.E0,-1.245E1)); +#4152=DIRECTION('',(0.E0,0.E0,1.E0)); +#4153=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4154=AXIS2_PLACEMENT_3D('',#4151,#4152,#4153); +#4156=CARTESIAN_POINT('',(1.2E1,0.E0,-1.245E1)); +#4157=DIRECTION('',(0.E0,0.E0,1.E0)); +#4158=DIRECTION('',(1.E0,0.E0,0.E0)); +#4159=AXIS2_PLACEMENT_3D('',#4156,#4157,#4158); +#4161=CARTESIAN_POINT('',(1.2E1,0.E0,-1.245E1)); +#4162=DIRECTION('',(0.E0,0.E0,1.E0)); +#4163=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4164=AXIS2_PLACEMENT_3D('',#4161,#4162,#4163); +#4166=CARTESIAN_POINT('',(1.4E1,-4.E0,-1.245E1)); +#4167=DIRECTION('',(0.E0,0.E0,1.E0)); +#4168=DIRECTION('',(1.E0,0.E0,0.E0)); +#4169=AXIS2_PLACEMENT_3D('',#4166,#4167,#4168); +#4171=CARTESIAN_POINT('',(1.4E1,-4.E0,-1.245E1)); +#4172=DIRECTION('',(0.E0,0.E0,1.E0)); +#4173=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4174=AXIS2_PLACEMENT_3D('',#4171,#4172,#4173); +#4176=CARTESIAN_POINT('',(2.2E1,-4.E0,-1.245E1)); +#4177=DIRECTION('',(0.E0,0.E0,1.E0)); +#4178=DIRECTION('',(1.E0,0.E0,0.E0)); +#4179=AXIS2_PLACEMENT_3D('',#4176,#4177,#4178); +#4181=CARTESIAN_POINT('',(2.2E1,-4.E0,-1.245E1)); +#4182=DIRECTION('',(0.E0,0.E0,1.E0)); +#4183=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4184=AXIS2_PLACEMENT_3D('',#4181,#4182,#4183); +#4186=CARTESIAN_POINT('',(-1.8E1,4.E0,-1.245E1)); +#4187=DIRECTION('',(0.E0,0.E0,1.E0)); +#4188=DIRECTION('',(1.E0,0.E0,0.E0)); +#4189=AXIS2_PLACEMENT_3D('',#4186,#4187,#4188); +#4191=CARTESIAN_POINT('',(-1.8E1,4.E0,-1.245E1)); +#4192=DIRECTION('',(0.E0,0.E0,1.E0)); +#4193=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4194=AXIS2_PLACEMENT_3D('',#4191,#4192,#4193); +#4196=CARTESIAN_POINT('',(-1.2E1,0.E0,-1.245E1)); +#4197=DIRECTION('',(0.E0,0.E0,1.E0)); +#4198=DIRECTION('',(1.E0,0.E0,0.E0)); +#4199=AXIS2_PLACEMENT_3D('',#4196,#4197,#4198); +#4201=CARTESIAN_POINT('',(-1.2E1,0.E0,-1.245E1)); +#4202=DIRECTION('',(0.E0,0.E0,1.E0)); +#4203=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4204=AXIS2_PLACEMENT_3D('',#4201,#4202,#4203); +#4206=CARTESIAN_POINT('',(-1.E1,4.E0,-1.245E1)); +#4207=DIRECTION('',(0.E0,0.E0,1.E0)); +#4208=DIRECTION('',(1.E0,0.E0,0.E0)); +#4209=AXIS2_PLACEMENT_3D('',#4206,#4207,#4208); +#4211=CARTESIAN_POINT('',(-1.E1,4.E0,-1.245E1)); +#4212=DIRECTION('',(0.E0,0.E0,1.E0)); +#4213=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4214=AXIS2_PLACEMENT_3D('',#4211,#4212,#4213); +#4216=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-1.245E1)); +#4217=DIRECTION('',(0.E0,0.E0,1.E0)); +#4218=DIRECTION('',(1.E0,0.E0,0.E0)); +#4219=AXIS2_PLACEMENT_3D('',#4216,#4217,#4218); +#4221=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-1.245E1)); +#4222=DIRECTION('',(0.E0,0.E0,1.E0)); +#4223=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4224=AXIS2_PLACEMENT_3D('',#4221,#4222,#4223); +#4226=CARTESIAN_POINT('',(-1.E1,-4.E0,-1.245E1)); +#4227=DIRECTION('',(0.E0,0.E0,1.E0)); +#4228=DIRECTION('',(1.E0,0.E0,0.E0)); +#4229=AXIS2_PLACEMENT_3D('',#4226,#4227,#4228); +#4231=CARTESIAN_POINT('',(-1.E1,-4.E0,-1.245E1)); +#4232=DIRECTION('',(0.E0,0.E0,1.E0)); +#4233=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4234=AXIS2_PLACEMENT_3D('',#4231,#4232,#4233); +#4236=CARTESIAN_POINT('',(-1.8E1,-4.E0,-1.245E1)); +#4237=DIRECTION('',(0.E0,0.E0,1.E0)); +#4238=DIRECTION('',(1.E0,0.E0,0.E0)); +#4239=AXIS2_PLACEMENT_3D('',#4236,#4237,#4238); +#4241=CARTESIAN_POINT('',(-1.8E1,-4.E0,-1.245E1)); +#4242=DIRECTION('',(0.E0,0.E0,1.E0)); +#4243=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4244=AXIS2_PLACEMENT_3D('',#4241,#4242,#4243); +#4246=CARTESIAN_POINT('',(1.E1,4.E0,-1.245E1)); +#4247=DIRECTION('',(0.E0,0.E0,1.E0)); +#4248=DIRECTION('',(1.E0,0.E0,0.E0)); +#4249=AXIS2_PLACEMENT_3D('',#4246,#4247,#4248); +#4251=CARTESIAN_POINT('',(1.E1,4.E0,-1.245E1)); +#4252=DIRECTION('',(0.E0,0.E0,1.E0)); +#4253=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4254=AXIS2_PLACEMENT_3D('',#4251,#4252,#4253); +#4256=CARTESIAN_POINT('',(1.E1,-4.E0,-1.245E1)); +#4257=DIRECTION('',(0.E0,0.E0,1.E0)); +#4258=DIRECTION('',(1.E0,0.E0,0.E0)); +#4259=AXIS2_PLACEMENT_3D('',#4256,#4257,#4258); +#4261=CARTESIAN_POINT('',(1.E1,-4.E0,-1.245E1)); +#4262=DIRECTION('',(0.E0,0.E0,1.E0)); +#4263=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4264=AXIS2_PLACEMENT_3D('',#4261,#4262,#4263); +#4266=CARTESIAN_POINT('',(1.8E1,4.E0,-1.245E1)); +#4267=DIRECTION('',(0.E0,0.E0,1.E0)); +#4268=DIRECTION('',(1.E0,0.E0,0.E0)); +#4269=AXIS2_PLACEMENT_3D('',#4266,#4267,#4268); +#4271=CARTESIAN_POINT('',(1.8E1,4.E0,-1.245E1)); +#4272=DIRECTION('',(0.E0,0.E0,1.E0)); +#4273=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4274=AXIS2_PLACEMENT_3D('',#4271,#4272,#4273); +#4276=CARTESIAN_POINT('',(1.6E1,0.E0,-1.245E1)); +#4277=DIRECTION('',(0.E0,0.E0,1.E0)); +#4278=DIRECTION('',(1.E0,0.E0,0.E0)); +#4279=AXIS2_PLACEMENT_3D('',#4276,#4277,#4278); +#4281=CARTESIAN_POINT('',(1.6E1,0.E0,-1.245E1)); +#4282=DIRECTION('',(0.E0,0.E0,1.E0)); +#4283=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4284=AXIS2_PLACEMENT_3D('',#4281,#4282,#4283); +#4286=CARTESIAN_POINT('',(1.8E1,-4.E0,-1.245E1)); +#4287=DIRECTION('',(0.E0,0.E0,1.E0)); +#4288=DIRECTION('',(1.E0,0.E0,0.E0)); +#4289=AXIS2_PLACEMENT_3D('',#4286,#4287,#4288); +#4291=CARTESIAN_POINT('',(1.8E1,-4.E0,-1.245E1)); +#4292=DIRECTION('',(0.E0,0.E0,1.E0)); +#4293=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4294=AXIS2_PLACEMENT_3D('',#4291,#4292,#4293); +#4296=CARTESIAN_POINT('',(2.E1,0.E0,-1.245E1)); +#4297=DIRECTION('',(0.E0,0.E0,1.E0)); +#4298=DIRECTION('',(1.E0,0.E0,0.E0)); +#4299=AXIS2_PLACEMENT_3D('',#4296,#4297,#4298); +#4301=CARTESIAN_POINT('',(2.E1,0.E0,-1.245E1)); +#4302=DIRECTION('',(0.E0,0.E0,1.E0)); +#4303=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4304=AXIS2_PLACEMENT_3D('',#4301,#4302,#4303); +#4306=DIRECTION('',(0.E0,0.E0,1.E0)); +#4307=VECTOR('',#4306,7.55E0); +#4308=CARTESIAN_POINT('',(-1.935E1,0.E0,-2.E1)); +#4309=LINE('',#4308,#4307); +#4310=DIRECTION('',(0.E0,0.E0,1.E0)); +#4311=VECTOR('',#4310,7.55E0); +#4312=CARTESIAN_POINT('',(-2.065E1,0.E0,-2.E1)); +#4313=LINE('',#4312,#4311); +#4314=DIRECTION('',(0.E0,0.E0,1.E0)); +#4315=VECTOR('',#4314,7.55E0); +#4316=CARTESIAN_POINT('',(-2.135E1,-4.E0,-2.E1)); +#4317=LINE('',#4316,#4315); +#4318=DIRECTION('',(0.E0,0.E0,1.E0)); +#4319=VECTOR('',#4318,7.55E0); +#4320=CARTESIAN_POINT('',(-2.265E1,-4.E0,-2.E1)); +#4321=LINE('',#4320,#4319); +#4322=DIRECTION('',(0.E0,0.E0,1.E0)); +#4323=VECTOR('',#4322,7.55E0); +#4324=CARTESIAN_POINT('',(-1.335E1,-4.E0,-2.E1)); +#4325=LINE('',#4324,#4323); +#4326=DIRECTION('',(0.E0,0.E0,1.E0)); +#4327=VECTOR('',#4326,7.55E0); +#4328=CARTESIAN_POINT('',(-1.465E1,-4.E0,-2.E1)); +#4329=LINE('',#4328,#4327); +#4330=DIRECTION('',(0.E0,0.E0,1.E0)); +#4331=VECTOR('',#4330,7.55E0); +#4332=CARTESIAN_POINT('',(-1.535E1,0.E0,-2.E1)); +#4333=LINE('',#4332,#4331); +#4334=DIRECTION('',(0.E0,0.E0,1.E0)); +#4335=VECTOR('',#4334,7.55E0); +#4336=CARTESIAN_POINT('',(-1.665E1,0.E0,-2.E1)); +#4337=LINE('',#4336,#4335); +#4338=DIRECTION('',(0.E0,0.E0,1.E0)); +#4339=VECTOR('',#4338,7.55E0); +#4340=CARTESIAN_POINT('',(-1.335E1,4.E0,-2.E1)); +#4341=LINE('',#4340,#4339); +#4342=DIRECTION('',(0.E0,0.E0,1.E0)); +#4343=VECTOR('',#4342,7.55E0); +#4344=CARTESIAN_POINT('',(-1.465E1,4.E0,-2.E1)); +#4345=LINE('',#4344,#4343); +#4346=DIRECTION('',(0.E0,0.E0,1.E0)); +#4347=VECTOR('',#4346,7.55E0); +#4348=CARTESIAN_POINT('',(-5.35E0,4.E0,-2.E1)); +#4349=LINE('',#4348,#4347); +#4350=DIRECTION('',(0.E0,0.E0,1.E0)); +#4351=VECTOR('',#4350,7.55E0); +#4352=CARTESIAN_POINT('',(-6.65E0,4.E0,-2.E1)); +#4353=LINE('',#4352,#4351); +#4354=DIRECTION('',(0.E0,0.E0,1.E0)); +#4355=VECTOR('',#4354,7.55E0); +#4356=CARTESIAN_POINT('',(-3.35E0,0.E0,-2.E1)); +#4357=LINE('',#4356,#4355); +#4358=DIRECTION('',(0.E0,0.E0,1.E0)); +#4359=VECTOR('',#4358,7.55E0); +#4360=CARTESIAN_POINT('',(-4.65E0,0.E0,-2.E1)); +#4361=LINE('',#4360,#4359); +#4362=DIRECTION('',(0.E0,0.E0,1.E0)); +#4363=VECTOR('',#4362,7.55E0); +#4364=CARTESIAN_POINT('',(-5.35E0,-4.E0,-2.E1)); +#4365=LINE('',#4364,#4363); +#4366=DIRECTION('',(0.E0,0.E0,1.E0)); +#4367=VECTOR('',#4366,7.55E0); +#4368=CARTESIAN_POINT('',(-6.65E0,-4.E0,-2.E1)); +#4369=LINE('',#4368,#4367); +#4370=DIRECTION('',(0.E0,0.E0,1.E0)); +#4371=VECTOR('',#4370,7.55E0); +#4372=CARTESIAN_POINT('',(-1.35E0,-4.E0,-2.E1)); +#4373=LINE('',#4372,#4371); +#4374=DIRECTION('',(0.E0,0.E0,1.E0)); +#4375=VECTOR('',#4374,7.55E0); +#4376=CARTESIAN_POINT('',(-2.65E0,-4.E0,-2.E1)); +#4377=LINE('',#4376,#4375); +#4378=DIRECTION('',(0.E0,0.E0,1.E0)); +#4379=VECTOR('',#4378,7.55E0); +#4380=CARTESIAN_POINT('',(6.5E-1,0.E0,-2.E1)); +#4381=LINE('',#4380,#4379); +#4382=DIRECTION('',(0.E0,0.E0,1.E0)); +#4383=VECTOR('',#4382,7.55E0); +#4384=CARTESIAN_POINT('',(-6.5E-1,0.E0,-2.E1)); +#4385=LINE('',#4384,#4383); +#4386=DIRECTION('',(0.E0,0.E0,1.E0)); +#4387=VECTOR('',#4386,7.55E0); +#4388=CARTESIAN_POINT('',(-1.35E0,4.E0,-2.E1)); +#4389=LINE('',#4388,#4387); +#4390=DIRECTION('',(0.E0,0.E0,1.E0)); +#4391=VECTOR('',#4390,7.55E0); +#4392=CARTESIAN_POINT('',(-2.65E0,4.E0,-2.E1)); +#4393=LINE('',#4392,#4391); +#4394=DIRECTION('',(0.E0,0.E0,1.E0)); +#4395=VECTOR('',#4394,7.55E0); +#4396=CARTESIAN_POINT('',(2.65E0,4.E0,-2.E1)); +#4397=LINE('',#4396,#4395); +#4398=DIRECTION('',(0.E0,0.E0,1.E0)); +#4399=VECTOR('',#4398,7.55E0); +#4400=CARTESIAN_POINT('',(1.35E0,4.E0,-2.E1)); +#4401=LINE('',#4400,#4399); +#4402=DIRECTION('',(0.E0,0.E0,1.E0)); +#4403=VECTOR('',#4402,7.55E0); +#4404=CARTESIAN_POINT('',(4.65E0,0.E0,-2.E1)); +#4405=LINE('',#4404,#4403); +#4406=DIRECTION('',(0.E0,0.E0,1.E0)); +#4407=VECTOR('',#4406,7.55E0); +#4408=CARTESIAN_POINT('',(3.35E0,0.E0,-2.E1)); +#4409=LINE('',#4408,#4407); +#4410=DIRECTION('',(0.E0,0.E0,1.E0)); +#4411=VECTOR('',#4410,7.55E0); +#4412=CARTESIAN_POINT('',(2.65E0,-4.E0,-2.E1)); +#4413=LINE('',#4412,#4411); +#4414=DIRECTION('',(0.E0,0.E0,1.E0)); +#4415=VECTOR('',#4414,7.55E0); +#4416=CARTESIAN_POINT('',(1.35E0,-4.E0,-2.E1)); +#4417=LINE('',#4416,#4415); +#4418=DIRECTION('',(0.E0,0.E0,1.E0)); +#4419=VECTOR('',#4418,7.55E0); +#4420=CARTESIAN_POINT('',(6.65E0,-4.E0,-2.E1)); +#4421=LINE('',#4420,#4419); +#4422=DIRECTION('',(0.E0,0.E0,1.E0)); +#4423=VECTOR('',#4422,7.55E0); +#4424=CARTESIAN_POINT('',(5.35E0,-4.E0,-2.E1)); +#4425=LINE('',#4424,#4423); +#4426=DIRECTION('',(0.E0,0.E0,1.E0)); +#4427=VECTOR('',#4426,7.55E0); +#4428=CARTESIAN_POINT('',(8.649999999999E0,0.E0,-2.E1)); +#4429=LINE('',#4428,#4427); +#4430=DIRECTION('',(0.E0,0.E0,1.E0)); +#4431=VECTOR('',#4430,7.55E0); +#4432=CARTESIAN_POINT('',(7.349999999999E0,0.E0,-2.E1)); +#4433=LINE('',#4432,#4431); +#4434=DIRECTION('',(0.E0,0.E0,1.E0)); +#4435=VECTOR('',#4434,7.55E0); +#4436=CARTESIAN_POINT('',(6.65E0,4.E0,-2.E1)); +#4437=LINE('',#4436,#4435); +#4438=DIRECTION('',(0.E0,0.E0,1.E0)); +#4439=VECTOR('',#4438,7.55E0); +#4440=CARTESIAN_POINT('',(5.35E0,4.E0,-2.E1)); +#4441=LINE('',#4440,#4439); +#4442=DIRECTION('',(0.E0,0.E0,1.E0)); +#4443=VECTOR('',#4442,7.55E0); +#4444=CARTESIAN_POINT('',(1.465E1,4.E0,-2.E1)); +#4445=LINE('',#4444,#4443); +#4446=DIRECTION('',(0.E0,0.E0,1.E0)); +#4447=VECTOR('',#4446,7.55E0); +#4448=CARTESIAN_POINT('',(1.335E1,4.E0,-2.E1)); +#4449=LINE('',#4448,#4447); +#4450=DIRECTION('',(0.E0,0.E0,1.E0)); +#4451=VECTOR('',#4450,7.55E0); +#4452=CARTESIAN_POINT('',(2.265E1,4.E0,-2.E1)); +#4453=LINE('',#4452,#4451); +#4454=DIRECTION('',(0.E0,0.E0,1.E0)); +#4455=VECTOR('',#4454,7.55E0); +#4456=CARTESIAN_POINT('',(2.135E1,4.E0,-2.E1)); +#4457=LINE('',#4456,#4455); +#4458=DIRECTION('',(0.E0,0.E0,1.E0)); +#4459=VECTOR('',#4458,7.55E0); +#4460=CARTESIAN_POINT('',(1.265E1,0.E0,-2.E1)); +#4461=LINE('',#4460,#4459); +#4462=DIRECTION('',(0.E0,0.E0,1.E0)); +#4463=VECTOR('',#4462,7.55E0); +#4464=CARTESIAN_POINT('',(1.135E1,0.E0,-2.E1)); +#4465=LINE('',#4464,#4463); +#4466=DIRECTION('',(0.E0,0.E0,1.E0)); +#4467=VECTOR('',#4466,7.55E0); +#4468=CARTESIAN_POINT('',(1.465E1,-4.E0,-2.E1)); +#4469=LINE('',#4468,#4467); +#4470=DIRECTION('',(0.E0,0.E0,1.E0)); +#4471=VECTOR('',#4470,7.55E0); +#4472=CARTESIAN_POINT('',(1.335E1,-4.E0,-2.E1)); +#4473=LINE('',#4472,#4471); +#4474=DIRECTION('',(0.E0,0.E0,1.E0)); +#4475=VECTOR('',#4474,7.55E0); +#4476=CARTESIAN_POINT('',(2.265E1,-4.E0,-2.E1)); +#4477=LINE('',#4476,#4475); +#4478=DIRECTION('',(0.E0,0.E0,1.E0)); +#4479=VECTOR('',#4478,7.55E0); +#4480=CARTESIAN_POINT('',(2.135E1,-4.E0,-2.E1)); +#4481=LINE('',#4480,#4479); +#4482=DIRECTION('',(0.E0,0.E0,1.E0)); +#4483=VECTOR('',#4482,7.55E0); +#4484=CARTESIAN_POINT('',(-1.735E1,4.E0,-2.E1)); +#4485=LINE('',#4484,#4483); +#4486=DIRECTION('',(0.E0,0.E0,1.E0)); +#4487=VECTOR('',#4486,7.55E0); +#4488=CARTESIAN_POINT('',(-1.865E1,4.E0,-2.E1)); +#4489=LINE('',#4488,#4487); +#4490=DIRECTION('',(0.E0,0.E0,1.E0)); +#4491=VECTOR('',#4490,7.55E0); +#4492=CARTESIAN_POINT('',(-1.135E1,0.E0,-2.E1)); +#4493=LINE('',#4492,#4491); +#4494=DIRECTION('',(0.E0,0.E0,1.E0)); +#4495=VECTOR('',#4494,7.55E0); +#4496=CARTESIAN_POINT('',(-1.265E1,0.E0,-2.E1)); +#4497=LINE('',#4496,#4495); +#4498=DIRECTION('',(0.E0,0.E0,1.E0)); +#4499=VECTOR('',#4498,7.55E0); +#4500=CARTESIAN_POINT('',(-9.35E0,4.E0,-2.E1)); +#4501=LINE('',#4500,#4499); +#4502=DIRECTION('',(0.E0,0.E0,1.E0)); +#4503=VECTOR('',#4502,7.55E0); +#4504=CARTESIAN_POINT('',(-1.065E1,4.E0,-2.E1)); +#4505=LINE('',#4504,#4503); +#4506=DIRECTION('',(0.E0,0.E0,1.E0)); +#4507=VECTOR('',#4506,7.55E0); +#4508=CARTESIAN_POINT('',(-7.349999999999E0,0.E0,-2.E1)); +#4509=LINE('',#4508,#4507); +#4510=DIRECTION('',(0.E0,0.E0,1.E0)); +#4511=VECTOR('',#4510,7.55E0); +#4512=CARTESIAN_POINT('',(-8.649999999999E0,0.E0,-2.E1)); +#4513=LINE('',#4512,#4511); +#4514=DIRECTION('',(0.E0,0.E0,1.E0)); +#4515=VECTOR('',#4514,7.55E0); +#4516=CARTESIAN_POINT('',(-9.35E0,-4.E0,-2.E1)); +#4517=LINE('',#4516,#4515); +#4518=DIRECTION('',(0.E0,0.E0,1.E0)); +#4519=VECTOR('',#4518,7.55E0); +#4520=CARTESIAN_POINT('',(-1.065E1,-4.E0,-2.E1)); +#4521=LINE('',#4520,#4519); +#4522=DIRECTION('',(0.E0,0.E0,1.E0)); +#4523=VECTOR('',#4522,7.55E0); +#4524=CARTESIAN_POINT('',(-1.735E1,-4.E0,-2.E1)); +#4525=LINE('',#4524,#4523); +#4526=DIRECTION('',(0.E0,0.E0,1.E0)); +#4527=VECTOR('',#4526,7.55E0); +#4528=CARTESIAN_POINT('',(-1.865E1,-4.E0,-2.E1)); +#4529=LINE('',#4528,#4527); +#4530=DIRECTION('',(0.E0,0.E0,1.E0)); +#4531=VECTOR('',#4530,7.55E0); +#4532=CARTESIAN_POINT('',(1.065E1,4.E0,-2.E1)); +#4533=LINE('',#4532,#4531); +#4534=DIRECTION('',(0.E0,0.E0,1.E0)); +#4535=VECTOR('',#4534,7.55E0); +#4536=CARTESIAN_POINT('',(9.35E0,4.E0,-2.E1)); +#4537=LINE('',#4536,#4535); +#4538=DIRECTION('',(0.E0,0.E0,1.E0)); +#4539=VECTOR('',#4538,7.55E0); +#4540=CARTESIAN_POINT('',(1.065E1,-4.E0,-2.E1)); +#4541=LINE('',#4540,#4539); +#4542=DIRECTION('',(0.E0,0.E0,1.E0)); +#4543=VECTOR('',#4542,7.55E0); +#4544=CARTESIAN_POINT('',(9.35E0,-4.E0,-2.E1)); +#4545=LINE('',#4544,#4543); +#4546=DIRECTION('',(0.E0,0.E0,1.E0)); +#4547=VECTOR('',#4546,7.55E0); +#4548=CARTESIAN_POINT('',(1.865E1,4.E0,-2.E1)); +#4549=LINE('',#4548,#4547); +#4550=DIRECTION('',(0.E0,0.E0,1.E0)); +#4551=VECTOR('',#4550,7.55E0); +#4552=CARTESIAN_POINT('',(1.735E1,4.E0,-2.E1)); +#4553=LINE('',#4552,#4551); +#4554=DIRECTION('',(0.E0,0.E0,1.E0)); +#4555=VECTOR('',#4554,7.55E0); +#4556=CARTESIAN_POINT('',(1.665E1,0.E0,-2.E1)); +#4557=LINE('',#4556,#4555); +#4558=DIRECTION('',(0.E0,0.E0,1.E0)); +#4559=VECTOR('',#4558,7.55E0); +#4560=CARTESIAN_POINT('',(1.535E1,0.E0,-2.E1)); +#4561=LINE('',#4560,#4559); +#4562=DIRECTION('',(0.E0,0.E0,1.E0)); +#4563=VECTOR('',#4562,7.55E0); +#4564=CARTESIAN_POINT('',(1.865E1,-4.E0,-2.E1)); +#4565=LINE('',#4564,#4563); +#4566=DIRECTION('',(0.E0,0.E0,1.E0)); +#4567=VECTOR('',#4566,7.55E0); +#4568=CARTESIAN_POINT('',(1.735E1,-4.E0,-2.E1)); +#4569=LINE('',#4568,#4567); +#4570=DIRECTION('',(0.E0,0.E0,1.E0)); +#4571=VECTOR('',#4570,7.55E0); +#4572=CARTESIAN_POINT('',(2.065E1,0.E0,-2.E1)); +#4573=LINE('',#4572,#4571); +#4574=DIRECTION('',(0.E0,0.E0,1.E0)); +#4575=VECTOR('',#4574,7.55E0); +#4576=CARTESIAN_POINT('',(1.935E1,0.E0,-2.E1)); +#4577=LINE('',#4576,#4575); +#4578=CARTESIAN_POINT('',(2.92E1,5.6E0,-5.E-1)); +#4579=DIRECTION('',(0.E0,1.E0,0.E0)); +#4580=DIRECTION('',(0.E0,0.E0,1.E0)); +#4581=AXIS2_PLACEMENT_3D('',#4578,#4579,#4580); +#4583=CARTESIAN_POINT('',(2.35E1,1.13E1,-5.E-1)); +#4584=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4585=DIRECTION('',(0.E0,0.E0,1.E0)); +#4586=AXIS2_PLACEMENT_3D('',#4583,#4584,#4585); +#4588=DIRECTION('',(1.E0,0.E0,0.E0)); +#4589=VECTOR('',#4588,1.8E0); +#4590=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#4591=LINE('',#4590,#4589); +#4592=DIRECTION('',(1.E0,0.E0,0.E0)); +#4593=VECTOR('',#4592,5.4E0); +#4594=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.E-1)); +#4595=LINE('',#4594,#4593); +#4596=DIRECTION('',(1.E0,0.E0,0.E0)); +#4597=VECTOR('',#4596,1.8E0); +#4598=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#4599=LINE('',#4598,#4597); +#4600=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4601=VECTOR('',#4600,4.9E0); +#4602=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.E-1)); +#4603=LINE('',#4602,#4601); +#4604=DIRECTION('',(1.E0,0.E0,0.E0)); +#4605=VECTOR('',#4604,1.8E0); +#4606=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.4E0)); +#4607=LINE('',#4606,#4605); +#4608=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4609=VECTOR('',#4608,4.9E0); +#4610=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#4611=LINE('',#4610,#4609); +#4612=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4613=VECTOR('',#4612,4.9E0); +#4614=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.E-1)); +#4615=LINE('',#4614,#4613); +#4616=DIRECTION('',(1.E0,0.E0,0.E0)); +#4617=VECTOR('',#4616,1.8E0); +#4618=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#4619=LINE('',#4618,#4617); +#4620=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4621=VECTOR('',#4620,4.9E0); +#4622=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#4623=LINE('',#4622,#4621); +#4624=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4625=VECTOR('',#4624,1.899999999996E1); +#4626=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#4627=LINE('',#4626,#4625); +#4628=DIRECTION('',(1.E0,0.E0,-5.374424309847E-14)); +#4629=VECTOR('',#4628,4.699999999998E1); +#4630=CARTESIAN_POINT('',(-2.349999999998E1,1.18E1,-1.95E1)); +#4631=LINE('',#4630,#4629); +#4632=DIRECTION('',(0.E0,0.E0,1.E0)); +#4633=VECTOR('',#4632,7.5E-1); +#4634=CARTESIAN_POINT('',(2.795E1,1.18E1,-2.E1)); +#4635=LINE('',#4634,#4633); +#4636=DIRECTION('',(1.667785909376E-12,0.E0,-1.E0)); +#4637=VECTOR('',#4636,1.875E1); +#4638=CARTESIAN_POINT('',(2.349999999997E1,1.18E1,-5.E-1)); +#4639=LINE('',#4638,#4637); +#4640=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4641=VECTOR('',#4640,1.899999999997E1); +#4642=CARTESIAN_POINT('',(2.349999999997E1,1.18E1,-5.E-1)); +#4643=LINE('',#4642,#4641); +#4644=DIRECTION('',(4.431493592422E-2,-5.065220354074E-1,8.610874601925E-1)); +#4645=VECTOR('',#4644,3.948495544506E0); +#4646=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-3.9E0)); +#4647=LINE('',#4646,#4645); +#4648=DIRECTION('',(-4.431493592422E-2,-5.065220354074E-1,8.610874601925E-1)); +#4649=VECTOR('',#4648,3.948495544506E0); +#4650=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-3.9E0)); +#4651=LINE('',#4650,#4649); +#4652=DIRECTION('',(1.E0,0.E0,0.E0)); +#4653=VECTOR('',#4652,1.450045345896E0); +#4654=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-3.9E0)); +#4655=LINE('',#4654,#4653); +#4656=DIRECTION('',(-4.431493592422E-2,-5.065220354074E-1,8.610874601925E-1)); +#4657=VECTOR('',#4656,3.948495544506E0); +#4658=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-3.9E0)); +#4659=LINE('',#4658,#4657); +#4660=DIRECTION('',(1.E0,0.E0,0.E0)); +#4661=VECTOR('',#4660,1.450045345896E0); +#4662=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-3.9E0)); +#4663=LINE('',#4662,#4661); +#4664=DIRECTION('',(4.431493592422E-2,-5.065220354074E-1,8.610874601925E-1)); +#4665=VECTOR('',#4664,3.948495544506E0); +#4666=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-3.9E0)); +#4667=LINE('',#4666,#4665); +#4668=DIRECTION('',(8.715574274766E-2,-9.961946980917E-1,0.E0)); +#4669=VECTOR('',#4668,2.007639675087E0); +#4670=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-5.4E0)); +#4671=LINE('',#4670,#4669); +#4672=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#4673=VECTOR('',#4672,2.007639675087E0); +#4674=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.4E0)); +#4675=LINE('',#4674,#4673); +#4676=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#4677=VECTOR('',#4676,2.007639675087E0); +#4678=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#4679=LINE('',#4678,#4677); +#4680=DIRECTION('',(8.715574274766E-2,-9.961946980917E-1,0.E0)); +#4681=VECTOR('',#4680,2.007639675087E0); +#4682=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-5.4E0)); +#4683=LINE('',#4682,#4681); +#4684=DIRECTION('',(0.E0,0.E0,1.E0)); +#4685=VECTOR('',#4684,1.5E0); +#4686=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-5.4E0)); +#4687=LINE('',#4686,#4685); +#4688=DIRECTION('',(0.E0,0.E0,1.E0)); +#4689=VECTOR('',#4688,1.5E0); +#4690=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-5.4E0)); +#4691=LINE('',#4690,#4689); +#4692=DIRECTION('',(1.E0,0.E0,0.E0)); +#4693=VECTOR('',#4692,1.450045345896E0); +#4694=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-5.4E0)); +#4695=LINE('',#4694,#4693); +#4696=DIRECTION('',(0.E0,0.E0,1.E0)); +#4697=VECTOR('',#4696,1.5E0); +#4698=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-5.4E0)); +#4699=LINE('',#4698,#4697); +#4700=DIRECTION('',(1.E0,0.E0,0.E0)); +#4701=VECTOR('',#4700,1.450045345896E0); +#4702=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-5.4E0)); +#4703=LINE('',#4702,#4701); +#4704=DIRECTION('',(0.E0,0.E0,1.E0)); +#4705=VECTOR('',#4704,1.5E0); +#4706=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-5.4E0)); +#4707=LINE('',#4706,#4705); +#4708=CARTESIAN_POINT('',(-2.35E1,5.6E0,-5.E-1)); +#4709=DIRECTION('',(0.E0,0.E0,1.E0)); +#4710=DIRECTION('',(0.E0,1.E0,0.E0)); +#4711=AXIS2_PLACEMENT_3D('',#4708,#4709,#4710); +#4713=CARTESIAN_POINT('',(-2.35E1,5.6E0,-1.925E1)); +#4714=DIRECTION('',(0.E0,0.E0,1.E0)); +#4715=DIRECTION('',(0.E0,1.E0,0.E0)); +#4716=AXIS2_PLACEMENT_3D('',#4713,#4714,#4715); +#4718=DIRECTION('',(-2.347254242826E-12,0.E0,-1.E0)); +#4719=VECTOR('',#4718,1.875E1); +#4720=CARTESIAN_POINT('',(-2.349999999996E1,1.18E1,-5.E-1)); +#4721=LINE('',#4720,#4719); +#4722=CARTESIAN_POINT('',(-2.35E1,1.13E1,-5.E-1)); +#4723=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4724=DIRECTION('',(0.E0,0.E0,1.E0)); +#4725=AXIS2_PLACEMENT_3D('',#4722,#4723,#4724); +#4727=CARTESIAN_POINT('',(-2.92E1,5.6E0,-5.E-1)); +#4728=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4729=DIRECTION('',(0.E0,0.E0,1.E0)); +#4730=AXIS2_PLACEMENT_3D('',#4727,#4728,#4729); +#4732=CARTESIAN_POINT('',(-2.92E1,-5.6E0,-5.E-1)); +#4733=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4734=DIRECTION('',(0.E0,0.E0,1.E0)); +#4735=AXIS2_PLACEMENT_3D('',#4732,#4733,#4734); +#4737=CARTESIAN_POINT('',(-2.35E1,-1.13E1,-5.E-1)); +#4738=DIRECTION('',(1.E0,0.E0,0.E0)); +#4739=DIRECTION('',(0.E0,0.E0,1.E0)); +#4740=AXIS2_PLACEMENT_3D('',#4737,#4738,#4739); +#4742=CARTESIAN_POINT('',(2.35E1,-1.13E1,-5.E-1)); +#4743=DIRECTION('',(1.E0,0.E0,0.E0)); +#4744=DIRECTION('',(0.E0,0.E0,1.E0)); +#4745=AXIS2_PLACEMENT_3D('',#4742,#4743,#4744); +#4747=CARTESIAN_POINT('',(2.92E1,-5.6E0,-5.E-1)); +#4748=DIRECTION('',(0.E0,1.E0,0.E0)); +#4749=DIRECTION('',(0.E0,0.E0,1.E0)); +#4750=AXIS2_PLACEMENT_3D('',#4747,#4748,#4749); +#4752=DIRECTION('',(0.E0,1.E0,0.E0)); +#4753=VECTOR('',#4752,1.119999999992E1); +#4754=CARTESIAN_POINT('',(2.97E1,-5.599999999969E0,-5.E-1)); +#4755=LINE('',#4754,#4753); +#4756=DIRECTION('',(0.E0,-1.E0,-2.920207428476E-13)); +#4757=VECTOR('',#4756,8.649999999983E0); +#4758=CARTESIAN_POINT('',(2.97E1,5.599999999982E0,-1.95E1)); +#4759=LINE('',#4758,#4757); +#4760=DIRECTION('',(0.E0,0.E0,1.E0)); +#4761=VECTOR('',#4760,2.5E-1); +#4762=CARTESIAN_POINT('',(2.97E1,-3.050000000001E0,-1.95E1)); +#4763=LINE('',#4762,#4761); +#4764=DIRECTION('',(0.E0,1.E0,0.E0)); +#4765=VECTOR('',#4764,2.549999999999E0); +#4766=CARTESIAN_POINT('',(2.97E1,-5.6E0,-1.925E1)); +#4767=LINE('',#4766,#4765); +#4768=DIRECTION('',(0.E0,-1.667880648408E-12,-1.E0)); +#4769=VECTOR('',#4768,1.875E1); +#4770=CARTESIAN_POINT('',(2.97E1,-5.599999999969E0,-5.E-1)); +#4771=LINE('',#4770,#4769); +#4772=CARTESIAN_POINT('',(2.35E1,5.6E0,-5.E-1)); +#4773=DIRECTION('',(0.E0,0.E0,1.E0)); +#4774=DIRECTION('',(1.E0,0.E0,0.E0)); +#4775=AXIS2_PLACEMENT_3D('',#4772,#4773,#4774); +#4777=CARTESIAN_POINT('',(2.35E1,5.6E0,-1.925E1)); +#4778=DIRECTION('',(0.E0,0.E0,1.E0)); +#4779=DIRECTION('',(1.E0,0.E0,0.E0)); +#4780=AXIS2_PLACEMENT_3D('',#4777,#4778,#4779); +#4782=DIRECTION('',(0.E0,2.347348981857E-12,-1.E0)); +#4783=VECTOR('',#4782,1.875E1); +#4784=CARTESIAN_POINT('',(2.97E1,5.599999999956E0,-5.E-1)); +#4785=LINE('',#4784,#4783); +#4786=CARTESIAN_POINT('',(3.17E1,1.08E1,-1.925E1)); +#4787=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4788=DIRECTION('',(1.E0,0.E0,0.E0)); +#4789=AXIS2_PLACEMENT_3D('',#4786,#4787,#4788); +#4791=CARTESIAN_POINT('',(3.17E1,1.08E1,-1.925E1)); +#4792=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4793=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4794=AXIS2_PLACEMENT_3D('',#4791,#4792,#4793); +#4796=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-1.925E1)); +#4797=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4798=DIRECTION('',(1.E0,0.E0,0.E0)); +#4799=AXIS2_PLACEMENT_3D('',#4796,#4797,#4798); +#4801=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-1.925E1)); +#4802=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4803=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4804=AXIS2_PLACEMENT_3D('',#4801,#4802,#4803); +#4806=CARTESIAN_POINT('',(-3.17E1,1.08E1,-1.925E1)); +#4807=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4808=DIRECTION('',(1.E0,0.E0,0.E0)); +#4809=AXIS2_PLACEMENT_3D('',#4806,#4807,#4808); +#4811=CARTESIAN_POINT('',(-3.17E1,1.08E1,-1.925E1)); +#4812=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4813=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4814=AXIS2_PLACEMENT_3D('',#4811,#4812,#4813); +#4816=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-1.925E1)); +#4817=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4818=DIRECTION('',(1.E0,0.E0,0.E0)); +#4819=AXIS2_PLACEMENT_3D('',#4816,#4817,#4818); +#4821=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-1.925E1)); +#4822=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4823=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4824=AXIS2_PLACEMENT_3D('',#4821,#4822,#4823); +#4826=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4827=VECTOR('',#4826,1.069322089535E0); +#4828=CARTESIAN_POINT('',(-2.97E1,6.669322089535E0,-1.925E1)); +#4829=LINE('',#4828,#4827); +#4830=CARTESIAN_POINT('',(-3.07E1,6.669322089535E0,-1.925E1)); +#4831=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4832=DIRECTION('',(-2.352941176471E-1,9.719242142270E-1,0.E0)); +#4833=AXIS2_PLACEMENT_3D('',#4830,#4831,#4832); +#4835=CARTESIAN_POINT('',(-3.17E1,1.08E1,-1.925E1)); +#4836=DIRECTION('',(0.E0,0.E0,1.E0)); +#4837=DIRECTION('',(-7.216024245880E-1,6.923076923079E-1,0.E0)); +#4838=AXIS2_PLACEMENT_3D('',#4835,#4836,#4837); +#4840=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4841=VECTOR('',#4840,4.690415759822E0); +#4842=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-1.925E1)); +#4843=LINE('',#4842,#4841); +#4844=CARTESIAN_POINT('',(-3.17E1,1.08E1,-1.925E1)); +#4845=DIRECTION('',(0.E0,0.E0,1.E0)); +#4846=DIRECTION('',(8.823529411764E-1,4.705882352943E-1,0.E0)); +#4847=AXIS2_PLACEMENT_3D('',#4844,#4845,#4846); +#4849=CARTESIAN_POINT('',(-2.795E1,1.28E1,-1.925E1)); +#4850=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4851=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4852=AXIS2_PLACEMENT_3D('',#4849,#4850,#4851); +#4854=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4855=VECTOR('',#4854,4.45E0); +#4856=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.925E1)); +#4857=LINE('',#4856,#4855); +#4858=DIRECTION('',(1.E0,0.E0,0.E0)); +#4859=VECTOR('',#4858,5.966703869234E0); +#4860=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-1.925E1)); +#4861=LINE('',#4860,#4859); +#4862=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-1.925E1)); +#4863=DIRECTION('',(0.E0,0.E0,1.E0)); +#4864=DIRECTION('',(-6.923076923077E-1,-7.216024245882E-1,0.E0)); +#4865=AXIS2_PLACEMENT_3D('',#4862,#4863,#4864); +#4867=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4868=VECTOR('',#4867,4.690415759823E0); +#4869=CARTESIAN_POINT('',(-3.545E1,-4.954792120089E0,-1.925E1)); +#4870=LINE('',#4869,#4868); +#4871=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-1.925E1)); +#4872=DIRECTION('',(0.E0,0.E0,1.E0)); +#4873=DIRECTION('',(0.E0,1.E0,0.E0)); +#4874=AXIS2_PLACEMENT_3D('',#4871,#4872,#4873); +#4876=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4877=VECTOR('',#4876,2.5E0); +#4878=CARTESIAN_POINT('',(-3.07E1,-4.050000000001E0,-1.925E1)); +#4879=LINE('',#4878,#4877); +#4880=CARTESIAN_POINT('',(-3.07E1,-3.050000000001E0,-1.925E1)); +#4881=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4882=DIRECTION('',(1.E0,0.E0,0.E0)); +#4883=AXIS2_PLACEMENT_3D('',#4880,#4881,#4882); +#4885=CARTESIAN_POINT('',(3.07E1,-3.050000000001E0,-1.925E1)); +#4886=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4887=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4888=AXIS2_PLACEMENT_3D('',#4885,#4886,#4887); +#4890=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4891=VECTOR('',#4890,2.5E0); +#4892=CARTESIAN_POINT('',(3.32E1,-4.050000000001E0,-1.925E1)); +#4893=LINE('',#4892,#4891); +#4894=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-1.925E1)); +#4895=DIRECTION('',(0.E0,0.E0,1.E0)); +#4896=DIRECTION('',(6.923076923077E-1,7.216024245882E-1,0.E0)); +#4897=AXIS2_PLACEMENT_3D('',#4894,#4895,#4896); +#4899=DIRECTION('',(0.E0,1.E0,0.E0)); +#4900=VECTOR('',#4899,4.690415759823E0); +#4901=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-1.925E1)); +#4902=LINE('',#4901,#4900); +#4903=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-1.925E1)); +#4904=DIRECTION('',(0.E0,0.E0,1.E0)); +#4905=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4906=AXIS2_PLACEMENT_3D('',#4903,#4904,#4905); +#4908=DIRECTION('',(1.E0,0.E0,0.E0)); +#4909=VECTOR('',#4908,5.966703869234E0); +#4910=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-1.925E1)); +#4911=LINE('',#4910,#4909); +#4912=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4913=VECTOR('',#4912,4.45E0); +#4914=CARTESIAN_POINT('',(2.795E1,1.18E1,-1.925E1)); +#4915=LINE('',#4914,#4913); +#4916=CARTESIAN_POINT('',(2.795E1,1.28E1,-1.925E1)); +#4917=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4918=DIRECTION('',(8.823529411764E-1,-4.705882352943E-1,0.E0)); +#4919=AXIS2_PLACEMENT_3D('',#4916,#4917,#4918); +#4921=CARTESIAN_POINT('',(3.17E1,1.08E1,-1.925E1)); +#4922=DIRECTION('',(0.E0,0.E0,1.E0)); +#4923=DIRECTION('',(-7.216024245880E-1,6.923076923079E-1,0.E0)); +#4924=AXIS2_PLACEMENT_3D('',#4921,#4922,#4923); +#4926=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4927=VECTOR('',#4926,4.690415759822E0); +#4928=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-1.925E1)); +#4929=LINE('',#4928,#4927); +#4930=CARTESIAN_POINT('',(3.17E1,1.08E1,-1.925E1)); +#4931=DIRECTION('',(0.E0,0.E0,1.E0)); +#4932=DIRECTION('',(-2.352941176471E-1,-9.719242142270E-1,0.E0)); +#4933=AXIS2_PLACEMENT_3D('',#4930,#4931,#4932); +#4935=CARTESIAN_POINT('',(3.07E1,6.669322089535E0,-1.925E1)); +#4936=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4937=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4938=AXIS2_PLACEMENT_3D('',#4935,#4936,#4937); +#4940=DIRECTION('',(0.E0,1.E0,0.E0)); +#4941=VECTOR('',#4940,1.069322089535E0); +#4942=CARTESIAN_POINT('',(2.97E1,5.6E0,-1.925E1)); +#4943=LINE('',#4942,#4941); +#4944=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4945=VECTOR('',#4944,1.E0); +#4946=CARTESIAN_POINT('',(3.2785E1,1.08E1,-1.925E1)); +#4947=LINE('',#4946,#4945); +#4948=DIRECTION('',(0.E0,0.E0,1.E0)); +#4949=VECTOR('',#4948,1.E0); +#4950=CARTESIAN_POINT('',(3.0615E1,1.08E1,-2.025E1)); +#4951=LINE('',#4950,#4949); +#4952=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.025E1)); +#4953=DIRECTION('',(0.E0,0.E0,1.E0)); +#4954=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4955=AXIS2_PLACEMENT_3D('',#4952,#4953,#4954); +#4957=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.025E1)); +#4958=DIRECTION('',(0.E0,0.E0,1.E0)); +#4959=DIRECTION('',(1.E0,0.E0,0.E0)); +#4960=AXIS2_PLACEMENT_3D('',#4957,#4958,#4959); +#4962=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.025E1)); +#4963=DIRECTION('',(0.E0,0.E0,1.E0)); +#4964=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4965=AXIS2_PLACEMENT_3D('',#4962,#4963,#4964); +#4967=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.025E1)); +#4968=DIRECTION('',(0.E0,0.E0,1.E0)); +#4969=DIRECTION('',(1.E0,0.E0,0.E0)); +#4970=AXIS2_PLACEMENT_3D('',#4967,#4968,#4969); +#4972=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.025E1)); +#4973=DIRECTION('',(0.E0,0.E0,1.E0)); +#4974=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4975=AXIS2_PLACEMENT_3D('',#4972,#4973,#4974); +#4977=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.025E1)); +#4978=DIRECTION('',(0.E0,0.E0,1.E0)); +#4979=DIRECTION('',(1.E0,0.E0,0.E0)); +#4980=AXIS2_PLACEMENT_3D('',#4977,#4978,#4979); +#4982=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.025E1)); +#4983=DIRECTION('',(0.E0,0.E0,1.E0)); +#4984=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4985=AXIS2_PLACEMENT_3D('',#4982,#4983,#4984); +#4987=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.025E1)); +#4988=DIRECTION('',(0.E0,0.E0,1.E0)); +#4989=DIRECTION('',(1.E0,0.E0,0.E0)); +#4990=AXIS2_PLACEMENT_3D('',#4987,#4988,#4989); +#4992=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4993=VECTOR('',#4992,1.E0); +#4994=CARTESIAN_POINT('',(-3.0615E1,1.08E1,-1.925E1)); +#4995=LINE('',#4994,#4993); +#4996=DIRECTION('',(0.E0,0.E0,1.E0)); +#4997=VECTOR('',#4996,1.E0); +#4998=CARTESIAN_POINT('',(-3.2785E1,1.08E1,-2.025E1)); +#4999=LINE('',#4998,#4997); +#5000=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5001=VECTOR('',#5000,1.E0); +#5002=CARTESIAN_POINT('',(-3.2115E1,-7.300000000001E0,-1.925E1)); +#5003=LINE('',#5002,#5001); +#5004=DIRECTION('',(0.E0,0.E0,1.E0)); +#5005=VECTOR('',#5004,1.E0); +#5006=CARTESIAN_POINT('',(-3.4285E1,-7.300000000001E0,-2.025E1)); +#5007=LINE('',#5006,#5005); +#5008=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5009=VECTOR('',#5008,1.E0); +#5010=CARTESIAN_POINT('',(3.4285E1,-7.300000000001E0,-1.925E1)); +#5011=LINE('',#5010,#5009); +#5012=DIRECTION('',(0.E0,0.E0,1.E0)); +#5013=VECTOR('',#5012,1.E0); +#5014=CARTESIAN_POINT('',(3.2115E1,-7.300000000001E0,-2.025E1)); +#5015=LINE('',#5014,#5013); +#5016=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5017=VECTOR('',#5016,1.119999999992E1); +#5018=CARTESIAN_POINT('',(-2.97E1,5.599999999969E0,-5.E-1)); +#5019=LINE('',#5018,#5017); +#5020=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5021=VECTOR('',#5020,2.549999999999E0); +#5022=CARTESIAN_POINT('',(-2.97E1,-3.050000000001E0,-1.925E1)); +#5023=LINE('',#5022,#5021); +#5024=DIRECTION('',(0.E0,0.E0,1.E0)); +#5025=VECTOR('',#5024,2.5E-1); +#5026=CARTESIAN_POINT('',(-2.97E1,-3.050000000001E0,-1.95E1)); +#5027=LINE('',#5026,#5025); +#5028=DIRECTION('',(0.E0,1.E0,0.E0)); +#5029=VECTOR('',#5028,8.650000000001E0); +#5030=CARTESIAN_POINT('',(-2.97E1,-3.050000000001E0,-1.95E1)); +#5031=LINE('',#5030,#5029); +#5032=DIRECTION('',(0.E0,-1.484772056150E-14,1.E0)); +#5033=VECTOR('',#5032,5.383725902028E-1); +#5034=CARTESIAN_POINT('',(-2.97E1,6.669322089535E0,-1.978837259020E1)); +#5035=LINE('',#5034,#5033); +#5036=DIRECTION('',(0.E0,1.667785909376E-12,-1.E0)); +#5037=VECTOR('',#5036,1.875E1); +#5038=CARTESIAN_POINT('',(-2.97E1,5.599999999969E0,-5.E-1)); +#5039=LINE('',#5038,#5037); +#5040=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-5.E-1)); +#5041=DIRECTION('',(0.E0,0.E0,1.E0)); +#5042=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5043=AXIS2_PLACEMENT_3D('',#5040,#5041,#5042); +#5045=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-1.95E1)); +#5046=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5047=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5048=AXIS2_PLACEMENT_3D('',#5045,#5046,#5047); +#5050=DIRECTION('',(0.E0,0.E0,1.E0)); +#5051=VECTOR('',#5050,2.5E-1); +#5052=CARTESIAN_POINT('',(-2.723329613077E1,-1.055E1,-1.95E1)); +#5053=LINE('',#5052,#5051); +#5054=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-1.925E1)); +#5055=DIRECTION('',(0.E0,0.E0,1.E0)); +#5056=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5057=AXIS2_PLACEMENT_3D('',#5054,#5055,#5056); +#5059=DIRECTION('',(0.E0,-2.347206873310E-12,-1.E0)); +#5060=VECTOR('',#5059,1.875E1); +#5061=CARTESIAN_POINT('',(-2.97E1,-5.599999999956E0,-5.E-1)); +#5062=LINE('',#5061,#5060); +#5063=DIRECTION('',(1.E0,0.E0,0.E0)); +#5064=VECTOR('',#5063,4.699999999992E1); +#5065=CARTESIAN_POINT('',(-2.349999999997E1,-1.18E1,-5.E-1)); +#5066=LINE('',#5065,#5064); +#5067=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5068=VECTOR('',#5067,4.699999999999E1); +#5069=CARTESIAN_POINT('',(2.349999999999E1,-1.18E1,-1.95E1)); +#5070=LINE('',#5069,#5068); +#5071=DIRECTION('',(-1.330210795315E-12,0.E0,-1.E0)); +#5072=VECTOR('',#5071,1.9E1); +#5073=CARTESIAN_POINT('',(-2.349999999997E1,-1.18E1,-5.E-1)); +#5074=LINE('',#5073,#5072); +#5075=CARTESIAN_POINT('',(2.35E1,-5.6E0,-5.E-1)); +#5076=DIRECTION('',(0.E0,0.E0,1.E0)); +#5077=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5078=AXIS2_PLACEMENT_3D('',#5075,#5076,#5077); +#5080=CARTESIAN_POINT('',(2.35E1,-5.6E0,-1.925E1)); +#5081=DIRECTION('',(0.E0,0.E0,1.E0)); +#5082=DIRECTION('',(6.021445372203E-1,-7.983870967743E-1,0.E0)); +#5083=AXIS2_PLACEMENT_3D('',#5080,#5081,#5082); +#5085=DIRECTION('',(0.E0,0.E0,1.E0)); +#5086=VECTOR('',#5085,2.5E-1); +#5087=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-1.95E1)); +#5088=LINE('',#5087,#5086); +#5089=CARTESIAN_POINT('',(2.35E1,-5.6E0,-1.95E1)); +#5090=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5091=DIRECTION('',(6.021445372203E-1,-7.983870967743E-1,0.E0)); +#5092=AXIS2_PLACEMENT_3D('',#5089,#5090,#5091); +#5094=DIRECTION('',(1.965959558890E-12,0.E0,-1.E0)); +#5095=VECTOR('',#5094,1.9E1); +#5096=CARTESIAN_POINT('',(2.349999999996E1,-1.18E1,-5.E-1)); +#5097=LINE('',#5096,#5095); +#5098=DIRECTION('',(0.E0,0.E0,1.E0)); +#5099=VECTOR('',#5098,7.5E-1); +#5100=CARTESIAN_POINT('',(3.32E1,-1.055E1,-2.E1)); +#5101=LINE('',#5100,#5099); +#5102=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.E1)); +#5103=DIRECTION('',(0.E0,0.E0,1.E0)); +#5104=DIRECTION('',(0.E0,1.E0,0.E0)); +#5105=AXIS2_PLACEMENT_3D('',#5102,#5103,#5104); +#5107=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5108=VECTOR('',#5107,6.69E1); +#5109=CARTESIAN_POINT('',(3.345E1,1.605E1,-2.E1)); +#5110=LINE('',#5109,#5108); +#5111=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.E1)); +#5112=DIRECTION('',(0.E0,0.E0,1.E0)); +#5113=DIRECTION('',(1.E0,0.E0,0.E0)); +#5114=AXIS2_PLACEMENT_3D('',#5111,#5112,#5113); +#5116=DIRECTION('',(0.E0,1.E0,0.E0)); +#5117=VECTOR('',#5116,2.21E1); +#5118=CARTESIAN_POINT('',(3.845E1,-1.105E1,-2.E1)); +#5119=LINE('',#5118,#5117); +#5120=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.E1)); +#5121=DIRECTION('',(0.E0,0.E0,1.E0)); +#5122=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5123=AXIS2_PLACEMENT_3D('',#5120,#5121,#5122); +#5125=DIRECTION('',(1.E0,0.E0,0.E0)); +#5126=VECTOR('',#5125,6.69E1); +#5127=CARTESIAN_POINT('',(-3.345E1,-1.605E1,-2.E1)); +#5128=LINE('',#5127,#5126); +#5129=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.E1)); +#5130=DIRECTION('',(0.E0,0.E0,1.E0)); +#5131=DIRECTION('',(-1.E0,1.350031197944E-14,0.E0)); +#5132=AXIS2_PLACEMENT_3D('',#5129,#5130,#5131); +#5134=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5135=VECTOR('',#5134,2.21E1); +#5136=CARTESIAN_POINT('',(-3.845E1,1.105E1,-2.E1)); +#5137=LINE('',#5136,#5135); +#5138=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#5139=DIRECTION('',(0.E0,0.E0,1.E0)); +#5140=DIRECTION('',(-2.352941176470E-1,-9.719242142270E-1,0.E0)); +#5141=AXIS2_PLACEMENT_3D('',#5138,#5139,#5140); +#5143=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5144=VECTOR('',#5143,4.690415759822E0); +#5145=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-2.E1)); +#5146=LINE('',#5145,#5144); +#5147=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#5148=DIRECTION('',(0.E0,0.E0,1.E0)); +#5149=DIRECTION('',(-7.216024245880E-1,6.923076923079E-1,0.E0)); +#5150=AXIS2_PLACEMENT_3D('',#5147,#5148,#5149); +#5152=CARTESIAN_POINT('',(2.795E1,1.28E1,-2.E1)); +#5153=DIRECTION('',(0.E0,0.E0,1.E0)); +#5154=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5155=AXIS2_PLACEMENT_3D('',#5152,#5153,#5154); +#5157=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5158=VECTOR('',#5157,1.910314980160E0); +#5159=CARTESIAN_POINT('',(2.795E1,1.18E1,-2.E1)); +#5160=LINE('',#5159,#5158); +#5161=CARTESIAN_POINT('',(2.35E1,5.6E0,-2.E1)); +#5162=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5163=DIRECTION('',(0.E0,1.E0,0.E0)); +#5164=AXIS2_PLACEMENT_3D('',#5161,#5162,#5163); +#5166=DIRECTION('',(1.E0,0.E0,0.E0)); +#5167=VECTOR('',#5166,4.7E1); +#5168=CARTESIAN_POINT('',(-2.35E1,1.23E1,-2.E1)); +#5169=LINE('',#5168,#5167); +#5170=CARTESIAN_POINT('',(-2.35E1,5.6E0,-2.E1)); +#5171=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5172=DIRECTION('',(-3.790574656478E-1,9.253731343284E-1,0.E0)); +#5173=AXIS2_PLACEMENT_3D('',#5170,#5171,#5172); +#5175=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5176=VECTOR('',#5175,1.910314980160E0); +#5177=CARTESIAN_POINT('',(-2.603968501984E1,1.18E1,-2.E1)); +#5178=LINE('',#5177,#5176); +#5179=CARTESIAN_POINT('',(-2.795E1,1.28E1,-2.E1)); +#5180=DIRECTION('',(0.E0,0.E0,1.E0)); +#5181=DIRECTION('',(-8.823529411764E-1,-4.705882352943E-1,0.E0)); +#5182=AXIS2_PLACEMENT_3D('',#5179,#5180,#5181); +#5184=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#5185=DIRECTION('',(0.E0,0.E0,1.E0)); +#5186=DIRECTION('',(8.823529411764E-1,4.705882352943E-1,0.E0)); +#5187=AXIS2_PLACEMENT_3D('',#5184,#5185,#5186); +#5189=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5190=VECTOR('',#5189,4.690415759822E0); +#5191=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-2.E1)); +#5192=LINE('',#5191,#5190); +#5193=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#5194=DIRECTION('',(0.E0,0.E0,1.E0)); +#5195=DIRECTION('',(-7.216024245880E-1,6.923076923079E-1,0.E0)); +#5196=AXIS2_PLACEMENT_3D('',#5193,#5194,#5195); +#5198=CARTESIAN_POINT('',(-3.07E1,6.669322089535E0,-2.E1)); +#5199=DIRECTION('',(0.E0,0.E0,1.E0)); +#5200=DIRECTION('',(7.325819197845E-1,6.806788749512E-1,0.E0)); +#5201=AXIS2_PLACEMENT_3D('',#5198,#5199,#5200); +#5203=CARTESIAN_POINT('',(-2.35E1,5.6E0,-2.E1)); +#5204=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5205=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5206=AXIS2_PLACEMENT_3D('',#5203,#5204,#5205); +#5208=DIRECTION('',(2.538712539190E-14,1.E0,0.E0)); +#5209=VECTOR('',#5208,9.516025403785E0); +#5210=CARTESIAN_POINT('',(-3.02E1,-3.916025403785E0,-2.E1)); +#5211=LINE('',#5210,#5209); +#5212=CARTESIAN_POINT('',(-3.07E1,-3.050000000001E0,-2.E1)); +#5213=DIRECTION('',(0.E0,0.E0,1.E0)); +#5214=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5215=AXIS2_PLACEMENT_3D('',#5212,#5213,#5214); +#5217=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5218=VECTOR('',#5217,2.5E0); +#5219=CARTESIAN_POINT('',(-3.07E1,-4.050000000001E0,-2.E1)); +#5220=LINE('',#5219,#5218); +#5221=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.E1)); +#5222=DIRECTION('',(0.E0,0.E0,1.E0)); +#5223=DIRECTION('',(0.E0,1.E0,0.E0)); +#5224=AXIS2_PLACEMENT_3D('',#5221,#5222,#5223); +#5226=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5227=VECTOR('',#5226,4.690415759823E0); +#5228=CARTESIAN_POINT('',(-3.545E1,-4.954792120089E0,-2.E1)); +#5229=LINE('',#5228,#5227); +#5230=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.E1)); +#5231=DIRECTION('',(0.E0,0.E0,1.E0)); +#5232=DIRECTION('',(-6.923076923077E-1,-7.216024245882E-1,0.E0)); +#5233=AXIS2_PLACEMENT_3D('',#5230,#5231,#5232); +#5235=DIRECTION('',(1.E0,0.E0,0.E0)); +#5236=VECTOR('',#5235,5.184748069045E0); +#5237=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-2.E1)); +#5238=LINE('',#5237,#5236); +#5239=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-2.E1)); +#5240=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5241=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5242=AXIS2_PLACEMENT_3D('',#5239,#5240,#5241); +#5244=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5245=VECTOR('',#5244,4.7E1); +#5246=CARTESIAN_POINT('',(2.35E1,-1.23E1,-2.E1)); +#5247=LINE('',#5246,#5245); +#5248=CARTESIAN_POINT('',(2.35E1,-5.6E0,-2.E1)); +#5249=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5250=DIRECTION('',(6.739181986500E-1,-7.388059701494E-1,0.E0)); +#5251=AXIS2_PLACEMENT_3D('',#5248,#5249,#5250); +#5253=DIRECTION('',(1.E0,0.E0,0.E0)); +#5254=VECTOR('',#5253,5.184748069045E0); +#5255=CARTESIAN_POINT('',(2.801525193095E1,-1.055E1,-2.E1)); +#5256=LINE('',#5255,#5254); +#5257=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.E1)); +#5258=DIRECTION('',(0.E0,0.E0,1.E0)); +#5259=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5260=AXIS2_PLACEMENT_3D('',#5257,#5258,#5259); +#5262=DIRECTION('',(0.E0,1.E0,0.E0)); +#5263=VECTOR('',#5262,4.690415759823E0); +#5264=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-2.E1)); +#5265=LINE('',#5264,#5263); +#5266=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.E1)); +#5267=DIRECTION('',(0.E0,0.E0,1.E0)); +#5268=DIRECTION('',(6.923076923077E-1,7.216024245882E-1,0.E0)); +#5269=AXIS2_PLACEMENT_3D('',#5266,#5267,#5268); +#5271=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5272=VECTOR('',#5271,2.5E0); +#5273=CARTESIAN_POINT('',(3.32E1,-4.050000000001E0,-2.E1)); +#5274=LINE('',#5273,#5272); +#5275=CARTESIAN_POINT('',(3.07E1,-3.050000000001E0,-2.E1)); +#5276=DIRECTION('',(0.E0,0.E0,1.E0)); +#5277=DIRECTION('',(-5.E-1,-8.660254037844E-1,0.E0)); +#5278=AXIS2_PLACEMENT_3D('',#5275,#5276,#5277); +#5280=DIRECTION('',(3.136056666059E-14,-1.E0,0.E0)); +#5281=VECTOR('',#5280,9.516025403785E0); +#5282=CARTESIAN_POINT('',(3.02E1,5.6E0,-2.E1)); +#5283=LINE('',#5282,#5281); +#5284=CARTESIAN_POINT('',(2.35E1,5.6E0,-2.E1)); +#5285=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5286=DIRECTION('',(9.652862806292E-1,2.611941738039E-1,0.E0)); +#5287=AXIS2_PLACEMENT_3D('',#5284,#5285,#5286); +#5289=CARTESIAN_POINT('',(3.07E1,6.669322089535E0,-2.E1)); +#5290=DIRECTION('',(0.E0,0.E0,1.E0)); +#5291=DIRECTION('',(2.352941176471E-1,9.719242142269E-1,0.E0)); +#5292=AXIS2_PLACEMENT_3D('',#5289,#5290,#5291); +#5294=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.E1)); +#5295=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5296=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5297=AXIS2_PLACEMENT_3D('',#5294,#5295,#5296); +#5299=DIRECTION('',(1.E0,0.E0,0.E0)); +#5300=VECTOR('',#5299,6.69E1); +#5301=CARTESIAN_POINT('',(-3.345E1,1.335E1,-2.E1)); +#5302=LINE('',#5301,#5300); +#5303=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.E1)); +#5304=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5305=DIRECTION('',(1.235726496974E-14,1.E0,0.E0)); +#5306=AXIS2_PLACEMENT_3D('',#5303,#5304,#5305); +#5308=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5309=VECTOR('',#5308,2.21E1); +#5310=CARTESIAN_POINT('',(3.575E1,1.105E1,-2.E1)); +#5311=LINE('',#5310,#5309); +#5312=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.E1)); +#5313=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5314=DIRECTION('',(1.E0,0.E0,0.E0)); +#5315=AXIS2_PLACEMENT_3D('',#5312,#5313,#5314); +#5317=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5318=VECTOR('',#5317,6.69E1); +#5319=CARTESIAN_POINT('',(3.345E1,-1.335E1,-2.E1)); +#5320=LINE('',#5319,#5318); +#5321=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.E1)); +#5322=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5323=DIRECTION('',(-1.235726496974E-14,-1.E0,0.E0)); +#5324=AXIS2_PLACEMENT_3D('',#5321,#5322,#5323); +#5326=DIRECTION('',(0.E0,1.E0,0.E0)); +#5327=VECTOR('',#5326,2.21E1); +#5328=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-2.E1)); +#5329=LINE('',#5328,#5327); +#5330=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.E1)); +#5331=DIRECTION('',(0.E0,0.E0,1.E0)); +#5332=DIRECTION('',(0.E0,1.E0,0.E0)); +#5333=AXIS2_PLACEMENT_3D('',#5330,#5331,#5332); +#5335=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5336=VECTOR('',#5335,2.21E1); +#5337=CARTESIAN_POINT('',(-3.835E1,1.105E1,-2.E1)); +#5338=LINE('',#5337,#5336); +#5339=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.E1)); +#5340=DIRECTION('',(0.E0,0.E0,1.E0)); +#5341=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5342=AXIS2_PLACEMENT_3D('',#5339,#5340,#5341); +#5344=DIRECTION('',(1.E0,0.E0,0.E0)); +#5345=VECTOR('',#5344,6.69E1); +#5346=CARTESIAN_POINT('',(-3.345E1,-1.595E1,-2.E1)); +#5347=LINE('',#5346,#5345); +#5348=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.E1)); +#5349=DIRECTION('',(0.E0,0.E0,1.E0)); +#5350=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5351=AXIS2_PLACEMENT_3D('',#5348,#5349,#5350); +#5353=DIRECTION('',(0.E0,1.E0,0.E0)); +#5354=VECTOR('',#5353,2.21E1); +#5355=CARTESIAN_POINT('',(3.835E1,-1.105E1,-2.E1)); +#5356=LINE('',#5355,#5354); +#5357=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.E1)); +#5358=DIRECTION('',(0.E0,0.E0,1.E0)); +#5359=DIRECTION('',(1.E0,0.E0,0.E0)); +#5360=AXIS2_PLACEMENT_3D('',#5357,#5358,#5359); +#5362=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5363=VECTOR('',#5362,6.69E1); +#5364=CARTESIAN_POINT('',(3.345E1,1.595E1,-2.E1)); +#5365=LINE('',#5364,#5363); +#5366=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.15E1)); +#5367=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5368=DIRECTION('',(-9.949874371066E-1,9.999999999986E-2,0.E0)); +#5369=AXIS2_PLACEMENT_3D('',#5366,#5367,#5368); +#5371=DIRECTION('',(4.578263694081E-10,0.E0,-1.E0)); +#5372=VECTOR('',#5371,1.5E0); +#5373=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.E1)); +#5374=LINE('',#5373,#5372); +#5375=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5376=VECTOR('',#5375,8.5E0); +#5377=CARTESIAN_POINT('',(-3.845E1,1.105E1,-2.E1)); +#5378=LINE('',#5377,#5376); +#5379=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.15E1)); +#5380=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.155383736937E1)); +#5381=CARTESIAN_POINT('',(-3.840847166685E1,1.155E1,-2.165581896692E1)); +#5382=CARTESIAN_POINT('',(-3.833565989918E1,1.155E1,-2.179888295317E1)); +#5383=CARTESIAN_POINT('',(-3.822366888325E1,1.155E1,-2.191056764720E1)); +#5384=CARTESIAN_POINT('',(-3.807946135424E1,1.155E1,-2.198349656249E1)); +#5385=CARTESIAN_POINT('',(-3.797652769788E1,1.155E1,-2.2E1)); +#5386=CARTESIAN_POINT('',(-3.792213595500E1,1.155E1,-2.2E1)); +#5388=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5389=VECTOR('',#5388,1.4E1); +#5390=CARTESIAN_POINT('',(-2.47E1,7.E0,-2.2E1)); +#5391=LINE('',#5390,#5389); +#5392=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5393=VECTOR('',#5392,4.94E1); +#5394=CARTESIAN_POINT('',(2.47E1,7.E0,-2.2E1)); +#5395=LINE('',#5394,#5393); +#5396=DIRECTION('',(0.E0,1.E0,0.E0)); +#5397=VECTOR('',#5396,1.4E1); +#5398=CARTESIAN_POINT('',(2.47E1,-7.E0,-2.2E1)); +#5399=LINE('',#5398,#5397); +#5400=DIRECTION('',(1.E0,0.E0,0.E0)); +#5401=VECTOR('',#5400,4.94E1); +#5402=CARTESIAN_POINT('',(-2.47E1,-7.E0,-2.2E1)); +#5403=LINE('',#5402,#5401); +#5404=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5405=VECTOR('',#5404,1.66E1); +#5406=CARTESIAN_POINT('',(3.795E1,1.005E1,-2.2E1)); +#5407=LINE('',#5406,#5405); +#5408=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5409=VECTOR('',#5408,2.653126357515E0); +#5410=CARTESIAN_POINT('',(3.795E1,1.005E1,-2.2E1)); +#5411=LINE('',#5410,#5409); +#5412=CARTESIAN_POINT('',(3.529687364248E1,9.049999999999E0,-2.2E1)); +#5413=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5414=DIRECTION('',(-8.992184106210E-1,4.375000000002E-1,0.E0)); +#5415=AXIS2_PLACEMENT_3D('',#5412,#5413,#5414); +#5417=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.2E1)); +#5418=DIRECTION('',(0.E0,0.E0,1.E0)); +#5419=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5420=AXIS2_PLACEMENT_3D('',#5417,#5418,#5419); +#5422=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.2E1)); +#5423=DIRECTION('',(0.E0,0.E0,1.E0)); +#5424=DIRECTION('',(8.992184106211E-1,4.375E-1,0.E0)); +#5425=AXIS2_PLACEMENT_3D('',#5422,#5423,#5424); +#5427=CARTESIAN_POINT('',(3.529687364248E1,1.255E1,-2.2E1)); +#5428=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5429=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5430=AXIS2_PLACEMENT_3D('',#5427,#5428,#5429); +#5432=DIRECTION('',(1.E0,0.E0,0.E0)); +#5433=VECTOR('',#5432,2.625262312515E0); +#5434=CARTESIAN_POINT('',(3.529687364248E1,1.155E1,-2.2E1)); +#5435=LINE('',#5434,#5433); +#5436=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.2E1)); +#5437=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5438=DIRECTION('',(0.E0,1.E0,0.E0)); +#5439=AXIS2_PLACEMENT_3D('',#5436,#5437,#5438); +#5441=DIRECTION('',(1.E0,0.E0,0.E0)); +#5442=VECTOR('',#5441,6.69E1); +#5443=CARTESIAN_POINT('',(-3.345E1,1.555E1,-2.2E1)); +#5444=LINE('',#5443,#5442); +#5445=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.2E1)); +#5446=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5447=DIRECTION('',(-9.938079899999E-1,1.111111111110E-1,0.E0)); +#5448=AXIS2_PLACEMENT_3D('',#5445,#5446,#5447); +#5450=DIRECTION('',(1.E0,0.E0,0.E0)); +#5451=VECTOR('',#5450,2.625262312515E0); +#5452=CARTESIAN_POINT('',(-3.792213595500E1,1.155E1,-2.2E1)); +#5453=LINE('',#5452,#5451); +#5454=CARTESIAN_POINT('',(-3.529687364248E1,1.255E1,-2.2E1)); +#5455=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5456=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#5457=AXIS2_PLACEMENT_3D('',#5454,#5455,#5456); +#5459=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.2E1)); +#5460=DIRECTION('',(0.E0,0.E0,1.E0)); +#5461=DIRECTION('',(1.E0,0.E0,0.E0)); +#5462=AXIS2_PLACEMENT_3D('',#5459,#5460,#5461); +#5464=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.2E1)); +#5465=DIRECTION('',(0.E0,0.E0,1.E0)); +#5466=DIRECTION('',(-8.992184106211E-1,-4.375E-1,0.E0)); +#5467=AXIS2_PLACEMENT_3D('',#5464,#5465,#5466); +#5469=CARTESIAN_POINT('',(-3.529687364248E1,9.049999999999E0,-2.2E1)); +#5470=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5471=DIRECTION('',(1.421085471520E-14,1.E0,0.E0)); +#5472=AXIS2_PLACEMENT_3D('',#5469,#5470,#5471); +#5474=DIRECTION('',(1.E0,0.E0,0.E0)); +#5475=VECTOR('',#5474,2.653126357515E0); +#5476=CARTESIAN_POINT('',(-3.795E1,1.005E1,-2.2E1)); +#5477=LINE('',#5476,#5475); +#5478=DIRECTION('',(0.E0,1.E0,0.E0)); +#5479=VECTOR('',#5478,1.66E1); +#5480=CARTESIAN_POINT('',(-3.795E1,-6.550000000001E0,-2.2E1)); +#5481=LINE('',#5480,#5479); +#5482=DIRECTION('',(1.E0,0.E0,0.E0)); +#5483=VECTOR('',#5482,1.153126357515E0); +#5484=CARTESIAN_POINT('',(-3.795E1,-6.550000000001E0,-2.2E1)); +#5485=LINE('',#5484,#5483); +#5486=CARTESIAN_POINT('',(-3.679687364248E1,-5.550000000001E0,-2.2E1)); +#5487=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5488=DIRECTION('',(8.992184106211E-1,-4.375E-1,0.E0)); +#5489=AXIS2_PLACEMENT_3D('',#5486,#5487,#5488); +#5491=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.2E1)); +#5492=DIRECTION('',(0.E0,0.E0,1.E0)); +#5493=DIRECTION('',(1.E0,0.E0,0.E0)); +#5494=AXIS2_PLACEMENT_3D('',#5491,#5492,#5493); +#5496=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.2E1)); +#5497=DIRECTION('',(0.E0,0.E0,1.E0)); +#5498=DIRECTION('',(-8.992184106211E-1,-4.375000000001E-1,0.E0)); +#5499=AXIS2_PLACEMENT_3D('',#5496,#5497,#5498); +#5501=CARTESIAN_POINT('',(-3.679687364248E1,-9.050000000001E0,-2.2E1)); +#5502=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5503=DIRECTION('',(0.E0,1.E0,0.E0)); +#5504=AXIS2_PLACEMENT_3D('',#5501,#5502,#5503); +#5506=DIRECTION('',(1.E0,0.E0,0.E0)); +#5507=VECTOR('',#5506,1.153126357515E0); +#5508=CARTESIAN_POINT('',(-3.795E1,-8.050000000001E0,-2.2E1)); +#5509=LINE('',#5508,#5507); +#5510=DIRECTION('',(0.E0,1.E0,0.E0)); +#5511=VECTOR('',#5510,2.999999999999E0); +#5512=CARTESIAN_POINT('',(-3.795E1,-1.105E1,-2.2E1)); +#5513=LINE('',#5512,#5511); +#5514=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.2E1)); +#5515=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5516=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5517=AXIS2_PLACEMENT_3D('',#5514,#5515,#5516); +#5519=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5520=VECTOR('',#5519,6.69E1); +#5521=CARTESIAN_POINT('',(3.345E1,-1.555E1,-2.2E1)); +#5522=LINE('',#5521,#5520); +#5523=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.2E1)); +#5524=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5525=DIRECTION('',(1.E0,0.E0,0.E0)); +#5526=AXIS2_PLACEMENT_3D('',#5523,#5524,#5525); +#5528=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5529=VECTOR('',#5528,2.999999999999E0); +#5530=CARTESIAN_POINT('',(3.795E1,-8.050000000001E0,-2.2E1)); +#5531=LINE('',#5530,#5529); +#5532=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5533=VECTOR('',#5532,1.153126357515E0); +#5534=CARTESIAN_POINT('',(3.795E1,-8.050000000001E0,-2.2E1)); +#5535=LINE('',#5534,#5533); +#5536=CARTESIAN_POINT('',(3.679687364248E1,-9.050000000001E0,-2.2E1)); +#5537=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5538=DIRECTION('',(-8.992184106211E-1,4.375E-1,0.E0)); +#5539=AXIS2_PLACEMENT_3D('',#5536,#5537,#5538); +#5541=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.2E1)); +#5542=DIRECTION('',(0.E0,0.E0,1.E0)); +#5543=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5544=AXIS2_PLACEMENT_3D('',#5541,#5542,#5543); +#5546=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.2E1)); +#5547=DIRECTION('',(0.E0,0.E0,1.E0)); +#5548=DIRECTION('',(8.992184106211E-1,4.375000000001E-1,0.E0)); +#5549=AXIS2_PLACEMENT_3D('',#5546,#5547,#5548); +#5551=CARTESIAN_POINT('',(3.679687364248E1,-5.550000000001E0,-2.2E1)); +#5552=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5553=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5554=AXIS2_PLACEMENT_3D('',#5551,#5552,#5553); +#5556=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5557=VECTOR('',#5556,1.153126357515E0); +#5558=CARTESIAN_POINT('',(3.795E1,-6.550000000001E0,-2.2E1)); +#5559=LINE('',#5558,#5557); +#5560=DIRECTION('',(0.E0,1.E0,0.E0)); +#5561=VECTOR('',#5560,9.E0); +#5562=CARTESIAN_POINT('',(-2.47E1,-4.5E0,-2.6E1)); +#5563=LINE('',#5562,#5561); +#5564=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5565=VECTOR('',#5564,1.E0); +#5566=CARTESIAN_POINT('',(-2.47E1,7.E0,-2.2E1)); +#5567=LINE('',#5566,#5565); +#5568=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5569=VECTOR('',#5568,3.E0); +#5570=CARTESIAN_POINT('',(-2.47E1,-4.5E0,-2.3E1)); +#5571=LINE('',#5570,#5569); +#5572=CARTESIAN_POINT('',(2.E0,4.E0,-2.6E1)); +#5573=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5574=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5575=AXIS2_PLACEMENT_3D('',#5572,#5573,#5574); +#5577=DIRECTION('',(1.E0,0.E0,0.E0)); +#5578=VECTOR('',#5577,1.171572875254E0); +#5579=CARTESIAN_POINT('',(-5.857864376269E-1,4.5E0,-2.6E1)); +#5580=LINE('',#5579,#5578); +#5581=CARTESIAN_POINT('',(-2.E0,4.E0,-2.6E1)); +#5582=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5583=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5584=AXIS2_PLACEMENT_3D('',#5581,#5582,#5583); +#5586=DIRECTION('',(1.E0,0.E0,0.E0)); +#5587=VECTOR('',#5586,1.171572875254E0); +#5588=CARTESIAN_POINT('',(-4.585786437627E0,4.5E0,-2.6E1)); +#5589=LINE('',#5588,#5587); +#5590=CARTESIAN_POINT('',(-6.E0,4.E0,-2.6E1)); +#5591=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5592=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5593=AXIS2_PLACEMENT_3D('',#5590,#5591,#5592); +#5595=DIRECTION('',(1.E0,0.E0,0.E0)); +#5596=VECTOR('',#5595,1.171572875254E0); +#5597=CARTESIAN_POINT('',(-8.585786437627E0,4.5E0,-2.6E1)); +#5598=LINE('',#5597,#5596); +#5599=CARTESIAN_POINT('',(-1.E1,4.E0,-2.6E1)); +#5600=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5601=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5602=AXIS2_PLACEMENT_3D('',#5599,#5600,#5601); +#5604=DIRECTION('',(1.E0,0.E0,0.E0)); +#5605=VECTOR('',#5604,1.171572875253E0); +#5606=CARTESIAN_POINT('',(-1.258578643763E1,4.5E0,-2.6E1)); +#5607=LINE('',#5606,#5605); +#5608=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.6E1)); +#5609=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5610=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5611=AXIS2_PLACEMENT_3D('',#5608,#5609,#5610); +#5613=DIRECTION('',(1.E0,0.E0,0.E0)); +#5614=VECTOR('',#5613,1.171572875254E0); +#5615=CARTESIAN_POINT('',(-1.658578643763E1,4.5E0,-2.6E1)); +#5616=LINE('',#5615,#5614); +#5617=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.6E1)); +#5618=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5619=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5620=AXIS2_PLACEMENT_3D('',#5617,#5618,#5619); +#5622=DIRECTION('',(1.E0,0.E0,0.E0)); +#5623=VECTOR('',#5622,1.171572875254E0); +#5624=CARTESIAN_POINT('',(-2.058578643763E1,4.5E0,-2.6E1)); +#5625=LINE('',#5624,#5623); +#5626=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.6E1)); +#5627=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5628=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5629=AXIS2_PLACEMENT_3D('',#5626,#5627,#5628); +#5631=DIRECTION('',(1.E0,0.E0,0.E0)); +#5632=VECTOR('',#5631,1.285786437627E0); +#5633=CARTESIAN_POINT('',(-2.47E1,4.5E0,-2.6E1)); +#5634=LINE('',#5633,#5632); +#5635=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5636=VECTOR('',#5635,1.285786437627E0); +#5637=CARTESIAN_POINT('',(-2.341421356237E1,-4.5E0,-2.6E1)); +#5638=LINE('',#5637,#5636); +#5639=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.6E1)); +#5640=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5641=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5642=AXIS2_PLACEMENT_3D('',#5639,#5640,#5641); +#5644=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5645=VECTOR('',#5644,1.171572875254E0); +#5646=CARTESIAN_POINT('',(-1.941421356237E1,-4.5E0,-2.6E1)); +#5647=LINE('',#5646,#5645); +#5648=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.6E1)); +#5649=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5650=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5651=AXIS2_PLACEMENT_3D('',#5648,#5649,#5650); +#5653=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5654=VECTOR('',#5653,1.171572875254E0); +#5655=CARTESIAN_POINT('',(-1.541421356237E1,-4.5E0,-2.6E1)); +#5656=LINE('',#5655,#5654); +#5657=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.6E1)); +#5658=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5659=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5660=AXIS2_PLACEMENT_3D('',#5657,#5658,#5659); +#5662=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5663=VECTOR('',#5662,1.171572875253E0); +#5664=CARTESIAN_POINT('',(-1.141421356237E1,-4.5E0,-2.6E1)); +#5665=LINE('',#5664,#5663); +#5666=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.6E1)); +#5667=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5668=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5669=AXIS2_PLACEMENT_3D('',#5666,#5667,#5668); +#5671=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5672=VECTOR('',#5671,1.171572875254E0); +#5673=CARTESIAN_POINT('',(-7.414213562373E0,-4.5E0,-2.6E1)); +#5674=LINE('',#5673,#5672); +#5675=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.6E1)); +#5676=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5677=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5678=AXIS2_PLACEMENT_3D('',#5675,#5676,#5677); +#5680=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5681=VECTOR('',#5680,1.171572875254E0); +#5682=CARTESIAN_POINT('',(-3.414213562373E0,-4.5E0,-2.6E1)); +#5683=LINE('',#5682,#5681); +#5684=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.6E1)); +#5685=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5686=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5687=AXIS2_PLACEMENT_3D('',#5684,#5685,#5686); +#5689=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5690=VECTOR('',#5689,1.171572875254E0); +#5691=CARTESIAN_POINT('',(5.857864376269E-1,-4.5E0,-2.6E1)); +#5692=LINE('',#5691,#5690); +#5693=CARTESIAN_POINT('',(2.E0,-4.E0,-2.6E1)); +#5694=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5695=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5696=AXIS2_PLACEMENT_3D('',#5693,#5694,#5695); +#5698=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5699=VECTOR('',#5698,1.171572875254E0); +#5700=CARTESIAN_POINT('',(4.585786437627E0,-4.5E0,-2.6E1)); +#5701=LINE('',#5700,#5699); +#5702=CARTESIAN_POINT('',(6.E0,-4.E0,-2.6E1)); +#5703=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5704=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5705=AXIS2_PLACEMENT_3D('',#5702,#5703,#5704); +#5707=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5708=VECTOR('',#5707,1.171572875254E0); +#5709=CARTESIAN_POINT('',(8.585786437627E0,-4.5E0,-2.6E1)); +#5710=LINE('',#5709,#5708); +#5711=CARTESIAN_POINT('',(1.E1,-4.E0,-2.6E1)); +#5712=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5713=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5714=AXIS2_PLACEMENT_3D('',#5711,#5712,#5713); +#5716=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5717=VECTOR('',#5716,1.171572875253E0); +#5718=CARTESIAN_POINT('',(1.258578643763E1,-4.5E0,-2.6E1)); +#5719=LINE('',#5718,#5717); +#5720=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.6E1)); +#5721=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5722=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5723=AXIS2_PLACEMENT_3D('',#5720,#5721,#5722); +#5725=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5726=VECTOR('',#5725,1.171572875254E0); +#5727=CARTESIAN_POINT('',(1.658578643763E1,-4.5E0,-2.6E1)); +#5728=LINE('',#5727,#5726); +#5729=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.6E1)); +#5730=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5731=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5732=AXIS2_PLACEMENT_3D('',#5729,#5730,#5731); +#5734=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5735=VECTOR('',#5734,1.171572875254E0); +#5736=CARTESIAN_POINT('',(2.058578643763E1,-4.5E0,-2.6E1)); +#5737=LINE('',#5736,#5735); +#5738=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.6E1)); +#5739=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5740=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#5741=AXIS2_PLACEMENT_3D('',#5738,#5739,#5740); +#5743=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5744=VECTOR('',#5743,1.285786437627E0); +#5745=CARTESIAN_POINT('',(2.47E1,-4.5E0,-2.6E1)); +#5746=LINE('',#5745,#5744); +#5747=DIRECTION('',(1.E0,0.E0,0.E0)); +#5748=VECTOR('',#5747,1.285786437627E0); +#5749=CARTESIAN_POINT('',(2.341421356237E1,4.5E0,-2.6E1)); +#5750=LINE('',#5749,#5748); +#5751=CARTESIAN_POINT('',(2.2E1,4.E0,-2.6E1)); +#5752=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5753=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5754=AXIS2_PLACEMENT_3D('',#5751,#5752,#5753); +#5756=DIRECTION('',(1.E0,0.E0,0.E0)); +#5757=VECTOR('',#5756,1.171572875254E0); +#5758=CARTESIAN_POINT('',(1.941421356237E1,4.5E0,-2.6E1)); +#5759=LINE('',#5758,#5757); +#5760=CARTESIAN_POINT('',(1.8E1,4.E0,-2.6E1)); +#5761=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5762=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5763=AXIS2_PLACEMENT_3D('',#5760,#5761,#5762); +#5765=DIRECTION('',(1.E0,0.E0,0.E0)); +#5766=VECTOR('',#5765,1.171572875254E0); +#5767=CARTESIAN_POINT('',(1.541421356237E1,4.5E0,-2.6E1)); +#5768=LINE('',#5767,#5766); +#5769=CARTESIAN_POINT('',(1.4E1,4.E0,-2.6E1)); +#5770=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5771=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5772=AXIS2_PLACEMENT_3D('',#5769,#5770,#5771); +#5774=DIRECTION('',(1.E0,0.E0,0.E0)); +#5775=VECTOR('',#5774,1.171572875253E0); +#5776=CARTESIAN_POINT('',(1.141421356237E1,4.5E0,-2.6E1)); +#5777=LINE('',#5776,#5775); +#5778=CARTESIAN_POINT('',(1.E1,4.E0,-2.6E1)); +#5779=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5780=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5781=AXIS2_PLACEMENT_3D('',#5778,#5779,#5780); +#5783=DIRECTION('',(1.E0,0.E0,0.E0)); +#5784=VECTOR('',#5783,1.171572875254E0); +#5785=CARTESIAN_POINT('',(7.414213562373E0,4.5E0,-2.6E1)); +#5786=LINE('',#5785,#5784); +#5787=CARTESIAN_POINT('',(6.E0,4.E0,-2.6E1)); +#5788=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5789=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#5790=AXIS2_PLACEMENT_3D('',#5787,#5788,#5789); +#5792=DIRECTION('',(1.E0,0.E0,0.E0)); +#5793=VECTOR('',#5792,1.171572875254E0); +#5794=CARTESIAN_POINT('',(3.414213562373E0,4.5E0,-2.6E1)); +#5795=LINE('',#5794,#5793); +#5796=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.6E1)); +#5797=DIRECTION('',(0.E0,0.E0,1.E0)); +#5798=DIRECTION('',(1.E0,0.E0,0.E0)); +#5799=AXIS2_PLACEMENT_3D('',#5796,#5797,#5798); +#5801=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.6E1)); +#5802=DIRECTION('',(0.E0,0.E0,1.E0)); +#5803=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5804=AXIS2_PLACEMENT_3D('',#5801,#5802,#5803); +#5806=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.6E1)); +#5807=DIRECTION('',(0.E0,0.E0,1.E0)); +#5808=DIRECTION('',(1.E0,0.E0,0.E0)); +#5809=AXIS2_PLACEMENT_3D('',#5806,#5807,#5808); +#5811=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.6E1)); +#5812=DIRECTION('',(0.E0,0.E0,1.E0)); +#5813=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5814=AXIS2_PLACEMENT_3D('',#5811,#5812,#5813); +#5816=CARTESIAN_POINT('',(-6.E0,4.E0,-2.6E1)); +#5817=DIRECTION('',(0.E0,0.E0,1.E0)); +#5818=DIRECTION('',(1.E0,0.E0,0.E0)); +#5819=AXIS2_PLACEMENT_3D('',#5816,#5817,#5818); +#5821=CARTESIAN_POINT('',(-6.E0,4.E0,-2.6E1)); +#5822=DIRECTION('',(0.E0,0.E0,1.E0)); +#5823=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5824=AXIS2_PLACEMENT_3D('',#5821,#5822,#5823); +#5826=CARTESIAN_POINT('',(-2.E0,4.E0,-2.6E1)); +#5827=DIRECTION('',(0.E0,0.E0,1.E0)); +#5828=DIRECTION('',(1.E0,0.E0,0.E0)); +#5829=AXIS2_PLACEMENT_3D('',#5826,#5827,#5828); +#5831=CARTESIAN_POINT('',(-2.E0,4.E0,-2.6E1)); +#5832=DIRECTION('',(0.E0,0.E0,1.E0)); +#5833=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5834=AXIS2_PLACEMENT_3D('',#5831,#5832,#5833); +#5836=CARTESIAN_POINT('',(2.E0,4.E0,-2.6E1)); +#5837=DIRECTION('',(0.E0,0.E0,1.E0)); +#5838=DIRECTION('',(1.E0,0.E0,0.E0)); +#5839=AXIS2_PLACEMENT_3D('',#5836,#5837,#5838); +#5841=CARTESIAN_POINT('',(2.E0,4.E0,-2.6E1)); +#5842=DIRECTION('',(0.E0,0.E0,1.E0)); +#5843=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5844=AXIS2_PLACEMENT_3D('',#5841,#5842,#5843); +#5846=CARTESIAN_POINT('',(6.E0,4.E0,-2.6E1)); +#5847=DIRECTION('',(0.E0,0.E0,1.E0)); +#5848=DIRECTION('',(1.E0,0.E0,0.E0)); +#5849=AXIS2_PLACEMENT_3D('',#5846,#5847,#5848); +#5851=CARTESIAN_POINT('',(6.E0,4.E0,-2.6E1)); +#5852=DIRECTION('',(0.E0,0.E0,1.E0)); +#5853=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5854=AXIS2_PLACEMENT_3D('',#5851,#5852,#5853); +#5856=CARTESIAN_POINT('',(1.4E1,4.E0,-2.6E1)); +#5857=DIRECTION('',(0.E0,0.E0,1.E0)); +#5858=DIRECTION('',(1.E0,0.E0,0.E0)); +#5859=AXIS2_PLACEMENT_3D('',#5856,#5857,#5858); +#5861=CARTESIAN_POINT('',(1.4E1,4.E0,-2.6E1)); +#5862=DIRECTION('',(0.E0,0.E0,1.E0)); +#5863=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5864=AXIS2_PLACEMENT_3D('',#5861,#5862,#5863); +#5866=CARTESIAN_POINT('',(2.2E1,4.E0,-2.6E1)); +#5867=DIRECTION('',(0.E0,0.E0,1.E0)); +#5868=DIRECTION('',(1.E0,0.E0,0.E0)); +#5869=AXIS2_PLACEMENT_3D('',#5866,#5867,#5868); +#5871=CARTESIAN_POINT('',(2.2E1,4.E0,-2.6E1)); +#5872=DIRECTION('',(0.E0,0.E0,1.E0)); +#5873=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5874=AXIS2_PLACEMENT_3D('',#5871,#5872,#5873); +#5876=CARTESIAN_POINT('',(-2.E1,0.E0,-2.6E1)); +#5877=DIRECTION('',(0.E0,0.E0,1.E0)); +#5878=DIRECTION('',(1.E0,0.E0,0.E0)); +#5879=AXIS2_PLACEMENT_3D('',#5876,#5877,#5878); +#5881=CARTESIAN_POINT('',(-2.E1,0.E0,-2.6E1)); +#5882=DIRECTION('',(0.E0,0.E0,1.E0)); +#5883=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5884=AXIS2_PLACEMENT_3D('',#5881,#5882,#5883); +#5886=CARTESIAN_POINT('',(-1.6E1,0.E0,-2.6E1)); +#5887=DIRECTION('',(0.E0,0.E0,1.E0)); +#5888=DIRECTION('',(1.E0,0.E0,0.E0)); +#5889=AXIS2_PLACEMENT_3D('',#5886,#5887,#5888); +#5891=CARTESIAN_POINT('',(-1.6E1,0.E0,-2.6E1)); +#5892=DIRECTION('',(0.E0,0.E0,1.E0)); +#5893=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5894=AXIS2_PLACEMENT_3D('',#5891,#5892,#5893); +#5896=CARTESIAN_POINT('',(-4.E0,0.E0,-2.6E1)); +#5897=DIRECTION('',(0.E0,0.E0,1.E0)); +#5898=DIRECTION('',(1.E0,0.E0,0.E0)); +#5899=AXIS2_PLACEMENT_3D('',#5896,#5897,#5898); +#5901=CARTESIAN_POINT('',(-4.E0,0.E0,-2.6E1)); +#5902=DIRECTION('',(0.E0,0.E0,1.E0)); +#5903=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5904=AXIS2_PLACEMENT_3D('',#5901,#5902,#5903); +#5906=CARTESIAN_POINT('',(0.E0,0.E0,-2.6E1)); +#5907=DIRECTION('',(0.E0,0.E0,1.E0)); +#5908=DIRECTION('',(1.E0,0.E0,0.E0)); +#5909=AXIS2_PLACEMENT_3D('',#5906,#5907,#5908); +#5911=CARTESIAN_POINT('',(0.E0,0.E0,-2.6E1)); +#5912=DIRECTION('',(0.E0,0.E0,1.E0)); +#5913=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5914=AXIS2_PLACEMENT_3D('',#5911,#5912,#5913); +#5916=CARTESIAN_POINT('',(4.E0,0.E0,-2.6E1)); +#5917=DIRECTION('',(0.E0,0.E0,1.E0)); +#5918=DIRECTION('',(1.E0,0.E0,0.E0)); +#5919=AXIS2_PLACEMENT_3D('',#5916,#5917,#5918); +#5921=CARTESIAN_POINT('',(4.E0,0.E0,-2.6E1)); +#5922=DIRECTION('',(0.E0,0.E0,1.E0)); +#5923=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5924=AXIS2_PLACEMENT_3D('',#5921,#5922,#5923); +#5926=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-2.6E1)); +#5927=DIRECTION('',(0.E0,0.E0,1.E0)); +#5928=DIRECTION('',(1.E0,0.E0,0.E0)); +#5929=AXIS2_PLACEMENT_3D('',#5926,#5927,#5928); +#5931=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-2.6E1)); +#5932=DIRECTION('',(0.E0,0.E0,1.E0)); +#5933=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5934=AXIS2_PLACEMENT_3D('',#5931,#5932,#5933); +#5936=CARTESIAN_POINT('',(1.2E1,0.E0,-2.6E1)); +#5937=DIRECTION('',(0.E0,0.E0,1.E0)); +#5938=DIRECTION('',(1.E0,0.E0,0.E0)); +#5939=AXIS2_PLACEMENT_3D('',#5936,#5937,#5938); +#5941=CARTESIAN_POINT('',(1.2E1,0.E0,-2.6E1)); +#5942=DIRECTION('',(0.E0,0.E0,1.E0)); +#5943=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5944=AXIS2_PLACEMENT_3D('',#5941,#5942,#5943); +#5946=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.6E1)); +#5947=DIRECTION('',(0.E0,0.E0,1.E0)); +#5948=DIRECTION('',(1.E0,0.E0,0.E0)); +#5949=AXIS2_PLACEMENT_3D('',#5946,#5947,#5948); +#5951=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.6E1)); +#5952=DIRECTION('',(0.E0,0.E0,1.E0)); +#5953=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5954=AXIS2_PLACEMENT_3D('',#5951,#5952,#5953); +#5956=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.6E1)); +#5957=DIRECTION('',(0.E0,0.E0,1.E0)); +#5958=DIRECTION('',(1.E0,0.E0,0.E0)); +#5959=AXIS2_PLACEMENT_3D('',#5956,#5957,#5958); +#5961=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.6E1)); +#5962=DIRECTION('',(0.E0,0.E0,1.E0)); +#5963=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5964=AXIS2_PLACEMENT_3D('',#5961,#5962,#5963); +#5966=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.6E1)); +#5967=DIRECTION('',(0.E0,0.E0,1.E0)); +#5968=DIRECTION('',(1.E0,0.E0,0.E0)); +#5969=AXIS2_PLACEMENT_3D('',#5966,#5967,#5968); +#5971=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.6E1)); +#5972=DIRECTION('',(0.E0,0.E0,1.E0)); +#5973=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5974=AXIS2_PLACEMENT_3D('',#5971,#5972,#5973); +#5976=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.6E1)); +#5977=DIRECTION('',(0.E0,0.E0,1.E0)); +#5978=DIRECTION('',(1.E0,0.E0,0.E0)); +#5979=AXIS2_PLACEMENT_3D('',#5976,#5977,#5978); +#5981=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.6E1)); +#5982=DIRECTION('',(0.E0,0.E0,1.E0)); +#5983=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5984=AXIS2_PLACEMENT_3D('',#5981,#5982,#5983); +#5986=CARTESIAN_POINT('',(2.E0,-4.E0,-2.6E1)); +#5987=DIRECTION('',(0.E0,0.E0,1.E0)); +#5988=DIRECTION('',(1.E0,0.E0,0.E0)); +#5989=AXIS2_PLACEMENT_3D('',#5986,#5987,#5988); +#5991=CARTESIAN_POINT('',(2.E0,-4.E0,-2.6E1)); +#5992=DIRECTION('',(0.E0,0.E0,1.E0)); +#5993=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5994=AXIS2_PLACEMENT_3D('',#5991,#5992,#5993); +#5996=CARTESIAN_POINT('',(6.E0,-4.E0,-2.6E1)); +#5997=DIRECTION('',(0.E0,0.E0,1.E0)); +#5998=DIRECTION('',(1.E0,0.E0,0.E0)); +#5999=AXIS2_PLACEMENT_3D('',#5996,#5997,#5998); +#6001=CARTESIAN_POINT('',(6.E0,-4.E0,-2.6E1)); +#6002=DIRECTION('',(0.E0,0.E0,1.E0)); +#6003=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6004=AXIS2_PLACEMENT_3D('',#6001,#6002,#6003); +#6006=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.6E1)); +#6007=DIRECTION('',(0.E0,0.E0,1.E0)); +#6008=DIRECTION('',(1.E0,0.E0,0.E0)); +#6009=AXIS2_PLACEMENT_3D('',#6006,#6007,#6008); +#6011=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.6E1)); +#6012=DIRECTION('',(0.E0,0.E0,1.E0)); +#6013=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6014=AXIS2_PLACEMENT_3D('',#6011,#6012,#6013); +#6016=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.6E1)); +#6017=DIRECTION('',(0.E0,0.E0,1.E0)); +#6018=DIRECTION('',(1.E0,0.E0,0.E0)); +#6019=AXIS2_PLACEMENT_3D('',#6016,#6017,#6018); +#6021=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.6E1)); +#6022=DIRECTION('',(0.E0,0.E0,1.E0)); +#6023=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6024=AXIS2_PLACEMENT_3D('',#6021,#6022,#6023); +#6026=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.6E1)); +#6027=DIRECTION('',(0.E0,0.E0,1.E0)); +#6028=DIRECTION('',(1.E0,0.E0,0.E0)); +#6029=AXIS2_PLACEMENT_3D('',#6026,#6027,#6028); +#6031=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.6E1)); +#6032=DIRECTION('',(0.E0,0.E0,1.E0)); +#6033=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6034=AXIS2_PLACEMENT_3D('',#6031,#6032,#6033); +#6036=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.6E1)); +#6037=DIRECTION('',(0.E0,0.E0,1.E0)); +#6038=DIRECTION('',(1.E0,0.E0,0.E0)); +#6039=AXIS2_PLACEMENT_3D('',#6036,#6037,#6038); +#6041=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.6E1)); +#6042=DIRECTION('',(0.E0,0.E0,1.E0)); +#6043=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6044=AXIS2_PLACEMENT_3D('',#6041,#6042,#6043); +#6046=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.6E1)); +#6047=DIRECTION('',(0.E0,0.E0,1.E0)); +#6048=DIRECTION('',(1.E0,0.E0,0.E0)); +#6049=AXIS2_PLACEMENT_3D('',#6046,#6047,#6048); +#6051=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.6E1)); +#6052=DIRECTION('',(0.E0,0.E0,1.E0)); +#6053=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6054=AXIS2_PLACEMENT_3D('',#6051,#6052,#6053); +#6056=CARTESIAN_POINT('',(-1.E1,4.E0,-2.6E1)); +#6057=DIRECTION('',(0.E0,0.E0,1.E0)); +#6058=DIRECTION('',(1.E0,0.E0,0.E0)); +#6059=AXIS2_PLACEMENT_3D('',#6056,#6057,#6058); +#6061=CARTESIAN_POINT('',(-1.E1,4.E0,-2.6E1)); +#6062=DIRECTION('',(0.E0,0.E0,1.E0)); +#6063=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6064=AXIS2_PLACEMENT_3D('',#6061,#6062,#6063); +#6066=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-2.6E1)); +#6067=DIRECTION('',(0.E0,0.E0,1.E0)); +#6068=DIRECTION('',(1.E0,0.E0,0.E0)); +#6069=AXIS2_PLACEMENT_3D('',#6066,#6067,#6068); +#6071=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-2.6E1)); +#6072=DIRECTION('',(0.E0,0.E0,1.E0)); +#6073=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6074=AXIS2_PLACEMENT_3D('',#6071,#6072,#6073); +#6076=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.6E1)); +#6077=DIRECTION('',(0.E0,0.E0,1.E0)); +#6078=DIRECTION('',(1.E0,0.E0,0.E0)); +#6079=AXIS2_PLACEMENT_3D('',#6076,#6077,#6078); +#6081=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.6E1)); +#6082=DIRECTION('',(0.E0,0.E0,1.E0)); +#6083=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6084=AXIS2_PLACEMENT_3D('',#6081,#6082,#6083); +#6086=CARTESIAN_POINT('',(1.E1,4.E0,-2.6E1)); +#6087=DIRECTION('',(0.E0,0.E0,1.E0)); +#6088=DIRECTION('',(1.E0,0.E0,0.E0)); +#6089=AXIS2_PLACEMENT_3D('',#6086,#6087,#6088); +#6091=CARTESIAN_POINT('',(1.E1,4.E0,-2.6E1)); +#6092=DIRECTION('',(0.E0,0.E0,1.E0)); +#6093=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6094=AXIS2_PLACEMENT_3D('',#6091,#6092,#6093); +#6096=CARTESIAN_POINT('',(1.8E1,4.E0,-2.6E1)); +#6097=DIRECTION('',(0.E0,0.E0,1.E0)); +#6098=DIRECTION('',(1.E0,0.E0,0.E0)); +#6099=AXIS2_PLACEMENT_3D('',#6096,#6097,#6098); +#6101=CARTESIAN_POINT('',(1.8E1,4.E0,-2.6E1)); +#6102=DIRECTION('',(0.E0,0.E0,1.E0)); +#6103=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6104=AXIS2_PLACEMENT_3D('',#6101,#6102,#6103); +#6106=CARTESIAN_POINT('',(2.E1,0.E0,-2.6E1)); +#6107=DIRECTION('',(0.E0,0.E0,1.E0)); +#6108=DIRECTION('',(1.E0,0.E0,0.E0)); +#6109=AXIS2_PLACEMENT_3D('',#6106,#6107,#6108); +#6111=CARTESIAN_POINT('',(2.E1,0.E0,-2.6E1)); +#6112=DIRECTION('',(0.E0,0.E0,1.E0)); +#6113=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6114=AXIS2_PLACEMENT_3D('',#6111,#6112,#6113); +#6116=CARTESIAN_POINT('',(1.6E1,0.E0,-2.6E1)); +#6117=DIRECTION('',(0.E0,0.E0,1.E0)); +#6118=DIRECTION('',(1.E0,0.E0,0.E0)); +#6119=AXIS2_PLACEMENT_3D('',#6116,#6117,#6118); +#6121=CARTESIAN_POINT('',(1.6E1,0.E0,-2.6E1)); +#6122=DIRECTION('',(0.E0,0.E0,1.E0)); +#6123=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6124=AXIS2_PLACEMENT_3D('',#6121,#6122,#6123); +#6126=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.6E1)); +#6127=DIRECTION('',(0.E0,0.E0,1.E0)); +#6128=DIRECTION('',(1.E0,0.E0,0.E0)); +#6129=AXIS2_PLACEMENT_3D('',#6126,#6127,#6128); +#6131=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.6E1)); +#6132=DIRECTION('',(0.E0,0.E0,1.E0)); +#6133=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6134=AXIS2_PLACEMENT_3D('',#6131,#6132,#6133); +#6136=CARTESIAN_POINT('',(1.E1,-4.E0,-2.6E1)); +#6137=DIRECTION('',(0.E0,0.E0,1.E0)); +#6138=DIRECTION('',(1.E0,0.E0,0.E0)); +#6139=AXIS2_PLACEMENT_3D('',#6136,#6137,#6138); +#6141=CARTESIAN_POINT('',(1.E1,-4.E0,-2.6E1)); +#6142=DIRECTION('',(0.E0,0.E0,1.E0)); +#6143=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6144=AXIS2_PLACEMENT_3D('',#6141,#6142,#6143); +#6146=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6147=VECTOR('',#6146,3.E0); +#6148=CARTESIAN_POINT('',(5.857864376269E-1,4.5E0,-2.3E1)); +#6149=LINE('',#6148,#6147); +#6150=CARTESIAN_POINT('',(2.E0,4.E0,-2.3E1)); +#6151=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6152=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6153=AXIS2_PLACEMENT_3D('',#6150,#6151,#6152); +#6155=DIRECTION('',(1.E0,0.E0,0.E0)); +#6156=VECTOR('',#6155,1.171572875254E0); +#6157=CARTESIAN_POINT('',(3.414213562373E0,4.5E0,-2.3E1)); +#6158=LINE('',#6157,#6156); +#6159=CARTESIAN_POINT('',(6.E0,4.E0,-2.3E1)); +#6160=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6161=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6162=AXIS2_PLACEMENT_3D('',#6159,#6160,#6161); +#6164=DIRECTION('',(1.E0,0.E0,0.E0)); +#6165=VECTOR('',#6164,1.171572875254E0); +#6166=CARTESIAN_POINT('',(7.414213562373E0,4.5E0,-2.3E1)); +#6167=LINE('',#6166,#6165); +#6168=CARTESIAN_POINT('',(1.E1,4.E0,-2.3E1)); +#6169=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6170=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6171=AXIS2_PLACEMENT_3D('',#6168,#6169,#6170); +#6173=DIRECTION('',(1.E0,0.E0,0.E0)); +#6174=VECTOR('',#6173,1.171572875253E0); +#6175=CARTESIAN_POINT('',(1.141421356237E1,4.5E0,-2.3E1)); +#6176=LINE('',#6175,#6174); +#6177=CARTESIAN_POINT('',(1.4E1,4.E0,-2.3E1)); +#6178=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6179=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6180=AXIS2_PLACEMENT_3D('',#6177,#6178,#6179); +#6182=DIRECTION('',(1.E0,0.E0,0.E0)); +#6183=VECTOR('',#6182,1.171572875254E0); +#6184=CARTESIAN_POINT('',(1.541421356237E1,4.5E0,-2.3E1)); +#6185=LINE('',#6184,#6183); +#6186=CARTESIAN_POINT('',(1.8E1,4.E0,-2.3E1)); +#6187=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6188=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6189=AXIS2_PLACEMENT_3D('',#6186,#6187,#6188); +#6191=DIRECTION('',(1.E0,0.E0,0.E0)); +#6192=VECTOR('',#6191,1.171572875254E0); +#6193=CARTESIAN_POINT('',(1.941421356237E1,4.5E0,-2.3E1)); +#6194=LINE('',#6193,#6192); +#6195=CARTESIAN_POINT('',(2.2E1,4.E0,-2.3E1)); +#6196=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6197=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6198=AXIS2_PLACEMENT_3D('',#6195,#6196,#6197); +#6200=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6201=VECTOR('',#6200,1.285786437627E0); +#6202=CARTESIAN_POINT('',(2.47E1,4.5E0,-2.3E1)); +#6203=LINE('',#6202,#6201); +#6204=DIRECTION('',(0.E0,1.E0,0.E0)); +#6205=VECTOR('',#6204,2.5E0); +#6206=CARTESIAN_POINT('',(2.47E1,4.5E0,-2.3E1)); +#6207=LINE('',#6206,#6205); +#6208=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6209=VECTOR('',#6208,4.94E1); +#6210=CARTESIAN_POINT('',(2.47E1,7.E0,-2.3E1)); +#6211=LINE('',#6210,#6209); +#6212=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6213=VECTOR('',#6212,2.5E0); +#6214=CARTESIAN_POINT('',(-2.47E1,7.E0,-2.3E1)); +#6215=LINE('',#6214,#6213); +#6216=DIRECTION('',(1.E0,0.E0,0.E0)); +#6217=VECTOR('',#6216,1.285786437627E0); +#6218=CARTESIAN_POINT('',(-2.47E1,4.5E0,-2.3E1)); +#6219=LINE('',#6218,#6217); +#6220=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.3E1)); +#6221=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6222=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6223=AXIS2_PLACEMENT_3D('',#6220,#6221,#6222); +#6225=DIRECTION('',(1.E0,0.E0,0.E0)); +#6226=VECTOR('',#6225,1.171572875254E0); +#6227=CARTESIAN_POINT('',(-2.058578643763E1,4.5E0,-2.3E1)); +#6228=LINE('',#6227,#6226); +#6229=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.3E1)); +#6230=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6231=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6232=AXIS2_PLACEMENT_3D('',#6229,#6230,#6231); +#6234=DIRECTION('',(1.E0,0.E0,0.E0)); +#6235=VECTOR('',#6234,1.171572875254E0); +#6236=CARTESIAN_POINT('',(-1.658578643763E1,4.5E0,-2.3E1)); +#6237=LINE('',#6236,#6235); +#6238=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.3E1)); +#6239=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6240=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6241=AXIS2_PLACEMENT_3D('',#6238,#6239,#6240); +#6243=DIRECTION('',(1.E0,0.E0,0.E0)); +#6244=VECTOR('',#6243,1.171572875253E0); +#6245=CARTESIAN_POINT('',(-1.258578643763E1,4.5E0,-2.3E1)); +#6246=LINE('',#6245,#6244); +#6247=CARTESIAN_POINT('',(-1.E1,4.E0,-2.3E1)); +#6248=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6249=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6250=AXIS2_PLACEMENT_3D('',#6247,#6248,#6249); +#6252=DIRECTION('',(1.E0,0.E0,0.E0)); +#6253=VECTOR('',#6252,1.171572875254E0); +#6254=CARTESIAN_POINT('',(-8.585786437627E0,4.5E0,-2.3E1)); +#6255=LINE('',#6254,#6253); +#6256=CARTESIAN_POINT('',(-6.E0,4.E0,-2.3E1)); +#6257=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6258=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6259=AXIS2_PLACEMENT_3D('',#6256,#6257,#6258); +#6261=DIRECTION('',(1.E0,0.E0,0.E0)); +#6262=VECTOR('',#6261,1.171572875254E0); +#6263=CARTESIAN_POINT('',(-4.585786437627E0,4.5E0,-2.3E1)); +#6264=LINE('',#6263,#6262); +#6265=CARTESIAN_POINT('',(-2.E0,4.E0,-2.3E1)); +#6266=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6267=DIRECTION('',(-9.428090415821E-1,3.333333333333E-1,0.E0)); +#6268=AXIS2_PLACEMENT_3D('',#6265,#6266,#6267); +#6270=DIRECTION('',(1.E0,0.E0,0.E0)); +#6271=VECTOR('',#6270,1.171572875254E0); +#6272=CARTESIAN_POINT('',(-5.857864376269E-1,4.5E0,-2.3E1)); +#6273=LINE('',#6272,#6271); +#6274=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6275=VECTOR('',#6274,2.5E0); +#6276=CARTESIAN_POINT('',(-2.47E1,-4.5E0,-2.3E1)); +#6277=LINE('',#6276,#6275); +#6278=DIRECTION('',(1.E0,0.E0,0.E0)); +#6279=VECTOR('',#6278,4.94E1); +#6280=CARTESIAN_POINT('',(-2.47E1,-7.E0,-2.3E1)); +#6281=LINE('',#6280,#6279); +#6282=DIRECTION('',(0.E0,1.E0,0.E0)); +#6283=VECTOR('',#6282,2.5E0); +#6284=CARTESIAN_POINT('',(2.47E1,-7.E0,-2.3E1)); +#6285=LINE('',#6284,#6283); +#6286=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6287=VECTOR('',#6286,1.285786437627E0); +#6288=CARTESIAN_POINT('',(2.47E1,-4.5E0,-2.3E1)); +#6289=LINE('',#6288,#6287); +#6290=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.3E1)); +#6291=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6292=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6293=AXIS2_PLACEMENT_3D('',#6290,#6291,#6292); +#6295=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6296=VECTOR('',#6295,1.171572875254E0); +#6297=CARTESIAN_POINT('',(2.058578643763E1,-4.5E0,-2.3E1)); +#6298=LINE('',#6297,#6296); +#6299=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.3E1)); +#6300=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6301=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6302=AXIS2_PLACEMENT_3D('',#6299,#6300,#6301); +#6304=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6305=VECTOR('',#6304,1.171572875254E0); +#6306=CARTESIAN_POINT('',(1.658578643763E1,-4.5E0,-2.3E1)); +#6307=LINE('',#6306,#6305); +#6308=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.3E1)); +#6309=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6310=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6311=AXIS2_PLACEMENT_3D('',#6308,#6309,#6310); +#6313=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6314=VECTOR('',#6313,1.171572875253E0); +#6315=CARTESIAN_POINT('',(1.258578643763E1,-4.5E0,-2.3E1)); +#6316=LINE('',#6315,#6314); +#6317=CARTESIAN_POINT('',(1.E1,-4.E0,-2.3E1)); +#6318=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6319=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6320=AXIS2_PLACEMENT_3D('',#6317,#6318,#6319); +#6322=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6323=VECTOR('',#6322,1.171572875254E0); +#6324=CARTESIAN_POINT('',(8.585786437627E0,-4.5E0,-2.3E1)); +#6325=LINE('',#6324,#6323); +#6326=CARTESIAN_POINT('',(6.E0,-4.E0,-2.3E1)); +#6327=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6328=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6329=AXIS2_PLACEMENT_3D('',#6326,#6327,#6328); +#6331=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6332=VECTOR('',#6331,1.171572875254E0); +#6333=CARTESIAN_POINT('',(4.585786437627E0,-4.5E0,-2.3E1)); +#6334=LINE('',#6333,#6332); +#6335=CARTESIAN_POINT('',(2.E0,-4.E0,-2.3E1)); +#6336=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6337=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6338=AXIS2_PLACEMENT_3D('',#6335,#6336,#6337); +#6340=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6341=VECTOR('',#6340,1.171572875254E0); +#6342=CARTESIAN_POINT('',(5.857864376269E-1,-4.5E0,-2.3E1)); +#6343=LINE('',#6342,#6341); +#6344=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.3E1)); +#6345=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6346=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6347=AXIS2_PLACEMENT_3D('',#6344,#6345,#6346); +#6349=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6350=VECTOR('',#6349,1.171572875254E0); +#6351=CARTESIAN_POINT('',(-3.414213562373E0,-4.5E0,-2.3E1)); +#6352=LINE('',#6351,#6350); +#6353=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.3E1)); +#6354=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6355=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6356=AXIS2_PLACEMENT_3D('',#6353,#6354,#6355); +#6358=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6359=VECTOR('',#6358,1.171572875254E0); +#6360=CARTESIAN_POINT('',(-7.414213562373E0,-4.5E0,-2.3E1)); +#6361=LINE('',#6360,#6359); +#6362=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.3E1)); +#6363=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6364=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6365=AXIS2_PLACEMENT_3D('',#6362,#6363,#6364); +#6367=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6368=VECTOR('',#6367,1.171572875253E0); +#6369=CARTESIAN_POINT('',(-1.141421356237E1,-4.5E0,-2.3E1)); +#6370=LINE('',#6369,#6368); +#6371=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.3E1)); +#6372=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6373=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6374=AXIS2_PLACEMENT_3D('',#6371,#6372,#6373); +#6376=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6377=VECTOR('',#6376,1.171572875254E0); +#6378=CARTESIAN_POINT('',(-1.541421356237E1,-4.5E0,-2.3E1)); +#6379=LINE('',#6378,#6377); +#6380=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.3E1)); +#6381=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6382=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6383=AXIS2_PLACEMENT_3D('',#6380,#6381,#6382); +#6385=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6386=VECTOR('',#6385,1.171572875254E0); +#6387=CARTESIAN_POINT('',(-1.941421356237E1,-4.5E0,-2.3E1)); +#6388=LINE('',#6387,#6386); +#6389=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.3E1)); +#6390=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6391=DIRECTION('',(9.428090415821E-1,-3.333333333333E-1,0.E0)); +#6392=AXIS2_PLACEMENT_3D('',#6389,#6390,#6391); +#6394=DIRECTION('',(1.E0,0.E0,0.E0)); +#6395=VECTOR('',#6394,1.285786437627E0); +#6396=CARTESIAN_POINT('',(-2.47E1,-4.5E0,-2.3E1)); +#6397=LINE('',#6396,#6395); +#6398=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6399=VECTOR('',#6398,3.E0); +#6400=CARTESIAN_POINT('',(3.414213562373E0,4.5E0,-2.3E1)); +#6401=LINE('',#6400,#6399); +#6402=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6403=VECTOR('',#6402,3.E0); +#6404=CARTESIAN_POINT('',(4.585786437627E0,4.5E0,-2.3E1)); +#6405=LINE('',#6404,#6403); +#6406=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6407=VECTOR('',#6406,3.E0); +#6408=CARTESIAN_POINT('',(7.414213562373E0,4.5E0,-2.3E1)); +#6409=LINE('',#6408,#6407); +#6410=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6411=VECTOR('',#6410,3.E0); +#6412=CARTESIAN_POINT('',(8.585786437627E0,4.5E0,-2.3E1)); +#6413=LINE('',#6412,#6411); +#6414=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6415=VECTOR('',#6414,3.E0); +#6416=CARTESIAN_POINT('',(1.141421356237E1,4.5E0,-2.3E1)); +#6417=LINE('',#6416,#6415); +#6418=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6419=VECTOR('',#6418,3.E0); +#6420=CARTESIAN_POINT('',(1.258578643763E1,4.5E0,-2.3E1)); +#6421=LINE('',#6420,#6419); +#6422=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6423=VECTOR('',#6422,3.E0); +#6424=CARTESIAN_POINT('',(1.541421356237E1,4.5E0,-2.3E1)); +#6425=LINE('',#6424,#6423); +#6426=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6427=VECTOR('',#6426,3.E0); +#6428=CARTESIAN_POINT('',(1.658578643763E1,4.5E0,-2.3E1)); +#6429=LINE('',#6428,#6427); +#6430=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6431=VECTOR('',#6430,3.E0); +#6432=CARTESIAN_POINT('',(1.941421356237E1,4.5E0,-2.3E1)); +#6433=LINE('',#6432,#6431); +#6434=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6435=VECTOR('',#6434,3.E0); +#6436=CARTESIAN_POINT('',(2.058578643763E1,4.5E0,-2.3E1)); +#6437=LINE('',#6436,#6435); +#6438=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6439=VECTOR('',#6438,3.E0); +#6440=CARTESIAN_POINT('',(2.341421356237E1,4.5E0,-2.3E1)); +#6441=LINE('',#6440,#6439); +#6442=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6443=VECTOR('',#6442,9.E0); +#6444=CARTESIAN_POINT('',(2.47E1,4.5E0,-2.6E1)); +#6445=LINE('',#6444,#6443); +#6446=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6447=VECTOR('',#6446,1.E0); +#6448=CARTESIAN_POINT('',(2.47E1,-7.E0,-2.2E1)); +#6449=LINE('',#6448,#6447); +#6450=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6451=VECTOR('',#6450,3.E0); +#6452=CARTESIAN_POINT('',(2.47E1,4.5E0,-2.3E1)); +#6453=LINE('',#6452,#6451); +#6454=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6455=VECTOR('',#6454,3.E0); +#6456=CARTESIAN_POINT('',(2.47E1,-4.5E0,-2.3E1)); +#6457=LINE('',#6456,#6455); +#6458=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6459=VECTOR('',#6458,3.E0); +#6460=CARTESIAN_POINT('',(2.341421356237E1,-4.5E0,-2.3E1)); +#6461=LINE('',#6460,#6459); +#6462=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6463=VECTOR('',#6462,3.E0); +#6464=CARTESIAN_POINT('',(2.058578643763E1,-4.5E0,-2.3E1)); +#6465=LINE('',#6464,#6463); +#6466=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6467=VECTOR('',#6466,3.E0); +#6468=CARTESIAN_POINT('',(1.941421356237E1,-4.5E0,-2.3E1)); +#6469=LINE('',#6468,#6467); +#6470=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6471=VECTOR('',#6470,3.E0); +#6472=CARTESIAN_POINT('',(1.658578643763E1,-4.5E0,-2.3E1)); +#6473=LINE('',#6472,#6471); +#6474=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6475=VECTOR('',#6474,3.E0); +#6476=CARTESIAN_POINT('',(1.541421356237E1,-4.5E0,-2.3E1)); +#6477=LINE('',#6476,#6475); +#6478=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6479=VECTOR('',#6478,3.E0); +#6480=CARTESIAN_POINT('',(1.258578643763E1,-4.5E0,-2.3E1)); +#6481=LINE('',#6480,#6479); +#6482=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6483=VECTOR('',#6482,3.E0); +#6484=CARTESIAN_POINT('',(1.141421356237E1,-4.5E0,-2.3E1)); +#6485=LINE('',#6484,#6483); +#6486=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6487=VECTOR('',#6486,3.E0); +#6488=CARTESIAN_POINT('',(8.585786437627E0,-4.5E0,-2.3E1)); +#6489=LINE('',#6488,#6487); +#6490=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6491=VECTOR('',#6490,3.E0); +#6492=CARTESIAN_POINT('',(7.414213562373E0,-4.5E0,-2.3E1)); +#6493=LINE('',#6492,#6491); +#6494=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6495=VECTOR('',#6494,3.E0); +#6496=CARTESIAN_POINT('',(4.585786437627E0,-4.5E0,-2.3E1)); +#6497=LINE('',#6496,#6495); +#6498=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6499=VECTOR('',#6498,3.E0); +#6500=CARTESIAN_POINT('',(3.414213562373E0,-4.5E0,-2.3E1)); +#6501=LINE('',#6500,#6499); +#6502=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6503=VECTOR('',#6502,3.E0); +#6504=CARTESIAN_POINT('',(5.857864376269E-1,-4.5E0,-2.3E1)); +#6505=LINE('',#6504,#6503); +#6506=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6507=VECTOR('',#6506,3.E0); +#6508=CARTESIAN_POINT('',(-5.857864376269E-1,-4.5E0,-2.3E1)); +#6509=LINE('',#6508,#6507); +#6510=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6511=VECTOR('',#6510,3.E0); +#6512=CARTESIAN_POINT('',(-3.414213562373E0,-4.5E0,-2.3E1)); +#6513=LINE('',#6512,#6511); +#6514=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6515=VECTOR('',#6514,3.E0); +#6516=CARTESIAN_POINT('',(-4.585786437627E0,-4.5E0,-2.3E1)); +#6517=LINE('',#6516,#6515); +#6518=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6519=VECTOR('',#6518,3.E0); +#6520=CARTESIAN_POINT('',(-7.414213562373E0,-4.5E0,-2.3E1)); +#6521=LINE('',#6520,#6519); +#6522=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6523=VECTOR('',#6522,3.E0); +#6524=CARTESIAN_POINT('',(-8.585786437627E0,-4.5E0,-2.3E1)); +#6525=LINE('',#6524,#6523); +#6526=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6527=VECTOR('',#6526,3.E0); +#6528=CARTESIAN_POINT('',(-1.141421356237E1,-4.5E0,-2.3E1)); +#6529=LINE('',#6528,#6527); +#6530=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6531=VECTOR('',#6530,3.E0); +#6532=CARTESIAN_POINT('',(-1.258578643763E1,-4.5E0,-2.3E1)); +#6533=LINE('',#6532,#6531); +#6534=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6535=VECTOR('',#6534,3.E0); +#6536=CARTESIAN_POINT('',(-1.541421356237E1,-4.5E0,-2.3E1)); +#6537=LINE('',#6536,#6535); +#6538=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6539=VECTOR('',#6538,3.E0); +#6540=CARTESIAN_POINT('',(-1.658578643763E1,-4.5E0,-2.3E1)); +#6541=LINE('',#6540,#6539); +#6542=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6543=VECTOR('',#6542,3.E0); +#6544=CARTESIAN_POINT('',(-1.941421356237E1,-4.5E0,-2.3E1)); +#6545=LINE('',#6544,#6543); +#6546=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6547=VECTOR('',#6546,3.E0); +#6548=CARTESIAN_POINT('',(-2.058578643763E1,-4.5E0,-2.3E1)); +#6549=LINE('',#6548,#6547); +#6550=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6551=VECTOR('',#6550,3.E0); +#6552=CARTESIAN_POINT('',(-2.341421356237E1,-4.5E0,-2.3E1)); +#6553=LINE('',#6552,#6551); +#6554=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6555=VECTOR('',#6554,1.E0); +#6556=CARTESIAN_POINT('',(-2.47E1,-7.E0,-2.2E1)); +#6557=LINE('',#6556,#6555); +#6558=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6559=VECTOR('',#6558,1.E0); +#6560=CARTESIAN_POINT('',(2.47E1,7.E0,-2.2E1)); +#6561=LINE('',#6560,#6559); +#6562=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6563=VECTOR('',#6562,3.E0); +#6564=CARTESIAN_POINT('',(-2.47E1,4.5E0,-2.3E1)); +#6565=LINE('',#6564,#6563); +#6566=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6567=VECTOR('',#6566,3.E0); +#6568=CARTESIAN_POINT('',(-2.341421356237E1,4.5E0,-2.3E1)); +#6569=LINE('',#6568,#6567); +#6570=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6571=VECTOR('',#6570,3.E0); +#6572=CARTESIAN_POINT('',(-2.058578643763E1,4.5E0,-2.3E1)); +#6573=LINE('',#6572,#6571); +#6574=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6575=VECTOR('',#6574,3.E0); +#6576=CARTESIAN_POINT('',(-1.941421356237E1,4.5E0,-2.3E1)); +#6577=LINE('',#6576,#6575); +#6578=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6579=VECTOR('',#6578,3.E0); +#6580=CARTESIAN_POINT('',(-1.658578643763E1,4.5E0,-2.3E1)); +#6581=LINE('',#6580,#6579); +#6582=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6583=VECTOR('',#6582,3.E0); +#6584=CARTESIAN_POINT('',(-1.541421356237E1,4.5E0,-2.3E1)); +#6585=LINE('',#6584,#6583); +#6586=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6587=VECTOR('',#6586,3.E0); +#6588=CARTESIAN_POINT('',(-1.258578643763E1,4.5E0,-2.3E1)); +#6589=LINE('',#6588,#6587); +#6590=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6591=VECTOR('',#6590,3.E0); +#6592=CARTESIAN_POINT('',(-1.141421356237E1,4.5E0,-2.3E1)); +#6593=LINE('',#6592,#6591); +#6594=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6595=VECTOR('',#6594,3.E0); +#6596=CARTESIAN_POINT('',(-8.585786437627E0,4.5E0,-2.3E1)); +#6597=LINE('',#6596,#6595); +#6598=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6599=VECTOR('',#6598,3.E0); +#6600=CARTESIAN_POINT('',(-7.414213562373E0,4.5E0,-2.3E1)); +#6601=LINE('',#6600,#6599); +#6602=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6603=VECTOR('',#6602,3.E0); +#6604=CARTESIAN_POINT('',(-4.585786437627E0,4.5E0,-2.3E1)); +#6605=LINE('',#6604,#6603); +#6606=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6607=VECTOR('',#6606,3.E0); +#6608=CARTESIAN_POINT('',(-3.414213562373E0,4.5E0,-2.3E1)); +#6609=LINE('',#6608,#6607); +#6610=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6611=VECTOR('',#6610,3.E0); +#6612=CARTESIAN_POINT('',(-5.857864376269E-1,4.5E0,-2.3E1)); +#6613=LINE('',#6612,#6611); +#6614=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6615=VECTOR('',#6614,1.205E1); +#6616=CARTESIAN_POINT('',(-2.135E1,4.E0,-2.6E1)); +#6617=LINE('',#6616,#6615); +#6618=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6619=VECTOR('',#6618,1.205E1); +#6620=CARTESIAN_POINT('',(-2.265E1,4.E0,-2.6E1)); +#6621=LINE('',#6620,#6619); +#6622=CARTESIAN_POINT('',(-2.2E1,4.E0,-3.805E1)); +#6623=DIRECTION('',(0.E0,0.E0,1.E0)); +#6624=DIRECTION('',(1.E0,0.E0,0.E0)); +#6625=AXIS2_PLACEMENT_3D('',#6622,#6623,#6624); +#6627=CARTESIAN_POINT('',(-2.2E1,4.E0,-3.805E1)); +#6628=DIRECTION('',(0.E0,0.E0,1.E0)); +#6629=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6630=AXIS2_PLACEMENT_3D('',#6627,#6628,#6629); +#6632=CARTESIAN_POINT('',(-1.4E1,4.E0,-3.805E1)); +#6633=DIRECTION('',(0.E0,0.E0,1.E0)); +#6634=DIRECTION('',(1.E0,0.E0,0.E0)); +#6635=AXIS2_PLACEMENT_3D('',#6632,#6633,#6634); +#6637=CARTESIAN_POINT('',(-1.4E1,4.E0,-3.805E1)); +#6638=DIRECTION('',(0.E0,0.E0,1.E0)); +#6639=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6640=AXIS2_PLACEMENT_3D('',#6637,#6638,#6639); +#6642=CARTESIAN_POINT('',(-6.E0,4.E0,-3.805E1)); +#6643=DIRECTION('',(0.E0,0.E0,1.E0)); +#6644=DIRECTION('',(1.E0,0.E0,0.E0)); +#6645=AXIS2_PLACEMENT_3D('',#6642,#6643,#6644); +#6647=CARTESIAN_POINT('',(-6.E0,4.E0,-3.805E1)); +#6648=DIRECTION('',(0.E0,0.E0,1.E0)); +#6649=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6650=AXIS2_PLACEMENT_3D('',#6647,#6648,#6649); +#6652=CARTESIAN_POINT('',(-2.E0,4.E0,-3.805E1)); +#6653=DIRECTION('',(0.E0,0.E0,1.E0)); +#6654=DIRECTION('',(1.E0,0.E0,0.E0)); +#6655=AXIS2_PLACEMENT_3D('',#6652,#6653,#6654); +#6657=CARTESIAN_POINT('',(-2.E0,4.E0,-3.805E1)); +#6658=DIRECTION('',(0.E0,0.E0,1.E0)); +#6659=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6660=AXIS2_PLACEMENT_3D('',#6657,#6658,#6659); +#6662=CARTESIAN_POINT('',(2.E0,4.E0,-3.805E1)); +#6663=DIRECTION('',(0.E0,0.E0,1.E0)); +#6664=DIRECTION('',(1.E0,0.E0,0.E0)); +#6665=AXIS2_PLACEMENT_3D('',#6662,#6663,#6664); +#6667=CARTESIAN_POINT('',(2.E0,4.E0,-3.805E1)); +#6668=DIRECTION('',(0.E0,0.E0,1.E0)); +#6669=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6670=AXIS2_PLACEMENT_3D('',#6667,#6668,#6669); +#6672=CARTESIAN_POINT('',(6.E0,4.E0,-3.805E1)); +#6673=DIRECTION('',(0.E0,0.E0,1.E0)); +#6674=DIRECTION('',(1.E0,0.E0,0.E0)); +#6675=AXIS2_PLACEMENT_3D('',#6672,#6673,#6674); +#6677=CARTESIAN_POINT('',(6.E0,4.E0,-3.805E1)); +#6678=DIRECTION('',(0.E0,0.E0,1.E0)); +#6679=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6680=AXIS2_PLACEMENT_3D('',#6677,#6678,#6679); +#6682=CARTESIAN_POINT('',(1.4E1,4.E0,-3.805E1)); +#6683=DIRECTION('',(0.E0,0.E0,1.E0)); +#6684=DIRECTION('',(1.E0,0.E0,0.E0)); +#6685=AXIS2_PLACEMENT_3D('',#6682,#6683,#6684); +#6687=CARTESIAN_POINT('',(1.4E1,4.E0,-3.805E1)); +#6688=DIRECTION('',(0.E0,0.E0,1.E0)); +#6689=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6690=AXIS2_PLACEMENT_3D('',#6687,#6688,#6689); +#6692=CARTESIAN_POINT('',(2.2E1,4.E0,-3.805E1)); +#6693=DIRECTION('',(0.E0,0.E0,1.E0)); +#6694=DIRECTION('',(1.E0,0.E0,0.E0)); +#6695=AXIS2_PLACEMENT_3D('',#6692,#6693,#6694); +#6697=CARTESIAN_POINT('',(2.2E1,4.E0,-3.805E1)); +#6698=DIRECTION('',(0.E0,0.E0,1.E0)); +#6699=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6700=AXIS2_PLACEMENT_3D('',#6697,#6698,#6699); +#6702=CARTESIAN_POINT('',(-2.E1,0.E0,-3.805E1)); +#6703=DIRECTION('',(0.E0,0.E0,1.E0)); +#6704=DIRECTION('',(1.E0,0.E0,0.E0)); +#6705=AXIS2_PLACEMENT_3D('',#6702,#6703,#6704); +#6707=CARTESIAN_POINT('',(-2.E1,0.E0,-3.805E1)); +#6708=DIRECTION('',(0.E0,0.E0,1.E0)); +#6709=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6710=AXIS2_PLACEMENT_3D('',#6707,#6708,#6709); +#6712=CARTESIAN_POINT('',(-1.6E1,0.E0,-3.805E1)); +#6713=DIRECTION('',(0.E0,0.E0,1.E0)); +#6714=DIRECTION('',(1.E0,0.E0,0.E0)); +#6715=AXIS2_PLACEMENT_3D('',#6712,#6713,#6714); +#6717=CARTESIAN_POINT('',(-1.6E1,0.E0,-3.805E1)); +#6718=DIRECTION('',(0.E0,0.E0,1.E0)); +#6719=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6720=AXIS2_PLACEMENT_3D('',#6717,#6718,#6719); +#6722=CARTESIAN_POINT('',(-4.E0,0.E0,-3.805E1)); +#6723=DIRECTION('',(0.E0,0.E0,1.E0)); +#6724=DIRECTION('',(1.E0,0.E0,0.E0)); +#6725=AXIS2_PLACEMENT_3D('',#6722,#6723,#6724); +#6727=CARTESIAN_POINT('',(-4.E0,0.E0,-3.805E1)); +#6728=DIRECTION('',(0.E0,0.E0,1.E0)); +#6729=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6730=AXIS2_PLACEMENT_3D('',#6727,#6728,#6729); +#6732=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#6733=DIRECTION('',(0.E0,0.E0,1.E0)); +#6734=DIRECTION('',(1.E0,0.E0,0.E0)); +#6735=AXIS2_PLACEMENT_3D('',#6732,#6733,#6734); +#6737=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#6738=DIRECTION('',(0.E0,0.E0,1.E0)); +#6739=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6740=AXIS2_PLACEMENT_3D('',#6737,#6738,#6739); +#6742=CARTESIAN_POINT('',(4.E0,0.E0,-3.805E1)); +#6743=DIRECTION('',(0.E0,0.E0,1.E0)); +#6744=DIRECTION('',(1.E0,0.E0,0.E0)); +#6745=AXIS2_PLACEMENT_3D('',#6742,#6743,#6744); +#6747=CARTESIAN_POINT('',(4.E0,0.E0,-3.805E1)); +#6748=DIRECTION('',(0.E0,0.E0,1.E0)); +#6749=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6750=AXIS2_PLACEMENT_3D('',#6747,#6748,#6749); +#6752=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-3.805E1)); +#6753=DIRECTION('',(0.E0,0.E0,1.E0)); +#6754=DIRECTION('',(1.E0,0.E0,0.E0)); +#6755=AXIS2_PLACEMENT_3D('',#6752,#6753,#6754); +#6757=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-3.805E1)); +#6758=DIRECTION('',(0.E0,0.E0,1.E0)); +#6759=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6760=AXIS2_PLACEMENT_3D('',#6757,#6758,#6759); +#6762=CARTESIAN_POINT('',(1.2E1,0.E0,-3.805E1)); +#6763=DIRECTION('',(0.E0,0.E0,1.E0)); +#6764=DIRECTION('',(1.E0,0.E0,0.E0)); +#6765=AXIS2_PLACEMENT_3D('',#6762,#6763,#6764); +#6767=CARTESIAN_POINT('',(1.2E1,0.E0,-3.805E1)); +#6768=DIRECTION('',(0.E0,0.E0,1.E0)); +#6769=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6770=AXIS2_PLACEMENT_3D('',#6767,#6768,#6769); +#6772=CARTESIAN_POINT('',(-2.2E1,-4.E0,-3.805E1)); +#6773=DIRECTION('',(0.E0,0.E0,1.E0)); +#6774=DIRECTION('',(1.E0,0.E0,0.E0)); +#6775=AXIS2_PLACEMENT_3D('',#6772,#6773,#6774); +#6777=CARTESIAN_POINT('',(-2.2E1,-4.E0,-3.805E1)); +#6778=DIRECTION('',(0.E0,0.E0,1.E0)); +#6779=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6780=AXIS2_PLACEMENT_3D('',#6777,#6778,#6779); +#6782=CARTESIAN_POINT('',(-1.4E1,-4.E0,-3.805E1)); +#6783=DIRECTION('',(0.E0,0.E0,1.E0)); +#6784=DIRECTION('',(1.E0,0.E0,0.E0)); +#6785=AXIS2_PLACEMENT_3D('',#6782,#6783,#6784); +#6787=CARTESIAN_POINT('',(-1.4E1,-4.E0,-3.805E1)); +#6788=DIRECTION('',(0.E0,0.E0,1.E0)); +#6789=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6790=AXIS2_PLACEMENT_3D('',#6787,#6788,#6789); +#6792=CARTESIAN_POINT('',(-6.E0,-4.E0,-3.805E1)); +#6793=DIRECTION('',(0.E0,0.E0,1.E0)); +#6794=DIRECTION('',(1.E0,0.E0,0.E0)); +#6795=AXIS2_PLACEMENT_3D('',#6792,#6793,#6794); +#6797=CARTESIAN_POINT('',(-6.E0,-4.E0,-3.805E1)); +#6798=DIRECTION('',(0.E0,0.E0,1.E0)); +#6799=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6800=AXIS2_PLACEMENT_3D('',#6797,#6798,#6799); +#6802=CARTESIAN_POINT('',(-2.E0,-4.E0,-3.805E1)); +#6803=DIRECTION('',(0.E0,0.E0,1.E0)); +#6804=DIRECTION('',(1.E0,0.E0,0.E0)); +#6805=AXIS2_PLACEMENT_3D('',#6802,#6803,#6804); +#6807=CARTESIAN_POINT('',(-2.E0,-4.E0,-3.805E1)); +#6808=DIRECTION('',(0.E0,0.E0,1.E0)); +#6809=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6810=AXIS2_PLACEMENT_3D('',#6807,#6808,#6809); +#6812=CARTESIAN_POINT('',(2.E0,-4.E0,-3.805E1)); +#6813=DIRECTION('',(0.E0,0.E0,1.E0)); +#6814=DIRECTION('',(1.E0,0.E0,0.E0)); +#6815=AXIS2_PLACEMENT_3D('',#6812,#6813,#6814); +#6817=CARTESIAN_POINT('',(2.E0,-4.E0,-3.805E1)); +#6818=DIRECTION('',(0.E0,0.E0,1.E0)); +#6819=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6820=AXIS2_PLACEMENT_3D('',#6817,#6818,#6819); +#6822=CARTESIAN_POINT('',(6.E0,-4.E0,-3.805E1)); +#6823=DIRECTION('',(0.E0,0.E0,1.E0)); +#6824=DIRECTION('',(1.E0,0.E0,0.E0)); +#6825=AXIS2_PLACEMENT_3D('',#6822,#6823,#6824); +#6827=CARTESIAN_POINT('',(6.E0,-4.E0,-3.805E1)); +#6828=DIRECTION('',(0.E0,0.E0,1.E0)); +#6829=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6830=AXIS2_PLACEMENT_3D('',#6827,#6828,#6829); +#6832=CARTESIAN_POINT('',(1.4E1,-4.E0,-3.805E1)); +#6833=DIRECTION('',(0.E0,0.E0,1.E0)); +#6834=DIRECTION('',(1.E0,0.E0,0.E0)); +#6835=AXIS2_PLACEMENT_3D('',#6832,#6833,#6834); +#6837=CARTESIAN_POINT('',(1.4E1,-4.E0,-3.805E1)); +#6838=DIRECTION('',(0.E0,0.E0,1.E0)); +#6839=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6840=AXIS2_PLACEMENT_3D('',#6837,#6838,#6839); +#6842=CARTESIAN_POINT('',(2.2E1,-4.E0,-3.805E1)); +#6843=DIRECTION('',(0.E0,0.E0,1.E0)); +#6844=DIRECTION('',(1.E0,0.E0,0.E0)); +#6845=AXIS2_PLACEMENT_3D('',#6842,#6843,#6844); +#6847=CARTESIAN_POINT('',(2.2E1,-4.E0,-3.805E1)); +#6848=DIRECTION('',(0.E0,0.E0,1.E0)); +#6849=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6850=AXIS2_PLACEMENT_3D('',#6847,#6848,#6849); +#6852=CARTESIAN_POINT('',(-1.8E1,4.E0,-3.805E1)); +#6853=DIRECTION('',(0.E0,0.E0,1.E0)); +#6854=DIRECTION('',(1.E0,0.E0,0.E0)); +#6855=AXIS2_PLACEMENT_3D('',#6852,#6853,#6854); +#6857=CARTESIAN_POINT('',(-1.8E1,4.E0,-3.805E1)); +#6858=DIRECTION('',(0.E0,0.E0,1.E0)); +#6859=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6860=AXIS2_PLACEMENT_3D('',#6857,#6858,#6859); +#6862=CARTESIAN_POINT('',(-1.8E1,-4.E0,-3.805E1)); +#6863=DIRECTION('',(0.E0,0.E0,1.E0)); +#6864=DIRECTION('',(1.E0,0.E0,0.E0)); +#6865=AXIS2_PLACEMENT_3D('',#6862,#6863,#6864); +#6867=CARTESIAN_POINT('',(-1.8E1,-4.E0,-3.805E1)); +#6868=DIRECTION('',(0.E0,0.E0,1.E0)); +#6869=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6870=AXIS2_PLACEMENT_3D('',#6867,#6868,#6869); +#6872=CARTESIAN_POINT('',(-1.2E1,0.E0,-3.805E1)); +#6873=DIRECTION('',(0.E0,0.E0,1.E0)); +#6874=DIRECTION('',(1.E0,0.E0,0.E0)); +#6875=AXIS2_PLACEMENT_3D('',#6872,#6873,#6874); +#6877=CARTESIAN_POINT('',(-1.2E1,0.E0,-3.805E1)); +#6878=DIRECTION('',(0.E0,0.E0,1.E0)); +#6879=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6880=AXIS2_PLACEMENT_3D('',#6877,#6878,#6879); +#6882=CARTESIAN_POINT('',(-1.E1,4.E0,-3.805E1)); +#6883=DIRECTION('',(0.E0,0.E0,1.E0)); +#6884=DIRECTION('',(1.E0,0.E0,0.E0)); +#6885=AXIS2_PLACEMENT_3D('',#6882,#6883,#6884); +#6887=CARTESIAN_POINT('',(-1.E1,4.E0,-3.805E1)); +#6888=DIRECTION('',(0.E0,0.E0,1.E0)); +#6889=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6890=AXIS2_PLACEMENT_3D('',#6887,#6888,#6889); +#6892=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-3.805E1)); +#6893=DIRECTION('',(0.E0,0.E0,1.E0)); +#6894=DIRECTION('',(1.E0,0.E0,0.E0)); +#6895=AXIS2_PLACEMENT_3D('',#6892,#6893,#6894); +#6897=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-3.805E1)); +#6898=DIRECTION('',(0.E0,0.E0,1.E0)); +#6899=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6900=AXIS2_PLACEMENT_3D('',#6897,#6898,#6899); +#6902=CARTESIAN_POINT('',(-1.E1,-4.E0,-3.805E1)); +#6903=DIRECTION('',(0.E0,0.E0,1.E0)); +#6904=DIRECTION('',(1.E0,0.E0,0.E0)); +#6905=AXIS2_PLACEMENT_3D('',#6902,#6903,#6904); +#6907=CARTESIAN_POINT('',(-1.E1,-4.E0,-3.805E1)); +#6908=DIRECTION('',(0.E0,0.E0,1.E0)); +#6909=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6910=AXIS2_PLACEMENT_3D('',#6907,#6908,#6909); +#6912=CARTESIAN_POINT('',(1.E1,4.E0,-3.805E1)); +#6913=DIRECTION('',(0.E0,0.E0,1.E0)); +#6914=DIRECTION('',(1.E0,0.E0,0.E0)); +#6915=AXIS2_PLACEMENT_3D('',#6912,#6913,#6914); +#6917=CARTESIAN_POINT('',(1.E1,4.E0,-3.805E1)); +#6918=DIRECTION('',(0.E0,0.E0,1.E0)); +#6919=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6920=AXIS2_PLACEMENT_3D('',#6917,#6918,#6919); +#6922=CARTESIAN_POINT('',(1.8E1,4.E0,-3.805E1)); +#6923=DIRECTION('',(0.E0,0.E0,1.E0)); +#6924=DIRECTION('',(1.E0,0.E0,0.E0)); +#6925=AXIS2_PLACEMENT_3D('',#6922,#6923,#6924); +#6927=CARTESIAN_POINT('',(1.8E1,4.E0,-3.805E1)); +#6928=DIRECTION('',(0.E0,0.E0,1.E0)); +#6929=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6930=AXIS2_PLACEMENT_3D('',#6927,#6928,#6929); +#6932=CARTESIAN_POINT('',(2.E1,0.E0,-3.805E1)); +#6933=DIRECTION('',(0.E0,0.E0,1.E0)); +#6934=DIRECTION('',(1.E0,0.E0,0.E0)); +#6935=AXIS2_PLACEMENT_3D('',#6932,#6933,#6934); +#6937=CARTESIAN_POINT('',(2.E1,0.E0,-3.805E1)); +#6938=DIRECTION('',(0.E0,0.E0,1.E0)); +#6939=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6940=AXIS2_PLACEMENT_3D('',#6937,#6938,#6939); +#6942=CARTESIAN_POINT('',(1.6E1,0.E0,-3.805E1)); +#6943=DIRECTION('',(0.E0,0.E0,1.E0)); +#6944=DIRECTION('',(1.E0,0.E0,0.E0)); +#6945=AXIS2_PLACEMENT_3D('',#6942,#6943,#6944); +#6947=CARTESIAN_POINT('',(1.6E1,0.E0,-3.805E1)); +#6948=DIRECTION('',(0.E0,0.E0,1.E0)); +#6949=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6950=AXIS2_PLACEMENT_3D('',#6947,#6948,#6949); +#6952=CARTESIAN_POINT('',(1.8E1,-4.E0,-3.805E1)); +#6953=DIRECTION('',(0.E0,0.E0,1.E0)); +#6954=DIRECTION('',(1.E0,0.E0,0.E0)); +#6955=AXIS2_PLACEMENT_3D('',#6952,#6953,#6954); +#6957=CARTESIAN_POINT('',(1.8E1,-4.E0,-3.805E1)); +#6958=DIRECTION('',(0.E0,0.E0,1.E0)); +#6959=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6960=AXIS2_PLACEMENT_3D('',#6957,#6958,#6959); +#6962=CARTESIAN_POINT('',(1.E1,-4.E0,-3.805E1)); +#6963=DIRECTION('',(0.E0,0.E0,1.E0)); +#6964=DIRECTION('',(1.E0,0.E0,0.E0)); +#6965=AXIS2_PLACEMENT_3D('',#6962,#6963,#6964); +#6967=CARTESIAN_POINT('',(1.E1,-4.E0,-3.805E1)); +#6968=DIRECTION('',(0.E0,0.E0,1.E0)); +#6969=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6970=AXIS2_PLACEMENT_3D('',#6967,#6968,#6969); +#6972=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6973=VECTOR('',#6972,1.205E1); +#6974=CARTESIAN_POINT('',(-1.335E1,4.E0,-2.6E1)); +#6975=LINE('',#6974,#6973); +#6976=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6977=VECTOR('',#6976,1.205E1); +#6978=CARTESIAN_POINT('',(-1.465E1,4.E0,-2.6E1)); +#6979=LINE('',#6978,#6977); +#6980=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6981=VECTOR('',#6980,1.205E1); +#6982=CARTESIAN_POINT('',(-5.35E0,4.E0,-2.6E1)); +#6983=LINE('',#6982,#6981); +#6984=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6985=VECTOR('',#6984,1.205E1); +#6986=CARTESIAN_POINT('',(-6.65E0,4.E0,-2.6E1)); +#6987=LINE('',#6986,#6985); +#6988=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6989=VECTOR('',#6988,1.205E1); +#6990=CARTESIAN_POINT('',(-1.35E0,4.E0,-2.6E1)); +#6991=LINE('',#6990,#6989); +#6992=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6993=VECTOR('',#6992,1.205E1); +#6994=CARTESIAN_POINT('',(-2.65E0,4.E0,-2.6E1)); +#6995=LINE('',#6994,#6993); +#6996=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6997=VECTOR('',#6996,1.205E1); +#6998=CARTESIAN_POINT('',(2.65E0,4.E0,-2.6E1)); +#6999=LINE('',#6998,#6997); +#7000=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7001=VECTOR('',#7000,1.205E1); +#7002=CARTESIAN_POINT('',(1.35E0,4.E0,-2.6E1)); +#7003=LINE('',#7002,#7001); +#7004=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7005=VECTOR('',#7004,1.205E1); +#7006=CARTESIAN_POINT('',(6.65E0,4.E0,-2.6E1)); +#7007=LINE('',#7006,#7005); +#7008=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7009=VECTOR('',#7008,1.205E1); +#7010=CARTESIAN_POINT('',(5.35E0,4.E0,-2.6E1)); +#7011=LINE('',#7010,#7009); +#7012=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7013=VECTOR('',#7012,1.205E1); +#7014=CARTESIAN_POINT('',(1.465E1,4.E0,-2.6E1)); +#7015=LINE('',#7014,#7013); +#7016=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7017=VECTOR('',#7016,1.205E1); +#7018=CARTESIAN_POINT('',(1.335E1,4.E0,-2.6E1)); +#7019=LINE('',#7018,#7017); +#7020=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7021=VECTOR('',#7020,1.205E1); +#7022=CARTESIAN_POINT('',(2.265E1,4.E0,-2.6E1)); +#7023=LINE('',#7022,#7021); +#7024=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7025=VECTOR('',#7024,1.205E1); +#7026=CARTESIAN_POINT('',(2.135E1,4.E0,-2.6E1)); +#7027=LINE('',#7026,#7025); +#7028=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7029=VECTOR('',#7028,1.205E1); +#7030=CARTESIAN_POINT('',(-1.935E1,0.E0,-2.6E1)); +#7031=LINE('',#7030,#7029); +#7032=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7033=VECTOR('',#7032,1.205E1); +#7034=CARTESIAN_POINT('',(-2.065E1,0.E0,-2.6E1)); +#7035=LINE('',#7034,#7033); +#7036=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7037=VECTOR('',#7036,1.205E1); +#7038=CARTESIAN_POINT('',(-1.535E1,0.E0,-2.6E1)); +#7039=LINE('',#7038,#7037); +#7040=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7041=VECTOR('',#7040,1.205E1); +#7042=CARTESIAN_POINT('',(-1.665E1,0.E0,-2.6E1)); +#7043=LINE('',#7042,#7041); +#7044=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7045=VECTOR('',#7044,1.205E1); +#7046=CARTESIAN_POINT('',(-3.35E0,0.E0,-2.6E1)); +#7047=LINE('',#7046,#7045); +#7048=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7049=VECTOR('',#7048,1.205E1); +#7050=CARTESIAN_POINT('',(-4.65E0,0.E0,-2.6E1)); +#7051=LINE('',#7050,#7049); +#7052=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7053=VECTOR('',#7052,1.205E1); +#7054=CARTESIAN_POINT('',(6.5E-1,0.E0,-2.6E1)); +#7055=LINE('',#7054,#7053); +#7056=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7057=VECTOR('',#7056,1.205E1); +#7058=CARTESIAN_POINT('',(-6.5E-1,0.E0,-2.6E1)); +#7059=LINE('',#7058,#7057); +#7060=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7061=VECTOR('',#7060,1.205E1); +#7062=CARTESIAN_POINT('',(4.65E0,0.E0,-2.6E1)); +#7063=LINE('',#7062,#7061); +#7064=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7065=VECTOR('',#7064,1.205E1); +#7066=CARTESIAN_POINT('',(3.35E0,0.E0,-2.6E1)); +#7067=LINE('',#7066,#7065); +#7068=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7069=VECTOR('',#7068,1.205E1); +#7070=CARTESIAN_POINT('',(8.649999999999E0,0.E0,-2.6E1)); +#7071=LINE('',#7070,#7069); +#7072=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7073=VECTOR('',#7072,1.205E1); +#7074=CARTESIAN_POINT('',(7.349999999999E0,0.E0,-2.6E1)); +#7075=LINE('',#7074,#7073); +#7076=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7077=VECTOR('',#7076,1.205E1); +#7078=CARTESIAN_POINT('',(1.265E1,0.E0,-2.6E1)); +#7079=LINE('',#7078,#7077); +#7080=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7081=VECTOR('',#7080,1.205E1); +#7082=CARTESIAN_POINT('',(1.135E1,0.E0,-2.6E1)); +#7083=LINE('',#7082,#7081); +#7084=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7085=VECTOR('',#7084,1.205E1); +#7086=CARTESIAN_POINT('',(-2.135E1,-4.E0,-2.6E1)); +#7087=LINE('',#7086,#7085); +#7088=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7089=VECTOR('',#7088,1.205E1); +#7090=CARTESIAN_POINT('',(-2.265E1,-4.E0,-2.6E1)); +#7091=LINE('',#7090,#7089); +#7092=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7093=VECTOR('',#7092,1.205E1); +#7094=CARTESIAN_POINT('',(-1.335E1,-4.E0,-2.6E1)); +#7095=LINE('',#7094,#7093); +#7096=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7097=VECTOR('',#7096,1.205E1); +#7098=CARTESIAN_POINT('',(-1.465E1,-4.E0,-2.6E1)); +#7099=LINE('',#7098,#7097); +#7100=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7101=VECTOR('',#7100,1.205E1); +#7102=CARTESIAN_POINT('',(-5.35E0,-4.E0,-2.6E1)); +#7103=LINE('',#7102,#7101); +#7104=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7105=VECTOR('',#7104,1.205E1); +#7106=CARTESIAN_POINT('',(-6.65E0,-4.E0,-2.6E1)); +#7107=LINE('',#7106,#7105); +#7108=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7109=VECTOR('',#7108,1.205E1); +#7110=CARTESIAN_POINT('',(-1.35E0,-4.E0,-2.6E1)); +#7111=LINE('',#7110,#7109); +#7112=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7113=VECTOR('',#7112,1.205E1); +#7114=CARTESIAN_POINT('',(-2.65E0,-4.E0,-2.6E1)); +#7115=LINE('',#7114,#7113); +#7116=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7117=VECTOR('',#7116,1.205E1); +#7118=CARTESIAN_POINT('',(2.65E0,-4.E0,-2.6E1)); +#7119=LINE('',#7118,#7117); +#7120=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7121=VECTOR('',#7120,1.205E1); +#7122=CARTESIAN_POINT('',(1.35E0,-4.E0,-2.6E1)); +#7123=LINE('',#7122,#7121); +#7124=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7125=VECTOR('',#7124,1.205E1); +#7126=CARTESIAN_POINT('',(6.65E0,-4.E0,-2.6E1)); +#7127=LINE('',#7126,#7125); +#7128=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7129=VECTOR('',#7128,1.205E1); +#7130=CARTESIAN_POINT('',(5.35E0,-4.E0,-2.6E1)); +#7131=LINE('',#7130,#7129); +#7132=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7133=VECTOR('',#7132,1.205E1); +#7134=CARTESIAN_POINT('',(1.465E1,-4.E0,-2.6E1)); +#7135=LINE('',#7134,#7133); +#7136=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7137=VECTOR('',#7136,1.205E1); +#7138=CARTESIAN_POINT('',(1.335E1,-4.E0,-2.6E1)); +#7139=LINE('',#7138,#7137); +#7140=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7141=VECTOR('',#7140,1.205E1); +#7142=CARTESIAN_POINT('',(2.265E1,-4.E0,-2.6E1)); +#7143=LINE('',#7142,#7141); +#7144=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7145=VECTOR('',#7144,1.205E1); +#7146=CARTESIAN_POINT('',(2.135E1,-4.E0,-2.6E1)); +#7147=LINE('',#7146,#7145); +#7148=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7149=VECTOR('',#7148,1.205E1); +#7150=CARTESIAN_POINT('',(-1.735E1,4.E0,-2.6E1)); +#7151=LINE('',#7150,#7149); +#7152=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7153=VECTOR('',#7152,1.205E1); +#7154=CARTESIAN_POINT('',(-1.865E1,4.E0,-2.6E1)); +#7155=LINE('',#7154,#7153); +#7156=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7157=VECTOR('',#7156,1.205E1); +#7158=CARTESIAN_POINT('',(-1.735E1,-4.E0,-2.6E1)); +#7159=LINE('',#7158,#7157); +#7160=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7161=VECTOR('',#7160,1.205E1); +#7162=CARTESIAN_POINT('',(-1.865E1,-4.E0,-2.6E1)); +#7163=LINE('',#7162,#7161); +#7164=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7165=VECTOR('',#7164,1.205E1); +#7166=CARTESIAN_POINT('',(-1.135E1,0.E0,-2.6E1)); +#7167=LINE('',#7166,#7165); +#7168=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7169=VECTOR('',#7168,1.205E1); +#7170=CARTESIAN_POINT('',(-1.265E1,0.E0,-2.6E1)); +#7171=LINE('',#7170,#7169); +#7172=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7173=VECTOR('',#7172,1.205E1); +#7174=CARTESIAN_POINT('',(-9.35E0,4.E0,-2.6E1)); +#7175=LINE('',#7174,#7173); +#7176=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7177=VECTOR('',#7176,1.205E1); +#7178=CARTESIAN_POINT('',(-1.065E1,4.E0,-2.6E1)); +#7179=LINE('',#7178,#7177); +#7180=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7181=VECTOR('',#7180,1.205E1); +#7182=CARTESIAN_POINT('',(-7.349999999999E0,0.E0,-2.6E1)); +#7183=LINE('',#7182,#7181); +#7184=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7185=VECTOR('',#7184,1.205E1); +#7186=CARTESIAN_POINT('',(-8.649999999999E0,0.E0,-2.6E1)); +#7187=LINE('',#7186,#7185); +#7188=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7189=VECTOR('',#7188,1.205E1); +#7190=CARTESIAN_POINT('',(-9.35E0,-4.E0,-2.6E1)); +#7191=LINE('',#7190,#7189); +#7192=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7193=VECTOR('',#7192,1.205E1); +#7194=CARTESIAN_POINT('',(-1.065E1,-4.E0,-2.6E1)); +#7195=LINE('',#7194,#7193); +#7196=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7197=VECTOR('',#7196,1.205E1); +#7198=CARTESIAN_POINT('',(1.065E1,4.E0,-2.6E1)); +#7199=LINE('',#7198,#7197); +#7200=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7201=VECTOR('',#7200,1.205E1); +#7202=CARTESIAN_POINT('',(9.35E0,4.E0,-2.6E1)); +#7203=LINE('',#7202,#7201); +#7204=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7205=VECTOR('',#7204,1.205E1); +#7206=CARTESIAN_POINT('',(1.865E1,4.E0,-2.6E1)); +#7207=LINE('',#7206,#7205); +#7208=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7209=VECTOR('',#7208,1.205E1); +#7210=CARTESIAN_POINT('',(1.735E1,4.E0,-2.6E1)); +#7211=LINE('',#7210,#7209); +#7212=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7213=VECTOR('',#7212,1.205E1); +#7214=CARTESIAN_POINT('',(2.065E1,0.E0,-2.6E1)); +#7215=LINE('',#7214,#7213); +#7216=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7217=VECTOR('',#7216,1.205E1); +#7218=CARTESIAN_POINT('',(1.935E1,0.E0,-2.6E1)); +#7219=LINE('',#7218,#7217); +#7220=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7221=VECTOR('',#7220,1.205E1); +#7222=CARTESIAN_POINT('',(1.665E1,0.E0,-2.6E1)); +#7223=LINE('',#7222,#7221); +#7224=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7225=VECTOR('',#7224,1.205E1); +#7226=CARTESIAN_POINT('',(1.535E1,0.E0,-2.6E1)); +#7227=LINE('',#7226,#7225); +#7228=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7229=VECTOR('',#7228,1.205E1); +#7230=CARTESIAN_POINT('',(1.865E1,-4.E0,-2.6E1)); +#7231=LINE('',#7230,#7229); +#7232=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7233=VECTOR('',#7232,1.205E1); +#7234=CARTESIAN_POINT('',(1.735E1,-4.E0,-2.6E1)); +#7235=LINE('',#7234,#7233); +#7236=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7237=VECTOR('',#7236,1.205E1); +#7238=CARTESIAN_POINT('',(1.065E1,-4.E0,-2.6E1)); +#7239=LINE('',#7238,#7237); +#7240=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7241=VECTOR('',#7240,1.205E1); +#7242=CARTESIAN_POINT('',(9.35E0,-4.E0,-2.6E1)); +#7243=LINE('',#7242,#7241); +#7244=CARTESIAN_POINT('',(3.795E1,1.005E1,-2.15E1)); +#7245=DIRECTION('',(0.E0,1.E0,0.E0)); +#7246=DIRECTION('',(1.E0,0.E0,0.E0)); +#7247=AXIS2_PLACEMENT_3D('',#7244,#7245,#7246); +#7249=CARTESIAN_POINT('',(3.795E1,-6.550000000001E0,-2.15E1)); +#7250=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7251=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7252=AXIS2_PLACEMENT_3D('',#7249,#7250,#7251); +#7254=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7255=VECTOR('',#7254,1.66E1); +#7256=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.15E1)); +#7257=LINE('',#7256,#7255); +#7258=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7259=VECTOR('',#7258,2.999999998389E0); +#7260=CARTESIAN_POINT('',(3.845E1,-8.050000000001E0,-2.15E1)); +#7261=LINE('',#7260,#7259); +#7262=DIRECTION('',(0.E0,1.073424016340E-9,-1.E0)); +#7263=VECTOR('',#7262,1.5E0); +#7264=CARTESIAN_POINT('',(3.845E1,-1.105E1,-2.E1)); +#7265=LINE('',#7264,#7263); +#7266=DIRECTION('',(0.E0,0.E0,1.E0)); +#7267=VECTOR('',#7266,7.E0); +#7268=CARTESIAN_POINT('',(3.845E1,-6.550000000001E0,-2.85E1)); +#7269=LINE('',#7268,#7267); +#7270=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7271=VECTOR('',#7270,6.5E0); +#7272=CARTESIAN_POINT('',(3.679687364248E1,-6.550000000001E0,-2.2E1)); +#7273=LINE('',#7272,#7271); +#7274=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-3.375E1)); +#7275=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7276=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7277=AXIS2_PLACEMENT_3D('',#7274,#7275,#7276); +#7279=DIRECTION('',(0.E0,7.283374326930E-10,-1.E0)); +#7280=VECTOR('',#7279,5.25E0); +#7281=CARTESIAN_POINT('',(3.62E1,-7.300000000001E0,-2.85E1)); +#7282=LINE('',#7281,#7280); +#7283=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.85E1)); +#7284=DIRECTION('',(0.E0,0.E0,1.E0)); +#7285=DIRECTION('',(1.E0,0.E0,0.E0)); +#7286=AXIS2_PLACEMENT_3D('',#7283,#7284,#7285); +#7288=DIRECTION('',(4.785997239546E-10,-6.439583225634E-10,1.E0)); +#7289=VECTOR('',#7288,6.5E0); +#7290=CARTESIAN_POINT('',(3.589765522875E1,-5.987499995815E0,-2.85E1)); +#7291=LINE('',#7290,#7289); +#7292=CARTESIAN_POINT('',(3.07E1,-7.300000000001E0,-3.375E1)); +#7293=DIRECTION('',(0.E0,1.E0,0.E0)); +#7294=DIRECTION('',(1.207922650792E-13,0.E0,-1.E0)); +#7295=AXIS2_PLACEMENT_3D('',#7292,#7293,#7294); +#7297=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-3.425E1)); +#7298=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7299=DIRECTION('',(1.E0,0.E0,0.E0)); +#7300=AXIS2_PLACEMENT_3D('',#7297,#7298,#7299); +#7302=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-3.425E1)); +#7303=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7304=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7305=AXIS2_PLACEMENT_3D('',#7302,#7303,#7304); +#7307=CARTESIAN_POINT('',(-3.17E1,1.08E1,-3.425E1)); +#7308=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7309=DIRECTION('',(1.E0,0.E0,0.E0)); +#7310=AXIS2_PLACEMENT_3D('',#7307,#7308,#7309); +#7312=CARTESIAN_POINT('',(-3.17E1,1.08E1,-3.425E1)); +#7313=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7314=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7315=AXIS2_PLACEMENT_3D('',#7312,#7313,#7314); +#7317=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-3.425E1)); +#7318=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7319=DIRECTION('',(1.E0,0.E0,0.E0)); +#7320=AXIS2_PLACEMENT_3D('',#7317,#7318,#7319); +#7322=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-3.425E1)); +#7323=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7324=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7325=AXIS2_PLACEMENT_3D('',#7322,#7323,#7324); +#7327=CARTESIAN_POINT('',(3.17E1,1.08E1,-3.425E1)); +#7328=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7329=DIRECTION('',(1.E0,0.E0,0.E0)); +#7330=AXIS2_PLACEMENT_3D('',#7327,#7328,#7329); +#7332=CARTESIAN_POINT('',(3.17E1,1.08E1,-3.425E1)); +#7333=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7334=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7335=AXIS2_PLACEMENT_3D('',#7332,#7333,#7334); +#7337=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-3.425E1)); +#7338=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7339=DIRECTION('',(1.E0,0.E0,0.E0)); +#7340=AXIS2_PLACEMENT_3D('',#7337,#7338,#7339); +#7342=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-3.425E1)); +#7343=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7344=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7345=AXIS2_PLACEMENT_3D('',#7342,#7343,#7344); +#7347=CARTESIAN_POINT('',(-3.17E1,1.08E1,-3.425E1)); +#7348=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7349=DIRECTION('',(1.E0,0.E0,0.E0)); +#7350=AXIS2_PLACEMENT_3D('',#7347,#7348,#7349); +#7352=CARTESIAN_POINT('',(-3.17E1,1.08E1,-3.425E1)); +#7353=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7354=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7355=AXIS2_PLACEMENT_3D('',#7352,#7353,#7354); +#7357=CARTESIAN_POINT('',(-3.07E1,-7.300000000001E0,-3.375E1)); +#7358=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7359=DIRECTION('',(-1.207922650792E-13,0.E0,-1.E0)); +#7360=AXIS2_PLACEMENT_3D('',#7357,#7358,#7359); +#7362=CARTESIAN_POINT('',(-3.57E1,-7.300000000001E0,-3.375E1)); +#7363=DIRECTION('',(0.E0,1.E0,0.E0)); +#7364=DIRECTION('',(9.947598300641E-14,0.E0,-1.E0)); +#7365=AXIS2_PLACEMENT_3D('',#7362,#7363,#7364); +#7367=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-3.375E1)); +#7368=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7369=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7370=AXIS2_PLACEMENT_3D('',#7367,#7368,#7369); +#7372=DIRECTION('',(0.E0,3.254273635437E-10,-1.E0)); +#7373=VECTOR('',#7372,1.175E1); +#7374=CARTESIAN_POINT('',(-3.02E1,-7.300000000001E0,-2.2E1)); +#7375=LINE('',#7374,#7373); +#7376=DIRECTION('',(-4.786073759533E-10,-6.439659745620E-10,1.E0)); +#7377=VECTOR('',#7376,6.5E0); +#7378=CARTESIAN_POINT('',(-3.589765522875E1,-5.987499995815E0,-2.85E1)); +#7379=LINE('',#7378,#7377); +#7380=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.85E1)); +#7381=DIRECTION('',(0.E0,0.E0,1.E0)); +#7382=DIRECTION('',(-8.992184083790E-1,4.375000046085E-1,0.E0)); +#7383=AXIS2_PLACEMENT_3D('',#7380,#7381,#7382); +#7385=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-3.375E1)); +#7386=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7387=DIRECTION('',(1.E0,0.E0,0.E0)); +#7388=AXIS2_PLACEMENT_3D('',#7385,#7386,#7387); +#7390=DIRECTION('',(0.E0,-7.283377710467E-10,-1.E0)); +#7391=VECTOR('',#7390,5.25E0); +#7392=CARTESIAN_POINT('',(-3.62E1,-7.300000000001E0,-2.85E1)); +#7393=LINE('',#7392,#7391); +#7394=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.85E1)); +#7395=DIRECTION('',(0.E0,0.E0,1.E0)); +#7396=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7397=AXIS2_PLACEMENT_3D('',#7394,#7395,#7396); +#7399=DIRECTION('',(-1.733942903789E-11,2.332766458634E-11,1.E0)); +#7400=VECTOR('',#7399,6.5E0); +#7401=CARTESIAN_POINT('',(-3.589765523175E1,-8.612500000152E0,-2.85E1)); +#7402=LINE('',#7401,#7400); +#7403=CARTESIAN_POINT('',(3.529687364248E1,9.049999999999E0,-2.85E1)); +#7404=DIRECTION('',(0.E0,0.E0,1.E0)); +#7405=DIRECTION('',(-1.421085471520E-14,1.E0,0.E0)); +#7406=AXIS2_PLACEMENT_3D('',#7403,#7404,#7405); +#7408=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7409=VECTOR('',#7408,3.153126357515E0); +#7410=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.85E1)); +#7411=LINE('',#7410,#7409); +#7412=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7413=VECTOR('',#7412,1.000000000001E0); +#7414=CARTESIAN_POINT('',(3.845E1,1.105E1,-2.85E1)); +#7415=LINE('',#7414,#7413); +#7416=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.85E1)); +#7417=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7418=DIRECTION('',(9.949874371066E-1,9.999999999986E-2,0.E0)); +#7419=AXIS2_PLACEMENT_3D('',#7416,#7417,#7418); +#7421=DIRECTION('',(1.E0,0.E0,0.E0)); +#7422=VECTOR('',#7421,3.128063543049E0); +#7423=CARTESIAN_POINT('',(3.529687364248E1,1.155E1,-2.85E1)); +#7424=LINE('',#7423,#7422); +#7425=CARTESIAN_POINT('',(3.529687364248E1,1.255E1,-2.85E1)); +#7426=DIRECTION('',(0.E0,0.E0,1.E0)); +#7427=DIRECTION('',(-8.992184108649E-1,-4.374999994989E-1,0.E0)); +#7428=AXIS2_PLACEMENT_3D('',#7425,#7426,#7427); +#7430=CARTESIAN_POINT('',(-3.529687364248E1,1.255E1,-2.85E1)); +#7431=DIRECTION('',(0.E0,0.E0,1.E0)); +#7432=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7433=AXIS2_PLACEMENT_3D('',#7430,#7431,#7432); +#7435=DIRECTION('',(1.E0,0.E0,0.E0)); +#7436=VECTOR('',#7435,3.128063543049E0); +#7437=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.85E1)); +#7438=LINE('',#7437,#7436); +#7439=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.85E1)); +#7440=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7441=DIRECTION('',(-1.E0,-1.278976924368E-14,0.E0)); +#7442=AXIS2_PLACEMENT_3D('',#7439,#7440,#7441); +#7444=DIRECTION('',(1.421085471519E-14,1.E0,0.E0)); +#7445=VECTOR('',#7444,1.000000000001E0); +#7446=CARTESIAN_POINT('',(-3.845E1,1.005E1,-2.85E1)); +#7447=LINE('',#7446,#7445); +#7448=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7449=VECTOR('',#7448,3.153126357515E0); +#7450=CARTESIAN_POINT('',(-3.529687364248E1,1.005E1,-2.85E1)); +#7451=LINE('',#7450,#7449); +#7452=CARTESIAN_POINT('',(-3.529687364248E1,9.049999999999E0,-2.85E1)); +#7453=DIRECTION('',(0.E0,0.E0,1.E0)); +#7454=DIRECTION('',(8.992184173476E-1,4.374999861746E-1,0.E0)); +#7455=AXIS2_PLACEMENT_3D('',#7452,#7453,#7454); +#7457=CARTESIAN_POINT('',(-3.679687364248E1,-5.550000000001E0,-2.85E1)); +#7458=DIRECTION('',(0.E0,0.E0,1.E0)); +#7459=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7460=AXIS2_PLACEMENT_3D('',#7457,#7458,#7459); +#7462=DIRECTION('',(1.E0,0.E0,0.E0)); +#7463=VECTOR('',#7462,1.653126357515E0); +#7464=CARTESIAN_POINT('',(-3.845E1,-6.550000000001E0,-2.85E1)); +#7465=LINE('',#7464,#7463); +#7466=DIRECTION('',(0.E0,1.E0,0.E0)); +#7467=VECTOR('',#7466,1.5E0); +#7468=CARTESIAN_POINT('',(-3.845E1,-8.050000000001E0,-2.85E1)); +#7469=LINE('',#7468,#7467); +#7470=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7471=VECTOR('',#7470,1.653126357515E0); +#7472=CARTESIAN_POINT('',(-3.679687364248E1,-8.050000000001E0,-2.85E1)); +#7473=LINE('',#7472,#7471); +#7474=CARTESIAN_POINT('',(-3.679687364248E1,-9.050000000001E0,-2.85E1)); +#7475=DIRECTION('',(0.E0,0.E0,1.E0)); +#7476=DIRECTION('',(8.992184108649E-1,4.374999994990E-1,0.E0)); +#7477=AXIS2_PLACEMENT_3D('',#7474,#7475,#7476); +#7479=CARTESIAN_POINT('',(3.679687364248E1,-9.050000000001E0,-2.85E1)); +#7480=DIRECTION('',(0.E0,0.E0,1.E0)); +#7481=DIRECTION('',(0.E0,1.E0,0.E0)); +#7482=AXIS2_PLACEMENT_3D('',#7479,#7480,#7481); +#7484=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7485=VECTOR('',#7484,1.653126357515E0); +#7486=CARTESIAN_POINT('',(3.845E1,-8.050000000001E0,-2.85E1)); +#7487=LINE('',#7486,#7485); +#7488=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7489=VECTOR('',#7488,1.5E0); +#7490=CARTESIAN_POINT('',(3.845E1,-6.550000000001E0,-2.85E1)); +#7491=LINE('',#7490,#7489); +#7492=DIRECTION('',(1.E0,0.E0,0.E0)); +#7493=VECTOR('',#7492,1.653126357515E0); +#7494=CARTESIAN_POINT('',(3.679687364248E1,-6.550000000001E0,-2.85E1)); +#7495=LINE('',#7494,#7493); +#7496=CARTESIAN_POINT('',(3.679687364248E1,-5.550000000001E0,-2.85E1)); +#7497=DIRECTION('',(0.E0,0.E0,1.E0)); +#7498=DIRECTION('',(-8.992184173477E-1,-4.374999861745E-1,0.E0)); +#7499=AXIS2_PLACEMENT_3D('',#7496,#7497,#7498); +#7501=CARTESIAN_POINT('',(3.17E1,1.08E1,-3.375E1)); +#7502=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7503=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7504=AXIS2_PLACEMENT_3D('',#7501,#7502,#7503); +#7506=DIRECTION('',(0.E0,7.283387861077E-10,-1.E0)); +#7507=VECTOR('',#7506,5.25E0); +#7508=CARTESIAN_POINT('',(3.47E1,1.08E1,-2.85E1)); +#7509=LINE('',#7508,#7507); +#7510=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.85E1)); +#7511=DIRECTION('',(0.E0,0.E0,1.E0)); +#7512=DIRECTION('',(1.E0,0.E0,0.E0)); +#7513=AXIS2_PLACEMENT_3D('',#7510,#7511,#7512); +#7515=DIRECTION('',(1.734380160857E-11,-2.333804944171E-11,1.E0)); +#7516=VECTOR('',#7515,6.5E0); +#7517=CARTESIAN_POINT('',(3.439765523175E1,1.211250000015E1,-2.85E1)); +#7518=LINE('',#7517,#7516); +#7519=CARTESIAN_POINT('',(2.92E1,1.08E1,-3.375E1)); +#7520=DIRECTION('',(0.E0,1.E0,0.E0)); +#7521=DIRECTION('',(1.136868377216E-13,0.E0,-1.E0)); +#7522=AXIS2_PLACEMENT_3D('',#7519,#7520,#7521); +#7524=CARTESIAN_POINT('',(3.42E1,1.08E1,-3.375E1)); +#7525=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7526=DIRECTION('',(-9.947598300641E-14,0.E0,-1.E0)); +#7527=AXIS2_PLACEMENT_3D('',#7524,#7525,#7526); +#7529=CARTESIAN_POINT('',(3.17E1,1.08E1,-3.375E1)); +#7530=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7531=DIRECTION('',(1.E0,0.E0,0.E0)); +#7532=AXIS2_PLACEMENT_3D('',#7529,#7530,#7531); +#7534=DIRECTION('',(0.E0,-3.254272123644E-10,-1.E0)); +#7535=VECTOR('',#7534,1.175E1); +#7536=CARTESIAN_POINT('',(2.87E1,1.08E1,-2.2E1)); +#7537=LINE('',#7536,#7535); +#7538=DIRECTION('',(4.786139348093E-10,6.439760861318E-10,1.E0)); +#7539=VECTOR('',#7538,6.5E0); +#7540=CARTESIAN_POINT('',(3.439765522875E1,9.487499995813E0,-2.85E1)); +#7541=LINE('',#7540,#7539); +#7542=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.85E1)); +#7543=DIRECTION('',(0.E0,0.E0,1.E0)); +#7544=DIRECTION('',(8.992184083790E-1,-4.375000046085E-1,0.E0)); +#7545=AXIS2_PLACEMENT_3D('',#7542,#7543,#7544); +#7547=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7548=VECTOR('',#7547,6.5E0); +#7549=CARTESIAN_POINT('',(3.529687364248E1,1.005E1,-2.2E1)); +#7550=LINE('',#7549,#7548); +#7551=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7552=VECTOR('',#7551,7.E0); +#7553=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.15E1)); +#7554=LINE('',#7553,#7552); +#7555=DIRECTION('',(0.E0,0.E0,1.E0)); +#7556=VECTOR('',#7555,7.E0); +#7557=CARTESIAN_POINT('',(3.842493718553E1,1.155E1,-2.85E1)); +#7558=LINE('',#7557,#7556); +#7559=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7560=VECTOR('',#7559,6.5E0); +#7561=CARTESIAN_POINT('',(3.529687364248E1,1.155E1,-2.2E1)); +#7562=LINE('',#7561,#7560); +#7563=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.15E1)); +#7564=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7565=DIRECTION('',(0.E0,1.E0,0.E0)); +#7566=AXIS2_PLACEMENT_3D('',#7563,#7564,#7565); +#7568=DIRECTION('',(0.E0,-2.591391153949E-14,1.E0)); +#7569=VECTOR('',#7568,8.5E0); +#7570=CARTESIAN_POINT('',(3.845E1,1.105E1,-2.85E1)); +#7571=LINE('',#7570,#7569); +#7572=CARTESIAN_POINT('',(3.345E1,1.555E1,-2.15E1)); +#7573=DIRECTION('',(1.E0,0.E0,0.E0)); +#7574=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7575=AXIS2_PLACEMENT_3D('',#7572,#7573,#7574); +#7577=CARTESIAN_POINT('',(3.792213595500E1,1.155E1,-2.2E1)); +#7578=CARTESIAN_POINT('',(3.797652769788E1,1.155E1,-2.2E1)); +#7579=CARTESIAN_POINT('',(3.807946135424E1,1.155E1,-2.198349656249E1)); +#7580=CARTESIAN_POINT('',(3.822366888325E1,1.155E1,-2.191056764720E1)); +#7581=CARTESIAN_POINT('',(3.833565989918E1,1.155E1,-2.179888295317E1)); +#7582=CARTESIAN_POINT('',(3.840847166685E1,1.155E1,-2.165581896692E1)); +#7583=CARTESIAN_POINT('',(3.842493718553E1,1.155E1,-2.155383736937E1)); +#7584=CARTESIAN_POINT('',(3.842493718553E1,1.155E1,-2.15E1)); +#7586=CARTESIAN_POINT('',(-3.345E1,1.555E1,-2.15E1)); +#7587=DIRECTION('',(1.E0,0.E0,0.E0)); +#7588=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7589=AXIS2_PLACEMENT_3D('',#7586,#7587,#7588); +#7591=DIRECTION('',(1.E0,0.E0,0.E0)); +#7592=VECTOR('',#7591,6.689999999753E1); +#7593=CARTESIAN_POINT('',(-3.344999999931E1,1.605E1,-2.15E1)); +#7594=LINE('',#7593,#7592); +#7595=DIRECTION('',(-1.190286980091E-9,0.E0,-1.E0)); +#7596=VECTOR('',#7595,1.5E0); +#7597=CARTESIAN_POINT('',(3.345E1,1.605E1,-2.E1)); +#7598=LINE('',#7597,#7596); +#7599=CARTESIAN_POINT('',(-3.17E1,1.08E1,-3.375E1)); +#7600=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7601=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7602=AXIS2_PLACEMENT_3D('',#7599,#7600,#7601); +#7604=DIRECTION('',(0.E0,3.254275147230E-10,-1.E0)); +#7605=VECTOR('',#7604,1.175E1); +#7606=CARTESIAN_POINT('',(-2.87E1,1.08E1,-2.2E1)); +#7607=LINE('',#7606,#7605); +#7608=DIRECTION('',(-1.734380160857E-11,-2.333859601304E-11,1.E0)); +#7609=VECTOR('',#7608,6.5E0); +#7610=CARTESIAN_POINT('',(-3.439765523175E1,1.211250000015E1,-2.85E1)); +#7611=LINE('',#7610,#7609); +#7612=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.85E1)); +#7613=DIRECTION('',(0.E0,0.E0,1.E0)); +#7614=DIRECTION('',(-8.992184105399E-1,4.375000001670E-1,0.E0)); +#7615=AXIS2_PLACEMENT_3D('',#7612,#7613,#7614); +#7617=CARTESIAN_POINT('',(-3.42E1,1.08E1,-3.375E1)); +#7618=DIRECTION('',(0.E0,1.E0,0.E0)); +#7619=DIRECTION('',(8.526512829121E-14,0.E0,-1.E0)); +#7620=AXIS2_PLACEMENT_3D('',#7617,#7618,#7619); +#7622=CARTESIAN_POINT('',(-2.92E1,1.08E1,-3.375E1)); +#7623=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7624=DIRECTION('',(-1.136868377216E-13,0.E0,-1.E0)); +#7625=AXIS2_PLACEMENT_3D('',#7622,#7623,#7624); +#7627=CARTESIAN_POINT('',(-3.17E1,1.08E1,-3.375E1)); +#7628=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7629=DIRECTION('',(1.E0,0.E0,0.E0)); +#7630=AXIS2_PLACEMENT_3D('',#7627,#7628,#7629); +#7632=DIRECTION('',(0.E0,-7.283374326930E-10,-1.E0)); +#7633=VECTOR('',#7632,5.25E0); +#7634=CARTESIAN_POINT('',(-3.47E1,1.08E1,-2.85E1)); +#7635=LINE('',#7634,#7633); +#7636=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.85E1)); +#7637=DIRECTION('',(0.E0,0.E0,1.E0)); +#7638=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7639=AXIS2_PLACEMENT_3D('',#7636,#7637,#7638); +#7641=DIRECTION('',(-4.786062828106E-10,6.439646081337E-10,1.E0)); +#7642=VECTOR('',#7641,6.5E0); +#7643=CARTESIAN_POINT('',(-3.439765522875E1,9.487499995814E0,-2.85E1)); +#7644=LINE('',#7643,#7642); +#7645=DIRECTION('',(0.E0,0.E0,1.E0)); +#7646=VECTOR('',#7645,7.E0); +#7647=CARTESIAN_POINT('',(-3.845E1,1.005E1,-2.85E1)); +#7648=LINE('',#7647,#7646); +#7649=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7650=VECTOR('',#7649,6.5E0); +#7651=CARTESIAN_POINT('',(-3.529687364248E1,1.005E1,-2.2E1)); +#7652=LINE('',#7651,#7650); +#7653=DIRECTION('',(0.E0,1.E0,0.E0)); +#7654=VECTOR('',#7653,2.999999999520E0); +#7655=CARTESIAN_POINT('',(-3.845E1,-1.104999999952E1,-2.15E1)); +#7656=LINE('',#7655,#7654); +#7657=DIRECTION('',(0.E0,1.E0,0.E0)); +#7658=VECTOR('',#7657,1.66E1); +#7659=CARTESIAN_POINT('',(-3.845E1,-6.550000000001E0,-2.15E1)); +#7660=LINE('',#7659,#7658); +#7661=CARTESIAN_POINT('',(-3.795E1,-1.105E1,-2.15E1)); +#7662=DIRECTION('',(0.E0,1.E0,0.E0)); +#7663=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7664=AXIS2_PLACEMENT_3D('',#7661,#7662,#7663); +#7666=CARTESIAN_POINT('',(-3.795E1,-8.050000000001E0,-2.15E1)); +#7667=DIRECTION('',(0.E0,1.E0,0.E0)); +#7668=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7669=AXIS2_PLACEMENT_3D('',#7666,#7667,#7668); +#7671=CARTESIAN_POINT('',(-3.345E1,-1.555E1,-2.15E1)); +#7672=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7673=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7674=AXIS2_PLACEMENT_3D('',#7671,#7672,#7673); +#7676=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.15E1)); +#7677=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7678=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7679=AXIS2_PLACEMENT_3D('',#7676,#7677,#7678); +#7681=DIRECTION('',(0.E0,3.193711961558E-10,-1.E0)); +#7682=VECTOR('',#7681,1.5E0); +#7683=CARTESIAN_POINT('',(-3.845E1,-1.105E1,-2.E1)); +#7684=LINE('',#7683,#7682); +#7685=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7686=VECTOR('',#7685,6.689999999791E1); +#7687=CARTESIAN_POINT('',(3.344999999952E1,-1.605E1,-2.15E1)); +#7688=LINE('',#7687,#7686); +#7689=DIRECTION('',(1.073426384816E-9,0.E0,-1.E0)); +#7690=VECTOR('',#7689,1.5E0); +#7691=CARTESIAN_POINT('',(-3.345E1,-1.605E1,-2.E1)); +#7692=LINE('',#7691,#7690); +#7693=CARTESIAN_POINT('',(3.345E1,-1.555E1,-2.15E1)); +#7694=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7695=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7696=AXIS2_PLACEMENT_3D('',#7693,#7694,#7695); +#7698=CARTESIAN_POINT('',(3.795E1,-1.105E1,-2.15E1)); +#7699=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7700=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7701=AXIS2_PLACEMENT_3D('',#7698,#7699,#7700); +#7703=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.15E1)); +#7704=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7705=DIRECTION('',(1.E0,0.E0,0.E0)); +#7706=AXIS2_PLACEMENT_3D('',#7703,#7704,#7705); +#7708=DIRECTION('',(-3.193842227726E-10,0.E0,-1.E0)); +#7709=VECTOR('',#7708,1.5E0); +#7710=CARTESIAN_POINT('',(3.345E1,-1.605E1,-2.E1)); +#7711=LINE('',#7710,#7709); +#7712=CARTESIAN_POINT('',(3.795E1,-8.050000000001E0,-2.15E1)); +#7713=DIRECTION('',(0.E0,1.E0,0.E0)); +#7714=DIRECTION('',(1.E0,0.E0,0.E0)); +#7715=AXIS2_PLACEMENT_3D('',#7712,#7713,#7714); +#7717=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7718=VECTOR('',#7717,7.E0); +#7719=CARTESIAN_POINT('',(3.845E1,-8.050000000001E0,-2.15E1)); +#7720=LINE('',#7719,#7718); +#7721=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7722=VECTOR('',#7721,6.5E0); +#7723=CARTESIAN_POINT('',(3.679687364248E1,-8.050000000001E0,-2.2E1)); +#7724=LINE('',#7723,#7722); +#7725=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-3.375E1)); +#7726=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7727=DIRECTION('',(1.E0,0.E0,0.E0)); +#7728=AXIS2_PLACEMENT_3D('',#7725,#7726,#7727); +#7730=DIRECTION('',(0.E0,-3.254275147230E-10,-1.E0)); +#7731=VECTOR('',#7730,1.175E1); +#7732=CARTESIAN_POINT('',(3.02E1,-7.300000000001E0,-2.2E1)); +#7733=LINE('',#7732,#7731); +#7734=DIRECTION('',(1.734598789391E-11,2.334105558405E-11,1.E0)); +#7735=VECTOR('',#7734,6.5E0); +#7736=CARTESIAN_POINT('',(3.589765523175E1,-8.612500000152E0,-2.85E1)); +#7737=LINE('',#7736,#7735); +#7738=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.85E1)); +#7739=DIRECTION('',(0.E0,0.E0,1.E0)); +#7740=DIRECTION('',(8.992184105399E-1,-4.375000001670E-1,0.E0)); +#7741=AXIS2_PLACEMENT_3D('',#7738,#7739,#7740); +#7743=CARTESIAN_POINT('',(3.57E1,-7.300000000001E0,-3.375E1)); +#7744=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7745=DIRECTION('',(-1.136868377216E-13,0.E0,-1.E0)); +#7746=AXIS2_PLACEMENT_3D('',#7743,#7744,#7745); +#7748=DIRECTION('',(0.E0,0.E0,1.E0)); +#7749=VECTOR('',#7748,7.E0); +#7750=CARTESIAN_POINT('',(-3.845E1,-8.050000000001E0,-2.85E1)); +#7751=LINE('',#7750,#7749); +#7752=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7753=VECTOR('',#7752,6.5E0); +#7754=CARTESIAN_POINT('',(-3.679687364248E1,-8.050000000001E0,-2.2E1)); +#7755=LINE('',#7754,#7753); +#7756=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7757=VECTOR('',#7756,7.E0); +#7758=CARTESIAN_POINT('',(-3.845E1,-6.550000000001E0,-2.15E1)); +#7759=LINE('',#7758,#7757); +#7760=CARTESIAN_POINT('',(-3.795E1,-6.550000000001E0,-2.15E1)); +#7761=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7762=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7763=AXIS2_PLACEMENT_3D('',#7760,#7761,#7762); +#7765=CARTESIAN_POINT('',(-3.795E1,1.005E1,-2.15E1)); +#7766=DIRECTION('',(0.E0,1.E0,0.E0)); +#7767=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7768=AXIS2_PLACEMENT_3D('',#7765,#7766,#7767); +#7770=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7771=VECTOR('',#7770,6.5E0); +#7772=CARTESIAN_POINT('',(-3.679687364248E1,-6.550000000001E0,-2.2E1)); +#7773=LINE('',#7772,#7771); +#7774=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7775=VECTOR('',#7774,6.5E0); +#7776=CARTESIAN_POINT('',(-3.529687364248E1,1.155E1,-2.2E1)); +#7777=LINE('',#7776,#7775); +#7778=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7779=VECTOR('',#7778,7.E0); +#7780=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.15E1)); +#7781=LINE('',#7780,#7779); +#7782=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7783=VECTOR('',#7782,2.E0); +#7784=CARTESIAN_POINT('',(3.395E1,-7.300000000001E0,-3.425E1)); +#7785=LINE('',#7784,#7783); +#7786=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7787=VECTOR('',#7786,2.E0); +#7788=CARTESIAN_POINT('',(3.245E1,-7.300000000001E0,-3.425E1)); +#7789=LINE('',#7788,#7787); +#7790=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-3.625E1)); +#7791=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7792=DIRECTION('',(1.E0,0.E0,0.E0)); +#7793=AXIS2_PLACEMENT_3D('',#7790,#7791,#7792); +#7795=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-3.625E1)); +#7796=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7797=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7798=AXIS2_PLACEMENT_3D('',#7795,#7796,#7797); +#7800=CARTESIAN_POINT('',(-3.17E1,1.08E1,-3.625E1)); +#7801=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7802=DIRECTION('',(1.E0,0.E0,0.E0)); +#7803=AXIS2_PLACEMENT_3D('',#7800,#7801,#7802); +#7805=CARTESIAN_POINT('',(-3.17E1,1.08E1,-3.625E1)); +#7806=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7807=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7808=AXIS2_PLACEMENT_3D('',#7805,#7806,#7807); +#7810=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7811=VECTOR('',#7810,2.E0); +#7812=CARTESIAN_POINT('',(-3.095E1,1.08E1,-3.425E1)); +#7813=LINE('',#7812,#7811); +#7814=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7815=VECTOR('',#7814,2.E0); +#7816=CARTESIAN_POINT('',(-3.245E1,1.08E1,-3.425E1)); +#7817=LINE('',#7816,#7815); +#7818=DIRECTION('',(-3.315866100214E-14,0.E0,1.E0)); +#7819=VECTOR('',#7818,7.5E-1); +#7820=CARTESIAN_POINT('',(3.093529411765E1,7.641246303762E0,-2.E1)); +#7821=LINE('',#7820,#7819); +#7822=DIRECTION('',(0.E0,2.639594766489E-14,1.E0)); +#7823=VECTOR('',#7822,5.383725902028E-1); +#7824=CARTESIAN_POINT('',(2.97E1,6.669322089535E0,-1.978837259020E1)); +#7825=LINE('',#7824,#7823); +#7826=CARTESIAN_POINT('',(2.603968501984E1,1.18E1,-2.E1)); +#7827=CARTESIAN_POINT('',(2.592410550970E1,1.18E1,-2.E1)); +#7828=CARTESIAN_POINT('',(2.569569594051E1,1.18E1,-1.998869172515E1)); +#7829=CARTESIAN_POINT('',(2.536062154575E1,1.18E1,-1.994850448835E1)); +#7830=CARTESIAN_POINT('',(2.503502759383E1,1.18E1,-1.989219676796E1)); +#7831=CARTESIAN_POINT('',(2.471944514594E1,1.18E1,-1.982506346733E1)); +#7832=CARTESIAN_POINT('',(2.441247944372E1,1.18E1,-1.975072171408E1)); +#7833=CARTESIAN_POINT('',(2.410971469433E1,1.18E1,-1.967102704437E1)); +#7834=CARTESIAN_POINT('',(2.380753311428E1,1.18E1,-1.958732657166E1)); +#7835=CARTESIAN_POINT('',(2.360292146614E1,1.18E1,-1.952922773234E1)); +#7836=CARTESIAN_POINT('',(2.35E1,1.18E1,-1.95E1)); +#7838=CARTESIAN_POINT('',(2.35E1,1.23E1,-1.95E1)); +#7839=DIRECTION('',(1.E0,0.E0,0.E0)); +#7840=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7841=AXIS2_PLACEMENT_3D('',#7838,#7839,#7840); +#7843=CARTESIAN_POINT('',(2.97E1,5.599999999982E0,-1.95E1)); +#7844=CARTESIAN_POINT('',(2.97E1,5.722218115074E0,-1.953470761241E1)); +#7845=CARTESIAN_POINT('',(2.97E1,5.964090240187E0,-1.960338320102E1)); +#7846=CARTESIAN_POINT('',(2.97E1,6.320106267764E0,-1.970098236356E1)); +#7847=CARTESIAN_POINT('',(2.97E1,6.553502922919E0,-1.976049020699E1)); +#7848=CARTESIAN_POINT('',(2.97E1,6.669322089535E0,-1.978837259020E1)); +#7850=CARTESIAN_POINT('',(2.97E1,6.669322089535E0,-1.978837259020E1)); +#7851=CARTESIAN_POINT('',(2.97E1,6.718793564846E0,-1.980028238608E1)); +#7852=CARTESIAN_POINT('',(2.970732865564E1,6.815469559474E0,-1.983302979781E1)); +#7853=CARTESIAN_POINT('',(2.973779714356E1,6.953853684121E0,-1.988974227512E1)); +#7854=CARTESIAN_POINT('',(2.978913358621E1,7.091060804613E0,-1.994449980177E1)); +#7855=CARTESIAN_POINT('',(2.986430213594E1,7.225983566621E0,-1.998739793147E1)); +#7856=CARTESIAN_POINT('',(2.993035691909E1,7.310113820101E0,-2.E1)); +#7857=CARTESIAN_POINT('',(2.996741808022E1,7.350000964486E0,-2.E1)); +#7859=CARTESIAN_POINT('',(-2.35E1,1.23E1,-1.95E1)); +#7860=DIRECTION('',(1.E0,0.E0,0.E0)); +#7861=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7862=AXIS2_PLACEMENT_3D('',#7859,#7860,#7861); +#7864=CARTESIAN_POINT('',(-2.97E1,6.669322089535E0,-1.978837259020E1)); +#7865=CARTESIAN_POINT('',(-2.97E1,6.553358981136E0,-1.976045555435E1)); +#7866=CARTESIAN_POINT('',(-2.97E1,6.319772305721E0,-1.970089417045E1)); +#7867=CARTESIAN_POINT('',(-2.97E1,5.963766948036E0,-1.960329183412E1)); +#7868=CARTESIAN_POINT('',(-2.97E1,5.722079651182E0,-1.953466829130E1)); +#7869=CARTESIAN_POINT('',(-2.97E1,5.6E0,-1.95E1)); +#7871=CARTESIAN_POINT('',(-3.02E1,5.6E0,-1.95E1)); +#7872=DIRECTION('',(0.E0,1.E0,0.E0)); +#7873=DIRECTION('',(1.E0,0.E0,0.E0)); +#7874=AXIS2_PLACEMENT_3D('',#7871,#7872,#7873); +#7876=CARTESIAN_POINT('',(-2.996741808022E1,7.350000964486E0,-2.E1)); +#7877=CARTESIAN_POINT('',(-2.993323985470E1,7.313216584968E0,-2.E1)); +#7878=CARTESIAN_POINT('',(-2.986988888203E1,7.234119977995E0, +-1.998930820726E1)); +#7879=CARTESIAN_POINT('',(-2.979169323515E1,7.097197075203E0, +-1.994692430988E1)); +#7880=CARTESIAN_POINT('',(-2.973782589131E1,6.955018878548E0, +-1.989022800815E1)); +#7881=CARTESIAN_POINT('',(-2.970664141170E1,6.811359369199E0, +-1.983136440675E1)); +#7882=CARTESIAN_POINT('',(-2.97E1,6.716694202695E0,-1.979977698424E1)); +#7883=CARTESIAN_POINT('',(-2.97E1,6.669322089535E0,-1.978837259020E1)); +#7885=CARTESIAN_POINT('',(-2.349999999998E1,1.18E1,-1.95E1)); +#7886=CARTESIAN_POINT('',(-2.360314832675E1,1.18E1,-1.952929215643E1)); +#7887=CARTESIAN_POINT('',(-2.380908177384E1,1.18E1,-1.958777147610E1)); +#7888=CARTESIAN_POINT('',(-2.411696240163E1,1.18E1,-1.967302153016E1)); +#7889=CARTESIAN_POINT('',(-2.442592127072E1,1.18E1,-1.975417072777E1)); +#7890=CARTESIAN_POINT('',(-2.473723496230E1,1.18E1,-1.982914399822E1)); +#7891=CARTESIAN_POINT('',(-2.505263754357E1,1.18E1,-1.989557004560E1)); +#7892=CARTESIAN_POINT('',(-2.537359801475E1,1.18E1,-1.995030700282E1)); +#7893=CARTESIAN_POINT('',(-2.570166046203E1,1.18E1,-1.998905669624E1)); +#7894=CARTESIAN_POINT('',(-2.592610345969E1,1.18E1,-2.E1)); +#7895=CARTESIAN_POINT('',(-2.603968501984E1,1.18E1,-2.E1)); +#7897=CARTESIAN_POINT('',(-2.97E1,-3.050000000001E0,-1.95E1)); +#7898=CARTESIAN_POINT('',(-2.97E1,-3.117236956315E0,-1.954754370776E1)); +#7899=CARTESIAN_POINT('',(-2.971339936020E1,-3.247065292750E0, +-1.963931909260E1)); +#7900=CARTESIAN_POINT('',(-2.976777804336E1,-3.426874437873E0, +-1.976259319773E1)); +#7901=CARTESIAN_POINT('',(-2.984872766354E1,-3.584002157873E0, +-1.986328557318E1)); +#7902=CARTESIAN_POINT('',(-2.995037655305E1,-3.718798067268E0, +-1.993942189397E1)); +#7903=CARTESIAN_POINT('',(-3.006964254306E1,-3.831857150932E0, +-1.998847778636E1)); +#7904=CARTESIAN_POINT('',(-3.015623203463E1,-3.890755957197E0,-2.E1)); +#7905=CARTESIAN_POINT('',(-3.02E1,-3.916025403785E0,-2.E1)); +#7907=DIRECTION('',(0.E0,0.E0,1.E0)); +#7908=VECTOR('',#7907,7.5E-1); +#7909=CARTESIAN_POINT('',(-3.07E1,-4.050000000001E0,-2.E1)); +#7910=LINE('',#7909,#7908); +#7911=DIRECTION('',(0.E0,0.E0,1.E0)); +#7912=VECTOR('',#7911,7.5E-1); +#7913=CARTESIAN_POINT('',(-3.32E1,-4.050000000001E0,-2.E1)); +#7914=LINE('',#7913,#7912); +#7915=DIRECTION('',(0.E0,0.E0,1.E0)); +#7916=VECTOR('',#7915,7.5E-1); +#7917=CARTESIAN_POINT('',(-3.545E1,-4.954792120089E0,-2.E1)); +#7918=LINE('',#7917,#7916); +#7919=DIRECTION('',(0.E0,0.E0,1.E0)); +#7920=VECTOR('',#7919,7.5E-1); +#7921=CARTESIAN_POINT('',(-3.545E1,-9.645207879912E0,-2.E1)); +#7922=LINE('',#7921,#7920); +#7923=DIRECTION('',(0.E0,0.E0,1.E0)); +#7924=VECTOR('',#7923,7.5E-1); +#7925=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-2.E1)); +#7926=LINE('',#7925,#7924); +#7927=CARTESIAN_POINT('',(-2.801525193096E1,-1.055E1,-2.E1)); +#7928=CARTESIAN_POINT('',(-2.794957200077E1,-1.055E1,-2.E1)); +#7929=CARTESIAN_POINT('',(-2.782135464734E1,-1.055E1,-1.998881878204E1)); +#7930=CARTESIAN_POINT('',(-2.762952088424E1,-1.055E1,-1.993748048981E1)); +#7931=CARTESIAN_POINT('',(-2.746498417638E1,-1.055E1,-1.985678947686E1)); +#7932=CARTESIAN_POINT('',(-2.733838919505E1,-1.055E1,-1.975497930239E1)); +#7933=CARTESIAN_POINT('',(-2.725528794601E1,-1.055E1,-1.963672875426E1)); +#7934=CARTESIAN_POINT('',(-2.723329613077E1,-1.055E1,-1.954738485896E1)); +#7935=CARTESIAN_POINT('',(-2.723329613077E1,-1.055E1,-1.95E1)); +#7937=CARTESIAN_POINT('',(-2.35E1,-1.23E1,-1.95E1)); +#7938=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7939=DIRECTION('',(0.E0,1.E0,0.E0)); +#7940=AXIS2_PLACEMENT_3D('',#7937,#7938,#7939); +#7942=CARTESIAN_POINT('',(2.35E1,-1.23E1,-1.95E1)); +#7943=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7944=DIRECTION('',(0.E0,1.E0,0.E0)); +#7945=AXIS2_PLACEMENT_3D('',#7942,#7943,#7944); +#7947=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-1.95E1)); +#7948=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-1.954715962265E1)); +#7949=CARTESIAN_POINT('',(2.725494579221E1,-1.055E1,-1.963647215727E1)); +#7950=CARTESIAN_POINT('',(2.734001764244E1,-1.055E1,-1.975715146715E1)); +#7951=CARTESIAN_POINT('',(2.746989997929E1,-1.055E1,-1.985994165994E1)); +#7952=CARTESIAN_POINT('',(2.763494104397E1,-1.055E1,-1.993931679647E1)); +#7953=CARTESIAN_POINT('',(2.782399789725E1,-1.055E1,-1.998909874945E1)); +#7954=CARTESIAN_POINT('',(2.795055356174E1,-1.055E1,-2.E1)); +#7955=CARTESIAN_POINT('',(2.801525193096E1,-1.055E1,-2.E1)); +#7957=DIRECTION('',(1.894780628694E-14,0.E0,1.E0)); +#7958=VECTOR('',#7957,7.5E-1); +#7959=CARTESIAN_POINT('',(-3.093529411765E1,7.641246303762E0,-2.E1)); +#7960=LINE('',#7959,#7958); +#7961=DIRECTION('',(0.E0,0.E0,1.E0)); +#7962=VECTOR('',#7961,7.5E-1); +#7963=CARTESIAN_POINT('',(-3.404520787991E1,1.305E1,-2.E1)); +#7964=LINE('',#7963,#7962); +#7965=DIRECTION('',(0.E0,0.E0,1.E0)); +#7966=VECTOR('',#7965,7.5E-1); +#7967=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-2.E1)); +#7968=LINE('',#7967,#7966); +#7969=DIRECTION('',(0.E0,0.E0,1.E0)); +#7970=VECTOR('',#7969,7.5E-1); +#7971=CARTESIAN_POINT('',(-2.883235294118E1,1.232941176471E1,-2.E1)); +#7972=LINE('',#7971,#7970); +#7973=DIRECTION('',(0.E0,0.E0,1.E0)); +#7974=VECTOR('',#7973,7.5E-1); +#7975=CARTESIAN_POINT('',(-2.795E1,1.18E1,-2.E1)); +#7976=LINE('',#7975,#7974); +#7977=CARTESIAN_POINT('',(3.02E1,-3.916025403785E0,-2.E1)); +#7978=CARTESIAN_POINT('',(3.015245003711E1,-3.888572419909E0,-2.E1)); +#7979=CARTESIAN_POINT('',(3.006526951495E1,-3.827670632845E0, +-1.998656357646E1)); +#7980=CARTESIAN_POINT('',(2.996084912720E1,-3.728215371446E0, +-1.994330529120E1)); +#7981=CARTESIAN_POINT('',(2.987751356135E1,-3.623725420593E0, +-1.988617667842E1)); +#7982=CARTESIAN_POINT('',(2.981135757802E1,-3.514300965889E0, +-1.981899405027E1)); +#7983=CARTESIAN_POINT('',(2.976095197198E1,-3.400983118794E0, +-1.974458820022E1)); +#7984=CARTESIAN_POINT('',(2.972553097481E1,-3.284961155016E0, +-1.966527027731E1)); +#7985=CARTESIAN_POINT('',(2.970461747833E1,-3.167788296558E0, +-1.958328925281E1)); +#7986=CARTESIAN_POINT('',(2.97E1,-3.089248645416E0,-1.952775298333E1)); +#7987=CARTESIAN_POINT('',(2.97E1,-3.050000000001E0,-1.95E1)); +#7989=CARTESIAN_POINT('',(3.02E1,5.6E0,-1.95E1)); +#7990=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7991=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7992=AXIS2_PLACEMENT_3D('',#7989,#7990,#7991); +#7994=DIRECTION('',(0.E0,0.E0,1.E0)); +#7995=VECTOR('',#7994,7.5E-1); +#7996=CARTESIAN_POINT('',(3.07E1,-4.050000000001E0,-2.E1)); +#7997=LINE('',#7996,#7995); +#7998=DIRECTION('',(0.E0,0.E0,1.E0)); +#7999=VECTOR('',#7998,7.5E-1); +#8000=CARTESIAN_POINT('',(3.32E1,-4.050000000001E0,-2.E1)); +#8001=LINE('',#8000,#7999); +#8002=DIRECTION('',(0.E0,0.E0,1.E0)); +#8003=VECTOR('',#8002,7.5E-1); +#8004=CARTESIAN_POINT('',(3.545E1,-4.954792120089E0,-2.E1)); +#8005=LINE('',#8004,#8003); +#8006=DIRECTION('',(0.E0,0.E0,1.E0)); +#8007=VECTOR('',#8006,7.5E-1); +#8008=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-2.E1)); +#8009=LINE('',#8008,#8007); +#8010=DIRECTION('',(0.E0,0.E0,1.E0)); +#8011=VECTOR('',#8010,7.5E-1); +#8012=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-2.E1)); +#8013=LINE('',#8012,#8011); +#8014=DIRECTION('',(0.E0,0.E0,1.E0)); +#8015=VECTOR('',#8014,7.5E-1); +#8016=CARTESIAN_POINT('',(2.935479212009E1,1.305E1,-2.E1)); +#8017=LINE('',#8016,#8015); +#8018=DIRECTION('',(0.E0,0.E0,1.E0)); +#8019=VECTOR('',#8018,7.5E-1); +#8020=CARTESIAN_POINT('',(2.883235294118E1,1.232941176471E1,-2.E1)); +#8021=LINE('',#8020,#8019); +#8022=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.9E1)); +#8023=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8024=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8025=AXIS2_PLACEMENT_3D('',#8022,#8023,#8024); +#8027=DIRECTION('',(0.E0,-3.640266754701E-9,1.E0)); +#8028=VECTOR('',#8027,1.E0); +#8029=CARTESIAN_POINT('',(-3.575E1,1.105E1,-2.E1)); +#8030=LINE('',#8029,#8028); +#8031=CARTESIAN_POINT('',(-3.625E1,1.105E1,-1.9E1)); +#8032=DIRECTION('',(0.E0,1.E0,0.E0)); +#8033=DIRECTION('',(0.E0,0.E0,1.E0)); +#8034=AXIS2_PLACEMENT_3D('',#8031,#8032,#8033); +#8036=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.85E1)); +#8037=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8038=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8039=AXIS2_PLACEMENT_3D('',#8036,#8037,#8038); +#8041=DIRECTION('',(1.279330588208E-11,1.E0,0.E0)); +#8042=VECTOR('',#8041,2.209999999940E1); +#8043=CARTESIAN_POINT('',(-3.624999999974E1,-1.104999999972E1,-1.85E1)); +#8044=LINE('',#8043,#8042); +#8045=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.85E1)); +#8046=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8047=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8048=AXIS2_PLACEMENT_3D('',#8045,#8046,#8047); +#8050=DIRECTION('',(-1.E0,4.226162685082E-12,0.E0)); +#8051=VECTOR('',#8050,6.689999999940E1); +#8052=CARTESIAN_POINT('',(3.344999999972E1,-1.384999999974E1,-1.85E1)); +#8053=LINE('',#8052,#8051); +#8054=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.85E1)); +#8055=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8056=DIRECTION('',(1.E0,0.E0,0.E0)); +#8057=AXIS2_PLACEMENT_3D('',#8054,#8055,#8056); +#8059=DIRECTION('',(-1.279330588208E-11,-1.E0,0.E0)); +#8060=VECTOR('',#8059,2.209999999940E1); +#8061=CARTESIAN_POINT('',(3.624999999974E1,1.104999999972E1,-1.85E1)); +#8062=LINE('',#8061,#8060); +#8063=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.85E1)); +#8064=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8065=DIRECTION('',(0.E0,1.E0,0.E0)); +#8066=AXIS2_PLACEMENT_3D('',#8063,#8064,#8065); +#8068=DIRECTION('',(1.E0,-4.226162685082E-12,0.E0)); +#8069=VECTOR('',#8068,6.689999999940E1); +#8070=CARTESIAN_POINT('',(-3.344999999972E1,1.384999999974E1,-1.85E1)); +#8071=LINE('',#8070,#8069); +#8072=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.85E1)); +#8073=DIRECTION('',(0.E0,0.E0,1.E0)); +#8074=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8075=AXIS2_PLACEMENT_3D('',#8072,#8073,#8074); +#8077=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8078=VECTOR('',#8077,2.21E1); +#8079=CARTESIAN_POINT('',(-3.785E1,1.105E1,-1.85E1)); +#8080=LINE('',#8079,#8078); +#8081=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.85E1)); +#8082=DIRECTION('',(0.E0,0.E0,1.E0)); +#8083=DIRECTION('',(0.E0,1.E0,0.E0)); +#8084=AXIS2_PLACEMENT_3D('',#8081,#8082,#8083); +#8086=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8087=VECTOR('',#8086,6.69E1); +#8088=CARTESIAN_POINT('',(3.345E1,1.545E1,-1.85E1)); +#8089=LINE('',#8088,#8087); +#8090=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.85E1)); +#8091=DIRECTION('',(0.E0,0.E0,1.E0)); +#8092=DIRECTION('',(1.E0,0.E0,0.E0)); +#8093=AXIS2_PLACEMENT_3D('',#8090,#8091,#8092); +#8095=DIRECTION('',(0.E0,1.E0,0.E0)); +#8096=VECTOR('',#8095,2.21E1); +#8097=CARTESIAN_POINT('',(3.785E1,-1.105E1,-1.85E1)); +#8098=LINE('',#8097,#8096); +#8099=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.85E1)); +#8100=DIRECTION('',(0.E0,0.E0,1.E0)); +#8101=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8102=AXIS2_PLACEMENT_3D('',#8099,#8100,#8101); +#8104=DIRECTION('',(1.E0,0.E0,0.E0)); +#8105=VECTOR('',#8104,6.69E1); +#8106=CARTESIAN_POINT('',(-3.345E1,-1.545E1,-1.85E1)); +#8107=LINE('',#8106,#8105); +#8108=CARTESIAN_POINT('',(-3.625E1,-1.105E1,-1.9E1)); +#8109=DIRECTION('',(0.E0,1.E0,0.E0)); +#8110=DIRECTION('',(0.E0,0.E0,1.E0)); +#8111=AXIS2_PLACEMENT_3D('',#8108,#8109,#8110); +#8113=DIRECTION('',(0.E0,1.E0,0.E0)); +#8114=VECTOR('',#8113,2.209999999456E1); +#8115=CARTESIAN_POINT('',(-3.575E1,-1.104999999820E1,-1.9E1)); +#8116=LINE('',#8115,#8114); +#8117=DIRECTION('',(0.E0,1.800874116498E-9,1.E0)); +#8118=VECTOR('',#8117,1.E0); +#8119=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-2.E1)); +#8120=LINE('',#8119,#8118); +#8121=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.9E1)); +#8122=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8123=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8124=AXIS2_PLACEMENT_3D('',#8121,#8122,#8123); +#8126=DIRECTION('',(3.640252543846E-9,0.E0,1.E0)); +#8127=VECTOR('',#8126,1.E0); +#8128=CARTESIAN_POINT('',(-3.345E1,-1.335E1,-2.E1)); +#8129=LINE('',#8128,#8127); +#8130=CARTESIAN_POINT('',(-3.345E1,-1.385E1,-1.9E1)); +#8131=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8132=DIRECTION('',(0.E0,0.E0,1.E0)); +#8133=AXIS2_PLACEMENT_3D('',#8130,#8131,#8132); +#8135=CARTESIAN_POINT('',(3.345E1,-1.385E1,-1.9E1)); +#8136=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8137=DIRECTION('',(0.E0,0.E0,1.E0)); +#8138=AXIS2_PLACEMENT_3D('',#8135,#8136,#8137); +#8140=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8141=VECTOR('',#8140,6.689999999456E1); +#8142=CARTESIAN_POINT('',(3.344999999820E1,-1.335E1,-1.9E1)); +#8143=LINE('',#8142,#8141); +#8144=DIRECTION('',(-1.800877669211E-9,0.E0,1.E0)); +#8145=VECTOR('',#8144,1.E0); +#8146=CARTESIAN_POINT('',(3.345E1,-1.335E1,-2.E1)); +#8147=LINE('',#8146,#8145); +#8148=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.9E1)); +#8149=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8150=DIRECTION('',(1.E0,0.E0,0.E0)); +#8151=AXIS2_PLACEMENT_3D('',#8148,#8149,#8150); +#8153=DIRECTION('',(0.E0,3.640257872917E-9,1.E0)); +#8154=VECTOR('',#8153,1.E0); +#8155=CARTESIAN_POINT('',(3.575E1,-1.105E1,-2.E1)); +#8156=LINE('',#8155,#8154); +#8157=CARTESIAN_POINT('',(3.625E1,-1.105E1,-1.9E1)); +#8158=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8159=DIRECTION('',(1.421085471520E-14,0.E0,1.E0)); +#8160=AXIS2_PLACEMENT_3D('',#8157,#8158,#8159); +#8162=CARTESIAN_POINT('',(3.625E1,1.105E1,-1.9E1)); +#8163=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8164=DIRECTION('',(0.E0,0.E0,1.E0)); +#8165=AXIS2_PLACEMENT_3D('',#8162,#8163,#8164); +#8167=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8168=VECTOR('',#8167,2.209999999456E1); +#8169=CARTESIAN_POINT('',(3.575E1,1.104999999820E1,-1.9E1)); +#8170=LINE('',#8169,#8168); +#8171=DIRECTION('',(0.E0,-1.800874116498E-9,1.E0)); +#8172=VECTOR('',#8171,1.E0); +#8173=CARTESIAN_POINT('',(3.575E1,1.105E1,-2.E1)); +#8174=LINE('',#8173,#8172); +#8175=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.9E1)); +#8176=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8177=DIRECTION('',(0.E0,1.E0,0.E0)); +#8178=AXIS2_PLACEMENT_3D('',#8175,#8176,#8177); +#8180=DIRECTION('',(-3.640266754701E-9,0.E0,1.E0)); +#8181=VECTOR('',#8180,1.E0); +#8182=CARTESIAN_POINT('',(3.345E1,1.335E1,-2.E1)); +#8183=LINE('',#8182,#8181); +#8184=CARTESIAN_POINT('',(3.345E1,1.385E1,-1.9E1)); +#8185=DIRECTION('',(1.E0,0.E0,0.E0)); +#8186=DIRECTION('',(0.E0,0.E0,1.E0)); +#8187=AXIS2_PLACEMENT_3D('',#8184,#8185,#8186); +#8189=CARTESIAN_POINT('',(-3.345E1,1.385E1,-1.9E1)); +#8190=DIRECTION('',(1.E0,0.E0,0.E0)); +#8191=DIRECTION('',(0.E0,0.E0,1.E0)); +#8192=AXIS2_PLACEMENT_3D('',#8189,#8190,#8191); +#8194=DIRECTION('',(1.E0,0.E0,0.E0)); +#8195=VECTOR('',#8194,6.689999999456E1); +#8196=CARTESIAN_POINT('',(-3.344999999820E1,1.335E1,-1.9E1)); +#8197=LINE('',#8196,#8195); +#8198=DIRECTION('',(1.800877669211E-9,0.E0,1.E0)); +#8199=VECTOR('',#8198,1.E0); +#8200=CARTESIAN_POINT('',(-3.345E1,1.335E1,-2.E1)); +#8201=LINE('',#8200,#8199); +#8202=CARTESIAN_POINT('',(-3.785E1,-1.105E1,-1.9E1)); +#8203=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8204=DIRECTION('',(0.E0,0.E0,1.E0)); +#8205=AXIS2_PLACEMENT_3D('',#8202,#8203,#8204); +#8207=CARTESIAN_POINT('',(-3.345E1,-1.545E1,-1.9E1)); +#8208=DIRECTION('',(1.E0,0.E0,0.E0)); +#8209=DIRECTION('',(0.E0,0.E0,1.E0)); +#8210=AXIS2_PLACEMENT_3D('',#8207,#8208,#8209); +#8212=CARTESIAN_POINT('',(3.345E1,-1.545E1,-1.9E1)); +#8213=DIRECTION('',(1.E0,0.E0,0.E0)); +#8214=DIRECTION('',(0.E0,0.E0,1.E0)); +#8215=AXIS2_PLACEMENT_3D('',#8212,#8213,#8214); +#8217=CARTESIAN_POINT('',(3.785E1,-1.105E1,-1.9E1)); +#8218=DIRECTION('',(0.E0,1.E0,0.E0)); +#8219=DIRECTION('',(0.E0,0.E0,1.E0)); +#8220=AXIS2_PLACEMENT_3D('',#8217,#8218,#8219); +#8222=CARTESIAN_POINT('',(3.785E1,1.105E1,-1.9E1)); +#8223=DIRECTION('',(0.E0,1.E0,0.E0)); +#8224=DIRECTION('',(0.E0,0.E0,1.E0)); +#8225=AXIS2_PLACEMENT_3D('',#8222,#8223,#8224); +#8227=CARTESIAN_POINT('',(3.345E1,1.545E1,-1.9E1)); +#8228=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8229=DIRECTION('',(0.E0,0.E0,1.E0)); +#8230=AXIS2_PLACEMENT_3D('',#8227,#8228,#8229); +#8232=CARTESIAN_POINT('',(-3.345E1,1.545E1,-1.9E1)); +#8233=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8234=DIRECTION('',(0.E0,0.E0,1.E0)); +#8235=AXIS2_PLACEMENT_3D('',#8232,#8233,#8234); +#8237=CARTESIAN_POINT('',(-3.785E1,1.105E1,-1.9E1)); +#8238=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8239=DIRECTION('',(0.E0,0.E0,1.E0)); +#8240=AXIS2_PLACEMENT_3D('',#8237,#8238,#8239); +#8242=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8243=VECTOR('',#8242,2.209999999819E1); +#8244=CARTESIAN_POINT('',(-3.835E1,1.104999999918E1,-1.9E1)); +#8245=LINE('',#8244,#8243); +#8246=DIRECTION('',(0.E0,-8.226805903178E-10,1.E0)); +#8247=VECTOR('',#8246,1.E0); +#8248=CARTESIAN_POINT('',(-3.835E1,1.105E1,-2.E1)); +#8249=LINE('',#8248,#8247); +#8250=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.9E1)); +#8251=DIRECTION('',(0.E0,0.E0,1.E0)); +#8252=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8253=AXIS2_PLACEMENT_3D('',#8250,#8251,#8252); +#8255=DIRECTION('',(0.E0,9.885425811262E-10,1.E0)); +#8256=VECTOR('',#8255,1.E0); +#8257=CARTESIAN_POINT('',(-3.835E1,-1.105E1,-2.E1)); +#8258=LINE('',#8257,#8256); +#8259=DIRECTION('',(1.E0,0.E0,0.E0)); +#8260=VECTOR('',#8259,6.689999999819E1); +#8261=CARTESIAN_POINT('',(-3.344999999918E1,-1.595E1,-1.9E1)); +#8262=LINE('',#8261,#8260); +#8263=DIRECTION('',(8.226805903178E-10,0.E0,1.E0)); +#8264=VECTOR('',#8263,1.E0); +#8265=CARTESIAN_POINT('',(-3.345E1,-1.595E1,-2.E1)); +#8266=LINE('',#8265,#8264); +#8267=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.9E1)); +#8268=DIRECTION('',(0.E0,0.E0,1.E0)); +#8269=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8270=AXIS2_PLACEMENT_3D('',#8267,#8268,#8269); +#8272=DIRECTION('',(-9.885354756989E-10,0.E0,1.E0)); +#8273=VECTOR('',#8272,1.E0); +#8274=CARTESIAN_POINT('',(3.345E1,-1.595E1,-2.E1)); +#8275=LINE('',#8274,#8273); +#8276=DIRECTION('',(0.E0,1.E0,0.E0)); +#8277=VECTOR('',#8276,2.209999999819E1); +#8278=CARTESIAN_POINT('',(3.835E1,-1.104999999918E1,-1.9E1)); +#8279=LINE('',#8278,#8277); +#8280=DIRECTION('',(0.E0,8.226770376041E-10,1.E0)); +#8281=VECTOR('',#8280,1.E0); +#8282=CARTESIAN_POINT('',(3.835E1,-1.105E1,-2.E1)); +#8283=LINE('',#8282,#8281); +#8284=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.9E1)); +#8285=DIRECTION('',(0.E0,0.E0,1.E0)); +#8286=DIRECTION('',(1.E0,0.E0,0.E0)); +#8287=AXIS2_PLACEMENT_3D('',#8284,#8285,#8286); +#8289=DIRECTION('',(0.E0,-9.885390284126E-10,1.E0)); +#8290=VECTOR('',#8289,1.E0); +#8291=CARTESIAN_POINT('',(3.835E1,1.105E1,-2.E1)); +#8292=LINE('',#8291,#8290); +#8293=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8294=VECTOR('',#8293,6.689999999819E1); +#8295=CARTESIAN_POINT('',(3.344999999918E1,1.595E1,-1.9E1)); +#8296=LINE('',#8295,#8294); +#8297=DIRECTION('',(-8.226805903178E-10,0.E0,1.E0)); +#8298=VECTOR('',#8297,1.E0); +#8299=CARTESIAN_POINT('',(3.345E1,1.595E1,-2.E1)); +#8300=LINE('',#8299,#8298); +#8301=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.9E1)); +#8302=DIRECTION('',(0.E0,0.E0,1.E0)); +#8303=DIRECTION('',(0.E0,1.E0,0.E0)); +#8304=AXIS2_PLACEMENT_3D('',#8301,#8302,#8303); +#8306=DIRECTION('',(9.885354756989E-10,0.E0,1.E0)); +#8307=VECTOR('',#8306,1.E0); +#8308=CARTESIAN_POINT('',(-3.345E1,1.595E1,-2.E1)); +#8309=LINE('',#8308,#8307); +#8310=CARTESIAN_POINT('',(-3.345E1,1.605E1,-2.E1)); +#8311=CARTESIAN_POINT('',(-3.845E1,1.105E1,-2.E1)); +#8312=VERTEX_POINT('',#8310); +#8313=VERTEX_POINT('',#8311); +#8314=CARTESIAN_POINT('',(-3.845E1,-1.105E1,-2.E1)); +#8315=VERTEX_POINT('',#8314); +#8316=CARTESIAN_POINT('',(-3.345E1,-1.605E1,-2.E1)); +#8317=VERTEX_POINT('',#8316); +#8318=CARTESIAN_POINT('',(3.345E1,-1.605E1,-2.E1)); +#8319=VERTEX_POINT('',#8318); +#8320=CARTESIAN_POINT('',(3.845E1,-1.105E1,-2.E1)); +#8321=VERTEX_POINT('',#8320); +#8322=CARTESIAN_POINT('',(3.845E1,1.105E1,-2.E1)); +#8323=VERTEX_POINT('',#8322); +#8324=CARTESIAN_POINT('',(3.345E1,1.605E1,-2.E1)); +#8325=VERTEX_POINT('',#8324); +#8326=CARTESIAN_POINT('',(-2.35E1,1.03E1,-2.E1)); +#8327=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E1)); +#8328=VERTEX_POINT('',#8326); +#8329=VERTEX_POINT('',#8327); +#8330=CARTESIAN_POINT('',(-2.82E1,-5.6E0,-2.E1)); +#8331=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E1)); +#8332=VERTEX_POINT('',#8330); +#8333=VERTEX_POINT('',#8331); +#8334=CARTESIAN_POINT('',(2.35E1,-1.03E1,-2.E1)); +#8335=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E1)); +#8336=VERTEX_POINT('',#8334); +#8337=VERTEX_POINT('',#8335); +#8338=CARTESIAN_POINT('',(2.82E1,5.6E0,-2.E1)); +#8339=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E1)); +#8340=VERTEX_POINT('',#8338); +#8341=VERTEX_POINT('',#8339); +#8342=CARTESIAN_POINT('',(-2.47E1,-7.E0,-2.3E1)); +#8343=CARTESIAN_POINT('',(2.47E1,-7.E0,-2.3E1)); +#8344=VERTEX_POINT('',#8342); +#8345=VERTEX_POINT('',#8343); +#8346=CARTESIAN_POINT('',(2.47E1,7.E0,-2.3E1)); +#8347=CARTESIAN_POINT('',(-2.47E1,7.E0,-2.3E1)); +#8348=VERTEX_POINT('',#8346); +#8349=VERTEX_POINT('',#8347); +#8350=CARTESIAN_POINT('',(-2.47E1,7.E0,-2.2E1)); +#8351=CARTESIAN_POINT('',(-2.47E1,-7.E0,-2.2E1)); +#8352=VERTEX_POINT('',#8350); +#8353=VERTEX_POINT('',#8351); +#8354=CARTESIAN_POINT('',(2.47E1,-7.E0,-2.2E1)); +#8355=VERTEX_POINT('',#8354); +#8356=CARTESIAN_POINT('',(2.47E1,7.E0,-2.2E1)); +#8357=VERTEX_POINT('',#8356); +#8358=CARTESIAN_POINT('',(3.2785E1,1.08E1,-1.925E1)); +#8359=CARTESIAN_POINT('',(3.0615E1,1.08E1,-1.925E1)); +#8360=VERTEX_POINT('',#8358); +#8361=VERTEX_POINT('',#8359); +#8362=CARTESIAN_POINT('',(3.4285E1,-7.300000000001E0,-1.925E1)); +#8363=CARTESIAN_POINT('',(3.2115E1,-7.300000000001E0,-1.925E1)); +#8364=VERTEX_POINT('',#8362); +#8365=VERTEX_POINT('',#8363); +#8366=CARTESIAN_POINT('',(-3.0615E1,1.08E1,-1.925E1)); +#8367=CARTESIAN_POINT('',(-3.2785E1,1.08E1,-1.925E1)); +#8368=VERTEX_POINT('',#8366); +#8369=VERTEX_POINT('',#8367); +#8370=CARTESIAN_POINT('',(-3.2115E1,-7.300000000001E0,-1.925E1)); +#8371=CARTESIAN_POINT('',(-3.4285E1,-7.300000000001E0,-1.925E1)); +#8372=VERTEX_POINT('',#8370); +#8373=VERTEX_POINT('',#8371); +#8374=CARTESIAN_POINT('',(3.093529411765E1,7.641246303762E0,-1.925E1)); +#8375=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-1.925E1)); +#8376=VERTEX_POINT('',#8374); +#8377=VERTEX_POINT('',#8375); +#8378=CARTESIAN_POINT('',(2.935479212009E1,1.305E1,-1.925E1)); +#8379=VERTEX_POINT('',#8378); +#8380=CARTESIAN_POINT('',(2.883235294118E1,1.232941176471E1,-1.925E1)); +#8381=VERTEX_POINT('',#8380); +#8382=CARTESIAN_POINT('',(2.795E1,1.18E1,-1.925E1)); +#8383=VERTEX_POINT('',#8382); +#8384=CARTESIAN_POINT('',(2.97E1,6.669322089535E0,-1.925E1)); +#8385=VERTEX_POINT('',#8384); +#8386=CARTESIAN_POINT('',(3.32E1,-4.050000000001E0,-1.925E1)); +#8387=CARTESIAN_POINT('',(3.07E1,-4.050000000001E0,-1.925E1)); +#8388=VERTEX_POINT('',#8386); +#8389=VERTEX_POINT('',#8387); +#8390=CARTESIAN_POINT('',(3.32E1,-1.055E1,-1.925E1)); +#8391=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-1.925E1)); +#8392=VERTEX_POINT('',#8390); +#8393=VERTEX_POINT('',#8391); +#8394=CARTESIAN_POINT('',(3.545E1,-4.954792120089E0,-1.925E1)); +#8395=VERTEX_POINT('',#8394); +#8396=CARTESIAN_POINT('',(-2.795E1,1.18E1,-1.925E1)); +#8397=CARTESIAN_POINT('',(-2.883235294118E1,1.232941176471E1,-1.925E1)); +#8398=VERTEX_POINT('',#8396); +#8399=VERTEX_POINT('',#8397); +#8400=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-1.925E1)); +#8401=VERTEX_POINT('',#8400); +#8402=CARTESIAN_POINT('',(-3.404520787991E1,1.305E1,-1.925E1)); +#8403=VERTEX_POINT('',#8402); +#8404=CARTESIAN_POINT('',(-3.093529411765E1,7.641246303762E0,-1.925E1)); +#8405=VERTEX_POINT('',#8404); +#8406=CARTESIAN_POINT('',(-2.97E1,6.669322089535E0,-1.925E1)); +#8407=VERTEX_POINT('',#8406); +#8408=CARTESIAN_POINT('',(-3.32E1,-4.050000000001E0,-1.925E1)); +#8409=CARTESIAN_POINT('',(-3.545E1,-4.954792120089E0,-1.925E1)); +#8410=VERTEX_POINT('',#8408); +#8411=VERTEX_POINT('',#8409); +#8412=CARTESIAN_POINT('',(-3.545E1,-9.645207879912E0,-1.925E1)); +#8413=VERTEX_POINT('',#8412); +#8414=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-1.925E1)); +#8415=VERTEX_POINT('',#8414); +#8416=CARTESIAN_POINT('',(-3.07E1,-4.050000000001E0,-1.925E1)); +#8417=VERTEX_POINT('',#8416); +#8418=CARTESIAN_POINT('',(-2.35E1,1.18E1,-1.925E1)); +#8419=CARTESIAN_POINT('',(-2.97E1,5.6E0,-1.925E1)); +#8420=VERTEX_POINT('',#8418); +#8421=VERTEX_POINT('',#8419); +#8422=CARTESIAN_POINT('',(-2.97E1,-3.050000000001E0,-1.925E1)); +#8423=CARTESIAN_POINT('',(-2.97E1,-5.6E0,-1.925E1)); +#8424=VERTEX_POINT('',#8422); +#8425=VERTEX_POINT('',#8423); +#8426=CARTESIAN_POINT('',(-2.97E1,-3.050000000001E0,-1.95E1)); +#8427=VERTEX_POINT('',#8426); +#8428=CARTESIAN_POINT('',(-2.723329613077E1,-1.055E1,-1.925E1)); +#8429=VERTEX_POINT('',#8428); +#8430=CARTESIAN_POINT('',(-2.723329613077E1,-1.055E1,-1.95E1)); +#8431=VERTEX_POINT('',#8430); +#8432=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-1.925E1)); +#8433=CARTESIAN_POINT('',(2.97E1,-5.6E0,-1.925E1)); +#8434=VERTEX_POINT('',#8432); +#8435=VERTEX_POINT('',#8433); +#8436=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-1.95E1)); +#8437=VERTEX_POINT('',#8436); +#8438=CARTESIAN_POINT('',(2.97E1,-3.050000000001E0,-1.925E1)); +#8439=VERTEX_POINT('',#8438); +#8440=CARTESIAN_POINT('',(2.97E1,-3.050000000001E0,-1.95E1)); +#8441=VERTEX_POINT('',#8440); +#8442=CARTESIAN_POINT('',(2.97E1,5.6E0,-1.925E1)); +#8443=CARTESIAN_POINT('',(2.35E1,1.18E1,-1.925E1)); +#8444=VERTEX_POINT('',#8442); +#8445=VERTEX_POINT('',#8443); +#8446=CARTESIAN_POINT('',(3.093529411765E1,7.641246303762E0,-2.E1)); +#8447=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-2.E1)); +#8448=VERTEX_POINT('',#8446); +#8449=VERTEX_POINT('',#8447); +#8450=CARTESIAN_POINT('',(2.935479212009E1,1.305E1,-2.E1)); +#8451=VERTEX_POINT('',#8450); +#8452=CARTESIAN_POINT('',(2.883235294118E1,1.232941176471E1,-2.E1)); +#8453=VERTEX_POINT('',#8452); +#8454=CARTESIAN_POINT('',(2.795E1,1.18E1,-2.E1)); +#8455=VERTEX_POINT('',#8454); +#8456=CARTESIAN_POINT('',(2.603968501984E1,1.18E1,-2.E1)); +#8457=VERTEX_POINT('',#8456); +#8458=CARTESIAN_POINT('',(2.996741808022E1,7.350000964486E0,-2.E1)); +#8459=VERTEX_POINT('',#8458); +#8460=CARTESIAN_POINT('',(3.32E1,-4.050000000001E0,-2.E1)); +#8461=CARTESIAN_POINT('',(3.07E1,-4.050000000001E0,-2.E1)); +#8462=VERTEX_POINT('',#8460); +#8463=VERTEX_POINT('',#8461); +#8464=CARTESIAN_POINT('',(3.02E1,-3.916025403785E0,-2.E1)); +#8465=VERTEX_POINT('',#8464); +#8466=CARTESIAN_POINT('',(2.801525193095E1,-1.055E1,-2.E1)); +#8467=CARTESIAN_POINT('',(3.32E1,-1.055E1,-2.E1)); +#8468=VERTEX_POINT('',#8466); +#8469=VERTEX_POINT('',#8467); +#8470=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-2.E1)); +#8471=VERTEX_POINT('',#8470); +#8472=CARTESIAN_POINT('',(3.545E1,-4.954792120089E0,-2.E1)); +#8473=VERTEX_POINT('',#8472); +#8474=CARTESIAN_POINT('',(-2.603968501984E1,1.18E1,-2.E1)); +#8475=CARTESIAN_POINT('',(-2.795E1,1.18E1,-2.E1)); +#8476=VERTEX_POINT('',#8474); +#8477=VERTEX_POINT('',#8475); +#8478=CARTESIAN_POINT('',(-2.883235294118E1,1.232941176471E1,-2.E1)); +#8479=VERTEX_POINT('',#8478); +#8480=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-2.E1)); +#8481=VERTEX_POINT('',#8480); +#8482=CARTESIAN_POINT('',(-3.404520787991E1,1.305E1,-2.E1)); +#8483=VERTEX_POINT('',#8482); +#8484=CARTESIAN_POINT('',(-3.093529411765E1,7.641246303762E0,-2.E1)); +#8485=VERTEX_POINT('',#8484); +#8486=CARTESIAN_POINT('',(-2.996741808022E1,7.350000964486E0,-2.E1)); +#8487=VERTEX_POINT('',#8486); +#8488=CARTESIAN_POINT('',(-3.32E1,-4.050000000001E0,-2.E1)); +#8489=CARTESIAN_POINT('',(-3.545E1,-4.954792120089E0,-2.E1)); +#8490=VERTEX_POINT('',#8488); +#8491=VERTEX_POINT('',#8489); +#8492=CARTESIAN_POINT('',(-3.545E1,-9.645207879912E0,-2.E1)); +#8493=VERTEX_POINT('',#8492); +#8494=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-2.E1)); +#8495=VERTEX_POINT('',#8494); +#8496=CARTESIAN_POINT('',(-2.801525193096E1,-1.055E1,-2.E1)); +#8497=VERTEX_POINT('',#8496); +#8498=CARTESIAN_POINT('',(-3.07E1,-4.050000000001E0,-2.E1)); +#8499=CARTESIAN_POINT('',(-3.02E1,-3.916025403785E0,-2.E1)); +#8500=VERTEX_POINT('',#8498); +#8501=VERTEX_POINT('',#8499); +#8502=CARTESIAN_POINT('',(2.97E1,6.669322089535E0,-1.978837259020E1)); +#8503=VERTEX_POINT('',#8502); +#8504=CARTESIAN_POINT('',(-2.97E1,6.669322089535E0,-1.978837259020E1)); +#8505=VERTEX_POINT('',#8504); +#8506=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.E-1)); +#8507=CARTESIAN_POINT('',(4.5E0,1.18E1,-5.4E0)); +#8508=VERTEX_POINT('',#8506); +#8509=VERTEX_POINT('',#8507); +#8510=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.E-1)); +#8511=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.4E0)); +#8512=VERTEX_POINT('',#8510); +#8513=VERTEX_POINT('',#8511); +#8514=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.E-1)); +#8515=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#8516=VERTEX_POINT('',#8514); +#8517=VERTEX_POINT('',#8515); +#8518=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.E-1)); +#8519=CARTESIAN_POINT('',(-2.7E0,1.18E1,-5.4E0)); +#8520=VERTEX_POINT('',#8518); +#8521=VERTEX_POINT('',#8519); +#8522=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-5.4E0)); +#8523=VERTEX_POINT('',#8522); +#8524=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-5.4E0)); +#8525=VERTEX_POINT('',#8524); +#8526=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-5.4E0)); +#8527=VERTEX_POINT('',#8526); +#8528=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-5.4E0)); +#8529=VERTEX_POINT('',#8528); +#8530=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-3.9E0)); +#8531=VERTEX_POINT('',#8530); +#8532=CARTESIAN_POINT('',(2.874977327052E0,1.38E1,-3.9E0)); +#8533=VERTEX_POINT('',#8532); +#8534=CARTESIAN_POINT('',(-4.325022672948E0,1.38E1,-3.9E0)); +#8535=VERTEX_POINT('',#8534); +#8536=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-3.9E0)); +#8537=VERTEX_POINT('',#8536); +#8538=CARTESIAN_POINT('',(-3.575E1,1.105E1,-2.E1)); +#8539=CARTESIAN_POINT('',(-3.345E1,1.335E1,-2.E1)); +#8540=VERTEX_POINT('',#8538); +#8541=VERTEX_POINT('',#8539); +#8542=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-2.E1)); +#8543=VERTEX_POINT('',#8542); +#8544=CARTESIAN_POINT('',(-3.345E1,-1.335E1,-2.E1)); +#8545=VERTEX_POINT('',#8544); +#8546=CARTESIAN_POINT('',(3.345E1,-1.335E1,-2.E1)); +#8547=VERTEX_POINT('',#8546); +#8548=CARTESIAN_POINT('',(3.575E1,-1.105E1,-2.E1)); +#8549=VERTEX_POINT('',#8548); +#8550=CARTESIAN_POINT('',(3.575E1,1.105E1,-2.E1)); +#8551=VERTEX_POINT('',#8550); +#8552=CARTESIAN_POINT('',(3.345E1,1.335E1,-2.E1)); +#8553=VERTEX_POINT('',#8552); +#8554=CARTESIAN_POINT('',(-3.679687364248E1,-8.050000000001E0,-2.85E1)); +#8555=CARTESIAN_POINT('',(-3.845E1,-8.050000000001E0,-2.85E1)); +#8556=VERTEX_POINT('',#8554); +#8557=VERTEX_POINT('',#8555); +#8558=CARTESIAN_POINT('',(-3.845E1,-6.550000000001E0,-2.85E1)); +#8559=VERTEX_POINT('',#8558); +#8560=CARTESIAN_POINT('',(-3.679687364248E1,-6.550000000001E0,-2.85E1)); +#8561=VERTEX_POINT('',#8560); +#8562=CARTESIAN_POINT('',(-3.529687364248E1,1.005E1,-2.85E1)); +#8563=CARTESIAN_POINT('',(-3.845E1,1.005E1,-2.85E1)); +#8564=VERTEX_POINT('',#8562); +#8565=VERTEX_POINT('',#8563); +#8566=CARTESIAN_POINT('',(-3.845E1,1.105E1,-2.85E1)); +#8567=VERTEX_POINT('',#8566); +#8568=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.85E1)); +#8569=VERTEX_POINT('',#8568); +#8570=CARTESIAN_POINT('',(-3.529687364248E1,1.155E1,-2.85E1)); +#8571=VERTEX_POINT('',#8570); +#8572=CARTESIAN_POINT('',(3.439765523186E1,1.21125E1,-2.2E1)); +#8573=CARTESIAN_POINT('',(2.87E1,1.08E1,-2.2E1)); +#8574=VERTEX_POINT('',#8572); +#8575=VERTEX_POINT('',#8573); +#8576=CARTESIAN_POINT('',(3.439765523186E1,9.487499999999E0,-2.2E1)); +#8577=VERTEX_POINT('',#8576); +#8578=CARTESIAN_POINT('',(-2.87E1,1.08E1,-2.2E1)); +#8579=CARTESIAN_POINT('',(-3.439765523186E1,1.21125E1,-2.2E1)); +#8580=VERTEX_POINT('',#8578); +#8581=VERTEX_POINT('',#8579); +#8582=CARTESIAN_POINT('',(-3.439765523186E1,9.487499999999E0,-2.2E1)); +#8583=VERTEX_POINT('',#8582); +#8584=CARTESIAN_POINT('',(-3.02E1,-7.300000000001E0,-2.2E1)); +#8585=CARTESIAN_POINT('',(-3.589765523186E1,-5.987500000001E0,-2.2E1)); +#8586=VERTEX_POINT('',#8584); +#8587=VERTEX_POINT('',#8585); +#8588=CARTESIAN_POINT('',(-3.589765523186E1,-8.612500000001E0,-2.2E1)); +#8589=VERTEX_POINT('',#8588); +#8590=CARTESIAN_POINT('',(3.589765523186E1,-5.9875E0,-2.2E1)); +#8591=CARTESIAN_POINT('',(3.02E1,-7.300000000001E0,-2.2E1)); +#8592=VERTEX_POINT('',#8590); +#8593=VERTEX_POINT('',#8591); +#8594=CARTESIAN_POINT('',(3.589765523186E1,-8.612500000001E0,-2.2E1)); +#8595=VERTEX_POINT('',#8594); +#8596=CARTESIAN_POINT('',(3.47E1,1.08E1,-2.85E1)); +#8597=CARTESIAN_POINT('',(3.439765523162E1,1.211250000050E1,-2.85E1)); +#8598=VERTEX_POINT('',#8596); +#8599=VERTEX_POINT('',#8597); +#8600=CARTESIAN_POINT('',(3.439765522514E1,9.487499986174E0,-2.85E1)); +#8601=VERTEX_POINT('',#8600); +#8602=CARTESIAN_POINT('',(-3.439765523162E1,1.211250000050E1,-2.85E1)); +#8603=CARTESIAN_POINT('',(-3.47E1,1.08E1,-2.85E1)); +#8604=VERTEX_POINT('',#8602); +#8605=VERTEX_POINT('',#8603); +#8606=CARTESIAN_POINT('',(-3.439765522514E1,9.487499986174E0,-2.85E1)); +#8607=VERTEX_POINT('',#8606); +#8608=CARTESIAN_POINT('',(-3.589765522514E1,-5.987499986175E0,-2.85E1)); +#8609=CARTESIAN_POINT('',(-3.62E1,-7.300000000001E0,-2.85E1)); +#8610=VERTEX_POINT('',#8608); +#8611=VERTEX_POINT('',#8609); +#8612=CARTESIAN_POINT('',(-3.589765523162E1,-8.612500000502E0,-2.85E1)); +#8613=VERTEX_POINT('',#8612); +#8614=CARTESIAN_POINT('',(3.62E1,-7.300000000001E0,-2.85E1)); +#8615=CARTESIAN_POINT('',(3.589765522514E1,-5.987499986175E0,-2.85E1)); +#8616=VERTEX_POINT('',#8614); +#8617=VERTEX_POINT('',#8615); +#8618=CARTESIAN_POINT('',(3.589765523162E1,-8.612500000502E0,-2.85E1)); +#8619=VERTEX_POINT('',#8618); +#8620=CARTESIAN_POINT('',(-3.345E1,1.595E1,-2.E1)); +#8621=CARTESIAN_POINT('',(-3.835E1,1.105E1,-2.E1)); +#8622=VERTEX_POINT('',#8620); +#8623=VERTEX_POINT('',#8621); +#8624=CARTESIAN_POINT('',(-3.835E1,-1.105E1,-2.E1)); +#8625=VERTEX_POINT('',#8624); +#8626=CARTESIAN_POINT('',(-3.345E1,-1.595E1,-2.E1)); +#8627=VERTEX_POINT('',#8626); +#8628=CARTESIAN_POINT('',(3.345E1,-1.595E1,-2.E1)); +#8629=VERTEX_POINT('',#8628); +#8630=CARTESIAN_POINT('',(3.835E1,-1.105E1,-2.E1)); +#8631=VERTEX_POINT('',#8630); +#8632=CARTESIAN_POINT('',(3.835E1,1.105E1,-2.E1)); +#8633=VERTEX_POINT('',#8632); +#8634=CARTESIAN_POINT('',(3.345E1,1.595E1,-2.E1)); +#8635=VERTEX_POINT('',#8634); +#8636=CARTESIAN_POINT('',(5.857864376269E-1,4.5E0,-2.6E1)); +#8637=CARTESIAN_POINT('',(3.414213562373E0,4.5E0,-2.6E1)); +#8638=VERTEX_POINT('',#8636); +#8639=VERTEX_POINT('',#8637); +#8640=CARTESIAN_POINT('',(4.585786437627E0,4.5E0,-2.6E1)); +#8641=VERTEX_POINT('',#8640); +#8642=CARTESIAN_POINT('',(7.414213562373E0,4.5E0,-2.6E1)); +#8643=VERTEX_POINT('',#8642); +#8644=CARTESIAN_POINT('',(8.585786437627E0,4.5E0,-2.6E1)); +#8645=VERTEX_POINT('',#8644); +#8646=CARTESIAN_POINT('',(1.258578643763E1,4.5E0,-2.6E1)); +#8647=CARTESIAN_POINT('',(1.541421356237E1,4.5E0,-2.6E1)); +#8648=VERTEX_POINT('',#8646); +#8649=VERTEX_POINT('',#8647); +#8650=CARTESIAN_POINT('',(1.658578643763E1,4.5E0,-2.6E1)); +#8651=VERTEX_POINT('',#8650); +#8652=CARTESIAN_POINT('',(2.058578643763E1,4.5E0,-2.6E1)); +#8653=CARTESIAN_POINT('',(2.341421356237E1,4.5E0,-2.6E1)); +#8654=VERTEX_POINT('',#8652); +#8655=VERTEX_POINT('',#8653); +#8656=CARTESIAN_POINT('',(2.47E1,4.5E0,-2.6E1)); +#8657=VERTEX_POINT('',#8656); +#8658=CARTESIAN_POINT('',(-2.47E1,4.5E0,-2.6E1)); +#8659=CARTESIAN_POINT('',(-2.341421356237E1,4.5E0,-2.6E1)); +#8660=VERTEX_POINT('',#8658); +#8661=VERTEX_POINT('',#8659); +#8662=CARTESIAN_POINT('',(-2.058578643763E1,4.5E0,-2.6E1)); +#8663=VERTEX_POINT('',#8662); +#8664=CARTESIAN_POINT('',(-1.658578643763E1,4.5E0,-2.6E1)); +#8665=CARTESIAN_POINT('',(-1.541421356237E1,4.5E0,-2.6E1)); +#8666=VERTEX_POINT('',#8664); +#8667=VERTEX_POINT('',#8665); +#8668=CARTESIAN_POINT('',(-1.258578643763E1,4.5E0,-2.6E1)); +#8669=VERTEX_POINT('',#8668); +#8670=CARTESIAN_POINT('',(-8.585786437627E0,4.5E0,-2.6E1)); +#8671=CARTESIAN_POINT('',(-7.414213562373E0,4.5E0,-2.6E1)); +#8672=VERTEX_POINT('',#8670); +#8673=VERTEX_POINT('',#8671); +#8674=CARTESIAN_POINT('',(-4.585786437627E0,4.5E0,-2.6E1)); +#8675=VERTEX_POINT('',#8674); +#8676=CARTESIAN_POINT('',(-3.414213562373E0,4.5E0,-2.6E1)); +#8677=VERTEX_POINT('',#8676); +#8678=CARTESIAN_POINT('',(-5.857864376269E-1,4.5E0,-2.6E1)); +#8679=VERTEX_POINT('',#8678); +#8680=CARTESIAN_POINT('',(5.857864376269E-1,4.5E0,-2.3E1)); +#8681=CARTESIAN_POINT('',(3.414213562373E0,4.5E0,-2.3E1)); +#8682=VERTEX_POINT('',#8680); +#8683=VERTEX_POINT('',#8681); +#8684=CARTESIAN_POINT('',(4.585786437627E0,4.5E0,-2.3E1)); +#8685=VERTEX_POINT('',#8684); +#8686=CARTESIAN_POINT('',(7.414213562373E0,4.5E0,-2.3E1)); +#8687=VERTEX_POINT('',#8686); +#8688=CARTESIAN_POINT('',(8.585786437627E0,4.5E0,-2.3E1)); +#8689=VERTEX_POINT('',#8688); +#8690=CARTESIAN_POINT('',(1.258578643763E1,4.5E0,-2.3E1)); +#8691=CARTESIAN_POINT('',(1.541421356237E1,4.5E0,-2.3E1)); +#8692=VERTEX_POINT('',#8690); +#8693=VERTEX_POINT('',#8691); +#8694=CARTESIAN_POINT('',(1.658578643763E1,4.5E0,-2.3E1)); +#8695=VERTEX_POINT('',#8694); +#8696=CARTESIAN_POINT('',(2.058578643763E1,4.5E0,-2.3E1)); +#8697=CARTESIAN_POINT('',(2.341421356237E1,4.5E0,-2.3E1)); +#8698=VERTEX_POINT('',#8696); +#8699=VERTEX_POINT('',#8697); +#8700=CARTESIAN_POINT('',(2.47E1,4.5E0,-2.3E1)); +#8701=VERTEX_POINT('',#8700); +#8702=CARTESIAN_POINT('',(-2.47E1,4.5E0,-2.3E1)); +#8703=CARTESIAN_POINT('',(-2.341421356237E1,4.5E0,-2.3E1)); +#8704=VERTEX_POINT('',#8702); +#8705=VERTEX_POINT('',#8703); +#8706=CARTESIAN_POINT('',(-2.058578643763E1,4.5E0,-2.3E1)); +#8707=VERTEX_POINT('',#8706); +#8708=CARTESIAN_POINT('',(-1.658578643763E1,4.5E0,-2.3E1)); +#8709=CARTESIAN_POINT('',(-1.541421356237E1,4.5E0,-2.3E1)); +#8710=VERTEX_POINT('',#8708); +#8711=VERTEX_POINT('',#8709); +#8712=CARTESIAN_POINT('',(-1.258578643763E1,4.5E0,-2.3E1)); +#8713=VERTEX_POINT('',#8712); +#8714=CARTESIAN_POINT('',(-8.585786437627E0,4.5E0,-2.3E1)); +#8715=CARTESIAN_POINT('',(-7.414213562373E0,4.5E0,-2.3E1)); +#8716=VERTEX_POINT('',#8714); +#8717=VERTEX_POINT('',#8715); +#8718=CARTESIAN_POINT('',(-4.585786437627E0,4.5E0,-2.3E1)); +#8719=VERTEX_POINT('',#8718); +#8720=CARTESIAN_POINT('',(-3.414213562373E0,4.5E0,-2.3E1)); +#8721=VERTEX_POINT('',#8720); +#8722=CARTESIAN_POINT('',(-5.857864376269E-1,4.5E0,-2.3E1)); +#8723=VERTEX_POINT('',#8722); +#8724=CARTESIAN_POINT('',(-2.47E1,-4.5E0,-2.3E1)); +#8725=VERTEX_POINT('',#8724); +#8726=CARTESIAN_POINT('',(2.47E1,-4.5E0,-2.3E1)); +#8727=VERTEX_POINT('',#8726); +#8728=CARTESIAN_POINT('',(5.857864376269E-1,-4.5E0,-2.6E1)); +#8729=CARTESIAN_POINT('',(-5.857864376269E-1,-4.5E0,-2.6E1)); +#8730=VERTEX_POINT('',#8728); +#8731=VERTEX_POINT('',#8729); +#8732=CARTESIAN_POINT('',(-3.414213562373E0,-4.5E0,-2.6E1)); +#8733=VERTEX_POINT('',#8732); +#8734=CARTESIAN_POINT('',(-4.585786437627E0,-4.5E0,-2.6E1)); +#8735=VERTEX_POINT('',#8734); +#8736=CARTESIAN_POINT('',(-7.414213562373E0,-4.5E0,-2.6E1)); +#8737=VERTEX_POINT('',#8736); +#8738=CARTESIAN_POINT('',(-8.585786437627E0,-4.5E0,-2.6E1)); +#8739=VERTEX_POINT('',#8738); +#8740=CARTESIAN_POINT('',(-1.258578643763E1,-4.5E0,-2.6E1)); +#8741=CARTESIAN_POINT('',(-1.541421356237E1,-4.5E0,-2.6E1)); +#8742=VERTEX_POINT('',#8740); +#8743=VERTEX_POINT('',#8741); +#8744=CARTESIAN_POINT('',(-1.658578643763E1,-4.5E0,-2.6E1)); +#8745=VERTEX_POINT('',#8744); +#8746=CARTESIAN_POINT('',(-2.058578643763E1,-4.5E0,-2.6E1)); +#8747=CARTESIAN_POINT('',(-2.341421356237E1,-4.5E0,-2.6E1)); +#8748=VERTEX_POINT('',#8746); +#8749=VERTEX_POINT('',#8747); +#8750=CARTESIAN_POINT('',(-2.47E1,-4.5E0,-2.6E1)); +#8751=VERTEX_POINT('',#8750); +#8752=CARTESIAN_POINT('',(2.47E1,-4.5E0,-2.6E1)); +#8753=CARTESIAN_POINT('',(2.341421356237E1,-4.5E0,-2.6E1)); +#8754=VERTEX_POINT('',#8752); +#8755=VERTEX_POINT('',#8753); +#8756=CARTESIAN_POINT('',(2.058578643763E1,-4.5E0,-2.6E1)); +#8757=VERTEX_POINT('',#8756); +#8758=CARTESIAN_POINT('',(1.658578643763E1,-4.5E0,-2.6E1)); +#8759=CARTESIAN_POINT('',(1.541421356237E1,-4.5E0,-2.6E1)); +#8760=VERTEX_POINT('',#8758); +#8761=VERTEX_POINT('',#8759); +#8762=CARTESIAN_POINT('',(1.258578643763E1,-4.5E0,-2.6E1)); +#8763=VERTEX_POINT('',#8762); +#8764=CARTESIAN_POINT('',(8.585786437627E0,-4.5E0,-2.6E1)); +#8765=CARTESIAN_POINT('',(7.414213562373E0,-4.5E0,-2.6E1)); +#8766=VERTEX_POINT('',#8764); +#8767=VERTEX_POINT('',#8765); +#8768=CARTESIAN_POINT('',(4.585786437627E0,-4.5E0,-2.6E1)); +#8769=VERTEX_POINT('',#8768); +#8770=CARTESIAN_POINT('',(3.414213562373E0,-4.5E0,-2.6E1)); +#8771=VERTEX_POINT('',#8770); +#8772=CARTESIAN_POINT('',(5.857864376269E-1,-4.5E0,-2.3E1)); +#8773=CARTESIAN_POINT('',(-5.857864376269E-1,-4.5E0,-2.3E1)); +#8774=VERTEX_POINT('',#8772); +#8775=VERTEX_POINT('',#8773); +#8776=CARTESIAN_POINT('',(-3.414213562373E0,-4.5E0,-2.3E1)); +#8777=VERTEX_POINT('',#8776); +#8778=CARTESIAN_POINT('',(-4.585786437627E0,-4.5E0,-2.3E1)); +#8779=VERTEX_POINT('',#8778); +#8780=CARTESIAN_POINT('',(-7.414213562373E0,-4.5E0,-2.3E1)); +#8781=VERTEX_POINT('',#8780); +#8782=CARTESIAN_POINT('',(-8.585786437627E0,-4.5E0,-2.3E1)); +#8783=VERTEX_POINT('',#8782); +#8784=CARTESIAN_POINT('',(-1.258578643763E1,-4.5E0,-2.3E1)); +#8785=CARTESIAN_POINT('',(-1.541421356237E1,-4.5E0,-2.3E1)); +#8786=VERTEX_POINT('',#8784); +#8787=VERTEX_POINT('',#8785); +#8788=CARTESIAN_POINT('',(-1.658578643763E1,-4.5E0,-2.3E1)); +#8789=VERTEX_POINT('',#8788); +#8790=CARTESIAN_POINT('',(-2.058578643763E1,-4.5E0,-2.3E1)); +#8791=CARTESIAN_POINT('',(-2.341421356237E1,-4.5E0,-2.3E1)); +#8792=VERTEX_POINT('',#8790); +#8793=VERTEX_POINT('',#8791); +#8794=CARTESIAN_POINT('',(2.341421356237E1,-4.5E0,-2.3E1)); +#8795=VERTEX_POINT('',#8794); +#8796=CARTESIAN_POINT('',(2.058578643763E1,-4.5E0,-2.3E1)); +#8797=VERTEX_POINT('',#8796); +#8798=CARTESIAN_POINT('',(1.658578643763E1,-4.5E0,-2.3E1)); +#8799=CARTESIAN_POINT('',(1.541421356237E1,-4.5E0,-2.3E1)); +#8800=VERTEX_POINT('',#8798); +#8801=VERTEX_POINT('',#8799); +#8802=CARTESIAN_POINT('',(1.258578643763E1,-4.5E0,-2.3E1)); +#8803=VERTEX_POINT('',#8802); +#8804=CARTESIAN_POINT('',(8.585786437627E0,-4.5E0,-2.3E1)); +#8805=CARTESIAN_POINT('',(7.414213562373E0,-4.5E0,-2.3E1)); +#8806=VERTEX_POINT('',#8804); +#8807=VERTEX_POINT('',#8805); +#8808=CARTESIAN_POINT('',(4.585786437627E0,-4.5E0,-2.3E1)); +#8809=VERTEX_POINT('',#8808); +#8810=CARTESIAN_POINT('',(3.414213562373E0,-4.5E0,-2.3E1)); +#8811=VERTEX_POINT('',#8810); +#8812=CARTESIAN_POINT('',(-2.35E1,1.083589838486E1,0.E0)); +#8813=CARTESIAN_POINT('',(2.35E1,1.083589838486E1,0.E0)); +#8814=VERTEX_POINT('',#8812); +#8815=VERTEX_POINT('',#8813); +#8816=CARTESIAN_POINT('',(2.873589838486E1,5.6E0,0.E0)); +#8817=VERTEX_POINT('',#8816); +#8818=CARTESIAN_POINT('',(2.873589838486E1,-5.6E0,0.E0)); +#8819=VERTEX_POINT('',#8818); +#8820=CARTESIAN_POINT('',(2.35E1,-1.083589838486E1,0.E0)); +#8821=VERTEX_POINT('',#8820); +#8822=CARTESIAN_POINT('',(-2.35E1,-1.083589838486E1,0.E0)); +#8823=VERTEX_POINT('',#8822); +#8824=CARTESIAN_POINT('',(-2.873589838486E1,-5.6E0,0.E0)); +#8825=VERTEX_POINT('',#8824); +#8826=CARTESIAN_POINT('',(-2.873589838486E1,5.6E0,0.E0)); +#8827=VERTEX_POINT('',#8826); +#8828=CARTESIAN_POINT('',(-2.35E1,1.03E1,-2.E0)); +#8829=CARTESIAN_POINT('',(-2.82E1,5.6E0,-2.E0)); +#8830=VERTEX_POINT('',#8828); +#8831=VERTEX_POINT('',#8829); +#8832=CARTESIAN_POINT('',(-2.82E1,-5.6E0,-2.E0)); +#8833=VERTEX_POINT('',#8832); +#8834=CARTESIAN_POINT('',(-2.35E1,-1.03E1,-2.E0)); +#8835=VERTEX_POINT('',#8834); +#8836=CARTESIAN_POINT('',(2.35E1,-1.03E1,-2.E0)); +#8837=VERTEX_POINT('',#8836); +#8838=CARTESIAN_POINT('',(2.82E1,-5.6E0,-2.E0)); +#8839=VERTEX_POINT('',#8838); +#8840=CARTESIAN_POINT('',(2.82E1,5.6E0,-2.E0)); +#8841=VERTEX_POINT('',#8840); +#8842=CARTESIAN_POINT('',(2.35E1,1.03E1,-2.E0)); +#8843=VERTEX_POINT('',#8842); +#8844=CARTESIAN_POINT('',(2.82E1,5.2E0,-2.E1)); +#8845=CARTESIAN_POINT('',(2.7E1,5.2E0,-2.E1)); +#8846=VERTEX_POINT('',#8844); +#8847=VERTEX_POINT('',#8845); +#8848=CARTESIAN_POINT('',(2.82E1,4.2E0,-2.E1)); +#8849=CARTESIAN_POINT('',(2.7E1,4.2E0,-2.E1)); +#8850=VERTEX_POINT('',#8848); +#8851=VERTEX_POINT('',#8849); +#8852=CARTESIAN_POINT('',(-2.82E1,5.2E0,-2.E1)); +#8853=VERTEX_POINT('',#8852); +#8854=CARTESIAN_POINT('',(-2.82E1,4.2E0,-2.E1)); +#8855=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-2.E1)); +#8856=VERTEX_POINT('',#8854); +#8857=VERTEX_POINT('',#8855); +#8858=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-2.E1)); +#8859=VERTEX_POINT('',#8858); +#8860=CARTESIAN_POINT('',(2.82E1,-5.2E0,-2.E1)); +#8861=VERTEX_POINT('',#8860); +#8862=CARTESIAN_POINT('',(2.82E1,-4.2E0,-2.E1)); +#8863=VERTEX_POINT('',#8862); +#8864=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-2.E1)); +#8865=VERTEX_POINT('',#8864); +#8866=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-2.E1)); +#8867=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-2.E1)); +#8868=VERTEX_POINT('',#8866); +#8869=VERTEX_POINT('',#8867); +#8870=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-2.E1)); +#8871=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-2.E1)); +#8872=VERTEX_POINT('',#8870); +#8873=VERTEX_POINT('',#8871); +#8874=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#8875=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-2.E1)); +#8876=VERTEX_POINT('',#8874); +#8877=VERTEX_POINT('',#8875); +#8878=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#8879=CARTESIAN_POINT('',(-5.925E0,-1.03E1,-2.E1)); +#8880=VERTEX_POINT('',#8878); +#8881=VERTEX_POINT('',#8879); +#8882=CARTESIAN_POINT('',(-4.575E0,-1.03E1,-2.E1)); +#8883=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-2.E1)); +#8884=VERTEX_POINT('',#8882); +#8885=VERTEX_POINT('',#8883); +#8886=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#8887=CARTESIAN_POINT('',(1.25E0,-1.03E1,-2.E1)); +#8888=VERTEX_POINT('',#8886); +#8889=VERTEX_POINT('',#8887); +#8890=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#8891=CARTESIAN_POINT('',(4.75E0,-1.03E1,-2.E1)); +#8892=VERTEX_POINT('',#8890); +#8893=VERTEX_POINT('',#8891); +#8894=CARTESIAN_POINT('',(5.75E0,-1.03E1,-2.E1)); +#8895=CARTESIAN_POINT('',(8.25E0,-1.03E1,-2.E1)); +#8896=VERTEX_POINT('',#8894); +#8897=VERTEX_POINT('',#8895); +#8898=CARTESIAN_POINT('',(1.975E1,1.03E1,-2.E1)); +#8899=VERTEX_POINT('',#8898); +#8900=CARTESIAN_POINT('',(1.875E1,1.03E1,-2.E1)); +#8901=CARTESIAN_POINT('',(1.625E1,1.03E1,-2.E1)); +#8902=VERTEX_POINT('',#8900); +#8903=VERTEX_POINT('',#8901); +#8904=CARTESIAN_POINT('',(1.525E1,1.03E1,-2.E1)); +#8905=CARTESIAN_POINT('',(1.275E1,1.03E1,-2.E1)); +#8906=VERTEX_POINT('',#8904); +#8907=VERTEX_POINT('',#8905); +#8908=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#8909=CARTESIAN_POINT('',(9.25E0,1.03E1,-2.E1)); +#8910=VERTEX_POINT('',#8908); +#8911=VERTEX_POINT('',#8909); +#8912=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#8913=CARTESIAN_POINT('',(5.75E0,1.03E1,-2.E1)); +#8914=VERTEX_POINT('',#8912); +#8915=VERTEX_POINT('',#8913); +#8916=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#8917=CARTESIAN_POINT('',(2.425E0,1.03E1,-2.E1)); +#8918=VERTEX_POINT('',#8916); +#8919=VERTEX_POINT('',#8917); +#8920=CARTESIAN_POINT('',(1.075E0,1.03E1,-2.E1)); +#8921=CARTESIAN_POINT('',(-1.25E0,1.03E1,-2.E1)); +#8922=VERTEX_POINT('',#8920); +#8923=VERTEX_POINT('',#8921); +#8924=CARTESIAN_POINT('',(-2.25E0,1.03E1,-2.E1)); +#8925=CARTESIAN_POINT('',(-4.75E0,1.03E1,-2.E1)); +#8926=VERTEX_POINT('',#8924); +#8927=VERTEX_POINT('',#8925); +#8928=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#8929=CARTESIAN_POINT('',(-8.25E0,1.03E1,-2.E1)); +#8930=VERTEX_POINT('',#8928); +#8931=VERTEX_POINT('',#8929); +#8932=CARTESIAN_POINT('',(2.7E1,-4.2E0,-2.E1)); +#8933=VERTEX_POINT('',#8932); +#8934=CARTESIAN_POINT('',(2.7E1,-5.2E0,-2.E1)); +#8935=VERTEX_POINT('',#8934); +#8936=CARTESIAN_POINT('',(-2.7E1,4.2E0,-2.E1)); +#8937=VERTEX_POINT('',#8936); +#8938=CARTESIAN_POINT('',(-2.7E1,5.2E0,-2.E1)); +#8939=VERTEX_POINT('',#8938); +#8940=CARTESIAN_POINT('',(-2.7E1,-5.2E0,-2.E1)); +#8941=VERTEX_POINT('',#8940); +#8942=CARTESIAN_POINT('',(-2.7E1,-4.2E0,-2.E1)); +#8943=VERTEX_POINT('',#8942); +#8944=CARTESIAN_POINT('',(3.395E1,-7.300000000001E0,-3.625E1)); +#8945=CARTESIAN_POINT('',(3.245E1,-7.300000000001E0,-3.625E1)); +#8946=VERTEX_POINT('',#8944); +#8947=VERTEX_POINT('',#8945); +#8948=CARTESIAN_POINT('',(-3.095E1,1.08E1,-3.625E1)); +#8949=CARTESIAN_POINT('',(-3.245E1,1.08E1,-3.625E1)); +#8950=VERTEX_POINT('',#8948); +#8951=VERTEX_POINT('',#8949); +#8952=CARTESIAN_POINT('',(3.395E1,-7.300000000001E0,-3.425E1)); +#8953=CARTESIAN_POINT('',(3.245E1,-7.300000000001E0,-3.425E1)); +#8954=VERTEX_POINT('',#8952); +#8955=VERTEX_POINT('',#8953); +#8956=CARTESIAN_POINT('',(-3.095E1,1.08E1,-3.425E1)); +#8957=CARTESIAN_POINT('',(-3.245E1,1.08E1,-3.425E1)); +#8958=VERTEX_POINT('',#8956); +#8959=VERTEX_POINT('',#8957); +#8960=CARTESIAN_POINT('',(-2.135E1,4.E0,-1.245E1)); +#8961=CARTESIAN_POINT('',(-2.265E1,4.E0,-1.245E1)); +#8962=VERTEX_POINT('',#8960); +#8963=VERTEX_POINT('',#8961); +#8964=CARTESIAN_POINT('',(-1.935E1,0.E0,-1.245E1)); +#8965=CARTESIAN_POINT('',(-2.065E1,0.E0,-1.245E1)); +#8966=VERTEX_POINT('',#8964); +#8967=VERTEX_POINT('',#8965); +#8968=CARTESIAN_POINT('',(-2.135E1,-4.E0,-1.245E1)); +#8969=CARTESIAN_POINT('',(-2.265E1,-4.E0,-1.245E1)); +#8970=VERTEX_POINT('',#8968); +#8971=VERTEX_POINT('',#8969); +#8972=CARTESIAN_POINT('',(-1.335E1,-4.E0,-1.245E1)); +#8973=CARTESIAN_POINT('',(-1.465E1,-4.E0,-1.245E1)); +#8974=VERTEX_POINT('',#8972); +#8975=VERTEX_POINT('',#8973); +#8976=CARTESIAN_POINT('',(-1.535E1,0.E0,-1.245E1)); +#8977=CARTESIAN_POINT('',(-1.665E1,0.E0,-1.245E1)); +#8978=VERTEX_POINT('',#8976); +#8979=VERTEX_POINT('',#8977); +#8980=CARTESIAN_POINT('',(-1.335E1,4.E0,-1.245E1)); +#8981=CARTESIAN_POINT('',(-1.465E1,4.E0,-1.245E1)); +#8982=VERTEX_POINT('',#8980); +#8983=VERTEX_POINT('',#8981); +#8984=CARTESIAN_POINT('',(-5.35E0,4.E0,-1.245E1)); +#8985=CARTESIAN_POINT('',(-6.65E0,4.E0,-1.245E1)); +#8986=VERTEX_POINT('',#8984); +#8987=VERTEX_POINT('',#8985); +#8988=CARTESIAN_POINT('',(-3.35E0,0.E0,-1.245E1)); +#8989=CARTESIAN_POINT('',(-4.65E0,0.E0,-1.245E1)); +#8990=VERTEX_POINT('',#8988); +#8991=VERTEX_POINT('',#8989); +#8992=CARTESIAN_POINT('',(-5.35E0,-4.E0,-1.245E1)); +#8993=CARTESIAN_POINT('',(-6.65E0,-4.E0,-1.245E1)); +#8994=VERTEX_POINT('',#8992); +#8995=VERTEX_POINT('',#8993); +#8996=CARTESIAN_POINT('',(-1.35E0,-4.E0,-1.245E1)); +#8997=CARTESIAN_POINT('',(-2.65E0,-4.E0,-1.245E1)); +#8998=VERTEX_POINT('',#8996); +#8999=VERTEX_POINT('',#8997); +#9000=CARTESIAN_POINT('',(6.5E-1,0.E0,-1.245E1)); +#9001=CARTESIAN_POINT('',(-6.5E-1,0.E0,-1.245E1)); +#9002=VERTEX_POINT('',#9000); +#9003=VERTEX_POINT('',#9001); +#9004=CARTESIAN_POINT('',(-1.35E0,4.E0,-1.245E1)); +#9005=CARTESIAN_POINT('',(-2.65E0,4.E0,-1.245E1)); +#9006=VERTEX_POINT('',#9004); +#9007=VERTEX_POINT('',#9005); +#9008=CARTESIAN_POINT('',(2.65E0,4.E0,-1.245E1)); +#9009=CARTESIAN_POINT('',(1.35E0,4.E0,-1.245E1)); +#9010=VERTEX_POINT('',#9008); +#9011=VERTEX_POINT('',#9009); +#9012=CARTESIAN_POINT('',(4.65E0,0.E0,-1.245E1)); +#9013=CARTESIAN_POINT('',(3.35E0,0.E0,-1.245E1)); +#9014=VERTEX_POINT('',#9012); +#9015=VERTEX_POINT('',#9013); +#9016=CARTESIAN_POINT('',(2.65E0,-4.E0,-1.245E1)); +#9017=CARTESIAN_POINT('',(1.35E0,-4.E0,-1.245E1)); +#9018=VERTEX_POINT('',#9016); +#9019=VERTEX_POINT('',#9017); +#9020=CARTESIAN_POINT('',(6.65E0,-4.E0,-1.245E1)); +#9021=CARTESIAN_POINT('',(5.35E0,-4.E0,-1.245E1)); +#9022=VERTEX_POINT('',#9020); +#9023=VERTEX_POINT('',#9021); +#9024=CARTESIAN_POINT('',(8.649999999999E0,0.E0,-1.245E1)); +#9025=CARTESIAN_POINT('',(7.349999999999E0,0.E0,-1.245E1)); +#9026=VERTEX_POINT('',#9024); +#9027=VERTEX_POINT('',#9025); +#9028=CARTESIAN_POINT('',(6.65E0,4.E0,-1.245E1)); +#9029=CARTESIAN_POINT('',(5.35E0,4.E0,-1.245E1)); +#9030=VERTEX_POINT('',#9028); +#9031=VERTEX_POINT('',#9029); +#9032=CARTESIAN_POINT('',(1.465E1,4.E0,-1.245E1)); +#9033=CARTESIAN_POINT('',(1.335E1,4.E0,-1.245E1)); +#9034=VERTEX_POINT('',#9032); +#9035=VERTEX_POINT('',#9033); +#9036=CARTESIAN_POINT('',(2.265E1,4.E0,-1.245E1)); +#9037=CARTESIAN_POINT('',(2.135E1,4.E0,-1.245E1)); +#9038=VERTEX_POINT('',#9036); +#9039=VERTEX_POINT('',#9037); +#9040=CARTESIAN_POINT('',(1.265E1,0.E0,-1.245E1)); +#9041=CARTESIAN_POINT('',(1.135E1,0.E0,-1.245E1)); +#9042=VERTEX_POINT('',#9040); +#9043=VERTEX_POINT('',#9041); +#9044=CARTESIAN_POINT('',(1.465E1,-4.E0,-1.245E1)); +#9045=CARTESIAN_POINT('',(1.335E1,-4.E0,-1.245E1)); +#9046=VERTEX_POINT('',#9044); +#9047=VERTEX_POINT('',#9045); +#9048=CARTESIAN_POINT('',(2.265E1,-4.E0,-1.245E1)); +#9049=CARTESIAN_POINT('',(2.135E1,-4.E0,-1.245E1)); +#9050=VERTEX_POINT('',#9048); +#9051=VERTEX_POINT('',#9049); +#9052=CARTESIAN_POINT('',(-2.135E1,4.E0,-2.E1)); +#9053=CARTESIAN_POINT('',(-2.265E1,4.E0,-2.E1)); +#9054=VERTEX_POINT('',#9052); +#9055=VERTEX_POINT('',#9053); +#9056=CARTESIAN_POINT('',(-1.935E1,0.E0,-2.E1)); +#9057=CARTESIAN_POINT('',(-2.065E1,0.E0,-2.E1)); +#9058=VERTEX_POINT('',#9056); +#9059=VERTEX_POINT('',#9057); +#9060=CARTESIAN_POINT('',(-2.135E1,-4.E0,-2.E1)); +#9061=CARTESIAN_POINT('',(-2.265E1,-4.E0,-2.E1)); +#9062=VERTEX_POINT('',#9060); +#9063=VERTEX_POINT('',#9061); +#9064=CARTESIAN_POINT('',(-1.335E1,-4.E0,-2.E1)); +#9065=CARTESIAN_POINT('',(-1.465E1,-4.E0,-2.E1)); +#9066=VERTEX_POINT('',#9064); +#9067=VERTEX_POINT('',#9065); +#9068=CARTESIAN_POINT('',(-1.535E1,0.E0,-2.E1)); +#9069=CARTESIAN_POINT('',(-1.665E1,0.E0,-2.E1)); +#9070=VERTEX_POINT('',#9068); +#9071=VERTEX_POINT('',#9069); +#9072=CARTESIAN_POINT('',(-1.335E1,4.E0,-2.E1)); +#9073=CARTESIAN_POINT('',(-1.465E1,4.E0,-2.E1)); +#9074=VERTEX_POINT('',#9072); +#9075=VERTEX_POINT('',#9073); +#9076=CARTESIAN_POINT('',(-5.35E0,4.E0,-2.E1)); +#9077=CARTESIAN_POINT('',(-6.65E0,4.E0,-2.E1)); +#9078=VERTEX_POINT('',#9076); +#9079=VERTEX_POINT('',#9077); +#9080=CARTESIAN_POINT('',(-3.35E0,0.E0,-2.E1)); +#9081=CARTESIAN_POINT('',(-4.65E0,0.E0,-2.E1)); +#9082=VERTEX_POINT('',#9080); +#9083=VERTEX_POINT('',#9081); +#9084=CARTESIAN_POINT('',(-5.35E0,-4.E0,-2.E1)); +#9085=CARTESIAN_POINT('',(-6.65E0,-4.E0,-2.E1)); +#9086=VERTEX_POINT('',#9084); +#9087=VERTEX_POINT('',#9085); +#9088=CARTESIAN_POINT('',(-1.35E0,-4.E0,-2.E1)); +#9089=CARTESIAN_POINT('',(-2.65E0,-4.E0,-2.E1)); +#9090=VERTEX_POINT('',#9088); +#9091=VERTEX_POINT('',#9089); +#9092=CARTESIAN_POINT('',(6.5E-1,0.E0,-2.E1)); +#9093=CARTESIAN_POINT('',(-6.5E-1,0.E0,-2.E1)); +#9094=VERTEX_POINT('',#9092); +#9095=VERTEX_POINT('',#9093); +#9096=CARTESIAN_POINT('',(-1.35E0,4.E0,-2.E1)); +#9097=CARTESIAN_POINT('',(-2.65E0,4.E0,-2.E1)); +#9098=VERTEX_POINT('',#9096); +#9099=VERTEX_POINT('',#9097); +#9100=CARTESIAN_POINT('',(2.65E0,4.E0,-2.E1)); +#9101=CARTESIAN_POINT('',(1.35E0,4.E0,-2.E1)); +#9102=VERTEX_POINT('',#9100); +#9103=VERTEX_POINT('',#9101); +#9104=CARTESIAN_POINT('',(4.65E0,0.E0,-2.E1)); +#9105=CARTESIAN_POINT('',(3.35E0,0.E0,-2.E1)); +#9106=VERTEX_POINT('',#9104); +#9107=VERTEX_POINT('',#9105); +#9108=CARTESIAN_POINT('',(2.65E0,-4.E0,-2.E1)); +#9109=CARTESIAN_POINT('',(1.35E0,-4.E0,-2.E1)); +#9110=VERTEX_POINT('',#9108); +#9111=VERTEX_POINT('',#9109); +#9112=CARTESIAN_POINT('',(6.65E0,-4.E0,-2.E1)); +#9113=CARTESIAN_POINT('',(5.35E0,-4.E0,-2.E1)); +#9114=VERTEX_POINT('',#9112); +#9115=VERTEX_POINT('',#9113); +#9116=CARTESIAN_POINT('',(8.649999999999E0,0.E0,-2.E1)); +#9117=CARTESIAN_POINT('',(7.349999999999E0,0.E0,-2.E1)); +#9118=VERTEX_POINT('',#9116); +#9119=VERTEX_POINT('',#9117); +#9120=CARTESIAN_POINT('',(6.65E0,4.E0,-2.E1)); +#9121=CARTESIAN_POINT('',(5.35E0,4.E0,-2.E1)); +#9122=VERTEX_POINT('',#9120); +#9123=VERTEX_POINT('',#9121); +#9124=CARTESIAN_POINT('',(1.465E1,4.E0,-2.E1)); +#9125=CARTESIAN_POINT('',(1.335E1,4.E0,-2.E1)); +#9126=VERTEX_POINT('',#9124); +#9127=VERTEX_POINT('',#9125); +#9128=CARTESIAN_POINT('',(2.265E1,4.E0,-2.E1)); +#9129=CARTESIAN_POINT('',(2.135E1,4.E0,-2.E1)); +#9130=VERTEX_POINT('',#9128); +#9131=VERTEX_POINT('',#9129); +#9132=CARTESIAN_POINT('',(1.265E1,0.E0,-2.E1)); +#9133=CARTESIAN_POINT('',(1.135E1,0.E0,-2.E1)); +#9134=VERTEX_POINT('',#9132); +#9135=VERTEX_POINT('',#9133); +#9136=CARTESIAN_POINT('',(1.465E1,-4.E0,-2.E1)); +#9137=CARTESIAN_POINT('',(1.335E1,-4.E0,-2.E1)); +#9138=VERTEX_POINT('',#9136); +#9139=VERTEX_POINT('',#9137); +#9140=CARTESIAN_POINT('',(2.265E1,-4.E0,-2.E1)); +#9141=CARTESIAN_POINT('',(2.135E1,-4.E0,-2.E1)); +#9142=VERTEX_POINT('',#9140); +#9143=VERTEX_POINT('',#9141); +#9144=CARTESIAN_POINT('',(-2.135E1,4.E0,-3.805E1)); +#9145=CARTESIAN_POINT('',(-2.265E1,4.E0,-3.805E1)); +#9146=VERTEX_POINT('',#9144); +#9147=VERTEX_POINT('',#9145); +#9148=CARTESIAN_POINT('',(-1.335E1,4.E0,-3.805E1)); +#9149=CARTESIAN_POINT('',(-1.465E1,4.E0,-3.805E1)); +#9150=VERTEX_POINT('',#9148); +#9151=VERTEX_POINT('',#9149); +#9152=CARTESIAN_POINT('',(-5.35E0,4.E0,-3.805E1)); +#9153=CARTESIAN_POINT('',(-6.65E0,4.E0,-3.805E1)); +#9154=VERTEX_POINT('',#9152); +#9155=VERTEX_POINT('',#9153); +#9156=CARTESIAN_POINT('',(-1.35E0,4.E0,-3.805E1)); +#9157=CARTESIAN_POINT('',(-2.65E0,4.E0,-3.805E1)); +#9158=VERTEX_POINT('',#9156); +#9159=VERTEX_POINT('',#9157); +#9160=CARTESIAN_POINT('',(2.65E0,4.E0,-3.805E1)); +#9161=CARTESIAN_POINT('',(1.35E0,4.E0,-3.805E1)); +#9162=VERTEX_POINT('',#9160); +#9163=VERTEX_POINT('',#9161); +#9164=CARTESIAN_POINT('',(6.65E0,4.E0,-3.805E1)); +#9165=CARTESIAN_POINT('',(5.35E0,4.E0,-3.805E1)); +#9166=VERTEX_POINT('',#9164); +#9167=VERTEX_POINT('',#9165); +#9168=CARTESIAN_POINT('',(1.465E1,4.E0,-3.805E1)); +#9169=CARTESIAN_POINT('',(1.335E1,4.E0,-3.805E1)); +#9170=VERTEX_POINT('',#9168); +#9171=VERTEX_POINT('',#9169); +#9172=CARTESIAN_POINT('',(2.265E1,4.E0,-3.805E1)); +#9173=CARTESIAN_POINT('',(2.135E1,4.E0,-3.805E1)); +#9174=VERTEX_POINT('',#9172); +#9175=VERTEX_POINT('',#9173); +#9176=CARTESIAN_POINT('',(-1.935E1,0.E0,-3.805E1)); +#9177=CARTESIAN_POINT('',(-2.065E1,0.E0,-3.805E1)); +#9178=VERTEX_POINT('',#9176); +#9179=VERTEX_POINT('',#9177); +#9180=CARTESIAN_POINT('',(-1.535E1,0.E0,-3.805E1)); +#9181=CARTESIAN_POINT('',(-1.665E1,0.E0,-3.805E1)); +#9182=VERTEX_POINT('',#9180); +#9183=VERTEX_POINT('',#9181); +#9184=CARTESIAN_POINT('',(-3.35E0,0.E0,-3.805E1)); +#9185=CARTESIAN_POINT('',(-4.65E0,0.E0,-3.805E1)); +#9186=VERTEX_POINT('',#9184); +#9187=VERTEX_POINT('',#9185); +#9188=CARTESIAN_POINT('',(6.5E-1,0.E0,-3.805E1)); +#9189=CARTESIAN_POINT('',(-6.5E-1,0.E0,-3.805E1)); +#9190=VERTEX_POINT('',#9188); +#9191=VERTEX_POINT('',#9189); +#9192=CARTESIAN_POINT('',(4.65E0,0.E0,-3.805E1)); +#9193=CARTESIAN_POINT('',(3.35E0,0.E0,-3.805E1)); +#9194=VERTEX_POINT('',#9192); +#9195=VERTEX_POINT('',#9193); +#9196=CARTESIAN_POINT('',(8.649999999999E0,0.E0,-3.805E1)); +#9197=CARTESIAN_POINT('',(7.349999999999E0,0.E0,-3.805E1)); +#9198=VERTEX_POINT('',#9196); +#9199=VERTEX_POINT('',#9197); +#9200=CARTESIAN_POINT('',(1.265E1,0.E0,-3.805E1)); +#9201=CARTESIAN_POINT('',(1.135E1,0.E0,-3.805E1)); +#9202=VERTEX_POINT('',#9200); +#9203=VERTEX_POINT('',#9201); +#9204=CARTESIAN_POINT('',(-2.135E1,-4.E0,-3.805E1)); +#9205=CARTESIAN_POINT('',(-2.265E1,-4.E0,-3.805E1)); +#9206=VERTEX_POINT('',#9204); +#9207=VERTEX_POINT('',#9205); +#9208=CARTESIAN_POINT('',(-1.335E1,-4.E0,-3.805E1)); +#9209=CARTESIAN_POINT('',(-1.465E1,-4.E0,-3.805E1)); +#9210=VERTEX_POINT('',#9208); +#9211=VERTEX_POINT('',#9209); +#9212=CARTESIAN_POINT('',(-5.35E0,-4.E0,-3.805E1)); +#9213=CARTESIAN_POINT('',(-6.65E0,-4.E0,-3.805E1)); +#9214=VERTEX_POINT('',#9212); +#9215=VERTEX_POINT('',#9213); +#9216=CARTESIAN_POINT('',(-1.35E0,-4.E0,-3.805E1)); +#9217=CARTESIAN_POINT('',(-2.65E0,-4.E0,-3.805E1)); +#9218=VERTEX_POINT('',#9216); +#9219=VERTEX_POINT('',#9217); +#9220=CARTESIAN_POINT('',(2.65E0,-4.E0,-3.805E1)); +#9221=CARTESIAN_POINT('',(1.35E0,-4.E0,-3.805E1)); +#9222=VERTEX_POINT('',#9220); +#9223=VERTEX_POINT('',#9221); +#9224=CARTESIAN_POINT('',(6.65E0,-4.E0,-3.805E1)); +#9225=CARTESIAN_POINT('',(5.35E0,-4.E0,-3.805E1)); +#9226=VERTEX_POINT('',#9224); +#9227=VERTEX_POINT('',#9225); +#9228=CARTESIAN_POINT('',(1.465E1,-4.E0,-3.805E1)); +#9229=CARTESIAN_POINT('',(1.335E1,-4.E0,-3.805E1)); +#9230=VERTEX_POINT('',#9228); +#9231=VERTEX_POINT('',#9229); +#9232=CARTESIAN_POINT('',(2.265E1,-4.E0,-3.805E1)); +#9233=CARTESIAN_POINT('',(2.135E1,-4.E0,-3.805E1)); +#9234=VERTEX_POINT('',#9232); +#9235=VERTEX_POINT('',#9233); +#9236=CARTESIAN_POINT('',(-2.135E1,4.E0,-2.6E1)); +#9237=CARTESIAN_POINT('',(-2.265E1,4.E0,-2.6E1)); +#9238=VERTEX_POINT('',#9236); +#9239=VERTEX_POINT('',#9237); +#9240=CARTESIAN_POINT('',(-1.335E1,4.E0,-2.6E1)); +#9241=CARTESIAN_POINT('',(-1.465E1,4.E0,-2.6E1)); +#9242=VERTEX_POINT('',#9240); +#9243=VERTEX_POINT('',#9241); +#9244=CARTESIAN_POINT('',(-5.35E0,4.E0,-2.6E1)); +#9245=CARTESIAN_POINT('',(-6.65E0,4.E0,-2.6E1)); +#9246=VERTEX_POINT('',#9244); +#9247=VERTEX_POINT('',#9245); +#9248=CARTESIAN_POINT('',(-1.35E0,4.E0,-2.6E1)); +#9249=CARTESIAN_POINT('',(-2.65E0,4.E0,-2.6E1)); +#9250=VERTEX_POINT('',#9248); +#9251=VERTEX_POINT('',#9249); +#9252=CARTESIAN_POINT('',(2.65E0,4.E0,-2.6E1)); +#9253=CARTESIAN_POINT('',(1.35E0,4.E0,-2.6E1)); +#9254=VERTEX_POINT('',#9252); +#9255=VERTEX_POINT('',#9253); +#9256=CARTESIAN_POINT('',(6.65E0,4.E0,-2.6E1)); +#9257=CARTESIAN_POINT('',(5.35E0,4.E0,-2.6E1)); +#9258=VERTEX_POINT('',#9256); +#9259=VERTEX_POINT('',#9257); +#9260=CARTESIAN_POINT('',(1.465E1,4.E0,-2.6E1)); +#9261=CARTESIAN_POINT('',(1.335E1,4.E0,-2.6E1)); +#9262=VERTEX_POINT('',#9260); +#9263=VERTEX_POINT('',#9261); +#9264=CARTESIAN_POINT('',(2.265E1,4.E0,-2.6E1)); +#9265=CARTESIAN_POINT('',(2.135E1,4.E0,-2.6E1)); +#9266=VERTEX_POINT('',#9264); +#9267=VERTEX_POINT('',#9265); +#9268=CARTESIAN_POINT('',(-1.935E1,0.E0,-2.6E1)); +#9269=CARTESIAN_POINT('',(-2.065E1,0.E0,-2.6E1)); +#9270=VERTEX_POINT('',#9268); +#9271=VERTEX_POINT('',#9269); +#9272=CARTESIAN_POINT('',(-1.535E1,0.E0,-2.6E1)); +#9273=CARTESIAN_POINT('',(-1.665E1,0.E0,-2.6E1)); +#9274=VERTEX_POINT('',#9272); +#9275=VERTEX_POINT('',#9273); +#9276=CARTESIAN_POINT('',(-3.35E0,0.E0,-2.6E1)); +#9277=CARTESIAN_POINT('',(-4.65E0,0.E0,-2.6E1)); +#9278=VERTEX_POINT('',#9276); +#9279=VERTEX_POINT('',#9277); +#9280=CARTESIAN_POINT('',(6.5E-1,0.E0,-2.6E1)); +#9281=CARTESIAN_POINT('',(-6.5E-1,0.E0,-2.6E1)); +#9282=VERTEX_POINT('',#9280); +#9283=VERTEX_POINT('',#9281); +#9284=CARTESIAN_POINT('',(4.65E0,0.E0,-2.6E1)); +#9285=CARTESIAN_POINT('',(3.35E0,0.E0,-2.6E1)); +#9286=VERTEX_POINT('',#9284); +#9287=VERTEX_POINT('',#9285); +#9288=CARTESIAN_POINT('',(8.649999999999E0,0.E0,-2.6E1)); +#9289=CARTESIAN_POINT('',(7.349999999999E0,0.E0,-2.6E1)); +#9290=VERTEX_POINT('',#9288); +#9291=VERTEX_POINT('',#9289); +#9292=CARTESIAN_POINT('',(1.265E1,0.E0,-2.6E1)); +#9293=CARTESIAN_POINT('',(1.135E1,0.E0,-2.6E1)); +#9294=VERTEX_POINT('',#9292); +#9295=VERTEX_POINT('',#9293); +#9296=CARTESIAN_POINT('',(-2.135E1,-4.E0,-2.6E1)); +#9297=CARTESIAN_POINT('',(-2.265E1,-4.E0,-2.6E1)); +#9298=VERTEX_POINT('',#9296); +#9299=VERTEX_POINT('',#9297); +#9300=CARTESIAN_POINT('',(-1.335E1,-4.E0,-2.6E1)); +#9301=CARTESIAN_POINT('',(-1.465E1,-4.E0,-2.6E1)); +#9302=VERTEX_POINT('',#9300); +#9303=VERTEX_POINT('',#9301); +#9304=CARTESIAN_POINT('',(-5.35E0,-4.E0,-2.6E1)); +#9305=CARTESIAN_POINT('',(-6.65E0,-4.E0,-2.6E1)); +#9306=VERTEX_POINT('',#9304); +#9307=VERTEX_POINT('',#9305); +#9308=CARTESIAN_POINT('',(-1.35E0,-4.E0,-2.6E1)); +#9309=CARTESIAN_POINT('',(-2.65E0,-4.E0,-2.6E1)); +#9310=VERTEX_POINT('',#9308); +#9311=VERTEX_POINT('',#9309); +#9312=CARTESIAN_POINT('',(2.65E0,-4.E0,-2.6E1)); +#9313=CARTESIAN_POINT('',(1.35E0,-4.E0,-2.6E1)); +#9314=VERTEX_POINT('',#9312); +#9315=VERTEX_POINT('',#9313); +#9316=CARTESIAN_POINT('',(6.65E0,-4.E0,-2.6E1)); +#9317=CARTESIAN_POINT('',(5.35E0,-4.E0,-2.6E1)); +#9318=VERTEX_POINT('',#9316); +#9319=VERTEX_POINT('',#9317); +#9320=CARTESIAN_POINT('',(1.465E1,-4.E0,-2.6E1)); +#9321=CARTESIAN_POINT('',(1.335E1,-4.E0,-2.6E1)); +#9322=VERTEX_POINT('',#9320); +#9323=VERTEX_POINT('',#9321); +#9324=CARTESIAN_POINT('',(2.265E1,-4.E0,-2.6E1)); +#9325=CARTESIAN_POINT('',(2.135E1,-4.E0,-2.6E1)); +#9326=VERTEX_POINT('',#9324); +#9327=VERTEX_POINT('',#9325); +#9328=CARTESIAN_POINT('',(2.92E1,5.6E0,0.E0)); +#9329=CARTESIAN_POINT('',(2.35E1,1.13E1,0.E0)); +#9330=VERTEX_POINT('',#9328); +#9331=VERTEX_POINT('',#9329); +#9332=CARTESIAN_POINT('',(2.97E1,5.6E0,-5.E-1)); +#9333=CARTESIAN_POINT('',(2.35E1,1.18E1,-5.E-1)); +#9334=VERTEX_POINT('',#9332); +#9335=VERTEX_POINT('',#9333); +#9336=CARTESIAN_POINT('',(2.92E1,-5.6E0,0.E0)); +#9337=VERTEX_POINT('',#9336); +#9338=CARTESIAN_POINT('',(2.97E1,-5.599999999969E0,-5.E-1)); +#9339=VERTEX_POINT('',#9338); +#9340=CARTESIAN_POINT('',(2.35E1,-1.13E1,0.E0)); +#9341=VERTEX_POINT('',#9340); +#9342=CARTESIAN_POINT('',(2.35E1,-1.18E1,-5.E-1)); +#9343=VERTEX_POINT('',#9342); +#9344=CARTESIAN_POINT('',(-2.35E1,-1.13E1,0.E0)); +#9345=VERTEX_POINT('',#9344); +#9346=CARTESIAN_POINT('',(-2.349999999997E1,-1.18E1,-5.E-1)); +#9347=VERTEX_POINT('',#9346); +#9348=CARTESIAN_POINT('',(-2.92E1,-5.6E0,0.E0)); +#9349=VERTEX_POINT('',#9348); +#9350=CARTESIAN_POINT('',(-2.97E1,-5.6E0,-5.E-1)); +#9351=VERTEX_POINT('',#9350); +#9352=CARTESIAN_POINT('',(-2.92E1,5.6E0,0.E0)); +#9353=VERTEX_POINT('',#9352); +#9354=CARTESIAN_POINT('',(-2.97E1,5.599999999969E0,-5.E-1)); +#9355=VERTEX_POINT('',#9354); +#9356=CARTESIAN_POINT('',(-2.35E1,1.13E1,0.E0)); +#9357=VERTEX_POINT('',#9356); +#9358=CARTESIAN_POINT('',(-2.35E1,1.18E1,-5.E-1)); +#9359=VERTEX_POINT('',#9358); +#9360=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.15E1)); +#9361=CARTESIAN_POINT('',(3.845E1,-6.550000000001E0,-2.15E1)); +#9362=VERTEX_POINT('',#9360); +#9363=VERTEX_POINT('',#9361); +#9364=CARTESIAN_POINT('',(3.795E1,1.005E1,-2.2E1)); +#9365=CARTESIAN_POINT('',(3.795E1,-6.550000000001E0,-2.2E1)); +#9366=VERTEX_POINT('',#9364); +#9367=VERTEX_POINT('',#9365); +#9368=CARTESIAN_POINT('',(-3.845E1,-1.104999999952E1,-2.15E1)); +#9369=CARTESIAN_POINT('',(-3.845E1,-8.050000000001E0,-2.15E1)); +#9370=VERTEX_POINT('',#9368); +#9371=VERTEX_POINT('',#9369); +#9372=CARTESIAN_POINT('',(-3.795E1,-1.105E1,-2.2E1)); +#9373=CARTESIAN_POINT('',(-3.795E1,-8.050000000001E0,-2.2E1)); +#9374=VERTEX_POINT('',#9372); +#9375=VERTEX_POINT('',#9373); +#9376=CARTESIAN_POINT('',(-3.345E1,-1.555E1,-2.2E1)); +#9377=VERTEX_POINT('',#9376); +#9378=CARTESIAN_POINT('',(-3.345E1,-1.605E1,-2.15E1)); +#9379=VERTEX_POINT('',#9378); +#9380=CARTESIAN_POINT('',(3.345E1,-1.555E1,-2.2E1)); +#9381=VERTEX_POINT('',#9380); +#9382=CARTESIAN_POINT('',(3.344999999952E1,-1.605E1,-2.15E1)); +#9383=VERTEX_POINT('',#9382); +#9384=CARTESIAN_POINT('',(3.795E1,-1.105E1,-2.2E1)); +#9385=VERTEX_POINT('',#9384); +#9386=CARTESIAN_POINT('',(3.845E1,-1.105E1,-2.15E1)); +#9387=VERTEX_POINT('',#9386); +#9388=CARTESIAN_POINT('',(3.795E1,-8.050000000001E0,-2.2E1)); +#9389=VERTEX_POINT('',#9388); +#9390=CARTESIAN_POINT('',(3.845E1,-8.050000000001E0,-2.15E1)); +#9391=VERTEX_POINT('',#9390); +#9392=CARTESIAN_POINT('',(-3.845E1,-6.550000000001E0,-2.15E1)); +#9393=CARTESIAN_POINT('',(-3.845E1,1.005E1,-2.15E1)); +#9394=VERTEX_POINT('',#9392); +#9395=VERTEX_POINT('',#9393); +#9396=CARTESIAN_POINT('',(-3.795E1,-6.550000000001E0,-2.2E1)); +#9397=CARTESIAN_POINT('',(-3.795E1,1.005E1,-2.2E1)); +#9398=VERTEX_POINT('',#9396); +#9399=VERTEX_POINT('',#9397); +#9400=CARTESIAN_POINT('',(3.345E1,1.605E1,-2.15E1)); +#9401=CARTESIAN_POINT('',(3.842493718553E1,1.155E1,-2.15E1)); +#9402=VERTEX_POINT('',#9400); +#9403=VERTEX_POINT('',#9401); +#9404=CARTESIAN_POINT('',(3.345E1,1.555E1,-2.2E1)); +#9405=CARTESIAN_POINT('',(3.792213595500E1,1.155E1,-2.2E1)); +#9406=VERTEX_POINT('',#9404); +#9407=VERTEX_POINT('',#9405); +#9408=CARTESIAN_POINT('',(-3.345E1,1.555E1,-2.2E1)); +#9409=VERTEX_POINT('',#9408); +#9410=CARTESIAN_POINT('',(-3.344999999931E1,1.605E1,-2.15E1)); +#9411=VERTEX_POINT('',#9410); +#9412=CARTESIAN_POINT('',(-3.792213595500E1,1.155E1,-2.2E1)); +#9413=VERTEX_POINT('',#9412); +#9414=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.15E1)); +#9415=VERTEX_POINT('',#9414); +#9416=CARTESIAN_POINT('',(-3.07E1,-7.300000000001E0,-3.425E1)); +#9417=CARTESIAN_POINT('',(-3.57E1,-7.300000000001E0,-3.425E1)); +#9418=VERTEX_POINT('',#9416); +#9419=VERTEX_POINT('',#9417); +#9420=CARTESIAN_POINT('',(-3.02E1,-7.300000000001E0,-3.375E1)); +#9421=CARTESIAN_POINT('',(-3.62E1,-7.300000000001E0,-3.375E1)); +#9422=VERTEX_POINT('',#9420); +#9423=VERTEX_POINT('',#9421); +#9424=CARTESIAN_POINT('',(-2.92E1,1.08E1,-3.425E1)); +#9425=CARTESIAN_POINT('',(-3.42E1,1.08E1,-3.425E1)); +#9426=VERTEX_POINT('',#9424); +#9427=VERTEX_POINT('',#9425); +#9428=CARTESIAN_POINT('',(-2.87E1,1.08E1,-3.375E1)); +#9429=CARTESIAN_POINT('',(-3.47E1,1.08E1,-3.375E1)); +#9430=VERTEX_POINT('',#9428); +#9431=VERTEX_POINT('',#9429); +#9432=CARTESIAN_POINT('',(3.57E1,-7.300000000001E0,-3.425E1)); +#9433=CARTESIAN_POINT('',(3.07E1,-7.300000000001E0,-3.425E1)); +#9434=VERTEX_POINT('',#9432); +#9435=VERTEX_POINT('',#9433); +#9436=CARTESIAN_POINT('',(3.62E1,-7.300000000001E0,-3.375E1)); +#9437=CARTESIAN_POINT('',(3.02E1,-7.300000000001E0,-3.375E1)); +#9438=VERTEX_POINT('',#9436); +#9439=VERTEX_POINT('',#9437); +#9440=CARTESIAN_POINT('',(3.42E1,1.08E1,-3.425E1)); +#9441=CARTESIAN_POINT('',(2.92E1,1.08E1,-3.425E1)); +#9442=VERTEX_POINT('',#9440); +#9443=VERTEX_POINT('',#9441); +#9444=CARTESIAN_POINT('',(3.47E1,1.08E1,-3.375E1)); +#9445=CARTESIAN_POINT('',(2.87E1,1.08E1,-3.375E1)); +#9446=VERTEX_POINT('',#9444); +#9447=VERTEX_POINT('',#9445); +#9448=CARTESIAN_POINT('',(-3.679687364248E1,-8.050000000001E0,-2.2E1)); +#9449=VERTEX_POINT('',#9448); +#9450=CARTESIAN_POINT('',(-3.679687364248E1,-6.550000000001E0,-2.2E1)); +#9451=VERTEX_POINT('',#9450); +#9452=CARTESIAN_POINT('',(-3.529687364248E1,1.005E1,-2.2E1)); +#9453=VERTEX_POINT('',#9452); +#9454=CARTESIAN_POINT('',(-3.529687364248E1,1.155E1,-2.2E1)); +#9455=VERTEX_POINT('',#9454); +#9456=CARTESIAN_POINT('',(3.679687364248E1,-6.550000000001E0,-2.85E1)); +#9457=CARTESIAN_POINT('',(3.845E1,-6.550000000001E0,-2.85E1)); +#9458=VERTEX_POINT('',#9456); +#9459=VERTEX_POINT('',#9457); +#9460=CARTESIAN_POINT('',(3.845E1,-8.050000000001E0,-2.85E1)); +#9461=VERTEX_POINT('',#9460); +#9462=CARTESIAN_POINT('',(3.679687364248E1,-8.050000000001E0,-2.85E1)); +#9463=VERTEX_POINT('',#9462); +#9464=CARTESIAN_POINT('',(3.529687364248E1,1.155E1,-2.85E1)); +#9465=CARTESIAN_POINT('',(3.842493718553E1,1.155E1,-2.85E1)); +#9466=VERTEX_POINT('',#9464); +#9467=VERTEX_POINT('',#9465); +#9468=CARTESIAN_POINT('',(3.845E1,1.105E1,-2.85E1)); +#9469=VERTEX_POINT('',#9468); +#9470=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.85E1)); +#9471=VERTEX_POINT('',#9470); +#9472=CARTESIAN_POINT('',(3.529687364248E1,1.005E1,-2.85E1)); +#9473=VERTEX_POINT('',#9472); +#9474=CARTESIAN_POINT('',(3.679687364248E1,-8.050000000001E0,-2.2E1)); +#9475=VERTEX_POINT('',#9474); +#9476=CARTESIAN_POINT('',(3.679687364248E1,-6.550000000001E0,-2.2E1)); +#9477=VERTEX_POINT('',#9476); +#9478=CARTESIAN_POINT('',(3.529687364248E1,1.005E1,-2.2E1)); +#9479=VERTEX_POINT('',#9478); +#9480=CARTESIAN_POINT('',(3.529687364248E1,1.155E1,-2.2E1)); +#9481=VERTEX_POINT('',#9480); +#9482=CARTESIAN_POINT('',(-3.625E1,1.105E1,-1.85E1)); +#9483=CARTESIAN_POINT('',(-3.345E1,1.385E1,-1.85E1)); +#9484=VERTEX_POINT('',#9482); +#9485=VERTEX_POINT('',#9483); +#9486=CARTESIAN_POINT('',(-3.575E1,1.105E1,-1.9E1)); +#9487=CARTESIAN_POINT('',(-3.345E1,1.335E1,-1.9E1)); +#9488=VERTEX_POINT('',#9486); +#9489=VERTEX_POINT('',#9487); +#9490=CARTESIAN_POINT('',(3.344999999968E1,1.384999999946E1,-1.85E1)); +#9491=VERTEX_POINT('',#9490); +#9492=CARTESIAN_POINT('',(3.344999999636E1,1.335E1,-1.9E1)); +#9493=VERTEX_POINT('',#9492); +#9494=CARTESIAN_POINT('',(3.625E1,1.105E1,-1.85E1)); +#9495=VERTEX_POINT('',#9494); +#9496=CARTESIAN_POINT('',(3.575E1,1.105E1,-1.9E1)); +#9497=VERTEX_POINT('',#9496); +#9498=CARTESIAN_POINT('',(3.624999999946E1,-1.104999999968E1,-1.85E1)); +#9499=VERTEX_POINT('',#9498); +#9500=CARTESIAN_POINT('',(3.575E1,-1.104999999636E1,-1.9E1)); +#9501=VERTEX_POINT('',#9500); +#9502=CARTESIAN_POINT('',(3.345E1,-1.385E1,-1.85E1)); +#9503=VERTEX_POINT('',#9502); +#9504=CARTESIAN_POINT('',(3.345E1,-1.335E1,-1.9E1)); +#9505=VERTEX_POINT('',#9504); +#9506=CARTESIAN_POINT('',(-3.344999999968E1,-1.384999999946E1,-1.85E1)); +#9507=VERTEX_POINT('',#9506); +#9508=CARTESIAN_POINT('',(-3.344999999636E1,-1.335E1,-1.9E1)); +#9509=VERTEX_POINT('',#9508); +#9510=CARTESIAN_POINT('',(-3.625E1,-1.105E1,-1.85E1)); +#9511=VERTEX_POINT('',#9510); +#9512=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-1.9E1)); +#9513=VERTEX_POINT('',#9512); +#9514=CARTESIAN_POINT('',(-3.785E1,-1.105E1,-1.85E1)); +#9515=CARTESIAN_POINT('',(-3.345E1,-1.545E1,-1.85E1)); +#9516=VERTEX_POINT('',#9514); +#9517=VERTEX_POINT('',#9515); +#9518=CARTESIAN_POINT('',(-3.835E1,-1.105E1,-1.9E1)); +#9519=CARTESIAN_POINT('',(-3.345E1,-1.595E1,-1.9E1)); +#9520=VERTEX_POINT('',#9518); +#9521=VERTEX_POINT('',#9519); +#9522=CARTESIAN_POINT('',(3.345E1,-1.545E1,-1.85E1)); +#9523=VERTEX_POINT('',#9522); +#9524=CARTESIAN_POINT('',(3.344999999901E1,-1.595E1,-1.9E1)); +#9525=VERTEX_POINT('',#9524); +#9526=CARTESIAN_POINT('',(3.785E1,-1.105E1,-1.85E1)); +#9527=VERTEX_POINT('',#9526); +#9528=CARTESIAN_POINT('',(3.835E1,-1.105E1,-1.9E1)); +#9529=VERTEX_POINT('',#9528); +#9530=CARTESIAN_POINT('',(3.785E1,1.105E1,-1.85E1)); +#9531=VERTEX_POINT('',#9530); +#9532=CARTESIAN_POINT('',(3.835E1,1.104999999901E1,-1.9E1)); +#9533=VERTEX_POINT('',#9532); +#9534=CARTESIAN_POINT('',(3.345E1,1.545E1,-1.85E1)); +#9535=VERTEX_POINT('',#9534); +#9536=CARTESIAN_POINT('',(3.345E1,1.595E1,-1.9E1)); +#9537=VERTEX_POINT('',#9536); +#9538=CARTESIAN_POINT('',(-3.345E1,1.545E1,-1.85E1)); +#9539=VERTEX_POINT('',#9538); +#9540=CARTESIAN_POINT('',(-3.344999999901E1,1.595E1,-1.9E1)); +#9541=VERTEX_POINT('',#9540); +#9542=CARTESIAN_POINT('',(-3.785E1,1.105E1,-1.85E1)); +#9543=VERTEX_POINT('',#9542); +#9544=CARTESIAN_POINT('',(-3.835E1,1.105E1,-1.9E1)); +#9545=VERTEX_POINT('',#9544); +#9546=CARTESIAN_POINT('',(1.141421356237E1,4.5E0,-2.6E1)); +#9547=VERTEX_POINT('',#9546); +#9548=CARTESIAN_POINT('',(1.941421356237E1,4.5E0,-2.6E1)); +#9549=VERTEX_POINT('',#9548); +#9550=CARTESIAN_POINT('',(-1.941421356237E1,4.5E0,-2.6E1)); +#9551=VERTEX_POINT('',#9550); +#9552=CARTESIAN_POINT('',(-1.141421356237E1,4.5E0,-2.6E1)); +#9553=VERTEX_POINT('',#9552); +#9554=CARTESIAN_POINT('',(-1.141421356237E1,-4.5E0,-2.6E1)); +#9555=VERTEX_POINT('',#9554); +#9556=CARTESIAN_POINT('',(-1.941421356237E1,-4.5E0,-2.6E1)); +#9557=VERTEX_POINT('',#9556); +#9558=CARTESIAN_POINT('',(1.941421356237E1,-4.5E0,-2.6E1)); +#9559=VERTEX_POINT('',#9558); +#9560=CARTESIAN_POINT('',(1.141421356237E1,-4.5E0,-2.6E1)); +#9561=VERTEX_POINT('',#9560); +#9562=CARTESIAN_POINT('',(1.141421356237E1,4.5E0,-2.3E1)); +#9563=VERTEX_POINT('',#9562); +#9564=CARTESIAN_POINT('',(1.941421356237E1,4.5E0,-2.3E1)); +#9565=VERTEX_POINT('',#9564); +#9566=CARTESIAN_POINT('',(-1.941421356237E1,4.5E0,-2.3E1)); +#9567=VERTEX_POINT('',#9566); +#9568=CARTESIAN_POINT('',(-1.141421356237E1,4.5E0,-2.3E1)); +#9569=VERTEX_POINT('',#9568); +#9570=CARTESIAN_POINT('',(-1.141421356237E1,-4.5E0,-2.3E1)); +#9571=VERTEX_POINT('',#9570); +#9572=CARTESIAN_POINT('',(-1.941421356237E1,-4.5E0,-2.3E1)); +#9573=VERTEX_POINT('',#9572); +#9574=CARTESIAN_POINT('',(1.941421356237E1,-4.5E0,-2.3E1)); +#9575=VERTEX_POINT('',#9574); +#9576=CARTESIAN_POINT('',(1.141421356237E1,-4.5E0,-2.3E1)); +#9577=VERTEX_POINT('',#9576); +#9578=CARTESIAN_POINT('',(-1.735E1,4.E0,-1.245E1)); +#9579=CARTESIAN_POINT('',(-1.865E1,4.E0,-1.245E1)); +#9580=VERTEX_POINT('',#9578); +#9581=VERTEX_POINT('',#9579); +#9582=CARTESIAN_POINT('',(-1.135E1,0.E0,-1.245E1)); +#9583=CARTESIAN_POINT('',(-1.265E1,0.E0,-1.245E1)); +#9584=VERTEX_POINT('',#9582); +#9585=VERTEX_POINT('',#9583); +#9586=CARTESIAN_POINT('',(-9.35E0,4.E0,-1.245E1)); +#9587=CARTESIAN_POINT('',(-1.065E1,4.E0,-1.245E1)); +#9588=VERTEX_POINT('',#9586); +#9589=VERTEX_POINT('',#9587); +#9590=CARTESIAN_POINT('',(-7.349999999999E0,0.E0,-1.245E1)); +#9591=CARTESIAN_POINT('',(-8.649999999999E0,0.E0,-1.245E1)); +#9592=VERTEX_POINT('',#9590); +#9593=VERTEX_POINT('',#9591); +#9594=CARTESIAN_POINT('',(-9.35E0,-4.E0,-1.245E1)); +#9595=CARTESIAN_POINT('',(-1.065E1,-4.E0,-1.245E1)); +#9596=VERTEX_POINT('',#9594); +#9597=VERTEX_POINT('',#9595); +#9598=CARTESIAN_POINT('',(-1.735E1,-4.E0,-1.245E1)); +#9599=CARTESIAN_POINT('',(-1.865E1,-4.E0,-1.245E1)); +#9600=VERTEX_POINT('',#9598); +#9601=VERTEX_POINT('',#9599); +#9602=CARTESIAN_POINT('',(1.065E1,4.E0,-1.245E1)); +#9603=CARTESIAN_POINT('',(9.35E0,4.E0,-1.245E1)); +#9604=VERTEX_POINT('',#9602); +#9605=VERTEX_POINT('',#9603); +#9606=CARTESIAN_POINT('',(1.065E1,-4.E0,-1.245E1)); +#9607=CARTESIAN_POINT('',(9.35E0,-4.E0,-1.245E1)); +#9608=VERTEX_POINT('',#9606); +#9609=VERTEX_POINT('',#9607); +#9610=CARTESIAN_POINT('',(1.865E1,4.E0,-1.245E1)); +#9611=CARTESIAN_POINT('',(1.735E1,4.E0,-1.245E1)); +#9612=VERTEX_POINT('',#9610); +#9613=VERTEX_POINT('',#9611); +#9614=CARTESIAN_POINT('',(1.665E1,0.E0,-1.245E1)); +#9615=CARTESIAN_POINT('',(1.535E1,0.E0,-1.245E1)); +#9616=VERTEX_POINT('',#9614); +#9617=VERTEX_POINT('',#9615); +#9618=CARTESIAN_POINT('',(1.865E1,-4.E0,-1.245E1)); +#9619=CARTESIAN_POINT('',(1.735E1,-4.E0,-1.245E1)); +#9620=VERTEX_POINT('',#9618); +#9621=VERTEX_POINT('',#9619); +#9622=CARTESIAN_POINT('',(2.065E1,0.E0,-1.245E1)); +#9623=CARTESIAN_POINT('',(1.935E1,0.E0,-1.245E1)); +#9624=VERTEX_POINT('',#9622); +#9625=VERTEX_POINT('',#9623); +#9626=CARTESIAN_POINT('',(-1.735E1,4.E0,-2.E1)); +#9627=CARTESIAN_POINT('',(-1.865E1,4.E0,-2.E1)); +#9628=VERTEX_POINT('',#9626); +#9629=VERTEX_POINT('',#9627); +#9630=CARTESIAN_POINT('',(-1.135E1,0.E0,-2.E1)); +#9631=CARTESIAN_POINT('',(-1.265E1,0.E0,-2.E1)); +#9632=VERTEX_POINT('',#9630); +#9633=VERTEX_POINT('',#9631); +#9634=CARTESIAN_POINT('',(-9.35E0,4.E0,-2.E1)); +#9635=CARTESIAN_POINT('',(-1.065E1,4.E0,-2.E1)); +#9636=VERTEX_POINT('',#9634); +#9637=VERTEX_POINT('',#9635); +#9638=CARTESIAN_POINT('',(-7.349999999999E0,0.E0,-2.E1)); +#9639=CARTESIAN_POINT('',(-8.649999999999E0,0.E0,-2.E1)); +#9640=VERTEX_POINT('',#9638); +#9641=VERTEX_POINT('',#9639); +#9642=CARTESIAN_POINT('',(-9.35E0,-4.E0,-2.E1)); +#9643=CARTESIAN_POINT('',(-1.065E1,-4.E0,-2.E1)); +#9644=VERTEX_POINT('',#9642); +#9645=VERTEX_POINT('',#9643); +#9646=CARTESIAN_POINT('',(-1.735E1,-4.E0,-2.E1)); +#9647=CARTESIAN_POINT('',(-1.865E1,-4.E0,-2.E1)); +#9648=VERTEX_POINT('',#9646); +#9649=VERTEX_POINT('',#9647); +#9650=CARTESIAN_POINT('',(1.065E1,4.E0,-2.E1)); +#9651=CARTESIAN_POINT('',(9.35E0,4.E0,-2.E1)); +#9652=VERTEX_POINT('',#9650); +#9653=VERTEX_POINT('',#9651); +#9654=CARTESIAN_POINT('',(1.065E1,-4.E0,-2.E1)); +#9655=CARTESIAN_POINT('',(9.35E0,-4.E0,-2.E1)); +#9656=VERTEX_POINT('',#9654); +#9657=VERTEX_POINT('',#9655); +#9658=CARTESIAN_POINT('',(1.865E1,4.E0,-2.E1)); +#9659=CARTESIAN_POINT('',(1.735E1,4.E0,-2.E1)); +#9660=VERTEX_POINT('',#9658); +#9661=VERTEX_POINT('',#9659); +#9662=CARTESIAN_POINT('',(1.665E1,0.E0,-2.E1)); +#9663=CARTESIAN_POINT('',(1.535E1,0.E0,-2.E1)); +#9664=VERTEX_POINT('',#9662); +#9665=VERTEX_POINT('',#9663); +#9666=CARTESIAN_POINT('',(1.865E1,-4.E0,-2.E1)); +#9667=CARTESIAN_POINT('',(1.735E1,-4.E0,-2.E1)); +#9668=VERTEX_POINT('',#9666); +#9669=VERTEX_POINT('',#9667); +#9670=CARTESIAN_POINT('',(2.065E1,0.E0,-2.E1)); +#9671=CARTESIAN_POINT('',(1.935E1,0.E0,-2.E1)); +#9672=VERTEX_POINT('',#9670); +#9673=VERTEX_POINT('',#9671); +#9674=CARTESIAN_POINT('',(-1.735E1,4.E0,-3.805E1)); +#9675=CARTESIAN_POINT('',(-1.865E1,4.E0,-3.805E1)); +#9676=VERTEX_POINT('',#9674); +#9677=VERTEX_POINT('',#9675); +#9678=CARTESIAN_POINT('',(-1.735E1,-4.E0,-3.805E1)); +#9679=CARTESIAN_POINT('',(-1.865E1,-4.E0,-3.805E1)); +#9680=VERTEX_POINT('',#9678); +#9681=VERTEX_POINT('',#9679); +#9682=CARTESIAN_POINT('',(-1.135E1,0.E0,-3.805E1)); +#9683=CARTESIAN_POINT('',(-1.265E1,0.E0,-3.805E1)); +#9684=VERTEX_POINT('',#9682); +#9685=VERTEX_POINT('',#9683); +#9686=CARTESIAN_POINT('',(-9.35E0,4.E0,-3.805E1)); +#9687=CARTESIAN_POINT('',(-1.065E1,4.E0,-3.805E1)); +#9688=VERTEX_POINT('',#9686); +#9689=VERTEX_POINT('',#9687); +#9690=CARTESIAN_POINT('',(-7.349999999999E0,0.E0,-3.805E1)); +#9691=CARTESIAN_POINT('',(-8.649999999999E0,0.E0,-3.805E1)); +#9692=VERTEX_POINT('',#9690); +#9693=VERTEX_POINT('',#9691); +#9694=CARTESIAN_POINT('',(-9.35E0,-4.E0,-3.805E1)); +#9695=CARTESIAN_POINT('',(-1.065E1,-4.E0,-3.805E1)); +#9696=VERTEX_POINT('',#9694); +#9697=VERTEX_POINT('',#9695); +#9698=CARTESIAN_POINT('',(1.065E1,4.E0,-3.805E1)); +#9699=CARTESIAN_POINT('',(9.35E0,4.E0,-3.805E1)); +#9700=VERTEX_POINT('',#9698); +#9701=VERTEX_POINT('',#9699); +#9702=CARTESIAN_POINT('',(1.865E1,4.E0,-3.805E1)); +#9703=CARTESIAN_POINT('',(1.735E1,4.E0,-3.805E1)); +#9704=VERTEX_POINT('',#9702); +#9705=VERTEX_POINT('',#9703); +#9706=CARTESIAN_POINT('',(2.065E1,0.E0,-3.805E1)); +#9707=CARTESIAN_POINT('',(1.935E1,0.E0,-3.805E1)); +#9708=VERTEX_POINT('',#9706); +#9709=VERTEX_POINT('',#9707); +#9710=CARTESIAN_POINT('',(1.665E1,0.E0,-3.805E1)); +#9711=CARTESIAN_POINT('',(1.535E1,0.E0,-3.805E1)); +#9712=VERTEX_POINT('',#9710); +#9713=VERTEX_POINT('',#9711); +#9714=CARTESIAN_POINT('',(1.865E1,-4.E0,-3.805E1)); +#9715=CARTESIAN_POINT('',(1.735E1,-4.E0,-3.805E1)); +#9716=VERTEX_POINT('',#9714); +#9717=VERTEX_POINT('',#9715); +#9718=CARTESIAN_POINT('',(1.065E1,-4.E0,-3.805E1)); +#9719=CARTESIAN_POINT('',(9.35E0,-4.E0,-3.805E1)); +#9720=VERTEX_POINT('',#9718); +#9721=VERTEX_POINT('',#9719); +#9722=CARTESIAN_POINT('',(-1.735E1,4.E0,-2.6E1)); +#9723=CARTESIAN_POINT('',(-1.865E1,4.E0,-2.6E1)); +#9724=VERTEX_POINT('',#9722); +#9725=VERTEX_POINT('',#9723); +#9726=CARTESIAN_POINT('',(-1.735E1,-4.E0,-2.6E1)); +#9727=CARTESIAN_POINT('',(-1.865E1,-4.E0,-2.6E1)); +#9728=VERTEX_POINT('',#9726); +#9729=VERTEX_POINT('',#9727); +#9730=CARTESIAN_POINT('',(-1.135E1,0.E0,-2.6E1)); +#9731=CARTESIAN_POINT('',(-1.265E1,0.E0,-2.6E1)); +#9732=VERTEX_POINT('',#9730); +#9733=VERTEX_POINT('',#9731); +#9734=CARTESIAN_POINT('',(-9.35E0,4.E0,-2.6E1)); +#9735=CARTESIAN_POINT('',(-1.065E1,4.E0,-2.6E1)); +#9736=VERTEX_POINT('',#9734); +#9737=VERTEX_POINT('',#9735); +#9738=CARTESIAN_POINT('',(-7.349999999999E0,0.E0,-2.6E1)); +#9739=CARTESIAN_POINT('',(-8.649999999999E0,0.E0,-2.6E1)); +#9740=VERTEX_POINT('',#9738); +#9741=VERTEX_POINT('',#9739); +#9742=CARTESIAN_POINT('',(-9.35E0,-4.E0,-2.6E1)); +#9743=CARTESIAN_POINT('',(-1.065E1,-4.E0,-2.6E1)); +#9744=VERTEX_POINT('',#9742); +#9745=VERTEX_POINT('',#9743); +#9746=CARTESIAN_POINT('',(1.065E1,4.E0,-2.6E1)); +#9747=CARTESIAN_POINT('',(9.35E0,4.E0,-2.6E1)); +#9748=VERTEX_POINT('',#9746); +#9749=VERTEX_POINT('',#9747); +#9750=CARTESIAN_POINT('',(1.865E1,4.E0,-2.6E1)); +#9751=CARTESIAN_POINT('',(1.735E1,4.E0,-2.6E1)); +#9752=VERTEX_POINT('',#9750); +#9753=VERTEX_POINT('',#9751); +#9754=CARTESIAN_POINT('',(2.065E1,0.E0,-2.6E1)); +#9755=CARTESIAN_POINT('',(1.935E1,0.E0,-2.6E1)); +#9756=VERTEX_POINT('',#9754); +#9757=VERTEX_POINT('',#9755); +#9758=CARTESIAN_POINT('',(1.665E1,0.E0,-2.6E1)); +#9759=CARTESIAN_POINT('',(1.535E1,0.E0,-2.6E1)); +#9760=VERTEX_POINT('',#9758); +#9761=VERTEX_POINT('',#9759); +#9762=CARTESIAN_POINT('',(1.865E1,-4.E0,-2.6E1)); +#9763=CARTESIAN_POINT('',(1.735E1,-4.E0,-2.6E1)); +#9764=VERTEX_POINT('',#9762); +#9765=VERTEX_POINT('',#9763); +#9766=CARTESIAN_POINT('',(1.065E1,-4.E0,-2.6E1)); +#9767=CARTESIAN_POINT('',(9.35E0,-4.E0,-2.6E1)); +#9768=VERTEX_POINT('',#9766); +#9769=VERTEX_POINT('',#9767); +#9770=CARTESIAN_POINT('',(1.075E0,8.075E0,-2.E1)); +#9771=VERTEX_POINT('',#9770); +#9772=CARTESIAN_POINT('',(2.425E0,8.075E0,-2.E1)); +#9773=VERTEX_POINT('',#9772); +#9774=CARTESIAN_POINT('',(4.75E0,9.1E0,-2.E1)); +#9775=VERTEX_POINT('',#9774); +#9776=CARTESIAN_POINT('',(5.75E0,9.1E0,-2.E1)); +#9777=VERTEX_POINT('',#9776); +#9778=CARTESIAN_POINT('',(8.25E0,9.1E0,-2.E1)); +#9779=VERTEX_POINT('',#9778); +#9780=CARTESIAN_POINT('',(9.25E0,9.1E0,-2.E1)); +#9781=VERTEX_POINT('',#9780); +#9782=CARTESIAN_POINT('',(1.175E1,9.1E0,-2.E1)); +#9783=VERTEX_POINT('',#9782); +#9784=CARTESIAN_POINT('',(1.275E1,9.1E0,-2.E1)); +#9785=VERTEX_POINT('',#9784); +#9786=CARTESIAN_POINT('',(1.525E1,9.1E0,-2.E1)); +#9787=VERTEX_POINT('',#9786); +#9788=CARTESIAN_POINT('',(1.625E1,9.1E0,-2.E1)); +#9789=VERTEX_POINT('',#9788); +#9790=CARTESIAN_POINT('',(1.875E1,9.1E0,-2.E1)); +#9791=VERTEX_POINT('',#9790); +#9792=CARTESIAN_POINT('',(1.975E1,9.1E0,-2.E1)); +#9793=VERTEX_POINT('',#9792); +#9794=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#9795=CARTESIAN_POINT('',(9.25E0,-9.1E0,-2.E1)); +#9796=VERTEX_POINT('',#9794); +#9797=VERTEX_POINT('',#9795); +#9798=CARTESIAN_POINT('',(8.25E0,-9.1E0,-2.E1)); +#9799=VERTEX_POINT('',#9798); +#9800=CARTESIAN_POINT('',(1.175E1,-1.03E1,-2.E1)); +#9801=CARTESIAN_POINT('',(1.175E1,-9.1E0,-2.E1)); +#9802=VERTEX_POINT('',#9800); +#9803=VERTEX_POINT('',#9801); +#9804=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#9805=CARTESIAN_POINT('',(1.275E1,-9.1E0,-2.E1)); +#9806=VERTEX_POINT('',#9804); +#9807=VERTEX_POINT('',#9805); +#9808=CARTESIAN_POINT('',(1.625E1,-1.03E1,-2.E1)); +#9809=CARTESIAN_POINT('',(1.625E1,-9.1E0,-2.E1)); +#9810=VERTEX_POINT('',#9808); +#9811=VERTEX_POINT('',#9809); +#9812=CARTESIAN_POINT('',(1.525E1,-9.1E0,-2.E1)); +#9813=VERTEX_POINT('',#9812); +#9814=CARTESIAN_POINT('',(1.525E1,-1.03E1,-2.E1)); +#9815=VERTEX_POINT('',#9814); +#9816=CARTESIAN_POINT('',(1.875E1,-1.03E1,-2.E1)); +#9817=CARTESIAN_POINT('',(1.875E1,-9.1E0,-2.E1)); +#9818=VERTEX_POINT('',#9816); +#9819=VERTEX_POINT('',#9817); +#9820=CARTESIAN_POINT('',(1.975E1,-1.03E1,-2.E1)); +#9821=CARTESIAN_POINT('',(1.975E1,-9.1E0,-2.E1)); +#9822=VERTEX_POINT('',#9820); +#9823=VERTEX_POINT('',#9821); +#9824=CARTESIAN_POINT('',(-8.25E0,-9.1E0,-2.E1)); +#9825=VERTEX_POINT('',#9824); +#9826=CARTESIAN_POINT('',(-9.25E0,-9.1E0,-2.E1)); +#9827=VERTEX_POINT('',#9826); +#9828=CARTESIAN_POINT('',(-1.175E1,-9.1E0,-2.E1)); +#9829=CARTESIAN_POINT('',(-1.275E1,-9.1E0,-2.E1)); +#9830=VERTEX_POINT('',#9828); +#9831=VERTEX_POINT('',#9829); +#9832=CARTESIAN_POINT('',(-1.525E1,-9.1E0,-2.E1)); +#9833=VERTEX_POINT('',#9832); +#9834=CARTESIAN_POINT('',(-1.625E1,-9.1E0,-2.E1)); +#9835=VERTEX_POINT('',#9834); +#9836=CARTESIAN_POINT('',(-1.875E1,-9.1E0,-2.E1)); +#9837=CARTESIAN_POINT('',(-1.975E1,-9.1E0,-2.E1)); +#9838=VERTEX_POINT('',#9836); +#9839=VERTEX_POINT('',#9837); +#9840=CARTESIAN_POINT('',(-5.75E0,9.1E0,-2.E1)); +#9841=VERTEX_POINT('',#9840); +#9842=CARTESIAN_POINT('',(-4.75E0,9.1E0,-2.E1)); +#9843=VERTEX_POINT('',#9842); +#9844=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#9845=CARTESIAN_POINT('',(-9.25E0,9.1E0,-2.E1)); +#9846=VERTEX_POINT('',#9844); +#9847=VERTEX_POINT('',#9845); +#9848=CARTESIAN_POINT('',(-8.25E0,9.1E0,-2.E1)); +#9849=VERTEX_POINT('',#9848); +#9850=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#9851=CARTESIAN_POINT('',(-1.275E1,9.1E0,-2.E1)); +#9852=VERTEX_POINT('',#9850); +#9853=VERTEX_POINT('',#9851); +#9854=CARTESIAN_POINT('',(-1.175E1,9.1E0,-2.E1)); +#9855=VERTEX_POINT('',#9854); +#9856=CARTESIAN_POINT('',(-1.175E1,1.03E1,-2.E1)); +#9857=VERTEX_POINT('',#9856); +#9858=CARTESIAN_POINT('',(-1.625E1,1.03E1,-2.E1)); +#9859=CARTESIAN_POINT('',(-1.625E1,9.1E0,-2.E1)); +#9860=VERTEX_POINT('',#9858); +#9861=VERTEX_POINT('',#9859); +#9862=CARTESIAN_POINT('',(-1.525E1,9.1E0,-2.E1)); +#9863=VERTEX_POINT('',#9862); +#9864=CARTESIAN_POINT('',(-1.525E1,1.03E1,-2.E1)); +#9865=VERTEX_POINT('',#9864); +#9866=CARTESIAN_POINT('',(-1.975E1,1.03E1,-2.E1)); +#9867=CARTESIAN_POINT('',(-1.975E1,9.1E0,-2.E1)); +#9868=VERTEX_POINT('',#9866); +#9869=VERTEX_POINT('',#9867); +#9870=CARTESIAN_POINT('',(-1.875E1,9.1E0,-2.E1)); +#9871=VERTEX_POINT('',#9870); +#9872=CARTESIAN_POINT('',(-1.875E1,1.03E1,-2.E1)); +#9873=VERTEX_POINT('',#9872); +#9874=CARTESIAN_POINT('',(-4.575E0,-8.075E0,-2.E1)); +#9875=VERTEX_POINT('',#9874); +#9876=CARTESIAN_POINT('',(-5.925E0,-8.075E0,-2.E1)); +#9877=VERTEX_POINT('',#9876); +#9878=CARTESIAN_POINT('',(-2.25E0,9.1E0,-2.E1)); +#9879=VERTEX_POINT('',#9878); +#9880=CARTESIAN_POINT('',(-1.25E0,9.1E0,-2.E1)); +#9881=VERTEX_POINT('',#9880); +#9882=CARTESIAN_POINT('',(-1.25E0,-9.1E0,-2.E1)); +#9883=VERTEX_POINT('',#9882); +#9884=CARTESIAN_POINT('',(-2.25E0,-9.1E0,-2.E1)); +#9885=VERTEX_POINT('',#9884); +#9886=CARTESIAN_POINT('',(2.25E0,-9.1E0,-2.E1)); +#9887=VERTEX_POINT('',#9886); +#9888=CARTESIAN_POINT('',(1.25E0,-9.1E0,-2.E1)); +#9889=VERTEX_POINT('',#9888); +#9890=CARTESIAN_POINT('',(5.75E0,-9.1E0,-2.E1)); +#9891=VERTEX_POINT('',#9890); +#9892=CARTESIAN_POINT('',(4.75E0,-9.1E0,-2.E1)); +#9893=VERTEX_POINT('',#9892); +#9894=CARTESIAN_POINT('',(-3.2785E1,1.08E1,-2.025E1)); +#9895=CARTESIAN_POINT('',(-3.0615E1,1.08E1,-2.025E1)); +#9896=VERTEX_POINT('',#9894); +#9897=VERTEX_POINT('',#9895); +#9898=CARTESIAN_POINT('',(-3.4285E1,-7.300000000001E0,-2.025E1)); +#9899=CARTESIAN_POINT('',(-3.2115E1,-7.300000000001E0,-2.025E1)); +#9900=VERTEX_POINT('',#9898); +#9901=VERTEX_POINT('',#9899); +#9902=CARTESIAN_POINT('',(3.0615E1,1.08E1,-2.025E1)); +#9903=CARTESIAN_POINT('',(3.2785E1,1.08E1,-2.025E1)); +#9904=VERTEX_POINT('',#9902); +#9905=VERTEX_POINT('',#9903); +#9906=CARTESIAN_POINT('',(3.2115E1,-7.300000000001E0,-2.025E1)); +#9907=CARTESIAN_POINT('',(3.4285E1,-7.300000000001E0,-2.025E1)); +#9908=VERTEX_POINT('',#9906); +#9909=VERTEX_POINT('',#9907); +#9910=CARTESIAN_POINT('',(2.349999999999E1,-1.18E1,-1.95E1)); +#9911=CARTESIAN_POINT('',(-2.349999999999E1,-1.18E1,-1.95E1)); +#9912=VERTEX_POINT('',#9910); +#9913=VERTEX_POINT('',#9911); +#9914=CARTESIAN_POINT('',(2.35E1,-1.23E1,-2.E1)); +#9915=CARTESIAN_POINT('',(-2.35E1,-1.23E1,-2.E1)); +#9916=VERTEX_POINT('',#9914); +#9917=VERTEX_POINT('',#9915); +#9918=CARTESIAN_POINT('',(-2.35E1,1.23E1,-2.E1)); +#9919=CARTESIAN_POINT('',(2.35E1,1.23E1,-2.E1)); +#9920=VERTEX_POINT('',#9918); +#9921=VERTEX_POINT('',#9919); +#9922=VERTEX_POINT('',#7869); +#9923=VERTEX_POINT('',#7885); +#9924=VERTEX_POINT('',#7836); +#9925=VERTEX_POINT('',#7843); +#9926=CARTESIAN_POINT('',(3.02E1,5.6E0,-2.E1)); +#9927=VERTEX_POINT('',#9926); +#9928=CARTESIAN_POINT('',(-3.02E1,5.6E0,-2.E1)); +#9929=VERTEX_POINT('',#9928); +#9930=CARTESIAN_POINT('',(1.535E1,1.02E1,-1.E1)); +#9931=CARTESIAN_POINT('',(1.535E1,9.1E0,-1.E1)); +#9932=VERTEX_POINT('',#9930); +#9933=VERTEX_POINT('',#9931); +#9934=CARTESIAN_POINT('',(1.525E1,1.02E1,-1.01E1)); +#9935=CARTESIAN_POINT('',(1.525E1,9.099999985923E0,-1.01E1)); +#9936=VERTEX_POINT('',#9934); +#9937=VERTEX_POINT('',#9935); +#9938=CARTESIAN_POINT('',(1.615E1,9.1E0,-1.E1)); +#9939=VERTEX_POINT('',#9938); +#9940=CARTESIAN_POINT('',(1.625E1,9.1E0,-1.01E1)); +#9941=VERTEX_POINT('',#9940); +#9942=CARTESIAN_POINT('',(1.625E1,1.02E1,-1.01E1)); +#9943=VERTEX_POINT('',#9942); +#9944=CARTESIAN_POINT('',(1.615E1,1.02E1,-1.E1)); +#9945=VERTEX_POINT('',#9944); +#9946=CARTESIAN_POINT('',(1.615E1,1.03E1,-9.9E0)); +#9947=CARTESIAN_POINT('',(1.535E1,1.03E1,-9.9E0)); +#9948=VERTEX_POINT('',#9946); +#9949=VERTEX_POINT('',#9947); +#9950=CARTESIAN_POINT('',(1.625E1,1.03E1,-1.007320508076E1)); +#9951=VERTEX_POINT('',#9950); +#9952=CARTESIAN_POINT('',(1.525E1,1.03E1,-1.007320508076E1)); +#9953=VERTEX_POINT('',#9952); +#9954=CARTESIAN_POINT('',(1.885E1,1.02E1,-1.E1)); +#9955=CARTESIAN_POINT('',(1.885E1,9.1E0,-1.E1)); +#9956=VERTEX_POINT('',#9954); +#9957=VERTEX_POINT('',#9955); +#9958=CARTESIAN_POINT('',(1.875E1,1.02E1,-1.01E1)); +#9959=CARTESIAN_POINT('',(1.875E1,9.099999985923E0,-1.01E1)); +#9960=VERTEX_POINT('',#9958); +#9961=VERTEX_POINT('',#9959); +#9962=CARTESIAN_POINT('',(1.965E1,9.1E0,-1.E1)); +#9963=VERTEX_POINT('',#9962); +#9964=CARTESIAN_POINT('',(1.975E1,9.1E0,-1.01E1)); +#9965=VERTEX_POINT('',#9964); +#9966=CARTESIAN_POINT('',(1.975E1,1.02E1,-1.01E1)); +#9967=VERTEX_POINT('',#9966); +#9968=CARTESIAN_POINT('',(1.965E1,1.02E1,-1.E1)); +#9969=VERTEX_POINT('',#9968); +#9970=CARTESIAN_POINT('',(1.965E1,1.03E1,-9.9E0)); +#9971=CARTESIAN_POINT('',(1.885E1,1.03E1,-9.9E0)); +#9972=VERTEX_POINT('',#9970); +#9973=VERTEX_POINT('',#9971); +#9974=CARTESIAN_POINT('',(1.975E1,1.03E1,-1.007320508076E1)); +#9975=VERTEX_POINT('',#9974); +#9976=CARTESIAN_POINT('',(1.875E1,1.03E1,-1.007320508076E1)); +#9977=VERTEX_POINT('',#9976); +#9978=CARTESIAN_POINT('',(1.185E1,1.02E1,-1.E1)); +#9979=CARTESIAN_POINT('',(1.185E1,9.1E0,-1.E1)); +#9980=VERTEX_POINT('',#9978); +#9981=VERTEX_POINT('',#9979); +#9982=CARTESIAN_POINT('',(1.175E1,1.02E1,-1.01E1)); +#9983=CARTESIAN_POINT('',(1.175E1,9.099999985923E0,-1.01E1)); +#9984=VERTEX_POINT('',#9982); +#9985=VERTEX_POINT('',#9983); +#9986=CARTESIAN_POINT('',(1.265E1,9.1E0,-1.E1)); +#9987=VERTEX_POINT('',#9986); +#9988=CARTESIAN_POINT('',(1.275E1,9.1E0,-1.01E1)); +#9989=VERTEX_POINT('',#9988); +#9990=CARTESIAN_POINT('',(1.275E1,1.02E1,-1.01E1)); +#9991=VERTEX_POINT('',#9990); +#9992=CARTESIAN_POINT('',(1.265E1,1.02E1,-1.E1)); +#9993=VERTEX_POINT('',#9992); +#9994=CARTESIAN_POINT('',(1.265E1,1.03E1,-9.9E0)); +#9995=CARTESIAN_POINT('',(1.185E1,1.03E1,-9.9E0)); +#9996=VERTEX_POINT('',#9994); +#9997=VERTEX_POINT('',#9995); +#9998=CARTESIAN_POINT('',(1.275E1,1.03E1,-1.007320508076E1)); +#9999=VERTEX_POINT('',#9998); +#10000=CARTESIAN_POINT('',(1.175E1,1.03E1,-1.007320508076E1)); +#10001=VERTEX_POINT('',#10000); +#10002=CARTESIAN_POINT('',(8.35E0,1.02E1,-1.E1)); +#10003=CARTESIAN_POINT('',(8.35E0,9.1E0,-1.E1)); +#10004=VERTEX_POINT('',#10002); +#10005=VERTEX_POINT('',#10003); +#10006=CARTESIAN_POINT('',(8.25E0,1.02E1,-1.01E1)); +#10007=CARTESIAN_POINT('',(8.25E0,9.099999985923E0,-1.01E1)); +#10008=VERTEX_POINT('',#10006); +#10009=VERTEX_POINT('',#10007); +#10010=CARTESIAN_POINT('',(9.15E0,9.1E0,-1.E1)); +#10011=VERTEX_POINT('',#10010); +#10012=CARTESIAN_POINT('',(9.25E0,9.1E0,-1.01E1)); +#10013=VERTEX_POINT('',#10012); +#10014=CARTESIAN_POINT('',(9.25E0,1.02E1,-1.01E1)); +#10015=VERTEX_POINT('',#10014); +#10016=CARTESIAN_POINT('',(9.15E0,1.02E1,-1.E1)); +#10017=VERTEX_POINT('',#10016); +#10018=CARTESIAN_POINT('',(9.15E0,1.03E1,-9.9E0)); +#10019=CARTESIAN_POINT('',(8.35E0,1.03E1,-9.9E0)); +#10020=VERTEX_POINT('',#10018); +#10021=VERTEX_POINT('',#10019); +#10022=CARTESIAN_POINT('',(9.25E0,1.03E1,-1.007320508076E1)); +#10023=VERTEX_POINT('',#10022); +#10024=CARTESIAN_POINT('',(8.25E0,1.03E1,-1.007320508076E1)); +#10025=VERTEX_POINT('',#10024); +#10026=CARTESIAN_POINT('',(4.85E0,1.02E1,-1.E1)); +#10027=CARTESIAN_POINT('',(4.85E0,9.1E0,-1.E1)); +#10028=VERTEX_POINT('',#10026); +#10029=VERTEX_POINT('',#10027); +#10030=CARTESIAN_POINT('',(4.75E0,1.02E1,-1.01E1)); +#10031=CARTESIAN_POINT('',(4.75E0,9.099999985923E0,-1.01E1)); +#10032=VERTEX_POINT('',#10030); +#10033=VERTEX_POINT('',#10031); +#10034=CARTESIAN_POINT('',(5.65E0,9.1E0,-1.E1)); +#10035=VERTEX_POINT('',#10034); +#10036=CARTESIAN_POINT('',(5.75E0,9.1E0,-1.01E1)); +#10037=VERTEX_POINT('',#10036); +#10038=CARTESIAN_POINT('',(5.75E0,1.02E1,-1.01E1)); +#10039=VERTEX_POINT('',#10038); +#10040=CARTESIAN_POINT('',(5.65E0,1.02E1,-1.E1)); +#10041=VERTEX_POINT('',#10040); +#10042=CARTESIAN_POINT('',(5.65E0,1.03E1,-9.9E0)); +#10043=CARTESIAN_POINT('',(4.85E0,1.03E1,-9.9E0)); +#10044=VERTEX_POINT('',#10042); +#10045=VERTEX_POINT('',#10043); +#10046=CARTESIAN_POINT('',(5.75E0,1.03E1,-1.007320508076E1)); +#10047=VERTEX_POINT('',#10046); +#10048=CARTESIAN_POINT('',(4.75E0,1.03E1,-1.007320508076E1)); +#10049=VERTEX_POINT('',#10048); +#10050=CARTESIAN_POINT('',(1.175E0,1.02E1,-1.E1)); +#10051=CARTESIAN_POINT('',(1.175000000001E0,8.075E0,-1.E1)); +#10052=VERTEX_POINT('',#10050); +#10053=VERTEX_POINT('',#10051); +#10054=CARTESIAN_POINT('',(1.075E0,1.02E1,-1.01E1)); +#10055=CARTESIAN_POINT('',(1.075E0,8.074999993386E0,-1.01E1)); +#10056=VERTEX_POINT('',#10054); +#10057=VERTEX_POINT('',#10055); +#10058=CARTESIAN_POINT('',(2.325E0,8.075E0,-1.E1)); +#10059=VERTEX_POINT('',#10058); +#10060=CARTESIAN_POINT('',(2.425E0,8.075E0,-1.01E1)); +#10061=VERTEX_POINT('',#10060); +#10062=CARTESIAN_POINT('',(2.425E0,1.02E1,-1.01E1)); +#10063=VERTEX_POINT('',#10062); +#10064=CARTESIAN_POINT('',(2.325E0,1.02E1,-1.E1)); +#10065=VERTEX_POINT('',#10064); +#10066=CARTESIAN_POINT('',(2.325E0,1.03E1,-9.9E0)); +#10067=CARTESIAN_POINT('',(1.175E0,1.03E1,-9.9E0)); +#10068=VERTEX_POINT('',#10066); +#10069=VERTEX_POINT('',#10067); +#10070=CARTESIAN_POINT('',(2.425E0,1.03E1,-1.007320508076E1)); +#10071=VERTEX_POINT('',#10070); +#10072=CARTESIAN_POINT('',(1.075E0,1.03E1,-1.007320508076E1)); +#10073=VERTEX_POINT('',#10072); +#10074=CARTESIAN_POINT('',(-2.15E0,1.02E1,-1.E1)); +#10075=CARTESIAN_POINT('',(-2.15E0,9.1E0,-1.E1)); +#10076=VERTEX_POINT('',#10074); +#10077=VERTEX_POINT('',#10075); +#10078=CARTESIAN_POINT('',(-2.25E0,1.02E1,-1.01E1)); +#10079=CARTESIAN_POINT('',(-2.25E0,9.099999985923E0,-1.01E1)); +#10080=VERTEX_POINT('',#10078); +#10081=VERTEX_POINT('',#10079); +#10082=CARTESIAN_POINT('',(-1.35E0,9.1E0,-1.E1)); +#10083=VERTEX_POINT('',#10082); +#10084=CARTESIAN_POINT('',(-1.25E0,9.1E0,-1.01E1)); +#10085=VERTEX_POINT('',#10084); +#10086=CARTESIAN_POINT('',(-1.25E0,1.02E1,-1.01E1)); +#10087=VERTEX_POINT('',#10086); +#10088=CARTESIAN_POINT('',(-1.35E0,1.02E1,-1.E1)); +#10089=VERTEX_POINT('',#10088); +#10090=CARTESIAN_POINT('',(-1.35E0,1.03E1,-9.9E0)); +#10091=CARTESIAN_POINT('',(-2.15E0,1.03E1,-9.9E0)); +#10092=VERTEX_POINT('',#10090); +#10093=VERTEX_POINT('',#10091); +#10094=CARTESIAN_POINT('',(-1.25E0,1.03E1,-1.007320508076E1)); +#10095=VERTEX_POINT('',#10094); +#10096=CARTESIAN_POINT('',(-2.25E0,1.03E1,-1.007320508076E1)); +#10097=VERTEX_POINT('',#10096); +#10098=CARTESIAN_POINT('',(-5.65E0,1.02E1,-1.E1)); +#10099=CARTESIAN_POINT('',(-5.65E0,9.1E0,-1.E1)); +#10100=VERTEX_POINT('',#10098); +#10101=VERTEX_POINT('',#10099); +#10102=CARTESIAN_POINT('',(-5.75E0,1.02E1,-1.01E1)); +#10103=CARTESIAN_POINT('',(-5.75E0,9.099999985923E0,-1.01E1)); +#10104=VERTEX_POINT('',#10102); +#10105=VERTEX_POINT('',#10103); +#10106=CARTESIAN_POINT('',(-4.85E0,9.1E0,-1.E1)); +#10107=VERTEX_POINT('',#10106); +#10108=CARTESIAN_POINT('',(-4.75E0,9.1E0,-1.01E1)); +#10109=VERTEX_POINT('',#10108); +#10110=CARTESIAN_POINT('',(-4.75E0,1.02E1,-1.01E1)); +#10111=VERTEX_POINT('',#10110); +#10112=CARTESIAN_POINT('',(-4.85E0,1.02E1,-1.E1)); +#10113=VERTEX_POINT('',#10112); +#10114=CARTESIAN_POINT('',(-4.85E0,1.03E1,-9.9E0)); +#10115=CARTESIAN_POINT('',(-5.65E0,1.03E1,-9.9E0)); +#10116=VERTEX_POINT('',#10114); +#10117=VERTEX_POINT('',#10115); +#10118=CARTESIAN_POINT('',(-4.75E0,1.03E1,-1.007320508076E1)); +#10119=VERTEX_POINT('',#10118); +#10120=CARTESIAN_POINT('',(-5.75E0,1.03E1,-1.007320508076E1)); +#10121=VERTEX_POINT('',#10120); +#10122=CARTESIAN_POINT('',(-9.15E0,1.02E1,-1.E1)); +#10123=CARTESIAN_POINT('',(-9.15E0,9.1E0,-1.E1)); +#10124=VERTEX_POINT('',#10122); +#10125=VERTEX_POINT('',#10123); +#10126=CARTESIAN_POINT('',(-9.25E0,1.02E1,-1.01E1)); +#10127=CARTESIAN_POINT('',(-9.25E0,9.099999985923E0,-1.01E1)); +#10128=VERTEX_POINT('',#10126); +#10129=VERTEX_POINT('',#10127); +#10130=CARTESIAN_POINT('',(-8.35E0,9.1E0,-1.E1)); +#10131=VERTEX_POINT('',#10130); +#10132=CARTESIAN_POINT('',(-8.25E0,9.1E0,-1.01E1)); +#10133=VERTEX_POINT('',#10132); +#10134=CARTESIAN_POINT('',(-8.25E0,1.02E1,-1.01E1)); +#10135=VERTEX_POINT('',#10134); +#10136=CARTESIAN_POINT('',(-8.35E0,1.02E1,-1.E1)); +#10137=VERTEX_POINT('',#10136); +#10138=CARTESIAN_POINT('',(-8.35E0,1.03E1,-9.9E0)); +#10139=CARTESIAN_POINT('',(-9.15E0,1.03E1,-9.9E0)); +#10140=VERTEX_POINT('',#10138); +#10141=VERTEX_POINT('',#10139); +#10142=CARTESIAN_POINT('',(-8.25E0,1.03E1,-1.007320508076E1)); +#10143=VERTEX_POINT('',#10142); +#10144=CARTESIAN_POINT('',(-9.25E0,1.03E1,-1.007320508076E1)); +#10145=VERTEX_POINT('',#10144); +#10146=CARTESIAN_POINT('',(-1.265E1,1.02E1,-1.E1)); +#10147=CARTESIAN_POINT('',(-1.265E1,9.1E0,-1.E1)); +#10148=VERTEX_POINT('',#10146); +#10149=VERTEX_POINT('',#10147); +#10150=CARTESIAN_POINT('',(-1.275E1,1.02E1,-1.01E1)); +#10151=CARTESIAN_POINT('',(-1.275E1,9.099999985923E0,-1.01E1)); +#10152=VERTEX_POINT('',#10150); +#10153=VERTEX_POINT('',#10151); +#10154=CARTESIAN_POINT('',(-1.185E1,9.1E0,-1.E1)); +#10155=VERTEX_POINT('',#10154); +#10156=CARTESIAN_POINT('',(-1.175E1,9.1E0,-1.01E1)); +#10157=VERTEX_POINT('',#10156); +#10158=CARTESIAN_POINT('',(-1.175E1,1.02E1,-1.01E1)); +#10159=VERTEX_POINT('',#10158); +#10160=CARTESIAN_POINT('',(-1.185E1,1.02E1,-1.E1)); +#10161=VERTEX_POINT('',#10160); +#10162=CARTESIAN_POINT('',(-1.185E1,1.03E1,-9.9E0)); +#10163=CARTESIAN_POINT('',(-1.265E1,1.03E1,-9.9E0)); +#10164=VERTEX_POINT('',#10162); +#10165=VERTEX_POINT('',#10163); +#10166=CARTESIAN_POINT('',(-1.175E1,1.03E1,-1.007320508076E1)); +#10167=VERTEX_POINT('',#10166); +#10168=CARTESIAN_POINT('',(-1.275E1,1.03E1,-1.007320508076E1)); +#10169=VERTEX_POINT('',#10168); +#10170=CARTESIAN_POINT('',(-1.615E1,1.02E1,-1.E1)); +#10171=CARTESIAN_POINT('',(-1.615E1,9.1E0,-1.E1)); +#10172=VERTEX_POINT('',#10170); +#10173=VERTEX_POINT('',#10171); +#10174=CARTESIAN_POINT('',(-1.625E1,1.02E1,-1.01E1)); +#10175=CARTESIAN_POINT('',(-1.625E1,9.099999985923E0,-1.01E1)); +#10176=VERTEX_POINT('',#10174); +#10177=VERTEX_POINT('',#10175); +#10178=CARTESIAN_POINT('',(-1.535E1,9.1E0,-1.E1)); +#10179=VERTEX_POINT('',#10178); +#10180=CARTESIAN_POINT('',(-1.525E1,9.1E0,-1.01E1)); +#10181=VERTEX_POINT('',#10180); +#10182=CARTESIAN_POINT('',(-1.525E1,1.02E1,-1.01E1)); +#10183=VERTEX_POINT('',#10182); +#10184=CARTESIAN_POINT('',(-1.535E1,1.02E1,-1.E1)); +#10185=VERTEX_POINT('',#10184); +#10186=CARTESIAN_POINT('',(-1.535E1,1.03E1,-9.9E0)); +#10187=CARTESIAN_POINT('',(-1.615E1,1.03E1,-9.9E0)); +#10188=VERTEX_POINT('',#10186); +#10189=VERTEX_POINT('',#10187); +#10190=CARTESIAN_POINT('',(-1.525E1,1.03E1,-1.007320508076E1)); +#10191=VERTEX_POINT('',#10190); +#10192=CARTESIAN_POINT('',(-1.625E1,1.03E1,-1.007320508076E1)); +#10193=VERTEX_POINT('',#10192); +#10194=CARTESIAN_POINT('',(-1.885E1,1.03E1,-9.9E0)); +#10195=CARTESIAN_POINT('',(-1.965E1,1.03E1,-9.9E0)); +#10196=VERTEX_POINT('',#10194); +#10197=VERTEX_POINT('',#10195); +#10198=CARTESIAN_POINT('',(-1.885E1,1.02E1,-1.E1)); +#10199=CARTESIAN_POINT('',(-1.965E1,1.02E1,-1.E1)); +#10200=VERTEX_POINT('',#10198); +#10201=VERTEX_POINT('',#10199); +#10202=CARTESIAN_POINT('',(-1.965E1,9.1E0,-1.E1)); +#10203=VERTEX_POINT('',#10202); +#10204=CARTESIAN_POINT('',(-1.975E1,1.02E1,-1.01E1)); +#10205=CARTESIAN_POINT('',(-1.975E1,9.099999985923E0,-1.01E1)); +#10206=VERTEX_POINT('',#10204); +#10207=VERTEX_POINT('',#10205); +#10208=CARTESIAN_POINT('',(-1.885E1,9.1E0,-1.E1)); +#10209=VERTEX_POINT('',#10208); +#10210=CARTESIAN_POINT('',(-1.875E1,9.1E0,-1.01E1)); +#10211=VERTEX_POINT('',#10210); +#10212=CARTESIAN_POINT('',(-1.875E1,1.02E1,-1.01E1)); +#10213=VERTEX_POINT('',#10212); +#10214=CARTESIAN_POINT('',(-1.875E1,1.03E1,-1.007320508076E1)); +#10215=VERTEX_POINT('',#10214); +#10216=CARTESIAN_POINT('',(-1.975E1,1.03E1,-1.007320508076E1)); +#10217=VERTEX_POINT('',#10216); +#10218=CARTESIAN_POINT('',(-2.81E1,4.3E0,-1.E1)); +#10219=CARTESIAN_POINT('',(-2.7E1,4.3E0,-1.E1)); +#10220=VERTEX_POINT('',#10218); +#10221=VERTEX_POINT('',#10219); +#10222=CARTESIAN_POINT('',(-2.81E1,4.2E0,-1.01E1)); +#10223=CARTESIAN_POINT('',(-2.699999998592E1,4.2E0,-1.01E1)); +#10224=VERTEX_POINT('',#10222); +#10225=VERTEX_POINT('',#10223); +#10226=CARTESIAN_POINT('',(-2.7E1,5.1E0,-1.E1)); +#10227=VERTEX_POINT('',#10226); +#10228=CARTESIAN_POINT('',(-2.7E1,5.2E0,-1.01E1)); +#10229=VERTEX_POINT('',#10228); +#10230=CARTESIAN_POINT('',(-2.81E1,5.2E0,-1.01E1)); +#10231=VERTEX_POINT('',#10230); +#10232=CARTESIAN_POINT('',(-2.81E1,5.1E0,-1.E1)); +#10233=VERTEX_POINT('',#10232); +#10234=CARTESIAN_POINT('',(-2.82E1,5.1E0,-9.9E0)); +#10235=CARTESIAN_POINT('',(-2.82E1,4.3E0,-9.9E0)); +#10236=VERTEX_POINT('',#10234); +#10237=VERTEX_POINT('',#10235); +#10238=CARTESIAN_POINT('',(-2.82E1,5.2E0,-1.007320508076E1)); +#10239=VERTEX_POINT('',#10238); +#10240=CARTESIAN_POINT('',(-2.82E1,4.2E0,-1.007320508076E1)); +#10241=VERTEX_POINT('',#10240); +#10242=CARTESIAN_POINT('',(-2.82E1,-4.3E0,-9.9E0)); +#10243=CARTESIAN_POINT('',(-2.82E1,-5.1E0,-9.9E0)); +#10244=VERTEX_POINT('',#10242); +#10245=VERTEX_POINT('',#10243); +#10246=CARTESIAN_POINT('',(-2.81E1,-4.3E0,-1.E1)); +#10247=CARTESIAN_POINT('',(-2.81E1,-5.1E0,-1.E1)); +#10248=VERTEX_POINT('',#10246); +#10249=VERTEX_POINT('',#10247); +#10250=CARTESIAN_POINT('',(-2.7E1,-5.1E0,-1.E1)); +#10251=VERTEX_POINT('',#10250); +#10252=CARTESIAN_POINT('',(-2.81E1,-5.2E0,-1.01E1)); +#10253=CARTESIAN_POINT('',(-2.699999998592E1,-5.2E0,-1.01E1)); +#10254=VERTEX_POINT('',#10252); +#10255=VERTEX_POINT('',#10253); +#10256=CARTESIAN_POINT('',(-2.7E1,-4.3E0,-1.E1)); +#10257=VERTEX_POINT('',#10256); +#10258=CARTESIAN_POINT('',(-2.7E1,-4.2E0,-1.01E1)); +#10259=VERTEX_POINT('',#10258); +#10260=CARTESIAN_POINT('',(-2.81E1,-4.2E0,-1.01E1)); +#10261=VERTEX_POINT('',#10260); +#10262=CARTESIAN_POINT('',(-2.82E1,-4.2E0,-1.007320508076E1)); +#10263=VERTEX_POINT('',#10262); +#10264=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-1.007320508076E1)); +#10265=VERTEX_POINT('',#10264); +#10266=CARTESIAN_POINT('',(-1.965E1,-1.03E1,-9.9E0)); +#10267=CARTESIAN_POINT('',(-1.885E1,-1.03E1,-9.9E0)); +#10268=VERTEX_POINT('',#10266); +#10269=VERTEX_POINT('',#10267); +#10270=CARTESIAN_POINT('',(-1.965E1,-1.02E1,-1.E1)); +#10271=CARTESIAN_POINT('',(-1.885E1,-1.02E1,-1.E1)); +#10272=VERTEX_POINT('',#10270); +#10273=VERTEX_POINT('',#10271); +#10274=CARTESIAN_POINT('',(-1.885E1,-9.1E0,-1.E1)); +#10275=VERTEX_POINT('',#10274); +#10276=CARTESIAN_POINT('',(-1.875E1,-1.02E1,-1.01E1)); +#10277=CARTESIAN_POINT('',(-1.875E1,-9.099999985923E0,-1.01E1)); +#10278=VERTEX_POINT('',#10276); +#10279=VERTEX_POINT('',#10277); +#10280=CARTESIAN_POINT('',(-1.965E1,-9.1E0,-1.E1)); +#10281=VERTEX_POINT('',#10280); +#10282=CARTESIAN_POINT('',(-1.975E1,-9.1E0,-1.01E1)); +#10283=VERTEX_POINT('',#10282); +#10284=CARTESIAN_POINT('',(-1.975E1,-1.02E1,-1.01E1)); +#10285=VERTEX_POINT('',#10284); +#10286=CARTESIAN_POINT('',(-1.975E1,-1.03E1,-1.007320508076E1)); +#10287=VERTEX_POINT('',#10286); +#10288=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-1.007320508076E1)); +#10289=VERTEX_POINT('',#10288); +#10290=CARTESIAN_POINT('',(-1.535E1,-1.02E1,-1.E1)); +#10291=CARTESIAN_POINT('',(-1.535E1,-9.1E0,-1.E1)); +#10292=VERTEX_POINT('',#10290); +#10293=VERTEX_POINT('',#10291); +#10294=CARTESIAN_POINT('',(-1.525E1,-1.02E1,-1.01E1)); +#10295=CARTESIAN_POINT('',(-1.525E1,-9.099999985923E0,-1.01E1)); +#10296=VERTEX_POINT('',#10294); +#10297=VERTEX_POINT('',#10295); +#10298=CARTESIAN_POINT('',(-1.615E1,-9.1E0,-1.E1)); +#10299=VERTEX_POINT('',#10298); +#10300=CARTESIAN_POINT('',(-1.625E1,-9.1E0,-1.01E1)); +#10301=VERTEX_POINT('',#10300); +#10302=CARTESIAN_POINT('',(-1.625E1,-1.02E1,-1.01E1)); +#10303=VERTEX_POINT('',#10302); +#10304=CARTESIAN_POINT('',(-1.615E1,-1.02E1,-1.E1)); +#10305=VERTEX_POINT('',#10304); +#10306=CARTESIAN_POINT('',(-1.615E1,-1.03E1,-9.9E0)); +#10307=CARTESIAN_POINT('',(-1.535E1,-1.03E1,-9.9E0)); +#10308=VERTEX_POINT('',#10306); +#10309=VERTEX_POINT('',#10307); +#10310=CARTESIAN_POINT('',(-1.625E1,-1.03E1,-1.007320508076E1)); +#10311=VERTEX_POINT('',#10310); +#10312=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-1.007320508076E1)); +#10313=VERTEX_POINT('',#10312); +#10314=CARTESIAN_POINT('',(-1.185E1,-1.02E1,-1.E1)); +#10315=CARTESIAN_POINT('',(-1.185E1,-9.1E0,-1.E1)); +#10316=VERTEX_POINT('',#10314); +#10317=VERTEX_POINT('',#10315); +#10318=CARTESIAN_POINT('',(-1.175E1,-1.02E1,-1.01E1)); +#10319=CARTESIAN_POINT('',(-1.175E1,-9.099999985923E0,-1.01E1)); +#10320=VERTEX_POINT('',#10318); +#10321=VERTEX_POINT('',#10319); +#10322=CARTESIAN_POINT('',(-1.265E1,-9.1E0,-1.E1)); +#10323=VERTEX_POINT('',#10322); +#10324=CARTESIAN_POINT('',(-1.275E1,-9.1E0,-1.01E1)); +#10325=VERTEX_POINT('',#10324); +#10326=CARTESIAN_POINT('',(-1.275E1,-1.02E1,-1.01E1)); +#10327=VERTEX_POINT('',#10326); +#10328=CARTESIAN_POINT('',(-1.265E1,-1.02E1,-1.E1)); +#10329=VERTEX_POINT('',#10328); +#10330=CARTESIAN_POINT('',(-1.265E1,-1.03E1,-9.9E0)); +#10331=CARTESIAN_POINT('',(-1.185E1,-1.03E1,-9.9E0)); +#10332=VERTEX_POINT('',#10330); +#10333=VERTEX_POINT('',#10331); +#10334=CARTESIAN_POINT('',(-1.275E1,-1.03E1,-1.007320508076E1)); +#10335=VERTEX_POINT('',#10334); +#10336=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-1.007320508076E1)); +#10337=VERTEX_POINT('',#10336); +#10338=CARTESIAN_POINT('',(-8.35E0,-1.02E1,-1.E1)); +#10339=CARTESIAN_POINT('',(-8.35E0,-9.1E0,-1.E1)); +#10340=VERTEX_POINT('',#10338); +#10341=VERTEX_POINT('',#10339); +#10342=CARTESIAN_POINT('',(-8.25E0,-1.02E1,-1.01E1)); +#10343=CARTESIAN_POINT('',(-8.25E0,-9.099999985923E0,-1.01E1)); +#10344=VERTEX_POINT('',#10342); +#10345=VERTEX_POINT('',#10343); +#10346=CARTESIAN_POINT('',(-9.15E0,-9.1E0,-1.E1)); +#10347=VERTEX_POINT('',#10346); +#10348=CARTESIAN_POINT('',(-9.25E0,-9.1E0,-1.01E1)); +#10349=VERTEX_POINT('',#10348); +#10350=CARTESIAN_POINT('',(-9.25E0,-1.02E1,-1.01E1)); +#10351=VERTEX_POINT('',#10350); +#10352=CARTESIAN_POINT('',(-9.15E0,-1.02E1,-1.E1)); +#10353=VERTEX_POINT('',#10352); +#10354=CARTESIAN_POINT('',(-9.15E0,-1.03E1,-9.9E0)); +#10355=CARTESIAN_POINT('',(-8.35E0,-1.03E1,-9.9E0)); +#10356=VERTEX_POINT('',#10354); +#10357=VERTEX_POINT('',#10355); +#10358=CARTESIAN_POINT('',(-9.25E0,-1.03E1,-1.007320508076E1)); +#10359=VERTEX_POINT('',#10358); +#10360=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-1.007320508076E1)); +#10361=VERTEX_POINT('',#10360); +#10362=CARTESIAN_POINT('',(-5.825E0,-1.03E1,-9.9E0)); +#10363=CARTESIAN_POINT('',(-4.675E0,-1.03E1,-9.9E0)); +#10364=VERTEX_POINT('',#10362); +#10365=VERTEX_POINT('',#10363); +#10366=CARTESIAN_POINT('',(-5.825E0,-1.02E1,-1.E1)); +#10367=CARTESIAN_POINT('',(-4.675E0,-1.02E1,-1.E1)); +#10368=VERTEX_POINT('',#10366); +#10369=VERTEX_POINT('',#10367); +#10370=CARTESIAN_POINT('',(-4.675000000001E0,-8.075E0,-1.E1)); +#10371=VERTEX_POINT('',#10370); +#10372=CARTESIAN_POINT('',(-4.575E0,-1.02E1,-1.01E1)); +#10373=CARTESIAN_POINT('',(-4.575E0,-8.074999993386E0,-1.01E1)); +#10374=VERTEX_POINT('',#10372); +#10375=VERTEX_POINT('',#10373); +#10376=CARTESIAN_POINT('',(-5.825E0,-8.075E0,-1.E1)); +#10377=VERTEX_POINT('',#10376); +#10378=CARTESIAN_POINT('',(-5.925E0,-8.075E0,-1.01E1)); +#10379=VERTEX_POINT('',#10378); +#10380=CARTESIAN_POINT('',(-5.925E0,-1.02E1,-1.01E1)); +#10381=VERTEX_POINT('',#10380); +#10382=CARTESIAN_POINT('',(-5.925E0,-1.03E1,-1.007320508076E1)); +#10383=VERTEX_POINT('',#10382); +#10384=CARTESIAN_POINT('',(-4.575E0,-1.03E1,-1.007320508076E1)); +#10385=VERTEX_POINT('',#10384); +#10386=CARTESIAN_POINT('',(-2.15E0,-1.03E1,-9.9E0)); +#10387=CARTESIAN_POINT('',(-1.35E0,-1.03E1,-9.9E0)); +#10388=VERTEX_POINT('',#10386); +#10389=VERTEX_POINT('',#10387); +#10390=CARTESIAN_POINT('',(-2.15E0,-1.02E1,-1.E1)); +#10391=CARTESIAN_POINT('',(-1.35E0,-1.02E1,-1.E1)); +#10392=VERTEX_POINT('',#10390); +#10393=VERTEX_POINT('',#10391); +#10394=CARTESIAN_POINT('',(-1.35E0,-9.1E0,-1.E1)); +#10395=VERTEX_POINT('',#10394); +#10396=CARTESIAN_POINT('',(-1.25E0,-1.02E1,-1.01E1)); +#10397=CARTESIAN_POINT('',(-1.25E0,-9.099999985923E0,-1.01E1)); +#10398=VERTEX_POINT('',#10396); +#10399=VERTEX_POINT('',#10397); +#10400=CARTESIAN_POINT('',(-2.15E0,-9.1E0,-1.E1)); +#10401=VERTEX_POINT('',#10400); +#10402=CARTESIAN_POINT('',(-2.25E0,-9.1E0,-1.01E1)); +#10403=VERTEX_POINT('',#10402); +#10404=CARTESIAN_POINT('',(-2.25E0,-1.02E1,-1.01E1)); +#10405=VERTEX_POINT('',#10404); +#10406=CARTESIAN_POINT('',(-2.25E0,-1.03E1,-1.007320508076E1)); +#10407=VERTEX_POINT('',#10406); +#10408=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-1.007320508076E1)); +#10409=VERTEX_POINT('',#10408); +#10410=CARTESIAN_POINT('',(1.35E0,-1.03E1,-9.9E0)); +#10411=CARTESIAN_POINT('',(2.15E0,-1.03E1,-9.9E0)); +#10412=VERTEX_POINT('',#10410); +#10413=VERTEX_POINT('',#10411); +#10414=CARTESIAN_POINT('',(1.35E0,-1.02E1,-1.E1)); +#10415=CARTESIAN_POINT('',(2.15E0,-1.02E1,-1.E1)); +#10416=VERTEX_POINT('',#10414); +#10417=VERTEX_POINT('',#10415); +#10418=CARTESIAN_POINT('',(2.15E0,-9.1E0,-1.E1)); +#10419=VERTEX_POINT('',#10418); +#10420=CARTESIAN_POINT('',(2.25E0,-1.02E1,-1.01E1)); +#10421=CARTESIAN_POINT('',(2.25E0,-9.099999985923E0,-1.01E1)); +#10422=VERTEX_POINT('',#10420); +#10423=VERTEX_POINT('',#10421); +#10424=CARTESIAN_POINT('',(1.35E0,-9.1E0,-1.E1)); +#10425=VERTEX_POINT('',#10424); +#10426=CARTESIAN_POINT('',(1.25E0,-9.1E0,-1.01E1)); +#10427=VERTEX_POINT('',#10426); +#10428=CARTESIAN_POINT('',(1.25E0,-1.02E1,-1.01E1)); +#10429=VERTEX_POINT('',#10428); +#10430=CARTESIAN_POINT('',(1.25E0,-1.03E1,-1.007320508076E1)); +#10431=VERTEX_POINT('',#10430); +#10432=CARTESIAN_POINT('',(2.25E0,-1.03E1,-1.007320508076E1)); +#10433=VERTEX_POINT('',#10432); +#10434=CARTESIAN_POINT('',(4.85E0,-1.03E1,-9.9E0)); +#10435=CARTESIAN_POINT('',(5.65E0,-1.03E1,-9.9E0)); +#10436=VERTEX_POINT('',#10434); +#10437=VERTEX_POINT('',#10435); +#10438=CARTESIAN_POINT('',(4.85E0,-1.02E1,-1.E1)); +#10439=CARTESIAN_POINT('',(5.65E0,-1.02E1,-1.E1)); +#10440=VERTEX_POINT('',#10438); +#10441=VERTEX_POINT('',#10439); +#10442=CARTESIAN_POINT('',(5.65E0,-9.1E0,-1.E1)); +#10443=VERTEX_POINT('',#10442); +#10444=CARTESIAN_POINT('',(5.75E0,-1.02E1,-1.01E1)); +#10445=CARTESIAN_POINT('',(5.75E0,-9.099999985923E0,-1.01E1)); +#10446=VERTEX_POINT('',#10444); +#10447=VERTEX_POINT('',#10445); +#10448=CARTESIAN_POINT('',(4.85E0,-9.1E0,-1.E1)); +#10449=VERTEX_POINT('',#10448); +#10450=CARTESIAN_POINT('',(4.75E0,-9.1E0,-1.01E1)); +#10451=VERTEX_POINT('',#10450); +#10452=CARTESIAN_POINT('',(4.75E0,-1.02E1,-1.01E1)); +#10453=VERTEX_POINT('',#10452); +#10454=CARTESIAN_POINT('',(4.75E0,-1.03E1,-1.007320508076E1)); +#10455=VERTEX_POINT('',#10454); +#10456=CARTESIAN_POINT('',(5.75E0,-1.03E1,-1.007320508076E1)); +#10457=VERTEX_POINT('',#10456); +#10458=CARTESIAN_POINT('',(8.35E0,-1.03E1,-9.9E0)); +#10459=CARTESIAN_POINT('',(9.15E0,-1.03E1,-9.9E0)); +#10460=VERTEX_POINT('',#10458); +#10461=VERTEX_POINT('',#10459); +#10462=CARTESIAN_POINT('',(8.35E0,-1.02E1,-1.E1)); +#10463=CARTESIAN_POINT('',(9.15E0,-1.02E1,-1.E1)); +#10464=VERTEX_POINT('',#10462); +#10465=VERTEX_POINT('',#10463); +#10466=CARTESIAN_POINT('',(9.15E0,-9.1E0,-1.E1)); +#10467=VERTEX_POINT('',#10466); +#10468=CARTESIAN_POINT('',(9.25E0,-1.02E1,-1.01E1)); +#10469=CARTESIAN_POINT('',(9.25E0,-9.099999985923E0,-1.01E1)); +#10470=VERTEX_POINT('',#10468); +#10471=VERTEX_POINT('',#10469); +#10472=CARTESIAN_POINT('',(8.35E0,-9.1E0,-1.E1)); +#10473=VERTEX_POINT('',#10472); +#10474=CARTESIAN_POINT('',(8.25E0,-9.1E0,-1.01E1)); +#10475=VERTEX_POINT('',#10474); +#10476=CARTESIAN_POINT('',(8.25E0,-1.02E1,-1.01E1)); +#10477=VERTEX_POINT('',#10476); +#10478=CARTESIAN_POINT('',(8.25E0,-1.03E1,-1.007320508076E1)); +#10479=VERTEX_POINT('',#10478); +#10480=CARTESIAN_POINT('',(9.25E0,-1.03E1,-1.007320508076E1)); +#10481=VERTEX_POINT('',#10480); +#10482=CARTESIAN_POINT('',(1.185E1,-1.03E1,-9.9E0)); +#10483=CARTESIAN_POINT('',(1.265E1,-1.03E1,-9.9E0)); +#10484=VERTEX_POINT('',#10482); +#10485=VERTEX_POINT('',#10483); +#10486=CARTESIAN_POINT('',(1.185E1,-1.02E1,-1.E1)); +#10487=CARTESIAN_POINT('',(1.265E1,-1.02E1,-1.E1)); +#10488=VERTEX_POINT('',#10486); +#10489=VERTEX_POINT('',#10487); +#10490=CARTESIAN_POINT('',(1.265E1,-9.1E0,-1.E1)); +#10491=VERTEX_POINT('',#10490); +#10492=CARTESIAN_POINT('',(1.275E1,-1.02E1,-1.01E1)); +#10493=CARTESIAN_POINT('',(1.275E1,-9.099999985923E0,-1.01E1)); +#10494=VERTEX_POINT('',#10492); +#10495=VERTEX_POINT('',#10493); +#10496=CARTESIAN_POINT('',(1.185E1,-9.1E0,-1.E1)); +#10497=VERTEX_POINT('',#10496); +#10498=CARTESIAN_POINT('',(1.175E1,-9.1E0,-1.01E1)); +#10499=VERTEX_POINT('',#10498); +#10500=CARTESIAN_POINT('',(1.175E1,-1.02E1,-1.01E1)); +#10501=VERTEX_POINT('',#10500); +#10502=CARTESIAN_POINT('',(1.175E1,-1.03E1,-1.007320508076E1)); +#10503=VERTEX_POINT('',#10502); +#10504=CARTESIAN_POINT('',(1.275E1,-1.03E1,-1.007320508076E1)); +#10505=VERTEX_POINT('',#10504); +#10506=CARTESIAN_POINT('',(1.535E1,-1.03E1,-9.9E0)); +#10507=CARTESIAN_POINT('',(1.615E1,-1.03E1,-9.9E0)); +#10508=VERTEX_POINT('',#10506); +#10509=VERTEX_POINT('',#10507); +#10510=CARTESIAN_POINT('',(1.535E1,-1.02E1,-1.E1)); +#10511=CARTESIAN_POINT('',(1.615E1,-1.02E1,-1.E1)); +#10512=VERTEX_POINT('',#10510); +#10513=VERTEX_POINT('',#10511); +#10514=CARTESIAN_POINT('',(1.615E1,-9.1E0,-1.E1)); +#10515=VERTEX_POINT('',#10514); +#10516=CARTESIAN_POINT('',(1.625E1,-1.02E1,-1.01E1)); +#10517=CARTESIAN_POINT('',(1.625E1,-9.099999985923E0,-1.01E1)); +#10518=VERTEX_POINT('',#10516); +#10519=VERTEX_POINT('',#10517); +#10520=CARTESIAN_POINT('',(1.535E1,-9.1E0,-1.E1)); +#10521=VERTEX_POINT('',#10520); +#10522=CARTESIAN_POINT('',(1.525E1,-9.1E0,-1.01E1)); +#10523=VERTEX_POINT('',#10522); +#10524=CARTESIAN_POINT('',(1.525E1,-1.02E1,-1.01E1)); +#10525=VERTEX_POINT('',#10524); +#10526=CARTESIAN_POINT('',(1.525E1,-1.03E1,-1.007320508076E1)); +#10527=VERTEX_POINT('',#10526); +#10528=CARTESIAN_POINT('',(1.625E1,-1.03E1,-1.007320508076E1)); +#10529=VERTEX_POINT('',#10528); +#10530=CARTESIAN_POINT('',(1.885E1,-1.03E1,-9.9E0)); +#10531=CARTESIAN_POINT('',(1.965E1,-1.03E1,-9.9E0)); +#10532=VERTEX_POINT('',#10530); +#10533=VERTEX_POINT('',#10531); +#10534=CARTESIAN_POINT('',(1.885E1,-1.02E1,-1.E1)); +#10535=CARTESIAN_POINT('',(1.965E1,-1.02E1,-1.E1)); +#10536=VERTEX_POINT('',#10534); +#10537=VERTEX_POINT('',#10535); +#10538=CARTESIAN_POINT('',(1.965E1,-9.1E0,-1.E1)); +#10539=VERTEX_POINT('',#10538); +#10540=CARTESIAN_POINT('',(1.975E1,-1.02E1,-1.01E1)); +#10541=CARTESIAN_POINT('',(1.975E1,-9.099999985923E0,-1.01E1)); +#10542=VERTEX_POINT('',#10540); +#10543=VERTEX_POINT('',#10541); +#10544=CARTESIAN_POINT('',(1.885E1,-9.1E0,-1.E1)); +#10545=VERTEX_POINT('',#10544); +#10546=CARTESIAN_POINT('',(1.875E1,-9.1E0,-1.01E1)); +#10547=VERTEX_POINT('',#10546); +#10548=CARTESIAN_POINT('',(1.875E1,-1.02E1,-1.01E1)); +#10549=VERTEX_POINT('',#10548); +#10550=CARTESIAN_POINT('',(1.875E1,-1.03E1,-1.007320508076E1)); +#10551=VERTEX_POINT('',#10550); +#10552=CARTESIAN_POINT('',(1.975E1,-1.03E1,-1.007320508076E1)); +#10553=VERTEX_POINT('',#10552); +#10554=CARTESIAN_POINT('',(2.81E1,-4.3E0,-1.E1)); +#10555=CARTESIAN_POINT('',(2.7E1,-4.3E0,-1.E1)); +#10556=VERTEX_POINT('',#10554); +#10557=VERTEX_POINT('',#10555); +#10558=CARTESIAN_POINT('',(2.81E1,-4.2E0,-1.01E1)); +#10559=CARTESIAN_POINT('',(2.699999998592E1,-4.2E0,-1.01E1)); +#10560=VERTEX_POINT('',#10558); +#10561=VERTEX_POINT('',#10559); +#10562=CARTESIAN_POINT('',(2.7E1,-5.1E0,-1.E1)); +#10563=VERTEX_POINT('',#10562); +#10564=CARTESIAN_POINT('',(2.7E1,-5.2E0,-1.01E1)); +#10565=VERTEX_POINT('',#10564); +#10566=CARTESIAN_POINT('',(2.81E1,-5.2E0,-1.01E1)); +#10567=VERTEX_POINT('',#10566); +#10568=CARTESIAN_POINT('',(2.81E1,-5.1E0,-1.E1)); +#10569=VERTEX_POINT('',#10568); +#10570=CARTESIAN_POINT('',(2.82E1,-5.1E0,-9.9E0)); +#10571=CARTESIAN_POINT('',(2.82E1,-4.3E0,-9.9E0)); +#10572=VERTEX_POINT('',#10570); +#10573=VERTEX_POINT('',#10571); +#10574=CARTESIAN_POINT('',(2.82E1,-5.2E0,-1.007320508076E1)); +#10575=VERTEX_POINT('',#10574); +#10576=CARTESIAN_POINT('',(2.82E1,-4.2E0,-1.007320508076E1)); +#10577=VERTEX_POINT('',#10576); +#10578=CARTESIAN_POINT('',(2.81E1,5.1E0,-1.E1)); +#10579=CARTESIAN_POINT('',(2.7E1,5.1E0,-1.E1)); +#10580=VERTEX_POINT('',#10578); +#10581=VERTEX_POINT('',#10579); +#10582=CARTESIAN_POINT('',(2.81E1,5.2E0,-1.01E1)); +#10583=CARTESIAN_POINT('',(2.699999998592E1,5.2E0,-1.01E1)); +#10584=VERTEX_POINT('',#10582); +#10585=VERTEX_POINT('',#10583); +#10586=CARTESIAN_POINT('',(2.7E1,4.3E0,-1.E1)); +#10587=VERTEX_POINT('',#10586); +#10588=CARTESIAN_POINT('',(2.7E1,4.2E0,-1.01E1)); +#10589=VERTEX_POINT('',#10588); +#10590=CARTESIAN_POINT('',(2.81E1,4.2E0,-1.01E1)); +#10591=VERTEX_POINT('',#10590); +#10592=CARTESIAN_POINT('',(2.81E1,4.3E0,-1.E1)); +#10593=VERTEX_POINT('',#10592); +#10594=CARTESIAN_POINT('',(2.82E1,4.3E0,-9.9E0)); +#10595=CARTESIAN_POINT('',(2.82E1,5.1E0,-9.9E0)); +#10596=VERTEX_POINT('',#10594); +#10597=VERTEX_POINT('',#10595); +#10598=CARTESIAN_POINT('',(2.82E1,4.2E0,-1.007320508076E1)); +#10599=VERTEX_POINT('',#10598); +#10600=CARTESIAN_POINT('',(2.82E1,5.2E0,-1.007320508076E1)); +#10601=VERTEX_POINT('',#10600); +#10602=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#10603=DIRECTION('',(0.E0,0.E0,1.E0)); +#10604=DIRECTION('',(1.E0,0.E0,0.E0)); +#10605=AXIS2_PLACEMENT_3D('',#10602,#10603,#10604); +#10606=PLANE('',#10605); +#10608=ORIENTED_EDGE('',*,*,#10607,.F.); +#10610=ORIENTED_EDGE('',*,*,#10609,.F.); +#10612=ORIENTED_EDGE('',*,*,#10611,.F.); +#10614=ORIENTED_EDGE('',*,*,#10613,.F.); +#10616=ORIENTED_EDGE('',*,*,#10615,.F.); +#10618=ORIENTED_EDGE('',*,*,#10617,.F.); +#10620=ORIENTED_EDGE('',*,*,#10619,.F.); +#10622=ORIENTED_EDGE('',*,*,#10621,.F.); +#10623=EDGE_LOOP('',(#10608,#10610,#10612,#10614,#10616,#10618,#10620,#10622)); +#10624=FACE_OUTER_BOUND('',#10623,.F.); +#10626=ORIENTED_EDGE('',*,*,#10625,.F.); +#10628=ORIENTED_EDGE('',*,*,#10627,.F.); +#10630=ORIENTED_EDGE('',*,*,#10629,.F.); +#10632=ORIENTED_EDGE('',*,*,#10631,.F.); +#10634=ORIENTED_EDGE('',*,*,#10633,.F.); +#10636=ORIENTED_EDGE('',*,*,#10635,.F.); +#10638=ORIENTED_EDGE('',*,*,#10637,.F.); +#10640=ORIENTED_EDGE('',*,*,#10639,.F.); +#10641=EDGE_LOOP('',(#10626,#10628,#10630,#10632,#10634,#10636,#10638,#10640)); +#10642=FACE_BOUND('',#10641,.F.); +#10644=CARTESIAN_POINT('',(-2.35E1,1.03E1,-2.E0)); +#10645=DIRECTION('',(0.E0,9.659258262891E-1,-2.588190451025E-1)); +#10646=DIRECTION('',(0.E0,2.588190451025E-1,9.659258262891E-1)); +#10647=AXIS2_PLACEMENT_3D('',#10644,#10645,#10646); +#10648=PLANE('',#10647); +#10649=ORIENTED_EDGE('',*,*,#10625,.T.); +#10651=ORIENTED_EDGE('',*,*,#10650,.F.); +#10653=ORIENTED_EDGE('',*,*,#10652,.T.); +#10655=ORIENTED_EDGE('',*,*,#10654,.T.); +#10656=EDGE_LOOP('',(#10649,#10651,#10653,#10655)); +#10657=FACE_OUTER_BOUND('',#10656,.F.); +#10659=CARTESIAN_POINT('',(2.35E1,5.6E0,-1.E0)); +#10660=DIRECTION('',(0.E0,0.E0,1.E0)); +#10661=DIRECTION('',(0.E0,1.E0,0.E0)); +#10662=AXIS2_PLACEMENT_3D('',#10659,#10660,#10661); +#10663=CONICAL_SURFACE('',#10662,4.967949192431E0,1.5E1); +#10664=ORIENTED_EDGE('',*,*,#10639,.T.); +#10666=ORIENTED_EDGE('',*,*,#10665,.F.); +#10668=ORIENTED_EDGE('',*,*,#10667,.T.); +#10669=ORIENTED_EDGE('',*,*,#10650,.T.); +#10670=EDGE_LOOP('',(#10664,#10666,#10668,#10669)); +#10671=FACE_OUTER_BOUND('',#10670,.F.); +#10673=CARTESIAN_POINT('',(2.82E1,5.6E0,-2.E0)); +#10674=DIRECTION('',(9.659258262891E-1,0.E0,-2.588190451025E-1)); +#10675=DIRECTION('',(2.588190451025E-1,0.E0,9.659258262891E-1)); +#10676=AXIS2_PLACEMENT_3D('',#10673,#10674,#10675); +#10677=PLANE('',#10676); +#10678=ORIENTED_EDGE('',*,*,#10637,.T.); +#10680=ORIENTED_EDGE('',*,*,#10679,.F.); +#10682=ORIENTED_EDGE('',*,*,#10681,.T.); +#10683=ORIENTED_EDGE('',*,*,#10665,.T.); +#10684=EDGE_LOOP('',(#10678,#10680,#10682,#10683)); +#10685=FACE_OUTER_BOUND('',#10684,.F.); +#10687=CARTESIAN_POINT('',(2.35E1,-5.6E0,-1.E0)); +#10688=DIRECTION('',(0.E0,0.E0,1.E0)); +#10689=DIRECTION('',(1.E0,0.E0,0.E0)); +#10690=AXIS2_PLACEMENT_3D('',#10687,#10688,#10689); +#10691=CONICAL_SURFACE('',#10690,4.967949192431E0,1.5E1); +#10692=ORIENTED_EDGE('',*,*,#10635,.T.); +#10694=ORIENTED_EDGE('',*,*,#10693,.F.); +#10696=ORIENTED_EDGE('',*,*,#10695,.T.); +#10697=ORIENTED_EDGE('',*,*,#10679,.T.); +#10698=EDGE_LOOP('',(#10692,#10694,#10696,#10697)); +#10699=FACE_OUTER_BOUND('',#10698,.F.); +#10701=CARTESIAN_POINT('',(2.35E1,-1.03E1,-2.E0)); +#10702=DIRECTION('',(0.E0,-9.659258262891E-1,-2.588190451025E-1)); +#10703=DIRECTION('',(0.E0,-2.588190451025E-1,9.659258262891E-1)); +#10704=AXIS2_PLACEMENT_3D('',#10701,#10702,#10703); +#10705=PLANE('',#10704); +#10706=ORIENTED_EDGE('',*,*,#10633,.T.); +#10708=ORIENTED_EDGE('',*,*,#10707,.F.); +#10710=ORIENTED_EDGE('',*,*,#10709,.T.); +#10711=ORIENTED_EDGE('',*,*,#10693,.T.); +#10712=EDGE_LOOP('',(#10706,#10708,#10710,#10711)); +#10713=FACE_OUTER_BOUND('',#10712,.F.); +#10715=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-1.E0)); +#10716=DIRECTION('',(0.E0,0.E0,1.E0)); +#10717=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10718=AXIS2_PLACEMENT_3D('',#10715,#10716,#10717); +#10719=CONICAL_SURFACE('',#10718,4.967949192431E0,1.5E1); +#10720=ORIENTED_EDGE('',*,*,#10631,.T.); +#10722=ORIENTED_EDGE('',*,*,#10721,.F.); +#10724=ORIENTED_EDGE('',*,*,#10723,.T.); +#10725=ORIENTED_EDGE('',*,*,#10707,.T.); +#10726=EDGE_LOOP('',(#10720,#10722,#10724,#10725)); +#10727=FACE_OUTER_BOUND('',#10726,.F.); +#10729=CARTESIAN_POINT('',(-2.82E1,-5.6E0,-2.E0)); +#10730=DIRECTION('',(-9.659258262891E-1,0.E0,-2.588190451025E-1)); +#10731=DIRECTION('',(-2.588190451025E-1,0.E0,9.659258262891E-1)); +#10732=AXIS2_PLACEMENT_3D('',#10729,#10730,#10731); +#10733=PLANE('',#10732); +#10734=ORIENTED_EDGE('',*,*,#10629,.T.); +#10736=ORIENTED_EDGE('',*,*,#10735,.F.); +#10738=ORIENTED_EDGE('',*,*,#10737,.T.); +#10739=ORIENTED_EDGE('',*,*,#10721,.T.); +#10740=EDGE_LOOP('',(#10734,#10736,#10738,#10739)); +#10741=FACE_OUTER_BOUND('',#10740,.F.); +#10743=CARTESIAN_POINT('',(-2.35E1,5.6E0,-1.E0)); +#10744=DIRECTION('',(0.E0,0.E0,1.E0)); +#10745=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10746=AXIS2_PLACEMENT_3D('',#10743,#10744,#10745); +#10747=CONICAL_SURFACE('',#10746,4.967949192431E0,1.5E1); +#10748=ORIENTED_EDGE('',*,*,#10627,.T.); +#10749=ORIENTED_EDGE('',*,*,#10654,.F.); +#10751=ORIENTED_EDGE('',*,*,#10750,.T.); +#10752=ORIENTED_EDGE('',*,*,#10735,.T.); +#10753=EDGE_LOOP('',(#10748,#10749,#10751,#10752)); +#10754=FACE_OUTER_BOUND('',#10753,.F.); +#10756=CARTESIAN_POINT('',(-2.35E1,5.6E0,0.E0)); +#10757=DIRECTION('',(0.E0,0.E0,1.E0)); +#10758=DIRECTION('',(1.E0,0.E0,0.E0)); +#10759=AXIS2_PLACEMENT_3D('',#10756,#10757,#10758); +#10760=CYLINDRICAL_SURFACE('',#10759,4.7E0); +#10761=ORIENTED_EDGE('',*,*,#10750,.F.); +#10763=ORIENTED_EDGE('',*,*,#10762,.T.); +#10765=ORIENTED_EDGE('',*,*,#10764,.T.); +#10767=ORIENTED_EDGE('',*,*,#10766,.F.); +#10768=EDGE_LOOP('',(#10761,#10763,#10765,#10767)); +#10769=FACE_OUTER_BOUND('',#10768,.F.); +#10771=CARTESIAN_POINT('',(2.35E1,1.03E1,0.E0)); +#10772=DIRECTION('',(0.E0,1.E0,0.E0)); +#10773=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10774=AXIS2_PLACEMENT_3D('',#10771,#10772,#10773); +#10775=PLANE('',#10774); +#10777=ORIENTED_EDGE('',*,*,#10776,.T.); +#10779=ORIENTED_EDGE('',*,*,#10778,.F.); +#10781=ORIENTED_EDGE('',*,*,#10780,.T.); +#10783=ORIENTED_EDGE('',*,*,#10782,.T.); +#10785=ORIENTED_EDGE('',*,*,#10784,.T.); +#10787=ORIENTED_EDGE('',*,*,#10786,.T.); +#10789=ORIENTED_EDGE('',*,*,#10788,.T.); +#10791=ORIENTED_EDGE('',*,*,#10790,.F.); +#10793=ORIENTED_EDGE('',*,*,#10792,.T.); +#10795=ORIENTED_EDGE('',*,*,#10794,.T.); +#10797=ORIENTED_EDGE('',*,*,#10796,.T.); +#10799=ORIENTED_EDGE('',*,*,#10798,.T.); +#10801=ORIENTED_EDGE('',*,*,#10800,.T.); +#10803=ORIENTED_EDGE('',*,*,#10802,.F.); +#10805=ORIENTED_EDGE('',*,*,#10804,.T.); +#10807=ORIENTED_EDGE('',*,*,#10806,.T.); +#10809=ORIENTED_EDGE('',*,*,#10808,.T.); +#10811=ORIENTED_EDGE('',*,*,#10810,.T.); +#10813=ORIENTED_EDGE('',*,*,#10812,.T.); +#10815=ORIENTED_EDGE('',*,*,#10814,.F.); +#10817=ORIENTED_EDGE('',*,*,#10816,.T.); +#10819=ORIENTED_EDGE('',*,*,#10818,.T.); +#10821=ORIENTED_EDGE('',*,*,#10820,.T.); +#10823=ORIENTED_EDGE('',*,*,#10822,.T.); +#10825=ORIENTED_EDGE('',*,*,#10824,.T.); +#10827=ORIENTED_EDGE('',*,*,#10826,.F.); +#10829=ORIENTED_EDGE('',*,*,#10828,.T.); +#10831=ORIENTED_EDGE('',*,*,#10830,.T.); +#10833=ORIENTED_EDGE('',*,*,#10832,.T.); +#10835=ORIENTED_EDGE('',*,*,#10834,.T.); +#10837=ORIENTED_EDGE('',*,*,#10836,.T.); +#10839=ORIENTED_EDGE('',*,*,#10838,.F.); +#10841=ORIENTED_EDGE('',*,*,#10840,.T.); +#10843=ORIENTED_EDGE('',*,*,#10842,.T.); +#10845=ORIENTED_EDGE('',*,*,#10844,.T.); +#10847=ORIENTED_EDGE('',*,*,#10846,.T.); +#10849=ORIENTED_EDGE('',*,*,#10848,.T.); +#10851=ORIENTED_EDGE('',*,*,#10850,.F.); +#10853=ORIENTED_EDGE('',*,*,#10852,.T.); +#10855=ORIENTED_EDGE('',*,*,#10854,.T.); +#10857=ORIENTED_EDGE('',*,*,#10856,.T.); +#10859=ORIENTED_EDGE('',*,*,#10858,.T.); +#10861=ORIENTED_EDGE('',*,*,#10860,.T.); +#10863=ORIENTED_EDGE('',*,*,#10862,.F.); +#10865=ORIENTED_EDGE('',*,*,#10864,.T.); +#10867=ORIENTED_EDGE('',*,*,#10866,.T.); +#10869=ORIENTED_EDGE('',*,*,#10868,.T.); +#10871=ORIENTED_EDGE('',*,*,#10870,.T.); +#10873=ORIENTED_EDGE('',*,*,#10872,.T.); +#10875=ORIENTED_EDGE('',*,*,#10874,.F.); +#10877=ORIENTED_EDGE('',*,*,#10876,.T.); +#10879=ORIENTED_EDGE('',*,*,#10878,.T.); +#10881=ORIENTED_EDGE('',*,*,#10880,.T.); +#10883=ORIENTED_EDGE('',*,*,#10882,.T.); +#10885=ORIENTED_EDGE('',*,*,#10884,.T.); +#10887=ORIENTED_EDGE('',*,*,#10886,.F.); +#10889=ORIENTED_EDGE('',*,*,#10888,.T.); +#10891=ORIENTED_EDGE('',*,*,#10890,.T.); +#10893=ORIENTED_EDGE('',*,*,#10892,.T.); +#10895=ORIENTED_EDGE('',*,*,#10894,.T.); +#10897=ORIENTED_EDGE('',*,*,#10896,.T.); +#10899=ORIENTED_EDGE('',*,*,#10898,.F.); +#10901=ORIENTED_EDGE('',*,*,#10900,.T.); +#10902=ORIENTED_EDGE('',*,*,#10762,.F.); +#10903=ORIENTED_EDGE('',*,*,#10652,.F.); +#10905=ORIENTED_EDGE('',*,*,#10904,.T.); +#10907=ORIENTED_EDGE('',*,*,#10906,.T.); +#10909=ORIENTED_EDGE('',*,*,#10908,.T.); +#10911=ORIENTED_EDGE('',*,*,#10910,.T.); +#10913=ORIENTED_EDGE('',*,*,#10912,.T.); +#10915=ORIENTED_EDGE('',*,*,#10914,.T.); +#10917=ORIENTED_EDGE('',*,*,#10916,.F.); +#10919=ORIENTED_EDGE('',*,*,#10918,.T.); +#10921=ORIENTED_EDGE('',*,*,#10920,.T.); +#10923=ORIENTED_EDGE('',*,*,#10922,.T.); +#10925=ORIENTED_EDGE('',*,*,#10924,.T.); +#10926=EDGE_LOOP('',(#10777,#10779,#10781,#10783,#10785,#10787,#10789,#10791, +#10793,#10795,#10797,#10799,#10801,#10803,#10805,#10807,#10809,#10811,#10813, +#10815,#10817,#10819,#10821,#10823,#10825,#10827,#10829,#10831,#10833,#10835, +#10837,#10839,#10841,#10843,#10845,#10847,#10849,#10851,#10853,#10855,#10857, +#10859,#10861,#10863,#10865,#10867,#10869,#10871,#10873,#10875,#10877,#10879, +#10881,#10883,#10885,#10887,#10889,#10891,#10893,#10895,#10897,#10899,#10901, +#10902,#10903,#10905,#10907,#10909,#10911,#10913,#10915,#10917,#10919,#10921, +#10923,#10925)); +#10927=FACE_OUTER_BOUND('',#10926,.F.); +#10929=CARTESIAN_POINT('',(1.535E1,1.02E1,-9.9E0)); +#10930=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10931=DIRECTION('',(2.664535259100E-14,-7.564028598729E-1,-6.541060415376E-1)); +#10932=AXIS2_PLACEMENT_3D('',#10929,#10930,#10931); +#10933=TOROIDAL_SURFACE('',#10932,2.E-1,1.E-1); +#10935=ORIENTED_EDGE('',*,*,#10934,.T.); +#10936=ORIENTED_EDGE('',*,*,#10776,.F.); +#10938=ORIENTED_EDGE('',*,*,#10937,.F.); +#10940=ORIENTED_EDGE('',*,*,#10939,.F.); +#10941=EDGE_LOOP('',(#10935,#10936,#10938,#10940)); +#10942=FACE_OUTER_BOUND('',#10941,.F.); +#10944=CARTESIAN_POINT('',(1.525E1,1.03E1,-2.E1)); +#10945=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10946=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10947=AXIS2_PLACEMENT_3D('',#10944,#10945,#10946); +#10948=PLANE('',#10947); +#10950=ORIENTED_EDGE('',*,*,#10949,.T.); +#10952=ORIENTED_EDGE('',*,*,#10951,.F.); +#10954=ORIENTED_EDGE('',*,*,#10953,.F.); +#10955=ORIENTED_EDGE('',*,*,#10778,.T.); +#10956=ORIENTED_EDGE('',*,*,#10934,.F.); +#10957=EDGE_LOOP('',(#10950,#10952,#10954,#10955,#10956)); +#10958=FACE_OUTER_BOUND('',#10957,.F.); +#10960=CARTESIAN_POINT('',(1.535E1,5.789556E1,-1.01E1)); +#10961=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10962=DIRECTION('',(0.E0,0.E0,1.E0)); +#10963=AXIS2_PLACEMENT_3D('',#10960,#10961,#10962); +#10964=CYLINDRICAL_SURFACE('',#10963,1.E-1); +#10966=ORIENTED_EDGE('',*,*,#10965,.T.); +#10968=ORIENTED_EDGE('',*,*,#10967,.T.); +#10969=ORIENTED_EDGE('',*,*,#10949,.F.); +#10970=ORIENTED_EDGE('',*,*,#10939,.T.); +#10971=EDGE_LOOP('',(#10966,#10968,#10969,#10970)); +#10972=FACE_OUTER_BOUND('',#10971,.F.); +#10974=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#10975=DIRECTION('',(0.E0,0.E0,1.E0)); +#10976=DIRECTION('',(1.E0,0.E0,0.E0)); +#10977=AXIS2_PLACEMENT_3D('',#10974,#10975,#10976); +#10978=PLANE('',#10977); +#10979=ORIENTED_EDGE('',*,*,#10965,.F.); +#10981=ORIENTED_EDGE('',*,*,#10980,.F.); +#10983=ORIENTED_EDGE('',*,*,#10982,.F.); +#10985=ORIENTED_EDGE('',*,*,#10984,.F.); +#10986=EDGE_LOOP('',(#10979,#10981,#10983,#10985)); +#10987=FACE_OUTER_BOUND('',#10986,.F.); +#10989=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#10990=DIRECTION('',(0.E0,0.E0,1.E0)); +#10991=DIRECTION('',(1.E0,0.E0,0.E0)); +#10992=AXIS2_PLACEMENT_3D('',#10989,#10990,#10991); +#10993=PLANE('',#10992); +#10995=ORIENTED_EDGE('',*,*,#10994,.F.); +#10997=ORIENTED_EDGE('',*,*,#10996,.F.); +#10999=ORIENTED_EDGE('',*,*,#10998,.F.); +#11001=ORIENTED_EDGE('',*,*,#11000,.F.); +#11002=EDGE_LOOP('',(#10995,#10997,#10999,#11001)); +#11003=FACE_OUTER_BOUND('',#11002,.F.); +#11005=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11006=DIRECTION('',(0.E0,0.E0,1.E0)); +#11007=DIRECTION('',(1.E0,0.E0,0.E0)); +#11008=AXIS2_PLACEMENT_3D('',#11005,#11006,#11007); +#11009=PLANE('',#11008); +#11011=ORIENTED_EDGE('',*,*,#11010,.F.); +#11013=ORIENTED_EDGE('',*,*,#11012,.F.); +#11015=ORIENTED_EDGE('',*,*,#11014,.F.); +#11017=ORIENTED_EDGE('',*,*,#11016,.F.); +#11018=EDGE_LOOP('',(#11011,#11013,#11015,#11017)); +#11019=FACE_OUTER_BOUND('',#11018,.F.); +#11021=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11022=DIRECTION('',(0.E0,0.E0,1.E0)); +#11023=DIRECTION('',(1.E0,0.E0,0.E0)); +#11024=AXIS2_PLACEMENT_3D('',#11021,#11022,#11023); +#11025=PLANE('',#11024); +#11027=ORIENTED_EDGE('',*,*,#11026,.F.); +#11029=ORIENTED_EDGE('',*,*,#11028,.F.); +#11031=ORIENTED_EDGE('',*,*,#11030,.F.); +#11033=ORIENTED_EDGE('',*,*,#11032,.F.); +#11034=EDGE_LOOP('',(#11027,#11029,#11031,#11033)); +#11035=FACE_OUTER_BOUND('',#11034,.F.); +#11037=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11038=DIRECTION('',(0.E0,0.E0,1.E0)); +#11039=DIRECTION('',(1.E0,0.E0,0.E0)); +#11040=AXIS2_PLACEMENT_3D('',#11037,#11038,#11039); +#11041=PLANE('',#11040); +#11043=ORIENTED_EDGE('',*,*,#11042,.F.); +#11045=ORIENTED_EDGE('',*,*,#11044,.F.); +#11047=ORIENTED_EDGE('',*,*,#11046,.F.); +#11049=ORIENTED_EDGE('',*,*,#11048,.F.); +#11050=EDGE_LOOP('',(#11043,#11045,#11047,#11049)); +#11051=FACE_OUTER_BOUND('',#11050,.F.); +#11053=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11054=DIRECTION('',(0.E0,0.E0,1.E0)); +#11055=DIRECTION('',(1.E0,0.E0,0.E0)); +#11056=AXIS2_PLACEMENT_3D('',#11053,#11054,#11055); +#11057=PLANE('',#11056); +#11059=ORIENTED_EDGE('',*,*,#11058,.F.); +#11061=ORIENTED_EDGE('',*,*,#11060,.F.); +#11063=ORIENTED_EDGE('',*,*,#11062,.F.); +#11065=ORIENTED_EDGE('',*,*,#11064,.F.); +#11066=EDGE_LOOP('',(#11059,#11061,#11063,#11065)); +#11067=FACE_OUTER_BOUND('',#11066,.F.); +#11069=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11070=DIRECTION('',(0.E0,0.E0,1.E0)); +#11071=DIRECTION('',(1.E0,0.E0,0.E0)); +#11072=AXIS2_PLACEMENT_3D('',#11069,#11070,#11071); +#11073=PLANE('',#11072); +#11075=ORIENTED_EDGE('',*,*,#11074,.F.); +#11077=ORIENTED_EDGE('',*,*,#11076,.F.); +#11079=ORIENTED_EDGE('',*,*,#11078,.F.); +#11081=ORIENTED_EDGE('',*,*,#11080,.F.); +#11082=EDGE_LOOP('',(#11075,#11077,#11079,#11081)); +#11083=FACE_OUTER_BOUND('',#11082,.F.); +#11085=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11086=DIRECTION('',(0.E0,0.E0,1.E0)); +#11087=DIRECTION('',(1.E0,0.E0,0.E0)); +#11088=AXIS2_PLACEMENT_3D('',#11085,#11086,#11087); +#11089=PLANE('',#11088); +#11091=ORIENTED_EDGE('',*,*,#11090,.F.); +#11093=ORIENTED_EDGE('',*,*,#11092,.F.); +#11095=ORIENTED_EDGE('',*,*,#11094,.F.); +#11097=ORIENTED_EDGE('',*,*,#11096,.F.); +#11098=EDGE_LOOP('',(#11091,#11093,#11095,#11097)); +#11099=FACE_OUTER_BOUND('',#11098,.F.); +#11101=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11102=DIRECTION('',(0.E0,0.E0,1.E0)); +#11103=DIRECTION('',(1.E0,0.E0,0.E0)); +#11104=AXIS2_PLACEMENT_3D('',#11101,#11102,#11103); +#11105=PLANE('',#11104); +#11107=ORIENTED_EDGE('',*,*,#11106,.F.); +#11109=ORIENTED_EDGE('',*,*,#11108,.F.); +#11111=ORIENTED_EDGE('',*,*,#11110,.F.); +#11113=ORIENTED_EDGE('',*,*,#11112,.F.); +#11114=EDGE_LOOP('',(#11107,#11109,#11111,#11113)); +#11115=FACE_OUTER_BOUND('',#11114,.F.); +#11117=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11118=DIRECTION('',(0.E0,0.E0,1.E0)); +#11119=DIRECTION('',(1.E0,0.E0,0.E0)); +#11120=AXIS2_PLACEMENT_3D('',#11117,#11118,#11119); +#11121=PLANE('',#11120); +#11123=ORIENTED_EDGE('',*,*,#11122,.F.); +#11125=ORIENTED_EDGE('',*,*,#11124,.F.); +#11127=ORIENTED_EDGE('',*,*,#11126,.F.); +#11129=ORIENTED_EDGE('',*,*,#11128,.F.); +#11130=EDGE_LOOP('',(#11123,#11125,#11127,#11129)); +#11131=FACE_OUTER_BOUND('',#11130,.F.); +#11133=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11134=DIRECTION('',(0.E0,0.E0,1.E0)); +#11135=DIRECTION('',(1.E0,0.E0,0.E0)); +#11136=AXIS2_PLACEMENT_3D('',#11133,#11134,#11135); +#11137=PLANE('',#11136); +#11139=ORIENTED_EDGE('',*,*,#11138,.F.); +#11141=ORIENTED_EDGE('',*,*,#11140,.F.); +#11143=ORIENTED_EDGE('',*,*,#11142,.F.); +#11145=ORIENTED_EDGE('',*,*,#11144,.F.); +#11146=EDGE_LOOP('',(#11139,#11141,#11143,#11145)); +#11147=FACE_OUTER_BOUND('',#11146,.F.); +#11149=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11150=DIRECTION('',(0.E0,0.E0,1.E0)); +#11151=DIRECTION('',(1.E0,0.E0,0.E0)); +#11152=AXIS2_PLACEMENT_3D('',#11149,#11150,#11151); +#11153=PLANE('',#11152); +#11155=ORIENTED_EDGE('',*,*,#11154,.F.); +#11157=ORIENTED_EDGE('',*,*,#11156,.F.); +#11159=ORIENTED_EDGE('',*,*,#11158,.F.); +#11161=ORIENTED_EDGE('',*,*,#11160,.F.); +#11162=EDGE_LOOP('',(#11155,#11157,#11159,#11161)); +#11163=FACE_OUTER_BOUND('',#11162,.F.); +#11165=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11166=DIRECTION('',(0.E0,0.E0,1.E0)); +#11167=DIRECTION('',(1.E0,0.E0,0.E0)); +#11168=AXIS2_PLACEMENT_3D('',#11165,#11166,#11167); +#11169=PLANE('',#11168); +#11171=ORIENTED_EDGE('',*,*,#11170,.F.); +#11173=ORIENTED_EDGE('',*,*,#11172,.F.); +#11175=ORIENTED_EDGE('',*,*,#11174,.F.); +#11177=ORIENTED_EDGE('',*,*,#11176,.F.); +#11178=EDGE_LOOP('',(#11171,#11173,#11175,#11177)); +#11179=FACE_OUTER_BOUND('',#11178,.F.); +#11181=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11182=DIRECTION('',(0.E0,0.E0,1.E0)); +#11183=DIRECTION('',(1.E0,0.E0,0.E0)); +#11184=AXIS2_PLACEMENT_3D('',#11181,#11182,#11183); +#11185=PLANE('',#11184); +#11187=ORIENTED_EDGE('',*,*,#11186,.F.); +#11189=ORIENTED_EDGE('',*,*,#11188,.F.); +#11191=ORIENTED_EDGE('',*,*,#11190,.F.); +#11193=ORIENTED_EDGE('',*,*,#11192,.F.); +#11194=EDGE_LOOP('',(#11187,#11189,#11191,#11193)); +#11195=FACE_OUTER_BOUND('',#11194,.F.); +#11197=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11198=DIRECTION('',(0.E0,0.E0,1.E0)); +#11199=DIRECTION('',(1.E0,0.E0,0.E0)); +#11200=AXIS2_PLACEMENT_3D('',#11197,#11198,#11199); +#11201=PLANE('',#11200); +#11203=ORIENTED_EDGE('',*,*,#11202,.F.); +#11205=ORIENTED_EDGE('',*,*,#11204,.F.); +#11207=ORIENTED_EDGE('',*,*,#11206,.F.); +#11209=ORIENTED_EDGE('',*,*,#11208,.F.); +#11210=EDGE_LOOP('',(#11203,#11205,#11207,#11209)); +#11211=FACE_OUTER_BOUND('',#11210,.F.); +#11213=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11214=DIRECTION('',(0.E0,0.E0,1.E0)); +#11215=DIRECTION('',(1.E0,0.E0,0.E0)); +#11216=AXIS2_PLACEMENT_3D('',#11213,#11214,#11215); +#11217=PLANE('',#11216); +#11219=ORIENTED_EDGE('',*,*,#11218,.F.); +#11221=ORIENTED_EDGE('',*,*,#11220,.F.); +#11223=ORIENTED_EDGE('',*,*,#11222,.F.); +#11225=ORIENTED_EDGE('',*,*,#11224,.F.); +#11226=EDGE_LOOP('',(#11219,#11221,#11223,#11225)); +#11227=FACE_OUTER_BOUND('',#11226,.F.); +#11229=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11230=DIRECTION('',(0.E0,0.E0,1.E0)); +#11231=DIRECTION('',(1.E0,0.E0,0.E0)); +#11232=AXIS2_PLACEMENT_3D('',#11229,#11230,#11231); +#11233=PLANE('',#11232); +#11235=ORIENTED_EDGE('',*,*,#11234,.F.); +#11237=ORIENTED_EDGE('',*,*,#11236,.F.); +#11239=ORIENTED_EDGE('',*,*,#11238,.F.); +#11241=ORIENTED_EDGE('',*,*,#11240,.F.); +#11242=EDGE_LOOP('',(#11235,#11237,#11239,#11241)); +#11243=FACE_OUTER_BOUND('',#11242,.F.); +#11245=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11246=DIRECTION('',(0.E0,0.E0,1.E0)); +#11247=DIRECTION('',(1.E0,0.E0,0.E0)); +#11248=AXIS2_PLACEMENT_3D('',#11245,#11246,#11247); +#11249=PLANE('',#11248); +#11251=ORIENTED_EDGE('',*,*,#11250,.F.); +#11253=ORIENTED_EDGE('',*,*,#11252,.F.); +#11255=ORIENTED_EDGE('',*,*,#11254,.F.); +#11257=ORIENTED_EDGE('',*,*,#11256,.F.); +#11258=EDGE_LOOP('',(#11251,#11253,#11255,#11257)); +#11259=FACE_OUTER_BOUND('',#11258,.F.); +#11261=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11262=DIRECTION('',(0.E0,0.E0,1.E0)); +#11263=DIRECTION('',(1.E0,0.E0,0.E0)); +#11264=AXIS2_PLACEMENT_3D('',#11261,#11262,#11263); +#11265=PLANE('',#11264); +#11267=ORIENTED_EDGE('',*,*,#11266,.F.); +#11269=ORIENTED_EDGE('',*,*,#11268,.F.); +#11271=ORIENTED_EDGE('',*,*,#11270,.F.); +#11273=ORIENTED_EDGE('',*,*,#11272,.F.); +#11274=EDGE_LOOP('',(#11267,#11269,#11271,#11273)); +#11275=FACE_OUTER_BOUND('',#11274,.F.); +#11277=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11278=DIRECTION('',(0.E0,0.E0,1.E0)); +#11279=DIRECTION('',(1.E0,0.E0,0.E0)); +#11280=AXIS2_PLACEMENT_3D('',#11277,#11278,#11279); +#11281=PLANE('',#11280); +#11283=ORIENTED_EDGE('',*,*,#11282,.F.); +#11285=ORIENTED_EDGE('',*,*,#11284,.F.); +#11287=ORIENTED_EDGE('',*,*,#11286,.F.); +#11289=ORIENTED_EDGE('',*,*,#11288,.F.); +#11290=EDGE_LOOP('',(#11283,#11285,#11287,#11289)); +#11291=FACE_OUTER_BOUND('',#11290,.F.); +#11293=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11294=DIRECTION('',(0.E0,0.E0,1.E0)); +#11295=DIRECTION('',(1.E0,0.E0,0.E0)); +#11296=AXIS2_PLACEMENT_3D('',#11293,#11294,#11295); +#11297=PLANE('',#11296); +#11299=ORIENTED_EDGE('',*,*,#11298,.F.); +#11301=ORIENTED_EDGE('',*,*,#11300,.F.); +#11303=ORIENTED_EDGE('',*,*,#11302,.F.); +#11305=ORIENTED_EDGE('',*,*,#11304,.F.); +#11306=EDGE_LOOP('',(#11299,#11301,#11303,#11305)); +#11307=FACE_OUTER_BOUND('',#11306,.F.); +#11309=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11310=DIRECTION('',(0.E0,0.E0,1.E0)); +#11311=DIRECTION('',(1.E0,0.E0,0.E0)); +#11312=AXIS2_PLACEMENT_3D('',#11309,#11310,#11311); +#11313=PLANE('',#11312); +#11315=ORIENTED_EDGE('',*,*,#11314,.F.); +#11317=ORIENTED_EDGE('',*,*,#11316,.F.); +#11319=ORIENTED_EDGE('',*,*,#11318,.F.); +#11321=ORIENTED_EDGE('',*,*,#11320,.F.); +#11322=EDGE_LOOP('',(#11315,#11317,#11319,#11321)); +#11323=FACE_OUTER_BOUND('',#11322,.F.); +#11325=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11326=DIRECTION('',(0.E0,0.E0,1.E0)); +#11327=DIRECTION('',(1.E0,0.E0,0.E0)); +#11328=AXIS2_PLACEMENT_3D('',#11325,#11326,#11327); +#11329=PLANE('',#11328); +#11331=ORIENTED_EDGE('',*,*,#11330,.F.); +#11333=ORIENTED_EDGE('',*,*,#11332,.F.); +#11335=ORIENTED_EDGE('',*,*,#11334,.F.); +#11337=ORIENTED_EDGE('',*,*,#11336,.F.); +#11338=EDGE_LOOP('',(#11331,#11333,#11335,#11337)); +#11339=FACE_OUTER_BOUND('',#11338,.F.); +#11341=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#11342=DIRECTION('',(0.E0,0.E0,1.E0)); +#11343=DIRECTION('',(1.E0,0.E0,0.E0)); +#11344=AXIS2_PLACEMENT_3D('',#11341,#11342,#11343); +#11345=PLANE('',#11344); +#11347=ORIENTED_EDGE('',*,*,#11346,.F.); +#11349=ORIENTED_EDGE('',*,*,#11348,.F.); +#11351=ORIENTED_EDGE('',*,*,#11350,.F.); +#11353=ORIENTED_EDGE('',*,*,#11352,.F.); +#11354=EDGE_LOOP('',(#11347,#11349,#11351,#11353)); +#11355=FACE_OUTER_BOUND('',#11354,.F.); +#11357=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#11358=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11359=DIRECTION('',(0.E0,1.E0,0.E0)); +#11360=AXIS2_PLACEMENT_3D('',#11357,#11358,#11359); +#11361=CYLINDRICAL_SURFACE('',#11360,1.E-1); +#11362=ORIENTED_EDGE('',*,*,#10924,.F.); +#11364=ORIENTED_EDGE('',*,*,#11363,.T.); +#11365=ORIENTED_EDGE('',*,*,#10980,.T.); +#11366=ORIENTED_EDGE('',*,*,#10937,.T.); +#11367=EDGE_LOOP('',(#11362,#11364,#11365,#11366)); +#11368=FACE_OUTER_BOUND('',#11367,.F.); +#11370=CARTESIAN_POINT('',(1.615E1,1.02E1,-9.9E0)); +#11371=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11372=DIRECTION('',(-3.552713678801E-14,-5.485369585398E-1, +-8.361263093074E-1)); +#11373=AXIS2_PLACEMENT_3D('',#11370,#11371,#11372); +#11374=TOROIDAL_SURFACE('',#11373,2.E-1,1.E-1); +#11376=ORIENTED_EDGE('',*,*,#11375,.F.); +#11378=ORIENTED_EDGE('',*,*,#11377,.F.); +#11379=ORIENTED_EDGE('',*,*,#11363,.F.); +#11380=ORIENTED_EDGE('',*,*,#10922,.F.); +#11381=EDGE_LOOP('',(#11376,#11378,#11379,#11380)); +#11382=FACE_OUTER_BOUND('',#11381,.F.); +#11384=CARTESIAN_POINT('',(1.625E1,9.1E0,-2.E1)); +#11385=DIRECTION('',(1.E0,0.E0,0.E0)); +#11386=DIRECTION('',(0.E0,1.E0,0.E0)); +#11387=AXIS2_PLACEMENT_3D('',#11384,#11385,#11386); +#11388=PLANE('',#11387); +#11390=ORIENTED_EDGE('',*,*,#11389,.T.); +#11391=ORIENTED_EDGE('',*,*,#11375,.T.); +#11392=ORIENTED_EDGE('',*,*,#10920,.F.); +#11394=ORIENTED_EDGE('',*,*,#11393,.T.); +#11396=ORIENTED_EDGE('',*,*,#11395,.T.); +#11397=EDGE_LOOP('',(#11390,#11391,#11392,#11394,#11396)); +#11398=FACE_OUTER_BOUND('',#11397,.F.); +#11400=CARTESIAN_POINT('',(1.615E1,8.961468488237E0,-1.01E1)); +#11401=DIRECTION('',(0.E0,1.E0,0.E0)); +#11402=DIRECTION('',(0.E0,0.E0,1.E0)); +#11403=AXIS2_PLACEMENT_3D('',#11400,#11401,#11402); +#11404=CYLINDRICAL_SURFACE('',#11403,1.E-1); +#11405=ORIENTED_EDGE('',*,*,#11389,.F.); +#11407=ORIENTED_EDGE('',*,*,#11406,.F.); +#11408=ORIENTED_EDGE('',*,*,#10982,.T.); +#11409=ORIENTED_EDGE('',*,*,#11377,.T.); +#11410=EDGE_LOOP('',(#11405,#11407,#11408,#11409)); +#11411=FACE_OUTER_BOUND('',#11410,.F.); +#11413=CARTESIAN_POINT('',(1.575E1,9.1E0,-1.01E1)); +#11414=DIRECTION('',(0.E0,0.E0,1.E0)); +#11415=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#11416=AXIS2_PLACEMENT_3D('',#11413,#11414,#11415); +#11417=TOROIDAL_SURFACE('',#11416,4.E-1,1.E-1); +#11418=ORIENTED_EDGE('',*,*,#10984,.T.); +#11419=ORIENTED_EDGE('',*,*,#11406,.T.); +#11421=ORIENTED_EDGE('',*,*,#11420,.F.); +#11422=ORIENTED_EDGE('',*,*,#10967,.F.); +#11423=EDGE_LOOP('',(#11418,#11419,#11421,#11422)); +#11424=FACE_OUTER_BOUND('',#11423,.F.); +#11426=CARTESIAN_POINT('',(1.575E1,9.1E0,-2.E1)); +#11427=DIRECTION('',(0.E0,0.E0,1.E0)); +#11428=DIRECTION('',(1.E0,0.E0,0.E0)); +#11429=AXIS2_PLACEMENT_3D('',#11426,#11427,#11428); +#11430=CYLINDRICAL_SURFACE('',#11429,5.E-1); +#11431=ORIENTED_EDGE('',*,*,#11420,.T.); +#11432=ORIENTED_EDGE('',*,*,#11395,.F.); +#11434=ORIENTED_EDGE('',*,*,#11433,.F.); +#11435=ORIENTED_EDGE('',*,*,#10951,.T.); +#11436=EDGE_LOOP('',(#11431,#11432,#11434,#11435)); +#11437=FACE_OUTER_BOUND('',#11436,.F.); +#11439=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#11440=DIRECTION('',(0.E0,0.E0,1.E0)); +#11441=DIRECTION('',(1.E0,0.E0,0.E0)); +#11442=AXIS2_PLACEMENT_3D('',#11439,#11440,#11441); +#11443=PLANE('',#11442); +#11445=ORIENTED_EDGE('',*,*,#11444,.F.); +#11447=ORIENTED_EDGE('',*,*,#11446,.F.); +#11449=ORIENTED_EDGE('',*,*,#11448,.F.); +#11451=ORIENTED_EDGE('',*,*,#11450,.T.); +#11453=ORIENTED_EDGE('',*,*,#11452,.T.); +#11455=ORIENTED_EDGE('',*,*,#11454,.F.); +#11457=ORIENTED_EDGE('',*,*,#11456,.F.); +#11459=ORIENTED_EDGE('',*,*,#11458,.T.); +#11461=ORIENTED_EDGE('',*,*,#11460,.T.); +#11463=ORIENTED_EDGE('',*,*,#11462,.F.); +#11465=ORIENTED_EDGE('',*,*,#11464,.F.); +#11466=ORIENTED_EDGE('',*,*,#10764,.F.); +#11467=ORIENTED_EDGE('',*,*,#10900,.F.); +#11469=ORIENTED_EDGE('',*,*,#11468,.T.); +#11471=ORIENTED_EDGE('',*,*,#11470,.T.); +#11473=ORIENTED_EDGE('',*,*,#11472,.F.); +#11474=ORIENTED_EDGE('',*,*,#10888,.F.); +#11476=ORIENTED_EDGE('',*,*,#11475,.T.); +#11478=ORIENTED_EDGE('',*,*,#11477,.T.); +#11480=ORIENTED_EDGE('',*,*,#11479,.F.); +#11481=ORIENTED_EDGE('',*,*,#10876,.F.); +#11483=ORIENTED_EDGE('',*,*,#11482,.T.); +#11485=ORIENTED_EDGE('',*,*,#11484,.T.); +#11487=ORIENTED_EDGE('',*,*,#11486,.F.); +#11488=ORIENTED_EDGE('',*,*,#10864,.F.); +#11490=ORIENTED_EDGE('',*,*,#11489,.T.); +#11492=ORIENTED_EDGE('',*,*,#11491,.T.); +#11494=ORIENTED_EDGE('',*,*,#11493,.F.); +#11495=ORIENTED_EDGE('',*,*,#10852,.F.); +#11497=ORIENTED_EDGE('',*,*,#11496,.T.); +#11499=ORIENTED_EDGE('',*,*,#11498,.T.); +#11501=ORIENTED_EDGE('',*,*,#11500,.F.); +#11502=ORIENTED_EDGE('',*,*,#10840,.F.); +#11504=ORIENTED_EDGE('',*,*,#11503,.T.); +#11506=ORIENTED_EDGE('',*,*,#11505,.T.); +#11508=ORIENTED_EDGE('',*,*,#11507,.F.); +#11509=ORIENTED_EDGE('',*,*,#10828,.F.); +#11511=ORIENTED_EDGE('',*,*,#11510,.T.); +#11513=ORIENTED_EDGE('',*,*,#11512,.T.); +#11515=ORIENTED_EDGE('',*,*,#11514,.F.); +#11516=ORIENTED_EDGE('',*,*,#10816,.F.); +#11518=ORIENTED_EDGE('',*,*,#11517,.T.); +#11520=ORIENTED_EDGE('',*,*,#11519,.T.); +#11522=ORIENTED_EDGE('',*,*,#11521,.F.); +#11523=ORIENTED_EDGE('',*,*,#10804,.F.); +#11525=ORIENTED_EDGE('',*,*,#11524,.T.); +#11527=ORIENTED_EDGE('',*,*,#11526,.T.); +#11529=ORIENTED_EDGE('',*,*,#11528,.F.); +#11530=ORIENTED_EDGE('',*,*,#10792,.F.); +#11532=ORIENTED_EDGE('',*,*,#11531,.T.); +#11534=ORIENTED_EDGE('',*,*,#11533,.T.); +#11536=ORIENTED_EDGE('',*,*,#11535,.F.); +#11537=ORIENTED_EDGE('',*,*,#10780,.F.); +#11538=ORIENTED_EDGE('',*,*,#10953,.T.); +#11539=ORIENTED_EDGE('',*,*,#11433,.T.); +#11540=ORIENTED_EDGE('',*,*,#11393,.F.); +#11541=ORIENTED_EDGE('',*,*,#10918,.F.); +#11543=ORIENTED_EDGE('',*,*,#11542,.T.); +#11545=ORIENTED_EDGE('',*,*,#11544,.T.); +#11547=ORIENTED_EDGE('',*,*,#11546,.F.); +#11548=ORIENTED_EDGE('',*,*,#10906,.F.); +#11550=ORIENTED_EDGE('',*,*,#11549,.F.); +#11552=ORIENTED_EDGE('',*,*,#11551,.F.); +#11554=ORIENTED_EDGE('',*,*,#11553,.T.); +#11556=ORIENTED_EDGE('',*,*,#11555,.T.); +#11558=ORIENTED_EDGE('',*,*,#11557,.F.); +#11560=ORIENTED_EDGE('',*,*,#11559,.F.); +#11562=ORIENTED_EDGE('',*,*,#11561,.T.); +#11564=ORIENTED_EDGE('',*,*,#11563,.T.); +#11566=ORIENTED_EDGE('',*,*,#11565,.F.); +#11568=ORIENTED_EDGE('',*,*,#11567,.F.); +#11570=ORIENTED_EDGE('',*,*,#11569,.F.); +#11572=ORIENTED_EDGE('',*,*,#11571,.F.); +#11574=ORIENTED_EDGE('',*,*,#11573,.T.); +#11576=ORIENTED_EDGE('',*,*,#11575,.T.); +#11578=ORIENTED_EDGE('',*,*,#11577,.F.); +#11580=ORIENTED_EDGE('',*,*,#11579,.F.); +#11582=ORIENTED_EDGE('',*,*,#11581,.T.); +#11584=ORIENTED_EDGE('',*,*,#11583,.T.); +#11586=ORIENTED_EDGE('',*,*,#11585,.F.); +#11588=ORIENTED_EDGE('',*,*,#11587,.F.); +#11590=ORIENTED_EDGE('',*,*,#11589,.T.); +#11592=ORIENTED_EDGE('',*,*,#11591,.T.); +#11594=ORIENTED_EDGE('',*,*,#11593,.F.); +#11596=ORIENTED_EDGE('',*,*,#11595,.F.); +#11598=ORIENTED_EDGE('',*,*,#11597,.T.); +#11600=ORIENTED_EDGE('',*,*,#11599,.T.); +#11602=ORIENTED_EDGE('',*,*,#11601,.F.); +#11604=ORIENTED_EDGE('',*,*,#11603,.F.); +#11606=ORIENTED_EDGE('',*,*,#11605,.T.); +#11608=ORIENTED_EDGE('',*,*,#11607,.T.); +#11610=ORIENTED_EDGE('',*,*,#11609,.F.); +#11612=ORIENTED_EDGE('',*,*,#11611,.F.); +#11614=ORIENTED_EDGE('',*,*,#11613,.T.); +#11616=ORIENTED_EDGE('',*,*,#11615,.T.); +#11618=ORIENTED_EDGE('',*,*,#11617,.F.); +#11620=ORIENTED_EDGE('',*,*,#11619,.F.); +#11622=ORIENTED_EDGE('',*,*,#11621,.T.); +#11624=ORIENTED_EDGE('',*,*,#11623,.T.); +#11626=ORIENTED_EDGE('',*,*,#11625,.F.); +#11628=ORIENTED_EDGE('',*,*,#11627,.F.); +#11630=ORIENTED_EDGE('',*,*,#11629,.T.); +#11632=ORIENTED_EDGE('',*,*,#11631,.T.); +#11634=ORIENTED_EDGE('',*,*,#11633,.F.); +#11636=ORIENTED_EDGE('',*,*,#11635,.F.); +#11638=ORIENTED_EDGE('',*,*,#11637,.T.); +#11640=ORIENTED_EDGE('',*,*,#11639,.T.); +#11642=ORIENTED_EDGE('',*,*,#11641,.F.); +#11644=ORIENTED_EDGE('',*,*,#11643,.F.); +#11646=ORIENTED_EDGE('',*,*,#11645,.T.); +#11648=ORIENTED_EDGE('',*,*,#11647,.T.); +#11650=ORIENTED_EDGE('',*,*,#11649,.F.); +#11652=ORIENTED_EDGE('',*,*,#11651,.F.); +#11654=ORIENTED_EDGE('',*,*,#11653,.T.); +#11656=ORIENTED_EDGE('',*,*,#11655,.T.); +#11658=ORIENTED_EDGE('',*,*,#11657,.F.); +#11660=ORIENTED_EDGE('',*,*,#11659,.F.); +#11662=ORIENTED_EDGE('',*,*,#11661,.T.); +#11664=ORIENTED_EDGE('',*,*,#11663,.T.); +#11666=ORIENTED_EDGE('',*,*,#11665,.F.); +#11667=EDGE_LOOP('',(#11445,#11447,#11449,#11451,#11453,#11455,#11457,#11459, +#11461,#11463,#11465,#11466,#11467,#11469,#11471,#11473,#11474,#11476,#11478, +#11480,#11481,#11483,#11485,#11487,#11488,#11490,#11492,#11494,#11495,#11497, +#11499,#11501,#11502,#11504,#11506,#11508,#11509,#11511,#11513,#11515,#11516, +#11518,#11520,#11522,#11523,#11525,#11527,#11529,#11530,#11532,#11534,#11536, +#11537,#11538,#11539,#11540,#11541,#11543,#11545,#11547,#11548,#11550,#11552, +#11554,#11556,#11558,#11560,#11562,#11564,#11566,#11568,#11570,#11572,#11574, +#11576,#11578,#11580,#11582,#11584,#11586,#11588,#11590,#11592,#11594,#11596, +#11598,#11600,#11602,#11604,#11606,#11608,#11610,#11612,#11614,#11616,#11618, +#11620,#11622,#11624,#11626,#11628,#11630,#11632,#11634,#11636,#11638,#11640, +#11642,#11644,#11646,#11648,#11650,#11652,#11654,#11656,#11658,#11660,#11662, +#11664,#11666)); +#11668=FACE_OUTER_BOUND('',#11667,.F.); +#11670=ORIENTED_EDGE('',*,*,#11669,.T.); +#11672=ORIENTED_EDGE('',*,*,#11671,.T.); +#11673=EDGE_LOOP('',(#11670,#11672)); +#11674=FACE_BOUND('',#11673,.F.); +#11676=ORIENTED_EDGE('',*,*,#11675,.T.); +#11678=ORIENTED_EDGE('',*,*,#11677,.T.); +#11679=EDGE_LOOP('',(#11676,#11678)); +#11680=FACE_BOUND('',#11679,.F.); +#11682=ORIENTED_EDGE('',*,*,#11681,.T.); +#11684=ORIENTED_EDGE('',*,*,#11683,.T.); +#11685=EDGE_LOOP('',(#11682,#11684)); +#11686=FACE_BOUND('',#11685,.F.); +#11688=ORIENTED_EDGE('',*,*,#11687,.T.); +#11690=ORIENTED_EDGE('',*,*,#11689,.T.); +#11691=EDGE_LOOP('',(#11688,#11690)); +#11692=FACE_BOUND('',#11691,.F.); +#11694=ORIENTED_EDGE('',*,*,#11693,.T.); +#11696=ORIENTED_EDGE('',*,*,#11695,.T.); +#11697=EDGE_LOOP('',(#11694,#11696)); +#11698=FACE_BOUND('',#11697,.F.); +#11700=ORIENTED_EDGE('',*,*,#11699,.T.); +#11702=ORIENTED_EDGE('',*,*,#11701,.T.); +#11703=EDGE_LOOP('',(#11700,#11702)); +#11704=FACE_BOUND('',#11703,.F.); +#11706=ORIENTED_EDGE('',*,*,#11705,.T.); +#11708=ORIENTED_EDGE('',*,*,#11707,.T.); +#11709=EDGE_LOOP('',(#11706,#11708)); +#11710=FACE_BOUND('',#11709,.F.); +#11712=ORIENTED_EDGE('',*,*,#11711,.T.); +#11714=ORIENTED_EDGE('',*,*,#11713,.T.); +#11715=EDGE_LOOP('',(#11712,#11714)); +#11716=FACE_BOUND('',#11715,.F.); +#11718=ORIENTED_EDGE('',*,*,#11717,.T.); +#11720=ORIENTED_EDGE('',*,*,#11719,.T.); +#11721=EDGE_LOOP('',(#11718,#11720)); +#11722=FACE_BOUND('',#11721,.F.); +#11724=ORIENTED_EDGE('',*,*,#11723,.T.); +#11726=ORIENTED_EDGE('',*,*,#11725,.T.); +#11727=EDGE_LOOP('',(#11724,#11726)); +#11728=FACE_BOUND('',#11727,.F.); +#11730=ORIENTED_EDGE('',*,*,#11729,.T.); +#11732=ORIENTED_EDGE('',*,*,#11731,.T.); +#11733=EDGE_LOOP('',(#11730,#11732)); +#11734=FACE_BOUND('',#11733,.F.); +#11736=ORIENTED_EDGE('',*,*,#11735,.T.); +#11738=ORIENTED_EDGE('',*,*,#11737,.T.); +#11739=EDGE_LOOP('',(#11736,#11738)); +#11740=FACE_BOUND('',#11739,.F.); +#11742=ORIENTED_EDGE('',*,*,#11741,.T.); +#11744=ORIENTED_EDGE('',*,*,#11743,.T.); +#11745=EDGE_LOOP('',(#11742,#11744)); +#11746=FACE_BOUND('',#11745,.F.); +#11748=ORIENTED_EDGE('',*,*,#11747,.T.); +#11750=ORIENTED_EDGE('',*,*,#11749,.T.); +#11751=EDGE_LOOP('',(#11748,#11750)); +#11752=FACE_BOUND('',#11751,.F.); +#11754=ORIENTED_EDGE('',*,*,#11753,.T.); +#11756=ORIENTED_EDGE('',*,*,#11755,.T.); +#11757=EDGE_LOOP('',(#11754,#11756)); +#11758=FACE_BOUND('',#11757,.F.); +#11760=ORIENTED_EDGE('',*,*,#11759,.T.); +#11762=ORIENTED_EDGE('',*,*,#11761,.T.); +#11763=EDGE_LOOP('',(#11760,#11762)); +#11764=FACE_BOUND('',#11763,.F.); +#11766=ORIENTED_EDGE('',*,*,#11765,.T.); +#11768=ORIENTED_EDGE('',*,*,#11767,.T.); +#11769=EDGE_LOOP('',(#11766,#11768)); +#11770=FACE_BOUND('',#11769,.F.); +#11772=ORIENTED_EDGE('',*,*,#11771,.T.); +#11774=ORIENTED_EDGE('',*,*,#11773,.T.); +#11775=EDGE_LOOP('',(#11772,#11774)); +#11776=FACE_BOUND('',#11775,.F.); +#11778=ORIENTED_EDGE('',*,*,#11777,.T.); +#11780=ORIENTED_EDGE('',*,*,#11779,.T.); +#11781=EDGE_LOOP('',(#11778,#11780)); +#11782=FACE_BOUND('',#11781,.F.); +#11784=ORIENTED_EDGE('',*,*,#11783,.T.); +#11786=ORIENTED_EDGE('',*,*,#11785,.T.); +#11787=EDGE_LOOP('',(#11784,#11786)); +#11788=FACE_BOUND('',#11787,.F.); +#11790=ORIENTED_EDGE('',*,*,#11789,.T.); +#11792=ORIENTED_EDGE('',*,*,#11791,.T.); +#11793=EDGE_LOOP('',(#11790,#11792)); +#11794=FACE_BOUND('',#11793,.F.); +#11796=ORIENTED_EDGE('',*,*,#11795,.T.); +#11798=ORIENTED_EDGE('',*,*,#11797,.T.); +#11799=EDGE_LOOP('',(#11796,#11798)); +#11800=FACE_BOUND('',#11799,.F.); +#11802=ORIENTED_EDGE('',*,*,#11801,.T.); +#11804=ORIENTED_EDGE('',*,*,#11803,.T.); +#11805=EDGE_LOOP('',(#11802,#11804)); +#11806=FACE_BOUND('',#11805,.F.); +#11808=ORIENTED_EDGE('',*,*,#11807,.T.); +#11810=ORIENTED_EDGE('',*,*,#11809,.T.); +#11811=EDGE_LOOP('',(#11808,#11810)); +#11812=FACE_BOUND('',#11811,.F.); +#11814=ORIENTED_EDGE('',*,*,#11813,.T.); +#11816=ORIENTED_EDGE('',*,*,#11815,.T.); +#11817=EDGE_LOOP('',(#11814,#11816)); +#11818=FACE_BOUND('',#11817,.F.); +#11820=ORIENTED_EDGE('',*,*,#11819,.T.); +#11822=ORIENTED_EDGE('',*,*,#11821,.T.); +#11823=EDGE_LOOP('',(#11820,#11822)); +#11824=FACE_BOUND('',#11823,.F.); +#11826=ORIENTED_EDGE('',*,*,#11825,.T.); +#11828=ORIENTED_EDGE('',*,*,#11827,.T.); +#11829=EDGE_LOOP('',(#11826,#11828)); +#11830=FACE_BOUND('',#11829,.F.); +#11832=ORIENTED_EDGE('',*,*,#11831,.T.); +#11834=ORIENTED_EDGE('',*,*,#11833,.T.); +#11835=EDGE_LOOP('',(#11832,#11834)); +#11836=FACE_BOUND('',#11835,.F.); +#11838=ORIENTED_EDGE('',*,*,#11837,.T.); +#11840=ORIENTED_EDGE('',*,*,#11839,.T.); +#11841=EDGE_LOOP('',(#11838,#11840)); +#11842=FACE_BOUND('',#11841,.F.); +#11844=ORIENTED_EDGE('',*,*,#11843,.T.); +#11846=ORIENTED_EDGE('',*,*,#11845,.T.); +#11847=EDGE_LOOP('',(#11844,#11846)); +#11848=FACE_BOUND('',#11847,.F.); +#11850=ORIENTED_EDGE('',*,*,#11849,.T.); +#11852=ORIENTED_EDGE('',*,*,#11851,.T.); +#11853=EDGE_LOOP('',(#11850,#11852)); +#11854=FACE_BOUND('',#11853,.F.); +#11856=ORIENTED_EDGE('',*,*,#11855,.T.); +#11858=ORIENTED_EDGE('',*,*,#11857,.T.); +#11859=EDGE_LOOP('',(#11856,#11858)); +#11860=FACE_BOUND('',#11859,.F.); +#11862=ORIENTED_EDGE('',*,*,#11861,.T.); +#11864=ORIENTED_EDGE('',*,*,#11863,.T.); +#11865=EDGE_LOOP('',(#11862,#11864)); +#11866=FACE_BOUND('',#11865,.F.); +#11868=ORIENTED_EDGE('',*,*,#11867,.T.); +#11870=ORIENTED_EDGE('',*,*,#11869,.T.); +#11871=EDGE_LOOP('',(#11868,#11870)); +#11872=FACE_BOUND('',#11871,.F.); +#11874=ORIENTED_EDGE('',*,*,#11873,.T.); +#11876=ORIENTED_EDGE('',*,*,#11875,.T.); +#11877=EDGE_LOOP('',(#11874,#11876)); +#11878=FACE_BOUND('',#11877,.F.); +#11880=CARTESIAN_POINT('',(-2.35E1,-1.03E1,0.E0)); +#11881=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11882=DIRECTION('',(1.E0,0.E0,0.E0)); +#11883=AXIS2_PLACEMENT_3D('',#11880,#11881,#11882); +#11884=PLANE('',#11883); +#11886=ORIENTED_EDGE('',*,*,#11885,.T.); +#11888=ORIENTED_EDGE('',*,*,#11887,.F.); +#11889=ORIENTED_EDGE('',*,*,#11659,.T.); +#11891=ORIENTED_EDGE('',*,*,#11890,.T.); +#11893=ORIENTED_EDGE('',*,*,#11892,.T.); +#11895=ORIENTED_EDGE('',*,*,#11894,.T.); +#11897=ORIENTED_EDGE('',*,*,#11896,.T.); +#11899=ORIENTED_EDGE('',*,*,#11898,.F.); +#11900=ORIENTED_EDGE('',*,*,#11651,.T.); +#11902=ORIENTED_EDGE('',*,*,#11901,.T.); +#11904=ORIENTED_EDGE('',*,*,#11903,.T.); +#11906=ORIENTED_EDGE('',*,*,#11905,.T.); +#11908=ORIENTED_EDGE('',*,*,#11907,.T.); +#11910=ORIENTED_EDGE('',*,*,#11909,.F.); +#11911=ORIENTED_EDGE('',*,*,#11643,.T.); +#11913=ORIENTED_EDGE('',*,*,#11912,.T.); +#11915=ORIENTED_EDGE('',*,*,#11914,.T.); +#11917=ORIENTED_EDGE('',*,*,#11916,.T.); +#11919=ORIENTED_EDGE('',*,*,#11918,.T.); +#11921=ORIENTED_EDGE('',*,*,#11920,.F.); +#11922=ORIENTED_EDGE('',*,*,#11635,.T.); +#11924=ORIENTED_EDGE('',*,*,#11923,.T.); +#11926=ORIENTED_EDGE('',*,*,#11925,.T.); +#11928=ORIENTED_EDGE('',*,*,#11927,.T.); +#11930=ORIENTED_EDGE('',*,*,#11929,.T.); +#11932=ORIENTED_EDGE('',*,*,#11931,.F.); +#11933=ORIENTED_EDGE('',*,*,#11627,.T.); +#11935=ORIENTED_EDGE('',*,*,#11934,.T.); +#11937=ORIENTED_EDGE('',*,*,#11936,.T.); +#11939=ORIENTED_EDGE('',*,*,#11938,.T.); +#11941=ORIENTED_EDGE('',*,*,#11940,.T.); +#11943=ORIENTED_EDGE('',*,*,#11942,.F.); +#11944=ORIENTED_EDGE('',*,*,#11619,.T.); +#11946=ORIENTED_EDGE('',*,*,#11945,.T.); +#11948=ORIENTED_EDGE('',*,*,#11947,.T.); +#11950=ORIENTED_EDGE('',*,*,#11949,.T.); +#11952=ORIENTED_EDGE('',*,*,#11951,.T.); +#11954=ORIENTED_EDGE('',*,*,#11953,.F.); +#11955=ORIENTED_EDGE('',*,*,#11611,.T.); +#11957=ORIENTED_EDGE('',*,*,#11956,.T.); +#11959=ORIENTED_EDGE('',*,*,#11958,.T.); +#11961=ORIENTED_EDGE('',*,*,#11960,.T.); +#11963=ORIENTED_EDGE('',*,*,#11962,.T.); +#11965=ORIENTED_EDGE('',*,*,#11964,.F.); +#11966=ORIENTED_EDGE('',*,*,#11603,.T.); +#11968=ORIENTED_EDGE('',*,*,#11967,.T.); +#11970=ORIENTED_EDGE('',*,*,#11969,.T.); +#11972=ORIENTED_EDGE('',*,*,#11971,.T.); +#11974=ORIENTED_EDGE('',*,*,#11973,.T.); +#11976=ORIENTED_EDGE('',*,*,#11975,.F.); +#11977=ORIENTED_EDGE('',*,*,#11595,.T.); +#11979=ORIENTED_EDGE('',*,*,#11978,.T.); +#11981=ORIENTED_EDGE('',*,*,#11980,.T.); +#11983=ORIENTED_EDGE('',*,*,#11982,.T.); +#11985=ORIENTED_EDGE('',*,*,#11984,.T.); +#11987=ORIENTED_EDGE('',*,*,#11986,.F.); +#11988=ORIENTED_EDGE('',*,*,#11587,.T.); +#11990=ORIENTED_EDGE('',*,*,#11989,.T.); +#11992=ORIENTED_EDGE('',*,*,#11991,.T.); +#11994=ORIENTED_EDGE('',*,*,#11993,.T.); +#11996=ORIENTED_EDGE('',*,*,#11995,.T.); +#11998=ORIENTED_EDGE('',*,*,#11997,.F.); +#11999=ORIENTED_EDGE('',*,*,#11579,.T.); +#12001=ORIENTED_EDGE('',*,*,#12000,.T.); +#12003=ORIENTED_EDGE('',*,*,#12002,.T.); +#12005=ORIENTED_EDGE('',*,*,#12004,.T.); +#12007=ORIENTED_EDGE('',*,*,#12006,.T.); +#12009=ORIENTED_EDGE('',*,*,#12008,.F.); +#12010=ORIENTED_EDGE('',*,*,#11571,.T.); +#12012=ORIENTED_EDGE('',*,*,#12011,.F.); +#12013=ORIENTED_EDGE('',*,*,#10709,.F.); +#12015=ORIENTED_EDGE('',*,*,#12014,.T.); +#12016=ORIENTED_EDGE('',*,*,#11444,.T.); +#12018=ORIENTED_EDGE('',*,*,#12017,.T.); +#12020=ORIENTED_EDGE('',*,*,#12019,.T.); +#12022=ORIENTED_EDGE('',*,*,#12021,.T.); +#12023=EDGE_LOOP('',(#11886,#11888,#11889,#11891,#11893,#11895,#11897,#11899, +#11900,#11902,#11904,#11906,#11908,#11910,#11911,#11913,#11915,#11917,#11919, +#11921,#11922,#11924,#11926,#11928,#11930,#11932,#11933,#11935,#11937,#11939, +#11941,#11943,#11944,#11946,#11948,#11950,#11952,#11954,#11955,#11957,#11959, +#11961,#11963,#11965,#11966,#11968,#11970,#11972,#11974,#11976,#11977,#11979, +#11981,#11983,#11985,#11987,#11988,#11990,#11992,#11994,#11996,#11998,#11999, +#12001,#12003,#12005,#12007,#12009,#12010,#12012,#12013,#12015,#12016,#12018, +#12020,#12022)); +#12024=FACE_OUTER_BOUND('',#12023,.F.); +#12026=CARTESIAN_POINT('',(-1.885E1,-1.02E1,-9.9E0)); +#12027=DIRECTION('',(1.E0,0.E0,0.E0)); +#12028=DIRECTION('',(-1.776356839400E-14,7.564028598729E-1,-6.541060415376E-1)); +#12029=AXIS2_PLACEMENT_3D('',#12026,#12027,#12028); +#12030=TOROIDAL_SURFACE('',#12029,2.E-1,1.E-1); +#12032=ORIENTED_EDGE('',*,*,#12031,.T.); +#12033=ORIENTED_EDGE('',*,*,#11885,.F.); +#12035=ORIENTED_EDGE('',*,*,#12034,.F.); +#12037=ORIENTED_EDGE('',*,*,#12036,.F.); +#12038=EDGE_LOOP('',(#12032,#12033,#12035,#12037)); +#12039=FACE_OUTER_BOUND('',#12038,.F.); +#12041=CARTESIAN_POINT('',(-1.875E1,-1.03E1,-2.E1)); +#12042=DIRECTION('',(1.E0,0.E0,0.E0)); +#12043=DIRECTION('',(0.E0,1.E0,0.E0)); +#12044=AXIS2_PLACEMENT_3D('',#12041,#12042,#12043); +#12045=PLANE('',#12044); +#12047=ORIENTED_EDGE('',*,*,#12046,.T.); +#12049=ORIENTED_EDGE('',*,*,#12048,.F.); +#12050=ORIENTED_EDGE('',*,*,#11661,.F.); +#12051=ORIENTED_EDGE('',*,*,#11887,.T.); +#12052=ORIENTED_EDGE('',*,*,#12031,.F.); +#12053=EDGE_LOOP('',(#12047,#12049,#12050,#12051,#12052)); +#12054=FACE_OUTER_BOUND('',#12053,.F.); +#12056=CARTESIAN_POINT('',(-1.885E1,-5.789556E1,-1.01E1)); +#12057=DIRECTION('',(0.E0,1.E0,0.E0)); +#12058=DIRECTION('',(0.E0,0.E0,1.E0)); +#12059=AXIS2_PLACEMENT_3D('',#12056,#12057,#12058); +#12060=CYLINDRICAL_SURFACE('',#12059,1.E-1); +#12061=ORIENTED_EDGE('',*,*,#11176,.T.); +#12063=ORIENTED_EDGE('',*,*,#12062,.T.); +#12064=ORIENTED_EDGE('',*,*,#12046,.F.); +#12065=ORIENTED_EDGE('',*,*,#12036,.T.); +#12066=EDGE_LOOP('',(#12061,#12063,#12064,#12065)); +#12067=FACE_OUTER_BOUND('',#12066,.F.); +#12069=CARTESIAN_POINT('',(-1.925E1,-9.1E0,-1.01E1)); +#12070=DIRECTION('',(0.E0,0.E0,1.E0)); +#12071=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#12072=AXIS2_PLACEMENT_3D('',#12069,#12070,#12071); +#12073=TOROIDAL_SURFACE('',#12072,4.E-1,1.E-1); +#12074=ORIENTED_EDGE('',*,*,#11174,.T.); +#12076=ORIENTED_EDGE('',*,*,#12075,.T.); +#12078=ORIENTED_EDGE('',*,*,#12077,.F.); +#12079=ORIENTED_EDGE('',*,*,#12062,.F.); +#12080=EDGE_LOOP('',(#12074,#12076,#12078,#12079)); +#12081=FACE_OUTER_BOUND('',#12080,.F.); +#12083=CARTESIAN_POINT('',(-1.965E1,-8.961468488237E0,-1.01E1)); +#12084=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12085=DIRECTION('',(0.E0,0.E0,1.E0)); +#12086=AXIS2_PLACEMENT_3D('',#12083,#12084,#12085); +#12087=CYLINDRICAL_SURFACE('',#12086,1.E-1); +#12089=ORIENTED_EDGE('',*,*,#12088,.F.); +#12090=ORIENTED_EDGE('',*,*,#12075,.F.); +#12091=ORIENTED_EDGE('',*,*,#11172,.T.); +#12093=ORIENTED_EDGE('',*,*,#12092,.T.); +#12094=EDGE_LOOP('',(#12089,#12090,#12091,#12093)); +#12095=FACE_OUTER_BOUND('',#12094,.F.); +#12097=CARTESIAN_POINT('',(-1.975E1,-9.1E0,-2.E1)); +#12098=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12099=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12100=AXIS2_PLACEMENT_3D('',#12097,#12098,#12099); +#12101=PLANE('',#12100); +#12102=ORIENTED_EDGE('',*,*,#12088,.T.); +#12104=ORIENTED_EDGE('',*,*,#12103,.T.); +#12105=ORIENTED_EDGE('',*,*,#12017,.F.); +#12106=ORIENTED_EDGE('',*,*,#11665,.T.); +#12108=ORIENTED_EDGE('',*,*,#12107,.T.); +#12109=EDGE_LOOP('',(#12102,#12104,#12105,#12106,#12108)); +#12110=FACE_OUTER_BOUND('',#12109,.F.); +#12112=CARTESIAN_POINT('',(-1.965E1,-1.02E1,-9.9E0)); +#12113=DIRECTION('',(1.E0,0.E0,0.E0)); +#12114=DIRECTION('',(-1.776356839400E-14,5.485369585398E-1,-8.361263093074E-1)); +#12115=AXIS2_PLACEMENT_3D('',#12112,#12113,#12114); +#12116=TOROIDAL_SURFACE('',#12115,2.E-1,1.E-1); +#12117=ORIENTED_EDGE('',*,*,#12103,.F.); +#12118=ORIENTED_EDGE('',*,*,#12092,.F.); +#12120=ORIENTED_EDGE('',*,*,#12119,.F.); +#12121=ORIENTED_EDGE('',*,*,#12019,.F.); +#12122=EDGE_LOOP('',(#12117,#12118,#12120,#12121)); +#12123=FACE_OUTER_BOUND('',#12122,.F.); +#12125=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#12126=DIRECTION('',(1.E0,0.E0,0.E0)); +#12127=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12128=AXIS2_PLACEMENT_3D('',#12125,#12126,#12127); +#12129=CYLINDRICAL_SURFACE('',#12128,1.E-1); +#12130=ORIENTED_EDGE('',*,*,#12021,.F.); +#12131=ORIENTED_EDGE('',*,*,#12119,.T.); +#12132=ORIENTED_EDGE('',*,*,#11170,.T.); +#12133=ORIENTED_EDGE('',*,*,#12034,.T.); +#12134=EDGE_LOOP('',(#12130,#12131,#12132,#12133)); +#12135=FACE_OUTER_BOUND('',#12134,.F.); +#12137=CARTESIAN_POINT('',(-1.925E1,-9.1E0,-2.E1)); +#12138=DIRECTION('',(0.E0,0.E0,1.E0)); +#12139=DIRECTION('',(1.E0,0.E0,0.E0)); +#12140=AXIS2_PLACEMENT_3D('',#12137,#12138,#12139); +#12141=CYLINDRICAL_SURFACE('',#12140,5.E-1); +#12142=ORIENTED_EDGE('',*,*,#12077,.T.); +#12143=ORIENTED_EDGE('',*,*,#12107,.F.); +#12144=ORIENTED_EDGE('',*,*,#11663,.F.); +#12145=ORIENTED_EDGE('',*,*,#12048,.T.); +#12146=EDGE_LOOP('',(#12142,#12143,#12144,#12145)); +#12147=FACE_OUTER_BOUND('',#12146,.F.); +#12149=CARTESIAN_POINT('',(-1.625E1,-9.1E0,-2.E1)); +#12150=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12151=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12152=AXIS2_PLACEMENT_3D('',#12149,#12150,#12151); +#12153=PLANE('',#12152); +#12155=ORIENTED_EDGE('',*,*,#12154,.T.); +#12157=ORIENTED_EDGE('',*,*,#12156,.T.); +#12158=ORIENTED_EDGE('',*,*,#11890,.F.); +#12159=ORIENTED_EDGE('',*,*,#11657,.T.); +#12161=ORIENTED_EDGE('',*,*,#12160,.T.); +#12162=EDGE_LOOP('',(#12155,#12157,#12158,#12159,#12161)); +#12163=FACE_OUTER_BOUND('',#12162,.F.); +#12165=CARTESIAN_POINT('',(-1.615E1,-8.961468488237E0,-1.01E1)); +#12166=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12167=DIRECTION('',(0.E0,0.E0,1.E0)); +#12168=AXIS2_PLACEMENT_3D('',#12165,#12166,#12167); +#12169=CYLINDRICAL_SURFACE('',#12168,1.E-1); +#12170=ORIENTED_EDGE('',*,*,#12154,.F.); +#12172=ORIENTED_EDGE('',*,*,#12171,.F.); +#12173=ORIENTED_EDGE('',*,*,#11190,.T.); +#12175=ORIENTED_EDGE('',*,*,#12174,.T.); +#12176=EDGE_LOOP('',(#12170,#12172,#12173,#12175)); +#12177=FACE_OUTER_BOUND('',#12176,.F.); +#12179=CARTESIAN_POINT('',(-1.575E1,-9.1E0,-1.01E1)); +#12180=DIRECTION('',(0.E0,0.E0,1.E0)); +#12181=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#12182=AXIS2_PLACEMENT_3D('',#12179,#12180,#12181); +#12183=TOROIDAL_SURFACE('',#12182,4.E-1,1.E-1); +#12184=ORIENTED_EDGE('',*,*,#11192,.T.); +#12185=ORIENTED_EDGE('',*,*,#12171,.T.); +#12187=ORIENTED_EDGE('',*,*,#12186,.F.); +#12189=ORIENTED_EDGE('',*,*,#12188,.F.); +#12190=EDGE_LOOP('',(#12184,#12185,#12187,#12189)); +#12191=FACE_OUTER_BOUND('',#12190,.F.); +#12193=CARTESIAN_POINT('',(-1.575E1,-9.1E0,-2.E1)); +#12194=DIRECTION('',(0.E0,0.E0,1.E0)); +#12195=DIRECTION('',(1.E0,0.E0,0.E0)); +#12196=AXIS2_PLACEMENT_3D('',#12193,#12194,#12195); +#12197=CYLINDRICAL_SURFACE('',#12196,5.E-1); +#12198=ORIENTED_EDGE('',*,*,#12186,.T.); +#12199=ORIENTED_EDGE('',*,*,#12160,.F.); +#12200=ORIENTED_EDGE('',*,*,#11655,.F.); +#12202=ORIENTED_EDGE('',*,*,#12201,.T.); +#12203=EDGE_LOOP('',(#12198,#12199,#12200,#12202)); +#12204=FACE_OUTER_BOUND('',#12203,.F.); +#12206=CARTESIAN_POINT('',(-1.525E1,-1.03E1,-2.E1)); +#12207=DIRECTION('',(1.E0,0.E0,0.E0)); +#12208=DIRECTION('',(0.E0,1.E0,0.E0)); +#12209=AXIS2_PLACEMENT_3D('',#12206,#12207,#12208); +#12210=PLANE('',#12209); +#12212=ORIENTED_EDGE('',*,*,#12211,.T.); +#12213=ORIENTED_EDGE('',*,*,#12201,.F.); +#12214=ORIENTED_EDGE('',*,*,#11653,.F.); +#12215=ORIENTED_EDGE('',*,*,#11898,.T.); +#12217=ORIENTED_EDGE('',*,*,#12216,.F.); +#12218=EDGE_LOOP('',(#12212,#12213,#12214,#12215,#12217)); +#12219=FACE_OUTER_BOUND('',#12218,.F.); +#12221=CARTESIAN_POINT('',(-1.535E1,-5.789556E1,-1.01E1)); +#12222=DIRECTION('',(0.E0,1.E0,0.E0)); +#12223=DIRECTION('',(0.E0,0.E0,1.E0)); +#12224=AXIS2_PLACEMENT_3D('',#12221,#12222,#12223); +#12225=CYLINDRICAL_SURFACE('',#12224,1.E-1); +#12226=ORIENTED_EDGE('',*,*,#11186,.T.); +#12227=ORIENTED_EDGE('',*,*,#12188,.T.); +#12228=ORIENTED_EDGE('',*,*,#12211,.F.); +#12230=ORIENTED_EDGE('',*,*,#12229,.T.); +#12231=EDGE_LOOP('',(#12226,#12227,#12228,#12230)); +#12232=FACE_OUTER_BOUND('',#12231,.F.); +#12234=CARTESIAN_POINT('',(-1.535E1,-1.02E1,-9.9E0)); +#12235=DIRECTION('',(1.E0,0.E0,0.E0)); +#12236=DIRECTION('',(-2.664535259100E-14,7.564028598729E-1,-6.541060415376E-1)); +#12237=AXIS2_PLACEMENT_3D('',#12234,#12235,#12236); +#12238=TOROIDAL_SURFACE('',#12237,2.E-1,1.E-1); +#12239=ORIENTED_EDGE('',*,*,#12216,.T.); +#12240=ORIENTED_EDGE('',*,*,#11896,.F.); +#12242=ORIENTED_EDGE('',*,*,#12241,.F.); +#12243=ORIENTED_EDGE('',*,*,#12229,.F.); +#12244=EDGE_LOOP('',(#12239,#12240,#12242,#12243)); +#12245=FACE_OUTER_BOUND('',#12244,.F.); +#12247=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#12248=DIRECTION('',(1.E0,0.E0,0.E0)); +#12249=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12250=AXIS2_PLACEMENT_3D('',#12247,#12248,#12249); +#12251=CYLINDRICAL_SURFACE('',#12250,1.E-1); +#12252=ORIENTED_EDGE('',*,*,#11894,.F.); +#12254=ORIENTED_EDGE('',*,*,#12253,.T.); +#12255=ORIENTED_EDGE('',*,*,#11188,.T.); +#12256=ORIENTED_EDGE('',*,*,#12241,.T.); +#12257=EDGE_LOOP('',(#12252,#12254,#12255,#12256)); +#12258=FACE_OUTER_BOUND('',#12257,.F.); +#12260=CARTESIAN_POINT('',(-1.615E1,-1.02E1,-9.9E0)); +#12261=DIRECTION('',(1.E0,0.E0,0.E0)); +#12262=DIRECTION('',(3.552713678801E-14,5.485369585398E-1,-8.361263093074E-1)); +#12263=AXIS2_PLACEMENT_3D('',#12260,#12261,#12262); +#12264=TOROIDAL_SURFACE('',#12263,2.E-1,1.E-1); +#12265=ORIENTED_EDGE('',*,*,#12156,.F.); +#12266=ORIENTED_EDGE('',*,*,#12174,.F.); +#12267=ORIENTED_EDGE('',*,*,#12253,.F.); +#12268=ORIENTED_EDGE('',*,*,#11892,.F.); +#12269=EDGE_LOOP('',(#12265,#12266,#12267,#12268)); +#12270=FACE_OUTER_BOUND('',#12269,.F.); +#12272=CARTESIAN_POINT('',(-1.275E1,-9.1E0,-2.E1)); +#12273=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12274=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12275=AXIS2_PLACEMENT_3D('',#12272,#12273,#12274); +#12276=PLANE('',#12275); +#12278=ORIENTED_EDGE('',*,*,#12277,.T.); +#12280=ORIENTED_EDGE('',*,*,#12279,.T.); +#12281=ORIENTED_EDGE('',*,*,#11901,.F.); +#12282=ORIENTED_EDGE('',*,*,#11649,.T.); +#12284=ORIENTED_EDGE('',*,*,#12283,.T.); +#12285=EDGE_LOOP('',(#12278,#12280,#12281,#12282,#12284)); +#12286=FACE_OUTER_BOUND('',#12285,.F.); +#12288=CARTESIAN_POINT('',(-1.265E1,-8.961468488237E0,-1.01E1)); +#12289=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12290=DIRECTION('',(0.E0,0.E0,1.E0)); +#12291=AXIS2_PLACEMENT_3D('',#12288,#12289,#12290); +#12292=CYLINDRICAL_SURFACE('',#12291,1.E-1); +#12293=ORIENTED_EDGE('',*,*,#12277,.F.); +#12295=ORIENTED_EDGE('',*,*,#12294,.F.); +#12296=ORIENTED_EDGE('',*,*,#11206,.T.); +#12298=ORIENTED_EDGE('',*,*,#12297,.T.); +#12299=EDGE_LOOP('',(#12293,#12295,#12296,#12298)); +#12300=FACE_OUTER_BOUND('',#12299,.F.); +#12302=CARTESIAN_POINT('',(-1.225E1,-9.1E0,-1.01E1)); +#12303=DIRECTION('',(0.E0,0.E0,1.E0)); +#12304=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#12305=AXIS2_PLACEMENT_3D('',#12302,#12303,#12304); +#12306=TOROIDAL_SURFACE('',#12305,4.E-1,1.E-1); +#12307=ORIENTED_EDGE('',*,*,#11208,.T.); +#12308=ORIENTED_EDGE('',*,*,#12294,.T.); +#12310=ORIENTED_EDGE('',*,*,#12309,.F.); +#12312=ORIENTED_EDGE('',*,*,#12311,.F.); +#12313=EDGE_LOOP('',(#12307,#12308,#12310,#12312)); +#12314=FACE_OUTER_BOUND('',#12313,.F.); +#12316=CARTESIAN_POINT('',(-1.225E1,-9.1E0,-2.E1)); +#12317=DIRECTION('',(0.E0,0.E0,1.E0)); +#12318=DIRECTION('',(1.E0,0.E0,0.E0)); +#12319=AXIS2_PLACEMENT_3D('',#12316,#12317,#12318); +#12320=CYLINDRICAL_SURFACE('',#12319,5.E-1); +#12321=ORIENTED_EDGE('',*,*,#12309,.T.); +#12322=ORIENTED_EDGE('',*,*,#12283,.F.); +#12323=ORIENTED_EDGE('',*,*,#11647,.F.); +#12325=ORIENTED_EDGE('',*,*,#12324,.T.); +#12326=EDGE_LOOP('',(#12321,#12322,#12323,#12325)); +#12327=FACE_OUTER_BOUND('',#12326,.F.); +#12329=CARTESIAN_POINT('',(-1.175E1,-1.03E1,-2.E1)); +#12330=DIRECTION('',(1.E0,0.E0,0.E0)); +#12331=DIRECTION('',(0.E0,1.E0,0.E0)); +#12332=AXIS2_PLACEMENT_3D('',#12329,#12330,#12331); +#12333=PLANE('',#12332); +#12335=ORIENTED_EDGE('',*,*,#12334,.T.); +#12336=ORIENTED_EDGE('',*,*,#12324,.F.); +#12337=ORIENTED_EDGE('',*,*,#11645,.F.); +#12338=ORIENTED_EDGE('',*,*,#11909,.T.); +#12340=ORIENTED_EDGE('',*,*,#12339,.F.); +#12341=EDGE_LOOP('',(#12335,#12336,#12337,#12338,#12340)); +#12342=FACE_OUTER_BOUND('',#12341,.F.); +#12344=CARTESIAN_POINT('',(-1.185E1,-5.789556E1,-1.01E1)); +#12345=DIRECTION('',(0.E0,1.E0,0.E0)); +#12346=DIRECTION('',(0.E0,0.E0,1.E0)); +#12347=AXIS2_PLACEMENT_3D('',#12344,#12345,#12346); +#12348=CYLINDRICAL_SURFACE('',#12347,1.E-1); +#12349=ORIENTED_EDGE('',*,*,#11202,.T.); +#12350=ORIENTED_EDGE('',*,*,#12311,.T.); +#12351=ORIENTED_EDGE('',*,*,#12334,.F.); +#12353=ORIENTED_EDGE('',*,*,#12352,.T.); +#12354=EDGE_LOOP('',(#12349,#12350,#12351,#12353)); +#12355=FACE_OUTER_BOUND('',#12354,.F.); +#12357=CARTESIAN_POINT('',(-1.185E1,-1.02E1,-9.9E0)); +#12358=DIRECTION('',(1.E0,0.E0,0.E0)); +#12359=DIRECTION('',(-2.664535259100E-14,7.564028598729E-1,-6.541060415376E-1)); +#12360=AXIS2_PLACEMENT_3D('',#12357,#12358,#12359); +#12361=TOROIDAL_SURFACE('',#12360,2.E-1,1.E-1); +#12362=ORIENTED_EDGE('',*,*,#12339,.T.); +#12363=ORIENTED_EDGE('',*,*,#11907,.F.); +#12365=ORIENTED_EDGE('',*,*,#12364,.F.); +#12366=ORIENTED_EDGE('',*,*,#12352,.F.); +#12367=EDGE_LOOP('',(#12362,#12363,#12365,#12366)); +#12368=FACE_OUTER_BOUND('',#12367,.F.); +#12370=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#12371=DIRECTION('',(1.E0,0.E0,0.E0)); +#12372=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12373=AXIS2_PLACEMENT_3D('',#12370,#12371,#12372); +#12374=CYLINDRICAL_SURFACE('',#12373,1.E-1); +#12375=ORIENTED_EDGE('',*,*,#11905,.F.); +#12377=ORIENTED_EDGE('',*,*,#12376,.T.); +#12378=ORIENTED_EDGE('',*,*,#11204,.T.); +#12379=ORIENTED_EDGE('',*,*,#12364,.T.); +#12380=EDGE_LOOP('',(#12375,#12377,#12378,#12379)); +#12381=FACE_OUTER_BOUND('',#12380,.F.); +#12383=CARTESIAN_POINT('',(-1.265E1,-1.02E1,-9.9E0)); +#12384=DIRECTION('',(1.E0,0.E0,0.E0)); +#12385=DIRECTION('',(2.664535259100E-14,5.485369585398E-1,-8.361263093074E-1)); +#12386=AXIS2_PLACEMENT_3D('',#12383,#12384,#12385); +#12387=TOROIDAL_SURFACE('',#12386,2.E-1,1.E-1); +#12388=ORIENTED_EDGE('',*,*,#12279,.F.); +#12389=ORIENTED_EDGE('',*,*,#12297,.F.); +#12390=ORIENTED_EDGE('',*,*,#12376,.F.); +#12391=ORIENTED_EDGE('',*,*,#11903,.F.); +#12392=EDGE_LOOP('',(#12388,#12389,#12390,#12391)); +#12393=FACE_OUTER_BOUND('',#12392,.F.); +#12395=CARTESIAN_POINT('',(-9.25E0,-9.1E0,-2.E1)); +#12396=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12397=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12398=AXIS2_PLACEMENT_3D('',#12395,#12396,#12397); +#12399=PLANE('',#12398); +#12401=ORIENTED_EDGE('',*,*,#12400,.T.); +#12403=ORIENTED_EDGE('',*,*,#12402,.T.); +#12404=ORIENTED_EDGE('',*,*,#11912,.F.); +#12405=ORIENTED_EDGE('',*,*,#11641,.T.); +#12407=ORIENTED_EDGE('',*,*,#12406,.T.); +#12408=EDGE_LOOP('',(#12401,#12403,#12404,#12405,#12407)); +#12409=FACE_OUTER_BOUND('',#12408,.F.); +#12411=CARTESIAN_POINT('',(-9.15E0,-8.961468488237E0,-1.01E1)); +#12412=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12413=DIRECTION('',(0.E0,0.E0,1.E0)); +#12414=AXIS2_PLACEMENT_3D('',#12411,#12412,#12413); +#12415=CYLINDRICAL_SURFACE('',#12414,1.E-1); +#12416=ORIENTED_EDGE('',*,*,#12400,.F.); +#12418=ORIENTED_EDGE('',*,*,#12417,.F.); +#12419=ORIENTED_EDGE('',*,*,#11222,.T.); +#12421=ORIENTED_EDGE('',*,*,#12420,.T.); +#12422=EDGE_LOOP('',(#12416,#12418,#12419,#12421)); +#12423=FACE_OUTER_BOUND('',#12422,.F.); +#12425=CARTESIAN_POINT('',(-8.75E0,-9.1E0,-1.01E1)); +#12426=DIRECTION('',(0.E0,0.E0,1.E0)); +#12427=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#12428=AXIS2_PLACEMENT_3D('',#12425,#12426,#12427); +#12429=TOROIDAL_SURFACE('',#12428,4.E-1,1.E-1); +#12430=ORIENTED_EDGE('',*,*,#11224,.T.); +#12431=ORIENTED_EDGE('',*,*,#12417,.T.); +#12433=ORIENTED_EDGE('',*,*,#12432,.F.); +#12435=ORIENTED_EDGE('',*,*,#12434,.F.); +#12436=EDGE_LOOP('',(#12430,#12431,#12433,#12435)); +#12437=FACE_OUTER_BOUND('',#12436,.F.); +#12439=CARTESIAN_POINT('',(-8.75E0,-9.1E0,-2.E1)); +#12440=DIRECTION('',(0.E0,0.E0,1.E0)); +#12441=DIRECTION('',(1.E0,0.E0,0.E0)); +#12442=AXIS2_PLACEMENT_3D('',#12439,#12440,#12441); +#12443=CYLINDRICAL_SURFACE('',#12442,5.E-1); +#12444=ORIENTED_EDGE('',*,*,#12432,.T.); +#12445=ORIENTED_EDGE('',*,*,#12406,.F.); +#12446=ORIENTED_EDGE('',*,*,#11639,.F.); +#12448=ORIENTED_EDGE('',*,*,#12447,.T.); +#12449=EDGE_LOOP('',(#12444,#12445,#12446,#12448)); +#12450=FACE_OUTER_BOUND('',#12449,.F.); +#12452=CARTESIAN_POINT('',(-8.25E0,-1.03E1,-2.E1)); +#12453=DIRECTION('',(1.E0,0.E0,0.E0)); +#12454=DIRECTION('',(0.E0,1.E0,0.E0)); +#12455=AXIS2_PLACEMENT_3D('',#12452,#12453,#12454); +#12456=PLANE('',#12455); +#12458=ORIENTED_EDGE('',*,*,#12457,.T.); +#12459=ORIENTED_EDGE('',*,*,#12447,.F.); +#12460=ORIENTED_EDGE('',*,*,#11637,.F.); +#12461=ORIENTED_EDGE('',*,*,#11920,.T.); +#12463=ORIENTED_EDGE('',*,*,#12462,.F.); +#12464=EDGE_LOOP('',(#12458,#12459,#12460,#12461,#12463)); +#12465=FACE_OUTER_BOUND('',#12464,.F.); +#12467=CARTESIAN_POINT('',(-8.35E0,-5.789556E1,-1.01E1)); +#12468=DIRECTION('',(0.E0,1.E0,0.E0)); +#12469=DIRECTION('',(0.E0,0.E0,1.E0)); +#12470=AXIS2_PLACEMENT_3D('',#12467,#12468,#12469); +#12471=CYLINDRICAL_SURFACE('',#12470,1.E-1); +#12472=ORIENTED_EDGE('',*,*,#11218,.T.); +#12473=ORIENTED_EDGE('',*,*,#12434,.T.); +#12474=ORIENTED_EDGE('',*,*,#12457,.F.); +#12476=ORIENTED_EDGE('',*,*,#12475,.T.); +#12477=EDGE_LOOP('',(#12472,#12473,#12474,#12476)); +#12478=FACE_OUTER_BOUND('',#12477,.F.); +#12480=CARTESIAN_POINT('',(-8.35E0,-1.02E1,-9.9E0)); +#12481=DIRECTION('',(1.E0,0.E0,0.E0)); +#12482=DIRECTION('',(-2.664535259100E-14,7.564028598729E-1,-6.541060415376E-1)); +#12483=AXIS2_PLACEMENT_3D('',#12480,#12481,#12482); +#12484=TOROIDAL_SURFACE('',#12483,2.E-1,1.E-1); +#12485=ORIENTED_EDGE('',*,*,#12462,.T.); +#12486=ORIENTED_EDGE('',*,*,#11918,.F.); +#12488=ORIENTED_EDGE('',*,*,#12487,.F.); +#12489=ORIENTED_EDGE('',*,*,#12475,.F.); +#12490=EDGE_LOOP('',(#12485,#12486,#12488,#12489)); +#12491=FACE_OUTER_BOUND('',#12490,.F.); +#12493=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#12494=DIRECTION('',(1.E0,0.E0,0.E0)); +#12495=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12496=AXIS2_PLACEMENT_3D('',#12493,#12494,#12495); +#12497=CYLINDRICAL_SURFACE('',#12496,1.E-1); +#12498=ORIENTED_EDGE('',*,*,#11916,.F.); +#12500=ORIENTED_EDGE('',*,*,#12499,.T.); +#12501=ORIENTED_EDGE('',*,*,#11220,.T.); +#12502=ORIENTED_EDGE('',*,*,#12487,.T.); +#12503=EDGE_LOOP('',(#12498,#12500,#12501,#12502)); +#12504=FACE_OUTER_BOUND('',#12503,.F.); +#12506=CARTESIAN_POINT('',(-9.15E0,-1.02E1,-9.9E0)); +#12507=DIRECTION('',(1.E0,0.E0,0.E0)); +#12508=DIRECTION('',(2.664535259100E-14,5.485369585398E-1,-8.361263093074E-1)); +#12509=AXIS2_PLACEMENT_3D('',#12506,#12507,#12508); +#12510=TOROIDAL_SURFACE('',#12509,2.E-1,1.E-1); +#12511=ORIENTED_EDGE('',*,*,#12402,.F.); +#12512=ORIENTED_EDGE('',*,*,#12420,.F.); +#12513=ORIENTED_EDGE('',*,*,#12499,.F.); +#12514=ORIENTED_EDGE('',*,*,#11914,.F.); +#12515=EDGE_LOOP('',(#12511,#12512,#12513,#12514)); +#12516=FACE_OUTER_BOUND('',#12515,.F.); +#12518=CARTESIAN_POINT('',(-5.925E0,-8.075E0,-2.E1)); +#12519=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12520=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12521=AXIS2_PLACEMENT_3D('',#12518,#12519,#12520); +#12522=PLANE('',#12521); +#12524=ORIENTED_EDGE('',*,*,#12523,.T.); +#12526=ORIENTED_EDGE('',*,*,#12525,.T.); +#12527=ORIENTED_EDGE('',*,*,#11923,.F.); +#12528=ORIENTED_EDGE('',*,*,#11633,.T.); +#12530=ORIENTED_EDGE('',*,*,#12529,.T.); +#12531=EDGE_LOOP('',(#12524,#12526,#12527,#12528,#12530)); +#12532=FACE_OUTER_BOUND('',#12531,.F.); +#12534=CARTESIAN_POINT('',(-5.825E0,-7.936468488237E0,-1.01E1)); +#12535=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12536=DIRECTION('',(0.E0,0.E0,1.E0)); +#12537=AXIS2_PLACEMENT_3D('',#12534,#12535,#12536); +#12538=CYLINDRICAL_SURFACE('',#12537,1.E-1); +#12539=ORIENTED_EDGE('',*,*,#12523,.F.); +#12541=ORIENTED_EDGE('',*,*,#12540,.F.); +#12542=ORIENTED_EDGE('',*,*,#11236,.T.); +#12544=ORIENTED_EDGE('',*,*,#12543,.T.); +#12545=EDGE_LOOP('',(#12539,#12541,#12542,#12544)); +#12546=FACE_OUTER_BOUND('',#12545,.F.); +#12548=CARTESIAN_POINT('',(-5.25E0,-8.075E0,-1.01E1)); +#12549=DIRECTION('',(0.E0,0.E0,1.E0)); +#12550=DIRECTION('',(9.790137572308E-1,-2.037941685937E-1,0.E0)); +#12551=AXIS2_PLACEMENT_3D('',#12548,#12549,#12550); +#12552=TOROIDAL_SURFACE('',#12551,5.75E-1,1.E-1); +#12553=ORIENTED_EDGE('',*,*,#11238,.T.); +#12554=ORIENTED_EDGE('',*,*,#12540,.T.); +#12556=ORIENTED_EDGE('',*,*,#12555,.F.); +#12558=ORIENTED_EDGE('',*,*,#12557,.F.); +#12559=EDGE_LOOP('',(#12553,#12554,#12556,#12558)); +#12560=FACE_OUTER_BOUND('',#12559,.F.); +#12562=CARTESIAN_POINT('',(-5.25E0,-8.075E0,-2.E1)); +#12563=DIRECTION('',(0.E0,0.E0,1.E0)); +#12564=DIRECTION('',(1.E0,0.E0,0.E0)); +#12565=AXIS2_PLACEMENT_3D('',#12562,#12563,#12564); +#12566=CYLINDRICAL_SURFACE('',#12565,6.75E-1); +#12567=ORIENTED_EDGE('',*,*,#12555,.T.); +#12568=ORIENTED_EDGE('',*,*,#12529,.F.); +#12569=ORIENTED_EDGE('',*,*,#11631,.F.); +#12571=ORIENTED_EDGE('',*,*,#12570,.T.); +#12572=EDGE_LOOP('',(#12567,#12568,#12569,#12571)); +#12573=FACE_OUTER_BOUND('',#12572,.F.); +#12575=CARTESIAN_POINT('',(-4.575E0,-1.03E1,-2.E1)); +#12576=DIRECTION('',(1.E0,0.E0,0.E0)); +#12577=DIRECTION('',(0.E0,1.E0,0.E0)); +#12578=AXIS2_PLACEMENT_3D('',#12575,#12576,#12577); +#12579=PLANE('',#12578); +#12581=ORIENTED_EDGE('',*,*,#12580,.T.); +#12582=ORIENTED_EDGE('',*,*,#12570,.F.); +#12583=ORIENTED_EDGE('',*,*,#11629,.F.); +#12584=ORIENTED_EDGE('',*,*,#11931,.T.); +#12586=ORIENTED_EDGE('',*,*,#12585,.F.); +#12587=EDGE_LOOP('',(#12581,#12582,#12583,#12584,#12586)); +#12588=FACE_OUTER_BOUND('',#12587,.F.); +#12590=CARTESIAN_POINT('',(-4.675E0,-5.789556E1,-1.01E1)); +#12591=DIRECTION('',(0.E0,1.E0,0.E0)); +#12592=DIRECTION('',(0.E0,0.E0,1.E0)); +#12593=AXIS2_PLACEMENT_3D('',#12590,#12591,#12592); +#12594=CYLINDRICAL_SURFACE('',#12593,1.E-1); +#12595=ORIENTED_EDGE('',*,*,#11240,.T.); +#12596=ORIENTED_EDGE('',*,*,#12557,.T.); +#12597=ORIENTED_EDGE('',*,*,#12580,.F.); +#12599=ORIENTED_EDGE('',*,*,#12598,.T.); +#12600=EDGE_LOOP('',(#12595,#12596,#12597,#12599)); +#12601=FACE_OUTER_BOUND('',#12600,.F.); +#12603=CARTESIAN_POINT('',(-4.675E0,-1.02E1,-9.9E0)); +#12604=DIRECTION('',(1.E0,0.E0,0.E0)); +#12605=DIRECTION('',(5.773159728051E-14,7.564028598729E-1,-6.541060415376E-1)); +#12606=AXIS2_PLACEMENT_3D('',#12603,#12604,#12605); +#12607=TOROIDAL_SURFACE('',#12606,2.E-1,1.E-1); +#12608=ORIENTED_EDGE('',*,*,#12585,.T.); +#12609=ORIENTED_EDGE('',*,*,#11929,.F.); +#12611=ORIENTED_EDGE('',*,*,#12610,.F.); +#12612=ORIENTED_EDGE('',*,*,#12598,.F.); +#12613=EDGE_LOOP('',(#12608,#12609,#12611,#12612)); +#12614=FACE_OUTER_BOUND('',#12613,.F.); +#12616=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#12617=DIRECTION('',(1.E0,0.E0,0.E0)); +#12618=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12619=AXIS2_PLACEMENT_3D('',#12616,#12617,#12618); +#12620=CYLINDRICAL_SURFACE('',#12619,1.E-1); +#12621=ORIENTED_EDGE('',*,*,#11927,.F.); +#12623=ORIENTED_EDGE('',*,*,#12622,.T.); +#12624=ORIENTED_EDGE('',*,*,#11234,.T.); +#12625=ORIENTED_EDGE('',*,*,#12610,.T.); +#12626=EDGE_LOOP('',(#12621,#12623,#12624,#12625)); +#12627=FACE_OUTER_BOUND('',#12626,.F.); +#12629=CARTESIAN_POINT('',(-5.825E0,-1.02E1,-9.9E0)); +#12630=DIRECTION('',(1.E0,0.E0,0.E0)); +#12631=DIRECTION('',(-5.773159728051E-14,5.485369585398E-1,-8.361263093074E-1)); +#12632=AXIS2_PLACEMENT_3D('',#12629,#12630,#12631); +#12633=TOROIDAL_SURFACE('',#12632,2.E-1,1.E-1); +#12634=ORIENTED_EDGE('',*,*,#12525,.F.); +#12635=ORIENTED_EDGE('',*,*,#12543,.F.); +#12636=ORIENTED_EDGE('',*,*,#12622,.F.); +#12637=ORIENTED_EDGE('',*,*,#11925,.F.); +#12638=EDGE_LOOP('',(#12634,#12635,#12636,#12637)); +#12639=FACE_OUTER_BOUND('',#12638,.F.); +#12641=CARTESIAN_POINT('',(-2.25E0,-9.1E0,-2.E1)); +#12642=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12643=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12644=AXIS2_PLACEMENT_3D('',#12641,#12642,#12643); +#12645=PLANE('',#12644); +#12647=ORIENTED_EDGE('',*,*,#12646,.T.); +#12649=ORIENTED_EDGE('',*,*,#12648,.T.); +#12650=ORIENTED_EDGE('',*,*,#11934,.F.); +#12651=ORIENTED_EDGE('',*,*,#11625,.T.); +#12653=ORIENTED_EDGE('',*,*,#12652,.T.); +#12654=EDGE_LOOP('',(#12647,#12649,#12650,#12651,#12653)); +#12655=FACE_OUTER_BOUND('',#12654,.F.); +#12657=CARTESIAN_POINT('',(-2.15E0,-8.961468488237E0,-1.01E1)); +#12658=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12659=DIRECTION('',(0.E0,0.E0,1.E0)); +#12660=AXIS2_PLACEMENT_3D('',#12657,#12658,#12659); +#12661=CYLINDRICAL_SURFACE('',#12660,1.E-1); +#12662=ORIENTED_EDGE('',*,*,#12646,.F.); +#12664=ORIENTED_EDGE('',*,*,#12663,.F.); +#12665=ORIENTED_EDGE('',*,*,#11252,.T.); +#12667=ORIENTED_EDGE('',*,*,#12666,.T.); +#12668=EDGE_LOOP('',(#12662,#12664,#12665,#12667)); +#12669=FACE_OUTER_BOUND('',#12668,.F.); +#12671=CARTESIAN_POINT('',(-1.75E0,-9.1E0,-1.01E1)); +#12672=DIRECTION('',(0.E0,0.E0,1.E0)); +#12673=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#12674=AXIS2_PLACEMENT_3D('',#12671,#12672,#12673); +#12675=TOROIDAL_SURFACE('',#12674,4.E-1,1.E-1); +#12676=ORIENTED_EDGE('',*,*,#11254,.T.); +#12677=ORIENTED_EDGE('',*,*,#12663,.T.); +#12679=ORIENTED_EDGE('',*,*,#12678,.F.); +#12681=ORIENTED_EDGE('',*,*,#12680,.F.); +#12682=EDGE_LOOP('',(#12676,#12677,#12679,#12681)); +#12683=FACE_OUTER_BOUND('',#12682,.F.); +#12685=CARTESIAN_POINT('',(-1.75E0,-9.1E0,-2.E1)); +#12686=DIRECTION('',(0.E0,0.E0,1.E0)); +#12687=DIRECTION('',(1.E0,0.E0,0.E0)); +#12688=AXIS2_PLACEMENT_3D('',#12685,#12686,#12687); +#12689=CYLINDRICAL_SURFACE('',#12688,5.E-1); +#12690=ORIENTED_EDGE('',*,*,#12678,.T.); +#12691=ORIENTED_EDGE('',*,*,#12652,.F.); +#12692=ORIENTED_EDGE('',*,*,#11623,.F.); +#12694=ORIENTED_EDGE('',*,*,#12693,.T.); +#12695=EDGE_LOOP('',(#12690,#12691,#12692,#12694)); +#12696=FACE_OUTER_BOUND('',#12695,.F.); +#12698=CARTESIAN_POINT('',(-1.25E0,-1.03E1,-2.E1)); +#12699=DIRECTION('',(1.E0,0.E0,0.E0)); +#12700=DIRECTION('',(0.E0,1.E0,0.E0)); +#12701=AXIS2_PLACEMENT_3D('',#12698,#12699,#12700); +#12702=PLANE('',#12701); +#12704=ORIENTED_EDGE('',*,*,#12703,.T.); +#12705=ORIENTED_EDGE('',*,*,#12693,.F.); +#12706=ORIENTED_EDGE('',*,*,#11621,.F.); +#12707=ORIENTED_EDGE('',*,*,#11942,.T.); +#12709=ORIENTED_EDGE('',*,*,#12708,.F.); +#12710=EDGE_LOOP('',(#12704,#12705,#12706,#12707,#12709)); +#12711=FACE_OUTER_BOUND('',#12710,.F.); +#12713=CARTESIAN_POINT('',(-1.35E0,-5.789556E1,-1.01E1)); +#12714=DIRECTION('',(0.E0,1.E0,0.E0)); +#12715=DIRECTION('',(0.E0,0.E0,1.E0)); +#12716=AXIS2_PLACEMENT_3D('',#12713,#12714,#12715); +#12717=CYLINDRICAL_SURFACE('',#12716,1.E-1); +#12718=ORIENTED_EDGE('',*,*,#11256,.T.); +#12719=ORIENTED_EDGE('',*,*,#12680,.T.); +#12720=ORIENTED_EDGE('',*,*,#12703,.F.); +#12722=ORIENTED_EDGE('',*,*,#12721,.T.); +#12723=EDGE_LOOP('',(#12718,#12719,#12720,#12722)); +#12724=FACE_OUTER_BOUND('',#12723,.F.); +#12726=CARTESIAN_POINT('',(-1.35E0,-1.02E1,-9.9E0)); +#12727=DIRECTION('',(1.E0,0.E0,0.E0)); +#12728=DIRECTION('',(-2.775557561563E-14,7.564028598729E-1,-6.541060415376E-1)); +#12729=AXIS2_PLACEMENT_3D('',#12726,#12727,#12728); +#12730=TOROIDAL_SURFACE('',#12729,2.E-1,1.E-1); +#12731=ORIENTED_EDGE('',*,*,#12708,.T.); +#12732=ORIENTED_EDGE('',*,*,#11940,.F.); +#12734=ORIENTED_EDGE('',*,*,#12733,.F.); +#12735=ORIENTED_EDGE('',*,*,#12721,.F.); +#12736=EDGE_LOOP('',(#12731,#12732,#12734,#12735)); +#12737=FACE_OUTER_BOUND('',#12736,.F.); +#12739=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#12740=DIRECTION('',(1.E0,0.E0,0.E0)); +#12741=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12742=AXIS2_PLACEMENT_3D('',#12739,#12740,#12741); +#12743=CYLINDRICAL_SURFACE('',#12742,1.E-1); +#12744=ORIENTED_EDGE('',*,*,#11938,.F.); +#12746=ORIENTED_EDGE('',*,*,#12745,.T.); +#12747=ORIENTED_EDGE('',*,*,#11250,.T.); +#12748=ORIENTED_EDGE('',*,*,#12733,.T.); +#12749=EDGE_LOOP('',(#12744,#12746,#12747,#12748)); +#12750=FACE_OUTER_BOUND('',#12749,.F.); +#12752=CARTESIAN_POINT('',(-2.15E0,-1.02E1,-9.9E0)); +#12753=DIRECTION('',(1.E0,0.E0,0.E0)); +#12754=DIRECTION('',(2.886579864025E-14,5.485369585398E-1,-8.361263093074E-1)); +#12755=AXIS2_PLACEMENT_3D('',#12752,#12753,#12754); +#12756=TOROIDAL_SURFACE('',#12755,2.E-1,1.E-1); +#12757=ORIENTED_EDGE('',*,*,#12648,.F.); +#12758=ORIENTED_EDGE('',*,*,#12666,.F.); +#12759=ORIENTED_EDGE('',*,*,#12745,.F.); +#12760=ORIENTED_EDGE('',*,*,#11936,.F.); +#12761=EDGE_LOOP('',(#12757,#12758,#12759,#12760)); +#12762=FACE_OUTER_BOUND('',#12761,.F.); +#12764=CARTESIAN_POINT('',(1.25E0,-9.1E0,-2.E1)); +#12765=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12766=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12767=AXIS2_PLACEMENT_3D('',#12764,#12765,#12766); +#12768=PLANE('',#12767); +#12770=ORIENTED_EDGE('',*,*,#12769,.T.); +#12772=ORIENTED_EDGE('',*,*,#12771,.T.); +#12773=ORIENTED_EDGE('',*,*,#11945,.F.); +#12774=ORIENTED_EDGE('',*,*,#11617,.T.); +#12776=ORIENTED_EDGE('',*,*,#12775,.T.); +#12777=EDGE_LOOP('',(#12770,#12772,#12773,#12774,#12776)); +#12778=FACE_OUTER_BOUND('',#12777,.F.); +#12780=CARTESIAN_POINT('',(1.35E0,-8.961468488237E0,-1.01E1)); +#12781=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12782=DIRECTION('',(0.E0,0.E0,1.E0)); +#12783=AXIS2_PLACEMENT_3D('',#12780,#12781,#12782); +#12784=CYLINDRICAL_SURFACE('',#12783,1.E-1); +#12785=ORIENTED_EDGE('',*,*,#12769,.F.); +#12787=ORIENTED_EDGE('',*,*,#12786,.F.); +#12788=ORIENTED_EDGE('',*,*,#11268,.T.); +#12790=ORIENTED_EDGE('',*,*,#12789,.T.); +#12791=EDGE_LOOP('',(#12785,#12787,#12788,#12790)); +#12792=FACE_OUTER_BOUND('',#12791,.F.); +#12794=CARTESIAN_POINT('',(1.75E0,-9.1E0,-1.01E1)); +#12795=DIRECTION('',(0.E0,0.E0,1.E0)); +#12796=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#12797=AXIS2_PLACEMENT_3D('',#12794,#12795,#12796); +#12798=TOROIDAL_SURFACE('',#12797,4.E-1,1.E-1); +#12799=ORIENTED_EDGE('',*,*,#11270,.T.); +#12800=ORIENTED_EDGE('',*,*,#12786,.T.); +#12802=ORIENTED_EDGE('',*,*,#12801,.F.); +#12804=ORIENTED_EDGE('',*,*,#12803,.F.); +#12805=EDGE_LOOP('',(#12799,#12800,#12802,#12804)); +#12806=FACE_OUTER_BOUND('',#12805,.F.); +#12808=CARTESIAN_POINT('',(1.75E0,-9.1E0,-2.E1)); +#12809=DIRECTION('',(0.E0,0.E0,1.E0)); +#12810=DIRECTION('',(1.E0,0.E0,0.E0)); +#12811=AXIS2_PLACEMENT_3D('',#12808,#12809,#12810); +#12812=CYLINDRICAL_SURFACE('',#12811,5.E-1); +#12813=ORIENTED_EDGE('',*,*,#12801,.T.); +#12814=ORIENTED_EDGE('',*,*,#12775,.F.); +#12815=ORIENTED_EDGE('',*,*,#11615,.F.); +#12817=ORIENTED_EDGE('',*,*,#12816,.T.); +#12818=EDGE_LOOP('',(#12813,#12814,#12815,#12817)); +#12819=FACE_OUTER_BOUND('',#12818,.F.); +#12821=CARTESIAN_POINT('',(2.25E0,-1.03E1,-2.E1)); +#12822=DIRECTION('',(1.E0,0.E0,0.E0)); +#12823=DIRECTION('',(0.E0,1.E0,0.E0)); +#12824=AXIS2_PLACEMENT_3D('',#12821,#12822,#12823); +#12825=PLANE('',#12824); +#12827=ORIENTED_EDGE('',*,*,#12826,.T.); +#12828=ORIENTED_EDGE('',*,*,#12816,.F.); +#12829=ORIENTED_EDGE('',*,*,#11613,.F.); +#12830=ORIENTED_EDGE('',*,*,#11953,.T.); +#12832=ORIENTED_EDGE('',*,*,#12831,.F.); +#12833=EDGE_LOOP('',(#12827,#12828,#12829,#12830,#12832)); +#12834=FACE_OUTER_BOUND('',#12833,.F.); +#12836=CARTESIAN_POINT('',(2.15E0,-5.789556E1,-1.01E1)); +#12837=DIRECTION('',(0.E0,1.E0,0.E0)); +#12838=DIRECTION('',(0.E0,0.E0,1.E0)); +#12839=AXIS2_PLACEMENT_3D('',#12836,#12837,#12838); +#12840=CYLINDRICAL_SURFACE('',#12839,1.E-1); +#12841=ORIENTED_EDGE('',*,*,#11272,.T.); +#12842=ORIENTED_EDGE('',*,*,#12803,.T.); +#12843=ORIENTED_EDGE('',*,*,#12826,.F.); +#12845=ORIENTED_EDGE('',*,*,#12844,.T.); +#12846=EDGE_LOOP('',(#12841,#12842,#12843,#12845)); +#12847=FACE_OUTER_BOUND('',#12846,.F.); +#12849=CARTESIAN_POINT('',(2.15E0,-1.02E1,-9.9E0)); +#12850=DIRECTION('',(1.E0,0.E0,0.E0)); +#12851=DIRECTION('',(-3.108624468950E-14,7.564028598729E-1,-6.541060415376E-1)); +#12852=AXIS2_PLACEMENT_3D('',#12849,#12850,#12851); +#12853=TOROIDAL_SURFACE('',#12852,2.E-1,1.E-1); +#12854=ORIENTED_EDGE('',*,*,#12831,.T.); +#12855=ORIENTED_EDGE('',*,*,#11951,.F.); +#12857=ORIENTED_EDGE('',*,*,#12856,.F.); +#12858=ORIENTED_EDGE('',*,*,#12844,.F.); +#12859=EDGE_LOOP('',(#12854,#12855,#12857,#12858)); +#12860=FACE_OUTER_BOUND('',#12859,.F.); +#12862=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#12863=DIRECTION('',(1.E0,0.E0,0.E0)); +#12864=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12865=AXIS2_PLACEMENT_3D('',#12862,#12863,#12864); +#12866=CYLINDRICAL_SURFACE('',#12865,1.E-1); +#12867=ORIENTED_EDGE('',*,*,#11949,.F.); +#12869=ORIENTED_EDGE('',*,*,#12868,.T.); +#12870=ORIENTED_EDGE('',*,*,#11266,.T.); +#12871=ORIENTED_EDGE('',*,*,#12856,.T.); +#12872=EDGE_LOOP('',(#12867,#12869,#12870,#12871)); +#12873=FACE_OUTER_BOUND('',#12872,.F.); +#12875=CARTESIAN_POINT('',(1.35E0,-1.02E1,-9.9E0)); +#12876=DIRECTION('',(1.E0,0.E0,0.E0)); +#12877=DIRECTION('',(2.775557561563E-14,5.485369585398E-1,-8.361263093074E-1)); +#12878=AXIS2_PLACEMENT_3D('',#12875,#12876,#12877); +#12879=TOROIDAL_SURFACE('',#12878,2.E-1,1.E-1); +#12880=ORIENTED_EDGE('',*,*,#12771,.F.); +#12881=ORIENTED_EDGE('',*,*,#12789,.F.); +#12882=ORIENTED_EDGE('',*,*,#12868,.F.); +#12883=ORIENTED_EDGE('',*,*,#11947,.F.); +#12884=EDGE_LOOP('',(#12880,#12881,#12882,#12883)); +#12885=FACE_OUTER_BOUND('',#12884,.F.); +#12887=CARTESIAN_POINT('',(4.75E0,-9.1E0,-2.E1)); +#12888=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12889=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12890=AXIS2_PLACEMENT_3D('',#12887,#12888,#12889); +#12891=PLANE('',#12890); +#12893=ORIENTED_EDGE('',*,*,#12892,.T.); +#12895=ORIENTED_EDGE('',*,*,#12894,.T.); +#12896=ORIENTED_EDGE('',*,*,#11956,.F.); +#12897=ORIENTED_EDGE('',*,*,#11609,.T.); +#12899=ORIENTED_EDGE('',*,*,#12898,.T.); +#12900=EDGE_LOOP('',(#12893,#12895,#12896,#12897,#12899)); +#12901=FACE_OUTER_BOUND('',#12900,.F.); +#12903=CARTESIAN_POINT('',(4.85E0,-8.961468488237E0,-1.01E1)); +#12904=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12905=DIRECTION('',(0.E0,0.E0,1.E0)); +#12906=AXIS2_PLACEMENT_3D('',#12903,#12904,#12905); +#12907=CYLINDRICAL_SURFACE('',#12906,1.E-1); +#12908=ORIENTED_EDGE('',*,*,#12892,.F.); +#12910=ORIENTED_EDGE('',*,*,#12909,.F.); +#12911=ORIENTED_EDGE('',*,*,#11284,.T.); +#12913=ORIENTED_EDGE('',*,*,#12912,.T.); +#12914=EDGE_LOOP('',(#12908,#12910,#12911,#12913)); +#12915=FACE_OUTER_BOUND('',#12914,.F.); +#12917=CARTESIAN_POINT('',(5.25E0,-9.1E0,-1.01E1)); +#12918=DIRECTION('',(0.E0,0.E0,1.E0)); +#12919=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#12920=AXIS2_PLACEMENT_3D('',#12917,#12918,#12919); +#12921=TOROIDAL_SURFACE('',#12920,4.E-1,1.E-1); +#12922=ORIENTED_EDGE('',*,*,#11286,.T.); +#12923=ORIENTED_EDGE('',*,*,#12909,.T.); +#12925=ORIENTED_EDGE('',*,*,#12924,.F.); +#12927=ORIENTED_EDGE('',*,*,#12926,.F.); +#12928=EDGE_LOOP('',(#12922,#12923,#12925,#12927)); +#12929=FACE_OUTER_BOUND('',#12928,.F.); +#12931=CARTESIAN_POINT('',(5.25E0,-9.1E0,-2.E1)); +#12932=DIRECTION('',(0.E0,0.E0,1.E0)); +#12933=DIRECTION('',(1.E0,0.E0,0.E0)); +#12934=AXIS2_PLACEMENT_3D('',#12931,#12932,#12933); +#12935=CYLINDRICAL_SURFACE('',#12934,5.E-1); +#12936=ORIENTED_EDGE('',*,*,#12924,.T.); +#12937=ORIENTED_EDGE('',*,*,#12898,.F.); +#12938=ORIENTED_EDGE('',*,*,#11607,.F.); +#12940=ORIENTED_EDGE('',*,*,#12939,.T.); +#12941=EDGE_LOOP('',(#12936,#12937,#12938,#12940)); +#12942=FACE_OUTER_BOUND('',#12941,.F.); +#12944=CARTESIAN_POINT('',(5.75E0,-1.03E1,-2.E1)); +#12945=DIRECTION('',(1.E0,0.E0,0.E0)); +#12946=DIRECTION('',(0.E0,1.E0,0.E0)); +#12947=AXIS2_PLACEMENT_3D('',#12944,#12945,#12946); +#12948=PLANE('',#12947); +#12950=ORIENTED_EDGE('',*,*,#12949,.T.); +#12951=ORIENTED_EDGE('',*,*,#12939,.F.); +#12952=ORIENTED_EDGE('',*,*,#11605,.F.); +#12953=ORIENTED_EDGE('',*,*,#11964,.T.); +#12955=ORIENTED_EDGE('',*,*,#12954,.F.); +#12956=EDGE_LOOP('',(#12950,#12951,#12952,#12953,#12955)); +#12957=FACE_OUTER_BOUND('',#12956,.F.); +#12959=CARTESIAN_POINT('',(5.65E0,-5.789556E1,-1.01E1)); +#12960=DIRECTION('',(0.E0,1.E0,0.E0)); +#12961=DIRECTION('',(0.E0,0.E0,1.E0)); +#12962=AXIS2_PLACEMENT_3D('',#12959,#12960,#12961); +#12963=CYLINDRICAL_SURFACE('',#12962,1.E-1); +#12964=ORIENTED_EDGE('',*,*,#11288,.T.); +#12965=ORIENTED_EDGE('',*,*,#12926,.T.); +#12966=ORIENTED_EDGE('',*,*,#12949,.F.); +#12968=ORIENTED_EDGE('',*,*,#12967,.T.); +#12969=EDGE_LOOP('',(#12964,#12965,#12966,#12968)); +#12970=FACE_OUTER_BOUND('',#12969,.F.); +#12972=CARTESIAN_POINT('',(5.65E0,-1.02E1,-9.9E0)); +#12973=DIRECTION('',(1.E0,0.E0,0.E0)); +#12974=DIRECTION('',(-2.664535259100E-14,7.564028598729E-1,-6.541060415376E-1)); +#12975=AXIS2_PLACEMENT_3D('',#12972,#12973,#12974); +#12976=TOROIDAL_SURFACE('',#12975,2.E-1,1.E-1); +#12977=ORIENTED_EDGE('',*,*,#12954,.T.); +#12978=ORIENTED_EDGE('',*,*,#11962,.F.); +#12980=ORIENTED_EDGE('',*,*,#12979,.F.); +#12981=ORIENTED_EDGE('',*,*,#12967,.F.); +#12982=EDGE_LOOP('',(#12977,#12978,#12980,#12981)); +#12983=FACE_OUTER_BOUND('',#12982,.F.); +#12985=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#12986=DIRECTION('',(1.E0,0.E0,0.E0)); +#12987=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12988=AXIS2_PLACEMENT_3D('',#12985,#12986,#12987); +#12989=CYLINDRICAL_SURFACE('',#12988,1.E-1); +#12990=ORIENTED_EDGE('',*,*,#11960,.F.); +#12992=ORIENTED_EDGE('',*,*,#12991,.T.); +#12993=ORIENTED_EDGE('',*,*,#11282,.T.); +#12994=ORIENTED_EDGE('',*,*,#12979,.T.); +#12995=EDGE_LOOP('',(#12990,#12992,#12993,#12994)); +#12996=FACE_OUTER_BOUND('',#12995,.F.); +#12998=CARTESIAN_POINT('',(4.85E0,-1.02E1,-9.9E0)); +#12999=DIRECTION('',(1.E0,0.E0,0.E0)); +#13000=DIRECTION('',(2.664535259100E-14,5.485369585398E-1,-8.361263093074E-1)); +#13001=AXIS2_PLACEMENT_3D('',#12998,#12999,#13000); +#13002=TOROIDAL_SURFACE('',#13001,2.E-1,1.E-1); +#13003=ORIENTED_EDGE('',*,*,#12894,.F.); +#13004=ORIENTED_EDGE('',*,*,#12912,.F.); +#13005=ORIENTED_EDGE('',*,*,#12991,.F.); +#13006=ORIENTED_EDGE('',*,*,#11958,.F.); +#13007=EDGE_LOOP('',(#13003,#13004,#13005,#13006)); +#13008=FACE_OUTER_BOUND('',#13007,.F.); +#13010=CARTESIAN_POINT('',(8.25E0,-9.1E0,-2.E1)); +#13011=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13012=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13013=AXIS2_PLACEMENT_3D('',#13010,#13011,#13012); +#13014=PLANE('',#13013); +#13016=ORIENTED_EDGE('',*,*,#13015,.T.); +#13018=ORIENTED_EDGE('',*,*,#13017,.T.); +#13019=ORIENTED_EDGE('',*,*,#11967,.F.); +#13020=ORIENTED_EDGE('',*,*,#11601,.T.); +#13022=ORIENTED_EDGE('',*,*,#13021,.T.); +#13023=EDGE_LOOP('',(#13016,#13018,#13019,#13020,#13022)); +#13024=FACE_OUTER_BOUND('',#13023,.F.); +#13026=CARTESIAN_POINT('',(8.35E0,-8.961468488237E0,-1.01E1)); +#13027=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13028=DIRECTION('',(0.E0,0.E0,1.E0)); +#13029=AXIS2_PLACEMENT_3D('',#13026,#13027,#13028); +#13030=CYLINDRICAL_SURFACE('',#13029,1.E-1); +#13031=ORIENTED_EDGE('',*,*,#13015,.F.); +#13033=ORIENTED_EDGE('',*,*,#13032,.F.); +#13034=ORIENTED_EDGE('',*,*,#11300,.T.); +#13036=ORIENTED_EDGE('',*,*,#13035,.T.); +#13037=EDGE_LOOP('',(#13031,#13033,#13034,#13036)); +#13038=FACE_OUTER_BOUND('',#13037,.F.); +#13040=CARTESIAN_POINT('',(8.75E0,-9.1E0,-1.01E1)); +#13041=DIRECTION('',(0.E0,0.E0,1.E0)); +#13042=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#13043=AXIS2_PLACEMENT_3D('',#13040,#13041,#13042); +#13044=TOROIDAL_SURFACE('',#13043,4.E-1,1.E-1); +#13045=ORIENTED_EDGE('',*,*,#11302,.T.); +#13046=ORIENTED_EDGE('',*,*,#13032,.T.); +#13048=ORIENTED_EDGE('',*,*,#13047,.F.); +#13050=ORIENTED_EDGE('',*,*,#13049,.F.); +#13051=EDGE_LOOP('',(#13045,#13046,#13048,#13050)); +#13052=FACE_OUTER_BOUND('',#13051,.F.); +#13054=CARTESIAN_POINT('',(8.75E0,-9.1E0,-2.E1)); +#13055=DIRECTION('',(0.E0,0.E0,1.E0)); +#13056=DIRECTION('',(1.E0,0.E0,0.E0)); +#13057=AXIS2_PLACEMENT_3D('',#13054,#13055,#13056); +#13058=CYLINDRICAL_SURFACE('',#13057,5.E-1); +#13059=ORIENTED_EDGE('',*,*,#13047,.T.); +#13060=ORIENTED_EDGE('',*,*,#13021,.F.); +#13061=ORIENTED_EDGE('',*,*,#11599,.F.); +#13063=ORIENTED_EDGE('',*,*,#13062,.T.); +#13064=EDGE_LOOP('',(#13059,#13060,#13061,#13063)); +#13065=FACE_OUTER_BOUND('',#13064,.F.); +#13067=CARTESIAN_POINT('',(9.25E0,-1.03E1,-2.E1)); +#13068=DIRECTION('',(1.E0,0.E0,0.E0)); +#13069=DIRECTION('',(0.E0,1.E0,0.E0)); +#13070=AXIS2_PLACEMENT_3D('',#13067,#13068,#13069); +#13071=PLANE('',#13070); +#13073=ORIENTED_EDGE('',*,*,#13072,.T.); +#13074=ORIENTED_EDGE('',*,*,#13062,.F.); +#13075=ORIENTED_EDGE('',*,*,#11597,.F.); +#13076=ORIENTED_EDGE('',*,*,#11975,.T.); +#13078=ORIENTED_EDGE('',*,*,#13077,.F.); +#13079=EDGE_LOOP('',(#13073,#13074,#13075,#13076,#13078)); +#13080=FACE_OUTER_BOUND('',#13079,.F.); +#13082=CARTESIAN_POINT('',(9.15E0,-5.789556E1,-1.01E1)); +#13083=DIRECTION('',(0.E0,1.E0,0.E0)); +#13084=DIRECTION('',(0.E0,0.E0,1.E0)); +#13085=AXIS2_PLACEMENT_3D('',#13082,#13083,#13084); +#13086=CYLINDRICAL_SURFACE('',#13085,1.E-1); +#13087=ORIENTED_EDGE('',*,*,#11304,.T.); +#13088=ORIENTED_EDGE('',*,*,#13049,.T.); +#13089=ORIENTED_EDGE('',*,*,#13072,.F.); +#13091=ORIENTED_EDGE('',*,*,#13090,.T.); +#13092=EDGE_LOOP('',(#13087,#13088,#13089,#13091)); +#13093=FACE_OUTER_BOUND('',#13092,.F.); +#13095=CARTESIAN_POINT('',(9.15E0,-1.02E1,-9.9E0)); +#13096=DIRECTION('',(1.E0,0.E0,0.E0)); +#13097=DIRECTION('',(-2.664535259100E-14,7.564028598729E-1,-6.541060415376E-1)); +#13098=AXIS2_PLACEMENT_3D('',#13095,#13096,#13097); +#13099=TOROIDAL_SURFACE('',#13098,2.E-1,1.E-1); +#13100=ORIENTED_EDGE('',*,*,#13077,.T.); +#13101=ORIENTED_EDGE('',*,*,#11973,.F.); +#13103=ORIENTED_EDGE('',*,*,#13102,.F.); +#13104=ORIENTED_EDGE('',*,*,#13090,.F.); +#13105=EDGE_LOOP('',(#13100,#13101,#13103,#13104)); +#13106=FACE_OUTER_BOUND('',#13105,.F.); +#13108=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#13109=DIRECTION('',(1.E0,0.E0,0.E0)); +#13110=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13111=AXIS2_PLACEMENT_3D('',#13108,#13109,#13110); +#13112=CYLINDRICAL_SURFACE('',#13111,1.E-1); +#13113=ORIENTED_EDGE('',*,*,#11971,.F.); +#13115=ORIENTED_EDGE('',*,*,#13114,.T.); +#13116=ORIENTED_EDGE('',*,*,#11298,.T.); +#13117=ORIENTED_EDGE('',*,*,#13102,.T.); +#13118=EDGE_LOOP('',(#13113,#13115,#13116,#13117)); +#13119=FACE_OUTER_BOUND('',#13118,.F.); +#13121=CARTESIAN_POINT('',(8.35E0,-1.02E1,-9.9E0)); +#13122=DIRECTION('',(1.E0,0.E0,0.E0)); +#13123=DIRECTION('',(2.664535259100E-14,5.485369585398E-1,-8.361263093074E-1)); +#13124=AXIS2_PLACEMENT_3D('',#13121,#13122,#13123); +#13125=TOROIDAL_SURFACE('',#13124,2.E-1,1.E-1); +#13126=ORIENTED_EDGE('',*,*,#13017,.F.); +#13127=ORIENTED_EDGE('',*,*,#13035,.F.); +#13128=ORIENTED_EDGE('',*,*,#13114,.F.); +#13129=ORIENTED_EDGE('',*,*,#11969,.F.); +#13130=EDGE_LOOP('',(#13126,#13127,#13128,#13129)); +#13131=FACE_OUTER_BOUND('',#13130,.F.); +#13133=CARTESIAN_POINT('',(1.175E1,-9.1E0,-2.E1)); +#13134=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13135=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13136=AXIS2_PLACEMENT_3D('',#13133,#13134,#13135); +#13137=PLANE('',#13136); +#13139=ORIENTED_EDGE('',*,*,#13138,.T.); +#13141=ORIENTED_EDGE('',*,*,#13140,.T.); +#13142=ORIENTED_EDGE('',*,*,#11978,.F.); +#13143=ORIENTED_EDGE('',*,*,#11593,.T.); +#13145=ORIENTED_EDGE('',*,*,#13144,.T.); +#13146=EDGE_LOOP('',(#13139,#13141,#13142,#13143,#13145)); +#13147=FACE_OUTER_BOUND('',#13146,.F.); +#13149=CARTESIAN_POINT('',(1.185E1,-8.961468488237E0,-1.01E1)); +#13150=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13151=DIRECTION('',(0.E0,0.E0,1.E0)); +#13152=AXIS2_PLACEMENT_3D('',#13149,#13150,#13151); +#13153=CYLINDRICAL_SURFACE('',#13152,1.E-1); +#13154=ORIENTED_EDGE('',*,*,#13138,.F.); +#13156=ORIENTED_EDGE('',*,*,#13155,.F.); +#13157=ORIENTED_EDGE('',*,*,#11316,.T.); +#13159=ORIENTED_EDGE('',*,*,#13158,.T.); +#13160=EDGE_LOOP('',(#13154,#13156,#13157,#13159)); +#13161=FACE_OUTER_BOUND('',#13160,.F.); +#13163=CARTESIAN_POINT('',(1.225E1,-9.1E0,-1.01E1)); +#13164=DIRECTION('',(0.E0,0.E0,1.E0)); +#13165=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#13166=AXIS2_PLACEMENT_3D('',#13163,#13164,#13165); +#13167=TOROIDAL_SURFACE('',#13166,4.E-1,1.E-1); +#13168=ORIENTED_EDGE('',*,*,#11318,.T.); +#13169=ORIENTED_EDGE('',*,*,#13155,.T.); +#13171=ORIENTED_EDGE('',*,*,#13170,.F.); +#13173=ORIENTED_EDGE('',*,*,#13172,.F.); +#13174=EDGE_LOOP('',(#13168,#13169,#13171,#13173)); +#13175=FACE_OUTER_BOUND('',#13174,.F.); +#13177=CARTESIAN_POINT('',(1.225E1,-9.1E0,-2.E1)); +#13178=DIRECTION('',(0.E0,0.E0,1.E0)); +#13179=DIRECTION('',(1.E0,0.E0,0.E0)); +#13180=AXIS2_PLACEMENT_3D('',#13177,#13178,#13179); +#13181=CYLINDRICAL_SURFACE('',#13180,5.E-1); +#13182=ORIENTED_EDGE('',*,*,#13170,.T.); +#13183=ORIENTED_EDGE('',*,*,#13144,.F.); +#13184=ORIENTED_EDGE('',*,*,#11591,.F.); +#13186=ORIENTED_EDGE('',*,*,#13185,.T.); +#13187=EDGE_LOOP('',(#13182,#13183,#13184,#13186)); +#13188=FACE_OUTER_BOUND('',#13187,.F.); +#13190=CARTESIAN_POINT('',(1.275E1,-1.03E1,-2.E1)); +#13191=DIRECTION('',(1.E0,0.E0,0.E0)); +#13192=DIRECTION('',(0.E0,1.E0,0.E0)); +#13193=AXIS2_PLACEMENT_3D('',#13190,#13191,#13192); +#13194=PLANE('',#13193); +#13196=ORIENTED_EDGE('',*,*,#13195,.T.); +#13197=ORIENTED_EDGE('',*,*,#13185,.F.); +#13198=ORIENTED_EDGE('',*,*,#11589,.F.); +#13199=ORIENTED_EDGE('',*,*,#11986,.T.); +#13201=ORIENTED_EDGE('',*,*,#13200,.F.); +#13202=EDGE_LOOP('',(#13196,#13197,#13198,#13199,#13201)); +#13203=FACE_OUTER_BOUND('',#13202,.F.); +#13205=CARTESIAN_POINT('',(1.265E1,-5.789556E1,-1.01E1)); +#13206=DIRECTION('',(0.E0,1.E0,0.E0)); +#13207=DIRECTION('',(0.E0,0.E0,1.E0)); +#13208=AXIS2_PLACEMENT_3D('',#13205,#13206,#13207); +#13209=CYLINDRICAL_SURFACE('',#13208,1.E-1); +#13210=ORIENTED_EDGE('',*,*,#11320,.T.); +#13211=ORIENTED_EDGE('',*,*,#13172,.T.); +#13212=ORIENTED_EDGE('',*,*,#13195,.F.); +#13214=ORIENTED_EDGE('',*,*,#13213,.T.); +#13215=EDGE_LOOP('',(#13210,#13211,#13212,#13214)); +#13216=FACE_OUTER_BOUND('',#13215,.F.); +#13218=CARTESIAN_POINT('',(1.265E1,-1.02E1,-9.9E0)); +#13219=DIRECTION('',(1.E0,0.E0,0.E0)); +#13220=DIRECTION('',(-2.664535259100E-14,7.564028598729E-1,-6.541060415376E-1)); +#13221=AXIS2_PLACEMENT_3D('',#13218,#13219,#13220); +#13222=TOROIDAL_SURFACE('',#13221,2.E-1,1.E-1); +#13223=ORIENTED_EDGE('',*,*,#13200,.T.); +#13224=ORIENTED_EDGE('',*,*,#11984,.F.); +#13226=ORIENTED_EDGE('',*,*,#13225,.F.); +#13227=ORIENTED_EDGE('',*,*,#13213,.F.); +#13228=EDGE_LOOP('',(#13223,#13224,#13226,#13227)); +#13229=FACE_OUTER_BOUND('',#13228,.F.); +#13231=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#13232=DIRECTION('',(1.E0,0.E0,0.E0)); +#13233=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13234=AXIS2_PLACEMENT_3D('',#13231,#13232,#13233); +#13235=CYLINDRICAL_SURFACE('',#13234,1.E-1); +#13236=ORIENTED_EDGE('',*,*,#11982,.F.); +#13238=ORIENTED_EDGE('',*,*,#13237,.T.); +#13239=ORIENTED_EDGE('',*,*,#11314,.T.); +#13240=ORIENTED_EDGE('',*,*,#13225,.T.); +#13241=EDGE_LOOP('',(#13236,#13238,#13239,#13240)); +#13242=FACE_OUTER_BOUND('',#13241,.F.); +#13244=CARTESIAN_POINT('',(1.185E1,-1.02E1,-9.9E0)); +#13245=DIRECTION('',(1.E0,0.E0,0.E0)); +#13246=DIRECTION('',(2.664535259100E-14,5.485369585398E-1,-8.361263093074E-1)); +#13247=AXIS2_PLACEMENT_3D('',#13244,#13245,#13246); +#13248=TOROIDAL_SURFACE('',#13247,2.E-1,1.E-1); +#13249=ORIENTED_EDGE('',*,*,#13140,.F.); +#13250=ORIENTED_EDGE('',*,*,#13158,.F.); +#13251=ORIENTED_EDGE('',*,*,#13237,.F.); +#13252=ORIENTED_EDGE('',*,*,#11980,.F.); +#13253=EDGE_LOOP('',(#13249,#13250,#13251,#13252)); +#13254=FACE_OUTER_BOUND('',#13253,.F.); +#13256=CARTESIAN_POINT('',(1.525E1,-9.1E0,-2.E1)); +#13257=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13258=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13259=AXIS2_PLACEMENT_3D('',#13256,#13257,#13258); +#13260=PLANE('',#13259); +#13262=ORIENTED_EDGE('',*,*,#13261,.T.); +#13264=ORIENTED_EDGE('',*,*,#13263,.T.); +#13265=ORIENTED_EDGE('',*,*,#11989,.F.); +#13266=ORIENTED_EDGE('',*,*,#11585,.T.); +#13268=ORIENTED_EDGE('',*,*,#13267,.T.); +#13269=EDGE_LOOP('',(#13262,#13264,#13265,#13266,#13268)); +#13270=FACE_OUTER_BOUND('',#13269,.F.); +#13272=CARTESIAN_POINT('',(1.535E1,-8.961468488237E0,-1.01E1)); +#13273=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13274=DIRECTION('',(0.E0,0.E0,1.E0)); +#13275=AXIS2_PLACEMENT_3D('',#13272,#13273,#13274); +#13276=CYLINDRICAL_SURFACE('',#13275,1.E-1); +#13277=ORIENTED_EDGE('',*,*,#13261,.F.); +#13279=ORIENTED_EDGE('',*,*,#13278,.F.); +#13280=ORIENTED_EDGE('',*,*,#11332,.T.); +#13282=ORIENTED_EDGE('',*,*,#13281,.T.); +#13283=EDGE_LOOP('',(#13277,#13279,#13280,#13282)); +#13284=FACE_OUTER_BOUND('',#13283,.F.); +#13286=CARTESIAN_POINT('',(1.575E1,-9.1E0,-1.01E1)); +#13287=DIRECTION('',(0.E0,0.E0,1.E0)); +#13288=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#13289=AXIS2_PLACEMENT_3D('',#13286,#13287,#13288); +#13290=TOROIDAL_SURFACE('',#13289,4.E-1,1.E-1); +#13291=ORIENTED_EDGE('',*,*,#11334,.T.); +#13292=ORIENTED_EDGE('',*,*,#13278,.T.); +#13294=ORIENTED_EDGE('',*,*,#13293,.F.); +#13296=ORIENTED_EDGE('',*,*,#13295,.F.); +#13297=EDGE_LOOP('',(#13291,#13292,#13294,#13296)); +#13298=FACE_OUTER_BOUND('',#13297,.F.); +#13300=CARTESIAN_POINT('',(1.575E1,-9.1E0,-2.E1)); +#13301=DIRECTION('',(0.E0,0.E0,1.E0)); +#13302=DIRECTION('',(1.E0,0.E0,0.E0)); +#13303=AXIS2_PLACEMENT_3D('',#13300,#13301,#13302); +#13304=CYLINDRICAL_SURFACE('',#13303,5.E-1); +#13305=ORIENTED_EDGE('',*,*,#13293,.T.); +#13306=ORIENTED_EDGE('',*,*,#13267,.F.); +#13307=ORIENTED_EDGE('',*,*,#11583,.F.); +#13309=ORIENTED_EDGE('',*,*,#13308,.T.); +#13310=EDGE_LOOP('',(#13305,#13306,#13307,#13309)); +#13311=FACE_OUTER_BOUND('',#13310,.F.); +#13313=CARTESIAN_POINT('',(1.625E1,-1.03E1,-2.E1)); +#13314=DIRECTION('',(1.E0,0.E0,0.E0)); +#13315=DIRECTION('',(0.E0,1.E0,0.E0)); +#13316=AXIS2_PLACEMENT_3D('',#13313,#13314,#13315); +#13317=PLANE('',#13316); +#13319=ORIENTED_EDGE('',*,*,#13318,.T.); +#13320=ORIENTED_EDGE('',*,*,#13308,.F.); +#13321=ORIENTED_EDGE('',*,*,#11581,.F.); +#13322=ORIENTED_EDGE('',*,*,#11997,.T.); +#13324=ORIENTED_EDGE('',*,*,#13323,.F.); +#13325=EDGE_LOOP('',(#13319,#13320,#13321,#13322,#13324)); +#13326=FACE_OUTER_BOUND('',#13325,.F.); +#13328=CARTESIAN_POINT('',(1.615E1,-5.789556E1,-1.01E1)); +#13329=DIRECTION('',(0.E0,1.E0,0.E0)); +#13330=DIRECTION('',(0.E0,0.E0,1.E0)); +#13331=AXIS2_PLACEMENT_3D('',#13328,#13329,#13330); +#13332=CYLINDRICAL_SURFACE('',#13331,1.E-1); +#13333=ORIENTED_EDGE('',*,*,#11336,.T.); +#13334=ORIENTED_EDGE('',*,*,#13295,.T.); +#13335=ORIENTED_EDGE('',*,*,#13318,.F.); +#13337=ORIENTED_EDGE('',*,*,#13336,.T.); +#13338=EDGE_LOOP('',(#13333,#13334,#13335,#13337)); +#13339=FACE_OUTER_BOUND('',#13338,.F.); +#13341=CARTESIAN_POINT('',(1.615E1,-1.02E1,-9.9E0)); +#13342=DIRECTION('',(1.E0,0.E0,0.E0)); +#13343=DIRECTION('',(-3.552713678801E-14,7.564028598729E-1,-6.541060415376E-1)); +#13344=AXIS2_PLACEMENT_3D('',#13341,#13342,#13343); +#13345=TOROIDAL_SURFACE('',#13344,2.E-1,1.E-1); +#13346=ORIENTED_EDGE('',*,*,#13323,.T.); +#13347=ORIENTED_EDGE('',*,*,#11995,.F.); +#13349=ORIENTED_EDGE('',*,*,#13348,.F.); +#13350=ORIENTED_EDGE('',*,*,#13336,.F.); +#13351=EDGE_LOOP('',(#13346,#13347,#13349,#13350)); +#13352=FACE_OUTER_BOUND('',#13351,.F.); +#13354=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#13355=DIRECTION('',(1.E0,0.E0,0.E0)); +#13356=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13357=AXIS2_PLACEMENT_3D('',#13354,#13355,#13356); +#13358=CYLINDRICAL_SURFACE('',#13357,1.E-1); +#13359=ORIENTED_EDGE('',*,*,#11993,.F.); +#13361=ORIENTED_EDGE('',*,*,#13360,.T.); +#13362=ORIENTED_EDGE('',*,*,#11330,.T.); +#13363=ORIENTED_EDGE('',*,*,#13348,.T.); +#13364=EDGE_LOOP('',(#13359,#13361,#13362,#13363)); +#13365=FACE_OUTER_BOUND('',#13364,.F.); +#13367=CARTESIAN_POINT('',(1.535E1,-1.02E1,-9.9E0)); +#13368=DIRECTION('',(1.E0,0.E0,0.E0)); +#13369=DIRECTION('',(2.664535259100E-14,5.485369585398E-1,-8.361263093074E-1)); +#13370=AXIS2_PLACEMENT_3D('',#13367,#13368,#13369); +#13371=TOROIDAL_SURFACE('',#13370,2.E-1,1.E-1); +#13372=ORIENTED_EDGE('',*,*,#13263,.F.); +#13373=ORIENTED_EDGE('',*,*,#13281,.F.); +#13374=ORIENTED_EDGE('',*,*,#13360,.F.); +#13375=ORIENTED_EDGE('',*,*,#11991,.F.); +#13376=EDGE_LOOP('',(#13372,#13373,#13374,#13375)); +#13377=FACE_OUTER_BOUND('',#13376,.F.); +#13379=CARTESIAN_POINT('',(1.875E1,-9.1E0,-2.E1)); +#13380=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13381=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13382=AXIS2_PLACEMENT_3D('',#13379,#13380,#13381); +#13383=PLANE('',#13382); +#13385=ORIENTED_EDGE('',*,*,#13384,.T.); +#13387=ORIENTED_EDGE('',*,*,#13386,.T.); +#13388=ORIENTED_EDGE('',*,*,#12000,.F.); +#13389=ORIENTED_EDGE('',*,*,#11577,.T.); +#13391=ORIENTED_EDGE('',*,*,#13390,.T.); +#13392=EDGE_LOOP('',(#13385,#13387,#13388,#13389,#13391)); +#13393=FACE_OUTER_BOUND('',#13392,.F.); +#13395=CARTESIAN_POINT('',(1.885E1,-8.961468488237E0,-1.01E1)); +#13396=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13397=DIRECTION('',(0.E0,0.E0,1.E0)); +#13398=AXIS2_PLACEMENT_3D('',#13395,#13396,#13397); +#13399=CYLINDRICAL_SURFACE('',#13398,1.E-1); +#13400=ORIENTED_EDGE('',*,*,#13384,.F.); +#13402=ORIENTED_EDGE('',*,*,#13401,.F.); +#13403=ORIENTED_EDGE('',*,*,#11348,.T.); +#13405=ORIENTED_EDGE('',*,*,#13404,.T.); +#13406=EDGE_LOOP('',(#13400,#13402,#13403,#13405)); +#13407=FACE_OUTER_BOUND('',#13406,.F.); +#13409=CARTESIAN_POINT('',(1.925E1,-9.1E0,-1.01E1)); +#13410=DIRECTION('',(0.E0,0.E0,1.E0)); +#13411=DIRECTION('',(9.618629422347E-1,-2.735318635106E-1,0.E0)); +#13412=AXIS2_PLACEMENT_3D('',#13409,#13410,#13411); +#13413=TOROIDAL_SURFACE('',#13412,4.E-1,1.E-1); +#13414=ORIENTED_EDGE('',*,*,#11350,.T.); +#13415=ORIENTED_EDGE('',*,*,#13401,.T.); +#13417=ORIENTED_EDGE('',*,*,#13416,.F.); +#13419=ORIENTED_EDGE('',*,*,#13418,.F.); +#13420=EDGE_LOOP('',(#13414,#13415,#13417,#13419)); +#13421=FACE_OUTER_BOUND('',#13420,.F.); +#13423=CARTESIAN_POINT('',(1.925E1,-9.1E0,-2.E1)); +#13424=DIRECTION('',(0.E0,0.E0,1.E0)); +#13425=DIRECTION('',(1.E0,0.E0,0.E0)); +#13426=AXIS2_PLACEMENT_3D('',#13423,#13424,#13425); +#13427=CYLINDRICAL_SURFACE('',#13426,5.E-1); +#13428=ORIENTED_EDGE('',*,*,#13416,.T.); +#13429=ORIENTED_EDGE('',*,*,#13390,.F.); +#13430=ORIENTED_EDGE('',*,*,#11575,.F.); +#13432=ORIENTED_EDGE('',*,*,#13431,.T.); +#13433=EDGE_LOOP('',(#13428,#13429,#13430,#13432)); +#13434=FACE_OUTER_BOUND('',#13433,.F.); +#13436=CARTESIAN_POINT('',(1.975E1,-1.03E1,-2.E1)); +#13437=DIRECTION('',(1.E0,0.E0,0.E0)); +#13438=DIRECTION('',(0.E0,1.E0,0.E0)); +#13439=AXIS2_PLACEMENT_3D('',#13436,#13437,#13438); +#13440=PLANE('',#13439); +#13442=ORIENTED_EDGE('',*,*,#13441,.T.); +#13443=ORIENTED_EDGE('',*,*,#13431,.F.); +#13444=ORIENTED_EDGE('',*,*,#11573,.F.); +#13445=ORIENTED_EDGE('',*,*,#12008,.T.); +#13447=ORIENTED_EDGE('',*,*,#13446,.F.); +#13448=EDGE_LOOP('',(#13442,#13443,#13444,#13445,#13447)); +#13449=FACE_OUTER_BOUND('',#13448,.F.); +#13451=CARTESIAN_POINT('',(1.965E1,-5.789556E1,-1.01E1)); +#13452=DIRECTION('',(0.E0,1.E0,0.E0)); +#13453=DIRECTION('',(0.E0,0.E0,1.E0)); +#13454=AXIS2_PLACEMENT_3D('',#13451,#13452,#13453); +#13455=CYLINDRICAL_SURFACE('',#13454,1.E-1); +#13456=ORIENTED_EDGE('',*,*,#11352,.T.); +#13457=ORIENTED_EDGE('',*,*,#13418,.T.); +#13458=ORIENTED_EDGE('',*,*,#13441,.F.); +#13460=ORIENTED_EDGE('',*,*,#13459,.T.); +#13461=EDGE_LOOP('',(#13456,#13457,#13458,#13460)); +#13462=FACE_OUTER_BOUND('',#13461,.F.); +#13464=CARTESIAN_POINT('',(1.965E1,-1.02E1,-9.9E0)); +#13465=DIRECTION('',(1.E0,0.E0,0.E0)); +#13466=DIRECTION('',(-7.105427357601E-14,7.564028598729E-1,-6.541060415376E-1)); +#13467=AXIS2_PLACEMENT_3D('',#13464,#13465,#13466); +#13468=TOROIDAL_SURFACE('',#13467,2.E-1,1.E-1); +#13469=ORIENTED_EDGE('',*,*,#13446,.T.); +#13470=ORIENTED_EDGE('',*,*,#12006,.F.); +#13472=ORIENTED_EDGE('',*,*,#13471,.F.); +#13473=ORIENTED_EDGE('',*,*,#13459,.F.); +#13474=EDGE_LOOP('',(#13469,#13470,#13472,#13473)); +#13475=FACE_OUTER_BOUND('',#13474,.F.); +#13477=CARTESIAN_POINT('',(-1.3869684E2,-1.02E1,-9.9E0)); +#13478=DIRECTION('',(1.E0,0.E0,0.E0)); +#13479=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13480=AXIS2_PLACEMENT_3D('',#13477,#13478,#13479); +#13481=CYLINDRICAL_SURFACE('',#13480,1.E-1); +#13482=ORIENTED_EDGE('',*,*,#12004,.F.); +#13484=ORIENTED_EDGE('',*,*,#13483,.T.); +#13485=ORIENTED_EDGE('',*,*,#11346,.T.); +#13486=ORIENTED_EDGE('',*,*,#13471,.T.); +#13487=EDGE_LOOP('',(#13482,#13484,#13485,#13486)); +#13488=FACE_OUTER_BOUND('',#13487,.F.); +#13490=CARTESIAN_POINT('',(1.885E1,-1.02E1,-9.9E0)); +#13491=DIRECTION('',(1.E0,0.E0,0.E0)); +#13492=DIRECTION('',(0.E0,5.485369585398E-1,-8.361263093074E-1)); +#13493=AXIS2_PLACEMENT_3D('',#13490,#13491,#13492); +#13494=TOROIDAL_SURFACE('',#13493,2.E-1,1.E-1); +#13495=ORIENTED_EDGE('',*,*,#13386,.F.); +#13496=ORIENTED_EDGE('',*,*,#13404,.F.); +#13497=ORIENTED_EDGE('',*,*,#13483,.F.); +#13498=ORIENTED_EDGE('',*,*,#12002,.F.); +#13499=EDGE_LOOP('',(#13495,#13496,#13497,#13498)); +#13500=FACE_OUTER_BOUND('',#13499,.F.); +#13502=CARTESIAN_POINT('',(2.35E1,-5.6E0,0.E0)); +#13503=DIRECTION('',(0.E0,0.E0,1.E0)); +#13504=DIRECTION('',(1.E0,0.E0,0.E0)); +#13505=AXIS2_PLACEMENT_3D('',#13502,#13503,#13504); +#13506=CYLINDRICAL_SURFACE('',#13505,4.7E0); +#13507=ORIENTED_EDGE('',*,*,#10695,.F.); +#13508=ORIENTED_EDGE('',*,*,#12011,.T.); +#13509=ORIENTED_EDGE('',*,*,#11569,.T.); +#13511=ORIENTED_EDGE('',*,*,#13510,.F.); +#13512=EDGE_LOOP('',(#13507,#13508,#13509,#13511)); +#13513=FACE_OUTER_BOUND('',#13512,.F.); +#13515=CARTESIAN_POINT('',(2.82E1,-5.6E0,0.E0)); +#13516=DIRECTION('',(1.E0,0.E0,0.E0)); +#13517=DIRECTION('',(0.E0,1.E0,0.E0)); +#13518=AXIS2_PLACEMENT_3D('',#13515,#13516,#13517); +#13519=PLANE('',#13518); +#13521=ORIENTED_EDGE('',*,*,#13520,.T.); +#13523=ORIENTED_EDGE('',*,*,#13522,.F.); +#13524=ORIENTED_EDGE('',*,*,#11559,.T.); +#13526=ORIENTED_EDGE('',*,*,#13525,.T.); +#13528=ORIENTED_EDGE('',*,*,#13527,.T.); +#13530=ORIENTED_EDGE('',*,*,#13529,.T.); +#13532=ORIENTED_EDGE('',*,*,#13531,.T.); +#13534=ORIENTED_EDGE('',*,*,#13533,.F.); +#13535=ORIENTED_EDGE('',*,*,#11551,.T.); +#13537=ORIENTED_EDGE('',*,*,#13536,.F.); +#13538=ORIENTED_EDGE('',*,*,#10681,.F.); +#13539=ORIENTED_EDGE('',*,*,#13510,.T.); +#13540=ORIENTED_EDGE('',*,*,#11567,.T.); +#13542=ORIENTED_EDGE('',*,*,#13541,.T.); +#13544=ORIENTED_EDGE('',*,*,#13543,.T.); +#13546=ORIENTED_EDGE('',*,*,#13545,.T.); +#13547=EDGE_LOOP('',(#13521,#13523,#13524,#13526,#13528,#13530,#13532,#13534, +#13535,#13537,#13538,#13539,#13540,#13542,#13544,#13546)); +#13548=FACE_OUTER_BOUND('',#13547,.F.); +#13550=CARTESIAN_POINT('',(2.81E1,-4.3E0,-9.9E0)); +#13551=DIRECTION('',(0.E0,1.E0,0.E0)); +#13552=DIRECTION('',(-7.564028598729E-1,0.E0,-6.541060415376E-1)); +#13553=AXIS2_PLACEMENT_3D('',#13550,#13551,#13552); +#13554=TOROIDAL_SURFACE('',#13553,2.E-1,1.E-1); +#13556=ORIENTED_EDGE('',*,*,#13555,.T.); +#13557=ORIENTED_EDGE('',*,*,#13520,.F.); +#13559=ORIENTED_EDGE('',*,*,#13558,.F.); +#13561=ORIENTED_EDGE('',*,*,#13560,.F.); +#13562=EDGE_LOOP('',(#13556,#13557,#13559,#13561)); +#13563=FACE_OUTER_BOUND('',#13562,.F.); +#13565=CARTESIAN_POINT('',(2.82E1,-4.2E0,-2.E1)); +#13566=DIRECTION('',(0.E0,1.E0,0.E0)); +#13567=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13568=AXIS2_PLACEMENT_3D('',#13565,#13566,#13567); +#13569=PLANE('',#13568); +#13571=ORIENTED_EDGE('',*,*,#13570,.T.); +#13573=ORIENTED_EDGE('',*,*,#13572,.F.); +#13574=ORIENTED_EDGE('',*,*,#11561,.F.); +#13575=ORIENTED_EDGE('',*,*,#13522,.T.); +#13576=ORIENTED_EDGE('',*,*,#13555,.F.); +#13577=EDGE_LOOP('',(#13571,#13573,#13574,#13575,#13576)); +#13578=FACE_OUTER_BOUND('',#13577,.F.); +#13580=CARTESIAN_POINT('',(1.3869684E2,-4.3E0,-1.01E1)); +#13581=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13582=DIRECTION('',(0.E0,0.E0,1.E0)); +#13583=AXIS2_PLACEMENT_3D('',#13580,#13581,#13582); +#13584=CYLINDRICAL_SURFACE('',#13583,1.E-1); +#13586=ORIENTED_EDGE('',*,*,#13585,.T.); +#13588=ORIENTED_EDGE('',*,*,#13587,.T.); +#13589=ORIENTED_EDGE('',*,*,#13570,.F.); +#13590=ORIENTED_EDGE('',*,*,#13560,.T.); +#13591=EDGE_LOOP('',(#13586,#13588,#13589,#13590)); +#13592=FACE_OUTER_BOUND('',#13591,.F.); +#13594=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#13595=DIRECTION('',(0.E0,0.E0,1.E0)); +#13596=DIRECTION('',(1.E0,0.E0,0.E0)); +#13597=AXIS2_PLACEMENT_3D('',#13594,#13595,#13596); +#13598=PLANE('',#13597); +#13600=ORIENTED_EDGE('',*,*,#13599,.F.); +#13602=ORIENTED_EDGE('',*,*,#13601,.F.); +#13604=ORIENTED_EDGE('',*,*,#13603,.F.); +#13606=ORIENTED_EDGE('',*,*,#13605,.F.); +#13607=EDGE_LOOP('',(#13600,#13602,#13604,#13606)); +#13608=FACE_OUTER_BOUND('',#13607,.F.); +#13610=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#13611=DIRECTION('',(0.E0,0.E0,1.E0)); +#13612=DIRECTION('',(1.E0,0.E0,0.E0)); +#13613=AXIS2_PLACEMENT_3D('',#13610,#13611,#13612); +#13614=PLANE('',#13613); +#13616=ORIENTED_EDGE('',*,*,#13615,.F.); +#13618=ORIENTED_EDGE('',*,*,#13617,.F.); +#13620=ORIENTED_EDGE('',*,*,#13619,.F.); +#13622=ORIENTED_EDGE('',*,*,#13621,.F.); +#13623=EDGE_LOOP('',(#13616,#13618,#13620,#13622)); +#13624=FACE_OUTER_BOUND('',#13623,.F.); +#13626=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#13627=DIRECTION('',(0.E0,0.E0,1.E0)); +#13628=DIRECTION('',(1.E0,0.E0,0.E0)); +#13629=AXIS2_PLACEMENT_3D('',#13626,#13627,#13628); +#13630=PLANE('',#13629); +#13631=ORIENTED_EDGE('',*,*,#13585,.F.); +#13633=ORIENTED_EDGE('',*,*,#13632,.F.); +#13635=ORIENTED_EDGE('',*,*,#13634,.F.); +#13637=ORIENTED_EDGE('',*,*,#13636,.F.); +#13638=EDGE_LOOP('',(#13631,#13633,#13635,#13637)); +#13639=FACE_OUTER_BOUND('',#13638,.F.); +#13641=CARTESIAN_POINT('',(0.E0,0.E0,-1.E1)); +#13642=DIRECTION('',(0.E0,0.E0,1.E0)); +#13643=DIRECTION('',(1.E0,0.E0,0.E0)); +#13644=AXIS2_PLACEMENT_3D('',#13641,#13642,#13643); +#13645=PLANE('',#13644); +#13647=ORIENTED_EDGE('',*,*,#13646,.F.); +#13649=ORIENTED_EDGE('',*,*,#13648,.F.); +#13651=ORIENTED_EDGE('',*,*,#13650,.F.); +#13653=ORIENTED_EDGE('',*,*,#13652,.F.); +#13654=EDGE_LOOP('',(#13647,#13649,#13651,#13653)); +#13655=FACE_OUTER_BOUND('',#13654,.F.); +#13657=CARTESIAN_POINT('',(-1.3869684E2,4.3E0,-1.01E1)); +#13658=DIRECTION('',(1.E0,0.E0,0.E0)); +#13659=DIRECTION('',(0.E0,0.E0,1.E0)); +#13660=AXIS2_PLACEMENT_3D('',#13657,#13658,#13659); +#13661=CYLINDRICAL_SURFACE('',#13660,1.E-1); +#13662=ORIENTED_EDGE('',*,*,#13599,.T.); +#13664=ORIENTED_EDGE('',*,*,#13663,.T.); +#13666=ORIENTED_EDGE('',*,*,#13665,.F.); +#13668=ORIENTED_EDGE('',*,*,#13667,.T.); +#13669=EDGE_LOOP('',(#13662,#13664,#13666,#13668)); +#13670=FACE_OUTER_BOUND('',#13669,.F.); +#13672=CARTESIAN_POINT('',(-2.7E1,4.7E0,-1.01E1)); +#13673=DIRECTION('',(0.E0,0.E0,1.E0)); +#13674=DIRECTION('',(-2.735318635106E-1,-9.618629422347E-1,0.E0)); +#13675=AXIS2_PLACEMENT_3D('',#13672,#13673,#13674); +#13676=TOROIDAL_SURFACE('',#13675,4.E-1,1.E-1); +#13677=ORIENTED_EDGE('',*,*,#13605,.T.); +#13679=ORIENTED_EDGE('',*,*,#13678,.T.); +#13681=ORIENTED_EDGE('',*,*,#13680,.F.); +#13682=ORIENTED_EDGE('',*,*,#13663,.F.); +#13683=EDGE_LOOP('',(#13677,#13679,#13681,#13682)); +#13684=FACE_OUTER_BOUND('',#13683,.F.); +#13686=CARTESIAN_POINT('',(-2.686146848824E1,5.1E0,-1.01E1)); +#13687=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13688=DIRECTION('',(0.E0,0.E0,1.E0)); +#13689=AXIS2_PLACEMENT_3D('',#13686,#13687,#13688); +#13690=CYLINDRICAL_SURFACE('',#13689,1.E-1); +#13692=ORIENTED_EDGE('',*,*,#13691,.F.); +#13693=ORIENTED_EDGE('',*,*,#13678,.F.); +#13694=ORIENTED_EDGE('',*,*,#13603,.T.); +#13696=ORIENTED_EDGE('',*,*,#13695,.T.); +#13697=EDGE_LOOP('',(#13692,#13693,#13694,#13696)); +#13698=FACE_OUTER_BOUND('',#13697,.F.); +#13700=CARTESIAN_POINT('',(-2.7E1,5.2E0,-2.E1)); +#13701=DIRECTION('',(0.E0,1.E0,0.E0)); +#13702=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13703=AXIS2_PLACEMENT_3D('',#13700,#13701,#13702); +#13704=PLANE('',#13703); +#13705=ORIENTED_EDGE('',*,*,#13691,.T.); +#13707=ORIENTED_EDGE('',*,*,#13706,.T.); +#13709=ORIENTED_EDGE('',*,*,#13708,.F.); +#13710=ORIENTED_EDGE('',*,*,#11462,.T.); +#13712=ORIENTED_EDGE('',*,*,#13711,.T.); +#13713=EDGE_LOOP('',(#13705,#13707,#13709,#13710,#13712)); +#13714=FACE_OUTER_BOUND('',#13713,.F.); +#13716=CARTESIAN_POINT('',(-2.81E1,5.1E0,-9.9E0)); +#13717=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13718=DIRECTION('',(5.485369585398E-1,0.E0,-8.361263093073E-1)); +#13719=AXIS2_PLACEMENT_3D('',#13716,#13717,#13718); +#13720=TOROIDAL_SURFACE('',#13719,2.E-1,1.E-1); +#13721=ORIENTED_EDGE('',*,*,#13706,.F.); +#13722=ORIENTED_EDGE('',*,*,#13695,.F.); +#13724=ORIENTED_EDGE('',*,*,#13723,.F.); +#13726=ORIENTED_EDGE('',*,*,#13725,.F.); +#13727=EDGE_LOOP('',(#13721,#13722,#13724,#13726)); +#13728=FACE_OUTER_BOUND('',#13727,.F.); +#13730=CARTESIAN_POINT('',(-2.81E1,5.789556E1,-9.9E0)); +#13731=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13732=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13733=AXIS2_PLACEMENT_3D('',#13730,#13731,#13732); +#13734=CYLINDRICAL_SURFACE('',#13733,1.E-1); +#13736=ORIENTED_EDGE('',*,*,#13735,.F.); +#13737=ORIENTED_EDGE('',*,*,#13723,.T.); +#13738=ORIENTED_EDGE('',*,*,#13601,.T.); +#13740=ORIENTED_EDGE('',*,*,#13739,.T.); +#13741=EDGE_LOOP('',(#13736,#13737,#13738,#13740)); +#13742=FACE_OUTER_BOUND('',#13741,.F.); +#13744=CARTESIAN_POINT('',(-2.82E1,5.6E0,0.E0)); +#13745=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13746=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13747=AXIS2_PLACEMENT_3D('',#13744,#13745,#13746); +#13748=PLANE('',#13747); +#13750=ORIENTED_EDGE('',*,*,#13749,.T.); +#13752=ORIENTED_EDGE('',*,*,#13751,.F.); +#13753=ORIENTED_EDGE('',*,*,#11456,.T.); +#13755=ORIENTED_EDGE('',*,*,#13754,.T.); +#13757=ORIENTED_EDGE('',*,*,#13756,.T.); +#13759=ORIENTED_EDGE('',*,*,#13758,.T.); +#13761=ORIENTED_EDGE('',*,*,#13760,.T.); +#13763=ORIENTED_EDGE('',*,*,#13762,.F.); +#13764=ORIENTED_EDGE('',*,*,#11448,.T.); +#13766=ORIENTED_EDGE('',*,*,#13765,.F.); +#13767=ORIENTED_EDGE('',*,*,#10737,.F.); +#13768=ORIENTED_EDGE('',*,*,#10766,.T.); +#13769=ORIENTED_EDGE('',*,*,#11464,.T.); +#13770=ORIENTED_EDGE('',*,*,#13708,.T.); +#13771=ORIENTED_EDGE('',*,*,#13725,.T.); +#13772=ORIENTED_EDGE('',*,*,#13735,.T.); +#13773=EDGE_LOOP('',(#13750,#13752,#13753,#13755,#13757,#13759,#13761,#13763, +#13764,#13766,#13767,#13768,#13769,#13770,#13771,#13772)); +#13774=FACE_OUTER_BOUND('',#13773,.F.); +#13776=CARTESIAN_POINT('',(-2.81E1,4.3E0,-9.9E0)); +#13777=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13778=DIRECTION('',(7.564028598729E-1,0.E0,-6.541060415376E-1)); +#13779=AXIS2_PLACEMENT_3D('',#13776,#13777,#13778); +#13780=TOROIDAL_SURFACE('',#13779,2.E-1,1.E-1); +#13782=ORIENTED_EDGE('',*,*,#13781,.T.); +#13783=ORIENTED_EDGE('',*,*,#13749,.F.); +#13784=ORIENTED_EDGE('',*,*,#13739,.F.); +#13785=ORIENTED_EDGE('',*,*,#13667,.F.); +#13786=EDGE_LOOP('',(#13782,#13783,#13784,#13785)); +#13787=FACE_OUTER_BOUND('',#13786,.F.); +#13789=CARTESIAN_POINT('',(-2.82E1,4.2E0,-2.E1)); +#13790=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13791=DIRECTION('',(1.E0,0.E0,0.E0)); +#13792=AXIS2_PLACEMENT_3D('',#13789,#13790,#13791); +#13793=PLANE('',#13792); +#13794=ORIENTED_EDGE('',*,*,#13665,.T.); +#13796=ORIENTED_EDGE('',*,*,#13795,.F.); +#13797=ORIENTED_EDGE('',*,*,#11458,.F.); +#13798=ORIENTED_EDGE('',*,*,#13751,.T.); +#13799=ORIENTED_EDGE('',*,*,#13781,.F.); +#13800=EDGE_LOOP('',(#13794,#13796,#13797,#13798,#13799)); +#13801=FACE_OUTER_BOUND('',#13800,.F.); +#13803=CARTESIAN_POINT('',(-2.7E1,4.7E0,-2.E1)); +#13804=DIRECTION('',(0.E0,0.E0,1.E0)); +#13805=DIRECTION('',(1.E0,0.E0,0.E0)); +#13806=AXIS2_PLACEMENT_3D('',#13803,#13804,#13805); +#13807=CYLINDRICAL_SURFACE('',#13806,5.E-1); +#13808=ORIENTED_EDGE('',*,*,#13680,.T.); +#13809=ORIENTED_EDGE('',*,*,#13711,.F.); +#13810=ORIENTED_EDGE('',*,*,#11460,.F.); +#13811=ORIENTED_EDGE('',*,*,#13795,.T.); +#13812=EDGE_LOOP('',(#13808,#13809,#13810,#13811)); +#13813=FACE_OUTER_BOUND('',#13812,.F.); +#13815=CARTESIAN_POINT('',(-2.7E1,-4.2E0,-2.E1)); +#13816=DIRECTION('',(0.E0,1.E0,0.E0)); +#13817=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13818=AXIS2_PLACEMENT_3D('',#13815,#13816,#13817); +#13819=PLANE('',#13818); +#13821=ORIENTED_EDGE('',*,*,#13820,.T.); +#13823=ORIENTED_EDGE('',*,*,#13822,.T.); +#13824=ORIENTED_EDGE('',*,*,#13754,.F.); +#13825=ORIENTED_EDGE('',*,*,#11454,.T.); +#13827=ORIENTED_EDGE('',*,*,#13826,.T.); +#13828=EDGE_LOOP('',(#13821,#13823,#13824,#13825,#13827)); +#13829=FACE_OUTER_BOUND('',#13828,.F.); +#13831=CARTESIAN_POINT('',(-2.686146848824E1,-4.3E0,-1.01E1)); +#13832=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13833=DIRECTION('',(0.E0,0.E0,1.E0)); +#13834=AXIS2_PLACEMENT_3D('',#13831,#13832,#13833); +#13835=CYLINDRICAL_SURFACE('',#13834,1.E-1); +#13836=ORIENTED_EDGE('',*,*,#13820,.F.); +#13838=ORIENTED_EDGE('',*,*,#13837,.F.); +#13839=ORIENTED_EDGE('',*,*,#13617,.T.); +#13841=ORIENTED_EDGE('',*,*,#13840,.T.); +#13842=EDGE_LOOP('',(#13836,#13838,#13839,#13841)); +#13843=FACE_OUTER_BOUND('',#13842,.F.); +#13845=CARTESIAN_POINT('',(-2.7E1,-4.7E0,-1.01E1)); +#13846=DIRECTION('',(0.E0,0.E0,1.E0)); +#13847=DIRECTION('',(-2.735318635106E-1,-9.618629422347E-1,0.E0)); +#13848=AXIS2_PLACEMENT_3D('',#13845,#13846,#13847); +#13849=TOROIDAL_SURFACE('',#13848,4.E-1,1.E-1); +#13850=ORIENTED_EDGE('',*,*,#13619,.T.); +#13851=ORIENTED_EDGE('',*,*,#13837,.T.); +#13853=ORIENTED_EDGE('',*,*,#13852,.F.); +#13855=ORIENTED_EDGE('',*,*,#13854,.F.); +#13856=EDGE_LOOP('',(#13850,#13851,#13853,#13855)); +#13857=FACE_OUTER_BOUND('',#13856,.F.); +#13859=CARTESIAN_POINT('',(-2.7E1,-4.7E0,-2.E1)); +#13860=DIRECTION('',(0.E0,0.E0,1.E0)); +#13861=DIRECTION('',(1.E0,0.E0,0.E0)); +#13862=AXIS2_PLACEMENT_3D('',#13859,#13860,#13861); +#13863=CYLINDRICAL_SURFACE('',#13862,5.E-1); +#13864=ORIENTED_EDGE('',*,*,#13852,.T.); +#13865=ORIENTED_EDGE('',*,*,#13826,.F.); +#13866=ORIENTED_EDGE('',*,*,#11452,.F.); +#13868=ORIENTED_EDGE('',*,*,#13867,.T.); +#13869=EDGE_LOOP('',(#13864,#13865,#13866,#13868)); +#13870=FACE_OUTER_BOUND('',#13869,.F.); +#13872=CARTESIAN_POINT('',(-2.82E1,-5.2E0,-2.E1)); +#13873=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13874=DIRECTION('',(1.E0,0.E0,0.E0)); +#13875=AXIS2_PLACEMENT_3D('',#13872,#13873,#13874); +#13876=PLANE('',#13875); +#13878=ORIENTED_EDGE('',*,*,#13877,.T.); +#13879=ORIENTED_EDGE('',*,*,#13867,.F.); +#13880=ORIENTED_EDGE('',*,*,#11450,.F.); +#13881=ORIENTED_EDGE('',*,*,#13762,.T.); +#13883=ORIENTED_EDGE('',*,*,#13882,.F.); +#13884=EDGE_LOOP('',(#13878,#13879,#13880,#13881,#13883)); +#13885=FACE_OUTER_BOUND('',#13884,.F.); +#13887=CARTESIAN_POINT('',(-1.3869684E2,-5.1E0,-1.01E1)); +#13888=DIRECTION('',(1.E0,0.E0,0.E0)); +#13889=DIRECTION('',(0.E0,0.E0,1.E0)); +#13890=AXIS2_PLACEMENT_3D('',#13887,#13888,#13889); +#13891=CYLINDRICAL_SURFACE('',#13890,1.E-1); +#13892=ORIENTED_EDGE('',*,*,#13621,.T.); +#13893=ORIENTED_EDGE('',*,*,#13854,.T.); +#13894=ORIENTED_EDGE('',*,*,#13877,.F.); +#13896=ORIENTED_EDGE('',*,*,#13895,.T.); +#13897=EDGE_LOOP('',(#13892,#13893,#13894,#13896)); +#13898=FACE_OUTER_BOUND('',#13897,.F.); +#13900=CARTESIAN_POINT('',(-2.81E1,-5.1E0,-9.9E0)); +#13901=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13902=DIRECTION('',(7.564028598729E-1,0.E0,-6.541060415376E-1)); +#13903=AXIS2_PLACEMENT_3D('',#13900,#13901,#13902); +#13904=TOROIDAL_SURFACE('',#13903,2.E-1,1.E-1); +#13905=ORIENTED_EDGE('',*,*,#13882,.T.); +#13906=ORIENTED_EDGE('',*,*,#13760,.F.); +#13908=ORIENTED_EDGE('',*,*,#13907,.F.); +#13909=ORIENTED_EDGE('',*,*,#13895,.F.); +#13910=EDGE_LOOP('',(#13905,#13906,#13908,#13909)); +#13911=FACE_OUTER_BOUND('',#13910,.F.); +#13913=CARTESIAN_POINT('',(-2.81E1,5.789556E1,-9.9E0)); +#13914=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13915=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13916=AXIS2_PLACEMENT_3D('',#13913,#13914,#13915); +#13917=CYLINDRICAL_SURFACE('',#13916,1.E-1); +#13918=ORIENTED_EDGE('',*,*,#13758,.F.); +#13920=ORIENTED_EDGE('',*,*,#13919,.T.); +#13921=ORIENTED_EDGE('',*,*,#13615,.T.); +#13922=ORIENTED_EDGE('',*,*,#13907,.T.); +#13923=EDGE_LOOP('',(#13918,#13920,#13921,#13922)); +#13924=FACE_OUTER_BOUND('',#13923,.F.); +#13926=CARTESIAN_POINT('',(-2.81E1,-4.3E0,-9.9E0)); +#13927=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13928=DIRECTION('',(5.485369585398E-1,0.E0,-8.361263093073E-1)); +#13929=AXIS2_PLACEMENT_3D('',#13926,#13927,#13928); +#13930=TOROIDAL_SURFACE('',#13929,2.E-1,1.E-1); +#13931=ORIENTED_EDGE('',*,*,#13822,.F.); +#13932=ORIENTED_EDGE('',*,*,#13840,.F.); +#13933=ORIENTED_EDGE('',*,*,#13919,.F.); +#13934=ORIENTED_EDGE('',*,*,#13756,.F.); +#13935=EDGE_LOOP('',(#13931,#13932,#13933,#13934)); +#13936=FACE_OUTER_BOUND('',#13935,.F.); +#13938=CARTESIAN_POINT('',(-2.35E1,-5.6E0,0.E0)); +#13939=DIRECTION('',(0.E0,0.E0,1.E0)); +#13940=DIRECTION('',(1.E0,0.E0,0.E0)); +#13941=AXIS2_PLACEMENT_3D('',#13938,#13939,#13940); +#13942=CYLINDRICAL_SURFACE('',#13941,4.7E0); +#13943=ORIENTED_EDGE('',*,*,#10723,.F.); +#13944=ORIENTED_EDGE('',*,*,#13765,.T.); +#13945=ORIENTED_EDGE('',*,*,#11446,.T.); +#13946=ORIENTED_EDGE('',*,*,#12014,.F.); +#13947=EDGE_LOOP('',(#13943,#13944,#13945,#13946)); +#13948=FACE_OUTER_BOUND('',#13947,.F.); +#13950=CARTESIAN_POINT('',(2.81E1,-5.789556E1,-9.9E0)); +#13951=DIRECTION('',(0.E0,1.E0,0.E0)); +#13952=DIRECTION('',(1.E0,0.E0,0.E0)); +#13953=AXIS2_PLACEMENT_3D('',#13950,#13951,#13952); +#13954=CYLINDRICAL_SURFACE('',#13953,1.E-1); +#13955=ORIENTED_EDGE('',*,*,#13545,.F.); +#13957=ORIENTED_EDGE('',*,*,#13956,.T.); +#13958=ORIENTED_EDGE('',*,*,#13632,.T.); +#13959=ORIENTED_EDGE('',*,*,#13558,.T.); +#13960=EDGE_LOOP('',(#13955,#13957,#13958,#13959)); +#13961=FACE_OUTER_BOUND('',#13960,.F.); +#13963=CARTESIAN_POINT('',(2.81E1,-5.1E0,-9.9E0)); +#13964=DIRECTION('',(0.E0,1.E0,0.E0)); +#13965=DIRECTION('',(-5.485369585398E-1,0.E0,-8.361263093073E-1)); +#13966=AXIS2_PLACEMENT_3D('',#13963,#13964,#13965); +#13967=TOROIDAL_SURFACE('',#13966,2.E-1,1.E-1); +#13969=ORIENTED_EDGE('',*,*,#13968,.F.); +#13971=ORIENTED_EDGE('',*,*,#13970,.F.); +#13972=ORIENTED_EDGE('',*,*,#13956,.F.); +#13973=ORIENTED_EDGE('',*,*,#13543,.F.); +#13974=EDGE_LOOP('',(#13969,#13971,#13972,#13973)); +#13975=FACE_OUTER_BOUND('',#13974,.F.); +#13977=CARTESIAN_POINT('',(2.7E1,-5.2E0,-2.E1)); +#13978=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13979=DIRECTION('',(1.E0,0.E0,0.E0)); +#13980=AXIS2_PLACEMENT_3D('',#13977,#13978,#13979); +#13981=PLANE('',#13980); +#13983=ORIENTED_EDGE('',*,*,#13982,.T.); +#13984=ORIENTED_EDGE('',*,*,#13968,.T.); +#13985=ORIENTED_EDGE('',*,*,#13541,.F.); +#13986=ORIENTED_EDGE('',*,*,#11565,.T.); +#13988=ORIENTED_EDGE('',*,*,#13987,.T.); +#13989=EDGE_LOOP('',(#13983,#13984,#13985,#13986,#13988)); +#13990=FACE_OUTER_BOUND('',#13989,.F.); +#13992=CARTESIAN_POINT('',(2.686146848824E1,-5.1E0,-1.01E1)); +#13993=DIRECTION('',(1.E0,0.E0,0.E0)); +#13994=DIRECTION('',(0.E0,0.E0,1.E0)); +#13995=AXIS2_PLACEMENT_3D('',#13992,#13993,#13994); +#13996=CYLINDRICAL_SURFACE('',#13995,1.E-1); +#13997=ORIENTED_EDGE('',*,*,#13982,.F.); +#13999=ORIENTED_EDGE('',*,*,#13998,.F.); +#14000=ORIENTED_EDGE('',*,*,#13634,.T.); +#14001=ORIENTED_EDGE('',*,*,#13970,.T.); +#14002=EDGE_LOOP('',(#13997,#13999,#14000,#14001)); +#14003=FACE_OUTER_BOUND('',#14002,.F.); +#14005=CARTESIAN_POINT('',(2.7E1,-4.7E0,-1.01E1)); +#14006=DIRECTION('',(0.E0,0.E0,1.E0)); +#14007=DIRECTION('',(2.735318635106E-1,9.618629422347E-1,0.E0)); +#14008=AXIS2_PLACEMENT_3D('',#14005,#14006,#14007); +#14009=TOROIDAL_SURFACE('',#14008,4.E-1,1.E-1); +#14010=ORIENTED_EDGE('',*,*,#13636,.T.); +#14011=ORIENTED_EDGE('',*,*,#13998,.T.); +#14013=ORIENTED_EDGE('',*,*,#14012,.F.); +#14014=ORIENTED_EDGE('',*,*,#13587,.F.); +#14015=EDGE_LOOP('',(#14010,#14011,#14013,#14014)); +#14016=FACE_OUTER_BOUND('',#14015,.F.); +#14018=CARTESIAN_POINT('',(2.7E1,-4.7E0,-2.E1)); +#14019=DIRECTION('',(0.E0,0.E0,1.E0)); +#14020=DIRECTION('',(1.E0,0.E0,0.E0)); +#14021=AXIS2_PLACEMENT_3D('',#14018,#14019,#14020); +#14022=CYLINDRICAL_SURFACE('',#14021,5.E-1); +#14023=ORIENTED_EDGE('',*,*,#14012,.T.); +#14024=ORIENTED_EDGE('',*,*,#13987,.F.); +#14025=ORIENTED_EDGE('',*,*,#11563,.F.); +#14026=ORIENTED_EDGE('',*,*,#13572,.T.); +#14027=EDGE_LOOP('',(#14023,#14024,#14025,#14026)); +#14028=FACE_OUTER_BOUND('',#14027,.F.); +#14030=CARTESIAN_POINT('',(1.3869684E2,5.1E0,-1.01E1)); +#14031=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14032=DIRECTION('',(0.E0,0.E0,1.E0)); +#14033=AXIS2_PLACEMENT_3D('',#14030,#14031,#14032); +#14034=CYLINDRICAL_SURFACE('',#14033,1.E-1); +#14035=ORIENTED_EDGE('',*,*,#13646,.T.); +#14037=ORIENTED_EDGE('',*,*,#14036,.T.); +#14039=ORIENTED_EDGE('',*,*,#14038,.F.); +#14041=ORIENTED_EDGE('',*,*,#14040,.T.); +#14042=EDGE_LOOP('',(#14035,#14037,#14039,#14041)); +#14043=FACE_OUTER_BOUND('',#14042,.F.); +#14045=CARTESIAN_POINT('',(2.7E1,4.7E0,-1.01E1)); +#14046=DIRECTION('',(0.E0,0.E0,1.E0)); +#14047=DIRECTION('',(2.735318635106E-1,9.618629422347E-1,0.E0)); +#14048=AXIS2_PLACEMENT_3D('',#14045,#14046,#14047); +#14049=TOROIDAL_SURFACE('',#14048,4.E-1,1.E-1); +#14050=ORIENTED_EDGE('',*,*,#13652,.T.); +#14052=ORIENTED_EDGE('',*,*,#14051,.T.); +#14054=ORIENTED_EDGE('',*,*,#14053,.F.); +#14055=ORIENTED_EDGE('',*,*,#14036,.F.); +#14056=EDGE_LOOP('',(#14050,#14052,#14054,#14055)); +#14057=FACE_OUTER_BOUND('',#14056,.F.); +#14059=CARTESIAN_POINT('',(2.686146848824E1,4.3E0,-1.01E1)); +#14060=DIRECTION('',(1.E0,0.E0,0.E0)); +#14061=DIRECTION('',(0.E0,0.E0,1.E0)); +#14062=AXIS2_PLACEMENT_3D('',#14059,#14060,#14061); +#14063=CYLINDRICAL_SURFACE('',#14062,1.E-1); +#14065=ORIENTED_EDGE('',*,*,#14064,.F.); +#14066=ORIENTED_EDGE('',*,*,#14051,.F.); +#14067=ORIENTED_EDGE('',*,*,#13650,.T.); +#14069=ORIENTED_EDGE('',*,*,#14068,.T.); +#14070=EDGE_LOOP('',(#14065,#14066,#14067,#14069)); +#14071=FACE_OUTER_BOUND('',#14070,.F.); +#14073=CARTESIAN_POINT('',(2.7E1,4.2E0,-2.E1)); +#14074=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14075=DIRECTION('',(1.E0,0.E0,0.E0)); +#14076=AXIS2_PLACEMENT_3D('',#14073,#14074,#14075); +#14077=PLANE('',#14076); +#14078=ORIENTED_EDGE('',*,*,#14064,.T.); +#14080=ORIENTED_EDGE('',*,*,#14079,.T.); +#14081=ORIENTED_EDGE('',*,*,#13525,.F.); +#14082=ORIENTED_EDGE('',*,*,#11557,.T.); +#14084=ORIENTED_EDGE('',*,*,#14083,.T.); +#14085=EDGE_LOOP('',(#14078,#14080,#14081,#14082,#14084)); +#14086=FACE_OUTER_BOUND('',#14085,.F.); +#14088=CARTESIAN_POINT('',(2.81E1,4.3E0,-9.9E0)); +#14089=DIRECTION('',(0.E0,1.E0,0.E0)); +#14090=DIRECTION('',(-5.485369585398E-1,0.E0,-8.361263093073E-1)); +#14091=AXIS2_PLACEMENT_3D('',#14088,#14089,#14090); +#14092=TOROIDAL_SURFACE('',#14091,2.E-1,1.E-1); +#14093=ORIENTED_EDGE('',*,*,#14079,.F.); +#14094=ORIENTED_EDGE('',*,*,#14068,.F.); +#14096=ORIENTED_EDGE('',*,*,#14095,.F.); +#14097=ORIENTED_EDGE('',*,*,#13527,.F.); +#14098=EDGE_LOOP('',(#14093,#14094,#14096,#14097)); +#14099=FACE_OUTER_BOUND('',#14098,.F.); +#14101=CARTESIAN_POINT('',(2.81E1,-5.789556E1,-9.9E0)); +#14102=DIRECTION('',(0.E0,1.E0,0.E0)); +#14103=DIRECTION('',(1.E0,0.E0,0.E0)); +#14104=AXIS2_PLACEMENT_3D('',#14101,#14102,#14103); +#14105=CYLINDRICAL_SURFACE('',#14104,1.E-1); +#14106=ORIENTED_EDGE('',*,*,#13529,.F.); +#14107=ORIENTED_EDGE('',*,*,#14095,.T.); +#14108=ORIENTED_EDGE('',*,*,#13648,.T.); +#14110=ORIENTED_EDGE('',*,*,#14109,.T.); +#14111=EDGE_LOOP('',(#14106,#14107,#14108,#14110)); +#14112=FACE_OUTER_BOUND('',#14111,.F.); +#14114=CARTESIAN_POINT('',(2.81E1,5.1E0,-9.9E0)); +#14115=DIRECTION('',(0.E0,1.E0,0.E0)); +#14116=DIRECTION('',(-7.564028598729E-1,0.E0,-6.541060415376E-1)); +#14117=AXIS2_PLACEMENT_3D('',#14114,#14115,#14116); +#14118=TOROIDAL_SURFACE('',#14117,2.E-1,1.E-1); +#14120=ORIENTED_EDGE('',*,*,#14119,.T.); +#14121=ORIENTED_EDGE('',*,*,#13531,.F.); +#14122=ORIENTED_EDGE('',*,*,#14109,.F.); +#14123=ORIENTED_EDGE('',*,*,#14040,.F.); +#14124=EDGE_LOOP('',(#14120,#14121,#14122,#14123)); +#14125=FACE_OUTER_BOUND('',#14124,.F.); +#14127=CARTESIAN_POINT('',(2.82E1,5.2E0,-2.E1)); +#14128=DIRECTION('',(0.E0,1.E0,0.E0)); +#14129=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14130=AXIS2_PLACEMENT_3D('',#14127,#14128,#14129); +#14131=PLANE('',#14130); +#14132=ORIENTED_EDGE('',*,*,#14038,.T.); +#14134=ORIENTED_EDGE('',*,*,#14133,.F.); +#14135=ORIENTED_EDGE('',*,*,#11553,.F.); +#14136=ORIENTED_EDGE('',*,*,#13533,.T.); +#14137=ORIENTED_EDGE('',*,*,#14119,.F.); +#14138=EDGE_LOOP('',(#14132,#14134,#14135,#14136,#14137)); +#14139=FACE_OUTER_BOUND('',#14138,.F.); +#14141=CARTESIAN_POINT('',(2.7E1,4.7E0,-2.E1)); +#14142=DIRECTION('',(0.E0,0.E0,1.E0)); +#14143=DIRECTION('',(1.E0,0.E0,0.E0)); +#14144=AXIS2_PLACEMENT_3D('',#14141,#14142,#14143); +#14145=CYLINDRICAL_SURFACE('',#14144,5.E-1); +#14146=ORIENTED_EDGE('',*,*,#14053,.T.); +#14147=ORIENTED_EDGE('',*,*,#14083,.F.); +#14148=ORIENTED_EDGE('',*,*,#11555,.F.); +#14149=ORIENTED_EDGE('',*,*,#14133,.T.); +#14150=EDGE_LOOP('',(#14146,#14147,#14148,#14149)); +#14151=FACE_OUTER_BOUND('',#14150,.F.); +#14153=CARTESIAN_POINT('',(2.35E1,5.6E0,0.E0)); +#14154=DIRECTION('',(0.E0,0.E0,1.E0)); +#14155=DIRECTION('',(1.E0,0.E0,0.E0)); +#14156=AXIS2_PLACEMENT_3D('',#14153,#14154,#14155); +#14157=CYLINDRICAL_SURFACE('',#14156,4.7E0); +#14158=ORIENTED_EDGE('',*,*,#10667,.F.); +#14159=ORIENTED_EDGE('',*,*,#13536,.T.); +#14160=ORIENTED_EDGE('',*,*,#11549,.T.); +#14161=ORIENTED_EDGE('',*,*,#10904,.F.); +#14162=EDGE_LOOP('',(#14158,#14159,#14160,#14161)); +#14163=FACE_OUTER_BOUND('',#14162,.F.); +#14165=CARTESIAN_POINT('',(-1.975E1,1.03E1,-2.E1)); +#14166=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14167=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14168=AXIS2_PLACEMENT_3D('',#14165,#14166,#14167); +#14169=PLANE('',#14168); +#14171=ORIENTED_EDGE('',*,*,#14170,.T.); +#14173=ORIENTED_EDGE('',*,*,#14172,.F.); +#14174=ORIENTED_EDGE('',*,*,#11468,.F.); +#14175=ORIENTED_EDGE('',*,*,#10898,.T.); +#14177=ORIENTED_EDGE('',*,*,#14176,.F.); +#14178=EDGE_LOOP('',(#14171,#14173,#14174,#14175,#14177)); +#14179=FACE_OUTER_BOUND('',#14178,.F.); +#14181=CARTESIAN_POINT('',(-1.965E1,5.789556E1,-1.01E1)); +#14182=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14183=DIRECTION('',(0.E0,0.E0,1.E0)); +#14184=AXIS2_PLACEMENT_3D('',#14181,#14182,#14183); +#14185=CYLINDRICAL_SURFACE('',#14184,1.E-1); +#14186=ORIENTED_EDGE('',*,*,#11160,.T.); +#14188=ORIENTED_EDGE('',*,*,#14187,.T.); +#14189=ORIENTED_EDGE('',*,*,#14170,.F.); +#14191=ORIENTED_EDGE('',*,*,#14190,.T.); +#14192=EDGE_LOOP('',(#14186,#14188,#14189,#14191)); +#14193=FACE_OUTER_BOUND('',#14192,.F.); +#14195=CARTESIAN_POINT('',(-1.925E1,9.1E0,-1.01E1)); +#14196=DIRECTION('',(0.E0,0.E0,1.E0)); +#14197=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#14198=AXIS2_PLACEMENT_3D('',#14195,#14196,#14197); +#14199=TOROIDAL_SURFACE('',#14198,4.E-1,1.E-1); +#14200=ORIENTED_EDGE('',*,*,#11158,.T.); +#14202=ORIENTED_EDGE('',*,*,#14201,.T.); +#14204=ORIENTED_EDGE('',*,*,#14203,.F.); +#14205=ORIENTED_EDGE('',*,*,#14187,.F.); +#14206=EDGE_LOOP('',(#14200,#14202,#14204,#14205)); +#14207=FACE_OUTER_BOUND('',#14206,.F.); +#14209=CARTESIAN_POINT('',(-1.885E1,8.961468488237E0,-1.01E1)); +#14210=DIRECTION('',(0.E0,1.E0,0.E0)); +#14211=DIRECTION('',(0.E0,0.E0,1.E0)); +#14212=AXIS2_PLACEMENT_3D('',#14209,#14210,#14211); +#14213=CYLINDRICAL_SURFACE('',#14212,1.E-1); +#14215=ORIENTED_EDGE('',*,*,#14214,.F.); +#14216=ORIENTED_EDGE('',*,*,#14201,.F.); +#14217=ORIENTED_EDGE('',*,*,#11156,.T.); +#14219=ORIENTED_EDGE('',*,*,#14218,.T.); +#14220=EDGE_LOOP('',(#14215,#14216,#14217,#14219)); +#14221=FACE_OUTER_BOUND('',#14220,.F.); +#14223=CARTESIAN_POINT('',(-1.875E1,9.1E0,-2.E1)); +#14224=DIRECTION('',(1.E0,0.E0,0.E0)); +#14225=DIRECTION('',(0.E0,1.E0,0.E0)); +#14226=AXIS2_PLACEMENT_3D('',#14223,#14224,#14225); +#14227=PLANE('',#14226); +#14228=ORIENTED_EDGE('',*,*,#14214,.T.); +#14230=ORIENTED_EDGE('',*,*,#14229,.T.); +#14231=ORIENTED_EDGE('',*,*,#10890,.F.); +#14232=ORIENTED_EDGE('',*,*,#11472,.T.); +#14234=ORIENTED_EDGE('',*,*,#14233,.T.); +#14235=EDGE_LOOP('',(#14228,#14230,#14231,#14232,#14234)); +#14236=FACE_OUTER_BOUND('',#14235,.F.); +#14238=CARTESIAN_POINT('',(-1.885E1,1.02E1,-9.9E0)); +#14239=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14240=DIRECTION('',(0.E0,-5.485369585398E-1,-8.361263093074E-1)); +#14241=AXIS2_PLACEMENT_3D('',#14238,#14239,#14240); +#14242=TOROIDAL_SURFACE('',#14241,2.E-1,1.E-1); +#14243=ORIENTED_EDGE('',*,*,#14229,.F.); +#14244=ORIENTED_EDGE('',*,*,#14218,.F.); +#14246=ORIENTED_EDGE('',*,*,#14245,.F.); +#14247=ORIENTED_EDGE('',*,*,#10892,.F.); +#14248=EDGE_LOOP('',(#14243,#14244,#14246,#14247)); +#14249=FACE_OUTER_BOUND('',#14248,.F.); +#14251=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#14252=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14253=DIRECTION('',(0.E0,1.E0,0.E0)); +#14254=AXIS2_PLACEMENT_3D('',#14251,#14252,#14253); +#14255=CYLINDRICAL_SURFACE('',#14254,1.E-1); +#14256=ORIENTED_EDGE('',*,*,#10894,.F.); +#14257=ORIENTED_EDGE('',*,*,#14245,.T.); +#14258=ORIENTED_EDGE('',*,*,#11154,.T.); +#14260=ORIENTED_EDGE('',*,*,#14259,.T.); +#14261=EDGE_LOOP('',(#14256,#14257,#14258,#14260)); +#14262=FACE_OUTER_BOUND('',#14261,.F.); +#14264=CARTESIAN_POINT('',(-1.965E1,1.02E1,-9.9E0)); +#14265=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14266=DIRECTION('',(7.105427357601E-14,-7.564028598729E-1,-6.541060415376E-1)); +#14267=AXIS2_PLACEMENT_3D('',#14264,#14265,#14266); +#14268=TOROIDAL_SURFACE('',#14267,2.E-1,1.E-1); +#14269=ORIENTED_EDGE('',*,*,#14176,.T.); +#14270=ORIENTED_EDGE('',*,*,#10896,.F.); +#14271=ORIENTED_EDGE('',*,*,#14259,.F.); +#14272=ORIENTED_EDGE('',*,*,#14190,.F.); +#14273=EDGE_LOOP('',(#14269,#14270,#14271,#14272)); +#14274=FACE_OUTER_BOUND('',#14273,.F.); +#14276=CARTESIAN_POINT('',(-1.925E1,9.1E0,-2.E1)); +#14277=DIRECTION('',(0.E0,0.E0,1.E0)); +#14278=DIRECTION('',(1.E0,0.E0,0.E0)); +#14279=AXIS2_PLACEMENT_3D('',#14276,#14277,#14278); +#14280=CYLINDRICAL_SURFACE('',#14279,5.E-1); +#14281=ORIENTED_EDGE('',*,*,#14203,.T.); +#14282=ORIENTED_EDGE('',*,*,#14233,.F.); +#14283=ORIENTED_EDGE('',*,*,#11470,.F.); +#14284=ORIENTED_EDGE('',*,*,#14172,.T.); +#14285=EDGE_LOOP('',(#14281,#14282,#14283,#14284)); +#14286=FACE_OUTER_BOUND('',#14285,.F.); +#14288=CARTESIAN_POINT('',(-1.625E1,1.03E1,-2.E1)); +#14289=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14290=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14291=AXIS2_PLACEMENT_3D('',#14288,#14289,#14290); +#14292=PLANE('',#14291); +#14294=ORIENTED_EDGE('',*,*,#14293,.T.); +#14296=ORIENTED_EDGE('',*,*,#14295,.F.); +#14297=ORIENTED_EDGE('',*,*,#11475,.F.); +#14298=ORIENTED_EDGE('',*,*,#10886,.T.); +#14300=ORIENTED_EDGE('',*,*,#14299,.F.); +#14301=EDGE_LOOP('',(#14294,#14296,#14297,#14298,#14300)); +#14302=FACE_OUTER_BOUND('',#14301,.F.); +#14304=CARTESIAN_POINT('',(-1.615E1,5.789556E1,-1.01E1)); +#14305=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14306=DIRECTION('',(0.E0,0.E0,1.E0)); +#14307=AXIS2_PLACEMENT_3D('',#14304,#14305,#14306); +#14308=CYLINDRICAL_SURFACE('',#14307,1.E-1); +#14309=ORIENTED_EDGE('',*,*,#11138,.T.); +#14311=ORIENTED_EDGE('',*,*,#14310,.T.); +#14312=ORIENTED_EDGE('',*,*,#14293,.F.); +#14314=ORIENTED_EDGE('',*,*,#14313,.T.); +#14315=EDGE_LOOP('',(#14309,#14311,#14312,#14314)); +#14316=FACE_OUTER_BOUND('',#14315,.F.); +#14318=CARTESIAN_POINT('',(-1.575E1,9.1E0,-1.01E1)); +#14319=DIRECTION('',(0.E0,0.E0,1.E0)); +#14320=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#14321=AXIS2_PLACEMENT_3D('',#14318,#14319,#14320); +#14322=TOROIDAL_SURFACE('',#14321,4.E-1,1.E-1); +#14323=ORIENTED_EDGE('',*,*,#11144,.T.); +#14325=ORIENTED_EDGE('',*,*,#14324,.T.); +#14327=ORIENTED_EDGE('',*,*,#14326,.F.); +#14328=ORIENTED_EDGE('',*,*,#14310,.F.); +#14329=EDGE_LOOP('',(#14323,#14325,#14327,#14328)); +#14330=FACE_OUTER_BOUND('',#14329,.F.); +#14332=CARTESIAN_POINT('',(-1.535E1,8.961468488237E0,-1.01E1)); +#14333=DIRECTION('',(0.E0,1.E0,0.E0)); +#14334=DIRECTION('',(0.E0,0.E0,1.E0)); +#14335=AXIS2_PLACEMENT_3D('',#14332,#14333,#14334); +#14336=CYLINDRICAL_SURFACE('',#14335,1.E-1); +#14338=ORIENTED_EDGE('',*,*,#14337,.F.); +#14339=ORIENTED_EDGE('',*,*,#14324,.F.); +#14340=ORIENTED_EDGE('',*,*,#11142,.T.); +#14342=ORIENTED_EDGE('',*,*,#14341,.T.); +#14343=EDGE_LOOP('',(#14338,#14339,#14340,#14342)); +#14344=FACE_OUTER_BOUND('',#14343,.F.); +#14346=CARTESIAN_POINT('',(-1.525E1,9.1E0,-2.E1)); +#14347=DIRECTION('',(1.E0,0.E0,0.E0)); +#14348=DIRECTION('',(0.E0,1.E0,0.E0)); +#14349=AXIS2_PLACEMENT_3D('',#14346,#14347,#14348); +#14350=PLANE('',#14349); +#14351=ORIENTED_EDGE('',*,*,#14337,.T.); +#14353=ORIENTED_EDGE('',*,*,#14352,.T.); +#14354=ORIENTED_EDGE('',*,*,#10878,.F.); +#14355=ORIENTED_EDGE('',*,*,#11479,.T.); +#14357=ORIENTED_EDGE('',*,*,#14356,.T.); +#14358=EDGE_LOOP('',(#14351,#14353,#14354,#14355,#14357)); +#14359=FACE_OUTER_BOUND('',#14358,.F.); +#14361=CARTESIAN_POINT('',(-1.535E1,1.02E1,-9.9E0)); +#14362=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14363=DIRECTION('',(-2.664535259100E-14,-5.485369585398E-1, +-8.361263093074E-1)); +#14364=AXIS2_PLACEMENT_3D('',#14361,#14362,#14363); +#14365=TOROIDAL_SURFACE('',#14364,2.E-1,1.E-1); +#14366=ORIENTED_EDGE('',*,*,#14352,.F.); +#14367=ORIENTED_EDGE('',*,*,#14341,.F.); +#14369=ORIENTED_EDGE('',*,*,#14368,.F.); +#14370=ORIENTED_EDGE('',*,*,#10880,.F.); +#14371=EDGE_LOOP('',(#14366,#14367,#14369,#14370)); +#14372=FACE_OUTER_BOUND('',#14371,.F.); +#14374=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#14375=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14376=DIRECTION('',(0.E0,1.E0,0.E0)); +#14377=AXIS2_PLACEMENT_3D('',#14374,#14375,#14376); +#14378=CYLINDRICAL_SURFACE('',#14377,1.E-1); +#14379=ORIENTED_EDGE('',*,*,#10882,.F.); +#14380=ORIENTED_EDGE('',*,*,#14368,.T.); +#14381=ORIENTED_EDGE('',*,*,#11140,.T.); +#14383=ORIENTED_EDGE('',*,*,#14382,.T.); +#14384=EDGE_LOOP('',(#14379,#14380,#14381,#14383)); +#14385=FACE_OUTER_BOUND('',#14384,.F.); +#14387=CARTESIAN_POINT('',(-1.615E1,1.02E1,-9.9E0)); +#14388=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14389=DIRECTION('',(3.552713678801E-14,-7.564028598729E-1,-6.541060415376E-1)); +#14390=AXIS2_PLACEMENT_3D('',#14387,#14388,#14389); +#14391=TOROIDAL_SURFACE('',#14390,2.E-1,1.E-1); +#14392=ORIENTED_EDGE('',*,*,#14299,.T.); +#14393=ORIENTED_EDGE('',*,*,#10884,.F.); +#14394=ORIENTED_EDGE('',*,*,#14382,.F.); +#14395=ORIENTED_EDGE('',*,*,#14313,.F.); +#14396=EDGE_LOOP('',(#14392,#14393,#14394,#14395)); +#14397=FACE_OUTER_BOUND('',#14396,.F.); +#14399=CARTESIAN_POINT('',(-1.575E1,9.1E0,-2.E1)); +#14400=DIRECTION('',(0.E0,0.E0,1.E0)); +#14401=DIRECTION('',(1.E0,0.E0,0.E0)); +#14402=AXIS2_PLACEMENT_3D('',#14399,#14400,#14401); +#14403=CYLINDRICAL_SURFACE('',#14402,5.E-1); +#14404=ORIENTED_EDGE('',*,*,#14326,.T.); +#14405=ORIENTED_EDGE('',*,*,#14356,.F.); +#14406=ORIENTED_EDGE('',*,*,#11477,.F.); +#14407=ORIENTED_EDGE('',*,*,#14295,.T.); +#14408=EDGE_LOOP('',(#14404,#14405,#14406,#14407)); +#14409=FACE_OUTER_BOUND('',#14408,.F.); +#14411=CARTESIAN_POINT('',(-1.275E1,1.03E1,-2.E1)); +#14412=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14413=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14414=AXIS2_PLACEMENT_3D('',#14411,#14412,#14413); +#14415=PLANE('',#14414); +#14417=ORIENTED_EDGE('',*,*,#14416,.T.); +#14419=ORIENTED_EDGE('',*,*,#14418,.F.); +#14420=ORIENTED_EDGE('',*,*,#11482,.F.); +#14421=ORIENTED_EDGE('',*,*,#10874,.T.); +#14423=ORIENTED_EDGE('',*,*,#14422,.F.); +#14424=EDGE_LOOP('',(#14417,#14419,#14420,#14421,#14423)); +#14425=FACE_OUTER_BOUND('',#14424,.F.); +#14427=CARTESIAN_POINT('',(-1.265E1,5.789556E1,-1.01E1)); +#14428=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14429=DIRECTION('',(0.E0,0.E0,1.E0)); +#14430=AXIS2_PLACEMENT_3D('',#14427,#14428,#14429); +#14431=CYLINDRICAL_SURFACE('',#14430,1.E-1); +#14432=ORIENTED_EDGE('',*,*,#11122,.T.); +#14434=ORIENTED_EDGE('',*,*,#14433,.T.); +#14435=ORIENTED_EDGE('',*,*,#14416,.F.); +#14437=ORIENTED_EDGE('',*,*,#14436,.T.); +#14438=EDGE_LOOP('',(#14432,#14434,#14435,#14437)); +#14439=FACE_OUTER_BOUND('',#14438,.F.); +#14441=CARTESIAN_POINT('',(-1.225E1,9.1E0,-1.01E1)); +#14442=DIRECTION('',(0.E0,0.E0,1.E0)); +#14443=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#14444=AXIS2_PLACEMENT_3D('',#14441,#14442,#14443); +#14445=TOROIDAL_SURFACE('',#14444,4.E-1,1.E-1); +#14446=ORIENTED_EDGE('',*,*,#11128,.T.); +#14448=ORIENTED_EDGE('',*,*,#14447,.T.); +#14450=ORIENTED_EDGE('',*,*,#14449,.F.); +#14451=ORIENTED_EDGE('',*,*,#14433,.F.); +#14452=EDGE_LOOP('',(#14446,#14448,#14450,#14451)); +#14453=FACE_OUTER_BOUND('',#14452,.F.); +#14455=CARTESIAN_POINT('',(-1.185E1,8.961468488237E0,-1.01E1)); +#14456=DIRECTION('',(0.E0,1.E0,0.E0)); +#14457=DIRECTION('',(0.E0,0.E0,1.E0)); +#14458=AXIS2_PLACEMENT_3D('',#14455,#14456,#14457); +#14459=CYLINDRICAL_SURFACE('',#14458,1.E-1); +#14461=ORIENTED_EDGE('',*,*,#14460,.F.); +#14462=ORIENTED_EDGE('',*,*,#14447,.F.); +#14463=ORIENTED_EDGE('',*,*,#11126,.T.); +#14465=ORIENTED_EDGE('',*,*,#14464,.T.); +#14466=EDGE_LOOP('',(#14461,#14462,#14463,#14465)); +#14467=FACE_OUTER_BOUND('',#14466,.F.); +#14469=CARTESIAN_POINT('',(-1.175E1,9.1E0,-2.E1)); +#14470=DIRECTION('',(1.E0,0.E0,0.E0)); +#14471=DIRECTION('',(0.E0,1.E0,0.E0)); +#14472=AXIS2_PLACEMENT_3D('',#14469,#14470,#14471); +#14473=PLANE('',#14472); +#14474=ORIENTED_EDGE('',*,*,#14460,.T.); +#14476=ORIENTED_EDGE('',*,*,#14475,.T.); +#14477=ORIENTED_EDGE('',*,*,#10866,.F.); +#14478=ORIENTED_EDGE('',*,*,#11486,.T.); +#14480=ORIENTED_EDGE('',*,*,#14479,.T.); +#14481=EDGE_LOOP('',(#14474,#14476,#14477,#14478,#14480)); +#14482=FACE_OUTER_BOUND('',#14481,.F.); +#14484=CARTESIAN_POINT('',(-1.185E1,1.02E1,-9.9E0)); +#14485=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14486=DIRECTION('',(-2.664535259100E-14,-5.485369585398E-1, +-8.361263093074E-1)); +#14487=AXIS2_PLACEMENT_3D('',#14484,#14485,#14486); +#14488=TOROIDAL_SURFACE('',#14487,2.E-1,1.E-1); +#14489=ORIENTED_EDGE('',*,*,#14475,.F.); +#14490=ORIENTED_EDGE('',*,*,#14464,.F.); +#14492=ORIENTED_EDGE('',*,*,#14491,.F.); +#14493=ORIENTED_EDGE('',*,*,#10868,.F.); +#14494=EDGE_LOOP('',(#14489,#14490,#14492,#14493)); +#14495=FACE_OUTER_BOUND('',#14494,.F.); +#14497=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#14498=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14499=DIRECTION('',(0.E0,1.E0,0.E0)); +#14500=AXIS2_PLACEMENT_3D('',#14497,#14498,#14499); +#14501=CYLINDRICAL_SURFACE('',#14500,1.E-1); +#14502=ORIENTED_EDGE('',*,*,#10870,.F.); +#14503=ORIENTED_EDGE('',*,*,#14491,.T.); +#14504=ORIENTED_EDGE('',*,*,#11124,.T.); +#14506=ORIENTED_EDGE('',*,*,#14505,.T.); +#14507=EDGE_LOOP('',(#14502,#14503,#14504,#14506)); +#14508=FACE_OUTER_BOUND('',#14507,.F.); +#14510=CARTESIAN_POINT('',(-1.265E1,1.02E1,-9.9E0)); +#14511=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14512=DIRECTION('',(2.664535259100E-14,-7.564028598729E-1,-6.541060415376E-1)); +#14513=AXIS2_PLACEMENT_3D('',#14510,#14511,#14512); +#14514=TOROIDAL_SURFACE('',#14513,2.E-1,1.E-1); +#14515=ORIENTED_EDGE('',*,*,#14422,.T.); +#14516=ORIENTED_EDGE('',*,*,#10872,.F.); +#14517=ORIENTED_EDGE('',*,*,#14505,.F.); +#14518=ORIENTED_EDGE('',*,*,#14436,.F.); +#14519=EDGE_LOOP('',(#14515,#14516,#14517,#14518)); +#14520=FACE_OUTER_BOUND('',#14519,.F.); +#14522=CARTESIAN_POINT('',(-1.225E1,9.1E0,-2.E1)); +#14523=DIRECTION('',(0.E0,0.E0,1.E0)); +#14524=DIRECTION('',(1.E0,0.E0,0.E0)); +#14525=AXIS2_PLACEMENT_3D('',#14522,#14523,#14524); +#14526=CYLINDRICAL_SURFACE('',#14525,5.E-1); +#14527=ORIENTED_EDGE('',*,*,#14449,.T.); +#14528=ORIENTED_EDGE('',*,*,#14479,.F.); +#14529=ORIENTED_EDGE('',*,*,#11484,.F.); +#14530=ORIENTED_EDGE('',*,*,#14418,.T.); +#14531=EDGE_LOOP('',(#14527,#14528,#14529,#14530)); +#14532=FACE_OUTER_BOUND('',#14531,.F.); +#14534=CARTESIAN_POINT('',(-9.25E0,1.03E1,-2.E1)); +#14535=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14536=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14537=AXIS2_PLACEMENT_3D('',#14534,#14535,#14536); +#14538=PLANE('',#14537); +#14540=ORIENTED_EDGE('',*,*,#14539,.T.); +#14542=ORIENTED_EDGE('',*,*,#14541,.F.); +#14543=ORIENTED_EDGE('',*,*,#11489,.F.); +#14544=ORIENTED_EDGE('',*,*,#10862,.T.); +#14546=ORIENTED_EDGE('',*,*,#14545,.F.); +#14547=EDGE_LOOP('',(#14540,#14542,#14543,#14544,#14546)); +#14548=FACE_OUTER_BOUND('',#14547,.F.); +#14550=CARTESIAN_POINT('',(-9.15E0,5.789556E1,-1.01E1)); +#14551=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14552=DIRECTION('',(0.E0,0.E0,1.E0)); +#14553=AXIS2_PLACEMENT_3D('',#14550,#14551,#14552); +#14554=CYLINDRICAL_SURFACE('',#14553,1.E-1); +#14555=ORIENTED_EDGE('',*,*,#11106,.T.); +#14557=ORIENTED_EDGE('',*,*,#14556,.T.); +#14558=ORIENTED_EDGE('',*,*,#14539,.F.); +#14560=ORIENTED_EDGE('',*,*,#14559,.T.); +#14561=EDGE_LOOP('',(#14555,#14557,#14558,#14560)); +#14562=FACE_OUTER_BOUND('',#14561,.F.); +#14564=CARTESIAN_POINT('',(-8.75E0,9.1E0,-1.01E1)); +#14565=DIRECTION('',(0.E0,0.E0,1.E0)); +#14566=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#14567=AXIS2_PLACEMENT_3D('',#14564,#14565,#14566); +#14568=TOROIDAL_SURFACE('',#14567,4.E-1,1.E-1); +#14569=ORIENTED_EDGE('',*,*,#11112,.T.); +#14571=ORIENTED_EDGE('',*,*,#14570,.T.); +#14573=ORIENTED_EDGE('',*,*,#14572,.F.); +#14574=ORIENTED_EDGE('',*,*,#14556,.F.); +#14575=EDGE_LOOP('',(#14569,#14571,#14573,#14574)); +#14576=FACE_OUTER_BOUND('',#14575,.F.); +#14578=CARTESIAN_POINT('',(-8.35E0,8.961468488237E0,-1.01E1)); +#14579=DIRECTION('',(0.E0,1.E0,0.E0)); +#14580=DIRECTION('',(0.E0,0.E0,1.E0)); +#14581=AXIS2_PLACEMENT_3D('',#14578,#14579,#14580); +#14582=CYLINDRICAL_SURFACE('',#14581,1.E-1); +#14584=ORIENTED_EDGE('',*,*,#14583,.F.); +#14585=ORIENTED_EDGE('',*,*,#14570,.F.); +#14586=ORIENTED_EDGE('',*,*,#11110,.T.); +#14588=ORIENTED_EDGE('',*,*,#14587,.T.); +#14589=EDGE_LOOP('',(#14584,#14585,#14586,#14588)); +#14590=FACE_OUTER_BOUND('',#14589,.F.); +#14592=CARTESIAN_POINT('',(-8.25E0,9.1E0,-2.E1)); +#14593=DIRECTION('',(1.E0,0.E0,0.E0)); +#14594=DIRECTION('',(0.E0,1.E0,0.E0)); +#14595=AXIS2_PLACEMENT_3D('',#14592,#14593,#14594); +#14596=PLANE('',#14595); +#14597=ORIENTED_EDGE('',*,*,#14583,.T.); +#14599=ORIENTED_EDGE('',*,*,#14598,.T.); +#14600=ORIENTED_EDGE('',*,*,#10854,.F.); +#14601=ORIENTED_EDGE('',*,*,#11493,.T.); +#14603=ORIENTED_EDGE('',*,*,#14602,.T.); +#14604=EDGE_LOOP('',(#14597,#14599,#14600,#14601,#14603)); +#14605=FACE_OUTER_BOUND('',#14604,.F.); +#14607=CARTESIAN_POINT('',(-8.35E0,1.02E1,-9.9E0)); +#14608=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14609=DIRECTION('',(-2.664535259100E-14,-5.485369585398E-1, +-8.361263093074E-1)); +#14610=AXIS2_PLACEMENT_3D('',#14607,#14608,#14609); +#14611=TOROIDAL_SURFACE('',#14610,2.E-1,1.E-1); +#14612=ORIENTED_EDGE('',*,*,#14598,.F.); +#14613=ORIENTED_EDGE('',*,*,#14587,.F.); +#14615=ORIENTED_EDGE('',*,*,#14614,.F.); +#14616=ORIENTED_EDGE('',*,*,#10856,.F.); +#14617=EDGE_LOOP('',(#14612,#14613,#14615,#14616)); +#14618=FACE_OUTER_BOUND('',#14617,.F.); +#14620=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#14621=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14622=DIRECTION('',(0.E0,1.E0,0.E0)); +#14623=AXIS2_PLACEMENT_3D('',#14620,#14621,#14622); +#14624=CYLINDRICAL_SURFACE('',#14623,1.E-1); +#14625=ORIENTED_EDGE('',*,*,#10858,.F.); +#14626=ORIENTED_EDGE('',*,*,#14614,.T.); +#14627=ORIENTED_EDGE('',*,*,#11108,.T.); +#14629=ORIENTED_EDGE('',*,*,#14628,.T.); +#14630=EDGE_LOOP('',(#14625,#14626,#14627,#14629)); +#14631=FACE_OUTER_BOUND('',#14630,.F.); +#14633=CARTESIAN_POINT('',(-9.15E0,1.02E1,-9.9E0)); +#14634=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14635=DIRECTION('',(2.664535259100E-14,-7.564028598729E-1,-6.541060415376E-1)); +#14636=AXIS2_PLACEMENT_3D('',#14633,#14634,#14635); +#14637=TOROIDAL_SURFACE('',#14636,2.E-1,1.E-1); +#14638=ORIENTED_EDGE('',*,*,#14545,.T.); +#14639=ORIENTED_EDGE('',*,*,#10860,.F.); +#14640=ORIENTED_EDGE('',*,*,#14628,.F.); +#14641=ORIENTED_EDGE('',*,*,#14559,.F.); +#14642=EDGE_LOOP('',(#14638,#14639,#14640,#14641)); +#14643=FACE_OUTER_BOUND('',#14642,.F.); +#14645=CARTESIAN_POINT('',(-8.75E0,9.1E0,-2.E1)); +#14646=DIRECTION('',(0.E0,0.E0,1.E0)); +#14647=DIRECTION('',(1.E0,0.E0,0.E0)); +#14648=AXIS2_PLACEMENT_3D('',#14645,#14646,#14647); +#14649=CYLINDRICAL_SURFACE('',#14648,5.E-1); +#14650=ORIENTED_EDGE('',*,*,#14572,.T.); +#14651=ORIENTED_EDGE('',*,*,#14602,.F.); +#14652=ORIENTED_EDGE('',*,*,#11491,.F.); +#14653=ORIENTED_EDGE('',*,*,#14541,.T.); +#14654=EDGE_LOOP('',(#14650,#14651,#14652,#14653)); +#14655=FACE_OUTER_BOUND('',#14654,.F.); +#14657=CARTESIAN_POINT('',(-5.75E0,1.03E1,-2.E1)); +#14658=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14659=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14660=AXIS2_PLACEMENT_3D('',#14657,#14658,#14659); +#14661=PLANE('',#14660); +#14663=ORIENTED_EDGE('',*,*,#14662,.T.); +#14665=ORIENTED_EDGE('',*,*,#14664,.F.); +#14666=ORIENTED_EDGE('',*,*,#11496,.F.); +#14667=ORIENTED_EDGE('',*,*,#10850,.T.); +#14669=ORIENTED_EDGE('',*,*,#14668,.F.); +#14670=EDGE_LOOP('',(#14663,#14665,#14666,#14667,#14669)); +#14671=FACE_OUTER_BOUND('',#14670,.F.); +#14673=CARTESIAN_POINT('',(-5.65E0,5.789556E1,-1.01E1)); +#14674=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14675=DIRECTION('',(0.E0,0.E0,1.E0)); +#14676=AXIS2_PLACEMENT_3D('',#14673,#14674,#14675); +#14677=CYLINDRICAL_SURFACE('',#14676,1.E-1); +#14678=ORIENTED_EDGE('',*,*,#11090,.T.); +#14680=ORIENTED_EDGE('',*,*,#14679,.T.); +#14681=ORIENTED_EDGE('',*,*,#14662,.F.); +#14683=ORIENTED_EDGE('',*,*,#14682,.T.); +#14684=EDGE_LOOP('',(#14678,#14680,#14681,#14683)); +#14685=FACE_OUTER_BOUND('',#14684,.F.); +#14687=CARTESIAN_POINT('',(-5.25E0,9.1E0,-1.01E1)); +#14688=DIRECTION('',(0.E0,0.E0,1.E0)); +#14689=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#14690=AXIS2_PLACEMENT_3D('',#14687,#14688,#14689); +#14691=TOROIDAL_SURFACE('',#14690,4.E-1,1.E-1); +#14692=ORIENTED_EDGE('',*,*,#11096,.T.); +#14694=ORIENTED_EDGE('',*,*,#14693,.T.); +#14696=ORIENTED_EDGE('',*,*,#14695,.F.); +#14697=ORIENTED_EDGE('',*,*,#14679,.F.); +#14698=EDGE_LOOP('',(#14692,#14694,#14696,#14697)); +#14699=FACE_OUTER_BOUND('',#14698,.F.); +#14701=CARTESIAN_POINT('',(-4.85E0,8.961468488237E0,-1.01E1)); +#14702=DIRECTION('',(0.E0,1.E0,0.E0)); +#14703=DIRECTION('',(0.E0,0.E0,1.E0)); +#14704=AXIS2_PLACEMENT_3D('',#14701,#14702,#14703); +#14705=CYLINDRICAL_SURFACE('',#14704,1.E-1); +#14707=ORIENTED_EDGE('',*,*,#14706,.F.); +#14708=ORIENTED_EDGE('',*,*,#14693,.F.); +#14709=ORIENTED_EDGE('',*,*,#11094,.T.); +#14711=ORIENTED_EDGE('',*,*,#14710,.T.); +#14712=EDGE_LOOP('',(#14707,#14708,#14709,#14711)); +#14713=FACE_OUTER_BOUND('',#14712,.F.); +#14715=CARTESIAN_POINT('',(-4.75E0,9.1E0,-2.E1)); +#14716=DIRECTION('',(1.E0,0.E0,0.E0)); +#14717=DIRECTION('',(0.E0,1.E0,0.E0)); +#14718=AXIS2_PLACEMENT_3D('',#14715,#14716,#14717); +#14719=PLANE('',#14718); +#14720=ORIENTED_EDGE('',*,*,#14706,.T.); +#14722=ORIENTED_EDGE('',*,*,#14721,.T.); +#14723=ORIENTED_EDGE('',*,*,#10842,.F.); +#14724=ORIENTED_EDGE('',*,*,#11500,.T.); +#14726=ORIENTED_EDGE('',*,*,#14725,.T.); +#14727=EDGE_LOOP('',(#14720,#14722,#14723,#14724,#14726)); +#14728=FACE_OUTER_BOUND('',#14727,.F.); +#14730=CARTESIAN_POINT('',(-4.85E0,1.02E1,-9.9E0)); +#14731=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14732=DIRECTION('',(-2.220446049250E-14,-5.485369585398E-1, +-8.361263093074E-1)); +#14733=AXIS2_PLACEMENT_3D('',#14730,#14731,#14732); +#14734=TOROIDAL_SURFACE('',#14733,2.E-1,1.E-1); +#14735=ORIENTED_EDGE('',*,*,#14721,.F.); +#14736=ORIENTED_EDGE('',*,*,#14710,.F.); +#14738=ORIENTED_EDGE('',*,*,#14737,.F.); +#14739=ORIENTED_EDGE('',*,*,#10844,.F.); +#14740=EDGE_LOOP('',(#14735,#14736,#14738,#14739)); +#14741=FACE_OUTER_BOUND('',#14740,.F.); +#14743=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#14744=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14745=DIRECTION('',(0.E0,1.E0,0.E0)); +#14746=AXIS2_PLACEMENT_3D('',#14743,#14744,#14745); +#14747=CYLINDRICAL_SURFACE('',#14746,1.E-1); +#14748=ORIENTED_EDGE('',*,*,#10846,.F.); +#14749=ORIENTED_EDGE('',*,*,#14737,.T.); +#14750=ORIENTED_EDGE('',*,*,#11092,.T.); +#14752=ORIENTED_EDGE('',*,*,#14751,.T.); +#14753=EDGE_LOOP('',(#14748,#14749,#14750,#14752)); +#14754=FACE_OUTER_BOUND('',#14753,.F.); +#14756=CARTESIAN_POINT('',(-5.65E0,1.02E1,-9.9E0)); +#14757=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14758=DIRECTION('',(2.664535259100E-14,-7.564028598729E-1,-6.541060415376E-1)); +#14759=AXIS2_PLACEMENT_3D('',#14756,#14757,#14758); +#14760=TOROIDAL_SURFACE('',#14759,2.E-1,1.E-1); +#14761=ORIENTED_EDGE('',*,*,#14668,.T.); +#14762=ORIENTED_EDGE('',*,*,#10848,.F.); +#14763=ORIENTED_EDGE('',*,*,#14751,.F.); +#14764=ORIENTED_EDGE('',*,*,#14682,.F.); +#14765=EDGE_LOOP('',(#14761,#14762,#14763,#14764)); +#14766=FACE_OUTER_BOUND('',#14765,.F.); +#14768=CARTESIAN_POINT('',(-5.25E0,9.1E0,-2.E1)); +#14769=DIRECTION('',(0.E0,0.E0,1.E0)); +#14770=DIRECTION('',(1.E0,0.E0,0.E0)); +#14771=AXIS2_PLACEMENT_3D('',#14768,#14769,#14770); +#14772=CYLINDRICAL_SURFACE('',#14771,5.E-1); +#14773=ORIENTED_EDGE('',*,*,#14695,.T.); +#14774=ORIENTED_EDGE('',*,*,#14725,.F.); +#14775=ORIENTED_EDGE('',*,*,#11498,.F.); +#14776=ORIENTED_EDGE('',*,*,#14664,.T.); +#14777=EDGE_LOOP('',(#14773,#14774,#14775,#14776)); +#14778=FACE_OUTER_BOUND('',#14777,.F.); +#14780=CARTESIAN_POINT('',(-2.25E0,1.03E1,-2.E1)); +#14781=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14782=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14783=AXIS2_PLACEMENT_3D('',#14780,#14781,#14782); +#14784=PLANE('',#14783); +#14786=ORIENTED_EDGE('',*,*,#14785,.T.); +#14788=ORIENTED_EDGE('',*,*,#14787,.F.); +#14789=ORIENTED_EDGE('',*,*,#11503,.F.); +#14790=ORIENTED_EDGE('',*,*,#10838,.T.); +#14792=ORIENTED_EDGE('',*,*,#14791,.F.); +#14793=EDGE_LOOP('',(#14786,#14788,#14789,#14790,#14792)); +#14794=FACE_OUTER_BOUND('',#14793,.F.); +#14796=CARTESIAN_POINT('',(-2.15E0,5.789556E1,-1.01E1)); +#14797=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14798=DIRECTION('',(0.E0,0.E0,1.E0)); +#14799=AXIS2_PLACEMENT_3D('',#14796,#14797,#14798); +#14800=CYLINDRICAL_SURFACE('',#14799,1.E-1); +#14801=ORIENTED_EDGE('',*,*,#11074,.T.); +#14803=ORIENTED_EDGE('',*,*,#14802,.T.); +#14804=ORIENTED_EDGE('',*,*,#14785,.F.); +#14806=ORIENTED_EDGE('',*,*,#14805,.T.); +#14807=EDGE_LOOP('',(#14801,#14803,#14804,#14806)); +#14808=FACE_OUTER_BOUND('',#14807,.F.); +#14810=CARTESIAN_POINT('',(-1.75E0,9.1E0,-1.01E1)); +#14811=DIRECTION('',(0.E0,0.E0,1.E0)); +#14812=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#14813=AXIS2_PLACEMENT_3D('',#14810,#14811,#14812); +#14814=TOROIDAL_SURFACE('',#14813,4.E-1,1.E-1); +#14815=ORIENTED_EDGE('',*,*,#11080,.T.); +#14817=ORIENTED_EDGE('',*,*,#14816,.T.); +#14819=ORIENTED_EDGE('',*,*,#14818,.F.); +#14820=ORIENTED_EDGE('',*,*,#14802,.F.); +#14821=EDGE_LOOP('',(#14815,#14817,#14819,#14820)); +#14822=FACE_OUTER_BOUND('',#14821,.F.); +#14824=CARTESIAN_POINT('',(-1.35E0,8.961468488237E0,-1.01E1)); +#14825=DIRECTION('',(0.E0,1.E0,0.E0)); +#14826=DIRECTION('',(0.E0,0.E0,1.E0)); +#14827=AXIS2_PLACEMENT_3D('',#14824,#14825,#14826); +#14828=CYLINDRICAL_SURFACE('',#14827,1.E-1); +#14830=ORIENTED_EDGE('',*,*,#14829,.F.); +#14831=ORIENTED_EDGE('',*,*,#14816,.F.); +#14832=ORIENTED_EDGE('',*,*,#11078,.T.); +#14834=ORIENTED_EDGE('',*,*,#14833,.T.); +#14835=EDGE_LOOP('',(#14830,#14831,#14832,#14834)); +#14836=FACE_OUTER_BOUND('',#14835,.F.); +#14838=CARTESIAN_POINT('',(-1.25E0,9.1E0,-2.E1)); +#14839=DIRECTION('',(1.E0,0.E0,0.E0)); +#14840=DIRECTION('',(0.E0,1.E0,0.E0)); +#14841=AXIS2_PLACEMENT_3D('',#14838,#14839,#14840); +#14842=PLANE('',#14841); +#14843=ORIENTED_EDGE('',*,*,#14829,.T.); +#14845=ORIENTED_EDGE('',*,*,#14844,.T.); +#14846=ORIENTED_EDGE('',*,*,#10830,.F.); +#14847=ORIENTED_EDGE('',*,*,#11507,.T.); +#14849=ORIENTED_EDGE('',*,*,#14848,.T.); +#14850=EDGE_LOOP('',(#14843,#14845,#14846,#14847,#14849)); +#14851=FACE_OUTER_BOUND('',#14850,.F.); +#14853=CARTESIAN_POINT('',(-1.35E0,1.02E1,-9.9E0)); +#14854=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14855=DIRECTION('',(-2.775557561563E-14,-5.485369585398E-1, +-8.361263093074E-1)); +#14856=AXIS2_PLACEMENT_3D('',#14853,#14854,#14855); +#14857=TOROIDAL_SURFACE('',#14856,2.E-1,1.E-1); +#14858=ORIENTED_EDGE('',*,*,#14844,.F.); +#14859=ORIENTED_EDGE('',*,*,#14833,.F.); +#14861=ORIENTED_EDGE('',*,*,#14860,.F.); +#14862=ORIENTED_EDGE('',*,*,#10832,.F.); +#14863=EDGE_LOOP('',(#14858,#14859,#14861,#14862)); +#14864=FACE_OUTER_BOUND('',#14863,.F.); +#14866=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#14867=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14868=DIRECTION('',(0.E0,1.E0,0.E0)); +#14869=AXIS2_PLACEMENT_3D('',#14866,#14867,#14868); +#14870=CYLINDRICAL_SURFACE('',#14869,1.E-1); +#14871=ORIENTED_EDGE('',*,*,#10834,.F.); +#14872=ORIENTED_EDGE('',*,*,#14860,.T.); +#14873=ORIENTED_EDGE('',*,*,#11076,.T.); +#14875=ORIENTED_EDGE('',*,*,#14874,.T.); +#14876=EDGE_LOOP('',(#14871,#14872,#14873,#14875)); +#14877=FACE_OUTER_BOUND('',#14876,.F.); +#14879=CARTESIAN_POINT('',(-2.15E0,1.02E1,-9.9E0)); +#14880=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14881=DIRECTION('',(2.886579864025E-14,-7.564028598729E-1,-6.541060415376E-1)); +#14882=AXIS2_PLACEMENT_3D('',#14879,#14880,#14881); +#14883=TOROIDAL_SURFACE('',#14882,2.E-1,1.E-1); +#14884=ORIENTED_EDGE('',*,*,#14791,.T.); +#14885=ORIENTED_EDGE('',*,*,#10836,.F.); +#14886=ORIENTED_EDGE('',*,*,#14874,.F.); +#14887=ORIENTED_EDGE('',*,*,#14805,.F.); +#14888=EDGE_LOOP('',(#14884,#14885,#14886,#14887)); +#14889=FACE_OUTER_BOUND('',#14888,.F.); +#14891=CARTESIAN_POINT('',(-1.75E0,9.1E0,-2.E1)); +#14892=DIRECTION('',(0.E0,0.E0,1.E0)); +#14893=DIRECTION('',(1.E0,0.E0,0.E0)); +#14894=AXIS2_PLACEMENT_3D('',#14891,#14892,#14893); +#14895=CYLINDRICAL_SURFACE('',#14894,5.E-1); +#14896=ORIENTED_EDGE('',*,*,#14818,.T.); +#14897=ORIENTED_EDGE('',*,*,#14848,.F.); +#14898=ORIENTED_EDGE('',*,*,#11505,.F.); +#14899=ORIENTED_EDGE('',*,*,#14787,.T.); +#14900=EDGE_LOOP('',(#14896,#14897,#14898,#14899)); +#14901=FACE_OUTER_BOUND('',#14900,.F.); +#14903=CARTESIAN_POINT('',(1.075E0,1.03E1,-2.E1)); +#14904=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14905=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14906=AXIS2_PLACEMENT_3D('',#14903,#14904,#14905); +#14907=PLANE('',#14906); +#14909=ORIENTED_EDGE('',*,*,#14908,.T.); +#14911=ORIENTED_EDGE('',*,*,#14910,.F.); +#14912=ORIENTED_EDGE('',*,*,#11510,.F.); +#14913=ORIENTED_EDGE('',*,*,#10826,.T.); +#14915=ORIENTED_EDGE('',*,*,#14914,.F.); +#14916=EDGE_LOOP('',(#14909,#14911,#14912,#14913,#14915)); +#14917=FACE_OUTER_BOUND('',#14916,.F.); +#14919=CARTESIAN_POINT('',(1.175E0,5.789556E1,-1.01E1)); +#14920=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14921=DIRECTION('',(0.E0,0.E0,1.E0)); +#14922=AXIS2_PLACEMENT_3D('',#14919,#14920,#14921); +#14923=CYLINDRICAL_SURFACE('',#14922,1.E-1); +#14924=ORIENTED_EDGE('',*,*,#11058,.T.); +#14926=ORIENTED_EDGE('',*,*,#14925,.T.); +#14927=ORIENTED_EDGE('',*,*,#14908,.F.); +#14929=ORIENTED_EDGE('',*,*,#14928,.T.); +#14930=EDGE_LOOP('',(#14924,#14926,#14927,#14929)); +#14931=FACE_OUTER_BOUND('',#14930,.F.); +#14933=CARTESIAN_POINT('',(1.75E0,8.075E0,-1.01E1)); +#14934=DIRECTION('',(0.E0,0.E0,1.E0)); +#14935=DIRECTION('',(-9.790137572308E-1,2.037941685937E-1,0.E0)); +#14936=AXIS2_PLACEMENT_3D('',#14933,#14934,#14935); +#14937=TOROIDAL_SURFACE('',#14936,5.75E-1,1.E-1); +#14938=ORIENTED_EDGE('',*,*,#11064,.T.); +#14940=ORIENTED_EDGE('',*,*,#14939,.T.); +#14942=ORIENTED_EDGE('',*,*,#14941,.F.); +#14943=ORIENTED_EDGE('',*,*,#14925,.F.); +#14944=EDGE_LOOP('',(#14938,#14940,#14942,#14943)); +#14945=FACE_OUTER_BOUND('',#14944,.F.); +#14947=CARTESIAN_POINT('',(2.325E0,7.936468488237E0,-1.01E1)); +#14948=DIRECTION('',(0.E0,1.E0,0.E0)); +#14949=DIRECTION('',(0.E0,0.E0,1.E0)); +#14950=AXIS2_PLACEMENT_3D('',#14947,#14948,#14949); +#14951=CYLINDRICAL_SURFACE('',#14950,1.E-1); +#14953=ORIENTED_EDGE('',*,*,#14952,.F.); +#14954=ORIENTED_EDGE('',*,*,#14939,.F.); +#14955=ORIENTED_EDGE('',*,*,#11062,.T.); +#14957=ORIENTED_EDGE('',*,*,#14956,.T.); +#14958=EDGE_LOOP('',(#14953,#14954,#14955,#14957)); +#14959=FACE_OUTER_BOUND('',#14958,.F.); +#14961=CARTESIAN_POINT('',(2.425E0,8.075E0,-2.E1)); +#14962=DIRECTION('',(1.E0,0.E0,0.E0)); +#14963=DIRECTION('',(0.E0,1.E0,0.E0)); +#14964=AXIS2_PLACEMENT_3D('',#14961,#14962,#14963); +#14965=PLANE('',#14964); +#14966=ORIENTED_EDGE('',*,*,#14952,.T.); +#14968=ORIENTED_EDGE('',*,*,#14967,.T.); +#14969=ORIENTED_EDGE('',*,*,#10818,.F.); +#14970=ORIENTED_EDGE('',*,*,#11514,.T.); +#14972=ORIENTED_EDGE('',*,*,#14971,.T.); +#14973=EDGE_LOOP('',(#14966,#14968,#14969,#14970,#14972)); +#14974=FACE_OUTER_BOUND('',#14973,.F.); +#14976=CARTESIAN_POINT('',(2.325E0,1.02E1,-9.9E0)); +#14977=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14978=DIRECTION('',(5.551115123126E-14,-5.485369585398E-1,-8.361263093074E-1)); +#14979=AXIS2_PLACEMENT_3D('',#14976,#14977,#14978); +#14980=TOROIDAL_SURFACE('',#14979,2.E-1,1.E-1); +#14981=ORIENTED_EDGE('',*,*,#14967,.F.); +#14982=ORIENTED_EDGE('',*,*,#14956,.F.); +#14984=ORIENTED_EDGE('',*,*,#14983,.F.); +#14985=ORIENTED_EDGE('',*,*,#10820,.F.); +#14986=EDGE_LOOP('',(#14981,#14982,#14984,#14985)); +#14987=FACE_OUTER_BOUND('',#14986,.F.); +#14989=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#14990=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14991=DIRECTION('',(0.E0,1.E0,0.E0)); +#14992=AXIS2_PLACEMENT_3D('',#14989,#14990,#14991); +#14993=CYLINDRICAL_SURFACE('',#14992,1.E-1); +#14994=ORIENTED_EDGE('',*,*,#10822,.F.); +#14995=ORIENTED_EDGE('',*,*,#14983,.T.); +#14996=ORIENTED_EDGE('',*,*,#11060,.T.); +#14998=ORIENTED_EDGE('',*,*,#14997,.T.); +#14999=EDGE_LOOP('',(#14994,#14995,#14996,#14998)); +#15000=FACE_OUTER_BOUND('',#14999,.F.); +#15002=CARTESIAN_POINT('',(1.175E0,1.02E1,-9.9E0)); +#15003=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15004=DIRECTION('',(-5.662137425588E-14,-7.564028598729E-1, +-6.541060415376E-1)); +#15005=AXIS2_PLACEMENT_3D('',#15002,#15003,#15004); +#15006=TOROIDAL_SURFACE('',#15005,2.E-1,1.E-1); +#15007=ORIENTED_EDGE('',*,*,#14914,.T.); +#15008=ORIENTED_EDGE('',*,*,#10824,.F.); +#15009=ORIENTED_EDGE('',*,*,#14997,.F.); +#15010=ORIENTED_EDGE('',*,*,#14928,.F.); +#15011=EDGE_LOOP('',(#15007,#15008,#15009,#15010)); +#15012=FACE_OUTER_BOUND('',#15011,.F.); +#15014=CARTESIAN_POINT('',(1.75E0,8.075E0,-2.E1)); +#15015=DIRECTION('',(0.E0,0.E0,1.E0)); +#15016=DIRECTION('',(1.E0,0.E0,0.E0)); +#15017=AXIS2_PLACEMENT_3D('',#15014,#15015,#15016); +#15018=CYLINDRICAL_SURFACE('',#15017,6.75E-1); +#15019=ORIENTED_EDGE('',*,*,#14941,.T.); +#15020=ORIENTED_EDGE('',*,*,#14971,.F.); +#15021=ORIENTED_EDGE('',*,*,#11512,.F.); +#15022=ORIENTED_EDGE('',*,*,#14910,.T.); +#15023=EDGE_LOOP('',(#15019,#15020,#15021,#15022)); +#15024=FACE_OUTER_BOUND('',#15023,.F.); +#15026=CARTESIAN_POINT('',(4.75E0,1.03E1,-2.E1)); +#15027=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15028=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15029=AXIS2_PLACEMENT_3D('',#15026,#15027,#15028); +#15030=PLANE('',#15029); +#15032=ORIENTED_EDGE('',*,*,#15031,.T.); +#15034=ORIENTED_EDGE('',*,*,#15033,.F.); +#15035=ORIENTED_EDGE('',*,*,#11517,.F.); +#15036=ORIENTED_EDGE('',*,*,#10814,.T.); +#15038=ORIENTED_EDGE('',*,*,#15037,.F.); +#15039=EDGE_LOOP('',(#15032,#15034,#15035,#15036,#15038)); +#15040=FACE_OUTER_BOUND('',#15039,.F.); +#15042=CARTESIAN_POINT('',(4.85E0,5.789556E1,-1.01E1)); +#15043=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15044=DIRECTION('',(0.E0,0.E0,1.E0)); +#15045=AXIS2_PLACEMENT_3D('',#15042,#15043,#15044); +#15046=CYLINDRICAL_SURFACE('',#15045,1.E-1); +#15047=ORIENTED_EDGE('',*,*,#11042,.T.); +#15049=ORIENTED_EDGE('',*,*,#15048,.T.); +#15050=ORIENTED_EDGE('',*,*,#15031,.F.); +#15052=ORIENTED_EDGE('',*,*,#15051,.T.); +#15053=EDGE_LOOP('',(#15047,#15049,#15050,#15052)); +#15054=FACE_OUTER_BOUND('',#15053,.F.); +#15056=CARTESIAN_POINT('',(5.25E0,9.1E0,-1.01E1)); +#15057=DIRECTION('',(0.E0,0.E0,1.E0)); +#15058=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#15059=AXIS2_PLACEMENT_3D('',#15056,#15057,#15058); +#15060=TOROIDAL_SURFACE('',#15059,4.E-1,1.E-1); +#15061=ORIENTED_EDGE('',*,*,#11048,.T.); +#15063=ORIENTED_EDGE('',*,*,#15062,.T.); +#15065=ORIENTED_EDGE('',*,*,#15064,.F.); +#15066=ORIENTED_EDGE('',*,*,#15048,.F.); +#15067=EDGE_LOOP('',(#15061,#15063,#15065,#15066)); +#15068=FACE_OUTER_BOUND('',#15067,.F.); +#15070=CARTESIAN_POINT('',(5.65E0,8.961468488237E0,-1.01E1)); +#15071=DIRECTION('',(0.E0,1.E0,0.E0)); +#15072=DIRECTION('',(0.E0,0.E0,1.E0)); +#15073=AXIS2_PLACEMENT_3D('',#15070,#15071,#15072); +#15074=CYLINDRICAL_SURFACE('',#15073,1.E-1); +#15076=ORIENTED_EDGE('',*,*,#15075,.F.); +#15077=ORIENTED_EDGE('',*,*,#15062,.F.); +#15078=ORIENTED_EDGE('',*,*,#11046,.T.); +#15080=ORIENTED_EDGE('',*,*,#15079,.T.); +#15081=EDGE_LOOP('',(#15076,#15077,#15078,#15080)); +#15082=FACE_OUTER_BOUND('',#15081,.F.); +#15084=CARTESIAN_POINT('',(5.75E0,9.1E0,-2.E1)); +#15085=DIRECTION('',(1.E0,0.E0,0.E0)); +#15086=DIRECTION('',(0.E0,1.E0,0.E0)); +#15087=AXIS2_PLACEMENT_3D('',#15084,#15085,#15086); +#15088=PLANE('',#15087); +#15089=ORIENTED_EDGE('',*,*,#15075,.T.); +#15091=ORIENTED_EDGE('',*,*,#15090,.T.); +#15092=ORIENTED_EDGE('',*,*,#10806,.F.); +#15093=ORIENTED_EDGE('',*,*,#11521,.T.); +#15095=ORIENTED_EDGE('',*,*,#15094,.T.); +#15096=EDGE_LOOP('',(#15089,#15091,#15092,#15093,#15095)); +#15097=FACE_OUTER_BOUND('',#15096,.F.); +#15099=CARTESIAN_POINT('',(5.65E0,1.02E1,-9.9E0)); +#15100=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15101=DIRECTION('',(-2.664535259100E-14,-5.485369585398E-1, +-8.361263093074E-1)); +#15102=AXIS2_PLACEMENT_3D('',#15099,#15100,#15101); +#15103=TOROIDAL_SURFACE('',#15102,2.E-1,1.E-1); +#15104=ORIENTED_EDGE('',*,*,#15090,.F.); +#15105=ORIENTED_EDGE('',*,*,#15079,.F.); +#15107=ORIENTED_EDGE('',*,*,#15106,.F.); +#15108=ORIENTED_EDGE('',*,*,#10808,.F.); +#15109=EDGE_LOOP('',(#15104,#15105,#15107,#15108)); +#15110=FACE_OUTER_BOUND('',#15109,.F.); +#15112=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#15113=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15114=DIRECTION('',(0.E0,1.E0,0.E0)); +#15115=AXIS2_PLACEMENT_3D('',#15112,#15113,#15114); +#15116=CYLINDRICAL_SURFACE('',#15115,1.E-1); +#15117=ORIENTED_EDGE('',*,*,#10810,.F.); +#15118=ORIENTED_EDGE('',*,*,#15106,.T.); +#15119=ORIENTED_EDGE('',*,*,#11044,.T.); +#15121=ORIENTED_EDGE('',*,*,#15120,.T.); +#15122=EDGE_LOOP('',(#15117,#15118,#15119,#15121)); +#15123=FACE_OUTER_BOUND('',#15122,.F.); +#15125=CARTESIAN_POINT('',(4.85E0,1.02E1,-9.9E0)); +#15126=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15127=DIRECTION('',(2.664535259100E-14,-7.564028598729E-1,-6.541060415376E-1)); +#15128=AXIS2_PLACEMENT_3D('',#15125,#15126,#15127); +#15129=TOROIDAL_SURFACE('',#15128,2.E-1,1.E-1); +#15130=ORIENTED_EDGE('',*,*,#15037,.T.); +#15131=ORIENTED_EDGE('',*,*,#10812,.F.); +#15132=ORIENTED_EDGE('',*,*,#15120,.F.); +#15133=ORIENTED_EDGE('',*,*,#15051,.F.); +#15134=EDGE_LOOP('',(#15130,#15131,#15132,#15133)); +#15135=FACE_OUTER_BOUND('',#15134,.F.); +#15137=CARTESIAN_POINT('',(5.25E0,9.1E0,-2.E1)); +#15138=DIRECTION('',(0.E0,0.E0,1.E0)); +#15139=DIRECTION('',(1.E0,0.E0,0.E0)); +#15140=AXIS2_PLACEMENT_3D('',#15137,#15138,#15139); +#15141=CYLINDRICAL_SURFACE('',#15140,5.E-1); +#15142=ORIENTED_EDGE('',*,*,#15064,.T.); +#15143=ORIENTED_EDGE('',*,*,#15094,.F.); +#15144=ORIENTED_EDGE('',*,*,#11519,.F.); +#15145=ORIENTED_EDGE('',*,*,#15033,.T.); +#15146=EDGE_LOOP('',(#15142,#15143,#15144,#15145)); +#15147=FACE_OUTER_BOUND('',#15146,.F.); +#15149=CARTESIAN_POINT('',(8.25E0,1.03E1,-2.E1)); +#15150=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15151=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15152=AXIS2_PLACEMENT_3D('',#15149,#15150,#15151); +#15153=PLANE('',#15152); +#15155=ORIENTED_EDGE('',*,*,#15154,.T.); +#15157=ORIENTED_EDGE('',*,*,#15156,.F.); +#15158=ORIENTED_EDGE('',*,*,#11524,.F.); +#15159=ORIENTED_EDGE('',*,*,#10802,.T.); +#15161=ORIENTED_EDGE('',*,*,#15160,.F.); +#15162=EDGE_LOOP('',(#15155,#15157,#15158,#15159,#15161)); +#15163=FACE_OUTER_BOUND('',#15162,.F.); +#15165=CARTESIAN_POINT('',(8.35E0,5.789556E1,-1.01E1)); +#15166=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15167=DIRECTION('',(0.E0,0.E0,1.E0)); +#15168=AXIS2_PLACEMENT_3D('',#15165,#15166,#15167); +#15169=CYLINDRICAL_SURFACE('',#15168,1.E-1); +#15170=ORIENTED_EDGE('',*,*,#11026,.T.); +#15172=ORIENTED_EDGE('',*,*,#15171,.T.); +#15173=ORIENTED_EDGE('',*,*,#15154,.F.); +#15175=ORIENTED_EDGE('',*,*,#15174,.T.); +#15176=EDGE_LOOP('',(#15170,#15172,#15173,#15175)); +#15177=FACE_OUTER_BOUND('',#15176,.F.); +#15179=CARTESIAN_POINT('',(8.75E0,9.1E0,-1.01E1)); +#15180=DIRECTION('',(0.E0,0.E0,1.E0)); +#15181=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#15182=AXIS2_PLACEMENT_3D('',#15179,#15180,#15181); +#15183=TOROIDAL_SURFACE('',#15182,4.E-1,1.E-1); +#15184=ORIENTED_EDGE('',*,*,#11032,.T.); +#15186=ORIENTED_EDGE('',*,*,#15185,.T.); +#15188=ORIENTED_EDGE('',*,*,#15187,.F.); +#15189=ORIENTED_EDGE('',*,*,#15171,.F.); +#15190=EDGE_LOOP('',(#15184,#15186,#15188,#15189)); +#15191=FACE_OUTER_BOUND('',#15190,.F.); +#15193=CARTESIAN_POINT('',(9.15E0,8.961468488237E0,-1.01E1)); +#15194=DIRECTION('',(0.E0,1.E0,0.E0)); +#15195=DIRECTION('',(0.E0,0.E0,1.E0)); +#15196=AXIS2_PLACEMENT_3D('',#15193,#15194,#15195); +#15197=CYLINDRICAL_SURFACE('',#15196,1.E-1); +#15199=ORIENTED_EDGE('',*,*,#15198,.F.); +#15200=ORIENTED_EDGE('',*,*,#15185,.F.); +#15201=ORIENTED_EDGE('',*,*,#11030,.T.); +#15203=ORIENTED_EDGE('',*,*,#15202,.T.); +#15204=EDGE_LOOP('',(#15199,#15200,#15201,#15203)); +#15205=FACE_OUTER_BOUND('',#15204,.F.); +#15207=CARTESIAN_POINT('',(9.25E0,9.1E0,-2.E1)); +#15208=DIRECTION('',(1.E0,0.E0,0.E0)); +#15209=DIRECTION('',(0.E0,1.E0,0.E0)); +#15210=AXIS2_PLACEMENT_3D('',#15207,#15208,#15209); +#15211=PLANE('',#15210); +#15212=ORIENTED_EDGE('',*,*,#15198,.T.); +#15214=ORIENTED_EDGE('',*,*,#15213,.T.); +#15215=ORIENTED_EDGE('',*,*,#10794,.F.); +#15216=ORIENTED_EDGE('',*,*,#11528,.T.); +#15218=ORIENTED_EDGE('',*,*,#15217,.T.); +#15219=EDGE_LOOP('',(#15212,#15214,#15215,#15216,#15218)); +#15220=FACE_OUTER_BOUND('',#15219,.F.); +#15222=CARTESIAN_POINT('',(9.15E0,1.02E1,-9.9E0)); +#15223=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15224=DIRECTION('',(-2.664535259100E-14,-5.485369585398E-1, +-8.361263093074E-1)); +#15225=AXIS2_PLACEMENT_3D('',#15222,#15223,#15224); +#15226=TOROIDAL_SURFACE('',#15225,2.E-1,1.E-1); +#15227=ORIENTED_EDGE('',*,*,#15213,.F.); +#15228=ORIENTED_EDGE('',*,*,#15202,.F.); +#15230=ORIENTED_EDGE('',*,*,#15229,.F.); +#15231=ORIENTED_EDGE('',*,*,#10796,.F.); +#15232=EDGE_LOOP('',(#15227,#15228,#15230,#15231)); +#15233=FACE_OUTER_BOUND('',#15232,.F.); +#15235=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#15236=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15237=DIRECTION('',(0.E0,1.E0,0.E0)); +#15238=AXIS2_PLACEMENT_3D('',#15235,#15236,#15237); +#15239=CYLINDRICAL_SURFACE('',#15238,1.E-1); +#15240=ORIENTED_EDGE('',*,*,#10798,.F.); +#15241=ORIENTED_EDGE('',*,*,#15229,.T.); +#15242=ORIENTED_EDGE('',*,*,#11028,.T.); +#15244=ORIENTED_EDGE('',*,*,#15243,.T.); +#15245=EDGE_LOOP('',(#15240,#15241,#15242,#15244)); +#15246=FACE_OUTER_BOUND('',#15245,.F.); +#15248=CARTESIAN_POINT('',(8.35E0,1.02E1,-9.9E0)); +#15249=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15250=DIRECTION('',(2.664535259100E-14,-7.564028598729E-1,-6.541060415376E-1)); +#15251=AXIS2_PLACEMENT_3D('',#15248,#15249,#15250); +#15252=TOROIDAL_SURFACE('',#15251,2.E-1,1.E-1); +#15253=ORIENTED_EDGE('',*,*,#15160,.T.); +#15254=ORIENTED_EDGE('',*,*,#10800,.F.); +#15255=ORIENTED_EDGE('',*,*,#15243,.F.); +#15256=ORIENTED_EDGE('',*,*,#15174,.F.); +#15257=EDGE_LOOP('',(#15253,#15254,#15255,#15256)); +#15258=FACE_OUTER_BOUND('',#15257,.F.); +#15260=CARTESIAN_POINT('',(8.75E0,9.1E0,-2.E1)); +#15261=DIRECTION('',(0.E0,0.E0,1.E0)); +#15262=DIRECTION('',(1.E0,0.E0,0.E0)); +#15263=AXIS2_PLACEMENT_3D('',#15260,#15261,#15262); +#15264=CYLINDRICAL_SURFACE('',#15263,5.E-1); +#15265=ORIENTED_EDGE('',*,*,#15187,.T.); +#15266=ORIENTED_EDGE('',*,*,#15217,.F.); +#15267=ORIENTED_EDGE('',*,*,#11526,.F.); +#15268=ORIENTED_EDGE('',*,*,#15156,.T.); +#15269=EDGE_LOOP('',(#15265,#15266,#15267,#15268)); +#15270=FACE_OUTER_BOUND('',#15269,.F.); +#15272=CARTESIAN_POINT('',(1.175E1,1.03E1,-2.E1)); +#15273=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15274=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15275=AXIS2_PLACEMENT_3D('',#15272,#15273,#15274); +#15276=PLANE('',#15275); +#15278=ORIENTED_EDGE('',*,*,#15277,.T.); +#15280=ORIENTED_EDGE('',*,*,#15279,.F.); +#15281=ORIENTED_EDGE('',*,*,#11531,.F.); +#15282=ORIENTED_EDGE('',*,*,#10790,.T.); +#15284=ORIENTED_EDGE('',*,*,#15283,.F.); +#15285=EDGE_LOOP('',(#15278,#15280,#15281,#15282,#15284)); +#15286=FACE_OUTER_BOUND('',#15285,.F.); +#15288=CARTESIAN_POINT('',(1.185E1,5.789556E1,-1.01E1)); +#15289=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15290=DIRECTION('',(0.E0,0.E0,1.E0)); +#15291=AXIS2_PLACEMENT_3D('',#15288,#15289,#15290); +#15292=CYLINDRICAL_SURFACE('',#15291,1.E-1); +#15293=ORIENTED_EDGE('',*,*,#11010,.T.); +#15295=ORIENTED_EDGE('',*,*,#15294,.T.); +#15296=ORIENTED_EDGE('',*,*,#15277,.F.); +#15298=ORIENTED_EDGE('',*,*,#15297,.T.); +#15299=EDGE_LOOP('',(#15293,#15295,#15296,#15298)); +#15300=FACE_OUTER_BOUND('',#15299,.F.); +#15302=CARTESIAN_POINT('',(1.225E1,9.1E0,-1.01E1)); +#15303=DIRECTION('',(0.E0,0.E0,1.E0)); +#15304=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#15305=AXIS2_PLACEMENT_3D('',#15302,#15303,#15304); +#15306=TOROIDAL_SURFACE('',#15305,4.E-1,1.E-1); +#15307=ORIENTED_EDGE('',*,*,#11016,.T.); +#15309=ORIENTED_EDGE('',*,*,#15308,.T.); +#15311=ORIENTED_EDGE('',*,*,#15310,.F.); +#15312=ORIENTED_EDGE('',*,*,#15294,.F.); +#15313=EDGE_LOOP('',(#15307,#15309,#15311,#15312)); +#15314=FACE_OUTER_BOUND('',#15313,.F.); +#15316=CARTESIAN_POINT('',(1.265E1,8.961468488237E0,-1.01E1)); +#15317=DIRECTION('',(0.E0,1.E0,0.E0)); +#15318=DIRECTION('',(0.E0,0.E0,1.E0)); +#15319=AXIS2_PLACEMENT_3D('',#15316,#15317,#15318); +#15320=CYLINDRICAL_SURFACE('',#15319,1.E-1); +#15322=ORIENTED_EDGE('',*,*,#15321,.F.); +#15323=ORIENTED_EDGE('',*,*,#15308,.F.); +#15324=ORIENTED_EDGE('',*,*,#11014,.T.); +#15326=ORIENTED_EDGE('',*,*,#15325,.T.); +#15327=EDGE_LOOP('',(#15322,#15323,#15324,#15326)); +#15328=FACE_OUTER_BOUND('',#15327,.F.); +#15330=CARTESIAN_POINT('',(1.275E1,9.1E0,-2.E1)); +#15331=DIRECTION('',(1.E0,0.E0,0.E0)); +#15332=DIRECTION('',(0.E0,1.E0,0.E0)); +#15333=AXIS2_PLACEMENT_3D('',#15330,#15331,#15332); +#15334=PLANE('',#15333); +#15335=ORIENTED_EDGE('',*,*,#15321,.T.); +#15337=ORIENTED_EDGE('',*,*,#15336,.T.); +#15338=ORIENTED_EDGE('',*,*,#10782,.F.); +#15339=ORIENTED_EDGE('',*,*,#11535,.T.); +#15341=ORIENTED_EDGE('',*,*,#15340,.T.); +#15342=EDGE_LOOP('',(#15335,#15337,#15338,#15339,#15341)); +#15343=FACE_OUTER_BOUND('',#15342,.F.); +#15345=CARTESIAN_POINT('',(1.265E1,1.02E1,-9.9E0)); +#15346=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15347=DIRECTION('',(-2.664535259100E-14,-5.485369585398E-1, +-8.361263093074E-1)); +#15348=AXIS2_PLACEMENT_3D('',#15345,#15346,#15347); +#15349=TOROIDAL_SURFACE('',#15348,2.E-1,1.E-1); +#15350=ORIENTED_EDGE('',*,*,#15336,.F.); +#15351=ORIENTED_EDGE('',*,*,#15325,.F.); +#15353=ORIENTED_EDGE('',*,*,#15352,.F.); +#15354=ORIENTED_EDGE('',*,*,#10784,.F.); +#15355=EDGE_LOOP('',(#15350,#15351,#15353,#15354)); +#15356=FACE_OUTER_BOUND('',#15355,.F.); +#15358=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#15359=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15360=DIRECTION('',(0.E0,1.E0,0.E0)); +#15361=AXIS2_PLACEMENT_3D('',#15358,#15359,#15360); +#15362=CYLINDRICAL_SURFACE('',#15361,1.E-1); +#15363=ORIENTED_EDGE('',*,*,#10786,.F.); +#15364=ORIENTED_EDGE('',*,*,#15352,.T.); +#15365=ORIENTED_EDGE('',*,*,#11012,.T.); +#15367=ORIENTED_EDGE('',*,*,#15366,.T.); +#15368=EDGE_LOOP('',(#15363,#15364,#15365,#15367)); +#15369=FACE_OUTER_BOUND('',#15368,.F.); +#15371=CARTESIAN_POINT('',(1.185E1,1.02E1,-9.9E0)); +#15372=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15373=DIRECTION('',(2.664535259100E-14,-7.564028598730E-1,-6.541060415376E-1)); +#15374=AXIS2_PLACEMENT_3D('',#15371,#15372,#15373); +#15375=TOROIDAL_SURFACE('',#15374,2.E-1,1.E-1); +#15376=ORIENTED_EDGE('',*,*,#15283,.T.); +#15377=ORIENTED_EDGE('',*,*,#10788,.F.); +#15378=ORIENTED_EDGE('',*,*,#15366,.F.); +#15379=ORIENTED_EDGE('',*,*,#15297,.F.); +#15380=EDGE_LOOP('',(#15376,#15377,#15378,#15379)); +#15381=FACE_OUTER_BOUND('',#15380,.F.); +#15383=CARTESIAN_POINT('',(1.225E1,9.1E0,-2.E1)); +#15384=DIRECTION('',(0.E0,0.E0,1.E0)); +#15385=DIRECTION('',(1.E0,0.E0,0.E0)); +#15386=AXIS2_PLACEMENT_3D('',#15383,#15384,#15385); +#15387=CYLINDRICAL_SURFACE('',#15386,5.E-1); +#15388=ORIENTED_EDGE('',*,*,#15310,.T.); +#15389=ORIENTED_EDGE('',*,*,#15340,.F.); +#15390=ORIENTED_EDGE('',*,*,#11533,.F.); +#15391=ORIENTED_EDGE('',*,*,#15279,.T.); +#15392=EDGE_LOOP('',(#15388,#15389,#15390,#15391)); +#15393=FACE_OUTER_BOUND('',#15392,.F.); +#15395=CARTESIAN_POINT('',(1.875E1,1.03E1,-2.E1)); +#15396=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15397=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15398=AXIS2_PLACEMENT_3D('',#15395,#15396,#15397); +#15399=PLANE('',#15398); +#15401=ORIENTED_EDGE('',*,*,#15400,.T.); +#15403=ORIENTED_EDGE('',*,*,#15402,.F.); +#15404=ORIENTED_EDGE('',*,*,#11542,.F.); +#15405=ORIENTED_EDGE('',*,*,#10916,.T.); +#15407=ORIENTED_EDGE('',*,*,#15406,.F.); +#15408=EDGE_LOOP('',(#15401,#15403,#15404,#15405,#15407)); +#15409=FACE_OUTER_BOUND('',#15408,.F.); +#15411=CARTESIAN_POINT('',(1.885E1,5.789556E1,-1.01E1)); +#15412=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15413=DIRECTION('',(0.E0,0.E0,1.E0)); +#15414=AXIS2_PLACEMENT_3D('',#15411,#15412,#15413); +#15415=CYLINDRICAL_SURFACE('',#15414,1.E-1); +#15416=ORIENTED_EDGE('',*,*,#10994,.T.); +#15418=ORIENTED_EDGE('',*,*,#15417,.T.); +#15419=ORIENTED_EDGE('',*,*,#15400,.F.); +#15421=ORIENTED_EDGE('',*,*,#15420,.T.); +#15422=EDGE_LOOP('',(#15416,#15418,#15419,#15421)); +#15423=FACE_OUTER_BOUND('',#15422,.F.); +#15425=CARTESIAN_POINT('',(1.925E1,9.1E0,-1.01E1)); +#15426=DIRECTION('',(0.E0,0.E0,1.E0)); +#15427=DIRECTION('',(-9.618629422347E-1,2.735318635106E-1,0.E0)); +#15428=AXIS2_PLACEMENT_3D('',#15425,#15426,#15427); +#15429=TOROIDAL_SURFACE('',#15428,4.E-1,1.E-1); +#15430=ORIENTED_EDGE('',*,*,#11000,.T.); +#15432=ORIENTED_EDGE('',*,*,#15431,.T.); +#15434=ORIENTED_EDGE('',*,*,#15433,.F.); +#15435=ORIENTED_EDGE('',*,*,#15417,.F.); +#15436=EDGE_LOOP('',(#15430,#15432,#15434,#15435)); +#15437=FACE_OUTER_BOUND('',#15436,.F.); +#15439=CARTESIAN_POINT('',(1.965E1,8.961468488237E0,-1.01E1)); +#15440=DIRECTION('',(0.E0,1.E0,0.E0)); +#15441=DIRECTION('',(0.E0,0.E0,1.E0)); +#15442=AXIS2_PLACEMENT_3D('',#15439,#15440,#15441); +#15443=CYLINDRICAL_SURFACE('',#15442,1.E-1); +#15445=ORIENTED_EDGE('',*,*,#15444,.F.); +#15446=ORIENTED_EDGE('',*,*,#15431,.F.); +#15447=ORIENTED_EDGE('',*,*,#10998,.T.); +#15449=ORIENTED_EDGE('',*,*,#15448,.T.); +#15450=EDGE_LOOP('',(#15445,#15446,#15447,#15449)); +#15451=FACE_OUTER_BOUND('',#15450,.F.); +#15453=CARTESIAN_POINT('',(1.975E1,9.1E0,-2.E1)); +#15454=DIRECTION('',(1.E0,0.E0,0.E0)); +#15455=DIRECTION('',(0.E0,1.E0,0.E0)); +#15456=AXIS2_PLACEMENT_3D('',#15453,#15454,#15455); +#15457=PLANE('',#15456); +#15458=ORIENTED_EDGE('',*,*,#15444,.T.); +#15460=ORIENTED_EDGE('',*,*,#15459,.T.); +#15461=ORIENTED_EDGE('',*,*,#10908,.F.); +#15462=ORIENTED_EDGE('',*,*,#11546,.T.); +#15464=ORIENTED_EDGE('',*,*,#15463,.T.); +#15465=EDGE_LOOP('',(#15458,#15460,#15461,#15462,#15464)); +#15466=FACE_OUTER_BOUND('',#15465,.F.); +#15468=CARTESIAN_POINT('',(1.965E1,1.02E1,-9.9E0)); +#15469=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15470=DIRECTION('',(0.E0,-5.485369585398E-1,-8.361263093074E-1)); +#15471=AXIS2_PLACEMENT_3D('',#15468,#15469,#15470); +#15472=TOROIDAL_SURFACE('',#15471,2.E-1,1.E-1); +#15473=ORIENTED_EDGE('',*,*,#15459,.F.); +#15474=ORIENTED_EDGE('',*,*,#15448,.F.); +#15476=ORIENTED_EDGE('',*,*,#15475,.F.); +#15477=ORIENTED_EDGE('',*,*,#10910,.F.); +#15478=EDGE_LOOP('',(#15473,#15474,#15476,#15477)); +#15479=FACE_OUTER_BOUND('',#15478,.F.); +#15481=CARTESIAN_POINT('',(1.3869684E2,1.02E1,-9.9E0)); +#15482=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15483=DIRECTION('',(0.E0,1.E0,0.E0)); +#15484=AXIS2_PLACEMENT_3D('',#15481,#15482,#15483); +#15485=CYLINDRICAL_SURFACE('',#15484,1.E-1); +#15486=ORIENTED_EDGE('',*,*,#10912,.F.); +#15487=ORIENTED_EDGE('',*,*,#15475,.T.); +#15488=ORIENTED_EDGE('',*,*,#10996,.T.); +#15490=ORIENTED_EDGE('',*,*,#15489,.T.); +#15491=EDGE_LOOP('',(#15486,#15487,#15488,#15490)); +#15492=FACE_OUTER_BOUND('',#15491,.F.); +#15494=CARTESIAN_POINT('',(1.885E1,1.02E1,-9.9E0)); +#15495=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15496=DIRECTION('',(0.E0,-7.564028598729E-1,-6.541060415376E-1)); +#15497=AXIS2_PLACEMENT_3D('',#15494,#15495,#15496); +#15498=TOROIDAL_SURFACE('',#15497,2.E-1,1.E-1); +#15499=ORIENTED_EDGE('',*,*,#15406,.T.); +#15500=ORIENTED_EDGE('',*,*,#10914,.F.); +#15501=ORIENTED_EDGE('',*,*,#15489,.F.); +#15502=ORIENTED_EDGE('',*,*,#15420,.F.); +#15503=EDGE_LOOP('',(#15499,#15500,#15501,#15502)); +#15504=FACE_OUTER_BOUND('',#15503,.F.); +#15506=CARTESIAN_POINT('',(1.925E1,9.1E0,-2.E1)); +#15507=DIRECTION('',(0.E0,0.E0,1.E0)); +#15508=DIRECTION('',(1.E0,0.E0,0.E0)); +#15509=AXIS2_PLACEMENT_3D('',#15506,#15507,#15508); +#15510=CYLINDRICAL_SURFACE('',#15509,5.E-1); +#15511=ORIENTED_EDGE('',*,*,#15433,.T.); +#15512=ORIENTED_EDGE('',*,*,#15463,.F.); +#15513=ORIENTED_EDGE('',*,*,#11544,.F.); +#15514=ORIENTED_EDGE('',*,*,#15402,.T.); +#15515=EDGE_LOOP('',(#15511,#15512,#15513,#15514)); +#15516=FACE_OUTER_BOUND('',#15515,.F.); +#15518=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.E1)); +#15519=DIRECTION('',(0.E0,0.E0,1.E0)); +#15520=DIRECTION('',(1.E0,0.E0,0.E0)); +#15521=AXIS2_PLACEMENT_3D('',#15518,#15519,#15520); +#15522=CYLINDRICAL_SURFACE('',#15521,6.5E-1); +#15523=ORIENTED_EDGE('',*,*,#11669,.F.); +#15525=ORIENTED_EDGE('',*,*,#15524,.T.); +#15527=ORIENTED_EDGE('',*,*,#15526,.T.); +#15529=ORIENTED_EDGE('',*,*,#15528,.F.); +#15530=EDGE_LOOP('',(#15523,#15525,#15527,#15529)); +#15531=FACE_OUTER_BOUND('',#15530,.F.); +#15533=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.E1)); +#15534=DIRECTION('',(0.E0,0.E0,1.E0)); +#15535=DIRECTION('',(1.E0,0.E0,0.E0)); +#15536=AXIS2_PLACEMENT_3D('',#15533,#15534,#15535); +#15537=CYLINDRICAL_SURFACE('',#15536,6.5E-1); +#15538=ORIENTED_EDGE('',*,*,#11671,.F.); +#15539=ORIENTED_EDGE('',*,*,#15528,.T.); +#15541=ORIENTED_EDGE('',*,*,#15540,.T.); +#15542=ORIENTED_EDGE('',*,*,#15524,.F.); +#15543=EDGE_LOOP('',(#15538,#15539,#15541,#15542)); +#15544=FACE_OUTER_BOUND('',#15543,.F.); +#15546=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15547=DIRECTION('',(0.E0,0.E0,1.E0)); +#15548=DIRECTION('',(1.E0,0.E0,0.E0)); +#15549=AXIS2_PLACEMENT_3D('',#15546,#15547,#15548); +#15550=PLANE('',#15549); +#15551=ORIENTED_EDGE('',*,*,#15526,.F.); +#15552=ORIENTED_EDGE('',*,*,#15540,.F.); +#15553=EDGE_LOOP('',(#15551,#15552)); +#15554=FACE_OUTER_BOUND('',#15553,.F.); +#15556=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15557=DIRECTION('',(0.E0,0.E0,1.E0)); +#15558=DIRECTION('',(1.E0,0.E0,0.E0)); +#15559=AXIS2_PLACEMENT_3D('',#15556,#15557,#15558); +#15560=PLANE('',#15559); +#15562=ORIENTED_EDGE('',*,*,#15561,.F.); +#15564=ORIENTED_EDGE('',*,*,#15563,.F.); +#15565=EDGE_LOOP('',(#15562,#15564)); +#15566=FACE_OUTER_BOUND('',#15565,.F.); +#15568=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15569=DIRECTION('',(0.E0,0.E0,1.E0)); +#15570=DIRECTION('',(1.E0,0.E0,0.E0)); +#15571=AXIS2_PLACEMENT_3D('',#15568,#15569,#15570); +#15572=PLANE('',#15571); +#15574=ORIENTED_EDGE('',*,*,#15573,.F.); +#15576=ORIENTED_EDGE('',*,*,#15575,.F.); +#15577=EDGE_LOOP('',(#15574,#15576)); +#15578=FACE_OUTER_BOUND('',#15577,.F.); +#15580=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15581=DIRECTION('',(0.E0,0.E0,1.E0)); +#15582=DIRECTION('',(1.E0,0.E0,0.E0)); +#15583=AXIS2_PLACEMENT_3D('',#15580,#15581,#15582); +#15584=PLANE('',#15583); +#15586=ORIENTED_EDGE('',*,*,#15585,.F.); +#15588=ORIENTED_EDGE('',*,*,#15587,.F.); +#15589=EDGE_LOOP('',(#15586,#15588)); +#15590=FACE_OUTER_BOUND('',#15589,.F.); +#15592=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15593=DIRECTION('',(0.E0,0.E0,1.E0)); +#15594=DIRECTION('',(1.E0,0.E0,0.E0)); +#15595=AXIS2_PLACEMENT_3D('',#15592,#15593,#15594); +#15596=PLANE('',#15595); +#15598=ORIENTED_EDGE('',*,*,#15597,.F.); +#15600=ORIENTED_EDGE('',*,*,#15599,.F.); +#15601=EDGE_LOOP('',(#15598,#15600)); +#15602=FACE_OUTER_BOUND('',#15601,.F.); +#15604=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15605=DIRECTION('',(0.E0,0.E0,1.E0)); +#15606=DIRECTION('',(1.E0,0.E0,0.E0)); +#15607=AXIS2_PLACEMENT_3D('',#15604,#15605,#15606); +#15608=PLANE('',#15607); +#15610=ORIENTED_EDGE('',*,*,#15609,.F.); +#15612=ORIENTED_EDGE('',*,*,#15611,.F.); +#15613=EDGE_LOOP('',(#15610,#15612)); +#15614=FACE_OUTER_BOUND('',#15613,.F.); +#15616=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15617=DIRECTION('',(0.E0,0.E0,1.E0)); +#15618=DIRECTION('',(1.E0,0.E0,0.E0)); +#15619=AXIS2_PLACEMENT_3D('',#15616,#15617,#15618); +#15620=PLANE('',#15619); +#15622=ORIENTED_EDGE('',*,*,#15621,.F.); +#15624=ORIENTED_EDGE('',*,*,#15623,.F.); +#15625=EDGE_LOOP('',(#15622,#15624)); +#15626=FACE_OUTER_BOUND('',#15625,.F.); +#15628=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15629=DIRECTION('',(0.E0,0.E0,1.E0)); +#15630=DIRECTION('',(1.E0,0.E0,0.E0)); +#15631=AXIS2_PLACEMENT_3D('',#15628,#15629,#15630); +#15632=PLANE('',#15631); +#15634=ORIENTED_EDGE('',*,*,#15633,.F.); +#15636=ORIENTED_EDGE('',*,*,#15635,.F.); +#15637=EDGE_LOOP('',(#15634,#15636)); +#15638=FACE_OUTER_BOUND('',#15637,.F.); +#15640=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15641=DIRECTION('',(0.E0,0.E0,1.E0)); +#15642=DIRECTION('',(1.E0,0.E0,0.E0)); +#15643=AXIS2_PLACEMENT_3D('',#15640,#15641,#15642); +#15644=PLANE('',#15643); +#15646=ORIENTED_EDGE('',*,*,#15645,.F.); +#15648=ORIENTED_EDGE('',*,*,#15647,.F.); +#15649=EDGE_LOOP('',(#15646,#15648)); +#15650=FACE_OUTER_BOUND('',#15649,.F.); +#15652=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15653=DIRECTION('',(0.E0,0.E0,1.E0)); +#15654=DIRECTION('',(1.E0,0.E0,0.E0)); +#15655=AXIS2_PLACEMENT_3D('',#15652,#15653,#15654); +#15656=PLANE('',#15655); +#15658=ORIENTED_EDGE('',*,*,#15657,.F.); +#15660=ORIENTED_EDGE('',*,*,#15659,.F.); +#15661=EDGE_LOOP('',(#15658,#15660)); +#15662=FACE_OUTER_BOUND('',#15661,.F.); +#15664=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15665=DIRECTION('',(0.E0,0.E0,1.E0)); +#15666=DIRECTION('',(1.E0,0.E0,0.E0)); +#15667=AXIS2_PLACEMENT_3D('',#15664,#15665,#15666); +#15668=PLANE('',#15667); +#15670=ORIENTED_EDGE('',*,*,#15669,.F.); +#15672=ORIENTED_EDGE('',*,*,#15671,.F.); +#15673=EDGE_LOOP('',(#15670,#15672)); +#15674=FACE_OUTER_BOUND('',#15673,.F.); +#15676=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15677=DIRECTION('',(0.E0,0.E0,1.E0)); +#15678=DIRECTION('',(1.E0,0.E0,0.E0)); +#15679=AXIS2_PLACEMENT_3D('',#15676,#15677,#15678); +#15680=PLANE('',#15679); +#15682=ORIENTED_EDGE('',*,*,#15681,.F.); +#15684=ORIENTED_EDGE('',*,*,#15683,.F.); +#15685=EDGE_LOOP('',(#15682,#15684)); +#15686=FACE_OUTER_BOUND('',#15685,.F.); +#15688=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15689=DIRECTION('',(0.E0,0.E0,1.E0)); +#15690=DIRECTION('',(1.E0,0.E0,0.E0)); +#15691=AXIS2_PLACEMENT_3D('',#15688,#15689,#15690); +#15692=PLANE('',#15691); +#15694=ORIENTED_EDGE('',*,*,#15693,.F.); +#15696=ORIENTED_EDGE('',*,*,#15695,.F.); +#15697=EDGE_LOOP('',(#15694,#15696)); +#15698=FACE_OUTER_BOUND('',#15697,.F.); +#15700=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15701=DIRECTION('',(0.E0,0.E0,1.E0)); +#15702=DIRECTION('',(1.E0,0.E0,0.E0)); +#15703=AXIS2_PLACEMENT_3D('',#15700,#15701,#15702); +#15704=PLANE('',#15703); +#15706=ORIENTED_EDGE('',*,*,#15705,.F.); +#15708=ORIENTED_EDGE('',*,*,#15707,.F.); +#15709=EDGE_LOOP('',(#15706,#15708)); +#15710=FACE_OUTER_BOUND('',#15709,.F.); +#15712=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15713=DIRECTION('',(0.E0,0.E0,1.E0)); +#15714=DIRECTION('',(1.E0,0.E0,0.E0)); +#15715=AXIS2_PLACEMENT_3D('',#15712,#15713,#15714); +#15716=PLANE('',#15715); +#15718=ORIENTED_EDGE('',*,*,#15717,.F.); +#15720=ORIENTED_EDGE('',*,*,#15719,.F.); +#15721=EDGE_LOOP('',(#15718,#15720)); +#15722=FACE_OUTER_BOUND('',#15721,.F.); +#15724=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15725=DIRECTION('',(0.E0,0.E0,1.E0)); +#15726=DIRECTION('',(1.E0,0.E0,0.E0)); +#15727=AXIS2_PLACEMENT_3D('',#15724,#15725,#15726); +#15728=PLANE('',#15727); +#15730=ORIENTED_EDGE('',*,*,#15729,.F.); +#15732=ORIENTED_EDGE('',*,*,#15731,.F.); +#15733=EDGE_LOOP('',(#15730,#15732)); +#15734=FACE_OUTER_BOUND('',#15733,.F.); +#15736=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15737=DIRECTION('',(0.E0,0.E0,1.E0)); +#15738=DIRECTION('',(1.E0,0.E0,0.E0)); +#15739=AXIS2_PLACEMENT_3D('',#15736,#15737,#15738); +#15740=PLANE('',#15739); +#15742=ORIENTED_EDGE('',*,*,#15741,.F.); +#15744=ORIENTED_EDGE('',*,*,#15743,.F.); +#15745=EDGE_LOOP('',(#15742,#15744)); +#15746=FACE_OUTER_BOUND('',#15745,.F.); +#15748=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15749=DIRECTION('',(0.E0,0.E0,1.E0)); +#15750=DIRECTION('',(1.E0,0.E0,0.E0)); +#15751=AXIS2_PLACEMENT_3D('',#15748,#15749,#15750); +#15752=PLANE('',#15751); +#15754=ORIENTED_EDGE('',*,*,#15753,.F.); +#15756=ORIENTED_EDGE('',*,*,#15755,.F.); +#15757=EDGE_LOOP('',(#15754,#15756)); +#15758=FACE_OUTER_BOUND('',#15757,.F.); +#15760=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15761=DIRECTION('',(0.E0,0.E0,1.E0)); +#15762=DIRECTION('',(1.E0,0.E0,0.E0)); +#15763=AXIS2_PLACEMENT_3D('',#15760,#15761,#15762); +#15764=PLANE('',#15763); +#15766=ORIENTED_EDGE('',*,*,#15765,.F.); +#15768=ORIENTED_EDGE('',*,*,#15767,.F.); +#15769=EDGE_LOOP('',(#15766,#15768)); +#15770=FACE_OUTER_BOUND('',#15769,.F.); +#15772=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15773=DIRECTION('',(0.E0,0.E0,1.E0)); +#15774=DIRECTION('',(1.E0,0.E0,0.E0)); +#15775=AXIS2_PLACEMENT_3D('',#15772,#15773,#15774); +#15776=PLANE('',#15775); +#15778=ORIENTED_EDGE('',*,*,#15777,.F.); +#15780=ORIENTED_EDGE('',*,*,#15779,.F.); +#15781=EDGE_LOOP('',(#15778,#15780)); +#15782=FACE_OUTER_BOUND('',#15781,.F.); +#15784=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15785=DIRECTION('',(0.E0,0.E0,1.E0)); +#15786=DIRECTION('',(1.E0,0.E0,0.E0)); +#15787=AXIS2_PLACEMENT_3D('',#15784,#15785,#15786); +#15788=PLANE('',#15787); +#15790=ORIENTED_EDGE('',*,*,#15789,.F.); +#15792=ORIENTED_EDGE('',*,*,#15791,.F.); +#15793=EDGE_LOOP('',(#15790,#15792)); +#15794=FACE_OUTER_BOUND('',#15793,.F.); +#15796=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15797=DIRECTION('',(0.E0,0.E0,1.E0)); +#15798=DIRECTION('',(1.E0,0.E0,0.E0)); +#15799=AXIS2_PLACEMENT_3D('',#15796,#15797,#15798); +#15800=PLANE('',#15799); +#15802=ORIENTED_EDGE('',*,*,#15801,.F.); +#15804=ORIENTED_EDGE('',*,*,#15803,.F.); +#15805=EDGE_LOOP('',(#15802,#15804)); +#15806=FACE_OUTER_BOUND('',#15805,.F.); +#15808=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15809=DIRECTION('',(0.E0,0.E0,1.E0)); +#15810=DIRECTION('',(1.E0,0.E0,0.E0)); +#15811=AXIS2_PLACEMENT_3D('',#15808,#15809,#15810); +#15812=PLANE('',#15811); +#15814=ORIENTED_EDGE('',*,*,#15813,.F.); +#15816=ORIENTED_EDGE('',*,*,#15815,.F.); +#15817=EDGE_LOOP('',(#15814,#15816)); +#15818=FACE_OUTER_BOUND('',#15817,.F.); +#15820=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15821=DIRECTION('',(0.E0,0.E0,1.E0)); +#15822=DIRECTION('',(1.E0,0.E0,0.E0)); +#15823=AXIS2_PLACEMENT_3D('',#15820,#15821,#15822); +#15824=PLANE('',#15823); +#15826=ORIENTED_EDGE('',*,*,#15825,.F.); +#15828=ORIENTED_EDGE('',*,*,#15827,.F.); +#15829=EDGE_LOOP('',(#15826,#15828)); +#15830=FACE_OUTER_BOUND('',#15829,.F.); +#15832=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15833=DIRECTION('',(0.E0,0.E0,1.E0)); +#15834=DIRECTION('',(1.E0,0.E0,0.E0)); +#15835=AXIS2_PLACEMENT_3D('',#15832,#15833,#15834); +#15836=PLANE('',#15835); +#15838=ORIENTED_EDGE('',*,*,#15837,.F.); +#15840=ORIENTED_EDGE('',*,*,#15839,.F.); +#15841=EDGE_LOOP('',(#15838,#15840)); +#15842=FACE_OUTER_BOUND('',#15841,.F.); +#15844=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15845=DIRECTION('',(0.E0,0.E0,1.E0)); +#15846=DIRECTION('',(1.E0,0.E0,0.E0)); +#15847=AXIS2_PLACEMENT_3D('',#15844,#15845,#15846); +#15848=PLANE('',#15847); +#15850=ORIENTED_EDGE('',*,*,#15849,.F.); +#15852=ORIENTED_EDGE('',*,*,#15851,.F.); +#15853=EDGE_LOOP('',(#15850,#15852)); +#15854=FACE_OUTER_BOUND('',#15853,.F.); +#15856=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15857=DIRECTION('',(0.E0,0.E0,1.E0)); +#15858=DIRECTION('',(1.E0,0.E0,0.E0)); +#15859=AXIS2_PLACEMENT_3D('',#15856,#15857,#15858); +#15860=PLANE('',#15859); +#15862=ORIENTED_EDGE('',*,*,#15861,.F.); +#15864=ORIENTED_EDGE('',*,*,#15863,.F.); +#15865=EDGE_LOOP('',(#15862,#15864)); +#15866=FACE_OUTER_BOUND('',#15865,.F.); +#15868=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15869=DIRECTION('',(0.E0,0.E0,1.E0)); +#15870=DIRECTION('',(1.E0,0.E0,0.E0)); +#15871=AXIS2_PLACEMENT_3D('',#15868,#15869,#15870); +#15872=PLANE('',#15871); +#15874=ORIENTED_EDGE('',*,*,#15873,.F.); +#15876=ORIENTED_EDGE('',*,*,#15875,.F.); +#15877=EDGE_LOOP('',(#15874,#15876)); +#15878=FACE_OUTER_BOUND('',#15877,.F.); +#15880=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15881=DIRECTION('',(0.E0,0.E0,1.E0)); +#15882=DIRECTION('',(1.E0,0.E0,0.E0)); +#15883=AXIS2_PLACEMENT_3D('',#15880,#15881,#15882); +#15884=PLANE('',#15883); +#15886=ORIENTED_EDGE('',*,*,#15885,.F.); +#15888=ORIENTED_EDGE('',*,*,#15887,.F.); +#15889=EDGE_LOOP('',(#15886,#15888)); +#15890=FACE_OUTER_BOUND('',#15889,.F.); +#15892=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15893=DIRECTION('',(0.E0,0.E0,1.E0)); +#15894=DIRECTION('',(1.E0,0.E0,0.E0)); +#15895=AXIS2_PLACEMENT_3D('',#15892,#15893,#15894); +#15896=PLANE('',#15895); +#15898=ORIENTED_EDGE('',*,*,#15897,.F.); +#15900=ORIENTED_EDGE('',*,*,#15899,.F.); +#15901=EDGE_LOOP('',(#15898,#15900)); +#15902=FACE_OUTER_BOUND('',#15901,.F.); +#15904=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15905=DIRECTION('',(0.E0,0.E0,1.E0)); +#15906=DIRECTION('',(1.E0,0.E0,0.E0)); +#15907=AXIS2_PLACEMENT_3D('',#15904,#15905,#15906); +#15908=PLANE('',#15907); +#15910=ORIENTED_EDGE('',*,*,#15909,.F.); +#15912=ORIENTED_EDGE('',*,*,#15911,.F.); +#15913=EDGE_LOOP('',(#15910,#15912)); +#15914=FACE_OUTER_BOUND('',#15913,.F.); +#15916=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15917=DIRECTION('',(0.E0,0.E0,1.E0)); +#15918=DIRECTION('',(1.E0,0.E0,0.E0)); +#15919=AXIS2_PLACEMENT_3D('',#15916,#15917,#15918); +#15920=PLANE('',#15919); +#15922=ORIENTED_EDGE('',*,*,#15921,.F.); +#15924=ORIENTED_EDGE('',*,*,#15923,.F.); +#15925=EDGE_LOOP('',(#15922,#15924)); +#15926=FACE_OUTER_BOUND('',#15925,.F.); +#15928=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15929=DIRECTION('',(0.E0,0.E0,1.E0)); +#15930=DIRECTION('',(1.E0,0.E0,0.E0)); +#15931=AXIS2_PLACEMENT_3D('',#15928,#15929,#15930); +#15932=PLANE('',#15931); +#15934=ORIENTED_EDGE('',*,*,#15933,.F.); +#15936=ORIENTED_EDGE('',*,*,#15935,.F.); +#15937=EDGE_LOOP('',(#15934,#15936)); +#15938=FACE_OUTER_BOUND('',#15937,.F.); +#15940=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15941=DIRECTION('',(0.E0,0.E0,1.E0)); +#15942=DIRECTION('',(1.E0,0.E0,0.E0)); +#15943=AXIS2_PLACEMENT_3D('',#15940,#15941,#15942); +#15944=PLANE('',#15943); +#15946=ORIENTED_EDGE('',*,*,#15945,.F.); +#15948=ORIENTED_EDGE('',*,*,#15947,.F.); +#15949=EDGE_LOOP('',(#15946,#15948)); +#15950=FACE_OUTER_BOUND('',#15949,.F.); +#15952=CARTESIAN_POINT('',(0.E0,0.E0,-1.245E1)); +#15953=DIRECTION('',(0.E0,0.E0,1.E0)); +#15954=DIRECTION('',(1.E0,0.E0,0.E0)); +#15955=AXIS2_PLACEMENT_3D('',#15952,#15953,#15954); +#15956=PLANE('',#15955); +#15958=ORIENTED_EDGE('',*,*,#15957,.F.); +#15960=ORIENTED_EDGE('',*,*,#15959,.F.); +#15961=EDGE_LOOP('',(#15958,#15960)); +#15962=FACE_OUTER_BOUND('',#15961,.F.); +#15964=CARTESIAN_POINT('',(-2.E1,0.E0,-2.E1)); +#15965=DIRECTION('',(0.E0,0.E0,1.E0)); +#15966=DIRECTION('',(1.E0,0.E0,0.E0)); +#15967=AXIS2_PLACEMENT_3D('',#15964,#15965,#15966); +#15968=CYLINDRICAL_SURFACE('',#15967,6.5E-1); +#15969=ORIENTED_EDGE('',*,*,#11675,.F.); +#15971=ORIENTED_EDGE('',*,*,#15970,.T.); +#15972=ORIENTED_EDGE('',*,*,#15561,.T.); +#15974=ORIENTED_EDGE('',*,*,#15973,.F.); +#15975=EDGE_LOOP('',(#15969,#15971,#15972,#15974)); +#15976=FACE_OUTER_BOUND('',#15975,.F.); +#15978=CARTESIAN_POINT('',(-2.E1,0.E0,-2.E1)); +#15979=DIRECTION('',(0.E0,0.E0,1.E0)); +#15980=DIRECTION('',(1.E0,0.E0,0.E0)); +#15981=AXIS2_PLACEMENT_3D('',#15978,#15979,#15980); +#15982=CYLINDRICAL_SURFACE('',#15981,6.5E-1); +#15983=ORIENTED_EDGE('',*,*,#11677,.F.); +#15984=ORIENTED_EDGE('',*,*,#15973,.T.); +#15985=ORIENTED_EDGE('',*,*,#15563,.T.); +#15986=ORIENTED_EDGE('',*,*,#15970,.F.); +#15987=EDGE_LOOP('',(#15983,#15984,#15985,#15986)); +#15988=FACE_OUTER_BOUND('',#15987,.F.); +#15990=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.E1)); +#15991=DIRECTION('',(0.E0,0.E0,1.E0)); +#15992=DIRECTION('',(1.E0,0.E0,0.E0)); +#15993=AXIS2_PLACEMENT_3D('',#15990,#15991,#15992); +#15994=CYLINDRICAL_SURFACE('',#15993,6.5E-1); +#15995=ORIENTED_EDGE('',*,*,#11681,.F.); +#15997=ORIENTED_EDGE('',*,*,#15996,.T.); +#15998=ORIENTED_EDGE('',*,*,#15573,.T.); +#16000=ORIENTED_EDGE('',*,*,#15999,.F.); +#16001=EDGE_LOOP('',(#15995,#15997,#15998,#16000)); +#16002=FACE_OUTER_BOUND('',#16001,.F.); +#16004=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.E1)); +#16005=DIRECTION('',(0.E0,0.E0,1.E0)); +#16006=DIRECTION('',(1.E0,0.E0,0.E0)); +#16007=AXIS2_PLACEMENT_3D('',#16004,#16005,#16006); +#16008=CYLINDRICAL_SURFACE('',#16007,6.5E-1); +#16009=ORIENTED_EDGE('',*,*,#11683,.F.); +#16010=ORIENTED_EDGE('',*,*,#15999,.T.); +#16011=ORIENTED_EDGE('',*,*,#15575,.T.); +#16012=ORIENTED_EDGE('',*,*,#15996,.F.); +#16013=EDGE_LOOP('',(#16009,#16010,#16011,#16012)); +#16014=FACE_OUTER_BOUND('',#16013,.F.); +#16016=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.E1)); +#16017=DIRECTION('',(0.E0,0.E0,1.E0)); +#16018=DIRECTION('',(1.E0,0.E0,0.E0)); +#16019=AXIS2_PLACEMENT_3D('',#16016,#16017,#16018); +#16020=CYLINDRICAL_SURFACE('',#16019,6.5E-1); +#16021=ORIENTED_EDGE('',*,*,#11687,.F.); +#16023=ORIENTED_EDGE('',*,*,#16022,.T.); +#16024=ORIENTED_EDGE('',*,*,#15585,.T.); +#16026=ORIENTED_EDGE('',*,*,#16025,.F.); +#16027=EDGE_LOOP('',(#16021,#16023,#16024,#16026)); +#16028=FACE_OUTER_BOUND('',#16027,.F.); +#16030=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.E1)); +#16031=DIRECTION('',(0.E0,0.E0,1.E0)); +#16032=DIRECTION('',(1.E0,0.E0,0.E0)); +#16033=AXIS2_PLACEMENT_3D('',#16030,#16031,#16032); +#16034=CYLINDRICAL_SURFACE('',#16033,6.5E-1); +#16035=ORIENTED_EDGE('',*,*,#11689,.F.); +#16036=ORIENTED_EDGE('',*,*,#16025,.T.); +#16037=ORIENTED_EDGE('',*,*,#15587,.T.); +#16038=ORIENTED_EDGE('',*,*,#16022,.F.); +#16039=EDGE_LOOP('',(#16035,#16036,#16037,#16038)); +#16040=FACE_OUTER_BOUND('',#16039,.F.); +#16042=CARTESIAN_POINT('',(-1.6E1,0.E0,-2.E1)); +#16043=DIRECTION('',(0.E0,0.E0,1.E0)); +#16044=DIRECTION('',(1.E0,0.E0,0.E0)); +#16045=AXIS2_PLACEMENT_3D('',#16042,#16043,#16044); +#16046=CYLINDRICAL_SURFACE('',#16045,6.5E-1); +#16047=ORIENTED_EDGE('',*,*,#11693,.F.); +#16049=ORIENTED_EDGE('',*,*,#16048,.T.); +#16050=ORIENTED_EDGE('',*,*,#15597,.T.); +#16052=ORIENTED_EDGE('',*,*,#16051,.F.); +#16053=EDGE_LOOP('',(#16047,#16049,#16050,#16052)); +#16054=FACE_OUTER_BOUND('',#16053,.F.); +#16056=CARTESIAN_POINT('',(-1.6E1,0.E0,-2.E1)); +#16057=DIRECTION('',(0.E0,0.E0,1.E0)); +#16058=DIRECTION('',(1.E0,0.E0,0.E0)); +#16059=AXIS2_PLACEMENT_3D('',#16056,#16057,#16058); +#16060=CYLINDRICAL_SURFACE('',#16059,6.5E-1); +#16061=ORIENTED_EDGE('',*,*,#11695,.F.); +#16062=ORIENTED_EDGE('',*,*,#16051,.T.); +#16063=ORIENTED_EDGE('',*,*,#15599,.T.); +#16064=ORIENTED_EDGE('',*,*,#16048,.F.); +#16065=EDGE_LOOP('',(#16061,#16062,#16063,#16064)); +#16066=FACE_OUTER_BOUND('',#16065,.F.); +#16068=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.E1)); +#16069=DIRECTION('',(0.E0,0.E0,1.E0)); +#16070=DIRECTION('',(1.E0,0.E0,0.E0)); +#16071=AXIS2_PLACEMENT_3D('',#16068,#16069,#16070); +#16072=CYLINDRICAL_SURFACE('',#16071,6.5E-1); +#16073=ORIENTED_EDGE('',*,*,#11699,.F.); +#16075=ORIENTED_EDGE('',*,*,#16074,.T.); +#16076=ORIENTED_EDGE('',*,*,#15609,.T.); +#16078=ORIENTED_EDGE('',*,*,#16077,.F.); +#16079=EDGE_LOOP('',(#16073,#16075,#16076,#16078)); +#16080=FACE_OUTER_BOUND('',#16079,.F.); +#16082=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.E1)); +#16083=DIRECTION('',(0.E0,0.E0,1.E0)); +#16084=DIRECTION('',(1.E0,0.E0,0.E0)); +#16085=AXIS2_PLACEMENT_3D('',#16082,#16083,#16084); +#16086=CYLINDRICAL_SURFACE('',#16085,6.5E-1); +#16087=ORIENTED_EDGE('',*,*,#11701,.F.); +#16088=ORIENTED_EDGE('',*,*,#16077,.T.); +#16089=ORIENTED_EDGE('',*,*,#15611,.T.); +#16090=ORIENTED_EDGE('',*,*,#16074,.F.); +#16091=EDGE_LOOP('',(#16087,#16088,#16089,#16090)); +#16092=FACE_OUTER_BOUND('',#16091,.F.); +#16094=CARTESIAN_POINT('',(-6.E0,4.E0,-2.E1)); +#16095=DIRECTION('',(0.E0,0.E0,1.E0)); +#16096=DIRECTION('',(1.E0,0.E0,0.E0)); +#16097=AXIS2_PLACEMENT_3D('',#16094,#16095,#16096); +#16098=CYLINDRICAL_SURFACE('',#16097,6.5E-1); +#16099=ORIENTED_EDGE('',*,*,#11705,.F.); +#16101=ORIENTED_EDGE('',*,*,#16100,.T.); +#16102=ORIENTED_EDGE('',*,*,#15621,.T.); +#16104=ORIENTED_EDGE('',*,*,#16103,.F.); +#16105=EDGE_LOOP('',(#16099,#16101,#16102,#16104)); +#16106=FACE_OUTER_BOUND('',#16105,.F.); +#16108=CARTESIAN_POINT('',(-6.E0,4.E0,-2.E1)); +#16109=DIRECTION('',(0.E0,0.E0,1.E0)); +#16110=DIRECTION('',(1.E0,0.E0,0.E0)); +#16111=AXIS2_PLACEMENT_3D('',#16108,#16109,#16110); +#16112=CYLINDRICAL_SURFACE('',#16111,6.5E-1); +#16113=ORIENTED_EDGE('',*,*,#11707,.F.); +#16114=ORIENTED_EDGE('',*,*,#16103,.T.); +#16115=ORIENTED_EDGE('',*,*,#15623,.T.); +#16116=ORIENTED_EDGE('',*,*,#16100,.F.); +#16117=EDGE_LOOP('',(#16113,#16114,#16115,#16116)); +#16118=FACE_OUTER_BOUND('',#16117,.F.); +#16120=CARTESIAN_POINT('',(-4.E0,0.E0,-2.E1)); +#16121=DIRECTION('',(0.E0,0.E0,1.E0)); +#16122=DIRECTION('',(1.E0,0.E0,0.E0)); +#16123=AXIS2_PLACEMENT_3D('',#16120,#16121,#16122); +#16124=CYLINDRICAL_SURFACE('',#16123,6.5E-1); +#16125=ORIENTED_EDGE('',*,*,#11711,.F.); +#16127=ORIENTED_EDGE('',*,*,#16126,.T.); +#16128=ORIENTED_EDGE('',*,*,#15633,.T.); +#16130=ORIENTED_EDGE('',*,*,#16129,.F.); +#16131=EDGE_LOOP('',(#16125,#16127,#16128,#16130)); +#16132=FACE_OUTER_BOUND('',#16131,.F.); +#16134=CARTESIAN_POINT('',(-4.E0,0.E0,-2.E1)); +#16135=DIRECTION('',(0.E0,0.E0,1.E0)); +#16136=DIRECTION('',(1.E0,0.E0,0.E0)); +#16137=AXIS2_PLACEMENT_3D('',#16134,#16135,#16136); +#16138=CYLINDRICAL_SURFACE('',#16137,6.5E-1); +#16139=ORIENTED_EDGE('',*,*,#11713,.F.); +#16140=ORIENTED_EDGE('',*,*,#16129,.T.); +#16141=ORIENTED_EDGE('',*,*,#15635,.T.); +#16142=ORIENTED_EDGE('',*,*,#16126,.F.); +#16143=EDGE_LOOP('',(#16139,#16140,#16141,#16142)); +#16144=FACE_OUTER_BOUND('',#16143,.F.); +#16146=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.E1)); +#16147=DIRECTION('',(0.E0,0.E0,1.E0)); +#16148=DIRECTION('',(1.E0,0.E0,0.E0)); +#16149=AXIS2_PLACEMENT_3D('',#16146,#16147,#16148); +#16150=CYLINDRICAL_SURFACE('',#16149,6.5E-1); +#16151=ORIENTED_EDGE('',*,*,#11717,.F.); +#16153=ORIENTED_EDGE('',*,*,#16152,.T.); +#16154=ORIENTED_EDGE('',*,*,#15645,.T.); +#16156=ORIENTED_EDGE('',*,*,#16155,.F.); +#16157=EDGE_LOOP('',(#16151,#16153,#16154,#16156)); +#16158=FACE_OUTER_BOUND('',#16157,.F.); +#16160=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.E1)); +#16161=DIRECTION('',(0.E0,0.E0,1.E0)); +#16162=DIRECTION('',(1.E0,0.E0,0.E0)); +#16163=AXIS2_PLACEMENT_3D('',#16160,#16161,#16162); +#16164=CYLINDRICAL_SURFACE('',#16163,6.5E-1); +#16165=ORIENTED_EDGE('',*,*,#11719,.F.); +#16166=ORIENTED_EDGE('',*,*,#16155,.T.); +#16167=ORIENTED_EDGE('',*,*,#15647,.T.); +#16168=ORIENTED_EDGE('',*,*,#16152,.F.); +#16169=EDGE_LOOP('',(#16165,#16166,#16167,#16168)); +#16170=FACE_OUTER_BOUND('',#16169,.F.); +#16172=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.E1)); +#16173=DIRECTION('',(0.E0,0.E0,1.E0)); +#16174=DIRECTION('',(1.E0,0.E0,0.E0)); +#16175=AXIS2_PLACEMENT_3D('',#16172,#16173,#16174); +#16176=CYLINDRICAL_SURFACE('',#16175,6.5E-1); +#16177=ORIENTED_EDGE('',*,*,#11723,.F.); +#16179=ORIENTED_EDGE('',*,*,#16178,.T.); +#16180=ORIENTED_EDGE('',*,*,#15657,.T.); +#16182=ORIENTED_EDGE('',*,*,#16181,.F.); +#16183=EDGE_LOOP('',(#16177,#16179,#16180,#16182)); +#16184=FACE_OUTER_BOUND('',#16183,.F.); +#16186=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.E1)); +#16187=DIRECTION('',(0.E0,0.E0,1.E0)); +#16188=DIRECTION('',(1.E0,0.E0,0.E0)); +#16189=AXIS2_PLACEMENT_3D('',#16186,#16187,#16188); +#16190=CYLINDRICAL_SURFACE('',#16189,6.5E-1); +#16191=ORIENTED_EDGE('',*,*,#11725,.F.); +#16192=ORIENTED_EDGE('',*,*,#16181,.T.); +#16193=ORIENTED_EDGE('',*,*,#15659,.T.); +#16194=ORIENTED_EDGE('',*,*,#16178,.F.); +#16195=EDGE_LOOP('',(#16191,#16192,#16193,#16194)); +#16196=FACE_OUTER_BOUND('',#16195,.F.); +#16198=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#16199=DIRECTION('',(0.E0,0.E0,1.E0)); +#16200=DIRECTION('',(1.E0,0.E0,0.E0)); +#16201=AXIS2_PLACEMENT_3D('',#16198,#16199,#16200); +#16202=CYLINDRICAL_SURFACE('',#16201,6.5E-1); +#16203=ORIENTED_EDGE('',*,*,#11729,.F.); +#16205=ORIENTED_EDGE('',*,*,#16204,.T.); +#16206=ORIENTED_EDGE('',*,*,#15669,.T.); +#16208=ORIENTED_EDGE('',*,*,#16207,.F.); +#16209=EDGE_LOOP('',(#16203,#16205,#16206,#16208)); +#16210=FACE_OUTER_BOUND('',#16209,.F.); +#16212=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#16213=DIRECTION('',(0.E0,0.E0,1.E0)); +#16214=DIRECTION('',(1.E0,0.E0,0.E0)); +#16215=AXIS2_PLACEMENT_3D('',#16212,#16213,#16214); +#16216=CYLINDRICAL_SURFACE('',#16215,6.5E-1); +#16217=ORIENTED_EDGE('',*,*,#11731,.F.); +#16218=ORIENTED_EDGE('',*,*,#16207,.T.); +#16219=ORIENTED_EDGE('',*,*,#15671,.T.); +#16220=ORIENTED_EDGE('',*,*,#16204,.F.); +#16221=EDGE_LOOP('',(#16217,#16218,#16219,#16220)); +#16222=FACE_OUTER_BOUND('',#16221,.F.); +#16224=CARTESIAN_POINT('',(-2.E0,4.E0,-2.E1)); +#16225=DIRECTION('',(0.E0,0.E0,1.E0)); +#16226=DIRECTION('',(1.E0,0.E0,0.E0)); +#16227=AXIS2_PLACEMENT_3D('',#16224,#16225,#16226); +#16228=CYLINDRICAL_SURFACE('',#16227,6.5E-1); +#16229=ORIENTED_EDGE('',*,*,#11735,.F.); +#16231=ORIENTED_EDGE('',*,*,#16230,.T.); +#16232=ORIENTED_EDGE('',*,*,#15681,.T.); +#16234=ORIENTED_EDGE('',*,*,#16233,.F.); +#16235=EDGE_LOOP('',(#16229,#16231,#16232,#16234)); +#16236=FACE_OUTER_BOUND('',#16235,.F.); +#16238=CARTESIAN_POINT('',(-2.E0,4.E0,-2.E1)); +#16239=DIRECTION('',(0.E0,0.E0,1.E0)); +#16240=DIRECTION('',(1.E0,0.E0,0.E0)); +#16241=AXIS2_PLACEMENT_3D('',#16238,#16239,#16240); +#16242=CYLINDRICAL_SURFACE('',#16241,6.5E-1); +#16243=ORIENTED_EDGE('',*,*,#11737,.F.); +#16244=ORIENTED_EDGE('',*,*,#16233,.T.); +#16245=ORIENTED_EDGE('',*,*,#15683,.T.); +#16246=ORIENTED_EDGE('',*,*,#16230,.F.); +#16247=EDGE_LOOP('',(#16243,#16244,#16245,#16246)); +#16248=FACE_OUTER_BOUND('',#16247,.F.); +#16250=CARTESIAN_POINT('',(2.E0,4.E0,-2.E1)); +#16251=DIRECTION('',(0.E0,0.E0,1.E0)); +#16252=DIRECTION('',(1.E0,0.E0,0.E0)); +#16253=AXIS2_PLACEMENT_3D('',#16250,#16251,#16252); +#16254=CYLINDRICAL_SURFACE('',#16253,6.5E-1); +#16255=ORIENTED_EDGE('',*,*,#11741,.F.); +#16257=ORIENTED_EDGE('',*,*,#16256,.T.); +#16258=ORIENTED_EDGE('',*,*,#15693,.T.); +#16260=ORIENTED_EDGE('',*,*,#16259,.F.); +#16261=EDGE_LOOP('',(#16255,#16257,#16258,#16260)); +#16262=FACE_OUTER_BOUND('',#16261,.F.); +#16264=CARTESIAN_POINT('',(2.E0,4.E0,-2.E1)); +#16265=DIRECTION('',(0.E0,0.E0,1.E0)); +#16266=DIRECTION('',(1.E0,0.E0,0.E0)); +#16267=AXIS2_PLACEMENT_3D('',#16264,#16265,#16266); +#16268=CYLINDRICAL_SURFACE('',#16267,6.5E-1); +#16269=ORIENTED_EDGE('',*,*,#11743,.F.); +#16270=ORIENTED_EDGE('',*,*,#16259,.T.); +#16271=ORIENTED_EDGE('',*,*,#15695,.T.); +#16272=ORIENTED_EDGE('',*,*,#16256,.F.); +#16273=EDGE_LOOP('',(#16269,#16270,#16271,#16272)); +#16274=FACE_OUTER_BOUND('',#16273,.F.); +#16276=CARTESIAN_POINT('',(4.E0,0.E0,-2.E1)); +#16277=DIRECTION('',(0.E0,0.E0,1.E0)); +#16278=DIRECTION('',(1.E0,0.E0,0.E0)); +#16279=AXIS2_PLACEMENT_3D('',#16276,#16277,#16278); +#16280=CYLINDRICAL_SURFACE('',#16279,6.5E-1); +#16281=ORIENTED_EDGE('',*,*,#11747,.F.); +#16283=ORIENTED_EDGE('',*,*,#16282,.T.); +#16284=ORIENTED_EDGE('',*,*,#15705,.T.); +#16286=ORIENTED_EDGE('',*,*,#16285,.F.); +#16287=EDGE_LOOP('',(#16281,#16283,#16284,#16286)); +#16288=FACE_OUTER_BOUND('',#16287,.F.); +#16290=CARTESIAN_POINT('',(4.E0,0.E0,-2.E1)); +#16291=DIRECTION('',(0.E0,0.E0,1.E0)); +#16292=DIRECTION('',(1.E0,0.E0,0.E0)); +#16293=AXIS2_PLACEMENT_3D('',#16290,#16291,#16292); +#16294=CYLINDRICAL_SURFACE('',#16293,6.5E-1); +#16295=ORIENTED_EDGE('',*,*,#11749,.F.); +#16296=ORIENTED_EDGE('',*,*,#16285,.T.); +#16297=ORIENTED_EDGE('',*,*,#15707,.T.); +#16298=ORIENTED_EDGE('',*,*,#16282,.F.); +#16299=EDGE_LOOP('',(#16295,#16296,#16297,#16298)); +#16300=FACE_OUTER_BOUND('',#16299,.F.); +#16302=CARTESIAN_POINT('',(2.E0,-4.E0,-2.E1)); +#16303=DIRECTION('',(0.E0,0.E0,1.E0)); +#16304=DIRECTION('',(1.E0,0.E0,0.E0)); +#16305=AXIS2_PLACEMENT_3D('',#16302,#16303,#16304); +#16306=CYLINDRICAL_SURFACE('',#16305,6.5E-1); +#16307=ORIENTED_EDGE('',*,*,#11753,.F.); +#16309=ORIENTED_EDGE('',*,*,#16308,.T.); +#16310=ORIENTED_EDGE('',*,*,#15717,.T.); +#16312=ORIENTED_EDGE('',*,*,#16311,.F.); +#16313=EDGE_LOOP('',(#16307,#16309,#16310,#16312)); +#16314=FACE_OUTER_BOUND('',#16313,.F.); +#16316=CARTESIAN_POINT('',(2.E0,-4.E0,-2.E1)); +#16317=DIRECTION('',(0.E0,0.E0,1.E0)); +#16318=DIRECTION('',(1.E0,0.E0,0.E0)); +#16319=AXIS2_PLACEMENT_3D('',#16316,#16317,#16318); +#16320=CYLINDRICAL_SURFACE('',#16319,6.5E-1); +#16321=ORIENTED_EDGE('',*,*,#11755,.F.); +#16322=ORIENTED_EDGE('',*,*,#16311,.T.); +#16323=ORIENTED_EDGE('',*,*,#15719,.T.); +#16324=ORIENTED_EDGE('',*,*,#16308,.F.); +#16325=EDGE_LOOP('',(#16321,#16322,#16323,#16324)); +#16326=FACE_OUTER_BOUND('',#16325,.F.); +#16328=CARTESIAN_POINT('',(6.E0,-4.E0,-2.E1)); +#16329=DIRECTION('',(0.E0,0.E0,1.E0)); +#16330=DIRECTION('',(1.E0,0.E0,0.E0)); +#16331=AXIS2_PLACEMENT_3D('',#16328,#16329,#16330); +#16332=CYLINDRICAL_SURFACE('',#16331,6.5E-1); +#16333=ORIENTED_EDGE('',*,*,#11759,.F.); +#16335=ORIENTED_EDGE('',*,*,#16334,.T.); +#16336=ORIENTED_EDGE('',*,*,#15729,.T.); +#16338=ORIENTED_EDGE('',*,*,#16337,.F.); +#16339=EDGE_LOOP('',(#16333,#16335,#16336,#16338)); +#16340=FACE_OUTER_BOUND('',#16339,.F.); +#16342=CARTESIAN_POINT('',(6.E0,-4.E0,-2.E1)); +#16343=DIRECTION('',(0.E0,0.E0,1.E0)); +#16344=DIRECTION('',(1.E0,0.E0,0.E0)); +#16345=AXIS2_PLACEMENT_3D('',#16342,#16343,#16344); +#16346=CYLINDRICAL_SURFACE('',#16345,6.5E-1); +#16347=ORIENTED_EDGE('',*,*,#11761,.F.); +#16348=ORIENTED_EDGE('',*,*,#16337,.T.); +#16349=ORIENTED_EDGE('',*,*,#15731,.T.); +#16350=ORIENTED_EDGE('',*,*,#16334,.F.); +#16351=EDGE_LOOP('',(#16347,#16348,#16349,#16350)); +#16352=FACE_OUTER_BOUND('',#16351,.F.); +#16354=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-2.E1)); +#16355=DIRECTION('',(0.E0,0.E0,1.E0)); +#16356=DIRECTION('',(1.E0,0.E0,0.E0)); +#16357=AXIS2_PLACEMENT_3D('',#16354,#16355,#16356); +#16358=CYLINDRICAL_SURFACE('',#16357,6.5E-1); +#16359=ORIENTED_EDGE('',*,*,#11765,.F.); +#16361=ORIENTED_EDGE('',*,*,#16360,.T.); +#16362=ORIENTED_EDGE('',*,*,#15741,.T.); +#16364=ORIENTED_EDGE('',*,*,#16363,.F.); +#16365=EDGE_LOOP('',(#16359,#16361,#16362,#16364)); +#16366=FACE_OUTER_BOUND('',#16365,.F.); +#16368=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-2.E1)); +#16369=DIRECTION('',(0.E0,0.E0,1.E0)); +#16370=DIRECTION('',(1.E0,0.E0,0.E0)); +#16371=AXIS2_PLACEMENT_3D('',#16368,#16369,#16370); +#16372=CYLINDRICAL_SURFACE('',#16371,6.5E-1); +#16373=ORIENTED_EDGE('',*,*,#11767,.F.); +#16374=ORIENTED_EDGE('',*,*,#16363,.T.); +#16375=ORIENTED_EDGE('',*,*,#15743,.T.); +#16376=ORIENTED_EDGE('',*,*,#16360,.F.); +#16377=EDGE_LOOP('',(#16373,#16374,#16375,#16376)); +#16378=FACE_OUTER_BOUND('',#16377,.F.); +#16380=CARTESIAN_POINT('',(6.E0,4.E0,-2.E1)); +#16381=DIRECTION('',(0.E0,0.E0,1.E0)); +#16382=DIRECTION('',(1.E0,0.E0,0.E0)); +#16383=AXIS2_PLACEMENT_3D('',#16380,#16381,#16382); +#16384=CYLINDRICAL_SURFACE('',#16383,6.5E-1); +#16385=ORIENTED_EDGE('',*,*,#11771,.F.); +#16387=ORIENTED_EDGE('',*,*,#16386,.T.); +#16388=ORIENTED_EDGE('',*,*,#15753,.T.); +#16390=ORIENTED_EDGE('',*,*,#16389,.F.); +#16391=EDGE_LOOP('',(#16385,#16387,#16388,#16390)); +#16392=FACE_OUTER_BOUND('',#16391,.F.); +#16394=CARTESIAN_POINT('',(6.E0,4.E0,-2.E1)); +#16395=DIRECTION('',(0.E0,0.E0,1.E0)); +#16396=DIRECTION('',(1.E0,0.E0,0.E0)); +#16397=AXIS2_PLACEMENT_3D('',#16394,#16395,#16396); +#16398=CYLINDRICAL_SURFACE('',#16397,6.5E-1); +#16399=ORIENTED_EDGE('',*,*,#11773,.F.); +#16400=ORIENTED_EDGE('',*,*,#16389,.T.); +#16401=ORIENTED_EDGE('',*,*,#15755,.T.); +#16402=ORIENTED_EDGE('',*,*,#16386,.F.); +#16403=EDGE_LOOP('',(#16399,#16400,#16401,#16402)); +#16404=FACE_OUTER_BOUND('',#16403,.F.); +#16406=CARTESIAN_POINT('',(1.4E1,4.E0,-2.E1)); +#16407=DIRECTION('',(0.E0,0.E0,1.E0)); +#16408=DIRECTION('',(1.E0,0.E0,0.E0)); +#16409=AXIS2_PLACEMENT_3D('',#16406,#16407,#16408); +#16410=CYLINDRICAL_SURFACE('',#16409,6.5E-1); +#16411=ORIENTED_EDGE('',*,*,#11777,.F.); +#16413=ORIENTED_EDGE('',*,*,#16412,.T.); +#16414=ORIENTED_EDGE('',*,*,#15765,.T.); +#16416=ORIENTED_EDGE('',*,*,#16415,.F.); +#16417=EDGE_LOOP('',(#16411,#16413,#16414,#16416)); +#16418=FACE_OUTER_BOUND('',#16417,.F.); +#16420=CARTESIAN_POINT('',(1.4E1,4.E0,-2.E1)); +#16421=DIRECTION('',(0.E0,0.E0,1.E0)); +#16422=DIRECTION('',(1.E0,0.E0,0.E0)); +#16423=AXIS2_PLACEMENT_3D('',#16420,#16421,#16422); +#16424=CYLINDRICAL_SURFACE('',#16423,6.5E-1); +#16425=ORIENTED_EDGE('',*,*,#11779,.F.); +#16426=ORIENTED_EDGE('',*,*,#16415,.T.); +#16427=ORIENTED_EDGE('',*,*,#15767,.T.); +#16428=ORIENTED_EDGE('',*,*,#16412,.F.); +#16429=EDGE_LOOP('',(#16425,#16426,#16427,#16428)); +#16430=FACE_OUTER_BOUND('',#16429,.F.); +#16432=CARTESIAN_POINT('',(2.2E1,4.E0,-2.E1)); +#16433=DIRECTION('',(0.E0,0.E0,1.E0)); +#16434=DIRECTION('',(1.E0,0.E0,0.E0)); +#16435=AXIS2_PLACEMENT_3D('',#16432,#16433,#16434); +#16436=CYLINDRICAL_SURFACE('',#16435,6.5E-1); +#16437=ORIENTED_EDGE('',*,*,#11783,.F.); +#16439=ORIENTED_EDGE('',*,*,#16438,.T.); +#16440=ORIENTED_EDGE('',*,*,#15777,.T.); +#16442=ORIENTED_EDGE('',*,*,#16441,.F.); +#16443=EDGE_LOOP('',(#16437,#16439,#16440,#16442)); +#16444=FACE_OUTER_BOUND('',#16443,.F.); +#16446=CARTESIAN_POINT('',(2.2E1,4.E0,-2.E1)); +#16447=DIRECTION('',(0.E0,0.E0,1.E0)); +#16448=DIRECTION('',(1.E0,0.E0,0.E0)); +#16449=AXIS2_PLACEMENT_3D('',#16446,#16447,#16448); +#16450=CYLINDRICAL_SURFACE('',#16449,6.5E-1); +#16451=ORIENTED_EDGE('',*,*,#11785,.F.); +#16452=ORIENTED_EDGE('',*,*,#16441,.T.); +#16453=ORIENTED_EDGE('',*,*,#15779,.T.); +#16454=ORIENTED_EDGE('',*,*,#16438,.F.); +#16455=EDGE_LOOP('',(#16451,#16452,#16453,#16454)); +#16456=FACE_OUTER_BOUND('',#16455,.F.); +#16458=CARTESIAN_POINT('',(1.2E1,0.E0,-2.E1)); +#16459=DIRECTION('',(0.E0,0.E0,1.E0)); +#16460=DIRECTION('',(1.E0,0.E0,0.E0)); +#16461=AXIS2_PLACEMENT_3D('',#16458,#16459,#16460); +#16462=CYLINDRICAL_SURFACE('',#16461,6.5E-1); +#16463=ORIENTED_EDGE('',*,*,#11789,.F.); +#16465=ORIENTED_EDGE('',*,*,#16464,.T.); +#16466=ORIENTED_EDGE('',*,*,#15789,.T.); +#16468=ORIENTED_EDGE('',*,*,#16467,.F.); +#16469=EDGE_LOOP('',(#16463,#16465,#16466,#16468)); +#16470=FACE_OUTER_BOUND('',#16469,.F.); +#16472=CARTESIAN_POINT('',(1.2E1,0.E0,-2.E1)); +#16473=DIRECTION('',(0.E0,0.E0,1.E0)); +#16474=DIRECTION('',(1.E0,0.E0,0.E0)); +#16475=AXIS2_PLACEMENT_3D('',#16472,#16473,#16474); +#16476=CYLINDRICAL_SURFACE('',#16475,6.5E-1); +#16477=ORIENTED_EDGE('',*,*,#11791,.F.); +#16478=ORIENTED_EDGE('',*,*,#16467,.T.); +#16479=ORIENTED_EDGE('',*,*,#15791,.T.); +#16480=ORIENTED_EDGE('',*,*,#16464,.F.); +#16481=EDGE_LOOP('',(#16477,#16478,#16479,#16480)); +#16482=FACE_OUTER_BOUND('',#16481,.F.); +#16484=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.E1)); +#16485=DIRECTION('',(0.E0,0.E0,1.E0)); +#16486=DIRECTION('',(1.E0,0.E0,0.E0)); +#16487=AXIS2_PLACEMENT_3D('',#16484,#16485,#16486); +#16488=CYLINDRICAL_SURFACE('',#16487,6.5E-1); +#16489=ORIENTED_EDGE('',*,*,#11795,.F.); +#16491=ORIENTED_EDGE('',*,*,#16490,.T.); +#16492=ORIENTED_EDGE('',*,*,#15801,.T.); +#16494=ORIENTED_EDGE('',*,*,#16493,.F.); +#16495=EDGE_LOOP('',(#16489,#16491,#16492,#16494)); +#16496=FACE_OUTER_BOUND('',#16495,.F.); +#16498=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.E1)); +#16499=DIRECTION('',(0.E0,0.E0,1.E0)); +#16500=DIRECTION('',(1.E0,0.E0,0.E0)); +#16501=AXIS2_PLACEMENT_3D('',#16498,#16499,#16500); +#16502=CYLINDRICAL_SURFACE('',#16501,6.5E-1); +#16503=ORIENTED_EDGE('',*,*,#11797,.F.); +#16504=ORIENTED_EDGE('',*,*,#16493,.T.); +#16505=ORIENTED_EDGE('',*,*,#15803,.T.); +#16506=ORIENTED_EDGE('',*,*,#16490,.F.); +#16507=EDGE_LOOP('',(#16503,#16504,#16505,#16506)); +#16508=FACE_OUTER_BOUND('',#16507,.F.); +#16510=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.E1)); +#16511=DIRECTION('',(0.E0,0.E0,1.E0)); +#16512=DIRECTION('',(1.E0,0.E0,0.E0)); +#16513=AXIS2_PLACEMENT_3D('',#16510,#16511,#16512); +#16514=CYLINDRICAL_SURFACE('',#16513,6.5E-1); +#16515=ORIENTED_EDGE('',*,*,#11801,.F.); +#16517=ORIENTED_EDGE('',*,*,#16516,.T.); +#16518=ORIENTED_EDGE('',*,*,#15813,.T.); +#16520=ORIENTED_EDGE('',*,*,#16519,.F.); +#16521=EDGE_LOOP('',(#16515,#16517,#16518,#16520)); +#16522=FACE_OUTER_BOUND('',#16521,.F.); +#16524=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.E1)); +#16525=DIRECTION('',(0.E0,0.E0,1.E0)); +#16526=DIRECTION('',(1.E0,0.E0,0.E0)); +#16527=AXIS2_PLACEMENT_3D('',#16524,#16525,#16526); +#16528=CYLINDRICAL_SURFACE('',#16527,6.5E-1); +#16529=ORIENTED_EDGE('',*,*,#11803,.F.); +#16530=ORIENTED_EDGE('',*,*,#16519,.T.); +#16531=ORIENTED_EDGE('',*,*,#15815,.T.); +#16532=ORIENTED_EDGE('',*,*,#16516,.F.); +#16533=EDGE_LOOP('',(#16529,#16530,#16531,#16532)); +#16534=FACE_OUTER_BOUND('',#16533,.F.); +#16536=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.E1)); +#16537=DIRECTION('',(0.E0,0.E0,1.E0)); +#16538=DIRECTION('',(1.E0,0.E0,0.E0)); +#16539=AXIS2_PLACEMENT_3D('',#16536,#16537,#16538); +#16540=CYLINDRICAL_SURFACE('',#16539,6.5E-1); +#16541=ORIENTED_EDGE('',*,*,#11807,.F.); +#16543=ORIENTED_EDGE('',*,*,#16542,.T.); +#16544=ORIENTED_EDGE('',*,*,#15825,.T.); +#16546=ORIENTED_EDGE('',*,*,#16545,.F.); +#16547=EDGE_LOOP('',(#16541,#16543,#16544,#16546)); +#16548=FACE_OUTER_BOUND('',#16547,.F.); +#16550=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.E1)); +#16551=DIRECTION('',(0.E0,0.E0,1.E0)); +#16552=DIRECTION('',(1.E0,0.E0,0.E0)); +#16553=AXIS2_PLACEMENT_3D('',#16550,#16551,#16552); +#16554=CYLINDRICAL_SURFACE('',#16553,6.5E-1); +#16555=ORIENTED_EDGE('',*,*,#11809,.F.); +#16556=ORIENTED_EDGE('',*,*,#16545,.T.); +#16557=ORIENTED_EDGE('',*,*,#15827,.T.); +#16558=ORIENTED_EDGE('',*,*,#16542,.F.); +#16559=EDGE_LOOP('',(#16555,#16556,#16557,#16558)); +#16560=FACE_OUTER_BOUND('',#16559,.F.); +#16562=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.E1)); +#16563=DIRECTION('',(0.E0,0.E0,1.E0)); +#16564=DIRECTION('',(1.E0,0.E0,0.E0)); +#16565=AXIS2_PLACEMENT_3D('',#16562,#16563,#16564); +#16566=CYLINDRICAL_SURFACE('',#16565,6.5E-1); +#16567=ORIENTED_EDGE('',*,*,#11813,.F.); +#16569=ORIENTED_EDGE('',*,*,#16568,.T.); +#16570=ORIENTED_EDGE('',*,*,#15837,.T.); +#16572=ORIENTED_EDGE('',*,*,#16571,.F.); +#16573=EDGE_LOOP('',(#16567,#16569,#16570,#16572)); +#16574=FACE_OUTER_BOUND('',#16573,.F.); +#16576=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.E1)); +#16577=DIRECTION('',(0.E0,0.E0,1.E0)); +#16578=DIRECTION('',(1.E0,0.E0,0.E0)); +#16579=AXIS2_PLACEMENT_3D('',#16576,#16577,#16578); +#16580=CYLINDRICAL_SURFACE('',#16579,6.5E-1); +#16581=ORIENTED_EDGE('',*,*,#11815,.F.); +#16582=ORIENTED_EDGE('',*,*,#16571,.T.); +#16583=ORIENTED_EDGE('',*,*,#15839,.T.); +#16584=ORIENTED_EDGE('',*,*,#16568,.F.); +#16585=EDGE_LOOP('',(#16581,#16582,#16583,#16584)); +#16586=FACE_OUTER_BOUND('',#16585,.F.); +#16588=CARTESIAN_POINT('',(-1.E1,4.E0,-2.E1)); +#16589=DIRECTION('',(0.E0,0.E0,1.E0)); +#16590=DIRECTION('',(1.E0,0.E0,0.E0)); +#16591=AXIS2_PLACEMENT_3D('',#16588,#16589,#16590); +#16592=CYLINDRICAL_SURFACE('',#16591,6.5E-1); +#16593=ORIENTED_EDGE('',*,*,#11819,.F.); +#16595=ORIENTED_EDGE('',*,*,#16594,.T.); +#16596=ORIENTED_EDGE('',*,*,#15849,.T.); +#16598=ORIENTED_EDGE('',*,*,#16597,.F.); +#16599=EDGE_LOOP('',(#16593,#16595,#16596,#16598)); +#16600=FACE_OUTER_BOUND('',#16599,.F.); +#16602=CARTESIAN_POINT('',(-1.E1,4.E0,-2.E1)); +#16603=DIRECTION('',(0.E0,0.E0,1.E0)); +#16604=DIRECTION('',(1.E0,0.E0,0.E0)); +#16605=AXIS2_PLACEMENT_3D('',#16602,#16603,#16604); +#16606=CYLINDRICAL_SURFACE('',#16605,6.5E-1); +#16607=ORIENTED_EDGE('',*,*,#11821,.F.); +#16608=ORIENTED_EDGE('',*,*,#16597,.T.); +#16609=ORIENTED_EDGE('',*,*,#15851,.T.); +#16610=ORIENTED_EDGE('',*,*,#16594,.F.); +#16611=EDGE_LOOP('',(#16607,#16608,#16609,#16610)); +#16612=FACE_OUTER_BOUND('',#16611,.F.); +#16614=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-2.E1)); +#16615=DIRECTION('',(0.E0,0.E0,1.E0)); +#16616=DIRECTION('',(1.E0,0.E0,0.E0)); +#16617=AXIS2_PLACEMENT_3D('',#16614,#16615,#16616); +#16618=CYLINDRICAL_SURFACE('',#16617,6.5E-1); +#16619=ORIENTED_EDGE('',*,*,#11825,.F.); +#16621=ORIENTED_EDGE('',*,*,#16620,.T.); +#16622=ORIENTED_EDGE('',*,*,#15861,.T.); +#16624=ORIENTED_EDGE('',*,*,#16623,.F.); +#16625=EDGE_LOOP('',(#16619,#16621,#16622,#16624)); +#16626=FACE_OUTER_BOUND('',#16625,.F.); +#16628=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-2.E1)); +#16629=DIRECTION('',(0.E0,0.E0,1.E0)); +#16630=DIRECTION('',(1.E0,0.E0,0.E0)); +#16631=AXIS2_PLACEMENT_3D('',#16628,#16629,#16630); +#16632=CYLINDRICAL_SURFACE('',#16631,6.5E-1); +#16633=ORIENTED_EDGE('',*,*,#11827,.F.); +#16634=ORIENTED_EDGE('',*,*,#16623,.T.); +#16635=ORIENTED_EDGE('',*,*,#15863,.T.); +#16636=ORIENTED_EDGE('',*,*,#16620,.F.); +#16637=EDGE_LOOP('',(#16633,#16634,#16635,#16636)); +#16638=FACE_OUTER_BOUND('',#16637,.F.); +#16640=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.E1)); +#16641=DIRECTION('',(0.E0,0.E0,1.E0)); +#16642=DIRECTION('',(1.E0,0.E0,0.E0)); +#16643=AXIS2_PLACEMENT_3D('',#16640,#16641,#16642); +#16644=CYLINDRICAL_SURFACE('',#16643,6.5E-1); +#16645=ORIENTED_EDGE('',*,*,#11831,.F.); +#16647=ORIENTED_EDGE('',*,*,#16646,.T.); +#16648=ORIENTED_EDGE('',*,*,#15873,.T.); +#16650=ORIENTED_EDGE('',*,*,#16649,.F.); +#16651=EDGE_LOOP('',(#16645,#16647,#16648,#16650)); +#16652=FACE_OUTER_BOUND('',#16651,.F.); +#16654=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.E1)); +#16655=DIRECTION('',(0.E0,0.E0,1.E0)); +#16656=DIRECTION('',(1.E0,0.E0,0.E0)); +#16657=AXIS2_PLACEMENT_3D('',#16654,#16655,#16656); +#16658=CYLINDRICAL_SURFACE('',#16657,6.5E-1); +#16659=ORIENTED_EDGE('',*,*,#11833,.F.); +#16660=ORIENTED_EDGE('',*,*,#16649,.T.); +#16661=ORIENTED_EDGE('',*,*,#15875,.T.); +#16662=ORIENTED_EDGE('',*,*,#16646,.F.); +#16663=EDGE_LOOP('',(#16659,#16660,#16661,#16662)); +#16664=FACE_OUTER_BOUND('',#16663,.F.); +#16666=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.E1)); +#16667=DIRECTION('',(0.E0,0.E0,1.E0)); +#16668=DIRECTION('',(1.E0,0.E0,0.E0)); +#16669=AXIS2_PLACEMENT_3D('',#16666,#16667,#16668); +#16670=CYLINDRICAL_SURFACE('',#16669,6.5E-1); +#16671=ORIENTED_EDGE('',*,*,#11837,.F.); +#16673=ORIENTED_EDGE('',*,*,#16672,.T.); +#16674=ORIENTED_EDGE('',*,*,#15885,.T.); +#16676=ORIENTED_EDGE('',*,*,#16675,.F.); +#16677=EDGE_LOOP('',(#16671,#16673,#16674,#16676)); +#16678=FACE_OUTER_BOUND('',#16677,.F.); +#16680=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.E1)); +#16681=DIRECTION('',(0.E0,0.E0,1.E0)); +#16682=DIRECTION('',(1.E0,0.E0,0.E0)); +#16683=AXIS2_PLACEMENT_3D('',#16680,#16681,#16682); +#16684=CYLINDRICAL_SURFACE('',#16683,6.5E-1); +#16685=ORIENTED_EDGE('',*,*,#11839,.F.); +#16686=ORIENTED_EDGE('',*,*,#16675,.T.); +#16687=ORIENTED_EDGE('',*,*,#15887,.T.); +#16688=ORIENTED_EDGE('',*,*,#16672,.F.); +#16689=EDGE_LOOP('',(#16685,#16686,#16687,#16688)); +#16690=FACE_OUTER_BOUND('',#16689,.F.); +#16692=CARTESIAN_POINT('',(1.E1,4.E0,-2.E1)); +#16693=DIRECTION('',(0.E0,0.E0,1.E0)); +#16694=DIRECTION('',(1.E0,0.E0,0.E0)); +#16695=AXIS2_PLACEMENT_3D('',#16692,#16693,#16694); +#16696=CYLINDRICAL_SURFACE('',#16695,6.5E-1); +#16697=ORIENTED_EDGE('',*,*,#11843,.F.); +#16699=ORIENTED_EDGE('',*,*,#16698,.T.); +#16700=ORIENTED_EDGE('',*,*,#15897,.T.); +#16702=ORIENTED_EDGE('',*,*,#16701,.F.); +#16703=EDGE_LOOP('',(#16697,#16699,#16700,#16702)); +#16704=FACE_OUTER_BOUND('',#16703,.F.); +#16706=CARTESIAN_POINT('',(1.E1,4.E0,-2.E1)); +#16707=DIRECTION('',(0.E0,0.E0,1.E0)); +#16708=DIRECTION('',(1.E0,0.E0,0.E0)); +#16709=AXIS2_PLACEMENT_3D('',#16706,#16707,#16708); +#16710=CYLINDRICAL_SURFACE('',#16709,6.5E-1); +#16711=ORIENTED_EDGE('',*,*,#11845,.F.); +#16712=ORIENTED_EDGE('',*,*,#16701,.T.); +#16713=ORIENTED_EDGE('',*,*,#15899,.T.); +#16714=ORIENTED_EDGE('',*,*,#16698,.F.); +#16715=EDGE_LOOP('',(#16711,#16712,#16713,#16714)); +#16716=FACE_OUTER_BOUND('',#16715,.F.); +#16718=CARTESIAN_POINT('',(1.E1,-4.E0,-2.E1)); +#16719=DIRECTION('',(0.E0,0.E0,1.E0)); +#16720=DIRECTION('',(1.E0,0.E0,0.E0)); +#16721=AXIS2_PLACEMENT_3D('',#16718,#16719,#16720); +#16722=CYLINDRICAL_SURFACE('',#16721,6.5E-1); +#16723=ORIENTED_EDGE('',*,*,#11849,.F.); +#16725=ORIENTED_EDGE('',*,*,#16724,.T.); +#16726=ORIENTED_EDGE('',*,*,#15909,.T.); +#16728=ORIENTED_EDGE('',*,*,#16727,.F.); +#16729=EDGE_LOOP('',(#16723,#16725,#16726,#16728)); +#16730=FACE_OUTER_BOUND('',#16729,.F.); +#16732=CARTESIAN_POINT('',(1.E1,-4.E0,-2.E1)); +#16733=DIRECTION('',(0.E0,0.E0,1.E0)); +#16734=DIRECTION('',(1.E0,0.E0,0.E0)); +#16735=AXIS2_PLACEMENT_3D('',#16732,#16733,#16734); +#16736=CYLINDRICAL_SURFACE('',#16735,6.5E-1); +#16737=ORIENTED_EDGE('',*,*,#11851,.F.); +#16738=ORIENTED_EDGE('',*,*,#16727,.T.); +#16739=ORIENTED_EDGE('',*,*,#15911,.T.); +#16740=ORIENTED_EDGE('',*,*,#16724,.F.); +#16741=EDGE_LOOP('',(#16737,#16738,#16739,#16740)); +#16742=FACE_OUTER_BOUND('',#16741,.F.); +#16744=CARTESIAN_POINT('',(1.8E1,4.E0,-2.E1)); +#16745=DIRECTION('',(0.E0,0.E0,1.E0)); +#16746=DIRECTION('',(1.E0,0.E0,0.E0)); +#16747=AXIS2_PLACEMENT_3D('',#16744,#16745,#16746); +#16748=CYLINDRICAL_SURFACE('',#16747,6.5E-1); +#16749=ORIENTED_EDGE('',*,*,#11855,.F.); +#16751=ORIENTED_EDGE('',*,*,#16750,.T.); +#16752=ORIENTED_EDGE('',*,*,#15921,.T.); +#16754=ORIENTED_EDGE('',*,*,#16753,.F.); +#16755=EDGE_LOOP('',(#16749,#16751,#16752,#16754)); +#16756=FACE_OUTER_BOUND('',#16755,.F.); +#16758=CARTESIAN_POINT('',(1.8E1,4.E0,-2.E1)); +#16759=DIRECTION('',(0.E0,0.E0,1.E0)); +#16760=DIRECTION('',(1.E0,0.E0,0.E0)); +#16761=AXIS2_PLACEMENT_3D('',#16758,#16759,#16760); +#16762=CYLINDRICAL_SURFACE('',#16761,6.5E-1); +#16763=ORIENTED_EDGE('',*,*,#11857,.F.); +#16764=ORIENTED_EDGE('',*,*,#16753,.T.); +#16765=ORIENTED_EDGE('',*,*,#15923,.T.); +#16766=ORIENTED_EDGE('',*,*,#16750,.F.); +#16767=EDGE_LOOP('',(#16763,#16764,#16765,#16766)); +#16768=FACE_OUTER_BOUND('',#16767,.F.); +#16770=CARTESIAN_POINT('',(1.6E1,0.E0,-2.E1)); +#16771=DIRECTION('',(0.E0,0.E0,1.E0)); +#16772=DIRECTION('',(1.E0,0.E0,0.E0)); +#16773=AXIS2_PLACEMENT_3D('',#16770,#16771,#16772); +#16774=CYLINDRICAL_SURFACE('',#16773,6.5E-1); +#16775=ORIENTED_EDGE('',*,*,#11861,.F.); +#16777=ORIENTED_EDGE('',*,*,#16776,.T.); +#16778=ORIENTED_EDGE('',*,*,#15933,.T.); +#16780=ORIENTED_EDGE('',*,*,#16779,.F.); +#16781=EDGE_LOOP('',(#16775,#16777,#16778,#16780)); +#16782=FACE_OUTER_BOUND('',#16781,.F.); +#16784=CARTESIAN_POINT('',(1.6E1,0.E0,-2.E1)); +#16785=DIRECTION('',(0.E0,0.E0,1.E0)); +#16786=DIRECTION('',(1.E0,0.E0,0.E0)); +#16787=AXIS2_PLACEMENT_3D('',#16784,#16785,#16786); +#16788=CYLINDRICAL_SURFACE('',#16787,6.5E-1); +#16789=ORIENTED_EDGE('',*,*,#11863,.F.); +#16790=ORIENTED_EDGE('',*,*,#16779,.T.); +#16791=ORIENTED_EDGE('',*,*,#15935,.T.); +#16792=ORIENTED_EDGE('',*,*,#16776,.F.); +#16793=EDGE_LOOP('',(#16789,#16790,#16791,#16792)); +#16794=FACE_OUTER_BOUND('',#16793,.F.); +#16796=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.E1)); +#16797=DIRECTION('',(0.E0,0.E0,1.E0)); +#16798=DIRECTION('',(1.E0,0.E0,0.E0)); +#16799=AXIS2_PLACEMENT_3D('',#16796,#16797,#16798); +#16800=CYLINDRICAL_SURFACE('',#16799,6.5E-1); +#16801=ORIENTED_EDGE('',*,*,#11867,.F.); +#16803=ORIENTED_EDGE('',*,*,#16802,.T.); +#16804=ORIENTED_EDGE('',*,*,#15945,.T.); +#16806=ORIENTED_EDGE('',*,*,#16805,.F.); +#16807=EDGE_LOOP('',(#16801,#16803,#16804,#16806)); +#16808=FACE_OUTER_BOUND('',#16807,.F.); +#16810=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.E1)); +#16811=DIRECTION('',(0.E0,0.E0,1.E0)); +#16812=DIRECTION('',(1.E0,0.E0,0.E0)); +#16813=AXIS2_PLACEMENT_3D('',#16810,#16811,#16812); +#16814=CYLINDRICAL_SURFACE('',#16813,6.5E-1); +#16815=ORIENTED_EDGE('',*,*,#11869,.F.); +#16816=ORIENTED_EDGE('',*,*,#16805,.T.); +#16817=ORIENTED_EDGE('',*,*,#15947,.T.); +#16818=ORIENTED_EDGE('',*,*,#16802,.F.); +#16819=EDGE_LOOP('',(#16815,#16816,#16817,#16818)); +#16820=FACE_OUTER_BOUND('',#16819,.F.); +#16822=CARTESIAN_POINT('',(2.E1,0.E0,-2.E1)); +#16823=DIRECTION('',(0.E0,0.E0,1.E0)); +#16824=DIRECTION('',(1.E0,0.E0,0.E0)); +#16825=AXIS2_PLACEMENT_3D('',#16822,#16823,#16824); +#16826=CYLINDRICAL_SURFACE('',#16825,6.5E-1); +#16827=ORIENTED_EDGE('',*,*,#11873,.F.); +#16829=ORIENTED_EDGE('',*,*,#16828,.T.); +#16830=ORIENTED_EDGE('',*,*,#15957,.T.); +#16832=ORIENTED_EDGE('',*,*,#16831,.F.); +#16833=EDGE_LOOP('',(#16827,#16829,#16830,#16832)); +#16834=FACE_OUTER_BOUND('',#16833,.F.); +#16836=CARTESIAN_POINT('',(2.E1,0.E0,-2.E1)); +#16837=DIRECTION('',(0.E0,0.E0,1.E0)); +#16838=DIRECTION('',(1.E0,0.E0,0.E0)); +#16839=AXIS2_PLACEMENT_3D('',#16836,#16837,#16838); +#16840=CYLINDRICAL_SURFACE('',#16839,6.5E-1); +#16841=ORIENTED_EDGE('',*,*,#11875,.F.); +#16842=ORIENTED_EDGE('',*,*,#16831,.T.); +#16843=ORIENTED_EDGE('',*,*,#15959,.T.); +#16844=ORIENTED_EDGE('',*,*,#16828,.F.); +#16845=EDGE_LOOP('',(#16841,#16842,#16843,#16844)); +#16846=FACE_OUTER_BOUND('',#16845,.F.); +#16848=CARTESIAN_POINT('',(2.35E1,5.6E0,-5.E-1)); +#16849=DIRECTION('',(0.E0,0.E0,1.E0)); +#16850=DIRECTION('',(9.997503878597E-1,-2.234193309487E-2,0.E0)); +#16851=AXIS2_PLACEMENT_3D('',#16848,#16849,#16850); +#16852=TOROIDAL_SURFACE('',#16851,5.7E0,5.E-1); +#16853=ORIENTED_EDGE('',*,*,#10607,.T.); +#16855=ORIENTED_EDGE('',*,*,#16854,.T.); +#16857=ORIENTED_EDGE('',*,*,#16856,.F.); +#16859=ORIENTED_EDGE('',*,*,#16858,.F.); +#16860=EDGE_LOOP('',(#16853,#16855,#16857,#16859)); +#16861=FACE_OUTER_BOUND('',#16860,.F.); +#16863=CARTESIAN_POINT('',(2.808853151176E1,1.13E1,-5.E-1)); +#16864=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16865=DIRECTION('',(0.E0,0.E0,1.E0)); +#16866=AXIS2_PLACEMENT_3D('',#16863,#16864,#16865); +#16867=CYLINDRICAL_SURFACE('',#16866,5.E-1); +#16869=ORIENTED_EDGE('',*,*,#16868,.F.); +#16870=ORIENTED_EDGE('',*,*,#16854,.F.); +#16871=ORIENTED_EDGE('',*,*,#10621,.T.); +#16873=ORIENTED_EDGE('',*,*,#16872,.T.); +#16875=ORIENTED_EDGE('',*,*,#16874,.F.); +#16877=ORIENTED_EDGE('',*,*,#16876,.T.); +#16879=ORIENTED_EDGE('',*,*,#16878,.T.); +#16881=ORIENTED_EDGE('',*,*,#16880,.T.); +#16882=EDGE_LOOP('',(#16869,#16870,#16871,#16873,#16875,#16877,#16879,#16881)); +#16883=FACE_OUTER_BOUND('',#16882,.F.); +#16885=CARTESIAN_POINT('',(2.35E1,1.18E1,0.E0)); +#16886=DIRECTION('',(0.E0,1.E0,0.E0)); +#16887=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16888=AXIS2_PLACEMENT_3D('',#16885,#16886,#16887); +#16889=PLANE('',#16888); +#16891=ORIENTED_EDGE('',*,*,#16890,.T.); +#16893=ORIENTED_EDGE('',*,*,#16892,.F.); +#16895=ORIENTED_EDGE('',*,*,#16894,.F.); +#16896=ORIENTED_EDGE('',*,*,#16878,.F.); +#16898=ORIENTED_EDGE('',*,*,#16897,.T.); +#16900=ORIENTED_EDGE('',*,*,#16899,.F.); +#16902=ORIENTED_EDGE('',*,*,#16901,.F.); +#16903=ORIENTED_EDGE('',*,*,#16874,.T.); +#16905=ORIENTED_EDGE('',*,*,#16904,.T.); +#16907=ORIENTED_EDGE('',*,*,#16906,.T.); +#16909=ORIENTED_EDGE('',*,*,#16908,.F.); +#16911=ORIENTED_EDGE('',*,*,#16910,.F.); +#16913=ORIENTED_EDGE('',*,*,#16912,.F.); +#16915=ORIENTED_EDGE('',*,*,#16914,.T.); +#16917=ORIENTED_EDGE('',*,*,#16916,.F.); +#16919=ORIENTED_EDGE('',*,*,#16918,.F.); +#16921=ORIENTED_EDGE('',*,*,#16920,.T.); +#16923=ORIENTED_EDGE('',*,*,#16922,.T.); +#16925=ORIENTED_EDGE('',*,*,#16924,.F.); +#16926=ORIENTED_EDGE('',*,*,#16868,.T.); +#16927=EDGE_LOOP('',(#16891,#16893,#16895,#16896,#16898,#16900,#16902,#16903, +#16905,#16907,#16909,#16911,#16913,#16915,#16917,#16919,#16921,#16923,#16925, +#16926)); +#16928=FACE_OUTER_BOUND('',#16927,.F.); +#16930=CARTESIAN_POINT('',(4.325022672948E0,1.38E1,-5.4E0)); +#16931=DIRECTION('',(-9.961946980917E-1,-8.715574274766E-2,0.E0)); +#16932=DIRECTION('',(8.715574274766E-2,-9.961946980917E-1,0.E0)); +#16933=AXIS2_PLACEMENT_3D('',#16930,#16931,#16932); +#16934=PLANE('',#16933); +#16936=ORIENTED_EDGE('',*,*,#16935,.F.); +#16938=ORIENTED_EDGE('',*,*,#16937,.F.); +#16940=ORIENTED_EDGE('',*,*,#16939,.T.); +#16941=ORIENTED_EDGE('',*,*,#16890,.F.); +#16942=EDGE_LOOP('',(#16936,#16938,#16940,#16941)); +#16943=FACE_OUTER_BOUND('',#16942,.F.); +#16945=CARTESIAN_POINT('',(-4.5E0,1.38E1,-3.9E0)); +#16946=DIRECTION('',(0.E0,8.619342151578E-1,5.070201265634E-1)); +#16947=DIRECTION('',(0.E0,-5.070201265634E-1,8.619342151578E-1)); +#16948=AXIS2_PLACEMENT_3D('',#16945,#16946,#16947); +#16949=PLANE('',#16948); +#16950=ORIENTED_EDGE('',*,*,#16935,.T.); +#16951=ORIENTED_EDGE('',*,*,#16880,.F.); +#16953=ORIENTED_EDGE('',*,*,#16952,.F.); +#16955=ORIENTED_EDGE('',*,*,#16954,.T.); +#16956=EDGE_LOOP('',(#16950,#16951,#16953,#16955)); +#16957=FACE_OUTER_BOUND('',#16956,.F.); +#16959=CARTESIAN_POINT('',(-4.5E0,1.38E1,-3.9E0)); +#16960=DIRECTION('',(0.E0,8.619342151578E-1,5.070201265634E-1)); +#16961=DIRECTION('',(0.E0,-5.070201265634E-1,8.619342151578E-1)); +#16962=AXIS2_PLACEMENT_3D('',#16959,#16960,#16961); +#16963=PLANE('',#16962); +#16965=ORIENTED_EDGE('',*,*,#16964,.F.); +#16967=ORIENTED_EDGE('',*,*,#16966,.T.); +#16969=ORIENTED_EDGE('',*,*,#16968,.T.); +#16970=ORIENTED_EDGE('',*,*,#16876,.F.); +#16971=EDGE_LOOP('',(#16965,#16967,#16969,#16970)); +#16972=FACE_OUTER_BOUND('',#16971,.F.); +#16974=CARTESIAN_POINT('',(2.7E0,1.18E1,-5.4E0)); +#16975=DIRECTION('',(9.961946980917E-1,-8.715574274766E-2,0.E0)); +#16976=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#16977=AXIS2_PLACEMENT_3D('',#16974,#16975,#16976); +#16978=PLANE('',#16977); +#16979=ORIENTED_EDGE('',*,*,#16952,.T.); +#16980=ORIENTED_EDGE('',*,*,#16894,.T.); +#16982=ORIENTED_EDGE('',*,*,#16981,.T.); +#16984=ORIENTED_EDGE('',*,*,#16983,.T.); +#16985=EDGE_LOOP('',(#16979,#16980,#16982,#16984)); +#16986=FACE_OUTER_BOUND('',#16985,.F.); +#16988=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#16989=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16990=DIRECTION('',(0.E0,1.E0,0.E0)); +#16991=AXIS2_PLACEMENT_3D('',#16988,#16989,#16990); +#16992=PLANE('',#16991); +#16993=ORIENTED_EDGE('',*,*,#16939,.F.); +#16995=ORIENTED_EDGE('',*,*,#16994,.F.); +#16996=ORIENTED_EDGE('',*,*,#16981,.F.); +#16997=ORIENTED_EDGE('',*,*,#16892,.T.); +#16998=EDGE_LOOP('',(#16993,#16995,#16996,#16997)); +#16999=FACE_OUTER_BOUND('',#16998,.F.); +#17001=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#17002=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17003=DIRECTION('',(0.E0,1.E0,0.E0)); +#17004=AXIS2_PLACEMENT_3D('',#17001,#17002,#17003); +#17005=PLANE('',#17004); +#17007=ORIENTED_EDGE('',*,*,#17006,.F.); +#17008=ORIENTED_EDGE('',*,*,#16899,.T.); +#17010=ORIENTED_EDGE('',*,*,#17009,.F.); +#17012=ORIENTED_EDGE('',*,*,#17011,.F.); +#17013=EDGE_LOOP('',(#17007,#17008,#17010,#17012)); +#17014=FACE_OUTER_BOUND('',#17013,.F.); +#17016=CARTESIAN_POINT('',(-4.5E0,1.38E1,-5.4E0)); +#17017=DIRECTION('',(0.E0,1.E0,0.E0)); +#17018=DIRECTION('',(0.E0,0.E0,1.E0)); +#17019=AXIS2_PLACEMENT_3D('',#17016,#17017,#17018); +#17020=PLANE('',#17019); +#17021=ORIENTED_EDGE('',*,*,#16937,.T.); +#17022=ORIENTED_EDGE('',*,*,#16954,.F.); +#17023=ORIENTED_EDGE('',*,*,#16983,.F.); +#17024=ORIENTED_EDGE('',*,*,#16994,.T.); +#17025=EDGE_LOOP('',(#17021,#17022,#17023,#17024)); +#17026=FACE_OUTER_BOUND('',#17025,.F.); +#17028=CARTESIAN_POINT('',(-4.5E0,1.38E1,-5.4E0)); +#17029=DIRECTION('',(0.E0,1.E0,0.E0)); +#17030=DIRECTION('',(0.E0,0.E0,1.E0)); +#17031=AXIS2_PLACEMENT_3D('',#17028,#17029,#17030); +#17032=PLANE('',#17031); +#17034=ORIENTED_EDGE('',*,*,#17033,.F.); +#17035=ORIENTED_EDGE('',*,*,#17011,.T.); +#17037=ORIENTED_EDGE('',*,*,#17036,.T.); +#17038=ORIENTED_EDGE('',*,*,#16966,.F.); +#17039=EDGE_LOOP('',(#17034,#17035,#17037,#17038)); +#17040=FACE_OUTER_BOUND('',#17039,.F.); +#17042=CARTESIAN_POINT('',(-4.5E0,1.18E1,-5.4E0)); +#17043=DIRECTION('',(9.961946980917E-1,-8.715574274766E-2,0.E0)); +#17044=DIRECTION('',(8.715574274766E-2,9.961946980917E-1,0.E0)); +#17045=AXIS2_PLACEMENT_3D('',#17042,#17043,#17044); +#17046=PLANE('',#17045); +#17047=ORIENTED_EDGE('',*,*,#16964,.T.); +#17048=ORIENTED_EDGE('',*,*,#16901,.T.); +#17049=ORIENTED_EDGE('',*,*,#17006,.T.); +#17050=ORIENTED_EDGE('',*,*,#17033,.T.); +#17051=EDGE_LOOP('',(#17047,#17048,#17049,#17050)); +#17052=FACE_OUTER_BOUND('',#17051,.F.); +#17054=CARTESIAN_POINT('',(-2.874977327052E0,1.38E1,-5.4E0)); +#17055=DIRECTION('',(-9.961946980917E-1,-8.715574274766E-2,0.E0)); +#17056=DIRECTION('',(8.715574274766E-2,-9.961946980917E-1,0.E0)); +#17057=AXIS2_PLACEMENT_3D('',#17054,#17055,#17056); +#17058=PLANE('',#17057); +#17059=ORIENTED_EDGE('',*,*,#16968,.F.); +#17060=ORIENTED_EDGE('',*,*,#17036,.F.); +#17061=ORIENTED_EDGE('',*,*,#17009,.T.); +#17062=ORIENTED_EDGE('',*,*,#16897,.F.); +#17063=EDGE_LOOP('',(#17059,#17060,#17061,#17062)); +#17064=FACE_OUTER_BOUND('',#17063,.F.); +#17066=CARTESIAN_POINT('',(-2.35E1,5.6E0,0.E0)); +#17067=DIRECTION('',(0.E0,0.E0,1.E0)); +#17068=DIRECTION('',(1.E0,0.E0,0.E0)); +#17069=AXIS2_PLACEMENT_3D('',#17066,#17067,#17068); +#17070=CYLINDRICAL_SURFACE('',#17069,6.2E0); +#17072=ORIENTED_EDGE('',*,*,#17071,.T.); +#17074=ORIENTED_EDGE('',*,*,#17073,.T.); +#17076=ORIENTED_EDGE('',*,*,#17075,.F.); +#17077=ORIENTED_EDGE('',*,*,#16904,.F.); +#17078=EDGE_LOOP('',(#17072,#17074,#17076,#17077)); +#17079=FACE_OUTER_BOUND('',#17078,.F.); +#17081=CARTESIAN_POINT('',(-2.35E1,5.6E0,-5.E-1)); +#17082=DIRECTION('',(0.E0,0.E0,1.E0)); +#17083=DIRECTION('',(2.234193309487E-2,9.997503878597E-1,0.E0)); +#17084=AXIS2_PLACEMENT_3D('',#17081,#17082,#17083); +#17085=TOROIDAL_SURFACE('',#17084,5.7E0,5.E-1); +#17086=ORIENTED_EDGE('',*,*,#10619,.T.); +#17088=ORIENTED_EDGE('',*,*,#17087,.T.); +#17089=ORIENTED_EDGE('',*,*,#17071,.F.); +#17090=ORIENTED_EDGE('',*,*,#16872,.F.); +#17091=EDGE_LOOP('',(#17086,#17088,#17089,#17090)); +#17092=FACE_OUTER_BOUND('',#17091,.F.); +#17094=CARTESIAN_POINT('',(-2.92E1,6.807853601297E0,-5.E-1)); +#17095=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17096=DIRECTION('',(0.E0,0.E0,1.E0)); +#17097=AXIS2_PLACEMENT_3D('',#17094,#17095,#17096); +#17098=CYLINDRICAL_SURFACE('',#17097,5.E-1); +#17099=ORIENTED_EDGE('',*,*,#10617,.T.); +#17101=ORIENTED_EDGE('',*,*,#17100,.T.); +#17103=ORIENTED_EDGE('',*,*,#17102,.F.); +#17104=ORIENTED_EDGE('',*,*,#17087,.F.); +#17105=EDGE_LOOP('',(#17099,#17101,#17103,#17104)); +#17106=FACE_OUTER_BOUND('',#17105,.F.); +#17108=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-5.E-1)); +#17109=DIRECTION('',(0.E0,0.E0,1.E0)); +#17110=DIRECTION('',(-9.997503878597E-1,2.234193309487E-2,0.E0)); +#17111=AXIS2_PLACEMENT_3D('',#17108,#17109,#17110); +#17112=TOROIDAL_SURFACE('',#17111,5.7E0,5.E-1); +#17113=ORIENTED_EDGE('',*,*,#10615,.T.); +#17115=ORIENTED_EDGE('',*,*,#17114,.T.); +#17117=ORIENTED_EDGE('',*,*,#17116,.F.); +#17118=ORIENTED_EDGE('',*,*,#17100,.F.); +#17119=EDGE_LOOP('',(#17113,#17115,#17117,#17118)); +#17120=FACE_OUTER_BOUND('',#17119,.F.); +#17122=CARTESIAN_POINT('',(-2.363853151176E1,-1.13E1,-5.E-1)); +#17123=DIRECTION('',(1.E0,0.E0,0.E0)); +#17124=DIRECTION('',(0.E0,0.E0,1.E0)); +#17125=AXIS2_PLACEMENT_3D('',#17122,#17123,#17124); +#17126=CYLINDRICAL_SURFACE('',#17125,5.E-1); +#17127=ORIENTED_EDGE('',*,*,#10613,.T.); +#17129=ORIENTED_EDGE('',*,*,#17128,.T.); +#17131=ORIENTED_EDGE('',*,*,#17130,.F.); +#17132=ORIENTED_EDGE('',*,*,#17114,.F.); +#17133=EDGE_LOOP('',(#17127,#17129,#17131,#17132)); +#17134=FACE_OUTER_BOUND('',#17133,.F.); +#17136=CARTESIAN_POINT('',(2.35E1,-5.6E0,-5.E-1)); +#17137=DIRECTION('',(0.E0,0.E0,1.E0)); +#17138=DIRECTION('',(-2.234193309487E-2,-9.997503878597E-1,0.E0)); +#17139=AXIS2_PLACEMENT_3D('',#17136,#17137,#17138); +#17140=TOROIDAL_SURFACE('',#17139,5.7E0,5.E-1); +#17141=ORIENTED_EDGE('',*,*,#10611,.T.); +#17143=ORIENTED_EDGE('',*,*,#17142,.T.); +#17145=ORIENTED_EDGE('',*,*,#17144,.F.); +#17146=ORIENTED_EDGE('',*,*,#17128,.F.); +#17147=EDGE_LOOP('',(#17141,#17143,#17145,#17146)); +#17148=FACE_OUTER_BOUND('',#17147,.F.); +#17150=CARTESIAN_POINT('',(2.92E1,-5.738531511762E0,-5.E-1)); +#17151=DIRECTION('',(0.E0,1.E0,0.E0)); +#17152=DIRECTION('',(0.E0,0.E0,1.E0)); +#17153=AXIS2_PLACEMENT_3D('',#17150,#17151,#17152); +#17154=CYLINDRICAL_SURFACE('',#17153,5.E-1); +#17155=ORIENTED_EDGE('',*,*,#10609,.T.); +#17156=ORIENTED_EDGE('',*,*,#16858,.T.); +#17158=ORIENTED_EDGE('',*,*,#17157,.F.); +#17159=ORIENTED_EDGE('',*,*,#17142,.F.); +#17160=EDGE_LOOP('',(#17155,#17156,#17158,#17159)); +#17161=FACE_OUTER_BOUND('',#17160,.F.); +#17163=CARTESIAN_POINT('',(2.97E1,-5.6E0,0.E0)); +#17164=DIRECTION('',(1.E0,0.E0,0.E0)); +#17165=DIRECTION('',(0.E0,1.E0,0.E0)); +#17166=AXIS2_PLACEMENT_3D('',#17163,#17164,#17165); +#17167=PLANE('',#17166); +#17168=ORIENTED_EDGE('',*,*,#17157,.T.); +#17170=ORIENTED_EDGE('',*,*,#17169,.T.); +#17172=ORIENTED_EDGE('',*,*,#17171,.T.); +#17174=ORIENTED_EDGE('',*,*,#17173,.F.); +#17176=ORIENTED_EDGE('',*,*,#17175,.F.); +#17178=ORIENTED_EDGE('',*,*,#17177,.T.); +#17180=ORIENTED_EDGE('',*,*,#17179,.T.); +#17182=ORIENTED_EDGE('',*,*,#17181,.F.); +#17184=ORIENTED_EDGE('',*,*,#17183,.F.); +#17185=EDGE_LOOP('',(#17168,#17170,#17172,#17174,#17176,#17178,#17180,#17182, +#17184)); +#17186=FACE_OUTER_BOUND('',#17185,.F.); +#17188=CARTESIAN_POINT('',(2.35E1,5.6E0,0.E0)); +#17189=DIRECTION('',(0.E0,0.E0,1.E0)); +#17190=DIRECTION('',(1.E0,0.E0,0.E0)); +#17191=AXIS2_PLACEMENT_3D('',#17188,#17189,#17190); +#17192=CYLINDRICAL_SURFACE('',#17191,6.2E0); +#17193=ORIENTED_EDGE('',*,*,#16856,.T.); +#17194=ORIENTED_EDGE('',*,*,#16924,.T.); +#17196=ORIENTED_EDGE('',*,*,#17195,.F.); +#17197=ORIENTED_EDGE('',*,*,#17169,.F.); +#17198=EDGE_LOOP('',(#17193,#17194,#17196,#17197)); +#17199=FACE_OUTER_BOUND('',#17198,.F.); +#17201=CARTESIAN_POINT('',(0.E0,0.E0,-1.925E1)); +#17202=DIRECTION('',(0.E0,0.E0,1.E0)); +#17203=DIRECTION('',(1.E0,0.E0,0.E0)); +#17204=AXIS2_PLACEMENT_3D('',#17201,#17202,#17203); +#17205=PLANE('',#17204); +#17207=ORIENTED_EDGE('',*,*,#17206,.F.); +#17209=ORIENTED_EDGE('',*,*,#17208,.F.); +#17211=ORIENTED_EDGE('',*,*,#17210,.F.); +#17213=ORIENTED_EDGE('',*,*,#17212,.F.); +#17215=ORIENTED_EDGE('',*,*,#17214,.F.); +#17217=ORIENTED_EDGE('',*,*,#17216,.F.); +#17218=ORIENTED_EDGE('',*,*,#16906,.F.); +#17219=ORIENTED_EDGE('',*,*,#17075,.T.); +#17220=EDGE_LOOP('',(#17207,#17209,#17211,#17213,#17215,#17217,#17218,#17219)); +#17221=FACE_OUTER_BOUND('',#17220,.F.); +#17223=ORIENTED_EDGE('',*,*,#17222,.F.); +#17225=ORIENTED_EDGE('',*,*,#17224,.F.); +#17226=EDGE_LOOP('',(#17223,#17225)); +#17227=FACE_BOUND('',#17226,.F.); +#17229=CARTESIAN_POINT('',(0.E0,0.E0,-1.925E1)); +#17230=DIRECTION('',(0.E0,0.E0,1.E0)); +#17231=DIRECTION('',(1.E0,0.E0,0.E0)); +#17232=AXIS2_PLACEMENT_3D('',#17229,#17230,#17231); +#17233=PLANE('',#17232); +#17235=ORIENTED_EDGE('',*,*,#17234,.F.); +#17237=ORIENTED_EDGE('',*,*,#17236,.F.); +#17239=ORIENTED_EDGE('',*,*,#17238,.F.); +#17241=ORIENTED_EDGE('',*,*,#17240,.F.); +#17243=ORIENTED_EDGE('',*,*,#17242,.F.); +#17245=ORIENTED_EDGE('',*,*,#17244,.F.); +#17247=ORIENTED_EDGE('',*,*,#17246,.T.); +#17249=ORIENTED_EDGE('',*,*,#17248,.T.); +#17250=EDGE_LOOP('',(#17235,#17237,#17239,#17241,#17243,#17245,#17247,#17249)); +#17251=FACE_OUTER_BOUND('',#17250,.F.); +#17253=ORIENTED_EDGE('',*,*,#17252,.F.); +#17255=ORIENTED_EDGE('',*,*,#17254,.F.); +#17256=EDGE_LOOP('',(#17253,#17255)); +#17257=FACE_BOUND('',#17256,.F.); +#17259=CARTESIAN_POINT('',(0.E0,0.E0,-1.925E1)); +#17260=DIRECTION('',(0.E0,0.E0,1.E0)); +#17261=DIRECTION('',(1.E0,0.E0,0.E0)); +#17262=AXIS2_PLACEMENT_3D('',#17259,#17260,#17261); +#17263=PLANE('',#17262); +#17265=ORIENTED_EDGE('',*,*,#17264,.F.); +#17267=ORIENTED_EDGE('',*,*,#17266,.F.); +#17269=ORIENTED_EDGE('',*,*,#17268,.F.); +#17271=ORIENTED_EDGE('',*,*,#17270,.F.); +#17273=ORIENTED_EDGE('',*,*,#17272,.F.); +#17275=ORIENTED_EDGE('',*,*,#17274,.F.); +#17277=ORIENTED_EDGE('',*,*,#17276,.T.); +#17278=ORIENTED_EDGE('',*,*,#17181,.T.); +#17279=EDGE_LOOP('',(#17265,#17267,#17269,#17271,#17273,#17275,#17277,#17278)); +#17280=FACE_OUTER_BOUND('',#17279,.F.); +#17282=ORIENTED_EDGE('',*,*,#17281,.F.); +#17284=ORIENTED_EDGE('',*,*,#17283,.F.); +#17285=EDGE_LOOP('',(#17282,#17284)); +#17286=FACE_BOUND('',#17285,.F.); +#17288=CARTESIAN_POINT('',(0.E0,0.E0,-1.925E1)); +#17289=DIRECTION('',(0.E0,0.E0,1.E0)); +#17290=DIRECTION('',(1.E0,0.E0,0.E0)); +#17291=AXIS2_PLACEMENT_3D('',#17288,#17289,#17290); +#17292=PLANE('',#17291); +#17293=ORIENTED_EDGE('',*,*,#16922,.F.); +#17295=ORIENTED_EDGE('',*,*,#17294,.F.); +#17297=ORIENTED_EDGE('',*,*,#17296,.F.); +#17299=ORIENTED_EDGE('',*,*,#17298,.F.); +#17301=ORIENTED_EDGE('',*,*,#17300,.F.); +#17303=ORIENTED_EDGE('',*,*,#17302,.F.); +#17304=ORIENTED_EDGE('',*,*,#17171,.F.); +#17305=ORIENTED_EDGE('',*,*,#17195,.T.); +#17306=EDGE_LOOP('',(#17293,#17295,#17297,#17299,#17301,#17303,#17304,#17305)); +#17307=FACE_OUTER_BOUND('',#17306,.F.); +#17309=ORIENTED_EDGE('',*,*,#17308,.F.); +#17311=ORIENTED_EDGE('',*,*,#17310,.F.); +#17312=EDGE_LOOP('',(#17309,#17311)); +#17313=FACE_BOUND('',#17312,.F.); +#17315=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#17316=DIRECTION('',(0.E0,0.E0,1.E0)); +#17317=DIRECTION('',(1.E0,0.E0,0.E0)); +#17318=AXIS2_PLACEMENT_3D('',#17315,#17316,#17317); +#17319=CYLINDRICAL_SURFACE('',#17318,1.085E0); +#17321=ORIENTED_EDGE('',*,*,#17320,.F.); +#17323=ORIENTED_EDGE('',*,*,#17322,.T.); +#17325=ORIENTED_EDGE('',*,*,#17324,.F.); +#17326=ORIENTED_EDGE('',*,*,#17308,.T.); +#17327=EDGE_LOOP('',(#17321,#17323,#17325,#17326)); +#17328=FACE_OUTER_BOUND('',#17327,.F.); +#17330=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#17331=DIRECTION('',(0.E0,0.E0,1.E0)); +#17332=DIRECTION('',(1.E0,0.E0,0.E0)); +#17333=AXIS2_PLACEMENT_3D('',#17330,#17331,#17332); +#17334=CYLINDRICAL_SURFACE('',#17333,1.085E0); +#17335=ORIENTED_EDGE('',*,*,#17324,.T.); +#17337=ORIENTED_EDGE('',*,*,#17336,.T.); +#17338=ORIENTED_EDGE('',*,*,#17320,.T.); +#17339=ORIENTED_EDGE('',*,*,#17310,.T.); +#17340=EDGE_LOOP('',(#17335,#17337,#17338,#17339)); +#17341=FACE_OUTER_BOUND('',#17340,.F.); +#17343=CARTESIAN_POINT('',(0.E0,0.E0,-2.025E1)); +#17344=DIRECTION('',(0.E0,0.E0,1.E0)); +#17345=DIRECTION('',(1.E0,0.E0,0.E0)); +#17346=AXIS2_PLACEMENT_3D('',#17343,#17344,#17345); +#17347=PLANE('',#17346); +#17349=ORIENTED_EDGE('',*,*,#17348,.F.); +#17351=ORIENTED_EDGE('',*,*,#17350,.F.); +#17352=EDGE_LOOP('',(#17349,#17351)); +#17353=FACE_OUTER_BOUND('',#17352,.F.); +#17355=CARTESIAN_POINT('',(0.E0,0.E0,-2.025E1)); +#17356=DIRECTION('',(0.E0,0.E0,1.E0)); +#17357=DIRECTION('',(1.E0,0.E0,0.E0)); +#17358=AXIS2_PLACEMENT_3D('',#17355,#17356,#17357); +#17359=PLANE('',#17358); +#17361=ORIENTED_EDGE('',*,*,#17360,.F.); +#17363=ORIENTED_EDGE('',*,*,#17362,.F.); +#17364=EDGE_LOOP('',(#17361,#17363)); +#17365=FACE_OUTER_BOUND('',#17364,.F.); +#17367=CARTESIAN_POINT('',(0.E0,0.E0,-2.025E1)); +#17368=DIRECTION('',(0.E0,0.E0,1.E0)); +#17369=DIRECTION('',(1.E0,0.E0,0.E0)); +#17370=AXIS2_PLACEMENT_3D('',#17367,#17368,#17369); +#17371=PLANE('',#17370); +#17372=ORIENTED_EDGE('',*,*,#17322,.F.); +#17373=ORIENTED_EDGE('',*,*,#17336,.F.); +#17374=EDGE_LOOP('',(#17372,#17373)); +#17375=FACE_OUTER_BOUND('',#17374,.F.); +#17377=CARTESIAN_POINT('',(0.E0,0.E0,-2.025E1)); +#17378=DIRECTION('',(0.E0,0.E0,1.E0)); +#17379=DIRECTION('',(1.E0,0.E0,0.E0)); +#17380=AXIS2_PLACEMENT_3D('',#17377,#17378,#17379); +#17381=PLANE('',#17380); +#17383=ORIENTED_EDGE('',*,*,#17382,.F.); +#17385=ORIENTED_EDGE('',*,*,#17384,.F.); +#17386=EDGE_LOOP('',(#17383,#17385)); +#17387=FACE_OUTER_BOUND('',#17386,.F.); +#17389=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#17390=DIRECTION('',(0.E0,0.E0,1.E0)); +#17391=DIRECTION('',(1.E0,0.E0,0.E0)); +#17392=AXIS2_PLACEMENT_3D('',#17389,#17390,#17391); +#17393=CYLINDRICAL_SURFACE('',#17392,1.085E0); +#17395=ORIENTED_EDGE('',*,*,#17394,.F.); +#17396=ORIENTED_EDGE('',*,*,#17348,.T.); +#17398=ORIENTED_EDGE('',*,*,#17397,.F.); +#17399=ORIENTED_EDGE('',*,*,#17222,.T.); +#17400=EDGE_LOOP('',(#17395,#17396,#17398,#17399)); +#17401=FACE_OUTER_BOUND('',#17400,.F.); +#17403=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#17404=DIRECTION('',(0.E0,0.E0,1.E0)); +#17405=DIRECTION('',(1.E0,0.E0,0.E0)); +#17406=AXIS2_PLACEMENT_3D('',#17403,#17404,#17405); +#17407=CYLINDRICAL_SURFACE('',#17406,1.085E0); +#17408=ORIENTED_EDGE('',*,*,#17397,.T.); +#17409=ORIENTED_EDGE('',*,*,#17350,.T.); +#17410=ORIENTED_EDGE('',*,*,#17394,.T.); +#17411=ORIENTED_EDGE('',*,*,#17224,.T.); +#17412=EDGE_LOOP('',(#17408,#17409,#17410,#17411)); +#17413=FACE_OUTER_BOUND('',#17412,.F.); +#17415=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.E1)); +#17416=DIRECTION('',(0.E0,0.E0,1.E0)); +#17417=DIRECTION('',(1.E0,0.E0,0.E0)); +#17418=AXIS2_PLACEMENT_3D('',#17415,#17416,#17417); +#17419=CYLINDRICAL_SURFACE('',#17418,1.085E0); +#17421=ORIENTED_EDGE('',*,*,#17420,.F.); +#17422=ORIENTED_EDGE('',*,*,#17360,.T.); +#17424=ORIENTED_EDGE('',*,*,#17423,.F.); +#17425=ORIENTED_EDGE('',*,*,#17252,.T.); +#17426=EDGE_LOOP('',(#17421,#17422,#17424,#17425)); +#17427=FACE_OUTER_BOUND('',#17426,.F.); +#17429=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.E1)); +#17430=DIRECTION('',(0.E0,0.E0,1.E0)); +#17431=DIRECTION('',(1.E0,0.E0,0.E0)); +#17432=AXIS2_PLACEMENT_3D('',#17429,#17430,#17431); +#17433=CYLINDRICAL_SURFACE('',#17432,1.085E0); +#17434=ORIENTED_EDGE('',*,*,#17423,.T.); +#17435=ORIENTED_EDGE('',*,*,#17362,.T.); +#17436=ORIENTED_EDGE('',*,*,#17420,.T.); +#17437=ORIENTED_EDGE('',*,*,#17254,.T.); +#17438=EDGE_LOOP('',(#17434,#17435,#17436,#17437)); +#17439=FACE_OUTER_BOUND('',#17438,.F.); +#17441=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.E1)); +#17442=DIRECTION('',(0.E0,0.E0,1.E0)); +#17443=DIRECTION('',(1.E0,0.E0,0.E0)); +#17444=AXIS2_PLACEMENT_3D('',#17441,#17442,#17443); +#17445=CYLINDRICAL_SURFACE('',#17444,1.085E0); +#17447=ORIENTED_EDGE('',*,*,#17446,.F.); +#17448=ORIENTED_EDGE('',*,*,#17382,.T.); +#17450=ORIENTED_EDGE('',*,*,#17449,.F.); +#17451=ORIENTED_EDGE('',*,*,#17281,.T.); +#17452=EDGE_LOOP('',(#17447,#17448,#17450,#17451)); +#17453=FACE_OUTER_BOUND('',#17452,.F.); +#17455=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.E1)); +#17456=DIRECTION('',(0.E0,0.E0,1.E0)); +#17457=DIRECTION('',(1.E0,0.E0,0.E0)); +#17458=AXIS2_PLACEMENT_3D('',#17455,#17456,#17457); +#17459=CYLINDRICAL_SURFACE('',#17458,1.085E0); +#17460=ORIENTED_EDGE('',*,*,#17449,.T.); +#17461=ORIENTED_EDGE('',*,*,#17384,.T.); +#17462=ORIENTED_EDGE('',*,*,#17446,.T.); +#17463=ORIENTED_EDGE('',*,*,#17283,.T.); +#17464=EDGE_LOOP('',(#17460,#17461,#17462,#17463)); +#17465=FACE_OUTER_BOUND('',#17464,.F.); +#17467=CARTESIAN_POINT('',(-2.97E1,5.6E0,0.E0)); +#17468=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17469=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17470=AXIS2_PLACEMENT_3D('',#17467,#17468,#17469); +#17471=PLANE('',#17470); +#17472=ORIENTED_EDGE('',*,*,#17102,.T.); +#17474=ORIENTED_EDGE('',*,*,#17473,.T.); +#17475=ORIENTED_EDGE('',*,*,#17246,.F.); +#17477=ORIENTED_EDGE('',*,*,#17476,.F.); +#17479=ORIENTED_EDGE('',*,*,#17478,.T.); +#17481=ORIENTED_EDGE('',*,*,#17480,.F.); +#17483=ORIENTED_EDGE('',*,*,#17482,.T.); +#17484=ORIENTED_EDGE('',*,*,#17206,.T.); +#17485=ORIENTED_EDGE('',*,*,#17073,.F.); +#17486=EDGE_LOOP('',(#17472,#17474,#17475,#17477,#17479,#17481,#17483,#17484, +#17485)); +#17487=FACE_OUTER_BOUND('',#17486,.F.); +#17489=CARTESIAN_POINT('',(-2.35E1,-5.6E0,0.E0)); +#17490=DIRECTION('',(0.E0,0.E0,1.E0)); +#17491=DIRECTION('',(1.E0,0.E0,0.E0)); +#17492=AXIS2_PLACEMENT_3D('',#17489,#17490,#17491); +#17493=CYLINDRICAL_SURFACE('',#17492,6.2E0); +#17494=ORIENTED_EDGE('',*,*,#17116,.T.); +#17496=ORIENTED_EDGE('',*,*,#17495,.T.); +#17498=ORIENTED_EDGE('',*,*,#17497,.T.); +#17500=ORIENTED_EDGE('',*,*,#17499,.T.); +#17501=ORIENTED_EDGE('',*,*,#17248,.F.); +#17502=ORIENTED_EDGE('',*,*,#17473,.F.); +#17503=EDGE_LOOP('',(#17494,#17496,#17498,#17500,#17501,#17502)); +#17504=FACE_OUTER_BOUND('',#17503,.F.); +#17506=CARTESIAN_POINT('',(-2.35E1,-1.18E1,0.E0)); +#17507=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17508=DIRECTION('',(1.E0,0.E0,0.E0)); +#17509=AXIS2_PLACEMENT_3D('',#17506,#17507,#17508); +#17510=PLANE('',#17509); +#17511=ORIENTED_EDGE('',*,*,#17130,.T.); +#17513=ORIENTED_EDGE('',*,*,#17512,.T.); +#17515=ORIENTED_EDGE('',*,*,#17514,.T.); +#17516=ORIENTED_EDGE('',*,*,#17495,.F.); +#17517=EDGE_LOOP('',(#17511,#17513,#17515,#17516)); +#17518=FACE_OUTER_BOUND('',#17517,.F.); +#17520=CARTESIAN_POINT('',(2.35E1,-5.6E0,0.E0)); +#17521=DIRECTION('',(0.E0,0.E0,1.E0)); +#17522=DIRECTION('',(1.E0,0.E0,0.E0)); +#17523=AXIS2_PLACEMENT_3D('',#17520,#17521,#17522); +#17524=CYLINDRICAL_SURFACE('',#17523,6.2E0); +#17525=ORIENTED_EDGE('',*,*,#17144,.T.); +#17526=ORIENTED_EDGE('',*,*,#17183,.T.); +#17527=ORIENTED_EDGE('',*,*,#17276,.F.); +#17529=ORIENTED_EDGE('',*,*,#17528,.F.); +#17531=ORIENTED_EDGE('',*,*,#17530,.T.); +#17532=ORIENTED_EDGE('',*,*,#17512,.F.); +#17533=EDGE_LOOP('',(#17525,#17526,#17527,#17529,#17531,#17532)); +#17534=FACE_OUTER_BOUND('',#17533,.F.); +#17536=CARTESIAN_POINT('',(2.723329613077E1,-1.055E1,-2.E1)); +#17537=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17538=DIRECTION('',(1.E0,0.E0,0.E0)); +#17539=AXIS2_PLACEMENT_3D('',#17536,#17537,#17538); +#17540=PLANE('',#17539); +#17541=ORIENTED_EDGE('',*,*,#17528,.T.); +#17542=ORIENTED_EDGE('',*,*,#17274,.T.); +#17544=ORIENTED_EDGE('',*,*,#17543,.F.); +#17546=ORIENTED_EDGE('',*,*,#17545,.F.); +#17548=ORIENTED_EDGE('',*,*,#17547,.F.); +#17549=EDGE_LOOP('',(#17541,#17542,#17544,#17546,#17548)); +#17550=FACE_OUTER_BOUND('',#17549,.F.); +#17552=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.E1)); +#17553=DIRECTION('',(0.E0,0.E0,1.E0)); +#17554=DIRECTION('',(1.E0,0.E0,0.E0)); +#17555=AXIS2_PLACEMENT_3D('',#17552,#17553,#17554); +#17556=CYLINDRICAL_SURFACE('',#17555,3.25E0); +#17558=ORIENTED_EDGE('',*,*,#17557,.F.); +#17559=ORIENTED_EDGE('',*,*,#17543,.T.); +#17560=ORIENTED_EDGE('',*,*,#17272,.T.); +#17562=ORIENTED_EDGE('',*,*,#17561,.F.); +#17563=EDGE_LOOP('',(#17558,#17559,#17560,#17562)); +#17564=FACE_OUTER_BOUND('',#17563,.F.); +#17566=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#17567=DIRECTION('',(0.E0,0.E0,1.E0)); +#17568=DIRECTION('',(1.E0,0.E0,0.E0)); +#17569=AXIS2_PLACEMENT_3D('',#17566,#17567,#17568); +#17570=PLANE('',#17569); +#17572=ORIENTED_EDGE('',*,*,#17571,.F.); +#17574=ORIENTED_EDGE('',*,*,#17573,.F.); +#17576=ORIENTED_EDGE('',*,*,#17575,.F.); +#17578=ORIENTED_EDGE('',*,*,#17577,.F.); +#17580=ORIENTED_EDGE('',*,*,#17579,.F.); +#17582=ORIENTED_EDGE('',*,*,#17581,.F.); +#17584=ORIENTED_EDGE('',*,*,#17583,.F.); +#17586=ORIENTED_EDGE('',*,*,#17585,.F.); +#17587=EDGE_LOOP('',(#17572,#17574,#17576,#17578,#17580,#17582,#17584,#17586)); +#17588=FACE_OUTER_BOUND('',#17587,.F.); +#17590=ORIENTED_EDGE('',*,*,#17589,.T.); +#17592=ORIENTED_EDGE('',*,*,#17591,.T.); +#17594=ORIENTED_EDGE('',*,*,#17593,.T.); +#17596=ORIENTED_EDGE('',*,*,#17595,.T.); +#17598=ORIENTED_EDGE('',*,*,#17597,.T.); +#17600=ORIENTED_EDGE('',*,*,#17599,.T.); +#17602=ORIENTED_EDGE('',*,*,#17601,.T.); +#17604=ORIENTED_EDGE('',*,*,#17603,.T.); +#17605=EDGE_LOOP('',(#17590,#17592,#17594,#17596,#17598,#17600,#17602,#17604)); +#17606=FACE_BOUND('',#17605,.F.); +#17608=CARTESIAN_POINT('',(0.E0,0.E0,-2.E1)); +#17609=DIRECTION('',(0.E0,0.E0,1.E0)); +#17610=DIRECTION('',(1.E0,0.E0,0.E0)); +#17611=AXIS2_PLACEMENT_3D('',#17608,#17609,#17610); +#17612=PLANE('',#17611); +#17614=ORIENTED_EDGE('',*,*,#17613,.T.); +#17616=ORIENTED_EDGE('',*,*,#17615,.T.); +#17618=ORIENTED_EDGE('',*,*,#17617,.T.); +#17620=ORIENTED_EDGE('',*,*,#17619,.T.); +#17622=ORIENTED_EDGE('',*,*,#17621,.T.); +#17624=ORIENTED_EDGE('',*,*,#17623,.T.); +#17626=ORIENTED_EDGE('',*,*,#17625,.T.); +#17628=ORIENTED_EDGE('',*,*,#17627,.T.); +#17629=EDGE_LOOP('',(#17614,#17616,#17618,#17620,#17622,#17624,#17626,#17628)); +#17630=FACE_OUTER_BOUND('',#17629,.F.); +#17632=ORIENTED_EDGE('',*,*,#17631,.T.); +#17634=ORIENTED_EDGE('',*,*,#17633,.T.); +#17636=ORIENTED_EDGE('',*,*,#17635,.T.); +#17638=ORIENTED_EDGE('',*,*,#17637,.F.); +#17639=ORIENTED_EDGE('',*,*,#16918,.T.); +#17641=ORIENTED_EDGE('',*,*,#17640,.F.); +#17643=ORIENTED_EDGE('',*,*,#17642,.F.); +#17645=ORIENTED_EDGE('',*,*,#17644,.F.); +#17646=ORIENTED_EDGE('',*,*,#16910,.T.); +#17648=ORIENTED_EDGE('',*,*,#17647,.F.); +#17650=ORIENTED_EDGE('',*,*,#17649,.T.); +#17652=ORIENTED_EDGE('',*,*,#17651,.T.); +#17654=ORIENTED_EDGE('',*,*,#17653,.T.); +#17656=ORIENTED_EDGE('',*,*,#17655,.F.); +#17658=ORIENTED_EDGE('',*,*,#17657,.F.); +#17660=ORIENTED_EDGE('',*,*,#17659,.F.); +#17662=ORIENTED_EDGE('',*,*,#17661,.F.); +#17664=ORIENTED_EDGE('',*,*,#17663,.T.); +#17666=ORIENTED_EDGE('',*,*,#17665,.T.); +#17668=ORIENTED_EDGE('',*,*,#17667,.T.); +#17670=ORIENTED_EDGE('',*,*,#17669,.T.); +#17672=ORIENTED_EDGE('',*,*,#17671,.T.); +#17674=ORIENTED_EDGE('',*,*,#17673,.F.); +#17676=ORIENTED_EDGE('',*,*,#17675,.F.); +#17678=ORIENTED_EDGE('',*,*,#17677,.F.); +#17679=ORIENTED_EDGE('',*,*,#17545,.T.); +#17680=ORIENTED_EDGE('',*,*,#17557,.T.); +#17682=ORIENTED_EDGE('',*,*,#17681,.T.); +#17684=ORIENTED_EDGE('',*,*,#17683,.T.); +#17686=ORIENTED_EDGE('',*,*,#17685,.T.); +#17688=ORIENTED_EDGE('',*,*,#17687,.F.); +#17690=ORIENTED_EDGE('',*,*,#17689,.F.); +#17692=ORIENTED_EDGE('',*,*,#17691,.F.); +#17694=ORIENTED_EDGE('',*,*,#17693,.F.); +#17695=EDGE_LOOP('',(#17632,#17634,#17636,#17638,#17639,#17641,#17643,#17645, +#17646,#17648,#17650,#17652,#17654,#17656,#17658,#17660,#17662,#17664,#17666, +#17668,#17670,#17672,#17674,#17676,#17678,#17679,#17680,#17682,#17684,#17686, +#17688,#17690,#17692,#17694)); +#17696=FACE_BOUND('',#17695,.F.); +#17698=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.E1)); +#17699=DIRECTION('',(0.E0,0.E0,1.E0)); +#17700=DIRECTION('',(1.E0,0.E0,0.E0)); +#17701=AXIS2_PLACEMENT_3D('',#17698,#17699,#17700); +#17702=CYLINDRICAL_SURFACE('',#17701,5.E0); +#17704=ORIENTED_EDGE('',*,*,#17703,.T.); +#17706=ORIENTED_EDGE('',*,*,#17705,.F.); +#17707=ORIENTED_EDGE('',*,*,#17571,.T.); +#17709=ORIENTED_EDGE('',*,*,#17708,.T.); +#17711=ORIENTED_EDGE('',*,*,#17710,.T.); +#17713=ORIENTED_EDGE('',*,*,#17712,.F.); +#17714=EDGE_LOOP('',(#17704,#17706,#17707,#17709,#17711,#17713)); +#17715=FACE_OUTER_BOUND('',#17714,.F.); +#17717=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.15E1)); +#17718=DIRECTION('',(0.E0,0.E0,1.E0)); +#17719=DIRECTION('',(7.064465069690E-1,-7.077664394356E-1,0.E0)); +#17720=AXIS2_PLACEMENT_3D('',#17717,#17718,#17719); +#17721=TOROIDAL_SURFACE('',#17720,4.5E0,5.E-1); +#17723=ORIENTED_EDGE('',*,*,#17722,.T.); +#17725=ORIENTED_EDGE('',*,*,#17724,.T.); +#17726=ORIENTED_EDGE('',*,*,#17703,.F.); +#17728=ORIENTED_EDGE('',*,*,#17727,.T.); +#17729=EDGE_LOOP('',(#17723,#17725,#17726,#17728)); +#17730=FACE_OUTER_BOUND('',#17729,.F.); +#17732=CARTESIAN_POINT('',(0.E0,0.E0,-2.2E1)); +#17733=DIRECTION('',(0.E0,0.E0,1.E0)); +#17734=DIRECTION('',(1.E0,0.E0,0.E0)); +#17735=AXIS2_PLACEMENT_3D('',#17732,#17733,#17734); +#17736=PLANE('',#17735); +#17738=ORIENTED_EDGE('',*,*,#17737,.F.); +#17740=ORIENTED_EDGE('',*,*,#17739,.T.); +#17742=ORIENTED_EDGE('',*,*,#17741,.F.); +#17744=ORIENTED_EDGE('',*,*,#17743,.F.); +#17746=ORIENTED_EDGE('',*,*,#17745,.F.); +#17748=ORIENTED_EDGE('',*,*,#17747,.F.); +#17750=ORIENTED_EDGE('',*,*,#17749,.T.); +#17752=ORIENTED_EDGE('',*,*,#17751,.F.); +#17754=ORIENTED_EDGE('',*,*,#17753,.F.); +#17755=ORIENTED_EDGE('',*,*,#17722,.F.); +#17757=ORIENTED_EDGE('',*,*,#17756,.T.); +#17759=ORIENTED_EDGE('',*,*,#17758,.F.); +#17761=ORIENTED_EDGE('',*,*,#17760,.F.); +#17763=ORIENTED_EDGE('',*,*,#17762,.F.); +#17765=ORIENTED_EDGE('',*,*,#17764,.F.); +#17767=ORIENTED_EDGE('',*,*,#17766,.F.); +#17769=ORIENTED_EDGE('',*,*,#17768,.F.); +#17771=ORIENTED_EDGE('',*,*,#17770,.T.); +#17773=ORIENTED_EDGE('',*,*,#17772,.F.); +#17775=ORIENTED_EDGE('',*,*,#17774,.F.); +#17777=ORIENTED_EDGE('',*,*,#17776,.F.); +#17779=ORIENTED_EDGE('',*,*,#17778,.F.); +#17781=ORIENTED_EDGE('',*,*,#17780,.F.); +#17783=ORIENTED_EDGE('',*,*,#17782,.F.); +#17785=ORIENTED_EDGE('',*,*,#17784,.F.); +#17787=ORIENTED_EDGE('',*,*,#17786,.F.); +#17789=ORIENTED_EDGE('',*,*,#17788,.F.); +#17791=ORIENTED_EDGE('',*,*,#17790,.F.); +#17793=ORIENTED_EDGE('',*,*,#17792,.T.); +#17795=ORIENTED_EDGE('',*,*,#17794,.F.); +#17797=ORIENTED_EDGE('',*,*,#17796,.F.); +#17799=ORIENTED_EDGE('',*,*,#17798,.F.); +#17801=ORIENTED_EDGE('',*,*,#17800,.F.); +#17803=ORIENTED_EDGE('',*,*,#17802,.F.); +#17804=EDGE_LOOP('',(#17738,#17740,#17742,#17744,#17746,#17748,#17750,#17752, +#17754,#17755,#17757,#17759,#17761,#17763,#17765,#17767,#17769,#17771,#17773, +#17775,#17777,#17779,#17781,#17783,#17785,#17787,#17789,#17791,#17793,#17795, +#17797,#17799,#17801,#17803)); +#17805=FACE_OUTER_BOUND('',#17804,.F.); +#17807=ORIENTED_EDGE('',*,*,#17806,.F.); +#17809=ORIENTED_EDGE('',*,*,#17808,.F.); +#17811=ORIENTED_EDGE('',*,*,#17810,.F.); +#17813=ORIENTED_EDGE('',*,*,#17812,.F.); +#17814=EDGE_LOOP('',(#17807,#17809,#17811,#17813)); +#17815=FACE_BOUND('',#17814,.F.); +#17817=CARTESIAN_POINT('',(-2.47E1,7.E0,-2.2E1)); +#17818=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17819=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17820=AXIS2_PLACEMENT_3D('',#17817,#17818,#17819); +#17821=PLANE('',#17820); +#17823=ORIENTED_EDGE('',*,*,#17822,.T.); +#17825=ORIENTED_EDGE('',*,*,#17824,.F.); +#17827=ORIENTED_EDGE('',*,*,#17826,.F.); +#17829=ORIENTED_EDGE('',*,*,#17828,.F.); +#17830=ORIENTED_EDGE('',*,*,#17806,.T.); +#17832=ORIENTED_EDGE('',*,*,#17831,.T.); +#17834=ORIENTED_EDGE('',*,*,#17833,.F.); +#17836=ORIENTED_EDGE('',*,*,#17835,.T.); +#17837=EDGE_LOOP('',(#17823,#17825,#17827,#17829,#17830,#17832,#17834,#17836)); +#17838=FACE_OUTER_BOUND('',#17837,.F.); +#17840=CARTESIAN_POINT('',(0.E0,0.E0,-2.6E1)); +#17841=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17842=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17843=AXIS2_PLACEMENT_3D('',#17840,#17841,#17842); +#17844=PLANE('',#17843); +#17846=ORIENTED_EDGE('',*,*,#17845,.F.); +#17848=ORIENTED_EDGE('',*,*,#17847,.F.); +#17850=ORIENTED_EDGE('',*,*,#17849,.F.); +#17852=ORIENTED_EDGE('',*,*,#17851,.F.); +#17854=ORIENTED_EDGE('',*,*,#17853,.F.); +#17856=ORIENTED_EDGE('',*,*,#17855,.F.); +#17858=ORIENTED_EDGE('',*,*,#17857,.F.); +#17860=ORIENTED_EDGE('',*,*,#17859,.F.); +#17862=ORIENTED_EDGE('',*,*,#17861,.F.); +#17864=ORIENTED_EDGE('',*,*,#17863,.F.); +#17866=ORIENTED_EDGE('',*,*,#17865,.F.); +#17868=ORIENTED_EDGE('',*,*,#17867,.F.); +#17870=ORIENTED_EDGE('',*,*,#17869,.F.); +#17872=ORIENTED_EDGE('',*,*,#17871,.F.); +#17873=ORIENTED_EDGE('',*,*,#17822,.F.); +#17875=ORIENTED_EDGE('',*,*,#17874,.F.); +#17877=ORIENTED_EDGE('',*,*,#17876,.F.); +#17879=ORIENTED_EDGE('',*,*,#17878,.F.); +#17881=ORIENTED_EDGE('',*,*,#17880,.F.); +#17883=ORIENTED_EDGE('',*,*,#17882,.F.); +#17885=ORIENTED_EDGE('',*,*,#17884,.F.); +#17887=ORIENTED_EDGE('',*,*,#17886,.F.); +#17889=ORIENTED_EDGE('',*,*,#17888,.F.); +#17891=ORIENTED_EDGE('',*,*,#17890,.F.); +#17893=ORIENTED_EDGE('',*,*,#17892,.F.); +#17895=ORIENTED_EDGE('',*,*,#17894,.F.); +#17897=ORIENTED_EDGE('',*,*,#17896,.F.); +#17899=ORIENTED_EDGE('',*,*,#17898,.F.); +#17901=ORIENTED_EDGE('',*,*,#17900,.F.); +#17903=ORIENTED_EDGE('',*,*,#17902,.F.); +#17905=ORIENTED_EDGE('',*,*,#17904,.F.); +#17907=ORIENTED_EDGE('',*,*,#17906,.F.); +#17909=ORIENTED_EDGE('',*,*,#17908,.F.); +#17911=ORIENTED_EDGE('',*,*,#17910,.F.); +#17913=ORIENTED_EDGE('',*,*,#17912,.F.); +#17915=ORIENTED_EDGE('',*,*,#17914,.F.); +#17917=ORIENTED_EDGE('',*,*,#17916,.F.); +#17919=ORIENTED_EDGE('',*,*,#17918,.F.); +#17921=ORIENTED_EDGE('',*,*,#17920,.F.); +#17923=ORIENTED_EDGE('',*,*,#17922,.F.); +#17925=ORIENTED_EDGE('',*,*,#17924,.F.); +#17927=ORIENTED_EDGE('',*,*,#17926,.F.); +#17929=ORIENTED_EDGE('',*,*,#17928,.F.); +#17931=ORIENTED_EDGE('',*,*,#17930,.F.); +#17933=ORIENTED_EDGE('',*,*,#17932,.F.); +#17935=ORIENTED_EDGE('',*,*,#17934,.F.); +#17937=ORIENTED_EDGE('',*,*,#17936,.F.); +#17939=ORIENTED_EDGE('',*,*,#17938,.F.); +#17941=ORIENTED_EDGE('',*,*,#17940,.F.); +#17943=ORIENTED_EDGE('',*,*,#17942,.F.); +#17945=ORIENTED_EDGE('',*,*,#17944,.F.); +#17947=ORIENTED_EDGE('',*,*,#17946,.F.); +#17948=EDGE_LOOP('',(#17846,#17848,#17850,#17852,#17854,#17856,#17858,#17860, +#17862,#17864,#17866,#17868,#17870,#17872,#17873,#17875,#17877,#17879,#17881, +#17883,#17885,#17887,#17889,#17891,#17893,#17895,#17897,#17899,#17901,#17903, +#17905,#17907,#17909,#17911,#17913,#17915,#17917,#17919,#17921,#17923,#17925, +#17927,#17929,#17931,#17933,#17935,#17937,#17939,#17941,#17943,#17945,#17947)); +#17949=FACE_OUTER_BOUND('',#17948,.F.); +#17951=ORIENTED_EDGE('',*,*,#17950,.F.); +#17953=ORIENTED_EDGE('',*,*,#17952,.F.); +#17954=EDGE_LOOP('',(#17951,#17953)); +#17955=FACE_BOUND('',#17954,.F.); +#17957=ORIENTED_EDGE('',*,*,#17956,.F.); +#17959=ORIENTED_EDGE('',*,*,#17958,.F.); +#17960=EDGE_LOOP('',(#17957,#17959)); +#17961=FACE_BOUND('',#17960,.F.); +#17963=ORIENTED_EDGE('',*,*,#17962,.F.); +#17965=ORIENTED_EDGE('',*,*,#17964,.F.); +#17966=EDGE_LOOP('',(#17963,#17965)); +#17967=FACE_BOUND('',#17966,.F.); +#17969=ORIENTED_EDGE('',*,*,#17968,.F.); +#17971=ORIENTED_EDGE('',*,*,#17970,.F.); +#17972=EDGE_LOOP('',(#17969,#17971)); +#17973=FACE_BOUND('',#17972,.F.); +#17975=ORIENTED_EDGE('',*,*,#17974,.F.); +#17977=ORIENTED_EDGE('',*,*,#17976,.F.); +#17978=EDGE_LOOP('',(#17975,#17977)); +#17979=FACE_BOUND('',#17978,.F.); +#17981=ORIENTED_EDGE('',*,*,#17980,.F.); +#17983=ORIENTED_EDGE('',*,*,#17982,.F.); +#17984=EDGE_LOOP('',(#17981,#17983)); +#17985=FACE_BOUND('',#17984,.F.); +#17987=ORIENTED_EDGE('',*,*,#17986,.F.); +#17989=ORIENTED_EDGE('',*,*,#17988,.F.); +#17990=EDGE_LOOP('',(#17987,#17989)); +#17991=FACE_BOUND('',#17990,.F.); +#17993=ORIENTED_EDGE('',*,*,#17992,.F.); +#17995=ORIENTED_EDGE('',*,*,#17994,.F.); +#17996=EDGE_LOOP('',(#17993,#17995)); +#17997=FACE_BOUND('',#17996,.F.); +#17999=ORIENTED_EDGE('',*,*,#17998,.F.); +#18001=ORIENTED_EDGE('',*,*,#18000,.F.); +#18002=EDGE_LOOP('',(#17999,#18001)); +#18003=FACE_BOUND('',#18002,.F.); +#18005=ORIENTED_EDGE('',*,*,#18004,.F.); +#18007=ORIENTED_EDGE('',*,*,#18006,.F.); +#18008=EDGE_LOOP('',(#18005,#18007)); +#18009=FACE_BOUND('',#18008,.F.); +#18011=ORIENTED_EDGE('',*,*,#18010,.F.); +#18013=ORIENTED_EDGE('',*,*,#18012,.F.); +#18014=EDGE_LOOP('',(#18011,#18013)); +#18015=FACE_BOUND('',#18014,.F.); +#18017=ORIENTED_EDGE('',*,*,#18016,.F.); +#18019=ORIENTED_EDGE('',*,*,#18018,.F.); +#18020=EDGE_LOOP('',(#18017,#18019)); +#18021=FACE_BOUND('',#18020,.F.); +#18023=ORIENTED_EDGE('',*,*,#18022,.F.); +#18025=ORIENTED_EDGE('',*,*,#18024,.F.); +#18026=EDGE_LOOP('',(#18023,#18025)); +#18027=FACE_BOUND('',#18026,.F.); +#18029=ORIENTED_EDGE('',*,*,#18028,.F.); +#18031=ORIENTED_EDGE('',*,*,#18030,.F.); +#18032=EDGE_LOOP('',(#18029,#18031)); +#18033=FACE_BOUND('',#18032,.F.); +#18035=ORIENTED_EDGE('',*,*,#18034,.F.); +#18037=ORIENTED_EDGE('',*,*,#18036,.F.); +#18038=EDGE_LOOP('',(#18035,#18037)); +#18039=FACE_BOUND('',#18038,.F.); +#18041=ORIENTED_EDGE('',*,*,#18040,.F.); +#18043=ORIENTED_EDGE('',*,*,#18042,.F.); +#18044=EDGE_LOOP('',(#18041,#18043)); +#18045=FACE_BOUND('',#18044,.F.); +#18047=ORIENTED_EDGE('',*,*,#18046,.F.); +#18049=ORIENTED_EDGE('',*,*,#18048,.F.); +#18050=EDGE_LOOP('',(#18047,#18049)); +#18051=FACE_BOUND('',#18050,.F.); +#18053=ORIENTED_EDGE('',*,*,#18052,.F.); +#18055=ORIENTED_EDGE('',*,*,#18054,.F.); +#18056=EDGE_LOOP('',(#18053,#18055)); +#18057=FACE_BOUND('',#18056,.F.); +#18059=ORIENTED_EDGE('',*,*,#18058,.F.); +#18061=ORIENTED_EDGE('',*,*,#18060,.F.); +#18062=EDGE_LOOP('',(#18059,#18061)); +#18063=FACE_BOUND('',#18062,.F.); +#18065=ORIENTED_EDGE('',*,*,#18064,.F.); +#18067=ORIENTED_EDGE('',*,*,#18066,.F.); +#18068=EDGE_LOOP('',(#18065,#18067)); +#18069=FACE_BOUND('',#18068,.F.); +#18071=ORIENTED_EDGE('',*,*,#18070,.F.); +#18073=ORIENTED_EDGE('',*,*,#18072,.F.); +#18074=EDGE_LOOP('',(#18071,#18073)); +#18075=FACE_BOUND('',#18074,.F.); +#18077=ORIENTED_EDGE('',*,*,#18076,.F.); +#18079=ORIENTED_EDGE('',*,*,#18078,.F.); +#18080=EDGE_LOOP('',(#18077,#18079)); +#18081=FACE_BOUND('',#18080,.F.); +#18083=ORIENTED_EDGE('',*,*,#18082,.F.); +#18085=ORIENTED_EDGE('',*,*,#18084,.F.); +#18086=EDGE_LOOP('',(#18083,#18085)); +#18087=FACE_BOUND('',#18086,.F.); +#18089=ORIENTED_EDGE('',*,*,#18088,.F.); +#18091=ORIENTED_EDGE('',*,*,#18090,.F.); +#18092=EDGE_LOOP('',(#18089,#18091)); +#18093=FACE_BOUND('',#18092,.F.); +#18095=ORIENTED_EDGE('',*,*,#18094,.F.); +#18097=ORIENTED_EDGE('',*,*,#18096,.F.); +#18098=EDGE_LOOP('',(#18095,#18097)); +#18099=FACE_BOUND('',#18098,.F.); +#18101=ORIENTED_EDGE('',*,*,#18100,.F.); +#18103=ORIENTED_EDGE('',*,*,#18102,.F.); +#18104=EDGE_LOOP('',(#18101,#18103)); +#18105=FACE_BOUND('',#18104,.F.); +#18107=ORIENTED_EDGE('',*,*,#18106,.F.); +#18109=ORIENTED_EDGE('',*,*,#18108,.F.); +#18110=EDGE_LOOP('',(#18107,#18109)); +#18111=FACE_BOUND('',#18110,.F.); +#18113=ORIENTED_EDGE('',*,*,#18112,.F.); +#18115=ORIENTED_EDGE('',*,*,#18114,.F.); +#18116=EDGE_LOOP('',(#18113,#18115)); +#18117=FACE_BOUND('',#18116,.F.); +#18119=ORIENTED_EDGE('',*,*,#18118,.F.); +#18121=ORIENTED_EDGE('',*,*,#18120,.F.); +#18122=EDGE_LOOP('',(#18119,#18121)); +#18123=FACE_BOUND('',#18122,.F.); +#18125=ORIENTED_EDGE('',*,*,#18124,.F.); +#18127=ORIENTED_EDGE('',*,*,#18126,.F.); +#18128=EDGE_LOOP('',(#18125,#18127)); +#18129=FACE_BOUND('',#18128,.F.); +#18131=ORIENTED_EDGE('',*,*,#18130,.F.); +#18133=ORIENTED_EDGE('',*,*,#18132,.F.); +#18134=EDGE_LOOP('',(#18131,#18133)); +#18135=FACE_BOUND('',#18134,.F.); +#18137=ORIENTED_EDGE('',*,*,#18136,.F.); +#18139=ORIENTED_EDGE('',*,*,#18138,.F.); +#18140=EDGE_LOOP('',(#18137,#18139)); +#18141=FACE_BOUND('',#18140,.F.); +#18143=ORIENTED_EDGE('',*,*,#18142,.F.); +#18145=ORIENTED_EDGE('',*,*,#18144,.F.); +#18146=EDGE_LOOP('',(#18143,#18145)); +#18147=FACE_BOUND('',#18146,.F.); +#18149=ORIENTED_EDGE('',*,*,#18148,.F.); +#18151=ORIENTED_EDGE('',*,*,#18150,.F.); +#18152=EDGE_LOOP('',(#18149,#18151)); +#18153=FACE_BOUND('',#18152,.F.); +#18155=ORIENTED_EDGE('',*,*,#18154,.F.); +#18157=ORIENTED_EDGE('',*,*,#18156,.F.); +#18158=EDGE_LOOP('',(#18155,#18157)); +#18159=FACE_BOUND('',#18158,.F.); +#18161=CARTESIAN_POINT('',(2.E0,4.E0,-2.3E1)); +#18162=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18163=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18164=AXIS2_PLACEMENT_3D('',#18161,#18162,#18163); +#18165=CYLINDRICAL_SURFACE('',#18164,1.5E0); +#18167=ORIENTED_EDGE('',*,*,#18166,.F.); +#18169=ORIENTED_EDGE('',*,*,#18168,.T.); +#18170=ORIENTED_EDGE('',*,*,#17845,.T.); +#18172=ORIENTED_EDGE('',*,*,#18171,.F.); +#18173=EDGE_LOOP('',(#18167,#18169,#18170,#18172)); +#18174=FACE_OUTER_BOUND('',#18173,.F.); +#18176=CARTESIAN_POINT('',(0.E0,0.E0,-2.3E1)); +#18177=DIRECTION('',(0.E0,0.E0,1.E0)); +#18178=DIRECTION('',(1.E0,0.E0,0.E0)); +#18179=AXIS2_PLACEMENT_3D('',#18176,#18177,#18178); +#18180=PLANE('',#18179); +#18181=ORIENTED_EDGE('',*,*,#18166,.T.); +#18183=ORIENTED_EDGE('',*,*,#18182,.T.); +#18185=ORIENTED_EDGE('',*,*,#18184,.T.); +#18187=ORIENTED_EDGE('',*,*,#18186,.T.); +#18189=ORIENTED_EDGE('',*,*,#18188,.T.); +#18191=ORIENTED_EDGE('',*,*,#18190,.T.); +#18193=ORIENTED_EDGE('',*,*,#18192,.T.); +#18195=ORIENTED_EDGE('',*,*,#18194,.T.); +#18197=ORIENTED_EDGE('',*,*,#18196,.T.); +#18199=ORIENTED_EDGE('',*,*,#18198,.T.); +#18201=ORIENTED_EDGE('',*,*,#18200,.T.); +#18203=ORIENTED_EDGE('',*,*,#18202,.F.); +#18205=ORIENTED_EDGE('',*,*,#18204,.T.); +#18207=ORIENTED_EDGE('',*,*,#18206,.T.); +#18208=ORIENTED_EDGE('',*,*,#17826,.T.); +#18210=ORIENTED_EDGE('',*,*,#18209,.T.); +#18212=ORIENTED_EDGE('',*,*,#18211,.T.); +#18214=ORIENTED_EDGE('',*,*,#18213,.T.); +#18216=ORIENTED_EDGE('',*,*,#18215,.T.); +#18218=ORIENTED_EDGE('',*,*,#18217,.T.); +#18220=ORIENTED_EDGE('',*,*,#18219,.T.); +#18222=ORIENTED_EDGE('',*,*,#18221,.T.); +#18224=ORIENTED_EDGE('',*,*,#18223,.T.); +#18226=ORIENTED_EDGE('',*,*,#18225,.T.); +#18228=ORIENTED_EDGE('',*,*,#18227,.T.); +#18230=ORIENTED_EDGE('',*,*,#18229,.T.); +#18232=ORIENTED_EDGE('',*,*,#18231,.T.); +#18234=ORIENTED_EDGE('',*,*,#18233,.T.); +#18235=EDGE_LOOP('',(#18181,#18183,#18185,#18187,#18189,#18191,#18193,#18195, +#18197,#18199,#18201,#18203,#18205,#18207,#18208,#18210,#18212,#18214,#18216, +#18218,#18220,#18222,#18224,#18226,#18228,#18230,#18232,#18234)); +#18236=FACE_OUTER_BOUND('',#18235,.F.); +#18238=CARTESIAN_POINT('',(0.E0,0.E0,-2.3E1)); +#18239=DIRECTION('',(0.E0,0.E0,1.E0)); +#18240=DIRECTION('',(1.E0,0.E0,0.E0)); +#18241=AXIS2_PLACEMENT_3D('',#18238,#18239,#18240); +#18242=PLANE('',#18241); +#18243=ORIENTED_EDGE('',*,*,#17833,.T.); +#18245=ORIENTED_EDGE('',*,*,#18244,.T.); +#18247=ORIENTED_EDGE('',*,*,#18246,.T.); +#18249=ORIENTED_EDGE('',*,*,#18248,.T.); +#18251=ORIENTED_EDGE('',*,*,#18250,.T.); +#18253=ORIENTED_EDGE('',*,*,#18252,.T.); +#18255=ORIENTED_EDGE('',*,*,#18254,.T.); +#18257=ORIENTED_EDGE('',*,*,#18256,.T.); +#18259=ORIENTED_EDGE('',*,*,#18258,.T.); +#18261=ORIENTED_EDGE('',*,*,#18260,.T.); +#18263=ORIENTED_EDGE('',*,*,#18262,.T.); +#18265=ORIENTED_EDGE('',*,*,#18264,.T.); +#18267=ORIENTED_EDGE('',*,*,#18266,.T.); +#18269=ORIENTED_EDGE('',*,*,#18268,.T.); +#18271=ORIENTED_EDGE('',*,*,#18270,.T.); +#18273=ORIENTED_EDGE('',*,*,#18272,.T.); +#18275=ORIENTED_EDGE('',*,*,#18274,.T.); +#18277=ORIENTED_EDGE('',*,*,#18276,.T.); +#18279=ORIENTED_EDGE('',*,*,#18278,.T.); +#18281=ORIENTED_EDGE('',*,*,#18280,.T.); +#18283=ORIENTED_EDGE('',*,*,#18282,.T.); +#18285=ORIENTED_EDGE('',*,*,#18284,.T.); +#18287=ORIENTED_EDGE('',*,*,#18286,.T.); +#18289=ORIENTED_EDGE('',*,*,#18288,.T.); +#18291=ORIENTED_EDGE('',*,*,#18290,.T.); +#18293=ORIENTED_EDGE('',*,*,#18292,.T.); +#18295=ORIENTED_EDGE('',*,*,#18294,.T.); +#18297=ORIENTED_EDGE('',*,*,#18296,.F.); +#18298=EDGE_LOOP('',(#18243,#18245,#18247,#18249,#18251,#18253,#18255,#18257, +#18259,#18261,#18263,#18265,#18267,#18269,#18271,#18273,#18275,#18277,#18279, +#18281,#18283,#18285,#18287,#18289,#18291,#18293,#18295,#18297)); +#18299=FACE_OUTER_BOUND('',#18298,.F.); +#18301=CARTESIAN_POINT('',(3.414213562373E0,4.5E0,-2.3E1)); +#18302=DIRECTION('',(0.E0,1.E0,0.E0)); +#18303=DIRECTION('',(1.E0,0.E0,0.E0)); +#18304=AXIS2_PLACEMENT_3D('',#18301,#18302,#18303); +#18305=PLANE('',#18304); +#18306=ORIENTED_EDGE('',*,*,#18182,.F.); +#18307=ORIENTED_EDGE('',*,*,#18171,.T.); +#18308=ORIENTED_EDGE('',*,*,#17946,.T.); +#18310=ORIENTED_EDGE('',*,*,#18309,.F.); +#18311=EDGE_LOOP('',(#18306,#18307,#18308,#18310)); +#18312=FACE_OUTER_BOUND('',#18311,.F.); +#18314=CARTESIAN_POINT('',(6.E0,4.E0,-2.3E1)); +#18315=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18316=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18317=AXIS2_PLACEMENT_3D('',#18314,#18315,#18316); +#18318=CYLINDRICAL_SURFACE('',#18317,1.5E0); +#18319=ORIENTED_EDGE('',*,*,#18184,.F.); +#18320=ORIENTED_EDGE('',*,*,#18309,.T.); +#18321=ORIENTED_EDGE('',*,*,#17944,.T.); +#18323=ORIENTED_EDGE('',*,*,#18322,.F.); +#18324=EDGE_LOOP('',(#18319,#18320,#18321,#18323)); +#18325=FACE_OUTER_BOUND('',#18324,.F.); +#18327=CARTESIAN_POINT('',(7.414213562373E0,4.5E0,-2.3E1)); +#18328=DIRECTION('',(0.E0,1.E0,0.E0)); +#18329=DIRECTION('',(1.E0,0.E0,0.E0)); +#18330=AXIS2_PLACEMENT_3D('',#18327,#18328,#18329); +#18331=PLANE('',#18330); +#18332=ORIENTED_EDGE('',*,*,#18186,.F.); +#18333=ORIENTED_EDGE('',*,*,#18322,.T.); +#18334=ORIENTED_EDGE('',*,*,#17942,.T.); +#18336=ORIENTED_EDGE('',*,*,#18335,.F.); +#18337=EDGE_LOOP('',(#18332,#18333,#18334,#18336)); +#18338=FACE_OUTER_BOUND('',#18337,.F.); +#18340=CARTESIAN_POINT('',(1.E1,4.E0,-2.3E1)); +#18341=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18342=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18343=AXIS2_PLACEMENT_3D('',#18340,#18341,#18342); +#18344=CYLINDRICAL_SURFACE('',#18343,1.5E0); +#18345=ORIENTED_EDGE('',*,*,#18188,.F.); +#18346=ORIENTED_EDGE('',*,*,#18335,.T.); +#18347=ORIENTED_EDGE('',*,*,#17940,.T.); +#18349=ORIENTED_EDGE('',*,*,#18348,.F.); +#18350=EDGE_LOOP('',(#18345,#18346,#18347,#18349)); +#18351=FACE_OUTER_BOUND('',#18350,.F.); +#18353=CARTESIAN_POINT('',(1.141421356237E1,4.5E0,-2.3E1)); +#18354=DIRECTION('',(0.E0,1.E0,0.E0)); +#18355=DIRECTION('',(1.E0,0.E0,0.E0)); +#18356=AXIS2_PLACEMENT_3D('',#18353,#18354,#18355); +#18357=PLANE('',#18356); +#18358=ORIENTED_EDGE('',*,*,#18190,.F.); +#18359=ORIENTED_EDGE('',*,*,#18348,.T.); +#18360=ORIENTED_EDGE('',*,*,#17938,.T.); +#18362=ORIENTED_EDGE('',*,*,#18361,.F.); +#18363=EDGE_LOOP('',(#18358,#18359,#18360,#18362)); +#18364=FACE_OUTER_BOUND('',#18363,.F.); +#18366=CARTESIAN_POINT('',(1.4E1,4.E0,-2.3E1)); +#18367=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18368=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18369=AXIS2_PLACEMENT_3D('',#18366,#18367,#18368); +#18370=CYLINDRICAL_SURFACE('',#18369,1.5E0); +#18371=ORIENTED_EDGE('',*,*,#18192,.F.); +#18372=ORIENTED_EDGE('',*,*,#18361,.T.); +#18373=ORIENTED_EDGE('',*,*,#17936,.T.); +#18375=ORIENTED_EDGE('',*,*,#18374,.F.); +#18376=EDGE_LOOP('',(#18371,#18372,#18373,#18375)); +#18377=FACE_OUTER_BOUND('',#18376,.F.); +#18379=CARTESIAN_POINT('',(1.541421356237E1,4.5E0,-2.3E1)); +#18380=DIRECTION('',(0.E0,1.E0,0.E0)); +#18381=DIRECTION('',(1.E0,0.E0,0.E0)); +#18382=AXIS2_PLACEMENT_3D('',#18379,#18380,#18381); +#18383=PLANE('',#18382); +#18384=ORIENTED_EDGE('',*,*,#18194,.F.); +#18385=ORIENTED_EDGE('',*,*,#18374,.T.); +#18386=ORIENTED_EDGE('',*,*,#17934,.T.); +#18388=ORIENTED_EDGE('',*,*,#18387,.F.); +#18389=EDGE_LOOP('',(#18384,#18385,#18386,#18388)); +#18390=FACE_OUTER_BOUND('',#18389,.F.); +#18392=CARTESIAN_POINT('',(1.8E1,4.E0,-2.3E1)); +#18393=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18394=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18395=AXIS2_PLACEMENT_3D('',#18392,#18393,#18394); +#18396=CYLINDRICAL_SURFACE('',#18395,1.5E0); +#18397=ORIENTED_EDGE('',*,*,#18196,.F.); +#18398=ORIENTED_EDGE('',*,*,#18387,.T.); +#18399=ORIENTED_EDGE('',*,*,#17932,.T.); +#18401=ORIENTED_EDGE('',*,*,#18400,.F.); +#18402=EDGE_LOOP('',(#18397,#18398,#18399,#18401)); +#18403=FACE_OUTER_BOUND('',#18402,.F.); +#18405=CARTESIAN_POINT('',(1.941421356237E1,4.5E0,-2.3E1)); +#18406=DIRECTION('',(0.E0,1.E0,0.E0)); +#18407=DIRECTION('',(1.E0,0.E0,0.E0)); +#18408=AXIS2_PLACEMENT_3D('',#18405,#18406,#18407); +#18409=PLANE('',#18408); +#18410=ORIENTED_EDGE('',*,*,#18198,.F.); +#18411=ORIENTED_EDGE('',*,*,#18400,.T.); +#18412=ORIENTED_EDGE('',*,*,#17930,.T.); +#18414=ORIENTED_EDGE('',*,*,#18413,.F.); +#18415=EDGE_LOOP('',(#18410,#18411,#18412,#18414)); +#18416=FACE_OUTER_BOUND('',#18415,.F.); +#18418=CARTESIAN_POINT('',(2.2E1,4.E0,-2.3E1)); +#18419=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18420=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18421=AXIS2_PLACEMENT_3D('',#18418,#18419,#18420); +#18422=CYLINDRICAL_SURFACE('',#18421,1.5E0); +#18423=ORIENTED_EDGE('',*,*,#18200,.F.); +#18424=ORIENTED_EDGE('',*,*,#18413,.T.); +#18425=ORIENTED_EDGE('',*,*,#17928,.T.); +#18427=ORIENTED_EDGE('',*,*,#18426,.F.); +#18428=EDGE_LOOP('',(#18423,#18424,#18425,#18427)); +#18429=FACE_OUTER_BOUND('',#18428,.F.); +#18431=CARTESIAN_POINT('',(2.341421356237E1,4.5E0,-2.3E1)); +#18432=DIRECTION('',(0.E0,1.E0,0.E0)); +#18433=DIRECTION('',(1.E0,0.E0,0.E0)); +#18434=AXIS2_PLACEMENT_3D('',#18431,#18432,#18433); +#18435=PLANE('',#18434); +#18436=ORIENTED_EDGE('',*,*,#18202,.T.); +#18437=ORIENTED_EDGE('',*,*,#18426,.T.); +#18438=ORIENTED_EDGE('',*,*,#17926,.T.); +#18440=ORIENTED_EDGE('',*,*,#18439,.F.); +#18441=EDGE_LOOP('',(#18436,#18437,#18438,#18440)); +#18442=FACE_OUTER_BOUND('',#18441,.F.); +#18444=CARTESIAN_POINT('',(2.47E1,-7.E0,-2.2E1)); +#18445=DIRECTION('',(1.E0,0.E0,0.E0)); +#18446=DIRECTION('',(0.E0,1.E0,0.E0)); +#18447=AXIS2_PLACEMENT_3D('',#18444,#18445,#18446); +#18448=PLANE('',#18447); +#18449=ORIENTED_EDGE('',*,*,#17924,.T.); +#18451=ORIENTED_EDGE('',*,*,#18450,.F.); +#18452=ORIENTED_EDGE('',*,*,#18246,.F.); +#18454=ORIENTED_EDGE('',*,*,#18453,.F.); +#18455=ORIENTED_EDGE('',*,*,#17810,.T.); +#18457=ORIENTED_EDGE('',*,*,#18456,.T.); +#18458=ORIENTED_EDGE('',*,*,#18204,.F.); +#18459=ORIENTED_EDGE('',*,*,#18439,.T.); +#18460=EDGE_LOOP('',(#18449,#18451,#18452,#18454,#18455,#18457,#18458,#18459)); +#18461=FACE_OUTER_BOUND('',#18460,.F.); +#18463=CARTESIAN_POINT('',(2.47E1,-4.5E0,-2.3E1)); +#18464=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18465=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18466=AXIS2_PLACEMENT_3D('',#18463,#18464,#18465); +#18467=PLANE('',#18466); +#18468=ORIENTED_EDGE('',*,*,#18248,.F.); +#18469=ORIENTED_EDGE('',*,*,#18450,.T.); +#18470=ORIENTED_EDGE('',*,*,#17922,.T.); +#18472=ORIENTED_EDGE('',*,*,#18471,.F.); +#18473=EDGE_LOOP('',(#18468,#18469,#18470,#18472)); +#18474=FACE_OUTER_BOUND('',#18473,.F.); +#18476=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.3E1)); +#18477=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18478=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18479=AXIS2_PLACEMENT_3D('',#18476,#18477,#18478); +#18480=CYLINDRICAL_SURFACE('',#18479,1.5E0); +#18481=ORIENTED_EDGE('',*,*,#18250,.F.); +#18482=ORIENTED_EDGE('',*,*,#18471,.T.); +#18483=ORIENTED_EDGE('',*,*,#17920,.T.); +#18485=ORIENTED_EDGE('',*,*,#18484,.F.); +#18486=EDGE_LOOP('',(#18481,#18482,#18483,#18485)); +#18487=FACE_OUTER_BOUND('',#18486,.F.); +#18489=CARTESIAN_POINT('',(2.058578643763E1,-4.5E0,-2.3E1)); +#18490=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18491=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18492=AXIS2_PLACEMENT_3D('',#18489,#18490,#18491); +#18493=PLANE('',#18492); +#18494=ORIENTED_EDGE('',*,*,#18252,.F.); +#18495=ORIENTED_EDGE('',*,*,#18484,.T.); +#18496=ORIENTED_EDGE('',*,*,#17918,.T.); +#18498=ORIENTED_EDGE('',*,*,#18497,.F.); +#18499=EDGE_LOOP('',(#18494,#18495,#18496,#18498)); +#18500=FACE_OUTER_BOUND('',#18499,.F.); +#18502=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.3E1)); +#18503=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18504=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18505=AXIS2_PLACEMENT_3D('',#18502,#18503,#18504); +#18506=CYLINDRICAL_SURFACE('',#18505,1.5E0); +#18507=ORIENTED_EDGE('',*,*,#18254,.F.); +#18508=ORIENTED_EDGE('',*,*,#18497,.T.); +#18509=ORIENTED_EDGE('',*,*,#17916,.T.); +#18511=ORIENTED_EDGE('',*,*,#18510,.F.); +#18512=EDGE_LOOP('',(#18507,#18508,#18509,#18511)); +#18513=FACE_OUTER_BOUND('',#18512,.F.); +#18515=CARTESIAN_POINT('',(1.658578643763E1,-4.5E0,-2.3E1)); +#18516=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18517=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18518=AXIS2_PLACEMENT_3D('',#18515,#18516,#18517); +#18519=PLANE('',#18518); +#18520=ORIENTED_EDGE('',*,*,#18256,.F.); +#18521=ORIENTED_EDGE('',*,*,#18510,.T.); +#18522=ORIENTED_EDGE('',*,*,#17914,.T.); +#18524=ORIENTED_EDGE('',*,*,#18523,.F.); +#18525=EDGE_LOOP('',(#18520,#18521,#18522,#18524)); +#18526=FACE_OUTER_BOUND('',#18525,.F.); +#18528=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.3E1)); +#18529=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18530=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18531=AXIS2_PLACEMENT_3D('',#18528,#18529,#18530); +#18532=CYLINDRICAL_SURFACE('',#18531,1.5E0); +#18533=ORIENTED_EDGE('',*,*,#18258,.F.); +#18534=ORIENTED_EDGE('',*,*,#18523,.T.); +#18535=ORIENTED_EDGE('',*,*,#17912,.T.); +#18537=ORIENTED_EDGE('',*,*,#18536,.F.); +#18538=EDGE_LOOP('',(#18533,#18534,#18535,#18537)); +#18539=FACE_OUTER_BOUND('',#18538,.F.); +#18541=CARTESIAN_POINT('',(1.258578643763E1,-4.5E0,-2.3E1)); +#18542=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18543=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18544=AXIS2_PLACEMENT_3D('',#18541,#18542,#18543); +#18545=PLANE('',#18544); +#18546=ORIENTED_EDGE('',*,*,#18260,.F.); +#18547=ORIENTED_EDGE('',*,*,#18536,.T.); +#18548=ORIENTED_EDGE('',*,*,#17910,.T.); +#18550=ORIENTED_EDGE('',*,*,#18549,.F.); +#18551=EDGE_LOOP('',(#18546,#18547,#18548,#18550)); +#18552=FACE_OUTER_BOUND('',#18551,.F.); +#18554=CARTESIAN_POINT('',(1.E1,-4.E0,-2.3E1)); +#18555=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18556=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18557=AXIS2_PLACEMENT_3D('',#18554,#18555,#18556); +#18558=CYLINDRICAL_SURFACE('',#18557,1.5E0); +#18559=ORIENTED_EDGE('',*,*,#18262,.F.); +#18560=ORIENTED_EDGE('',*,*,#18549,.T.); +#18561=ORIENTED_EDGE('',*,*,#17908,.T.); +#18563=ORIENTED_EDGE('',*,*,#18562,.F.); +#18564=EDGE_LOOP('',(#18559,#18560,#18561,#18563)); +#18565=FACE_OUTER_BOUND('',#18564,.F.); +#18567=CARTESIAN_POINT('',(8.585786437627E0,-4.5E0,-2.3E1)); +#18568=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18569=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18570=AXIS2_PLACEMENT_3D('',#18567,#18568,#18569); +#18571=PLANE('',#18570); +#18572=ORIENTED_EDGE('',*,*,#18264,.F.); +#18573=ORIENTED_EDGE('',*,*,#18562,.T.); +#18574=ORIENTED_EDGE('',*,*,#17906,.T.); +#18576=ORIENTED_EDGE('',*,*,#18575,.F.); +#18577=EDGE_LOOP('',(#18572,#18573,#18574,#18576)); +#18578=FACE_OUTER_BOUND('',#18577,.F.); +#18580=CARTESIAN_POINT('',(6.E0,-4.E0,-2.3E1)); +#18581=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18582=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18583=AXIS2_PLACEMENT_3D('',#18580,#18581,#18582); +#18584=CYLINDRICAL_SURFACE('',#18583,1.5E0); +#18585=ORIENTED_EDGE('',*,*,#18266,.F.); +#18586=ORIENTED_EDGE('',*,*,#18575,.T.); +#18587=ORIENTED_EDGE('',*,*,#17904,.T.); +#18589=ORIENTED_EDGE('',*,*,#18588,.F.); +#18590=EDGE_LOOP('',(#18585,#18586,#18587,#18589)); +#18591=FACE_OUTER_BOUND('',#18590,.F.); +#18593=CARTESIAN_POINT('',(4.585786437627E0,-4.5E0,-2.3E1)); +#18594=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18595=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18596=AXIS2_PLACEMENT_3D('',#18593,#18594,#18595); +#18597=PLANE('',#18596); +#18598=ORIENTED_EDGE('',*,*,#18268,.F.); +#18599=ORIENTED_EDGE('',*,*,#18588,.T.); +#18600=ORIENTED_EDGE('',*,*,#17902,.T.); +#18602=ORIENTED_EDGE('',*,*,#18601,.F.); +#18603=EDGE_LOOP('',(#18598,#18599,#18600,#18602)); +#18604=FACE_OUTER_BOUND('',#18603,.F.); +#18606=CARTESIAN_POINT('',(2.E0,-4.E0,-2.3E1)); +#18607=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18608=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18609=AXIS2_PLACEMENT_3D('',#18606,#18607,#18608); +#18610=CYLINDRICAL_SURFACE('',#18609,1.5E0); +#18611=ORIENTED_EDGE('',*,*,#18270,.F.); +#18612=ORIENTED_EDGE('',*,*,#18601,.T.); +#18613=ORIENTED_EDGE('',*,*,#17900,.T.); +#18615=ORIENTED_EDGE('',*,*,#18614,.F.); +#18616=EDGE_LOOP('',(#18611,#18612,#18613,#18615)); +#18617=FACE_OUTER_BOUND('',#18616,.F.); +#18619=CARTESIAN_POINT('',(5.857864376269E-1,-4.5E0,-2.3E1)); +#18620=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18621=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18622=AXIS2_PLACEMENT_3D('',#18619,#18620,#18621); +#18623=PLANE('',#18622); +#18624=ORIENTED_EDGE('',*,*,#18272,.F.); +#18625=ORIENTED_EDGE('',*,*,#18614,.T.); +#18626=ORIENTED_EDGE('',*,*,#17898,.T.); +#18628=ORIENTED_EDGE('',*,*,#18627,.F.); +#18629=EDGE_LOOP('',(#18624,#18625,#18626,#18628)); +#18630=FACE_OUTER_BOUND('',#18629,.F.); +#18632=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.3E1)); +#18633=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18634=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18635=AXIS2_PLACEMENT_3D('',#18632,#18633,#18634); +#18636=CYLINDRICAL_SURFACE('',#18635,1.5E0); +#18637=ORIENTED_EDGE('',*,*,#18274,.F.); +#18638=ORIENTED_EDGE('',*,*,#18627,.T.); +#18639=ORIENTED_EDGE('',*,*,#17896,.T.); +#18641=ORIENTED_EDGE('',*,*,#18640,.F.); +#18642=EDGE_LOOP('',(#18637,#18638,#18639,#18641)); +#18643=FACE_OUTER_BOUND('',#18642,.F.); +#18645=CARTESIAN_POINT('',(-3.414213562373E0,-4.5E0,-2.3E1)); +#18646=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18647=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18648=AXIS2_PLACEMENT_3D('',#18645,#18646,#18647); +#18649=PLANE('',#18648); +#18650=ORIENTED_EDGE('',*,*,#18276,.F.); +#18651=ORIENTED_EDGE('',*,*,#18640,.T.); +#18652=ORIENTED_EDGE('',*,*,#17894,.T.); +#18654=ORIENTED_EDGE('',*,*,#18653,.F.); +#18655=EDGE_LOOP('',(#18650,#18651,#18652,#18654)); +#18656=FACE_OUTER_BOUND('',#18655,.F.); +#18658=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.3E1)); +#18659=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18660=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18661=AXIS2_PLACEMENT_3D('',#18658,#18659,#18660); +#18662=CYLINDRICAL_SURFACE('',#18661,1.5E0); +#18663=ORIENTED_EDGE('',*,*,#18278,.F.); +#18664=ORIENTED_EDGE('',*,*,#18653,.T.); +#18665=ORIENTED_EDGE('',*,*,#17892,.T.); +#18667=ORIENTED_EDGE('',*,*,#18666,.F.); +#18668=EDGE_LOOP('',(#18663,#18664,#18665,#18667)); +#18669=FACE_OUTER_BOUND('',#18668,.F.); +#18671=CARTESIAN_POINT('',(-7.414213562373E0,-4.5E0,-2.3E1)); +#18672=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18673=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18674=AXIS2_PLACEMENT_3D('',#18671,#18672,#18673); +#18675=PLANE('',#18674); +#18676=ORIENTED_EDGE('',*,*,#18280,.F.); +#18677=ORIENTED_EDGE('',*,*,#18666,.T.); +#18678=ORIENTED_EDGE('',*,*,#17890,.T.); +#18680=ORIENTED_EDGE('',*,*,#18679,.F.); +#18681=EDGE_LOOP('',(#18676,#18677,#18678,#18680)); +#18682=FACE_OUTER_BOUND('',#18681,.F.); +#18684=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.3E1)); +#18685=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18686=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18687=AXIS2_PLACEMENT_3D('',#18684,#18685,#18686); +#18688=CYLINDRICAL_SURFACE('',#18687,1.5E0); +#18689=ORIENTED_EDGE('',*,*,#18282,.F.); +#18690=ORIENTED_EDGE('',*,*,#18679,.T.); +#18691=ORIENTED_EDGE('',*,*,#17888,.T.); +#18693=ORIENTED_EDGE('',*,*,#18692,.F.); +#18694=EDGE_LOOP('',(#18689,#18690,#18691,#18693)); +#18695=FACE_OUTER_BOUND('',#18694,.F.); +#18697=CARTESIAN_POINT('',(-1.141421356237E1,-4.5E0,-2.3E1)); +#18698=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18699=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18700=AXIS2_PLACEMENT_3D('',#18697,#18698,#18699); +#18701=PLANE('',#18700); +#18702=ORIENTED_EDGE('',*,*,#18284,.F.); +#18703=ORIENTED_EDGE('',*,*,#18692,.T.); +#18704=ORIENTED_EDGE('',*,*,#17886,.T.); +#18706=ORIENTED_EDGE('',*,*,#18705,.F.); +#18707=EDGE_LOOP('',(#18702,#18703,#18704,#18706)); +#18708=FACE_OUTER_BOUND('',#18707,.F.); +#18710=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.3E1)); +#18711=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18712=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18713=AXIS2_PLACEMENT_3D('',#18710,#18711,#18712); +#18714=CYLINDRICAL_SURFACE('',#18713,1.5E0); +#18715=ORIENTED_EDGE('',*,*,#18286,.F.); +#18716=ORIENTED_EDGE('',*,*,#18705,.T.); +#18717=ORIENTED_EDGE('',*,*,#17884,.T.); +#18719=ORIENTED_EDGE('',*,*,#18718,.F.); +#18720=EDGE_LOOP('',(#18715,#18716,#18717,#18719)); +#18721=FACE_OUTER_BOUND('',#18720,.F.); +#18723=CARTESIAN_POINT('',(-1.541421356237E1,-4.5E0,-2.3E1)); +#18724=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18725=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18726=AXIS2_PLACEMENT_3D('',#18723,#18724,#18725); +#18727=PLANE('',#18726); +#18728=ORIENTED_EDGE('',*,*,#18288,.F.); +#18729=ORIENTED_EDGE('',*,*,#18718,.T.); +#18730=ORIENTED_EDGE('',*,*,#17882,.T.); +#18732=ORIENTED_EDGE('',*,*,#18731,.F.); +#18733=EDGE_LOOP('',(#18728,#18729,#18730,#18732)); +#18734=FACE_OUTER_BOUND('',#18733,.F.); +#18736=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.3E1)); +#18737=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18738=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18739=AXIS2_PLACEMENT_3D('',#18736,#18737,#18738); +#18740=CYLINDRICAL_SURFACE('',#18739,1.5E0); +#18741=ORIENTED_EDGE('',*,*,#18290,.F.); +#18742=ORIENTED_EDGE('',*,*,#18731,.T.); +#18743=ORIENTED_EDGE('',*,*,#17880,.T.); +#18745=ORIENTED_EDGE('',*,*,#18744,.F.); +#18746=EDGE_LOOP('',(#18741,#18742,#18743,#18745)); +#18747=FACE_OUTER_BOUND('',#18746,.F.); +#18749=CARTESIAN_POINT('',(-1.941421356237E1,-4.5E0,-2.3E1)); +#18750=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18751=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18752=AXIS2_PLACEMENT_3D('',#18749,#18750,#18751); +#18753=PLANE('',#18752); +#18754=ORIENTED_EDGE('',*,*,#18292,.F.); +#18755=ORIENTED_EDGE('',*,*,#18744,.T.); +#18756=ORIENTED_EDGE('',*,*,#17878,.T.); +#18758=ORIENTED_EDGE('',*,*,#18757,.F.); +#18759=EDGE_LOOP('',(#18754,#18755,#18756,#18758)); +#18760=FACE_OUTER_BOUND('',#18759,.F.); +#18762=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.3E1)); +#18763=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18764=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18765=AXIS2_PLACEMENT_3D('',#18762,#18763,#18764); +#18766=CYLINDRICAL_SURFACE('',#18765,1.5E0); +#18767=ORIENTED_EDGE('',*,*,#18294,.F.); +#18768=ORIENTED_EDGE('',*,*,#18757,.T.); +#18769=ORIENTED_EDGE('',*,*,#17876,.T.); +#18771=ORIENTED_EDGE('',*,*,#18770,.F.); +#18772=EDGE_LOOP('',(#18767,#18768,#18769,#18771)); +#18773=FACE_OUTER_BOUND('',#18772,.F.); +#18775=CARTESIAN_POINT('',(-2.341421356237E1,-4.5E0,-2.3E1)); +#18776=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18777=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18778=AXIS2_PLACEMENT_3D('',#18775,#18776,#18777); +#18779=PLANE('',#18778); +#18780=ORIENTED_EDGE('',*,*,#18296,.T.); +#18781=ORIENTED_EDGE('',*,*,#18770,.T.); +#18782=ORIENTED_EDGE('',*,*,#17874,.T.); +#18783=ORIENTED_EDGE('',*,*,#17835,.F.); +#18784=EDGE_LOOP('',(#18780,#18781,#18782,#18783)); +#18785=FACE_OUTER_BOUND('',#18784,.F.); +#18787=CARTESIAN_POINT('',(-2.47E1,-7.E0,-2.2E1)); +#18788=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18789=DIRECTION('',(1.E0,0.E0,0.E0)); +#18790=AXIS2_PLACEMENT_3D('',#18787,#18788,#18789); +#18791=PLANE('',#18790); +#18792=ORIENTED_EDGE('',*,*,#17812,.T.); +#18793=ORIENTED_EDGE('',*,*,#18453,.T.); +#18794=ORIENTED_EDGE('',*,*,#18244,.F.); +#18795=ORIENTED_EDGE('',*,*,#17831,.F.); +#18796=EDGE_LOOP('',(#18792,#18793,#18794,#18795)); +#18797=FACE_OUTER_BOUND('',#18796,.F.); +#18799=CARTESIAN_POINT('',(2.47E1,7.E0,-2.2E1)); +#18800=DIRECTION('',(0.E0,1.E0,0.E0)); +#18801=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18802=AXIS2_PLACEMENT_3D('',#18799,#18800,#18801); +#18803=PLANE('',#18802); +#18804=ORIENTED_EDGE('',*,*,#17808,.T.); +#18805=ORIENTED_EDGE('',*,*,#17828,.T.); +#18806=ORIENTED_EDGE('',*,*,#18206,.F.); +#18807=ORIENTED_EDGE('',*,*,#18456,.F.); +#18808=EDGE_LOOP('',(#18804,#18805,#18806,#18807)); +#18809=FACE_OUTER_BOUND('',#18808,.F.); +#18811=CARTESIAN_POINT('',(-2.47E1,4.5E0,-2.3E1)); +#18812=DIRECTION('',(0.E0,1.E0,0.E0)); +#18813=DIRECTION('',(1.E0,0.E0,0.E0)); +#18814=AXIS2_PLACEMENT_3D('',#18811,#18812,#18813); +#18815=PLANE('',#18814); +#18816=ORIENTED_EDGE('',*,*,#18209,.F.); +#18817=ORIENTED_EDGE('',*,*,#17824,.T.); +#18818=ORIENTED_EDGE('',*,*,#17871,.T.); +#18820=ORIENTED_EDGE('',*,*,#18819,.F.); +#18821=EDGE_LOOP('',(#18816,#18817,#18818,#18820)); +#18822=FACE_OUTER_BOUND('',#18821,.F.); +#18824=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.3E1)); +#18825=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18826=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18827=AXIS2_PLACEMENT_3D('',#18824,#18825,#18826); +#18828=CYLINDRICAL_SURFACE('',#18827,1.5E0); +#18829=ORIENTED_EDGE('',*,*,#18211,.F.); +#18830=ORIENTED_EDGE('',*,*,#18819,.T.); +#18831=ORIENTED_EDGE('',*,*,#17869,.T.); +#18833=ORIENTED_EDGE('',*,*,#18832,.F.); +#18834=EDGE_LOOP('',(#18829,#18830,#18831,#18833)); +#18835=FACE_OUTER_BOUND('',#18834,.F.); +#18837=CARTESIAN_POINT('',(-2.058578643763E1,4.5E0,-2.3E1)); +#18838=DIRECTION('',(0.E0,1.E0,0.E0)); +#18839=DIRECTION('',(1.E0,0.E0,0.E0)); +#18840=AXIS2_PLACEMENT_3D('',#18837,#18838,#18839); +#18841=PLANE('',#18840); +#18842=ORIENTED_EDGE('',*,*,#18213,.F.); +#18843=ORIENTED_EDGE('',*,*,#18832,.T.); +#18844=ORIENTED_EDGE('',*,*,#17867,.T.); +#18846=ORIENTED_EDGE('',*,*,#18845,.F.); +#18847=EDGE_LOOP('',(#18842,#18843,#18844,#18846)); +#18848=FACE_OUTER_BOUND('',#18847,.F.); +#18850=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.3E1)); +#18851=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18852=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18853=AXIS2_PLACEMENT_3D('',#18850,#18851,#18852); +#18854=CYLINDRICAL_SURFACE('',#18853,1.5E0); +#18855=ORIENTED_EDGE('',*,*,#18215,.F.); +#18856=ORIENTED_EDGE('',*,*,#18845,.T.); +#18857=ORIENTED_EDGE('',*,*,#17865,.T.); +#18859=ORIENTED_EDGE('',*,*,#18858,.F.); +#18860=EDGE_LOOP('',(#18855,#18856,#18857,#18859)); +#18861=FACE_OUTER_BOUND('',#18860,.F.); +#18863=CARTESIAN_POINT('',(-1.658578643763E1,4.5E0,-2.3E1)); +#18864=DIRECTION('',(0.E0,1.E0,0.E0)); +#18865=DIRECTION('',(1.E0,0.E0,0.E0)); +#18866=AXIS2_PLACEMENT_3D('',#18863,#18864,#18865); +#18867=PLANE('',#18866); +#18868=ORIENTED_EDGE('',*,*,#18217,.F.); +#18869=ORIENTED_EDGE('',*,*,#18858,.T.); +#18870=ORIENTED_EDGE('',*,*,#17863,.T.); +#18872=ORIENTED_EDGE('',*,*,#18871,.F.); +#18873=EDGE_LOOP('',(#18868,#18869,#18870,#18872)); +#18874=FACE_OUTER_BOUND('',#18873,.F.); +#18876=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.3E1)); +#18877=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18878=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18879=AXIS2_PLACEMENT_3D('',#18876,#18877,#18878); +#18880=CYLINDRICAL_SURFACE('',#18879,1.5E0); +#18881=ORIENTED_EDGE('',*,*,#18219,.F.); +#18882=ORIENTED_EDGE('',*,*,#18871,.T.); +#18883=ORIENTED_EDGE('',*,*,#17861,.T.); +#18885=ORIENTED_EDGE('',*,*,#18884,.F.); +#18886=EDGE_LOOP('',(#18881,#18882,#18883,#18885)); +#18887=FACE_OUTER_BOUND('',#18886,.F.); +#18889=CARTESIAN_POINT('',(-1.258578643763E1,4.5E0,-2.3E1)); +#18890=DIRECTION('',(0.E0,1.E0,0.E0)); +#18891=DIRECTION('',(1.E0,0.E0,0.E0)); +#18892=AXIS2_PLACEMENT_3D('',#18889,#18890,#18891); +#18893=PLANE('',#18892); +#18894=ORIENTED_EDGE('',*,*,#18221,.F.); +#18895=ORIENTED_EDGE('',*,*,#18884,.T.); +#18896=ORIENTED_EDGE('',*,*,#17859,.T.); +#18898=ORIENTED_EDGE('',*,*,#18897,.F.); +#18899=EDGE_LOOP('',(#18894,#18895,#18896,#18898)); +#18900=FACE_OUTER_BOUND('',#18899,.F.); +#18902=CARTESIAN_POINT('',(-1.E1,4.E0,-2.3E1)); +#18903=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18904=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18905=AXIS2_PLACEMENT_3D('',#18902,#18903,#18904); +#18906=CYLINDRICAL_SURFACE('',#18905,1.5E0); +#18907=ORIENTED_EDGE('',*,*,#18223,.F.); +#18908=ORIENTED_EDGE('',*,*,#18897,.T.); +#18909=ORIENTED_EDGE('',*,*,#17857,.T.); +#18911=ORIENTED_EDGE('',*,*,#18910,.F.); +#18912=EDGE_LOOP('',(#18907,#18908,#18909,#18911)); +#18913=FACE_OUTER_BOUND('',#18912,.F.); +#18915=CARTESIAN_POINT('',(-8.585786437627E0,4.5E0,-2.3E1)); +#18916=DIRECTION('',(0.E0,1.E0,0.E0)); +#18917=DIRECTION('',(1.E0,0.E0,0.E0)); +#18918=AXIS2_PLACEMENT_3D('',#18915,#18916,#18917); +#18919=PLANE('',#18918); +#18920=ORIENTED_EDGE('',*,*,#18225,.F.); +#18921=ORIENTED_EDGE('',*,*,#18910,.T.); +#18922=ORIENTED_EDGE('',*,*,#17855,.T.); +#18924=ORIENTED_EDGE('',*,*,#18923,.F.); +#18925=EDGE_LOOP('',(#18920,#18921,#18922,#18924)); +#18926=FACE_OUTER_BOUND('',#18925,.F.); +#18928=CARTESIAN_POINT('',(-6.E0,4.E0,-2.3E1)); +#18929=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18930=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18931=AXIS2_PLACEMENT_3D('',#18928,#18929,#18930); +#18932=CYLINDRICAL_SURFACE('',#18931,1.5E0); +#18933=ORIENTED_EDGE('',*,*,#18227,.F.); +#18934=ORIENTED_EDGE('',*,*,#18923,.T.); +#18935=ORIENTED_EDGE('',*,*,#17853,.T.); +#18937=ORIENTED_EDGE('',*,*,#18936,.F.); +#18938=EDGE_LOOP('',(#18933,#18934,#18935,#18937)); +#18939=FACE_OUTER_BOUND('',#18938,.F.); +#18941=CARTESIAN_POINT('',(-4.585786437627E0,4.5E0,-2.3E1)); +#18942=DIRECTION('',(0.E0,1.E0,0.E0)); +#18943=DIRECTION('',(1.E0,0.E0,0.E0)); +#18944=AXIS2_PLACEMENT_3D('',#18941,#18942,#18943); +#18945=PLANE('',#18944); +#18946=ORIENTED_EDGE('',*,*,#18229,.F.); +#18947=ORIENTED_EDGE('',*,*,#18936,.T.); +#18948=ORIENTED_EDGE('',*,*,#17851,.T.); +#18950=ORIENTED_EDGE('',*,*,#18949,.F.); +#18951=EDGE_LOOP('',(#18946,#18947,#18948,#18950)); +#18952=FACE_OUTER_BOUND('',#18951,.F.); +#18954=CARTESIAN_POINT('',(-2.E0,4.E0,-2.3E1)); +#18955=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18956=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18957=AXIS2_PLACEMENT_3D('',#18954,#18955,#18956); +#18958=CYLINDRICAL_SURFACE('',#18957,1.5E0); +#18959=ORIENTED_EDGE('',*,*,#18231,.F.); +#18960=ORIENTED_EDGE('',*,*,#18949,.T.); +#18961=ORIENTED_EDGE('',*,*,#17849,.T.); +#18963=ORIENTED_EDGE('',*,*,#18962,.F.); +#18964=EDGE_LOOP('',(#18959,#18960,#18961,#18963)); +#18965=FACE_OUTER_BOUND('',#18964,.F.); +#18967=CARTESIAN_POINT('',(-5.857864376269E-1,4.5E0,-2.3E1)); +#18968=DIRECTION('',(0.E0,1.E0,0.E0)); +#18969=DIRECTION('',(1.E0,0.E0,0.E0)); +#18970=AXIS2_PLACEMENT_3D('',#18967,#18968,#18969); +#18971=PLANE('',#18970); +#18972=ORIENTED_EDGE('',*,*,#18233,.F.); +#18973=ORIENTED_EDGE('',*,*,#18962,.T.); +#18974=ORIENTED_EDGE('',*,*,#17847,.T.); +#18975=ORIENTED_EDGE('',*,*,#18168,.F.); +#18976=EDGE_LOOP('',(#18972,#18973,#18974,#18975)); +#18977=FACE_OUTER_BOUND('',#18976,.F.); +#18979=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.6E1)); +#18980=DIRECTION('',(0.E0,0.E0,1.E0)); +#18981=DIRECTION('',(1.E0,0.E0,0.E0)); +#18982=AXIS2_PLACEMENT_3D('',#18979,#18980,#18981); +#18983=CYLINDRICAL_SURFACE('',#18982,6.5E-1); +#18984=ORIENTED_EDGE('',*,*,#17950,.T.); +#18986=ORIENTED_EDGE('',*,*,#18985,.T.); +#18988=ORIENTED_EDGE('',*,*,#18987,.F.); +#18990=ORIENTED_EDGE('',*,*,#18989,.F.); +#18991=EDGE_LOOP('',(#18984,#18986,#18988,#18990)); +#18992=FACE_OUTER_BOUND('',#18991,.F.); +#18994=CARTESIAN_POINT('',(-2.2E1,4.E0,-2.6E1)); +#18995=DIRECTION('',(0.E0,0.E0,1.E0)); +#18996=DIRECTION('',(1.E0,0.E0,0.E0)); +#18997=AXIS2_PLACEMENT_3D('',#18994,#18995,#18996); +#18998=CYLINDRICAL_SURFACE('',#18997,6.5E-1); +#18999=ORIENTED_EDGE('',*,*,#17952,.T.); +#19000=ORIENTED_EDGE('',*,*,#18989,.T.); +#19002=ORIENTED_EDGE('',*,*,#19001,.F.); +#19003=ORIENTED_EDGE('',*,*,#18985,.F.); +#19004=EDGE_LOOP('',(#18999,#19000,#19002,#19003)); +#19005=FACE_OUTER_BOUND('',#19004,.F.); +#19007=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19008=DIRECTION('',(0.E0,0.E0,1.E0)); +#19009=DIRECTION('',(1.E0,0.E0,0.E0)); +#19010=AXIS2_PLACEMENT_3D('',#19007,#19008,#19009); +#19011=PLANE('',#19010); +#19012=ORIENTED_EDGE('',*,*,#18987,.T.); +#19013=ORIENTED_EDGE('',*,*,#19001,.T.); +#19014=EDGE_LOOP('',(#19012,#19013)); +#19015=FACE_OUTER_BOUND('',#19014,.F.); +#19017=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19018=DIRECTION('',(0.E0,0.E0,1.E0)); +#19019=DIRECTION('',(1.E0,0.E0,0.E0)); +#19020=AXIS2_PLACEMENT_3D('',#19017,#19018,#19019); +#19021=PLANE('',#19020); +#19023=ORIENTED_EDGE('',*,*,#19022,.T.); +#19025=ORIENTED_EDGE('',*,*,#19024,.T.); +#19026=EDGE_LOOP('',(#19023,#19025)); +#19027=FACE_OUTER_BOUND('',#19026,.F.); +#19029=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19030=DIRECTION('',(0.E0,0.E0,1.E0)); +#19031=DIRECTION('',(1.E0,0.E0,0.E0)); +#19032=AXIS2_PLACEMENT_3D('',#19029,#19030,#19031); +#19033=PLANE('',#19032); +#19035=ORIENTED_EDGE('',*,*,#19034,.T.); +#19037=ORIENTED_EDGE('',*,*,#19036,.T.); +#19038=EDGE_LOOP('',(#19035,#19037)); +#19039=FACE_OUTER_BOUND('',#19038,.F.); +#19041=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19042=DIRECTION('',(0.E0,0.E0,1.E0)); +#19043=DIRECTION('',(1.E0,0.E0,0.E0)); +#19044=AXIS2_PLACEMENT_3D('',#19041,#19042,#19043); +#19045=PLANE('',#19044); +#19047=ORIENTED_EDGE('',*,*,#19046,.T.); +#19049=ORIENTED_EDGE('',*,*,#19048,.T.); +#19050=EDGE_LOOP('',(#19047,#19049)); +#19051=FACE_OUTER_BOUND('',#19050,.F.); +#19053=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19054=DIRECTION('',(0.E0,0.E0,1.E0)); +#19055=DIRECTION('',(1.E0,0.E0,0.E0)); +#19056=AXIS2_PLACEMENT_3D('',#19053,#19054,#19055); +#19057=PLANE('',#19056); +#19059=ORIENTED_EDGE('',*,*,#19058,.T.); +#19061=ORIENTED_EDGE('',*,*,#19060,.T.); +#19062=EDGE_LOOP('',(#19059,#19061)); +#19063=FACE_OUTER_BOUND('',#19062,.F.); +#19065=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19066=DIRECTION('',(0.E0,0.E0,1.E0)); +#19067=DIRECTION('',(1.E0,0.E0,0.E0)); +#19068=AXIS2_PLACEMENT_3D('',#19065,#19066,#19067); +#19069=PLANE('',#19068); +#19071=ORIENTED_EDGE('',*,*,#19070,.T.); +#19073=ORIENTED_EDGE('',*,*,#19072,.T.); +#19074=EDGE_LOOP('',(#19071,#19073)); +#19075=FACE_OUTER_BOUND('',#19074,.F.); +#19077=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19078=DIRECTION('',(0.E0,0.E0,1.E0)); +#19079=DIRECTION('',(1.E0,0.E0,0.E0)); +#19080=AXIS2_PLACEMENT_3D('',#19077,#19078,#19079); +#19081=PLANE('',#19080); +#19083=ORIENTED_EDGE('',*,*,#19082,.T.); +#19085=ORIENTED_EDGE('',*,*,#19084,.T.); +#19086=EDGE_LOOP('',(#19083,#19085)); +#19087=FACE_OUTER_BOUND('',#19086,.F.); +#19089=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19090=DIRECTION('',(0.E0,0.E0,1.E0)); +#19091=DIRECTION('',(1.E0,0.E0,0.E0)); +#19092=AXIS2_PLACEMENT_3D('',#19089,#19090,#19091); +#19093=PLANE('',#19092); +#19095=ORIENTED_EDGE('',*,*,#19094,.T.); +#19097=ORIENTED_EDGE('',*,*,#19096,.T.); +#19098=EDGE_LOOP('',(#19095,#19097)); +#19099=FACE_OUTER_BOUND('',#19098,.F.); +#19101=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19102=DIRECTION('',(0.E0,0.E0,1.E0)); +#19103=DIRECTION('',(1.E0,0.E0,0.E0)); +#19104=AXIS2_PLACEMENT_3D('',#19101,#19102,#19103); +#19105=PLANE('',#19104); +#19107=ORIENTED_EDGE('',*,*,#19106,.T.); +#19109=ORIENTED_EDGE('',*,*,#19108,.T.); +#19110=EDGE_LOOP('',(#19107,#19109)); +#19111=FACE_OUTER_BOUND('',#19110,.F.); +#19113=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19114=DIRECTION('',(0.E0,0.E0,1.E0)); +#19115=DIRECTION('',(1.E0,0.E0,0.E0)); +#19116=AXIS2_PLACEMENT_3D('',#19113,#19114,#19115); +#19117=PLANE('',#19116); +#19119=ORIENTED_EDGE('',*,*,#19118,.T.); +#19121=ORIENTED_EDGE('',*,*,#19120,.T.); +#19122=EDGE_LOOP('',(#19119,#19121)); +#19123=FACE_OUTER_BOUND('',#19122,.F.); +#19125=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19126=DIRECTION('',(0.E0,0.E0,1.E0)); +#19127=DIRECTION('',(1.E0,0.E0,0.E0)); +#19128=AXIS2_PLACEMENT_3D('',#19125,#19126,#19127); +#19129=PLANE('',#19128); +#19131=ORIENTED_EDGE('',*,*,#19130,.T.); +#19133=ORIENTED_EDGE('',*,*,#19132,.T.); +#19134=EDGE_LOOP('',(#19131,#19133)); +#19135=FACE_OUTER_BOUND('',#19134,.F.); +#19137=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19138=DIRECTION('',(0.E0,0.E0,1.E0)); +#19139=DIRECTION('',(1.E0,0.E0,0.E0)); +#19140=AXIS2_PLACEMENT_3D('',#19137,#19138,#19139); +#19141=PLANE('',#19140); +#19143=ORIENTED_EDGE('',*,*,#19142,.T.); +#19145=ORIENTED_EDGE('',*,*,#19144,.T.); +#19146=EDGE_LOOP('',(#19143,#19145)); +#19147=FACE_OUTER_BOUND('',#19146,.F.); +#19149=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19150=DIRECTION('',(0.E0,0.E0,1.E0)); +#19151=DIRECTION('',(1.E0,0.E0,0.E0)); +#19152=AXIS2_PLACEMENT_3D('',#19149,#19150,#19151); +#19153=PLANE('',#19152); +#19155=ORIENTED_EDGE('',*,*,#19154,.T.); +#19157=ORIENTED_EDGE('',*,*,#19156,.T.); +#19158=EDGE_LOOP('',(#19155,#19157)); +#19159=FACE_OUTER_BOUND('',#19158,.F.); +#19161=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19162=DIRECTION('',(0.E0,0.E0,1.E0)); +#19163=DIRECTION('',(1.E0,0.E0,0.E0)); +#19164=AXIS2_PLACEMENT_3D('',#19161,#19162,#19163); +#19165=PLANE('',#19164); +#19167=ORIENTED_EDGE('',*,*,#19166,.T.); +#19169=ORIENTED_EDGE('',*,*,#19168,.T.); +#19170=EDGE_LOOP('',(#19167,#19169)); +#19171=FACE_OUTER_BOUND('',#19170,.F.); +#19173=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19174=DIRECTION('',(0.E0,0.E0,1.E0)); +#19175=DIRECTION('',(1.E0,0.E0,0.E0)); +#19176=AXIS2_PLACEMENT_3D('',#19173,#19174,#19175); +#19177=PLANE('',#19176); +#19179=ORIENTED_EDGE('',*,*,#19178,.T.); +#19181=ORIENTED_EDGE('',*,*,#19180,.T.); +#19182=EDGE_LOOP('',(#19179,#19181)); +#19183=FACE_OUTER_BOUND('',#19182,.F.); +#19185=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19186=DIRECTION('',(0.E0,0.E0,1.E0)); +#19187=DIRECTION('',(1.E0,0.E0,0.E0)); +#19188=AXIS2_PLACEMENT_3D('',#19185,#19186,#19187); +#19189=PLANE('',#19188); +#19191=ORIENTED_EDGE('',*,*,#19190,.T.); +#19193=ORIENTED_EDGE('',*,*,#19192,.T.); +#19194=EDGE_LOOP('',(#19191,#19193)); +#19195=FACE_OUTER_BOUND('',#19194,.F.); +#19197=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19198=DIRECTION('',(0.E0,0.E0,1.E0)); +#19199=DIRECTION('',(1.E0,0.E0,0.E0)); +#19200=AXIS2_PLACEMENT_3D('',#19197,#19198,#19199); +#19201=PLANE('',#19200); +#19203=ORIENTED_EDGE('',*,*,#19202,.T.); +#19205=ORIENTED_EDGE('',*,*,#19204,.T.); +#19206=EDGE_LOOP('',(#19203,#19205)); +#19207=FACE_OUTER_BOUND('',#19206,.F.); +#19209=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19210=DIRECTION('',(0.E0,0.E0,1.E0)); +#19211=DIRECTION('',(1.E0,0.E0,0.E0)); +#19212=AXIS2_PLACEMENT_3D('',#19209,#19210,#19211); +#19213=PLANE('',#19212); +#19215=ORIENTED_EDGE('',*,*,#19214,.T.); +#19217=ORIENTED_EDGE('',*,*,#19216,.T.); +#19218=EDGE_LOOP('',(#19215,#19217)); +#19219=FACE_OUTER_BOUND('',#19218,.F.); +#19221=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19222=DIRECTION('',(0.E0,0.E0,1.E0)); +#19223=DIRECTION('',(1.E0,0.E0,0.E0)); +#19224=AXIS2_PLACEMENT_3D('',#19221,#19222,#19223); +#19225=PLANE('',#19224); +#19227=ORIENTED_EDGE('',*,*,#19226,.T.); +#19229=ORIENTED_EDGE('',*,*,#19228,.T.); +#19230=EDGE_LOOP('',(#19227,#19229)); +#19231=FACE_OUTER_BOUND('',#19230,.F.); +#19233=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19234=DIRECTION('',(0.E0,0.E0,1.E0)); +#19235=DIRECTION('',(1.E0,0.E0,0.E0)); +#19236=AXIS2_PLACEMENT_3D('',#19233,#19234,#19235); +#19237=PLANE('',#19236); +#19239=ORIENTED_EDGE('',*,*,#19238,.T.); +#19241=ORIENTED_EDGE('',*,*,#19240,.T.); +#19242=EDGE_LOOP('',(#19239,#19241)); +#19243=FACE_OUTER_BOUND('',#19242,.F.); +#19245=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19246=DIRECTION('',(0.E0,0.E0,1.E0)); +#19247=DIRECTION('',(1.E0,0.E0,0.E0)); +#19248=AXIS2_PLACEMENT_3D('',#19245,#19246,#19247); +#19249=PLANE('',#19248); +#19251=ORIENTED_EDGE('',*,*,#19250,.T.); +#19253=ORIENTED_EDGE('',*,*,#19252,.T.); +#19254=EDGE_LOOP('',(#19251,#19253)); +#19255=FACE_OUTER_BOUND('',#19254,.F.); +#19257=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19258=DIRECTION('',(0.E0,0.E0,1.E0)); +#19259=DIRECTION('',(1.E0,0.E0,0.E0)); +#19260=AXIS2_PLACEMENT_3D('',#19257,#19258,#19259); +#19261=PLANE('',#19260); +#19263=ORIENTED_EDGE('',*,*,#19262,.T.); +#19265=ORIENTED_EDGE('',*,*,#19264,.T.); +#19266=EDGE_LOOP('',(#19263,#19265)); +#19267=FACE_OUTER_BOUND('',#19266,.F.); +#19269=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19270=DIRECTION('',(0.E0,0.E0,1.E0)); +#19271=DIRECTION('',(1.E0,0.E0,0.E0)); +#19272=AXIS2_PLACEMENT_3D('',#19269,#19270,#19271); +#19273=PLANE('',#19272); +#19275=ORIENTED_EDGE('',*,*,#19274,.T.); +#19277=ORIENTED_EDGE('',*,*,#19276,.T.); +#19278=EDGE_LOOP('',(#19275,#19277)); +#19279=FACE_OUTER_BOUND('',#19278,.F.); +#19281=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19282=DIRECTION('',(0.E0,0.E0,1.E0)); +#19283=DIRECTION('',(1.E0,0.E0,0.E0)); +#19284=AXIS2_PLACEMENT_3D('',#19281,#19282,#19283); +#19285=PLANE('',#19284); +#19287=ORIENTED_EDGE('',*,*,#19286,.T.); +#19289=ORIENTED_EDGE('',*,*,#19288,.T.); +#19290=EDGE_LOOP('',(#19287,#19289)); +#19291=FACE_OUTER_BOUND('',#19290,.F.); +#19293=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19294=DIRECTION('',(0.E0,0.E0,1.E0)); +#19295=DIRECTION('',(1.E0,0.E0,0.E0)); +#19296=AXIS2_PLACEMENT_3D('',#19293,#19294,#19295); +#19297=PLANE('',#19296); +#19299=ORIENTED_EDGE('',*,*,#19298,.T.); +#19301=ORIENTED_EDGE('',*,*,#19300,.T.); +#19302=EDGE_LOOP('',(#19299,#19301)); +#19303=FACE_OUTER_BOUND('',#19302,.F.); +#19305=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19306=DIRECTION('',(0.E0,0.E0,1.E0)); +#19307=DIRECTION('',(1.E0,0.E0,0.E0)); +#19308=AXIS2_PLACEMENT_3D('',#19305,#19306,#19307); +#19309=PLANE('',#19308); +#19311=ORIENTED_EDGE('',*,*,#19310,.T.); +#19313=ORIENTED_EDGE('',*,*,#19312,.T.); +#19314=EDGE_LOOP('',(#19311,#19313)); +#19315=FACE_OUTER_BOUND('',#19314,.F.); +#19317=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19318=DIRECTION('',(0.E0,0.E0,1.E0)); +#19319=DIRECTION('',(1.E0,0.E0,0.E0)); +#19320=AXIS2_PLACEMENT_3D('',#19317,#19318,#19319); +#19321=PLANE('',#19320); +#19323=ORIENTED_EDGE('',*,*,#19322,.T.); +#19325=ORIENTED_EDGE('',*,*,#19324,.T.); +#19326=EDGE_LOOP('',(#19323,#19325)); +#19327=FACE_OUTER_BOUND('',#19326,.F.); +#19329=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19330=DIRECTION('',(0.E0,0.E0,1.E0)); +#19331=DIRECTION('',(1.E0,0.E0,0.E0)); +#19332=AXIS2_PLACEMENT_3D('',#19329,#19330,#19331); +#19333=PLANE('',#19332); +#19335=ORIENTED_EDGE('',*,*,#19334,.T.); +#19337=ORIENTED_EDGE('',*,*,#19336,.T.); +#19338=EDGE_LOOP('',(#19335,#19337)); +#19339=FACE_OUTER_BOUND('',#19338,.F.); +#19341=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19342=DIRECTION('',(0.E0,0.E0,1.E0)); +#19343=DIRECTION('',(1.E0,0.E0,0.E0)); +#19344=AXIS2_PLACEMENT_3D('',#19341,#19342,#19343); +#19345=PLANE('',#19344); +#19347=ORIENTED_EDGE('',*,*,#19346,.T.); +#19349=ORIENTED_EDGE('',*,*,#19348,.T.); +#19350=EDGE_LOOP('',(#19347,#19349)); +#19351=FACE_OUTER_BOUND('',#19350,.F.); +#19353=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19354=DIRECTION('',(0.E0,0.E0,1.E0)); +#19355=DIRECTION('',(1.E0,0.E0,0.E0)); +#19356=AXIS2_PLACEMENT_3D('',#19353,#19354,#19355); +#19357=PLANE('',#19356); +#19359=ORIENTED_EDGE('',*,*,#19358,.T.); +#19361=ORIENTED_EDGE('',*,*,#19360,.T.); +#19362=EDGE_LOOP('',(#19359,#19361)); +#19363=FACE_OUTER_BOUND('',#19362,.F.); +#19365=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19366=DIRECTION('',(0.E0,0.E0,1.E0)); +#19367=DIRECTION('',(1.E0,0.E0,0.E0)); +#19368=AXIS2_PLACEMENT_3D('',#19365,#19366,#19367); +#19369=PLANE('',#19368); +#19371=ORIENTED_EDGE('',*,*,#19370,.T.); +#19373=ORIENTED_EDGE('',*,*,#19372,.T.); +#19374=EDGE_LOOP('',(#19371,#19373)); +#19375=FACE_OUTER_BOUND('',#19374,.F.); +#19377=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19378=DIRECTION('',(0.E0,0.E0,1.E0)); +#19379=DIRECTION('',(1.E0,0.E0,0.E0)); +#19380=AXIS2_PLACEMENT_3D('',#19377,#19378,#19379); +#19381=PLANE('',#19380); +#19383=ORIENTED_EDGE('',*,*,#19382,.T.); +#19385=ORIENTED_EDGE('',*,*,#19384,.T.); +#19386=EDGE_LOOP('',(#19383,#19385)); +#19387=FACE_OUTER_BOUND('',#19386,.F.); +#19389=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19390=DIRECTION('',(0.E0,0.E0,1.E0)); +#19391=DIRECTION('',(1.E0,0.E0,0.E0)); +#19392=AXIS2_PLACEMENT_3D('',#19389,#19390,#19391); +#19393=PLANE('',#19392); +#19395=ORIENTED_EDGE('',*,*,#19394,.T.); +#19397=ORIENTED_EDGE('',*,*,#19396,.T.); +#19398=EDGE_LOOP('',(#19395,#19397)); +#19399=FACE_OUTER_BOUND('',#19398,.F.); +#19401=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19402=DIRECTION('',(0.E0,0.E0,1.E0)); +#19403=DIRECTION('',(1.E0,0.E0,0.E0)); +#19404=AXIS2_PLACEMENT_3D('',#19401,#19402,#19403); +#19405=PLANE('',#19404); +#19407=ORIENTED_EDGE('',*,*,#19406,.T.); +#19409=ORIENTED_EDGE('',*,*,#19408,.T.); +#19410=EDGE_LOOP('',(#19407,#19409)); +#19411=FACE_OUTER_BOUND('',#19410,.F.); +#19413=CARTESIAN_POINT('',(0.E0,0.E0,-3.805E1)); +#19414=DIRECTION('',(0.E0,0.E0,1.E0)); +#19415=DIRECTION('',(1.E0,0.E0,0.E0)); +#19416=AXIS2_PLACEMENT_3D('',#19413,#19414,#19415); +#19417=PLANE('',#19416); +#19419=ORIENTED_EDGE('',*,*,#19418,.T.); +#19421=ORIENTED_EDGE('',*,*,#19420,.T.); +#19422=EDGE_LOOP('',(#19419,#19421)); +#19423=FACE_OUTER_BOUND('',#19422,.F.); +#19425=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.6E1)); +#19426=DIRECTION('',(0.E0,0.E0,1.E0)); +#19427=DIRECTION('',(1.E0,0.E0,0.E0)); +#19428=AXIS2_PLACEMENT_3D('',#19425,#19426,#19427); +#19429=CYLINDRICAL_SURFACE('',#19428,6.5E-1); +#19430=ORIENTED_EDGE('',*,*,#17956,.T.); +#19432=ORIENTED_EDGE('',*,*,#19431,.T.); +#19433=ORIENTED_EDGE('',*,*,#19022,.F.); +#19435=ORIENTED_EDGE('',*,*,#19434,.F.); +#19436=EDGE_LOOP('',(#19430,#19432,#19433,#19435)); +#19437=FACE_OUTER_BOUND('',#19436,.F.); +#19439=CARTESIAN_POINT('',(-1.4E1,4.E0,-2.6E1)); +#19440=DIRECTION('',(0.E0,0.E0,1.E0)); +#19441=DIRECTION('',(1.E0,0.E0,0.E0)); +#19442=AXIS2_PLACEMENT_3D('',#19439,#19440,#19441); +#19443=CYLINDRICAL_SURFACE('',#19442,6.5E-1); +#19444=ORIENTED_EDGE('',*,*,#17958,.T.); +#19445=ORIENTED_EDGE('',*,*,#19434,.T.); +#19446=ORIENTED_EDGE('',*,*,#19024,.F.); +#19447=ORIENTED_EDGE('',*,*,#19431,.F.); +#19448=EDGE_LOOP('',(#19444,#19445,#19446,#19447)); +#19449=FACE_OUTER_BOUND('',#19448,.F.); +#19451=CARTESIAN_POINT('',(-6.E0,4.E0,-2.6E1)); +#19452=DIRECTION('',(0.E0,0.E0,1.E0)); +#19453=DIRECTION('',(1.E0,0.E0,0.E0)); +#19454=AXIS2_PLACEMENT_3D('',#19451,#19452,#19453); +#19455=CYLINDRICAL_SURFACE('',#19454,6.5E-1); +#19456=ORIENTED_EDGE('',*,*,#17962,.T.); +#19458=ORIENTED_EDGE('',*,*,#19457,.T.); +#19459=ORIENTED_EDGE('',*,*,#19034,.F.); +#19461=ORIENTED_EDGE('',*,*,#19460,.F.); +#19462=EDGE_LOOP('',(#19456,#19458,#19459,#19461)); +#19463=FACE_OUTER_BOUND('',#19462,.F.); +#19465=CARTESIAN_POINT('',(-6.E0,4.E0,-2.6E1)); +#19466=DIRECTION('',(0.E0,0.E0,1.E0)); +#19467=DIRECTION('',(1.E0,0.E0,0.E0)); +#19468=AXIS2_PLACEMENT_3D('',#19465,#19466,#19467); +#19469=CYLINDRICAL_SURFACE('',#19468,6.5E-1); +#19470=ORIENTED_EDGE('',*,*,#17964,.T.); +#19471=ORIENTED_EDGE('',*,*,#19460,.T.); +#19472=ORIENTED_EDGE('',*,*,#19036,.F.); +#19473=ORIENTED_EDGE('',*,*,#19457,.F.); +#19474=EDGE_LOOP('',(#19470,#19471,#19472,#19473)); +#19475=FACE_OUTER_BOUND('',#19474,.F.); +#19477=CARTESIAN_POINT('',(-2.E0,4.E0,-2.6E1)); +#19478=DIRECTION('',(0.E0,0.E0,1.E0)); +#19479=DIRECTION('',(1.E0,0.E0,0.E0)); +#19480=AXIS2_PLACEMENT_3D('',#19477,#19478,#19479); +#19481=CYLINDRICAL_SURFACE('',#19480,6.5E-1); +#19482=ORIENTED_EDGE('',*,*,#17968,.T.); +#19484=ORIENTED_EDGE('',*,*,#19483,.T.); +#19485=ORIENTED_EDGE('',*,*,#19046,.F.); +#19487=ORIENTED_EDGE('',*,*,#19486,.F.); +#19488=EDGE_LOOP('',(#19482,#19484,#19485,#19487)); +#19489=FACE_OUTER_BOUND('',#19488,.F.); +#19491=CARTESIAN_POINT('',(-2.E0,4.E0,-2.6E1)); +#19492=DIRECTION('',(0.E0,0.E0,1.E0)); +#19493=DIRECTION('',(1.E0,0.E0,0.E0)); +#19494=AXIS2_PLACEMENT_3D('',#19491,#19492,#19493); +#19495=CYLINDRICAL_SURFACE('',#19494,6.5E-1); +#19496=ORIENTED_EDGE('',*,*,#17970,.T.); +#19497=ORIENTED_EDGE('',*,*,#19486,.T.); +#19498=ORIENTED_EDGE('',*,*,#19048,.F.); +#19499=ORIENTED_EDGE('',*,*,#19483,.F.); +#19500=EDGE_LOOP('',(#19496,#19497,#19498,#19499)); +#19501=FACE_OUTER_BOUND('',#19500,.F.); +#19503=CARTESIAN_POINT('',(2.E0,4.E0,-2.6E1)); +#19504=DIRECTION('',(0.E0,0.E0,1.E0)); +#19505=DIRECTION('',(1.E0,0.E0,0.E0)); +#19506=AXIS2_PLACEMENT_3D('',#19503,#19504,#19505); +#19507=CYLINDRICAL_SURFACE('',#19506,6.5E-1); +#19508=ORIENTED_EDGE('',*,*,#17974,.T.); +#19510=ORIENTED_EDGE('',*,*,#19509,.T.); +#19511=ORIENTED_EDGE('',*,*,#19058,.F.); +#19513=ORIENTED_EDGE('',*,*,#19512,.F.); +#19514=EDGE_LOOP('',(#19508,#19510,#19511,#19513)); +#19515=FACE_OUTER_BOUND('',#19514,.F.); +#19517=CARTESIAN_POINT('',(2.E0,4.E0,-2.6E1)); +#19518=DIRECTION('',(0.E0,0.E0,1.E0)); +#19519=DIRECTION('',(1.E0,0.E0,0.E0)); +#19520=AXIS2_PLACEMENT_3D('',#19517,#19518,#19519); +#19521=CYLINDRICAL_SURFACE('',#19520,6.5E-1); +#19522=ORIENTED_EDGE('',*,*,#17976,.T.); +#19523=ORIENTED_EDGE('',*,*,#19512,.T.); +#19524=ORIENTED_EDGE('',*,*,#19060,.F.); +#19525=ORIENTED_EDGE('',*,*,#19509,.F.); +#19526=EDGE_LOOP('',(#19522,#19523,#19524,#19525)); +#19527=FACE_OUTER_BOUND('',#19526,.F.); +#19529=CARTESIAN_POINT('',(6.E0,4.E0,-2.6E1)); +#19530=DIRECTION('',(0.E0,0.E0,1.E0)); +#19531=DIRECTION('',(1.E0,0.E0,0.E0)); +#19532=AXIS2_PLACEMENT_3D('',#19529,#19530,#19531); +#19533=CYLINDRICAL_SURFACE('',#19532,6.5E-1); +#19534=ORIENTED_EDGE('',*,*,#17980,.T.); +#19536=ORIENTED_EDGE('',*,*,#19535,.T.); +#19537=ORIENTED_EDGE('',*,*,#19070,.F.); +#19539=ORIENTED_EDGE('',*,*,#19538,.F.); +#19540=EDGE_LOOP('',(#19534,#19536,#19537,#19539)); +#19541=FACE_OUTER_BOUND('',#19540,.F.); +#19543=CARTESIAN_POINT('',(6.E0,4.E0,-2.6E1)); +#19544=DIRECTION('',(0.E0,0.E0,1.E0)); +#19545=DIRECTION('',(1.E0,0.E0,0.E0)); +#19546=AXIS2_PLACEMENT_3D('',#19543,#19544,#19545); +#19547=CYLINDRICAL_SURFACE('',#19546,6.5E-1); +#19548=ORIENTED_EDGE('',*,*,#17982,.T.); +#19549=ORIENTED_EDGE('',*,*,#19538,.T.); +#19550=ORIENTED_EDGE('',*,*,#19072,.F.); +#19551=ORIENTED_EDGE('',*,*,#19535,.F.); +#19552=EDGE_LOOP('',(#19548,#19549,#19550,#19551)); +#19553=FACE_OUTER_BOUND('',#19552,.F.); +#19555=CARTESIAN_POINT('',(1.4E1,4.E0,-2.6E1)); +#19556=DIRECTION('',(0.E0,0.E0,1.E0)); +#19557=DIRECTION('',(1.E0,0.E0,0.E0)); +#19558=AXIS2_PLACEMENT_3D('',#19555,#19556,#19557); +#19559=CYLINDRICAL_SURFACE('',#19558,6.5E-1); +#19560=ORIENTED_EDGE('',*,*,#17986,.T.); +#19562=ORIENTED_EDGE('',*,*,#19561,.T.); +#19563=ORIENTED_EDGE('',*,*,#19082,.F.); +#19565=ORIENTED_EDGE('',*,*,#19564,.F.); +#19566=EDGE_LOOP('',(#19560,#19562,#19563,#19565)); +#19567=FACE_OUTER_BOUND('',#19566,.F.); +#19569=CARTESIAN_POINT('',(1.4E1,4.E0,-2.6E1)); +#19570=DIRECTION('',(0.E0,0.E0,1.E0)); +#19571=DIRECTION('',(1.E0,0.E0,0.E0)); +#19572=AXIS2_PLACEMENT_3D('',#19569,#19570,#19571); +#19573=CYLINDRICAL_SURFACE('',#19572,6.5E-1); +#19574=ORIENTED_EDGE('',*,*,#17988,.T.); +#19575=ORIENTED_EDGE('',*,*,#19564,.T.); +#19576=ORIENTED_EDGE('',*,*,#19084,.F.); +#19577=ORIENTED_EDGE('',*,*,#19561,.F.); +#19578=EDGE_LOOP('',(#19574,#19575,#19576,#19577)); +#19579=FACE_OUTER_BOUND('',#19578,.F.); +#19581=CARTESIAN_POINT('',(2.2E1,4.E0,-2.6E1)); +#19582=DIRECTION('',(0.E0,0.E0,1.E0)); +#19583=DIRECTION('',(1.E0,0.E0,0.E0)); +#19584=AXIS2_PLACEMENT_3D('',#19581,#19582,#19583); +#19585=CYLINDRICAL_SURFACE('',#19584,6.5E-1); +#19586=ORIENTED_EDGE('',*,*,#17992,.T.); +#19588=ORIENTED_EDGE('',*,*,#19587,.T.); +#19589=ORIENTED_EDGE('',*,*,#19094,.F.); +#19591=ORIENTED_EDGE('',*,*,#19590,.F.); +#19592=EDGE_LOOP('',(#19586,#19588,#19589,#19591)); +#19593=FACE_OUTER_BOUND('',#19592,.F.); +#19595=CARTESIAN_POINT('',(2.2E1,4.E0,-2.6E1)); +#19596=DIRECTION('',(0.E0,0.E0,1.E0)); +#19597=DIRECTION('',(1.E0,0.E0,0.E0)); +#19598=AXIS2_PLACEMENT_3D('',#19595,#19596,#19597); +#19599=CYLINDRICAL_SURFACE('',#19598,6.5E-1); +#19600=ORIENTED_EDGE('',*,*,#17994,.T.); +#19601=ORIENTED_EDGE('',*,*,#19590,.T.); +#19602=ORIENTED_EDGE('',*,*,#19096,.F.); +#19603=ORIENTED_EDGE('',*,*,#19587,.F.); +#19604=EDGE_LOOP('',(#19600,#19601,#19602,#19603)); +#19605=FACE_OUTER_BOUND('',#19604,.F.); +#19607=CARTESIAN_POINT('',(-2.E1,0.E0,-2.6E1)); +#19608=DIRECTION('',(0.E0,0.E0,1.E0)); +#19609=DIRECTION('',(1.E0,0.E0,0.E0)); +#19610=AXIS2_PLACEMENT_3D('',#19607,#19608,#19609); +#19611=CYLINDRICAL_SURFACE('',#19610,6.5E-1); +#19612=ORIENTED_EDGE('',*,*,#17998,.T.); +#19614=ORIENTED_EDGE('',*,*,#19613,.T.); +#19615=ORIENTED_EDGE('',*,*,#19106,.F.); +#19617=ORIENTED_EDGE('',*,*,#19616,.F.); +#19618=EDGE_LOOP('',(#19612,#19614,#19615,#19617)); +#19619=FACE_OUTER_BOUND('',#19618,.F.); +#19621=CARTESIAN_POINT('',(-2.E1,0.E0,-2.6E1)); +#19622=DIRECTION('',(0.E0,0.E0,1.E0)); +#19623=DIRECTION('',(1.E0,0.E0,0.E0)); +#19624=AXIS2_PLACEMENT_3D('',#19621,#19622,#19623); +#19625=CYLINDRICAL_SURFACE('',#19624,6.5E-1); +#19626=ORIENTED_EDGE('',*,*,#18000,.T.); +#19627=ORIENTED_EDGE('',*,*,#19616,.T.); +#19628=ORIENTED_EDGE('',*,*,#19108,.F.); +#19629=ORIENTED_EDGE('',*,*,#19613,.F.); +#19630=EDGE_LOOP('',(#19626,#19627,#19628,#19629)); +#19631=FACE_OUTER_BOUND('',#19630,.F.); +#19633=CARTESIAN_POINT('',(-1.6E1,0.E0,-2.6E1)); +#19634=DIRECTION('',(0.E0,0.E0,1.E0)); +#19635=DIRECTION('',(1.E0,0.E0,0.E0)); +#19636=AXIS2_PLACEMENT_3D('',#19633,#19634,#19635); +#19637=CYLINDRICAL_SURFACE('',#19636,6.5E-1); +#19638=ORIENTED_EDGE('',*,*,#18004,.T.); +#19640=ORIENTED_EDGE('',*,*,#19639,.T.); +#19641=ORIENTED_EDGE('',*,*,#19118,.F.); +#19643=ORIENTED_EDGE('',*,*,#19642,.F.); +#19644=EDGE_LOOP('',(#19638,#19640,#19641,#19643)); +#19645=FACE_OUTER_BOUND('',#19644,.F.); +#19647=CARTESIAN_POINT('',(-1.6E1,0.E0,-2.6E1)); +#19648=DIRECTION('',(0.E0,0.E0,1.E0)); +#19649=DIRECTION('',(1.E0,0.E0,0.E0)); +#19650=AXIS2_PLACEMENT_3D('',#19647,#19648,#19649); +#19651=CYLINDRICAL_SURFACE('',#19650,6.5E-1); +#19652=ORIENTED_EDGE('',*,*,#18006,.T.); +#19653=ORIENTED_EDGE('',*,*,#19642,.T.); +#19654=ORIENTED_EDGE('',*,*,#19120,.F.); +#19655=ORIENTED_EDGE('',*,*,#19639,.F.); +#19656=EDGE_LOOP('',(#19652,#19653,#19654,#19655)); +#19657=FACE_OUTER_BOUND('',#19656,.F.); +#19659=CARTESIAN_POINT('',(-4.E0,0.E0,-2.6E1)); +#19660=DIRECTION('',(0.E0,0.E0,1.E0)); +#19661=DIRECTION('',(1.E0,0.E0,0.E0)); +#19662=AXIS2_PLACEMENT_3D('',#19659,#19660,#19661); +#19663=CYLINDRICAL_SURFACE('',#19662,6.5E-1); +#19664=ORIENTED_EDGE('',*,*,#18010,.T.); +#19666=ORIENTED_EDGE('',*,*,#19665,.T.); +#19667=ORIENTED_EDGE('',*,*,#19130,.F.); +#19669=ORIENTED_EDGE('',*,*,#19668,.F.); +#19670=EDGE_LOOP('',(#19664,#19666,#19667,#19669)); +#19671=FACE_OUTER_BOUND('',#19670,.F.); +#19673=CARTESIAN_POINT('',(-4.E0,0.E0,-2.6E1)); +#19674=DIRECTION('',(0.E0,0.E0,1.E0)); +#19675=DIRECTION('',(1.E0,0.E0,0.E0)); +#19676=AXIS2_PLACEMENT_3D('',#19673,#19674,#19675); +#19677=CYLINDRICAL_SURFACE('',#19676,6.5E-1); +#19678=ORIENTED_EDGE('',*,*,#18012,.T.); +#19679=ORIENTED_EDGE('',*,*,#19668,.T.); +#19680=ORIENTED_EDGE('',*,*,#19132,.F.); +#19681=ORIENTED_EDGE('',*,*,#19665,.F.); +#19682=EDGE_LOOP('',(#19678,#19679,#19680,#19681)); +#19683=FACE_OUTER_BOUND('',#19682,.F.); +#19685=CARTESIAN_POINT('',(0.E0,0.E0,-2.6E1)); +#19686=DIRECTION('',(0.E0,0.E0,1.E0)); +#19687=DIRECTION('',(1.E0,0.E0,0.E0)); +#19688=AXIS2_PLACEMENT_3D('',#19685,#19686,#19687); +#19689=CYLINDRICAL_SURFACE('',#19688,6.5E-1); +#19690=ORIENTED_EDGE('',*,*,#18016,.T.); +#19692=ORIENTED_EDGE('',*,*,#19691,.T.); +#19693=ORIENTED_EDGE('',*,*,#19142,.F.); +#19695=ORIENTED_EDGE('',*,*,#19694,.F.); +#19696=EDGE_LOOP('',(#19690,#19692,#19693,#19695)); +#19697=FACE_OUTER_BOUND('',#19696,.F.); +#19699=CARTESIAN_POINT('',(0.E0,0.E0,-2.6E1)); +#19700=DIRECTION('',(0.E0,0.E0,1.E0)); +#19701=DIRECTION('',(1.E0,0.E0,0.E0)); +#19702=AXIS2_PLACEMENT_3D('',#19699,#19700,#19701); +#19703=CYLINDRICAL_SURFACE('',#19702,6.5E-1); +#19704=ORIENTED_EDGE('',*,*,#18018,.T.); +#19705=ORIENTED_EDGE('',*,*,#19694,.T.); +#19706=ORIENTED_EDGE('',*,*,#19144,.F.); +#19707=ORIENTED_EDGE('',*,*,#19691,.F.); +#19708=EDGE_LOOP('',(#19704,#19705,#19706,#19707)); +#19709=FACE_OUTER_BOUND('',#19708,.F.); +#19711=CARTESIAN_POINT('',(4.E0,0.E0,-2.6E1)); +#19712=DIRECTION('',(0.E0,0.E0,1.E0)); +#19713=DIRECTION('',(1.E0,0.E0,0.E0)); +#19714=AXIS2_PLACEMENT_3D('',#19711,#19712,#19713); +#19715=CYLINDRICAL_SURFACE('',#19714,6.5E-1); +#19716=ORIENTED_EDGE('',*,*,#18022,.T.); +#19718=ORIENTED_EDGE('',*,*,#19717,.T.); +#19719=ORIENTED_EDGE('',*,*,#19154,.F.); +#19721=ORIENTED_EDGE('',*,*,#19720,.F.); +#19722=EDGE_LOOP('',(#19716,#19718,#19719,#19721)); +#19723=FACE_OUTER_BOUND('',#19722,.F.); +#19725=CARTESIAN_POINT('',(4.E0,0.E0,-2.6E1)); +#19726=DIRECTION('',(0.E0,0.E0,1.E0)); +#19727=DIRECTION('',(1.E0,0.E0,0.E0)); +#19728=AXIS2_PLACEMENT_3D('',#19725,#19726,#19727); +#19729=CYLINDRICAL_SURFACE('',#19728,6.5E-1); +#19730=ORIENTED_EDGE('',*,*,#18024,.T.); +#19731=ORIENTED_EDGE('',*,*,#19720,.T.); +#19732=ORIENTED_EDGE('',*,*,#19156,.F.); +#19733=ORIENTED_EDGE('',*,*,#19717,.F.); +#19734=EDGE_LOOP('',(#19730,#19731,#19732,#19733)); +#19735=FACE_OUTER_BOUND('',#19734,.F.); +#19737=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-2.6E1)); +#19738=DIRECTION('',(0.E0,0.E0,1.E0)); +#19739=DIRECTION('',(1.E0,0.E0,0.E0)); +#19740=AXIS2_PLACEMENT_3D('',#19737,#19738,#19739); +#19741=CYLINDRICAL_SURFACE('',#19740,6.5E-1); +#19742=ORIENTED_EDGE('',*,*,#18028,.T.); +#19744=ORIENTED_EDGE('',*,*,#19743,.T.); +#19745=ORIENTED_EDGE('',*,*,#19166,.F.); +#19747=ORIENTED_EDGE('',*,*,#19746,.F.); +#19748=EDGE_LOOP('',(#19742,#19744,#19745,#19747)); +#19749=FACE_OUTER_BOUND('',#19748,.F.); +#19751=CARTESIAN_POINT('',(7.999999999999E0,0.E0,-2.6E1)); +#19752=DIRECTION('',(0.E0,0.E0,1.E0)); +#19753=DIRECTION('',(1.E0,0.E0,0.E0)); +#19754=AXIS2_PLACEMENT_3D('',#19751,#19752,#19753); +#19755=CYLINDRICAL_SURFACE('',#19754,6.5E-1); +#19756=ORIENTED_EDGE('',*,*,#18030,.T.); +#19757=ORIENTED_EDGE('',*,*,#19746,.T.); +#19758=ORIENTED_EDGE('',*,*,#19168,.F.); +#19759=ORIENTED_EDGE('',*,*,#19743,.F.); +#19760=EDGE_LOOP('',(#19756,#19757,#19758,#19759)); +#19761=FACE_OUTER_BOUND('',#19760,.F.); +#19763=CARTESIAN_POINT('',(1.2E1,0.E0,-2.6E1)); +#19764=DIRECTION('',(0.E0,0.E0,1.E0)); +#19765=DIRECTION('',(1.E0,0.E0,0.E0)); +#19766=AXIS2_PLACEMENT_3D('',#19763,#19764,#19765); +#19767=CYLINDRICAL_SURFACE('',#19766,6.5E-1); +#19768=ORIENTED_EDGE('',*,*,#18034,.T.); +#19770=ORIENTED_EDGE('',*,*,#19769,.T.); +#19771=ORIENTED_EDGE('',*,*,#19178,.F.); +#19773=ORIENTED_EDGE('',*,*,#19772,.F.); +#19774=EDGE_LOOP('',(#19768,#19770,#19771,#19773)); +#19775=FACE_OUTER_BOUND('',#19774,.F.); +#19777=CARTESIAN_POINT('',(1.2E1,0.E0,-2.6E1)); +#19778=DIRECTION('',(0.E0,0.E0,1.E0)); +#19779=DIRECTION('',(1.E0,0.E0,0.E0)); +#19780=AXIS2_PLACEMENT_3D('',#19777,#19778,#19779); +#19781=CYLINDRICAL_SURFACE('',#19780,6.5E-1); +#19782=ORIENTED_EDGE('',*,*,#18036,.T.); +#19783=ORIENTED_EDGE('',*,*,#19772,.T.); +#19784=ORIENTED_EDGE('',*,*,#19180,.F.); +#19785=ORIENTED_EDGE('',*,*,#19769,.F.); +#19786=EDGE_LOOP('',(#19782,#19783,#19784,#19785)); +#19787=FACE_OUTER_BOUND('',#19786,.F.); +#19789=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.6E1)); +#19790=DIRECTION('',(0.E0,0.E0,1.E0)); +#19791=DIRECTION('',(1.E0,0.E0,0.E0)); +#19792=AXIS2_PLACEMENT_3D('',#19789,#19790,#19791); +#19793=CYLINDRICAL_SURFACE('',#19792,6.5E-1); +#19794=ORIENTED_EDGE('',*,*,#18040,.T.); +#19796=ORIENTED_EDGE('',*,*,#19795,.T.); +#19797=ORIENTED_EDGE('',*,*,#19190,.F.); +#19799=ORIENTED_EDGE('',*,*,#19798,.F.); +#19800=EDGE_LOOP('',(#19794,#19796,#19797,#19799)); +#19801=FACE_OUTER_BOUND('',#19800,.F.); +#19803=CARTESIAN_POINT('',(-2.2E1,-4.E0,-2.6E1)); +#19804=DIRECTION('',(0.E0,0.E0,1.E0)); +#19805=DIRECTION('',(1.E0,0.E0,0.E0)); +#19806=AXIS2_PLACEMENT_3D('',#19803,#19804,#19805); +#19807=CYLINDRICAL_SURFACE('',#19806,6.5E-1); +#19808=ORIENTED_EDGE('',*,*,#18042,.T.); +#19809=ORIENTED_EDGE('',*,*,#19798,.T.); +#19810=ORIENTED_EDGE('',*,*,#19192,.F.); +#19811=ORIENTED_EDGE('',*,*,#19795,.F.); +#19812=EDGE_LOOP('',(#19808,#19809,#19810,#19811)); +#19813=FACE_OUTER_BOUND('',#19812,.F.); +#19815=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.6E1)); +#19816=DIRECTION('',(0.E0,0.E0,1.E0)); +#19817=DIRECTION('',(1.E0,0.E0,0.E0)); +#19818=AXIS2_PLACEMENT_3D('',#19815,#19816,#19817); +#19819=CYLINDRICAL_SURFACE('',#19818,6.5E-1); +#19820=ORIENTED_EDGE('',*,*,#18046,.T.); +#19822=ORIENTED_EDGE('',*,*,#19821,.T.); +#19823=ORIENTED_EDGE('',*,*,#19202,.F.); +#19825=ORIENTED_EDGE('',*,*,#19824,.F.); +#19826=EDGE_LOOP('',(#19820,#19822,#19823,#19825)); +#19827=FACE_OUTER_BOUND('',#19826,.F.); +#19829=CARTESIAN_POINT('',(-1.4E1,-4.E0,-2.6E1)); +#19830=DIRECTION('',(0.E0,0.E0,1.E0)); +#19831=DIRECTION('',(1.E0,0.E0,0.E0)); +#19832=AXIS2_PLACEMENT_3D('',#19829,#19830,#19831); +#19833=CYLINDRICAL_SURFACE('',#19832,6.5E-1); +#19834=ORIENTED_EDGE('',*,*,#18048,.T.); +#19835=ORIENTED_EDGE('',*,*,#19824,.T.); +#19836=ORIENTED_EDGE('',*,*,#19204,.F.); +#19837=ORIENTED_EDGE('',*,*,#19821,.F.); +#19838=EDGE_LOOP('',(#19834,#19835,#19836,#19837)); +#19839=FACE_OUTER_BOUND('',#19838,.F.); +#19841=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.6E1)); +#19842=DIRECTION('',(0.E0,0.E0,1.E0)); +#19843=DIRECTION('',(1.E0,0.E0,0.E0)); +#19844=AXIS2_PLACEMENT_3D('',#19841,#19842,#19843); +#19845=CYLINDRICAL_SURFACE('',#19844,6.5E-1); +#19846=ORIENTED_EDGE('',*,*,#18052,.T.); +#19848=ORIENTED_EDGE('',*,*,#19847,.T.); +#19849=ORIENTED_EDGE('',*,*,#19214,.F.); +#19851=ORIENTED_EDGE('',*,*,#19850,.F.); +#19852=EDGE_LOOP('',(#19846,#19848,#19849,#19851)); +#19853=FACE_OUTER_BOUND('',#19852,.F.); +#19855=CARTESIAN_POINT('',(-6.E0,-4.E0,-2.6E1)); +#19856=DIRECTION('',(0.E0,0.E0,1.E0)); +#19857=DIRECTION('',(1.E0,0.E0,0.E0)); +#19858=AXIS2_PLACEMENT_3D('',#19855,#19856,#19857); +#19859=CYLINDRICAL_SURFACE('',#19858,6.5E-1); +#19860=ORIENTED_EDGE('',*,*,#18054,.T.); +#19861=ORIENTED_EDGE('',*,*,#19850,.T.); +#19862=ORIENTED_EDGE('',*,*,#19216,.F.); +#19863=ORIENTED_EDGE('',*,*,#19847,.F.); +#19864=EDGE_LOOP('',(#19860,#19861,#19862,#19863)); +#19865=FACE_OUTER_BOUND('',#19864,.F.); +#19867=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.6E1)); +#19868=DIRECTION('',(0.E0,0.E0,1.E0)); +#19869=DIRECTION('',(1.E0,0.E0,0.E0)); +#19870=AXIS2_PLACEMENT_3D('',#19867,#19868,#19869); +#19871=CYLINDRICAL_SURFACE('',#19870,6.5E-1); +#19872=ORIENTED_EDGE('',*,*,#18058,.T.); +#19874=ORIENTED_EDGE('',*,*,#19873,.T.); +#19875=ORIENTED_EDGE('',*,*,#19226,.F.); +#19877=ORIENTED_EDGE('',*,*,#19876,.F.); +#19878=EDGE_LOOP('',(#19872,#19874,#19875,#19877)); +#19879=FACE_OUTER_BOUND('',#19878,.F.); +#19881=CARTESIAN_POINT('',(-2.E0,-4.E0,-2.6E1)); +#19882=DIRECTION('',(0.E0,0.E0,1.E0)); +#19883=DIRECTION('',(1.E0,0.E0,0.E0)); +#19884=AXIS2_PLACEMENT_3D('',#19881,#19882,#19883); +#19885=CYLINDRICAL_SURFACE('',#19884,6.5E-1); +#19886=ORIENTED_EDGE('',*,*,#18060,.T.); +#19887=ORIENTED_EDGE('',*,*,#19876,.T.); +#19888=ORIENTED_EDGE('',*,*,#19228,.F.); +#19889=ORIENTED_EDGE('',*,*,#19873,.F.); +#19890=EDGE_LOOP('',(#19886,#19887,#19888,#19889)); +#19891=FACE_OUTER_BOUND('',#19890,.F.); +#19893=CARTESIAN_POINT('',(2.E0,-4.E0,-2.6E1)); +#19894=DIRECTION('',(0.E0,0.E0,1.E0)); +#19895=DIRECTION('',(1.E0,0.E0,0.E0)); +#19896=AXIS2_PLACEMENT_3D('',#19893,#19894,#19895); +#19897=CYLINDRICAL_SURFACE('',#19896,6.5E-1); +#19898=ORIENTED_EDGE('',*,*,#18064,.T.); +#19900=ORIENTED_EDGE('',*,*,#19899,.T.); +#19901=ORIENTED_EDGE('',*,*,#19238,.F.); +#19903=ORIENTED_EDGE('',*,*,#19902,.F.); +#19904=EDGE_LOOP('',(#19898,#19900,#19901,#19903)); +#19905=FACE_OUTER_BOUND('',#19904,.F.); +#19907=CARTESIAN_POINT('',(2.E0,-4.E0,-2.6E1)); +#19908=DIRECTION('',(0.E0,0.E0,1.E0)); +#19909=DIRECTION('',(1.E0,0.E0,0.E0)); +#19910=AXIS2_PLACEMENT_3D('',#19907,#19908,#19909); +#19911=CYLINDRICAL_SURFACE('',#19910,6.5E-1); +#19912=ORIENTED_EDGE('',*,*,#18066,.T.); +#19913=ORIENTED_EDGE('',*,*,#19902,.T.); +#19914=ORIENTED_EDGE('',*,*,#19240,.F.); +#19915=ORIENTED_EDGE('',*,*,#19899,.F.); +#19916=EDGE_LOOP('',(#19912,#19913,#19914,#19915)); +#19917=FACE_OUTER_BOUND('',#19916,.F.); +#19919=CARTESIAN_POINT('',(6.E0,-4.E0,-2.6E1)); +#19920=DIRECTION('',(0.E0,0.E0,1.E0)); +#19921=DIRECTION('',(1.E0,0.E0,0.E0)); +#19922=AXIS2_PLACEMENT_3D('',#19919,#19920,#19921); +#19923=CYLINDRICAL_SURFACE('',#19922,6.5E-1); +#19924=ORIENTED_EDGE('',*,*,#18070,.T.); +#19926=ORIENTED_EDGE('',*,*,#19925,.T.); +#19927=ORIENTED_EDGE('',*,*,#19250,.F.); +#19929=ORIENTED_EDGE('',*,*,#19928,.F.); +#19930=EDGE_LOOP('',(#19924,#19926,#19927,#19929)); +#19931=FACE_OUTER_BOUND('',#19930,.F.); +#19933=CARTESIAN_POINT('',(6.E0,-4.E0,-2.6E1)); +#19934=DIRECTION('',(0.E0,0.E0,1.E0)); +#19935=DIRECTION('',(1.E0,0.E0,0.E0)); +#19936=AXIS2_PLACEMENT_3D('',#19933,#19934,#19935); +#19937=CYLINDRICAL_SURFACE('',#19936,6.5E-1); +#19938=ORIENTED_EDGE('',*,*,#18072,.T.); +#19939=ORIENTED_EDGE('',*,*,#19928,.T.); +#19940=ORIENTED_EDGE('',*,*,#19252,.F.); +#19941=ORIENTED_EDGE('',*,*,#19925,.F.); +#19942=EDGE_LOOP('',(#19938,#19939,#19940,#19941)); +#19943=FACE_OUTER_BOUND('',#19942,.F.); +#19945=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.6E1)); +#19946=DIRECTION('',(0.E0,0.E0,1.E0)); +#19947=DIRECTION('',(1.E0,0.E0,0.E0)); +#19948=AXIS2_PLACEMENT_3D('',#19945,#19946,#19947); +#19949=CYLINDRICAL_SURFACE('',#19948,6.5E-1); +#19950=ORIENTED_EDGE('',*,*,#18076,.T.); +#19952=ORIENTED_EDGE('',*,*,#19951,.T.); +#19953=ORIENTED_EDGE('',*,*,#19262,.F.); +#19955=ORIENTED_EDGE('',*,*,#19954,.F.); +#19956=EDGE_LOOP('',(#19950,#19952,#19953,#19955)); +#19957=FACE_OUTER_BOUND('',#19956,.F.); +#19959=CARTESIAN_POINT('',(1.4E1,-4.E0,-2.6E1)); +#19960=DIRECTION('',(0.E0,0.E0,1.E0)); +#19961=DIRECTION('',(1.E0,0.E0,0.E0)); +#19962=AXIS2_PLACEMENT_3D('',#19959,#19960,#19961); +#19963=CYLINDRICAL_SURFACE('',#19962,6.5E-1); +#19964=ORIENTED_EDGE('',*,*,#18078,.T.); +#19965=ORIENTED_EDGE('',*,*,#19954,.T.); +#19966=ORIENTED_EDGE('',*,*,#19264,.F.); +#19967=ORIENTED_EDGE('',*,*,#19951,.F.); +#19968=EDGE_LOOP('',(#19964,#19965,#19966,#19967)); +#19969=FACE_OUTER_BOUND('',#19968,.F.); +#19971=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.6E1)); +#19972=DIRECTION('',(0.E0,0.E0,1.E0)); +#19973=DIRECTION('',(1.E0,0.E0,0.E0)); +#19974=AXIS2_PLACEMENT_3D('',#19971,#19972,#19973); +#19975=CYLINDRICAL_SURFACE('',#19974,6.5E-1); +#19976=ORIENTED_EDGE('',*,*,#18082,.T.); +#19978=ORIENTED_EDGE('',*,*,#19977,.T.); +#19979=ORIENTED_EDGE('',*,*,#19274,.F.); +#19981=ORIENTED_EDGE('',*,*,#19980,.F.); +#19982=EDGE_LOOP('',(#19976,#19978,#19979,#19981)); +#19983=FACE_OUTER_BOUND('',#19982,.F.); +#19985=CARTESIAN_POINT('',(2.2E1,-4.E0,-2.6E1)); +#19986=DIRECTION('',(0.E0,0.E0,1.E0)); +#19987=DIRECTION('',(1.E0,0.E0,0.E0)); +#19988=AXIS2_PLACEMENT_3D('',#19985,#19986,#19987); +#19989=CYLINDRICAL_SURFACE('',#19988,6.5E-1); +#19990=ORIENTED_EDGE('',*,*,#18084,.T.); +#19991=ORIENTED_EDGE('',*,*,#19980,.T.); +#19992=ORIENTED_EDGE('',*,*,#19276,.F.); +#19993=ORIENTED_EDGE('',*,*,#19977,.F.); +#19994=EDGE_LOOP('',(#19990,#19991,#19992,#19993)); +#19995=FACE_OUTER_BOUND('',#19994,.F.); +#19997=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.6E1)); +#19998=DIRECTION('',(0.E0,0.E0,1.E0)); +#19999=DIRECTION('',(1.E0,0.E0,0.E0)); +#20000=AXIS2_PLACEMENT_3D('',#19997,#19998,#19999); +#20001=CYLINDRICAL_SURFACE('',#20000,6.5E-1); +#20002=ORIENTED_EDGE('',*,*,#18088,.T.); +#20004=ORIENTED_EDGE('',*,*,#20003,.T.); +#20005=ORIENTED_EDGE('',*,*,#19286,.F.); +#20007=ORIENTED_EDGE('',*,*,#20006,.F.); +#20008=EDGE_LOOP('',(#20002,#20004,#20005,#20007)); +#20009=FACE_OUTER_BOUND('',#20008,.F.); +#20011=CARTESIAN_POINT('',(-1.8E1,4.E0,-2.6E1)); +#20012=DIRECTION('',(0.E0,0.E0,1.E0)); +#20013=DIRECTION('',(1.E0,0.E0,0.E0)); +#20014=AXIS2_PLACEMENT_3D('',#20011,#20012,#20013); +#20015=CYLINDRICAL_SURFACE('',#20014,6.5E-1); +#20016=ORIENTED_EDGE('',*,*,#18090,.T.); +#20017=ORIENTED_EDGE('',*,*,#20006,.T.); +#20018=ORIENTED_EDGE('',*,*,#19288,.F.); +#20019=ORIENTED_EDGE('',*,*,#20003,.F.); +#20020=EDGE_LOOP('',(#20016,#20017,#20018,#20019)); +#20021=FACE_OUTER_BOUND('',#20020,.F.); +#20023=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.6E1)); +#20024=DIRECTION('',(0.E0,0.E0,1.E0)); +#20025=DIRECTION('',(1.E0,0.E0,0.E0)); +#20026=AXIS2_PLACEMENT_3D('',#20023,#20024,#20025); +#20027=CYLINDRICAL_SURFACE('',#20026,6.5E-1); +#20028=ORIENTED_EDGE('',*,*,#18094,.T.); +#20030=ORIENTED_EDGE('',*,*,#20029,.T.); +#20031=ORIENTED_EDGE('',*,*,#19298,.F.); +#20033=ORIENTED_EDGE('',*,*,#20032,.F.); +#20034=EDGE_LOOP('',(#20028,#20030,#20031,#20033)); +#20035=FACE_OUTER_BOUND('',#20034,.F.); +#20037=CARTESIAN_POINT('',(-1.8E1,-4.E0,-2.6E1)); +#20038=DIRECTION('',(0.E0,0.E0,1.E0)); +#20039=DIRECTION('',(1.E0,0.E0,0.E0)); +#20040=AXIS2_PLACEMENT_3D('',#20037,#20038,#20039); +#20041=CYLINDRICAL_SURFACE('',#20040,6.5E-1); +#20042=ORIENTED_EDGE('',*,*,#18096,.T.); +#20043=ORIENTED_EDGE('',*,*,#20032,.T.); +#20044=ORIENTED_EDGE('',*,*,#19300,.F.); +#20045=ORIENTED_EDGE('',*,*,#20029,.F.); +#20046=EDGE_LOOP('',(#20042,#20043,#20044,#20045)); +#20047=FACE_OUTER_BOUND('',#20046,.F.); +#20049=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.6E1)); +#20050=DIRECTION('',(0.E0,0.E0,1.E0)); +#20051=DIRECTION('',(1.E0,0.E0,0.E0)); +#20052=AXIS2_PLACEMENT_3D('',#20049,#20050,#20051); +#20053=CYLINDRICAL_SURFACE('',#20052,6.5E-1); +#20054=ORIENTED_EDGE('',*,*,#18100,.T.); +#20056=ORIENTED_EDGE('',*,*,#20055,.T.); +#20057=ORIENTED_EDGE('',*,*,#19310,.F.); +#20059=ORIENTED_EDGE('',*,*,#20058,.F.); +#20060=EDGE_LOOP('',(#20054,#20056,#20057,#20059)); +#20061=FACE_OUTER_BOUND('',#20060,.F.); +#20063=CARTESIAN_POINT('',(-1.2E1,0.E0,-2.6E1)); +#20064=DIRECTION('',(0.E0,0.E0,1.E0)); +#20065=DIRECTION('',(1.E0,0.E0,0.E0)); +#20066=AXIS2_PLACEMENT_3D('',#20063,#20064,#20065); +#20067=CYLINDRICAL_SURFACE('',#20066,6.5E-1); +#20068=ORIENTED_EDGE('',*,*,#18102,.T.); +#20069=ORIENTED_EDGE('',*,*,#20058,.T.); +#20070=ORIENTED_EDGE('',*,*,#19312,.F.); +#20071=ORIENTED_EDGE('',*,*,#20055,.F.); +#20072=EDGE_LOOP('',(#20068,#20069,#20070,#20071)); +#20073=FACE_OUTER_BOUND('',#20072,.F.); +#20075=CARTESIAN_POINT('',(-1.E1,4.E0,-2.6E1)); +#20076=DIRECTION('',(0.E0,0.E0,1.E0)); +#20077=DIRECTION('',(1.E0,0.E0,0.E0)); +#20078=AXIS2_PLACEMENT_3D('',#20075,#20076,#20077); +#20079=CYLINDRICAL_SURFACE('',#20078,6.5E-1); +#20080=ORIENTED_EDGE('',*,*,#18106,.T.); +#20082=ORIENTED_EDGE('',*,*,#20081,.T.); +#20083=ORIENTED_EDGE('',*,*,#19322,.F.); +#20085=ORIENTED_EDGE('',*,*,#20084,.F.); +#20086=EDGE_LOOP('',(#20080,#20082,#20083,#20085)); +#20087=FACE_OUTER_BOUND('',#20086,.F.); +#20089=CARTESIAN_POINT('',(-1.E1,4.E0,-2.6E1)); +#20090=DIRECTION('',(0.E0,0.E0,1.E0)); +#20091=DIRECTION('',(1.E0,0.E0,0.E0)); +#20092=AXIS2_PLACEMENT_3D('',#20089,#20090,#20091); +#20093=CYLINDRICAL_SURFACE('',#20092,6.5E-1); +#20094=ORIENTED_EDGE('',*,*,#18108,.T.); +#20095=ORIENTED_EDGE('',*,*,#20084,.T.); +#20096=ORIENTED_EDGE('',*,*,#19324,.F.); +#20097=ORIENTED_EDGE('',*,*,#20081,.F.); +#20098=EDGE_LOOP('',(#20094,#20095,#20096,#20097)); +#20099=FACE_OUTER_BOUND('',#20098,.F.); +#20101=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-2.6E1)); +#20102=DIRECTION('',(0.E0,0.E0,1.E0)); +#20103=DIRECTION('',(1.E0,0.E0,0.E0)); +#20104=AXIS2_PLACEMENT_3D('',#20101,#20102,#20103); +#20105=CYLINDRICAL_SURFACE('',#20104,6.5E-1); +#20106=ORIENTED_EDGE('',*,*,#18112,.T.); +#20108=ORIENTED_EDGE('',*,*,#20107,.T.); +#20109=ORIENTED_EDGE('',*,*,#19334,.F.); +#20111=ORIENTED_EDGE('',*,*,#20110,.F.); +#20112=EDGE_LOOP('',(#20106,#20108,#20109,#20111)); +#20113=FACE_OUTER_BOUND('',#20112,.F.); +#20115=CARTESIAN_POINT('',(-7.999999999999E0,0.E0,-2.6E1)); +#20116=DIRECTION('',(0.E0,0.E0,1.E0)); +#20117=DIRECTION('',(1.E0,0.E0,0.E0)); +#20118=AXIS2_PLACEMENT_3D('',#20115,#20116,#20117); +#20119=CYLINDRICAL_SURFACE('',#20118,6.5E-1); +#20120=ORIENTED_EDGE('',*,*,#18114,.T.); +#20121=ORIENTED_EDGE('',*,*,#20110,.T.); +#20122=ORIENTED_EDGE('',*,*,#19336,.F.); +#20123=ORIENTED_EDGE('',*,*,#20107,.F.); +#20124=EDGE_LOOP('',(#20120,#20121,#20122,#20123)); +#20125=FACE_OUTER_BOUND('',#20124,.F.); +#20127=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.6E1)); +#20128=DIRECTION('',(0.E0,0.E0,1.E0)); +#20129=DIRECTION('',(1.E0,0.E0,0.E0)); +#20130=AXIS2_PLACEMENT_3D('',#20127,#20128,#20129); +#20131=CYLINDRICAL_SURFACE('',#20130,6.5E-1); +#20132=ORIENTED_EDGE('',*,*,#18118,.T.); +#20134=ORIENTED_EDGE('',*,*,#20133,.T.); +#20135=ORIENTED_EDGE('',*,*,#19346,.F.); +#20137=ORIENTED_EDGE('',*,*,#20136,.F.); +#20138=EDGE_LOOP('',(#20132,#20134,#20135,#20137)); +#20139=FACE_OUTER_BOUND('',#20138,.F.); +#20141=CARTESIAN_POINT('',(-1.E1,-4.E0,-2.6E1)); +#20142=DIRECTION('',(0.E0,0.E0,1.E0)); +#20143=DIRECTION('',(1.E0,0.E0,0.E0)); +#20144=AXIS2_PLACEMENT_3D('',#20141,#20142,#20143); +#20145=CYLINDRICAL_SURFACE('',#20144,6.5E-1); +#20146=ORIENTED_EDGE('',*,*,#18120,.T.); +#20147=ORIENTED_EDGE('',*,*,#20136,.T.); +#20148=ORIENTED_EDGE('',*,*,#19348,.F.); +#20149=ORIENTED_EDGE('',*,*,#20133,.F.); +#20150=EDGE_LOOP('',(#20146,#20147,#20148,#20149)); +#20151=FACE_OUTER_BOUND('',#20150,.F.); +#20153=CARTESIAN_POINT('',(1.E1,4.E0,-2.6E1)); +#20154=DIRECTION('',(0.E0,0.E0,1.E0)); +#20155=DIRECTION('',(1.E0,0.E0,0.E0)); +#20156=AXIS2_PLACEMENT_3D('',#20153,#20154,#20155); +#20157=CYLINDRICAL_SURFACE('',#20156,6.5E-1); +#20158=ORIENTED_EDGE('',*,*,#18124,.T.); +#20160=ORIENTED_EDGE('',*,*,#20159,.T.); +#20161=ORIENTED_EDGE('',*,*,#19358,.F.); +#20163=ORIENTED_EDGE('',*,*,#20162,.F.); +#20164=EDGE_LOOP('',(#20158,#20160,#20161,#20163)); +#20165=FACE_OUTER_BOUND('',#20164,.F.); +#20167=CARTESIAN_POINT('',(1.E1,4.E0,-2.6E1)); +#20168=DIRECTION('',(0.E0,0.E0,1.E0)); +#20169=DIRECTION('',(1.E0,0.E0,0.E0)); +#20170=AXIS2_PLACEMENT_3D('',#20167,#20168,#20169); +#20171=CYLINDRICAL_SURFACE('',#20170,6.5E-1); +#20172=ORIENTED_EDGE('',*,*,#18126,.T.); +#20173=ORIENTED_EDGE('',*,*,#20162,.T.); +#20174=ORIENTED_EDGE('',*,*,#19360,.F.); +#20175=ORIENTED_EDGE('',*,*,#20159,.F.); +#20176=EDGE_LOOP('',(#20172,#20173,#20174,#20175)); +#20177=FACE_OUTER_BOUND('',#20176,.F.); +#20179=CARTESIAN_POINT('',(1.8E1,4.E0,-2.6E1)); +#20180=DIRECTION('',(0.E0,0.E0,1.E0)); +#20181=DIRECTION('',(1.E0,0.E0,0.E0)); +#20182=AXIS2_PLACEMENT_3D('',#20179,#20180,#20181); +#20183=CYLINDRICAL_SURFACE('',#20182,6.5E-1); +#20184=ORIENTED_EDGE('',*,*,#18130,.T.); +#20186=ORIENTED_EDGE('',*,*,#20185,.T.); +#20187=ORIENTED_EDGE('',*,*,#19370,.F.); +#20189=ORIENTED_EDGE('',*,*,#20188,.F.); +#20190=EDGE_LOOP('',(#20184,#20186,#20187,#20189)); +#20191=FACE_OUTER_BOUND('',#20190,.F.); +#20193=CARTESIAN_POINT('',(1.8E1,4.E0,-2.6E1)); +#20194=DIRECTION('',(0.E0,0.E0,1.E0)); +#20195=DIRECTION('',(1.E0,0.E0,0.E0)); +#20196=AXIS2_PLACEMENT_3D('',#20193,#20194,#20195); +#20197=CYLINDRICAL_SURFACE('',#20196,6.5E-1); +#20198=ORIENTED_EDGE('',*,*,#18132,.T.); +#20199=ORIENTED_EDGE('',*,*,#20188,.T.); +#20200=ORIENTED_EDGE('',*,*,#19372,.F.); +#20201=ORIENTED_EDGE('',*,*,#20185,.F.); +#20202=EDGE_LOOP('',(#20198,#20199,#20200,#20201)); +#20203=FACE_OUTER_BOUND('',#20202,.F.); +#20205=CARTESIAN_POINT('',(2.E1,0.E0,-2.6E1)); +#20206=DIRECTION('',(0.E0,0.E0,1.E0)); +#20207=DIRECTION('',(1.E0,0.E0,0.E0)); +#20208=AXIS2_PLACEMENT_3D('',#20205,#20206,#20207); +#20209=CYLINDRICAL_SURFACE('',#20208,6.5E-1); +#20210=ORIENTED_EDGE('',*,*,#18136,.T.); +#20212=ORIENTED_EDGE('',*,*,#20211,.T.); +#20213=ORIENTED_EDGE('',*,*,#19382,.F.); +#20215=ORIENTED_EDGE('',*,*,#20214,.F.); +#20216=EDGE_LOOP('',(#20210,#20212,#20213,#20215)); +#20217=FACE_OUTER_BOUND('',#20216,.F.); +#20219=CARTESIAN_POINT('',(2.E1,0.E0,-2.6E1)); +#20220=DIRECTION('',(0.E0,0.E0,1.E0)); +#20221=DIRECTION('',(1.E0,0.E0,0.E0)); +#20222=AXIS2_PLACEMENT_3D('',#20219,#20220,#20221); +#20223=CYLINDRICAL_SURFACE('',#20222,6.5E-1); +#20224=ORIENTED_EDGE('',*,*,#18138,.T.); +#20225=ORIENTED_EDGE('',*,*,#20214,.T.); +#20226=ORIENTED_EDGE('',*,*,#19384,.F.); +#20227=ORIENTED_EDGE('',*,*,#20211,.F.); +#20228=EDGE_LOOP('',(#20224,#20225,#20226,#20227)); +#20229=FACE_OUTER_BOUND('',#20228,.F.); +#20231=CARTESIAN_POINT('',(1.6E1,0.E0,-2.6E1)); +#20232=DIRECTION('',(0.E0,0.E0,1.E0)); +#20233=DIRECTION('',(1.E0,0.E0,0.E0)); +#20234=AXIS2_PLACEMENT_3D('',#20231,#20232,#20233); +#20235=CYLINDRICAL_SURFACE('',#20234,6.5E-1); +#20236=ORIENTED_EDGE('',*,*,#18142,.T.); +#20238=ORIENTED_EDGE('',*,*,#20237,.T.); +#20239=ORIENTED_EDGE('',*,*,#19394,.F.); +#20241=ORIENTED_EDGE('',*,*,#20240,.F.); +#20242=EDGE_LOOP('',(#20236,#20238,#20239,#20241)); +#20243=FACE_OUTER_BOUND('',#20242,.F.); +#20245=CARTESIAN_POINT('',(1.6E1,0.E0,-2.6E1)); +#20246=DIRECTION('',(0.E0,0.E0,1.E0)); +#20247=DIRECTION('',(1.E0,0.E0,0.E0)); +#20248=AXIS2_PLACEMENT_3D('',#20245,#20246,#20247); +#20249=CYLINDRICAL_SURFACE('',#20248,6.5E-1); +#20250=ORIENTED_EDGE('',*,*,#18144,.T.); +#20251=ORIENTED_EDGE('',*,*,#20240,.T.); +#20252=ORIENTED_EDGE('',*,*,#19396,.F.); +#20253=ORIENTED_EDGE('',*,*,#20237,.F.); +#20254=EDGE_LOOP('',(#20250,#20251,#20252,#20253)); +#20255=FACE_OUTER_BOUND('',#20254,.F.); +#20257=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.6E1)); +#20258=DIRECTION('',(0.E0,0.E0,1.E0)); +#20259=DIRECTION('',(1.E0,0.E0,0.E0)); +#20260=AXIS2_PLACEMENT_3D('',#20257,#20258,#20259); +#20261=CYLINDRICAL_SURFACE('',#20260,6.5E-1); +#20262=ORIENTED_EDGE('',*,*,#18148,.T.); +#20264=ORIENTED_EDGE('',*,*,#20263,.T.); +#20265=ORIENTED_EDGE('',*,*,#19406,.F.); +#20267=ORIENTED_EDGE('',*,*,#20266,.F.); +#20268=EDGE_LOOP('',(#20262,#20264,#20265,#20267)); +#20269=FACE_OUTER_BOUND('',#20268,.F.); +#20271=CARTESIAN_POINT('',(1.8E1,-4.E0,-2.6E1)); +#20272=DIRECTION('',(0.E0,0.E0,1.E0)); +#20273=DIRECTION('',(1.E0,0.E0,0.E0)); +#20274=AXIS2_PLACEMENT_3D('',#20271,#20272,#20273); +#20275=CYLINDRICAL_SURFACE('',#20274,6.5E-1); +#20276=ORIENTED_EDGE('',*,*,#18150,.T.); +#20277=ORIENTED_EDGE('',*,*,#20266,.T.); +#20278=ORIENTED_EDGE('',*,*,#19408,.F.); +#20279=ORIENTED_EDGE('',*,*,#20263,.F.); +#20280=EDGE_LOOP('',(#20276,#20277,#20278,#20279)); +#20281=FACE_OUTER_BOUND('',#20280,.F.); +#20283=CARTESIAN_POINT('',(1.E1,-4.E0,-2.6E1)); +#20284=DIRECTION('',(0.E0,0.E0,1.E0)); +#20285=DIRECTION('',(1.E0,0.E0,0.E0)); +#20286=AXIS2_PLACEMENT_3D('',#20283,#20284,#20285); +#20287=CYLINDRICAL_SURFACE('',#20286,6.5E-1); +#20288=ORIENTED_EDGE('',*,*,#18154,.T.); +#20290=ORIENTED_EDGE('',*,*,#20289,.T.); +#20291=ORIENTED_EDGE('',*,*,#19418,.F.); +#20293=ORIENTED_EDGE('',*,*,#20292,.F.); +#20294=EDGE_LOOP('',(#20288,#20290,#20291,#20293)); +#20295=FACE_OUTER_BOUND('',#20294,.F.); +#20297=CARTESIAN_POINT('',(1.E1,-4.E0,-2.6E1)); +#20298=DIRECTION('',(0.E0,0.E0,1.E0)); +#20299=DIRECTION('',(1.E0,0.E0,0.E0)); +#20300=AXIS2_PLACEMENT_3D('',#20297,#20298,#20299); +#20301=CYLINDRICAL_SURFACE('',#20300,6.5E-1); +#20302=ORIENTED_EDGE('',*,*,#18156,.T.); +#20303=ORIENTED_EDGE('',*,*,#20292,.T.); +#20304=ORIENTED_EDGE('',*,*,#19420,.F.); +#20305=ORIENTED_EDGE('',*,*,#20289,.F.); +#20306=EDGE_LOOP('',(#20302,#20303,#20304,#20305)); +#20307=FACE_OUTER_BOUND('',#20306,.F.); +#20309=CARTESIAN_POINT('',(3.795E1,5.789556E1,-2.15E1)); +#20310=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20311=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20312=AXIS2_PLACEMENT_3D('',#20309,#20310,#20311); +#20313=CYLINDRICAL_SURFACE('',#20312,5.E-1); +#20315=ORIENTED_EDGE('',*,*,#20314,.F.); +#20317=ORIENTED_EDGE('',*,*,#20316,.T.); +#20318=ORIENTED_EDGE('',*,*,#17737,.T.); +#20320=ORIENTED_EDGE('',*,*,#20319,.T.); +#20321=EDGE_LOOP('',(#20315,#20317,#20318,#20320)); +#20322=FACE_OUTER_BOUND('',#20321,.F.); +#20324=CARTESIAN_POINT('',(3.845E1,-1.105E1,-2.E1)); +#20325=DIRECTION('',(1.E0,0.E0,0.E0)); +#20326=DIRECTION('',(0.E0,1.E0,0.E0)); +#20327=AXIS2_PLACEMENT_3D('',#20324,#20325,#20326); +#20328=PLANE('',#20327); +#20329=ORIENTED_EDGE('',*,*,#20314,.T.); +#20331=ORIENTED_EDGE('',*,*,#20330,.F.); +#20333=ORIENTED_EDGE('',*,*,#20332,.T.); +#20335=ORIENTED_EDGE('',*,*,#20334,.F.); +#20337=ORIENTED_EDGE('',*,*,#20336,.T.); +#20339=ORIENTED_EDGE('',*,*,#20338,.F.); +#20340=ORIENTED_EDGE('',*,*,#17577,.T.); +#20342=ORIENTED_EDGE('',*,*,#20341,.F.); +#20344=ORIENTED_EDGE('',*,*,#20343,.T.); +#20346=ORIENTED_EDGE('',*,*,#20345,.F.); +#20347=EDGE_LOOP('',(#20329,#20331,#20333,#20335,#20337,#20339,#20340,#20342, +#20344,#20346)); +#20348=FACE_OUTER_BOUND('',#20347,.F.); +#20350=CARTESIAN_POINT('',(3.679687364248E1,-6.550000000001E0,-2.2E1)); +#20351=DIRECTION('',(0.E0,1.E0,0.E0)); +#20352=DIRECTION('',(1.E0,0.E0,0.E0)); +#20353=AXIS2_PLACEMENT_3D('',#20350,#20351,#20352); +#20354=PLANE('',#20353); +#20355=ORIENTED_EDGE('',*,*,#20330,.T.); +#20356=ORIENTED_EDGE('',*,*,#20319,.F.); +#20357=ORIENTED_EDGE('',*,*,#17802,.T.); +#20359=ORIENTED_EDGE('',*,*,#20358,.T.); +#20361=ORIENTED_EDGE('',*,*,#20360,.T.); +#20362=EDGE_LOOP('',(#20355,#20356,#20357,#20359,#20361)); +#20363=FACE_OUTER_BOUND('',#20362,.F.); +#20365=CARTESIAN_POINT('',(3.679687364248E1,-5.550000000001E0,-2.2E1)); +#20366=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20367=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20368=AXIS2_PLACEMENT_3D('',#20365,#20366,#20367); +#20369=CYLINDRICAL_SURFACE('',#20368,1.E0); +#20370=ORIENTED_EDGE('',*,*,#17800,.T.); +#20372=ORIENTED_EDGE('',*,*,#20371,.F.); +#20374=ORIENTED_EDGE('',*,*,#20373,.T.); +#20375=ORIENTED_EDGE('',*,*,#20358,.F.); +#20376=EDGE_LOOP('',(#20370,#20372,#20374,#20375)); +#20377=FACE_OUTER_BOUND('',#20376,.F.); +#20379=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.2E1)); +#20380=DIRECTION('',(0.E0,0.E0,1.E0)); +#20381=DIRECTION('',(1.E0,0.E0,0.E0)); +#20382=AXIS2_PLACEMENT_3D('',#20379,#20380,#20381); +#20383=CYLINDRICAL_SURFACE('',#20382,3.E0); +#20385=ORIENTED_EDGE('',*,*,#20384,.T.); +#20387=ORIENTED_EDGE('',*,*,#20386,.F.); +#20389=ORIENTED_EDGE('',*,*,#20388,.T.); +#20390=ORIENTED_EDGE('',*,*,#20371,.T.); +#20391=ORIENTED_EDGE('',*,*,#17798,.T.); +#20393=ORIENTED_EDGE('',*,*,#20392,.T.); +#20394=EDGE_LOOP('',(#20385,#20387,#20389,#20390,#20391,#20393)); +#20395=FACE_OUTER_BOUND('',#20394,.F.); +#20397=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-3.375E1)); +#20398=DIRECTION('',(0.E0,0.E0,1.E0)); +#20399=DIRECTION('',(-9.978492724183E-1,-6.555020621099E-2,0.E0)); +#20400=AXIS2_PLACEMENT_3D('',#20397,#20398,#20399); +#20401=TOROIDAL_SURFACE('',#20400,2.5E0,5.E-1); +#20403=ORIENTED_EDGE('',*,*,#20402,.T.); +#20405=ORIENTED_EDGE('',*,*,#20404,.T.); +#20406=ORIENTED_EDGE('',*,*,#20384,.F.); +#20408=ORIENTED_EDGE('',*,*,#20407,.F.); +#20409=EDGE_LOOP('',(#20403,#20405,#20406,#20408)); +#20410=FACE_OUTER_BOUND('',#20409,.F.); +#20412=CARTESIAN_POINT('',(0.E0,0.E0,-3.425E1)); +#20413=DIRECTION('',(0.E0,0.E0,1.E0)); +#20414=DIRECTION('',(1.E0,0.E0,0.E0)); +#20415=AXIS2_PLACEMENT_3D('',#20412,#20413,#20414); +#20416=PLANE('',#20415); +#20418=ORIENTED_EDGE('',*,*,#20417,.F.); +#20420=ORIENTED_EDGE('',*,*,#20419,.F.); +#20421=EDGE_LOOP('',(#20418,#20420)); +#20422=FACE_OUTER_BOUND('',#20421,.F.); +#20424=CARTESIAN_POINT('',(0.E0,0.E0,-3.425E1)); +#20425=DIRECTION('',(0.E0,0.E0,1.E0)); +#20426=DIRECTION('',(1.E0,0.E0,0.E0)); +#20427=AXIS2_PLACEMENT_3D('',#20424,#20425,#20426); +#20428=PLANE('',#20427); +#20430=ORIENTED_EDGE('',*,*,#20429,.F.); +#20432=ORIENTED_EDGE('',*,*,#20431,.F.); +#20433=EDGE_LOOP('',(#20430,#20432)); +#20434=FACE_OUTER_BOUND('',#20433,.F.); +#20436=ORIENTED_EDGE('',*,*,#20435,.T.); +#20438=ORIENTED_EDGE('',*,*,#20437,.T.); +#20439=EDGE_LOOP('',(#20436,#20438)); +#20440=FACE_BOUND('',#20439,.F.); +#20442=CARTESIAN_POINT('',(0.E0,0.E0,-3.425E1)); +#20443=DIRECTION('',(0.E0,0.E0,1.E0)); +#20444=DIRECTION('',(1.E0,0.E0,0.E0)); +#20445=AXIS2_PLACEMENT_3D('',#20442,#20443,#20444); +#20446=PLANE('',#20445); +#20448=ORIENTED_EDGE('',*,*,#20447,.F.); +#20449=ORIENTED_EDGE('',*,*,#20402,.F.); +#20450=EDGE_LOOP('',(#20448,#20449)); +#20451=FACE_OUTER_BOUND('',#20450,.F.); +#20453=ORIENTED_EDGE('',*,*,#20452,.T.); +#20455=ORIENTED_EDGE('',*,*,#20454,.T.); +#20456=EDGE_LOOP('',(#20453,#20455)); +#20457=FACE_BOUND('',#20456,.F.); +#20459=CARTESIAN_POINT('',(0.E0,0.E0,-3.425E1)); +#20460=DIRECTION('',(0.E0,0.E0,1.E0)); +#20461=DIRECTION('',(1.E0,0.E0,0.E0)); +#20462=AXIS2_PLACEMENT_3D('',#20459,#20460,#20461); +#20463=PLANE('',#20462); +#20465=ORIENTED_EDGE('',*,*,#20464,.F.); +#20467=ORIENTED_EDGE('',*,*,#20466,.F.); +#20468=EDGE_LOOP('',(#20465,#20467)); +#20469=FACE_OUTER_BOUND('',#20468,.F.); +#20471=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-3.375E1)); +#20472=DIRECTION('',(0.E0,0.E0,1.E0)); +#20473=DIRECTION('',(9.978492724183E-1,6.555020621099E-2,0.E0)); +#20474=AXIS2_PLACEMENT_3D('',#20471,#20472,#20473); +#20475=TOROIDAL_SURFACE('',#20474,2.5E0,5.E-1); +#20476=ORIENTED_EDGE('',*,*,#20417,.T.); +#20478=ORIENTED_EDGE('',*,*,#20477,.T.); +#20480=ORIENTED_EDGE('',*,*,#20479,.F.); +#20482=ORIENTED_EDGE('',*,*,#20481,.F.); +#20483=EDGE_LOOP('',(#20476,#20478,#20480,#20482)); +#20484=FACE_OUTER_BOUND('',#20483,.F.); +#20486=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-3.375E1)); +#20487=DIRECTION('',(0.E0,0.E0,1.E0)); +#20488=DIRECTION('',(-9.978492724183E-1,-6.555020621099E-2,0.E0)); +#20489=AXIS2_PLACEMENT_3D('',#20486,#20487,#20488); +#20490=TOROIDAL_SURFACE('',#20489,2.5E0,5.E-1); +#20491=ORIENTED_EDGE('',*,*,#20419,.T.); +#20492=ORIENTED_EDGE('',*,*,#20481,.T.); +#20494=ORIENTED_EDGE('',*,*,#20493,.F.); +#20495=ORIENTED_EDGE('',*,*,#20477,.F.); +#20496=EDGE_LOOP('',(#20491,#20492,#20494,#20495)); +#20497=FACE_OUTER_BOUND('',#20496,.F.); +#20499=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.2E1)); +#20500=DIRECTION('',(0.E0,0.E0,1.E0)); +#20501=DIRECTION('',(1.E0,0.E0,0.E0)); +#20502=AXIS2_PLACEMENT_3D('',#20499,#20500,#20501); +#20503=CYLINDRICAL_SURFACE('',#20502,3.E0); +#20504=ORIENTED_EDGE('',*,*,#20493,.T.); +#20506=ORIENTED_EDGE('',*,*,#20505,.F.); +#20507=ORIENTED_EDGE('',*,*,#17774,.T.); +#20509=ORIENTED_EDGE('',*,*,#20508,.F.); +#20511=ORIENTED_EDGE('',*,*,#20510,.T.); +#20513=ORIENTED_EDGE('',*,*,#20512,.T.); +#20514=EDGE_LOOP('',(#20504,#20506,#20507,#20509,#20511,#20513)); +#20515=FACE_OUTER_BOUND('',#20514,.F.); +#20517=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.2E1)); +#20518=DIRECTION('',(0.E0,0.E0,1.E0)); +#20519=DIRECTION('',(1.E0,0.E0,0.E0)); +#20520=AXIS2_PLACEMENT_3D('',#20517,#20518,#20519); +#20521=CYLINDRICAL_SURFACE('',#20520,3.E0); +#20522=ORIENTED_EDGE('',*,*,#20479,.T.); +#20523=ORIENTED_EDGE('',*,*,#20512,.F.); +#20525=ORIENTED_EDGE('',*,*,#20524,.T.); +#20527=ORIENTED_EDGE('',*,*,#20526,.T.); +#20528=ORIENTED_EDGE('',*,*,#17776,.T.); +#20529=ORIENTED_EDGE('',*,*,#20505,.T.); +#20530=EDGE_LOOP('',(#20522,#20523,#20525,#20527,#20528,#20529)); +#20531=FACE_OUTER_BOUND('',#20530,.F.); +#20533=CARTESIAN_POINT('',(0.E0,0.E0,-2.85E1)); +#20534=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20535=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20536=AXIS2_PLACEMENT_3D('',#20533,#20534,#20535); +#20537=PLANE('',#20536); +#20539=ORIENTED_EDGE('',*,*,#20538,.F.); +#20541=ORIENTED_EDGE('',*,*,#20540,.F.); +#20543=ORIENTED_EDGE('',*,*,#20542,.F.); +#20545=ORIENTED_EDGE('',*,*,#20544,.F.); +#20546=ORIENTED_EDGE('',*,*,#20343,.F.); +#20548=ORIENTED_EDGE('',*,*,#20547,.F.); +#20550=ORIENTED_EDGE('',*,*,#20549,.F.); +#20552=ORIENTED_EDGE('',*,*,#20551,.F.); +#20553=EDGE_LOOP('',(#20539,#20541,#20543,#20545,#20546,#20548,#20550,#20552)); +#20554=FACE_OUTER_BOUND('',#20553,.F.); +#20556=CARTESIAN_POINT('',(0.E0,0.E0,-2.85E1)); +#20557=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20558=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20559=AXIS2_PLACEMENT_3D('',#20556,#20557,#20558); +#20560=PLANE('',#20559); +#20562=ORIENTED_EDGE('',*,*,#20561,.F.); +#20564=ORIENTED_EDGE('',*,*,#20563,.F.); +#20566=ORIENTED_EDGE('',*,*,#20565,.F.); +#20567=ORIENTED_EDGE('',*,*,#17710,.F.); +#20569=ORIENTED_EDGE('',*,*,#20568,.F.); +#20571=ORIENTED_EDGE('',*,*,#20570,.F.); +#20573=ORIENTED_EDGE('',*,*,#20572,.F.); +#20575=ORIENTED_EDGE('',*,*,#20574,.F.); +#20576=EDGE_LOOP('',(#20562,#20564,#20566,#20567,#20569,#20571,#20573,#20575)); +#20577=FACE_OUTER_BOUND('',#20576,.F.); +#20579=CARTESIAN_POINT('',(0.E0,0.E0,-2.85E1)); +#20580=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20581=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20582=AXIS2_PLACEMENT_3D('',#20579,#20580,#20581); +#20583=PLANE('',#20582); +#20584=ORIENTED_EDGE('',*,*,#20510,.F.); +#20586=ORIENTED_EDGE('',*,*,#20585,.F.); +#20588=ORIENTED_EDGE('',*,*,#20587,.F.); +#20590=ORIENTED_EDGE('',*,*,#20589,.F.); +#20592=ORIENTED_EDGE('',*,*,#20591,.F.); +#20594=ORIENTED_EDGE('',*,*,#20593,.F.); +#20595=ORIENTED_EDGE('',*,*,#20524,.F.); +#20596=EDGE_LOOP('',(#20584,#20586,#20588,#20590,#20592,#20594,#20595)); +#20597=FACE_OUTER_BOUND('',#20596,.F.); +#20599=CARTESIAN_POINT('',(0.E0,0.E0,-2.85E1)); +#20600=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20601=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20602=AXIS2_PLACEMENT_3D('',#20599,#20600,#20601); +#20603=PLANE('',#20602); +#20604=ORIENTED_EDGE('',*,*,#20388,.F.); +#20606=ORIENTED_EDGE('',*,*,#20605,.F.); +#20608=ORIENTED_EDGE('',*,*,#20607,.F.); +#20610=ORIENTED_EDGE('',*,*,#20609,.F.); +#20611=ORIENTED_EDGE('',*,*,#20332,.F.); +#20612=ORIENTED_EDGE('',*,*,#20360,.F.); +#20613=ORIENTED_EDGE('',*,*,#20373,.F.); +#20614=EDGE_LOOP('',(#20604,#20606,#20608,#20610,#20611,#20612,#20613)); +#20615=FACE_OUTER_BOUND('',#20614,.F.); +#20617=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.2E1)); +#20618=DIRECTION('',(0.E0,0.E0,1.E0)); +#20619=DIRECTION('',(1.E0,0.E0,0.E0)); +#20620=AXIS2_PLACEMENT_3D('',#20617,#20618,#20619); +#20621=CYLINDRICAL_SURFACE('',#20620,3.E0); +#20623=ORIENTED_EDGE('',*,*,#20622,.T.); +#20625=ORIENTED_EDGE('',*,*,#20624,.F.); +#20626=ORIENTED_EDGE('',*,*,#20538,.T.); +#20628=ORIENTED_EDGE('',*,*,#20627,.T.); +#20629=ORIENTED_EDGE('',*,*,#17745,.T.); +#20631=ORIENTED_EDGE('',*,*,#20630,.T.); +#20632=EDGE_LOOP('',(#20623,#20625,#20626,#20628,#20629,#20631)); +#20633=FACE_OUTER_BOUND('',#20632,.F.); +#20635=CARTESIAN_POINT('',(3.17E1,1.08E1,-3.375E1)); +#20636=DIRECTION('',(0.E0,0.E0,1.E0)); +#20637=DIRECTION('',(-9.978492724183E-1,-6.555020621099E-2,0.E0)); +#20638=AXIS2_PLACEMENT_3D('',#20635,#20636,#20637); +#20639=TOROIDAL_SURFACE('',#20638,2.5E0,5.E-1); +#20640=ORIENTED_EDGE('',*,*,#20466,.T.); +#20642=ORIENTED_EDGE('',*,*,#20641,.T.); +#20643=ORIENTED_EDGE('',*,*,#20622,.F.); +#20645=ORIENTED_EDGE('',*,*,#20644,.F.); +#20646=EDGE_LOOP('',(#20640,#20642,#20643,#20645)); +#20647=FACE_OUTER_BOUND('',#20646,.F.); +#20649=CARTESIAN_POINT('',(3.17E1,1.08E1,-3.375E1)); +#20650=DIRECTION('',(0.E0,0.E0,1.E0)); +#20651=DIRECTION('',(9.978492724183E-1,6.555020621099E-2,0.E0)); +#20652=AXIS2_PLACEMENT_3D('',#20649,#20650,#20651); +#20653=TOROIDAL_SURFACE('',#20652,2.5E0,5.E-1); +#20654=ORIENTED_EDGE('',*,*,#20464,.T.); +#20655=ORIENTED_EDGE('',*,*,#20644,.T.); +#20657=ORIENTED_EDGE('',*,*,#20656,.F.); +#20658=ORIENTED_EDGE('',*,*,#20641,.F.); +#20659=EDGE_LOOP('',(#20654,#20655,#20657,#20658)); +#20660=FACE_OUTER_BOUND('',#20659,.F.); +#20662=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.2E1)); +#20663=DIRECTION('',(0.E0,0.E0,1.E0)); +#20664=DIRECTION('',(1.E0,0.E0,0.E0)); +#20665=AXIS2_PLACEMENT_3D('',#20662,#20663,#20664); +#20666=CYLINDRICAL_SURFACE('',#20665,3.E0); +#20667=ORIENTED_EDGE('',*,*,#20656,.T.); +#20668=ORIENTED_EDGE('',*,*,#20630,.F.); +#20669=ORIENTED_EDGE('',*,*,#17743,.T.); +#20671=ORIENTED_EDGE('',*,*,#20670,.F.); +#20672=ORIENTED_EDGE('',*,*,#20540,.T.); +#20673=ORIENTED_EDGE('',*,*,#20624,.T.); +#20674=EDGE_LOOP('',(#20667,#20668,#20669,#20671,#20672,#20673)); +#20675=FACE_OUTER_BOUND('',#20674,.F.); +#20677=CARTESIAN_POINT('',(3.529687364248E1,9.049999999999E0,-2.2E1)); +#20678=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20679=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20680=AXIS2_PLACEMENT_3D('',#20677,#20678,#20679); +#20681=CYLINDRICAL_SURFACE('',#20680,1.E0); +#20682=ORIENTED_EDGE('',*,*,#17741,.T.); +#20684=ORIENTED_EDGE('',*,*,#20683,.T.); +#20685=ORIENTED_EDGE('',*,*,#20542,.T.); +#20686=ORIENTED_EDGE('',*,*,#20670,.T.); +#20687=EDGE_LOOP('',(#20682,#20684,#20685,#20686)); +#20688=FACE_OUTER_BOUND('',#20687,.F.); +#20690=CARTESIAN_POINT('',(3.845E1,1.005E1,-2.2E1)); +#20691=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20692=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20693=AXIS2_PLACEMENT_3D('',#20690,#20691,#20692); +#20694=PLANE('',#20693); +#20695=ORIENTED_EDGE('',*,*,#17739,.F.); +#20696=ORIENTED_EDGE('',*,*,#20316,.F.); +#20697=ORIENTED_EDGE('',*,*,#20345,.T.); +#20698=ORIENTED_EDGE('',*,*,#20544,.T.); +#20699=ORIENTED_EDGE('',*,*,#20683,.F.); +#20700=EDGE_LOOP('',(#20695,#20696,#20697,#20698,#20699)); +#20701=FACE_OUTER_BOUND('',#20700,.F.); +#20703=CARTESIAN_POINT('',(3.529687364248E1,1.255E1,-2.2E1)); +#20704=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20705=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20706=AXIS2_PLACEMENT_3D('',#20703,#20704,#20705); +#20707=CYLINDRICAL_SURFACE('',#20706,1.E0); +#20708=ORIENTED_EDGE('',*,*,#17747,.T.); +#20709=ORIENTED_EDGE('',*,*,#20627,.F.); +#20710=ORIENTED_EDGE('',*,*,#20551,.T.); +#20712=ORIENTED_EDGE('',*,*,#20711,.F.); +#20713=EDGE_LOOP('',(#20708,#20709,#20710,#20712)); +#20714=FACE_OUTER_BOUND('',#20713,.F.); +#20716=CARTESIAN_POINT('',(3.529687364248E1,1.155E1,-2.2E1)); +#20717=DIRECTION('',(0.E0,1.E0,0.E0)); +#20718=DIRECTION('',(1.E0,0.E0,0.E0)); +#20719=AXIS2_PLACEMENT_3D('',#20716,#20717,#20718); +#20720=PLANE('',#20719); +#20722=ORIENTED_EDGE('',*,*,#20721,.T.); +#20724=ORIENTED_EDGE('',*,*,#20723,.F.); +#20725=ORIENTED_EDGE('',*,*,#17749,.F.); +#20726=ORIENTED_EDGE('',*,*,#20711,.T.); +#20727=ORIENTED_EDGE('',*,*,#20549,.T.); +#20728=EDGE_LOOP('',(#20722,#20724,#20725,#20726,#20727)); +#20729=FACE_OUTER_BOUND('',#20728,.F.); +#20731=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.E1)); +#20732=DIRECTION('',(0.E0,0.E0,1.E0)); +#20733=DIRECTION('',(1.E0,0.E0,0.E0)); +#20734=AXIS2_PLACEMENT_3D('',#20731,#20732,#20733); +#20735=CYLINDRICAL_SURFACE('',#20734,5.E0); +#20737=ORIENTED_EDGE('',*,*,#20736,.T.); +#20738=ORIENTED_EDGE('',*,*,#20721,.F.); +#20739=ORIENTED_EDGE('',*,*,#20547,.T.); +#20740=ORIENTED_EDGE('',*,*,#20341,.T.); +#20741=ORIENTED_EDGE('',*,*,#17575,.T.); +#20743=ORIENTED_EDGE('',*,*,#20742,.T.); +#20744=EDGE_LOOP('',(#20737,#20738,#20739,#20740,#20741,#20743)); +#20745=FACE_OUTER_BOUND('',#20744,.F.); +#20747=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.15E1)); +#20748=DIRECTION('',(0.E0,0.E0,1.E0)); +#20749=DIRECTION('',(-3.934818338778E-2,9.992255603537E-1,0.E0)); +#20750=AXIS2_PLACEMENT_3D('',#20747,#20748,#20749); +#20751=TOROIDAL_SURFACE('',#20750,4.5E0,5.E-1); +#20752=ORIENTED_EDGE('',*,*,#20736,.F.); +#20754=ORIENTED_EDGE('',*,*,#20753,.F.); +#20755=ORIENTED_EDGE('',*,*,#17751,.T.); +#20756=ORIENTED_EDGE('',*,*,#20723,.T.); +#20757=EDGE_LOOP('',(#20752,#20754,#20755,#20756)); +#20758=FACE_OUTER_BOUND('',#20757,.F.); +#20760=CARTESIAN_POINT('',(-3.364679172067E1,1.555E1,-2.15E1)); +#20761=DIRECTION('',(1.E0,0.E0,0.E0)); +#20762=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20763=AXIS2_PLACEMENT_3D('',#20760,#20761,#20762); +#20764=CYLINDRICAL_SURFACE('',#20763,5.E-1); +#20765=ORIENTED_EDGE('',*,*,#17753,.T.); +#20766=ORIENTED_EDGE('',*,*,#20753,.T.); +#20768=ORIENTED_EDGE('',*,*,#20767,.F.); +#20769=ORIENTED_EDGE('',*,*,#17724,.F.); +#20770=EDGE_LOOP('',(#20765,#20766,#20768,#20769)); +#20771=FACE_OUTER_BOUND('',#20770,.F.); +#20773=CARTESIAN_POINT('',(3.345E1,1.605E1,-2.E1)); +#20774=DIRECTION('',(0.E0,1.E0,0.E0)); +#20775=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20776=AXIS2_PLACEMENT_3D('',#20773,#20774,#20775); +#20777=PLANE('',#20776); +#20778=ORIENTED_EDGE('',*,*,#20767,.T.); +#20779=ORIENTED_EDGE('',*,*,#20742,.F.); +#20780=ORIENTED_EDGE('',*,*,#17573,.T.); +#20781=ORIENTED_EDGE('',*,*,#17705,.T.); +#20782=EDGE_LOOP('',(#20778,#20779,#20780,#20781)); +#20783=FACE_OUTER_BOUND('',#20782,.F.); +#20785=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.2E1)); +#20786=DIRECTION('',(0.E0,0.E0,1.E0)); +#20787=DIRECTION('',(1.E0,0.E0,0.E0)); +#20788=AXIS2_PLACEMENT_3D('',#20785,#20786,#20787); +#20789=CYLINDRICAL_SURFACE('',#20788,3.E0); +#20791=ORIENTED_EDGE('',*,*,#20790,.T.); +#20793=ORIENTED_EDGE('',*,*,#20792,.F.); +#20794=ORIENTED_EDGE('',*,*,#17760,.T.); +#20796=ORIENTED_EDGE('',*,*,#20795,.F.); +#20797=ORIENTED_EDGE('',*,*,#20561,.T.); +#20799=ORIENTED_EDGE('',*,*,#20798,.T.); +#20800=EDGE_LOOP('',(#20791,#20793,#20794,#20796,#20797,#20799)); +#20801=FACE_OUTER_BOUND('',#20800,.F.); +#20803=CARTESIAN_POINT('',(-3.17E1,1.08E1,-3.375E1)); +#20804=DIRECTION('',(0.E0,0.E0,1.E0)); +#20805=DIRECTION('',(-9.978492724183E-1,-6.555020621099E-2,0.E0)); +#20806=AXIS2_PLACEMENT_3D('',#20803,#20804,#20805); +#20807=TOROIDAL_SURFACE('',#20806,2.5E0,5.E-1); +#20808=ORIENTED_EDGE('',*,*,#20431,.T.); +#20810=ORIENTED_EDGE('',*,*,#20809,.T.); +#20811=ORIENTED_EDGE('',*,*,#20790,.F.); +#20813=ORIENTED_EDGE('',*,*,#20812,.F.); +#20814=EDGE_LOOP('',(#20808,#20810,#20811,#20813)); +#20815=FACE_OUTER_BOUND('',#20814,.F.); +#20817=CARTESIAN_POINT('',(-3.17E1,1.08E1,-3.375E1)); +#20818=DIRECTION('',(0.E0,0.E0,1.E0)); +#20819=DIRECTION('',(9.978492724183E-1,6.555020621099E-2,0.E0)); +#20820=AXIS2_PLACEMENT_3D('',#20817,#20818,#20819); +#20821=TOROIDAL_SURFACE('',#20820,2.5E0,5.E-1); +#20822=ORIENTED_EDGE('',*,*,#20429,.T.); +#20823=ORIENTED_EDGE('',*,*,#20812,.T.); +#20825=ORIENTED_EDGE('',*,*,#20824,.F.); +#20826=ORIENTED_EDGE('',*,*,#20809,.F.); +#20827=EDGE_LOOP('',(#20822,#20823,#20825,#20826)); +#20828=FACE_OUTER_BOUND('',#20827,.F.); +#20830=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.2E1)); +#20831=DIRECTION('',(0.E0,0.E0,1.E0)); +#20832=DIRECTION('',(1.E0,0.E0,0.E0)); +#20833=AXIS2_PLACEMENT_3D('',#20830,#20831,#20832); +#20834=CYLINDRICAL_SURFACE('',#20833,3.E0); +#20835=ORIENTED_EDGE('',*,*,#20824,.T.); +#20836=ORIENTED_EDGE('',*,*,#20798,.F.); +#20837=ORIENTED_EDGE('',*,*,#20574,.T.); +#20839=ORIENTED_EDGE('',*,*,#20838,.T.); +#20840=ORIENTED_EDGE('',*,*,#17762,.T.); +#20841=ORIENTED_EDGE('',*,*,#20792,.T.); +#20842=EDGE_LOOP('',(#20835,#20836,#20837,#20839,#20840,#20841)); +#20843=FACE_OUTER_BOUND('',#20842,.F.); +#20845=CARTESIAN_POINT('',(-3.529687364248E1,9.049999999999E0,-2.2E1)); +#20846=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20847=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20848=AXIS2_PLACEMENT_3D('',#20845,#20846,#20847); +#20849=CYLINDRICAL_SURFACE('',#20848,1.E0); +#20850=ORIENTED_EDGE('',*,*,#17764,.T.); +#20851=ORIENTED_EDGE('',*,*,#20838,.F.); +#20852=ORIENTED_EDGE('',*,*,#20572,.T.); +#20854=ORIENTED_EDGE('',*,*,#20853,.F.); +#20855=EDGE_LOOP('',(#20850,#20851,#20852,#20854)); +#20856=FACE_OUTER_BOUND('',#20855,.F.); +#20858=CARTESIAN_POINT('',(-3.529687364248E1,1.005E1,-2.2E1)); +#20859=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20860=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20861=AXIS2_PLACEMENT_3D('',#20858,#20859,#20860); +#20862=PLANE('',#20861); +#20864=ORIENTED_EDGE('',*,*,#20863,.T.); +#20866=ORIENTED_EDGE('',*,*,#20865,.F.); +#20867=ORIENTED_EDGE('',*,*,#17766,.T.); +#20868=ORIENTED_EDGE('',*,*,#20853,.T.); +#20869=ORIENTED_EDGE('',*,*,#20570,.T.); +#20870=EDGE_LOOP('',(#20864,#20866,#20867,#20868,#20869)); +#20871=FACE_OUTER_BOUND('',#20870,.F.); +#20873=CARTESIAN_POINT('',(-3.845E1,1.105E1,-2.E1)); +#20874=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20875=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20876=AXIS2_PLACEMENT_3D('',#20873,#20874,#20875); +#20877=PLANE('',#20876); +#20879=ORIENTED_EDGE('',*,*,#20878,.T.); +#20881=ORIENTED_EDGE('',*,*,#20880,.F.); +#20882=ORIENTED_EDGE('',*,*,#20589,.T.); +#20884=ORIENTED_EDGE('',*,*,#20883,.F.); +#20886=ORIENTED_EDGE('',*,*,#20885,.T.); +#20887=ORIENTED_EDGE('',*,*,#20863,.F.); +#20888=ORIENTED_EDGE('',*,*,#20568,.T.); +#20889=ORIENTED_EDGE('',*,*,#17708,.F.); +#20890=ORIENTED_EDGE('',*,*,#17585,.T.); +#20892=ORIENTED_EDGE('',*,*,#20891,.T.); +#20893=EDGE_LOOP('',(#20879,#20881,#20882,#20884,#20886,#20887,#20888,#20889, +#20890,#20892)); +#20894=FACE_OUTER_BOUND('',#20893,.F.); +#20896=CARTESIAN_POINT('',(-3.795E1,-1.124679172067E1,-2.15E1)); +#20897=DIRECTION('',(0.E0,1.E0,0.E0)); +#20898=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20899=AXIS2_PLACEMENT_3D('',#20896,#20897,#20898); +#20900=CYLINDRICAL_SURFACE('',#20899,5.E-1); +#20901=ORIENTED_EDGE('',*,*,#20878,.F.); +#20903=ORIENTED_EDGE('',*,*,#20902,.F.); +#20904=ORIENTED_EDGE('',*,*,#17782,.T.); +#20906=ORIENTED_EDGE('',*,*,#20905,.T.); +#20907=EDGE_LOOP('',(#20901,#20903,#20904,#20906)); +#20908=FACE_OUTER_BOUND('',#20907,.F.); +#20910=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.15E1)); +#20911=DIRECTION('',(0.E0,0.E0,1.E0)); +#20912=DIRECTION('',(3.934818338778E-2,-9.992255603537E-1,0.E0)); +#20913=AXIS2_PLACEMENT_3D('',#20910,#20911,#20912); +#20914=TOROIDAL_SURFACE('',#20913,4.5E0,5.E-1); +#20915=ORIENTED_EDGE('',*,*,#17784,.T.); +#20916=ORIENTED_EDGE('',*,*,#20902,.T.); +#20918=ORIENTED_EDGE('',*,*,#20917,.F.); +#20920=ORIENTED_EDGE('',*,*,#20919,.F.); +#20921=EDGE_LOOP('',(#20915,#20916,#20918,#20920)); +#20922=FACE_OUTER_BOUND('',#20921,.F.); +#20924=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.E1)); +#20925=DIRECTION('',(0.E0,0.E0,1.E0)); +#20926=DIRECTION('',(1.E0,0.E0,0.E0)); +#20927=AXIS2_PLACEMENT_3D('',#20924,#20925,#20926); +#20928=CYLINDRICAL_SURFACE('',#20927,5.E0); +#20929=ORIENTED_EDGE('',*,*,#20917,.T.); +#20930=ORIENTED_EDGE('',*,*,#20891,.F.); +#20931=ORIENTED_EDGE('',*,*,#17583,.T.); +#20933=ORIENTED_EDGE('',*,*,#20932,.T.); +#20934=EDGE_LOOP('',(#20929,#20930,#20931,#20933)); +#20935=FACE_OUTER_BOUND('',#20934,.F.); +#20937=CARTESIAN_POINT('',(-3.345E1,-1.605E1,-2.E1)); +#20938=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20939=DIRECTION('',(1.E0,0.E0,0.E0)); +#20940=AXIS2_PLACEMENT_3D('',#20937,#20938,#20939); +#20941=PLANE('',#20940); +#20943=ORIENTED_EDGE('',*,*,#20942,.T.); +#20944=ORIENTED_EDGE('',*,*,#20932,.F.); +#20945=ORIENTED_EDGE('',*,*,#17581,.T.); +#20947=ORIENTED_EDGE('',*,*,#20946,.T.); +#20948=EDGE_LOOP('',(#20943,#20944,#20945,#20947)); +#20949=FACE_OUTER_BOUND('',#20948,.F.); +#20951=CARTESIAN_POINT('',(3.364679172067E1,-1.555E1,-2.15E1)); +#20952=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20953=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20954=AXIS2_PLACEMENT_3D('',#20951,#20952,#20953); +#20955=CYLINDRICAL_SURFACE('',#20954,5.E-1); +#20956=ORIENTED_EDGE('',*,*,#17786,.T.); +#20957=ORIENTED_EDGE('',*,*,#20919,.T.); +#20958=ORIENTED_EDGE('',*,*,#20942,.F.); +#20960=ORIENTED_EDGE('',*,*,#20959,.F.); +#20961=EDGE_LOOP('',(#20956,#20957,#20958,#20960)); +#20962=FACE_OUTER_BOUND('',#20961,.F.); +#20964=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.15E1)); +#20965=DIRECTION('',(0.E0,0.E0,1.E0)); +#20966=DIRECTION('',(9.992255603537E-1,3.934818338778E-2,0.E0)); +#20967=AXIS2_PLACEMENT_3D('',#20964,#20965,#20966); +#20968=TOROIDAL_SURFACE('',#20967,4.5E0,5.E-1); +#20969=ORIENTED_EDGE('',*,*,#17788,.T.); +#20970=ORIENTED_EDGE('',*,*,#20959,.T.); +#20972=ORIENTED_EDGE('',*,*,#20971,.F.); +#20974=ORIENTED_EDGE('',*,*,#20973,.F.); +#20975=EDGE_LOOP('',(#20969,#20970,#20972,#20974)); +#20976=FACE_OUTER_BOUND('',#20975,.F.); +#20978=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.E1)); +#20979=DIRECTION('',(0.E0,0.E0,1.E0)); +#20980=DIRECTION('',(1.E0,0.E0,0.E0)); +#20981=AXIS2_PLACEMENT_3D('',#20978,#20979,#20980); +#20982=CYLINDRICAL_SURFACE('',#20981,5.E0); +#20983=ORIENTED_EDGE('',*,*,#20971,.T.); +#20984=ORIENTED_EDGE('',*,*,#20946,.F.); +#20985=ORIENTED_EDGE('',*,*,#17579,.T.); +#20986=ORIENTED_EDGE('',*,*,#20338,.T.); +#20987=EDGE_LOOP('',(#20983,#20984,#20985,#20986)); +#20988=FACE_OUTER_BOUND('',#20987,.F.); +#20990=CARTESIAN_POINT('',(3.795E1,5.789556E1,-2.15E1)); +#20991=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20992=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20993=AXIS2_PLACEMENT_3D('',#20990,#20991,#20992); +#20994=CYLINDRICAL_SURFACE('',#20993,5.E-1); +#20995=ORIENTED_EDGE('',*,*,#17790,.T.); +#20996=ORIENTED_EDGE('',*,*,#20973,.T.); +#20997=ORIENTED_EDGE('',*,*,#20336,.F.); +#20999=ORIENTED_EDGE('',*,*,#20998,.T.); +#21000=EDGE_LOOP('',(#20995,#20996,#20997,#20999)); +#21001=FACE_OUTER_BOUND('',#21000,.F.); +#21003=CARTESIAN_POINT('',(3.845E1,-8.050000000001E0,-2.2E1)); +#21004=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21005=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21006=AXIS2_PLACEMENT_3D('',#21003,#21004,#21005); +#21007=PLANE('',#21006); +#21008=ORIENTED_EDGE('',*,*,#17792,.F.); +#21009=ORIENTED_EDGE('',*,*,#20998,.F.); +#21010=ORIENTED_EDGE('',*,*,#20334,.T.); +#21011=ORIENTED_EDGE('',*,*,#20609,.T.); +#21013=ORIENTED_EDGE('',*,*,#21012,.F.); +#21014=EDGE_LOOP('',(#21008,#21009,#21010,#21011,#21013)); +#21015=FACE_OUTER_BOUND('',#21014,.F.); +#21017=CARTESIAN_POINT('',(3.679687364248E1,-9.050000000001E0,-2.2E1)); +#21018=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21019=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21020=AXIS2_PLACEMENT_3D('',#21017,#21018,#21019); +#21021=CYLINDRICAL_SURFACE('',#21020,1.E0); +#21022=ORIENTED_EDGE('',*,*,#17794,.T.); +#21023=ORIENTED_EDGE('',*,*,#21012,.T.); +#21024=ORIENTED_EDGE('',*,*,#20607,.T.); +#21026=ORIENTED_EDGE('',*,*,#21025,.T.); +#21027=EDGE_LOOP('',(#21022,#21023,#21024,#21026)); +#21028=FACE_OUTER_BOUND('',#21027,.F.); +#21030=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.2E1)); +#21031=DIRECTION('',(0.E0,0.E0,1.E0)); +#21032=DIRECTION('',(1.E0,0.E0,0.E0)); +#21033=AXIS2_PLACEMENT_3D('',#21030,#21031,#21032); +#21034=CYLINDRICAL_SURFACE('',#21033,3.E0); +#21036=ORIENTED_EDGE('',*,*,#21035,.T.); +#21037=ORIENTED_EDGE('',*,*,#20392,.F.); +#21038=ORIENTED_EDGE('',*,*,#17796,.T.); +#21039=ORIENTED_EDGE('',*,*,#21025,.F.); +#21040=ORIENTED_EDGE('',*,*,#20605,.T.); +#21041=ORIENTED_EDGE('',*,*,#20386,.T.); +#21042=EDGE_LOOP('',(#21036,#21037,#21038,#21039,#21040,#21041)); +#21043=FACE_OUTER_BOUND('',#21042,.F.); +#21045=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-3.375E1)); +#21046=DIRECTION('',(0.E0,0.E0,1.E0)); +#21047=DIRECTION('',(9.978492724183E-1,6.555020621099E-2,0.E0)); +#21048=AXIS2_PLACEMENT_3D('',#21045,#21046,#21047); +#21049=TOROIDAL_SURFACE('',#21048,2.5E0,5.E-1); +#21050=ORIENTED_EDGE('',*,*,#20447,.T.); +#21051=ORIENTED_EDGE('',*,*,#20407,.T.); +#21052=ORIENTED_EDGE('',*,*,#21035,.F.); +#21053=ORIENTED_EDGE('',*,*,#20404,.F.); +#21054=EDGE_LOOP('',(#21050,#21051,#21052,#21053)); +#21055=FACE_OUTER_BOUND('',#21054,.F.); +#21057=CARTESIAN_POINT('',(-3.679687364248E1,-8.050000000001E0,-2.2E1)); +#21058=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21059=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21060=AXIS2_PLACEMENT_3D('',#21057,#21058,#21059); +#21061=PLANE('',#21060); +#21062=ORIENTED_EDGE('',*,*,#20880,.T.); +#21063=ORIENTED_EDGE('',*,*,#20905,.F.); +#21064=ORIENTED_EDGE('',*,*,#17780,.T.); +#21066=ORIENTED_EDGE('',*,*,#21065,.T.); +#21067=ORIENTED_EDGE('',*,*,#20591,.T.); +#21068=EDGE_LOOP('',(#21062,#21063,#21064,#21066,#21067)); +#21069=FACE_OUTER_BOUND('',#21068,.F.); +#21071=CARTESIAN_POINT('',(-3.679687364248E1,-9.050000000001E0,-2.2E1)); +#21072=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21073=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21074=AXIS2_PLACEMENT_3D('',#21071,#21072,#21073); +#21075=CYLINDRICAL_SURFACE('',#21074,1.E0); +#21076=ORIENTED_EDGE('',*,*,#17778,.T.); +#21077=ORIENTED_EDGE('',*,*,#20526,.F.); +#21078=ORIENTED_EDGE('',*,*,#20593,.T.); +#21079=ORIENTED_EDGE('',*,*,#21065,.F.); +#21080=EDGE_LOOP('',(#21076,#21077,#21078,#21079)); +#21081=FACE_OUTER_BOUND('',#21080,.F.); +#21083=CARTESIAN_POINT('',(-3.845E1,-6.550000000001E0,-2.2E1)); +#21084=DIRECTION('',(0.E0,1.E0,0.E0)); +#21085=DIRECTION('',(1.E0,0.E0,0.E0)); +#21086=AXIS2_PLACEMENT_3D('',#21083,#21084,#21085); +#21087=PLANE('',#21086); +#21088=ORIENTED_EDGE('',*,*,#17770,.F.); +#21090=ORIENTED_EDGE('',*,*,#21089,.F.); +#21091=ORIENTED_EDGE('',*,*,#20883,.T.); +#21092=ORIENTED_EDGE('',*,*,#20587,.T.); +#21094=ORIENTED_EDGE('',*,*,#21093,.F.); +#21095=EDGE_LOOP('',(#21088,#21090,#21091,#21092,#21094)); +#21096=FACE_OUTER_BOUND('',#21095,.F.); +#21098=CARTESIAN_POINT('',(-3.795E1,-5.789556E1,-2.15E1)); +#21099=DIRECTION('',(0.E0,1.E0,0.E0)); +#21100=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21101=AXIS2_PLACEMENT_3D('',#21098,#21099,#21100); +#21102=CYLINDRICAL_SURFACE('',#21101,5.E-1); +#21103=ORIENTED_EDGE('',*,*,#20885,.F.); +#21104=ORIENTED_EDGE('',*,*,#21089,.T.); +#21105=ORIENTED_EDGE('',*,*,#17768,.T.); +#21106=ORIENTED_EDGE('',*,*,#20865,.T.); +#21107=EDGE_LOOP('',(#21103,#21104,#21105,#21106)); +#21108=FACE_OUTER_BOUND('',#21107,.F.); +#21110=CARTESIAN_POINT('',(-3.679687364248E1,-5.550000000001E0,-2.2E1)); +#21111=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21112=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21113=AXIS2_PLACEMENT_3D('',#21110,#21111,#21112); +#21114=CYLINDRICAL_SURFACE('',#21113,1.E0); +#21115=ORIENTED_EDGE('',*,*,#17772,.T.); +#21116=ORIENTED_EDGE('',*,*,#21093,.T.); +#21117=ORIENTED_EDGE('',*,*,#20585,.T.); +#21118=ORIENTED_EDGE('',*,*,#20508,.T.); +#21119=EDGE_LOOP('',(#21115,#21116,#21117,#21118)); +#21120=FACE_OUTER_BOUND('',#21119,.F.); +#21122=CARTESIAN_POINT('',(-3.529687364248E1,1.255E1,-2.2E1)); +#21123=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21124=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21125=AXIS2_PLACEMENT_3D('',#21122,#21123,#21124); +#21126=CYLINDRICAL_SURFACE('',#21125,1.E0); +#21127=ORIENTED_EDGE('',*,*,#17758,.T.); +#21129=ORIENTED_EDGE('',*,*,#21128,.T.); +#21130=ORIENTED_EDGE('',*,*,#20563,.T.); +#21131=ORIENTED_EDGE('',*,*,#20795,.T.); +#21132=EDGE_LOOP('',(#21127,#21129,#21130,#21131)); +#21133=FACE_OUTER_BOUND('',#21132,.F.); +#21135=CARTESIAN_POINT('',(-3.842493718553E1,1.155E1,-2.2E1)); +#21136=DIRECTION('',(0.E0,1.E0,0.E0)); +#21137=DIRECTION('',(1.E0,0.E0,0.E0)); +#21138=AXIS2_PLACEMENT_3D('',#21135,#21136,#21137); +#21139=PLANE('',#21138); +#21140=ORIENTED_EDGE('',*,*,#17756,.F.); +#21141=ORIENTED_EDGE('',*,*,#17727,.F.); +#21142=ORIENTED_EDGE('',*,*,#17712,.T.); +#21143=ORIENTED_EDGE('',*,*,#20565,.T.); +#21144=ORIENTED_EDGE('',*,*,#21128,.F.); +#21145=EDGE_LOOP('',(#21140,#21141,#21142,#21143,#21144)); +#21146=FACE_OUTER_BOUND('',#21145,.F.); +#21148=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-3.425E1)); +#21149=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21150=DIRECTION('',(1.E0,0.E0,0.E0)); +#21151=AXIS2_PLACEMENT_3D('',#21148,#21149,#21150); +#21152=CYLINDRICAL_SURFACE('',#21151,7.5E-1); +#21153=ORIENTED_EDGE('',*,*,#20452,.F.); +#21155=ORIENTED_EDGE('',*,*,#21154,.T.); +#21157=ORIENTED_EDGE('',*,*,#21156,.T.); +#21159=ORIENTED_EDGE('',*,*,#21158,.F.); +#21160=EDGE_LOOP('',(#21153,#21155,#21157,#21159)); +#21161=FACE_OUTER_BOUND('',#21160,.F.); +#21163=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-3.425E1)); +#21164=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21165=DIRECTION('',(1.E0,0.E0,0.E0)); +#21166=AXIS2_PLACEMENT_3D('',#21163,#21164,#21165); +#21167=CYLINDRICAL_SURFACE('',#21166,7.5E-1); +#21168=ORIENTED_EDGE('',*,*,#20454,.F.); +#21169=ORIENTED_EDGE('',*,*,#21158,.T.); +#21171=ORIENTED_EDGE('',*,*,#21170,.T.); +#21172=ORIENTED_EDGE('',*,*,#21154,.F.); +#21173=EDGE_LOOP('',(#21168,#21169,#21171,#21172)); +#21174=FACE_OUTER_BOUND('',#21173,.F.); +#21176=CARTESIAN_POINT('',(0.E0,0.E0,-3.625E1)); +#21177=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21178=DIRECTION('',(1.E0,0.E0,0.E0)); +#21179=AXIS2_PLACEMENT_3D('',#21176,#21177,#21178); +#21180=PLANE('',#21179); +#21181=ORIENTED_EDGE('',*,*,#21156,.F.); +#21182=ORIENTED_EDGE('',*,*,#21170,.F.); +#21183=EDGE_LOOP('',(#21181,#21182)); +#21184=FACE_OUTER_BOUND('',#21183,.F.); +#21186=CARTESIAN_POINT('',(0.E0,0.E0,-3.625E1)); +#21187=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21188=DIRECTION('',(1.E0,0.E0,0.E0)); +#21189=AXIS2_PLACEMENT_3D('',#21186,#21187,#21188); +#21190=PLANE('',#21189); +#21192=ORIENTED_EDGE('',*,*,#21191,.F.); +#21194=ORIENTED_EDGE('',*,*,#21193,.F.); +#21195=EDGE_LOOP('',(#21192,#21194)); +#21196=FACE_OUTER_BOUND('',#21195,.F.); +#21198=CARTESIAN_POINT('',(-3.17E1,1.08E1,-3.425E1)); +#21199=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21200=DIRECTION('',(1.E0,0.E0,0.E0)); +#21201=AXIS2_PLACEMENT_3D('',#21198,#21199,#21200); +#21202=CYLINDRICAL_SURFACE('',#21201,7.5E-1); +#21203=ORIENTED_EDGE('',*,*,#20435,.F.); +#21205=ORIENTED_EDGE('',*,*,#21204,.T.); +#21206=ORIENTED_EDGE('',*,*,#21191,.T.); +#21208=ORIENTED_EDGE('',*,*,#21207,.F.); +#21209=EDGE_LOOP('',(#21203,#21205,#21206,#21208)); +#21210=FACE_OUTER_BOUND('',#21209,.F.); +#21212=CARTESIAN_POINT('',(-3.17E1,1.08E1,-3.425E1)); +#21213=DIRECTION('',(0.E0,0.E0,-1.E0)); +#21214=DIRECTION('',(1.E0,0.E0,0.E0)); +#21215=AXIS2_PLACEMENT_3D('',#21212,#21213,#21214); +#21216=CYLINDRICAL_SURFACE('',#21215,7.5E-1); +#21217=ORIENTED_EDGE('',*,*,#20437,.F.); +#21218=ORIENTED_EDGE('',*,*,#21207,.T.); +#21219=ORIENTED_EDGE('',*,*,#21193,.T.); +#21220=ORIENTED_EDGE('',*,*,#21204,.F.); +#21221=EDGE_LOOP('',(#21217,#21218,#21219,#21220)); +#21222=FACE_OUTER_BOUND('',#21221,.F.); +#21224=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#21225=DIRECTION('',(0.E0,0.E0,1.E0)); +#21226=DIRECTION('',(1.E0,0.E0,0.E0)); +#21227=AXIS2_PLACEMENT_3D('',#21224,#21225,#21226); +#21228=CYLINDRICAL_SURFACE('',#21227,3.25E0); +#21229=ORIENTED_EDGE('',*,*,#17631,.F.); +#21231=ORIENTED_EDGE('',*,*,#21230,.T.); +#21232=ORIENTED_EDGE('',*,*,#17300,.T.); +#21234=ORIENTED_EDGE('',*,*,#21233,.F.); +#21235=EDGE_LOOP('',(#21229,#21231,#21232,#21234)); +#21236=FACE_OUTER_BOUND('',#21235,.F.); +#21238=CARTESIAN_POINT('',(3.07E1,6.669322089535E0,-2.E1)); +#21239=DIRECTION('',(0.E0,0.E0,1.E0)); +#21240=DIRECTION('',(1.E0,0.E0,0.E0)); +#21241=AXIS2_PLACEMENT_3D('',#21238,#21239,#21240); +#21242=CYLINDRICAL_SURFACE('',#21241,1.E0); +#21243=ORIENTED_EDGE('',*,*,#17693,.T.); +#21245=ORIENTED_EDGE('',*,*,#21244,.F.); +#21246=ORIENTED_EDGE('',*,*,#17173,.T.); +#21247=ORIENTED_EDGE('',*,*,#17302,.T.); +#21248=ORIENTED_EDGE('',*,*,#21230,.F.); +#21249=EDGE_LOOP('',(#21243,#21245,#21246,#21247,#21248)); +#21250=FACE_OUTER_BOUND('',#21249,.F.); +#21252=CARTESIAN_POINT('',(2.35E1,5.6E0,-1.95E1)); +#21253=DIRECTION('',(0.E0,0.E0,1.E0)); +#21254=DIRECTION('',(-2.061272718600E-2,9.997875351683E-1,0.E0)); +#21255=AXIS2_PLACEMENT_3D('',#21252,#21253,#21254); +#21256=TOROIDAL_SURFACE('',#21255,6.7E0,5.E-1); +#21257=ORIENTED_EDGE('',*,*,#16916,.T.); +#21259=ORIENTED_EDGE('',*,*,#21258,.T.); +#21260=ORIENTED_EDGE('',*,*,#17640,.T.); +#21261=EDGE_LOOP('',(#21257,#21259,#21260)); +#21262=FACE_OUTER_BOUND('',#21261,.F.); +#21264=CARTESIAN_POINT('',(2.35E1,5.6E0,-1.95E1)); +#21265=DIRECTION('',(0.E0,0.E0,1.E0)); +#21266=DIRECTION('',(-2.061272718600E-2,9.997875351683E-1,0.E0)); +#21267=AXIS2_PLACEMENT_3D('',#21264,#21265,#21266); +#21268=TOROIDAL_SURFACE('',#21267,6.7E0,5.E-1); +#21269=ORIENTED_EDGE('',*,*,#17175,.T.); +#21270=ORIENTED_EDGE('',*,*,#21244,.T.); +#21271=ORIENTED_EDGE('',*,*,#17691,.T.); +#21273=ORIENTED_EDGE('',*,*,#21272,.F.); +#21274=EDGE_LOOP('',(#21269,#21270,#21271,#21273)); +#21275=FACE_OUTER_BOUND('',#21274,.F.); +#21277=CARTESIAN_POINT('',(-2.362780796025E1,1.23E1,-1.95E1)); +#21278=DIRECTION('',(1.E0,0.E0,0.E0)); +#21279=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21280=AXIS2_PLACEMENT_3D('',#21277,#21278,#21279); +#21281=CYLINDRICAL_SURFACE('',#21280,5.E-1); +#21282=ORIENTED_EDGE('',*,*,#16914,.F.); +#21284=ORIENTED_EDGE('',*,*,#21283,.T.); +#21285=ORIENTED_EDGE('',*,*,#17642,.T.); +#21286=ORIENTED_EDGE('',*,*,#21258,.F.); +#21287=EDGE_LOOP('',(#21282,#21284,#21285,#21286)); +#21288=FACE_OUTER_BOUND('',#21287,.F.); +#21290=CARTESIAN_POINT('',(-2.35E1,5.6E0,-1.95E1)); +#21291=DIRECTION('',(0.E0,0.E0,1.E0)); +#21292=DIRECTION('',(-9.997875351683E-1,-2.061272718600E-2,0.E0)); +#21293=AXIS2_PLACEMENT_3D('',#21290,#21291,#21292); +#21294=TOROIDAL_SURFACE('',#21293,6.7E0,5.E-1); +#21295=ORIENTED_EDGE('',*,*,#17480,.T.); +#21297=ORIENTED_EDGE('',*,*,#21296,.T.); +#21298=ORIENTED_EDGE('',*,*,#17657,.T.); +#21300=ORIENTED_EDGE('',*,*,#21299,.T.); +#21301=EDGE_LOOP('',(#21295,#21297,#21298,#21300)); +#21302=FACE_OUTER_BOUND('',#21301,.F.); +#21304=CARTESIAN_POINT('',(-2.35E1,5.6E0,-1.95E1)); +#21305=DIRECTION('',(0.E0,0.E0,1.E0)); +#21306=DIRECTION('',(-9.997875351683E-1,-2.061272718600E-2,0.E0)); +#21307=AXIS2_PLACEMENT_3D('',#21304,#21305,#21306); +#21308=TOROIDAL_SURFACE('',#21307,6.7E0,5.E-1); +#21309=ORIENTED_EDGE('',*,*,#16912,.T.); +#21310=ORIENTED_EDGE('',*,*,#17644,.T.); +#21311=ORIENTED_EDGE('',*,*,#21283,.F.); +#21312=EDGE_LOOP('',(#21309,#21310,#21311)); +#21313=FACE_OUTER_BOUND('',#21312,.F.); +#21315=CARTESIAN_POINT('',(-3.02E1,-5.727807960247E0,-1.95E1)); +#21316=DIRECTION('',(0.E0,1.E0,0.E0)); +#21317=DIRECTION('',(1.E0,0.E0,0.E0)); +#21318=AXIS2_PLACEMENT_3D('',#21315,#21316,#21317); +#21319=CYLINDRICAL_SURFACE('',#21318,5.E-1); +#21321=ORIENTED_EDGE('',*,*,#21320,.T.); +#21322=ORIENTED_EDGE('',*,*,#17659,.T.); +#21323=ORIENTED_EDGE('',*,*,#21296,.F.); +#21324=ORIENTED_EDGE('',*,*,#17478,.F.); +#21325=EDGE_LOOP('',(#21321,#21322,#21323,#21324)); +#21326=FACE_OUTER_BOUND('',#21325,.F.); +#21328=CARTESIAN_POINT('',(-3.07E1,-3.050000000001E0,-2.E1)); +#21329=DIRECTION('',(0.E0,0.E0,1.E0)); +#21330=DIRECTION('',(1.E0,0.E0,0.E0)); +#21331=AXIS2_PLACEMENT_3D('',#21328,#21329,#21330); +#21332=CYLINDRICAL_SURFACE('',#21331,1.E0); +#21333=ORIENTED_EDGE('',*,*,#17476,.T.); +#21334=ORIENTED_EDGE('',*,*,#17244,.T.); +#21336=ORIENTED_EDGE('',*,*,#21335,.F.); +#21337=ORIENTED_EDGE('',*,*,#17661,.T.); +#21338=ORIENTED_EDGE('',*,*,#21320,.F.); +#21339=EDGE_LOOP('',(#21333,#21334,#21336,#21337,#21338)); +#21340=FACE_OUTER_BOUND('',#21339,.F.); +#21342=CARTESIAN_POINT('',(-3.07E1,-4.050000000001E0,-2.E1)); +#21343=DIRECTION('',(0.E0,1.E0,0.E0)); +#21344=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21345=AXIS2_PLACEMENT_3D('',#21342,#21343,#21344); +#21346=PLANE('',#21345); +#21347=ORIENTED_EDGE('',*,*,#17663,.F.); +#21348=ORIENTED_EDGE('',*,*,#21335,.T.); +#21349=ORIENTED_EDGE('',*,*,#17242,.T.); +#21351=ORIENTED_EDGE('',*,*,#21350,.F.); +#21352=EDGE_LOOP('',(#21347,#21348,#21349,#21351)); +#21353=FACE_OUTER_BOUND('',#21352,.F.); +#21355=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.E1)); +#21356=DIRECTION('',(0.E0,0.E0,1.E0)); +#21357=DIRECTION('',(1.E0,0.E0,0.E0)); +#21358=AXIS2_PLACEMENT_3D('',#21355,#21356,#21357); +#21359=CYLINDRICAL_SURFACE('',#21358,3.25E0); +#21360=ORIENTED_EDGE('',*,*,#17665,.F.); +#21361=ORIENTED_EDGE('',*,*,#21350,.T.); +#21362=ORIENTED_EDGE('',*,*,#17240,.T.); +#21364=ORIENTED_EDGE('',*,*,#21363,.F.); +#21365=EDGE_LOOP('',(#21360,#21361,#21362,#21364)); +#21366=FACE_OUTER_BOUND('',#21365,.F.); +#21368=CARTESIAN_POINT('',(-3.545E1,-4.954792120089E0,-2.E1)); +#21369=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21370=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21371=AXIS2_PLACEMENT_3D('',#21368,#21369,#21370); +#21372=PLANE('',#21371); +#21373=ORIENTED_EDGE('',*,*,#17667,.F.); +#21374=ORIENTED_EDGE('',*,*,#21363,.T.); +#21375=ORIENTED_EDGE('',*,*,#17238,.T.); +#21377=ORIENTED_EDGE('',*,*,#21376,.F.); +#21378=EDGE_LOOP('',(#21373,#21374,#21375,#21377)); +#21379=FACE_OUTER_BOUND('',#21378,.F.); +#21381=CARTESIAN_POINT('',(-3.32E1,-7.300000000001E0,-2.E1)); +#21382=DIRECTION('',(0.E0,0.E0,1.E0)); +#21383=DIRECTION('',(1.E0,0.E0,0.E0)); +#21384=AXIS2_PLACEMENT_3D('',#21381,#21382,#21383); +#21385=CYLINDRICAL_SURFACE('',#21384,3.25E0); +#21386=ORIENTED_EDGE('',*,*,#17669,.F.); +#21387=ORIENTED_EDGE('',*,*,#21376,.T.); +#21388=ORIENTED_EDGE('',*,*,#17236,.T.); +#21390=ORIENTED_EDGE('',*,*,#21389,.F.); +#21391=EDGE_LOOP('',(#21386,#21387,#21388,#21390)); +#21392=FACE_OUTER_BOUND('',#21391,.F.); +#21394=CARTESIAN_POINT('',(-3.32E1,-1.055E1,-2.E1)); +#21395=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21396=DIRECTION('',(1.E0,0.E0,0.E0)); +#21397=AXIS2_PLACEMENT_3D('',#21394,#21395,#21396); +#21398=PLANE('',#21397); +#21399=ORIENTED_EDGE('',*,*,#17499,.F.); +#21401=ORIENTED_EDGE('',*,*,#21400,.F.); +#21402=ORIENTED_EDGE('',*,*,#17671,.F.); +#21403=ORIENTED_EDGE('',*,*,#21389,.T.); +#21404=ORIENTED_EDGE('',*,*,#17234,.T.); +#21405=EDGE_LOOP('',(#21399,#21401,#21402,#21403,#21404)); +#21406=FACE_OUTER_BOUND('',#21405,.F.); +#21408=CARTESIAN_POINT('',(-2.35E1,-5.6E0,-1.95E1)); +#21409=DIRECTION('',(0.E0,0.E0,1.E0)); +#21410=DIRECTION('',(2.061272718600E-2,-9.997875351683E-1,0.E0)); +#21411=AXIS2_PLACEMENT_3D('',#21408,#21409,#21410); +#21412=TOROIDAL_SURFACE('',#21411,6.7E0,5.E-1); +#21413=ORIENTED_EDGE('',*,*,#21400,.T.); +#21414=ORIENTED_EDGE('',*,*,#17497,.F.); +#21416=ORIENTED_EDGE('',*,*,#21415,.T.); +#21417=ORIENTED_EDGE('',*,*,#17673,.T.); +#21418=EDGE_LOOP('',(#21413,#21414,#21416,#21417)); +#21419=FACE_OUTER_BOUND('',#21418,.F.); +#21421=CARTESIAN_POINT('',(2.362780796025E1,-1.23E1,-1.95E1)); +#21422=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21423=DIRECTION('',(0.E0,1.E0,0.E0)); +#21424=AXIS2_PLACEMENT_3D('',#21421,#21422,#21423); +#21425=CYLINDRICAL_SURFACE('',#21424,5.E-1); +#21426=ORIENTED_EDGE('',*,*,#17514,.F.); +#21428=ORIENTED_EDGE('',*,*,#21427,.T.); +#21429=ORIENTED_EDGE('',*,*,#17675,.T.); +#21430=ORIENTED_EDGE('',*,*,#21415,.F.); +#21431=EDGE_LOOP('',(#21426,#21428,#21429,#21430)); +#21432=FACE_OUTER_BOUND('',#21431,.F.); +#21434=CARTESIAN_POINT('',(2.35E1,-5.6E0,-1.95E1)); +#21435=DIRECTION('',(0.E0,0.E0,1.E0)); +#21436=DIRECTION('',(9.997875351683E-1,2.061272718600E-2,0.E0)); +#21437=AXIS2_PLACEMENT_3D('',#21434,#21435,#21436); +#21438=TOROIDAL_SURFACE('',#21437,6.7E0,5.E-1); +#21439=ORIENTED_EDGE('',*,*,#17547,.T.); +#21440=ORIENTED_EDGE('',*,*,#17677,.T.); +#21441=ORIENTED_EDGE('',*,*,#21427,.F.); +#21442=ORIENTED_EDGE('',*,*,#17530,.F.); +#21443=EDGE_LOOP('',(#21439,#21440,#21441,#21442)); +#21444=FACE_OUTER_BOUND('',#21443,.F.); +#21446=CARTESIAN_POINT('',(-3.07E1,6.669322089535E0,-2.E1)); +#21447=DIRECTION('',(0.E0,0.E0,1.E0)); +#21448=DIRECTION('',(1.E0,0.E0,0.E0)); +#21449=AXIS2_PLACEMENT_3D('',#21446,#21447,#21448); +#21450=CYLINDRICAL_SURFACE('',#21449,1.E0); +#21451=ORIENTED_EDGE('',*,*,#17655,.T.); +#21453=ORIENTED_EDGE('',*,*,#21452,.T.); +#21454=ORIENTED_EDGE('',*,*,#17208,.T.); +#21455=ORIENTED_EDGE('',*,*,#17482,.F.); +#21456=ORIENTED_EDGE('',*,*,#21299,.F.); +#21457=EDGE_LOOP('',(#21451,#21453,#21454,#21455,#21456)); +#21458=FACE_OUTER_BOUND('',#21457,.F.); +#21460=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#21461=DIRECTION('',(0.E0,0.E0,1.E0)); +#21462=DIRECTION('',(1.E0,0.E0,0.E0)); +#21463=AXIS2_PLACEMENT_3D('',#21460,#21461,#21462); +#21464=CYLINDRICAL_SURFACE('',#21463,3.25E0); +#21465=ORIENTED_EDGE('',*,*,#17653,.F.); +#21467=ORIENTED_EDGE('',*,*,#21466,.T.); +#21468=ORIENTED_EDGE('',*,*,#17210,.T.); +#21469=ORIENTED_EDGE('',*,*,#21452,.F.); +#21470=EDGE_LOOP('',(#21465,#21467,#21468,#21469)); +#21471=FACE_OUTER_BOUND('',#21470,.F.); +#21473=CARTESIAN_POINT('',(-2.935479212009E1,1.305E1,-2.E1)); +#21474=DIRECTION('',(0.E0,1.E0,0.E0)); +#21475=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21476=AXIS2_PLACEMENT_3D('',#21473,#21474,#21475); +#21477=PLANE('',#21476); +#21478=ORIENTED_EDGE('',*,*,#17651,.F.); +#21480=ORIENTED_EDGE('',*,*,#21479,.T.); +#21481=ORIENTED_EDGE('',*,*,#17212,.T.); +#21482=ORIENTED_EDGE('',*,*,#21466,.F.); +#21483=EDGE_LOOP('',(#21478,#21480,#21481,#21482)); +#21484=FACE_OUTER_BOUND('',#21483,.F.); +#21486=CARTESIAN_POINT('',(-3.17E1,1.08E1,-2.E1)); +#21487=DIRECTION('',(0.E0,0.E0,1.E0)); +#21488=DIRECTION('',(1.E0,0.E0,0.E0)); +#21489=AXIS2_PLACEMENT_3D('',#21486,#21487,#21488); +#21490=CYLINDRICAL_SURFACE('',#21489,3.25E0); +#21491=ORIENTED_EDGE('',*,*,#17649,.F.); +#21493=ORIENTED_EDGE('',*,*,#21492,.T.); +#21494=ORIENTED_EDGE('',*,*,#17214,.T.); +#21495=ORIENTED_EDGE('',*,*,#21479,.F.); +#21496=EDGE_LOOP('',(#21491,#21493,#21494,#21495)); +#21497=FACE_OUTER_BOUND('',#21496,.F.); +#21499=CARTESIAN_POINT('',(-2.795E1,1.28E1,-2.E1)); +#21500=DIRECTION('',(0.E0,0.E0,1.E0)); +#21501=DIRECTION('',(1.E0,0.E0,0.E0)); +#21502=AXIS2_PLACEMENT_3D('',#21499,#21500,#21501); +#21503=CYLINDRICAL_SURFACE('',#21502,1.E0); +#21504=ORIENTED_EDGE('',*,*,#17647,.T.); +#21505=ORIENTED_EDGE('',*,*,#16908,.T.); +#21506=ORIENTED_EDGE('',*,*,#17216,.T.); +#21507=ORIENTED_EDGE('',*,*,#21492,.F.); +#21508=EDGE_LOOP('',(#21504,#21505,#21506,#21507)); +#21509=FACE_OUTER_BOUND('',#21508,.F.); +#21511=CARTESIAN_POINT('',(3.02E1,5.727807960247E0,-1.95E1)); +#21512=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21513=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21514=AXIS2_PLACEMENT_3D('',#21511,#21512,#21513); +#21515=CYLINDRICAL_SURFACE('',#21514,5.E-1); +#21517=ORIENTED_EDGE('',*,*,#21516,.T.); +#21518=ORIENTED_EDGE('',*,*,#17177,.F.); +#21519=ORIENTED_EDGE('',*,*,#21272,.T.); +#21520=ORIENTED_EDGE('',*,*,#17689,.T.); +#21521=EDGE_LOOP('',(#21517,#21518,#21519,#21520)); +#21522=FACE_OUTER_BOUND('',#21521,.F.); +#21524=CARTESIAN_POINT('',(3.07E1,-3.050000000001E0,-2.E1)); +#21525=DIRECTION('',(0.E0,0.E0,1.E0)); +#21526=DIRECTION('',(1.E0,0.E0,0.E0)); +#21527=AXIS2_PLACEMENT_3D('',#21524,#21525,#21526); +#21528=CYLINDRICAL_SURFACE('',#21527,1.E0); +#21529=ORIENTED_EDGE('',*,*,#17179,.F.); +#21530=ORIENTED_EDGE('',*,*,#21516,.F.); +#21531=ORIENTED_EDGE('',*,*,#17687,.T.); +#21533=ORIENTED_EDGE('',*,*,#21532,.T.); +#21534=ORIENTED_EDGE('',*,*,#17264,.T.); +#21535=EDGE_LOOP('',(#21529,#21530,#21531,#21533,#21534)); +#21536=FACE_OUTER_BOUND('',#21535,.F.); +#21538=CARTESIAN_POINT('',(3.32E1,-4.050000000001E0,-2.E1)); +#21539=DIRECTION('',(0.E0,1.E0,0.E0)); +#21540=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21541=AXIS2_PLACEMENT_3D('',#21538,#21539,#21540); +#21542=PLANE('',#21541); +#21543=ORIENTED_EDGE('',*,*,#17685,.F.); +#21545=ORIENTED_EDGE('',*,*,#21544,.T.); +#21546=ORIENTED_EDGE('',*,*,#17266,.T.); +#21547=ORIENTED_EDGE('',*,*,#21532,.F.); +#21548=EDGE_LOOP('',(#21543,#21545,#21546,#21547)); +#21549=FACE_OUTER_BOUND('',#21548,.F.); +#21551=CARTESIAN_POINT('',(3.32E1,-7.300000000001E0,-2.E1)); +#21552=DIRECTION('',(0.E0,0.E0,1.E0)); +#21553=DIRECTION('',(1.E0,0.E0,0.E0)); +#21554=AXIS2_PLACEMENT_3D('',#21551,#21552,#21553); +#21555=CYLINDRICAL_SURFACE('',#21554,3.25E0); +#21556=ORIENTED_EDGE('',*,*,#17683,.F.); +#21558=ORIENTED_EDGE('',*,*,#21557,.T.); +#21559=ORIENTED_EDGE('',*,*,#17268,.T.); +#21560=ORIENTED_EDGE('',*,*,#21544,.F.); +#21561=EDGE_LOOP('',(#21556,#21558,#21559,#21560)); +#21562=FACE_OUTER_BOUND('',#21561,.F.); +#21564=CARTESIAN_POINT('',(3.545E1,-9.645207879912E0,-2.E1)); +#21565=DIRECTION('',(1.E0,0.E0,0.E0)); +#21566=DIRECTION('',(0.E0,1.E0,0.E0)); +#21567=AXIS2_PLACEMENT_3D('',#21564,#21565,#21566); +#21568=PLANE('',#21567); +#21569=ORIENTED_EDGE('',*,*,#17681,.F.); +#21570=ORIENTED_EDGE('',*,*,#17561,.T.); +#21571=ORIENTED_EDGE('',*,*,#17270,.T.); +#21572=ORIENTED_EDGE('',*,*,#21557,.F.); +#21573=EDGE_LOOP('',(#21569,#21570,#21571,#21572)); +#21574=FACE_OUTER_BOUND('',#21573,.F.); +#21576=CARTESIAN_POINT('',(3.404520787991E1,1.305E1,-2.E1)); +#21577=DIRECTION('',(0.E0,1.E0,0.E0)); +#21578=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21579=AXIS2_PLACEMENT_3D('',#21576,#21577,#21578); +#21580=PLANE('',#21579); +#21581=ORIENTED_EDGE('',*,*,#17633,.F.); +#21582=ORIENTED_EDGE('',*,*,#21233,.T.); +#21583=ORIENTED_EDGE('',*,*,#17298,.T.); +#21585=ORIENTED_EDGE('',*,*,#21584,.F.); +#21586=EDGE_LOOP('',(#21581,#21582,#21583,#21585)); +#21587=FACE_OUTER_BOUND('',#21586,.F.); +#21589=CARTESIAN_POINT('',(3.17E1,1.08E1,-2.E1)); +#21590=DIRECTION('',(0.E0,0.E0,1.E0)); +#21591=DIRECTION('',(1.E0,0.E0,0.E0)); +#21592=AXIS2_PLACEMENT_3D('',#21589,#21590,#21591); +#21593=CYLINDRICAL_SURFACE('',#21592,3.25E0); +#21594=ORIENTED_EDGE('',*,*,#17635,.F.); +#21595=ORIENTED_EDGE('',*,*,#21584,.T.); +#21596=ORIENTED_EDGE('',*,*,#17296,.T.); +#21598=ORIENTED_EDGE('',*,*,#21597,.F.); +#21599=EDGE_LOOP('',(#21594,#21595,#21596,#21598)); +#21600=FACE_OUTER_BOUND('',#21599,.F.); +#21602=CARTESIAN_POINT('',(2.795E1,1.28E1,-2.E1)); +#21603=DIRECTION('',(0.E0,0.E0,1.E0)); +#21604=DIRECTION('',(1.E0,0.E0,0.E0)); +#21605=AXIS2_PLACEMENT_3D('',#21602,#21603,#21604); +#21606=CYLINDRICAL_SURFACE('',#21605,1.E0); +#21607=ORIENTED_EDGE('',*,*,#17637,.T.); +#21608=ORIENTED_EDGE('',*,*,#21597,.T.); +#21609=ORIENTED_EDGE('',*,*,#17294,.T.); +#21610=ORIENTED_EDGE('',*,*,#16920,.F.); +#21611=EDGE_LOOP('',(#21607,#21608,#21609,#21610)); +#21612=FACE_OUTER_BOUND('',#21611,.F.); +#21614=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.E1)); +#21615=DIRECTION('',(0.E0,0.E0,1.E0)); +#21616=DIRECTION('',(1.E0,0.E0,0.E0)); +#21617=AXIS2_PLACEMENT_3D('',#21614,#21615,#21616); +#21618=CYLINDRICAL_SURFACE('',#21617,2.3E0); +#21620=ORIENTED_EDGE('',*,*,#21619,.T.); +#21622=ORIENTED_EDGE('',*,*,#21621,.F.); +#21623=ORIENTED_EDGE('',*,*,#17613,.F.); +#21625=ORIENTED_EDGE('',*,*,#21624,.T.); +#21626=EDGE_LOOP('',(#21620,#21622,#21623,#21625)); +#21627=FACE_OUTER_BOUND('',#21626,.F.); +#21629=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.9E1)); +#21630=DIRECTION('',(0.E0,0.E0,1.E0)); +#21631=DIRECTION('',(-9.962783316575E-1,-8.619446542400E-2,0.E0)); +#21632=AXIS2_PLACEMENT_3D('',#21629,#21630,#21631); +#21633=TOROIDAL_SURFACE('',#21632,2.8E0,5.E-1); +#21635=ORIENTED_EDGE('',*,*,#21634,.T.); +#21637=ORIENTED_EDGE('',*,*,#21636,.T.); +#21638=ORIENTED_EDGE('',*,*,#21619,.F.); +#21640=ORIENTED_EDGE('',*,*,#21639,.F.); +#21641=EDGE_LOOP('',(#21635,#21637,#21638,#21640)); +#21642=FACE_OUTER_BOUND('',#21641,.F.); +#21644=CARTESIAN_POINT('',(0.E0,0.E0,-1.85E1)); +#21645=DIRECTION('',(0.E0,0.E0,1.E0)); +#21646=DIRECTION('',(1.E0,0.E0,0.E0)); +#21647=AXIS2_PLACEMENT_3D('',#21644,#21645,#21646); +#21648=PLANE('',#21647); +#21650=ORIENTED_EDGE('',*,*,#21649,.F.); +#21652=ORIENTED_EDGE('',*,*,#21651,.F.); +#21654=ORIENTED_EDGE('',*,*,#21653,.F.); +#21656=ORIENTED_EDGE('',*,*,#21655,.F.); +#21658=ORIENTED_EDGE('',*,*,#21657,.F.); +#21660=ORIENTED_EDGE('',*,*,#21659,.F.); +#21662=ORIENTED_EDGE('',*,*,#21661,.F.); +#21664=ORIENTED_EDGE('',*,*,#21663,.F.); +#21665=EDGE_LOOP('',(#21650,#21652,#21654,#21656,#21658,#21660,#21662,#21664)); +#21666=FACE_OUTER_BOUND('',#21665,.F.); +#21667=ORIENTED_EDGE('',*,*,#21634,.F.); +#21669=ORIENTED_EDGE('',*,*,#21668,.F.); +#21671=ORIENTED_EDGE('',*,*,#21670,.F.); +#21673=ORIENTED_EDGE('',*,*,#21672,.F.); +#21675=ORIENTED_EDGE('',*,*,#21674,.F.); +#21677=ORIENTED_EDGE('',*,*,#21676,.F.); +#21679=ORIENTED_EDGE('',*,*,#21678,.F.); +#21681=ORIENTED_EDGE('',*,*,#21680,.F.); +#21682=EDGE_LOOP('',(#21667,#21669,#21671,#21673,#21675,#21677,#21679,#21681)); +#21683=FACE_BOUND('',#21682,.F.); +#21685=CARTESIAN_POINT('',(-3.625E1,-1.118972953127E1,-1.9E1)); +#21686=DIRECTION('',(0.E0,1.E0,0.E0)); +#21687=DIRECTION('',(0.E0,0.E0,1.E0)); +#21688=AXIS2_PLACEMENT_3D('',#21685,#21686,#21687); +#21689=CYLINDRICAL_SURFACE('',#21688,5.E-1); +#21690=ORIENTED_EDGE('',*,*,#21668,.T.); +#21691=ORIENTED_EDGE('',*,*,#21639,.T.); +#21693=ORIENTED_EDGE('',*,*,#21692,.F.); +#21695=ORIENTED_EDGE('',*,*,#21694,.F.); +#21696=EDGE_LOOP('',(#21690,#21691,#21693,#21695)); +#21697=FACE_OUTER_BOUND('',#21696,.F.); +#21699=CARTESIAN_POINT('',(-3.575E1,-1.105E1,-2.E1)); +#21700=DIRECTION('',(1.E0,0.E0,0.E0)); +#21701=DIRECTION('',(0.E0,1.E0,0.E0)); +#21702=AXIS2_PLACEMENT_3D('',#21699,#21700,#21701); +#21703=PLANE('',#21702); +#21704=ORIENTED_EDGE('',*,*,#21692,.T.); +#21705=ORIENTED_EDGE('',*,*,#21624,.F.); +#21706=ORIENTED_EDGE('',*,*,#17627,.F.); +#21708=ORIENTED_EDGE('',*,*,#21707,.T.); +#21709=EDGE_LOOP('',(#21704,#21705,#21706,#21708)); +#21710=FACE_OUTER_BOUND('',#21709,.F.); +#21712=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.E1)); +#21713=DIRECTION('',(0.E0,0.E0,1.E0)); +#21714=DIRECTION('',(1.E0,0.E0,0.E0)); +#21715=AXIS2_PLACEMENT_3D('',#21712,#21713,#21714); +#21716=CYLINDRICAL_SURFACE('',#21715,2.3E0); +#21718=ORIENTED_EDGE('',*,*,#21717,.T.); +#21719=ORIENTED_EDGE('',*,*,#21707,.F.); +#21720=ORIENTED_EDGE('',*,*,#17625,.F.); +#21722=ORIENTED_EDGE('',*,*,#21721,.T.); +#21723=EDGE_LOOP('',(#21718,#21719,#21720,#21722)); +#21724=FACE_OUTER_BOUND('',#21723,.F.); +#21726=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.9E1)); +#21727=DIRECTION('',(0.E0,0.E0,1.E0)); +#21728=DIRECTION('',(8.619446542400E-2,-9.962783316575E-1,0.E0)); +#21729=AXIS2_PLACEMENT_3D('',#21726,#21727,#21728); +#21730=TOROIDAL_SURFACE('',#21729,2.8E0,5.E-1); +#21731=ORIENTED_EDGE('',*,*,#21670,.T.); +#21732=ORIENTED_EDGE('',*,*,#21694,.T.); +#21733=ORIENTED_EDGE('',*,*,#21717,.F.); +#21735=ORIENTED_EDGE('',*,*,#21734,.F.); +#21736=EDGE_LOOP('',(#21731,#21732,#21733,#21735)); +#21737=FACE_OUTER_BOUND('',#21736,.F.); +#21739=CARTESIAN_POINT('',(3.358972953127E1,-1.385E1,-1.9E1)); +#21740=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21741=DIRECTION('',(0.E0,0.E0,1.E0)); +#21742=AXIS2_PLACEMENT_3D('',#21739,#21740,#21741); +#21743=CYLINDRICAL_SURFACE('',#21742,5.E-1); +#21744=ORIENTED_EDGE('',*,*,#21672,.T.); +#21745=ORIENTED_EDGE('',*,*,#21734,.T.); +#21747=ORIENTED_EDGE('',*,*,#21746,.F.); +#21749=ORIENTED_EDGE('',*,*,#21748,.F.); +#21750=EDGE_LOOP('',(#21744,#21745,#21747,#21749)); +#21751=FACE_OUTER_BOUND('',#21750,.F.); +#21753=CARTESIAN_POINT('',(3.345E1,-1.335E1,-2.E1)); +#21754=DIRECTION('',(0.E0,1.E0,0.E0)); +#21755=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21756=AXIS2_PLACEMENT_3D('',#21753,#21754,#21755); +#21757=PLANE('',#21756); +#21758=ORIENTED_EDGE('',*,*,#21746,.T.); +#21759=ORIENTED_EDGE('',*,*,#21721,.F.); +#21760=ORIENTED_EDGE('',*,*,#17623,.F.); +#21762=ORIENTED_EDGE('',*,*,#21761,.T.); +#21763=EDGE_LOOP('',(#21758,#21759,#21760,#21762)); +#21764=FACE_OUTER_BOUND('',#21763,.F.); +#21766=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.E1)); +#21767=DIRECTION('',(0.E0,0.E0,1.E0)); +#21768=DIRECTION('',(1.E0,0.E0,0.E0)); +#21769=AXIS2_PLACEMENT_3D('',#21766,#21767,#21768); +#21770=CYLINDRICAL_SURFACE('',#21769,2.3E0); +#21772=ORIENTED_EDGE('',*,*,#21771,.T.); +#21773=ORIENTED_EDGE('',*,*,#21761,.F.); +#21774=ORIENTED_EDGE('',*,*,#17621,.F.); +#21776=ORIENTED_EDGE('',*,*,#21775,.T.); +#21777=EDGE_LOOP('',(#21772,#21773,#21774,#21776)); +#21778=FACE_OUTER_BOUND('',#21777,.F.); +#21780=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.9E1)); +#21781=DIRECTION('',(0.E0,0.E0,1.E0)); +#21782=DIRECTION('',(9.962783316575E-1,8.619446542400E-2,0.E0)); +#21783=AXIS2_PLACEMENT_3D('',#21780,#21781,#21782); +#21784=TOROIDAL_SURFACE('',#21783,2.8E0,5.E-1); +#21785=ORIENTED_EDGE('',*,*,#21674,.T.); +#21786=ORIENTED_EDGE('',*,*,#21748,.T.); +#21787=ORIENTED_EDGE('',*,*,#21771,.F.); +#21789=ORIENTED_EDGE('',*,*,#21788,.F.); +#21790=EDGE_LOOP('',(#21785,#21786,#21787,#21789)); +#21791=FACE_OUTER_BOUND('',#21790,.F.); +#21793=CARTESIAN_POINT('',(3.625E1,1.118972953127E1,-1.9E1)); +#21794=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21795=DIRECTION('',(0.E0,0.E0,1.E0)); +#21796=AXIS2_PLACEMENT_3D('',#21793,#21794,#21795); +#21797=CYLINDRICAL_SURFACE('',#21796,5.E-1); +#21798=ORIENTED_EDGE('',*,*,#21676,.T.); +#21799=ORIENTED_EDGE('',*,*,#21788,.T.); +#21801=ORIENTED_EDGE('',*,*,#21800,.F.); +#21803=ORIENTED_EDGE('',*,*,#21802,.F.); +#21804=EDGE_LOOP('',(#21798,#21799,#21801,#21803)); +#21805=FACE_OUTER_BOUND('',#21804,.F.); +#21807=CARTESIAN_POINT('',(3.575E1,1.105E1,-2.E1)); +#21808=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21809=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21810=AXIS2_PLACEMENT_3D('',#21807,#21808,#21809); +#21811=PLANE('',#21810); +#21812=ORIENTED_EDGE('',*,*,#21800,.T.); +#21813=ORIENTED_EDGE('',*,*,#21775,.F.); +#21814=ORIENTED_EDGE('',*,*,#17619,.F.); +#21816=ORIENTED_EDGE('',*,*,#21815,.T.); +#21817=EDGE_LOOP('',(#21812,#21813,#21814,#21816)); +#21818=FACE_OUTER_BOUND('',#21817,.F.); +#21820=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.E1)); +#21821=DIRECTION('',(0.E0,0.E0,1.E0)); +#21822=DIRECTION('',(1.E0,0.E0,0.E0)); +#21823=AXIS2_PLACEMENT_3D('',#21820,#21821,#21822); +#21824=CYLINDRICAL_SURFACE('',#21823,2.3E0); +#21826=ORIENTED_EDGE('',*,*,#21825,.T.); +#21827=ORIENTED_EDGE('',*,*,#21815,.F.); +#21828=ORIENTED_EDGE('',*,*,#17617,.F.); +#21830=ORIENTED_EDGE('',*,*,#21829,.T.); +#21831=EDGE_LOOP('',(#21826,#21827,#21828,#21830)); +#21832=FACE_OUTER_BOUND('',#21831,.F.); +#21834=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.9E1)); +#21835=DIRECTION('',(0.E0,0.E0,1.E0)); +#21836=DIRECTION('',(-8.619446542400E-2,9.962783316575E-1,0.E0)); +#21837=AXIS2_PLACEMENT_3D('',#21834,#21835,#21836); +#21838=TOROIDAL_SURFACE('',#21837,2.8E0,5.E-1); +#21839=ORIENTED_EDGE('',*,*,#21678,.T.); +#21840=ORIENTED_EDGE('',*,*,#21802,.T.); +#21841=ORIENTED_EDGE('',*,*,#21825,.F.); +#21843=ORIENTED_EDGE('',*,*,#21842,.F.); +#21844=EDGE_LOOP('',(#21839,#21840,#21841,#21843)); +#21845=FACE_OUTER_BOUND('',#21844,.F.); +#21847=CARTESIAN_POINT('',(-3.358972953127E1,1.385E1,-1.9E1)); +#21848=DIRECTION('',(1.E0,0.E0,0.E0)); +#21849=DIRECTION('',(0.E0,0.E0,1.E0)); +#21850=AXIS2_PLACEMENT_3D('',#21847,#21848,#21849); +#21851=CYLINDRICAL_SURFACE('',#21850,5.E-1); +#21852=ORIENTED_EDGE('',*,*,#21680,.T.); +#21853=ORIENTED_EDGE('',*,*,#21842,.T.); +#21855=ORIENTED_EDGE('',*,*,#21854,.F.); +#21856=ORIENTED_EDGE('',*,*,#21636,.F.); +#21857=EDGE_LOOP('',(#21852,#21853,#21855,#21856)); +#21858=FACE_OUTER_BOUND('',#21857,.F.); +#21860=CARTESIAN_POINT('',(-3.345E1,1.335E1,-2.E1)); +#21861=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21862=DIRECTION('',(1.E0,0.E0,0.E0)); +#21863=AXIS2_PLACEMENT_3D('',#21860,#21861,#21862); +#21864=PLANE('',#21863); +#21865=ORIENTED_EDGE('',*,*,#21854,.T.); +#21866=ORIENTED_EDGE('',*,*,#21829,.F.); +#21867=ORIENTED_EDGE('',*,*,#17615,.F.); +#21868=ORIENTED_EDGE('',*,*,#21621,.T.); +#21869=EDGE_LOOP('',(#21865,#21866,#21867,#21868)); +#21870=FACE_OUTER_BOUND('',#21869,.F.); +#21872=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-1.9E1)); +#21873=DIRECTION('',(0.E0,0.E0,1.E0)); +#21874=DIRECTION('',(-9.995934398399E-1,2.851236624626E-2,0.E0)); +#21875=AXIS2_PLACEMENT_3D('',#21872,#21873,#21874); +#21876=TOROIDAL_SURFACE('',#21875,4.4E0,5.E-1); +#21877=ORIENTED_EDGE('',*,*,#21649,.T.); +#21879=ORIENTED_EDGE('',*,*,#21878,.T.); +#21881=ORIENTED_EDGE('',*,*,#21880,.F.); +#21883=ORIENTED_EDGE('',*,*,#21882,.F.); +#21884=EDGE_LOOP('',(#21877,#21879,#21881,#21883)); +#21885=FACE_OUTER_BOUND('',#21884,.F.); +#21887=CARTESIAN_POINT('',(-3.358972953127E1,-1.545E1,-1.9E1)); +#21888=DIRECTION('',(1.E0,0.E0,0.E0)); +#21889=DIRECTION('',(0.E0,0.E0,1.E0)); +#21890=AXIS2_PLACEMENT_3D('',#21887,#21888,#21889); +#21891=CYLINDRICAL_SURFACE('',#21890,5.E-1); +#21892=ORIENTED_EDGE('',*,*,#21663,.T.); +#21894=ORIENTED_EDGE('',*,*,#21893,.T.); +#21896=ORIENTED_EDGE('',*,*,#21895,.F.); +#21897=ORIENTED_EDGE('',*,*,#21878,.F.); +#21898=EDGE_LOOP('',(#21892,#21894,#21896,#21897)); +#21899=FACE_OUTER_BOUND('',#21898,.F.); +#21901=CARTESIAN_POINT('',(3.345E1,-1.105E1,-1.9E1)); +#21902=DIRECTION('',(0.E0,0.E0,1.E0)); +#21903=DIRECTION('',(-2.851236624626E-2,-9.995934398399E-1,0.E0)); +#21904=AXIS2_PLACEMENT_3D('',#21901,#21902,#21903); +#21905=TOROIDAL_SURFACE('',#21904,4.4E0,5.E-1); +#21906=ORIENTED_EDGE('',*,*,#21661,.T.); +#21908=ORIENTED_EDGE('',*,*,#21907,.T.); +#21910=ORIENTED_EDGE('',*,*,#21909,.F.); +#21911=ORIENTED_EDGE('',*,*,#21893,.F.); +#21912=EDGE_LOOP('',(#21906,#21908,#21910,#21911)); +#21913=FACE_OUTER_BOUND('',#21912,.F.); +#21915=CARTESIAN_POINT('',(3.785E1,-1.118972953127E1,-1.9E1)); +#21916=DIRECTION('',(0.E0,1.E0,0.E0)); +#21917=DIRECTION('',(0.E0,0.E0,1.E0)); +#21918=AXIS2_PLACEMENT_3D('',#21915,#21916,#21917); +#21919=CYLINDRICAL_SURFACE('',#21918,5.E-1); +#21920=ORIENTED_EDGE('',*,*,#21659,.T.); +#21922=ORIENTED_EDGE('',*,*,#21921,.T.); +#21924=ORIENTED_EDGE('',*,*,#21923,.F.); +#21925=ORIENTED_EDGE('',*,*,#21907,.F.); +#21926=EDGE_LOOP('',(#21920,#21922,#21924,#21925)); +#21927=FACE_OUTER_BOUND('',#21926,.F.); +#21929=CARTESIAN_POINT('',(3.345E1,1.105E1,-1.9E1)); +#21930=DIRECTION('',(0.E0,0.E0,1.E0)); +#21931=DIRECTION('',(9.995934398399E-1,-2.851236624626E-2,0.E0)); +#21932=AXIS2_PLACEMENT_3D('',#21929,#21930,#21931); +#21933=TOROIDAL_SURFACE('',#21932,4.4E0,5.E-1); +#21934=ORIENTED_EDGE('',*,*,#21657,.T.); +#21936=ORIENTED_EDGE('',*,*,#21935,.T.); +#21938=ORIENTED_EDGE('',*,*,#21937,.F.); +#21939=ORIENTED_EDGE('',*,*,#21921,.F.); +#21940=EDGE_LOOP('',(#21934,#21936,#21938,#21939)); +#21941=FACE_OUTER_BOUND('',#21940,.F.); +#21943=CARTESIAN_POINT('',(3.358972953127E1,1.545E1,-1.9E1)); +#21944=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21945=DIRECTION('',(0.E0,0.E0,1.E0)); +#21946=AXIS2_PLACEMENT_3D('',#21943,#21944,#21945); +#21947=CYLINDRICAL_SURFACE('',#21946,5.E-1); +#21948=ORIENTED_EDGE('',*,*,#21655,.T.); +#21950=ORIENTED_EDGE('',*,*,#21949,.T.); +#21952=ORIENTED_EDGE('',*,*,#21951,.F.); +#21953=ORIENTED_EDGE('',*,*,#21935,.F.); +#21954=EDGE_LOOP('',(#21948,#21950,#21952,#21953)); +#21955=FACE_OUTER_BOUND('',#21954,.F.); +#21957=CARTESIAN_POINT('',(-3.345E1,1.105E1,-1.9E1)); +#21958=DIRECTION('',(0.E0,0.E0,1.E0)); +#21959=DIRECTION('',(2.851236624626E-2,9.995934398399E-1,0.E0)); +#21960=AXIS2_PLACEMENT_3D('',#21957,#21958,#21959); +#21961=TOROIDAL_SURFACE('',#21960,4.4E0,5.E-1); +#21962=ORIENTED_EDGE('',*,*,#21653,.T.); +#21964=ORIENTED_EDGE('',*,*,#21963,.T.); +#21966=ORIENTED_EDGE('',*,*,#21965,.F.); +#21967=ORIENTED_EDGE('',*,*,#21949,.F.); +#21968=EDGE_LOOP('',(#21962,#21964,#21966,#21967)); +#21969=FACE_OUTER_BOUND('',#21968,.F.); +#21971=CARTESIAN_POINT('',(-3.785E1,1.118972953127E1,-1.9E1)); +#21972=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21973=DIRECTION('',(0.E0,0.E0,1.E0)); +#21974=AXIS2_PLACEMENT_3D('',#21971,#21972,#21973); +#21975=CYLINDRICAL_SURFACE('',#21974,5.E-1); +#21976=ORIENTED_EDGE('',*,*,#21651,.T.); +#21977=ORIENTED_EDGE('',*,*,#21882,.T.); +#21979=ORIENTED_EDGE('',*,*,#21978,.F.); +#21980=ORIENTED_EDGE('',*,*,#21963,.F.); +#21981=EDGE_LOOP('',(#21976,#21977,#21979,#21980)); +#21982=FACE_OUTER_BOUND('',#21981,.F.); +#21984=CARTESIAN_POINT('',(-3.835E1,1.105E1,-2.E1)); +#21985=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21986=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21987=AXIS2_PLACEMENT_3D('',#21984,#21985,#21986); +#21988=PLANE('',#21987); +#21989=ORIENTED_EDGE('',*,*,#21978,.T.); +#21991=ORIENTED_EDGE('',*,*,#21990,.F.); +#21992=ORIENTED_EDGE('',*,*,#17591,.F.); +#21994=ORIENTED_EDGE('',*,*,#21993,.T.); +#21995=EDGE_LOOP('',(#21989,#21991,#21992,#21994)); +#21996=FACE_OUTER_BOUND('',#21995,.F.); +#21998=CARTESIAN_POINT('',(-3.345E1,-1.105E1,-2.E1)); +#21999=DIRECTION('',(0.E0,0.E0,1.E0)); +#22000=DIRECTION('',(1.E0,0.E0,0.E0)); +#22001=AXIS2_PLACEMENT_3D('',#21998,#21999,#22000); +#22002=CYLINDRICAL_SURFACE('',#22001,4.9E0); +#22003=ORIENTED_EDGE('',*,*,#21880,.T.); +#22005=ORIENTED_EDGE('',*,*,#22004,.F.); +#22006=ORIENTED_EDGE('',*,*,#17593,.F.); +#22007=ORIENTED_EDGE('',*,*,#21990,.T.); +#22008=EDGE_LOOP('',(#22003,#22005,#22006,#22007)); +#22009=FACE_OUTER_BOUND('',#22008,.F.); +#22011=CARTESIAN_POINT('',(-3.345E1,-1.595E1,-2.E1)); +#22012=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22013=DIRECTION('',(1.E0,0.E0,0.E0)); +#22014=AXIS2_PLACEMENT_3D('',#22011,#22012,#22013); +#22015=PLANE('',#22014); +#22016=ORIENTED_EDGE('',*,*,#21895,.T.); +#22018=ORIENTED_EDGE('',*,*,#22017,.F.); +#22019=ORIENTED_EDGE('',*,*,#17595,.F.); +#22020=ORIENTED_EDGE('',*,*,#22004,.T.); +#22021=EDGE_LOOP('',(#22016,#22018,#22019,#22020)); +#22022=FACE_OUTER_BOUND('',#22021,.F.); +#22024=CARTESIAN_POINT('',(3.345E1,-1.105E1,-2.E1)); +#22025=DIRECTION('',(0.E0,0.E0,1.E0)); +#22026=DIRECTION('',(1.E0,0.E0,0.E0)); +#22027=AXIS2_PLACEMENT_3D('',#22024,#22025,#22026); +#22028=CYLINDRICAL_SURFACE('',#22027,4.9E0); +#22029=ORIENTED_EDGE('',*,*,#21909,.T.); +#22031=ORIENTED_EDGE('',*,*,#22030,.F.); +#22032=ORIENTED_EDGE('',*,*,#17597,.F.); +#22033=ORIENTED_EDGE('',*,*,#22017,.T.); +#22034=EDGE_LOOP('',(#22029,#22031,#22032,#22033)); +#22035=FACE_OUTER_BOUND('',#22034,.F.); +#22037=CARTESIAN_POINT('',(3.835E1,-1.105E1,-2.E1)); +#22038=DIRECTION('',(1.E0,0.E0,0.E0)); +#22039=DIRECTION('',(0.E0,1.E0,0.E0)); +#22040=AXIS2_PLACEMENT_3D('',#22037,#22038,#22039); +#22041=PLANE('',#22040); +#22042=ORIENTED_EDGE('',*,*,#21923,.T.); +#22044=ORIENTED_EDGE('',*,*,#22043,.F.); +#22045=ORIENTED_EDGE('',*,*,#17599,.F.); +#22046=ORIENTED_EDGE('',*,*,#22030,.T.); +#22047=EDGE_LOOP('',(#22042,#22044,#22045,#22046)); +#22048=FACE_OUTER_BOUND('',#22047,.F.); +#22050=CARTESIAN_POINT('',(3.345E1,1.105E1,-2.E1)); +#22051=DIRECTION('',(0.E0,0.E0,1.E0)); +#22052=DIRECTION('',(1.E0,0.E0,0.E0)); +#22053=AXIS2_PLACEMENT_3D('',#22050,#22051,#22052); +#22054=CYLINDRICAL_SURFACE('',#22053,4.9E0); +#22055=ORIENTED_EDGE('',*,*,#21937,.T.); +#22057=ORIENTED_EDGE('',*,*,#22056,.F.); +#22058=ORIENTED_EDGE('',*,*,#17601,.F.); +#22059=ORIENTED_EDGE('',*,*,#22043,.T.); +#22060=EDGE_LOOP('',(#22055,#22057,#22058,#22059)); +#22061=FACE_OUTER_BOUND('',#22060,.F.); +#22063=CARTESIAN_POINT('',(3.345E1,1.595E1,-2.E1)); +#22064=DIRECTION('',(0.E0,1.E0,0.E0)); +#22065=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22066=AXIS2_PLACEMENT_3D('',#22063,#22064,#22065); +#22067=PLANE('',#22066); +#22068=ORIENTED_EDGE('',*,*,#21951,.T.); +#22070=ORIENTED_EDGE('',*,*,#22069,.F.); +#22071=ORIENTED_EDGE('',*,*,#17603,.F.); +#22072=ORIENTED_EDGE('',*,*,#22056,.T.); +#22073=EDGE_LOOP('',(#22068,#22070,#22071,#22072)); +#22074=FACE_OUTER_BOUND('',#22073,.F.); +#22076=CARTESIAN_POINT('',(-3.345E1,1.105E1,-2.E1)); +#22077=DIRECTION('',(0.E0,0.E0,1.E0)); +#22078=DIRECTION('',(1.E0,0.E0,0.E0)); +#22079=AXIS2_PLACEMENT_3D('',#22076,#22077,#22078); +#22080=CYLINDRICAL_SURFACE('',#22079,4.9E0); +#22081=ORIENTED_EDGE('',*,*,#21965,.T.); +#22082=ORIENTED_EDGE('',*,*,#21993,.F.); +#22083=ORIENTED_EDGE('',*,*,#17589,.F.); +#22084=ORIENTED_EDGE('',*,*,#22069,.T.); +#22085=EDGE_LOOP('',(#22081,#22082,#22083,#22084)); +#22086=FACE_OUTER_BOUND('',#22085,.F.); +#22088=CLOSED_SHELL('',(#10643,#10658,#10672,#10686,#10700,#10714,#10728,#10742, +#10755,#10770,#10928,#10943,#10959,#10973,#10988,#11004,#11020,#11036,#11052, +#11068,#11084,#11100,#11116,#11132,#11148,#11164,#11180,#11196,#11212,#11228, +#11244,#11260,#11276,#11292,#11308,#11324,#11340,#11356,#11369,#11383,#11399, +#11412,#11425,#11438,#11879,#12025,#12040,#12055,#12068,#12082,#12096,#12111, +#12124,#12136,#12148,#12164,#12178,#12192,#12205,#12220,#12233,#12246,#12259, +#12271,#12287,#12301,#12315,#12328,#12343,#12356,#12369,#12382,#12394,#12410, +#12424,#12438,#12451,#12466,#12479,#12492,#12505,#12517,#12533,#12547,#12561, +#12574,#12589,#12602,#12615,#12628,#12640,#12656,#12670,#12684,#12697,#12712, +#12725,#12738,#12751,#12763,#12779,#12793,#12807,#12820,#12835,#12848,#12861, +#12874,#12886,#12902,#12916,#12930,#12943,#12958,#12971,#12984,#12997,#13009, +#13025,#13039,#13053,#13066,#13081,#13094,#13107,#13120,#13132,#13148,#13162, +#13176,#13189,#13204,#13217,#13230,#13243,#13255,#13271,#13285,#13299,#13312, +#13327,#13340,#13353,#13366,#13378,#13394,#13408,#13422,#13435,#13450,#13463, +#13476,#13489,#13501,#13514,#13549,#13564,#13579,#13593,#13609,#13625,#13640, +#13656,#13671,#13685,#13699,#13715,#13729,#13743,#13775,#13788,#13802,#13814, +#13830,#13844,#13858,#13871,#13886,#13899,#13912,#13925,#13937,#13949,#13962, +#13976,#13991,#14004,#14017,#14029,#14044,#14058,#14072,#14087,#14100,#14113, +#14126,#14140,#14152,#14164,#14180,#14194,#14208,#14222,#14237,#14250,#14263, +#14275,#14287,#14303,#14317,#14331,#14345,#14360,#14373,#14386,#14398,#14410, +#14426,#14440,#14454,#14468,#14483,#14496,#14509,#14521,#14533,#14549,#14563, +#14577,#14591,#14606,#14619,#14632,#14644,#14656,#14672,#14686,#14700,#14714, +#14729,#14742,#14755,#14767,#14779,#14795,#14809,#14823,#14837,#14852,#14865, +#14878,#14890,#14902,#14918,#14932,#14946,#14960,#14975,#14988,#15001,#15013, +#15025,#15041,#15055,#15069,#15083,#15098,#15111,#15124,#15136,#15148,#15164, +#15178,#15192,#15206,#15221,#15234,#15247,#15259,#15271,#15287,#15301,#15315, +#15329,#15344,#15357,#15370,#15382,#15394,#15410,#15424,#15438,#15452,#15467, +#15480,#15493,#15505,#15517,#15532,#15545,#15555,#15567,#15579,#15591,#15603, +#15615,#15627,#15639,#15651,#15663,#15675,#15687,#15699,#15711,#15723,#15735, +#15747,#15759,#15771,#15783,#15795,#15807,#15819,#15831,#15843,#15855,#15867, +#15879,#15891,#15903,#15915,#15927,#15939,#15951,#15963,#15977,#15989,#16003, +#16015,#16029,#16041,#16055,#16067,#16081,#16093,#16107,#16119,#16133,#16145, +#16159,#16171,#16185,#16197,#16211,#16223,#16237,#16249,#16263,#16275,#16289, +#16301,#16315,#16327,#16341,#16353,#16367,#16379,#16393,#16405,#16419,#16431, +#16445,#16457,#16471,#16483,#16497,#16509,#16523,#16535,#16549,#16561,#16575, +#16587,#16601,#16613,#16627,#16639,#16653,#16665,#16679,#16691,#16705,#16717, +#16731,#16743,#16757,#16769,#16783,#16795,#16809,#16821,#16835,#16847,#16862, +#16884,#16929,#16944,#16958,#16973,#16987,#17000,#17015,#17027,#17041,#17053, +#17065,#17080,#17093,#17107,#17121,#17135,#17149,#17162,#17187,#17200,#17228, +#17258,#17287,#17314,#17329,#17342,#17354,#17366,#17376,#17388,#17402,#17414, +#17428,#17440,#17454,#17466,#17488,#17505,#17519,#17535,#17551,#17565,#17607, +#17697,#17716,#17731,#17816,#17839,#18160,#18175,#18237,#18300,#18313,#18326, +#18339,#18352,#18365,#18378,#18391,#18404,#18417,#18430,#18443,#18462,#18475, +#18488,#18501,#18514,#18527,#18540,#18553,#18566,#18579,#18592,#18605,#18618, +#18631,#18644,#18657,#18670,#18683,#18696,#18709,#18722,#18735,#18748,#18761, +#18774,#18786,#18798,#18810,#18823,#18836,#18849,#18862,#18875,#18888,#18901, +#18914,#18927,#18940,#18953,#18966,#18978,#18993,#19006,#19016,#19028,#19040, +#19052,#19064,#19076,#19088,#19100,#19112,#19124,#19136,#19148,#19160,#19172, +#19184,#19196,#19208,#19220,#19232,#19244,#19256,#19268,#19280,#19292,#19304, +#19316,#19328,#19340,#19352,#19364,#19376,#19388,#19400,#19412,#19424,#19438, +#19450,#19464,#19476,#19490,#19502,#19516,#19528,#19542,#19554,#19568,#19580, +#19594,#19606,#19620,#19632,#19646,#19658,#19672,#19684,#19698,#19710,#19724, +#19736,#19750,#19762,#19776,#19788,#19802,#19814,#19828,#19840,#19854,#19866, +#19880,#19892,#19906,#19918,#19932,#19944,#19958,#19970,#19984,#19996,#20010, +#20022,#20036,#20048,#20062,#20074,#20088,#20100,#20114,#20126,#20140,#20152, +#20166,#20178,#20192,#20204,#20218,#20230,#20244,#20256,#20270,#20282,#20296, +#20308,#20323,#20349,#20364,#20378,#20396,#20411,#20423,#20441,#20458,#20470, +#20485,#20498,#20516,#20532,#20555,#20578,#20598,#20616,#20634,#20648,#20661, +#20676,#20689,#20702,#20715,#20730,#20746,#20759,#20772,#20784,#20802,#20816, +#20829,#20844,#20857,#20872,#20895,#20909,#20923,#20936,#20950,#20963,#20977, +#20989,#21002,#21016,#21029,#21044,#21056,#21070,#21082,#21097,#21109,#21121, +#21134,#21147,#21162,#21175,#21185,#21197,#21211,#21223,#21237,#21251,#21263, +#21276,#21289,#21303,#21314,#21327,#21341,#21354,#21367,#21380,#21393,#21407, +#21420,#21433,#21445,#21459,#21472,#21485,#21498,#21510,#21523,#21537,#21550, +#21563,#21575,#21588,#21601,#21613,#21628,#21643,#21684,#21698,#21711,#21725, +#21738,#21752,#21765,#21779,#21792,#21806,#21819,#21833,#21846,#21859,#21871, +#21886,#21900,#21914,#21928,#21942,#21956,#21970,#21983,#21997,#22010,#22023, +#22036,#22049,#22062,#22075,#22087)); +#22089=MANIFOLD_SOLID_BREP('',#22088); +#22092=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), +#22091); +#22093=(CONVERSION_BASED_UNIT('DEGREE',#22092)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); +#22095=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(9.160322684069E-3),#22090, +'distance_accuracy_value', +'Maximum model space distance between geometric entities at asserted connectivities'); +#22098=APPLICATION_CONTEXT('automotive_design'); +#22099=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2001,#22098); +#22100=PRODUCT_DEFINITION_CONTEXT('part definition',#22098,'design'); +#22101=PRODUCT_CONTEXT('',#22098,'mechanical'); +#22102=PRODUCT('C-776231-2','C-776231-2','NOT SPECIFIED',(#22101)); +#22103=PRODUCT_DEFINITION_FORMATION('1','LAST_VERSION',#22102); +#22111=DERIVED_UNIT_ELEMENT(#22110,2.E0); +#22112=DERIVED_UNIT((#22111)); +#22113=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +1.706916034170E4),#22112); +#22118=DERIVED_UNIT_ELEMENT(#22117,3.E0); +#22119=DERIVED_UNIT((#22118)); +#22120=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +1.528601015024E4),#22119); +#22124=CARTESIAN_POINT('centre point',(-4.523004383124E-3,2.128893929910E-1, +-1.893651004204E1)); +#22129=DERIVED_UNIT_ELEMENT(#22128,2.E0); +#22130=DERIVED_UNIT((#22129)); +#22131=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +1.706916034170E4),#22130); +#22136=DERIVED_UNIT_ELEMENT(#22135,3.E0); +#22137=DERIVED_UNIT((#22136)); +#22138=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +1.528601015024E4),#22137); +#22142=CARTESIAN_POINT('centre point',(-4.523004383124E-3,2.128893929910E-1, +-1.893651004204E1)); +#22147=PRODUCT_RELATED_PRODUCT_CATEGORY('part','',(#22102)); +#1=COLOUR_RGB('',8.784E-1,9.49E-1,1.E0); +#10=CIRCLE('',#9,5.235898384862E0); +#19=CIRCLE('',#18,5.235898384862E0); +#28=CIRCLE('',#27,5.235898384862E0); +#37=CIRCLE('',#36,5.235898384862E0); +#42=CIRCLE('',#41,5.7E0); +#51=CIRCLE('',#50,5.7E0); +#60=CIRCLE('',#59,5.7E0); +#69=CIRCLE('',#68,5.7E0); +#110=CIRCLE('',#109,4.7E0); +#121=B_SPLINE_CURVE_WITH_KNOTS('',3,(#115,#116,#117,#118,#119,#120), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#136=B_SPLINE_CURVE_WITH_KNOTS('',3,(#130,#131,#132,#133,#134,#135), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#147=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141,#142,#143,#144,#145,#146), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#162=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156,#157,#158,#159,#160,#161), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#173=B_SPLINE_CURVE_WITH_KNOTS('',3,(#167,#168,#169,#170,#171,#172), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#188=B_SPLINE_CURVE_WITH_KNOTS('',3,(#182,#183,#184,#185,#186,#187), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#199=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193,#194,#195,#196,#197,#198), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#214=B_SPLINE_CURVE_WITH_KNOTS('',3,(#208,#209,#210,#211,#212,#213), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#225=B_SPLINE_CURVE_WITH_KNOTS('',3,(#219,#220,#221,#222,#223,#224), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#240=B_SPLINE_CURVE_WITH_KNOTS('',3,(#234,#235,#236,#237,#238,#239), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#251=B_SPLINE_CURVE_WITH_KNOTS('',3,(#245,#246,#247,#248,#249,#250), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#266=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260,#261,#262,#263,#264,#265), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#277=B_SPLINE_CURVE_WITH_KNOTS('',3,(#271,#272,#273,#274,#275,#276), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#292=B_SPLINE_CURVE_WITH_KNOTS('',3,(#286,#287,#288,#289,#290,#291), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#303=B_SPLINE_CURVE_WITH_KNOTS('',3,(#297,#298,#299,#300,#301,#302), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#318=B_SPLINE_CURVE_WITH_KNOTS('',3,(#312,#313,#314,#315,#316,#317), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#329=B_SPLINE_CURVE_WITH_KNOTS('',3,(#323,#324,#325,#326,#327,#328), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#344=B_SPLINE_CURVE_WITH_KNOTS('',3,(#338,#339,#340,#341,#342,#343), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#355=B_SPLINE_CURVE_WITH_KNOTS('',3,(#349,#350,#351,#352,#353,#354), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#370=B_SPLINE_CURVE_WITH_KNOTS('',3,(#364,#365,#366,#367,#368,#369), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#381=B_SPLINE_CURVE_WITH_KNOTS('',3,(#375,#376,#377,#378,#379,#380), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#404=B_SPLINE_CURVE_WITH_KNOTS('',3,(#398,#399,#400,#401,#402,#403), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#415=B_SPLINE_CURVE_WITH_KNOTS('',3,(#409,#410,#411,#412,#413,#414), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#430=B_SPLINE_CURVE_WITH_KNOTS('',3,(#424,#425,#426,#427,#428,#429), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#439=CIRCLE('',#438,1.E-1); +#444=CIRCLE('',#443,1.E-1); +#453=CIRCLE('',#452,2.E-1); +#470=CIRCLE('',#469,4.E-1); +#487=CIRCLE('',#486,4.E-1); +#504=CIRCLE('',#503,4.E-1); +#521=CIRCLE('',#520,4.E-1); +#538=CIRCLE('',#537,4.E-1); +#555=CIRCLE('',#554,5.75E-1); +#572=CIRCLE('',#571,4.E-1); +#589=CIRCLE('',#588,4.E-1); +#606=CIRCLE('',#605,4.E-1); +#623=CIRCLE('',#622,4.E-1); +#640=CIRCLE('',#639,4.E-1); +#653=CIRCLE('',#652,4.E-1); +#670=CIRCLE('',#669,4.E-1); +#691=CIRCLE('',#690,4.E-1); +#708=CIRCLE('',#707,4.E-1); +#725=CIRCLE('',#724,4.E-1); +#738=CIRCLE('',#737,5.75E-1); +#755=CIRCLE('',#754,4.E-1); +#772=CIRCLE('',#771,4.E-1); +#789=CIRCLE('',#788,4.E-1); +#806=CIRCLE('',#805,4.E-1); +#823=CIRCLE('',#822,4.E-1); +#840=CIRCLE('',#839,4.E-1); +#857=CIRCLE('',#856,4.E-1); +#866=CIRCLE('',#865,1.E-1); +#871=CIRCLE('',#870,1.E-1); +#880=CIRCLE('',#879,2.E-1); +#889=CIRCLE('',#888,1.E-1); +#894=CIRCLE('',#893,1.E-1); +#899=CIRCLE('',#898,5.E-1); +#912=CIRCLE('',#911,4.7E0); +#925=CIRCLE('',#924,5.E-1); +#942=CIRCLE('',#941,5.E-1); +#955=CIRCLE('',#954,4.7E0); +#968=CIRCLE('',#967,5.E-1); +#985=CIRCLE('',#984,5.E-1); +#1002=CIRCLE('',#1001,5.E-1); +#1019=CIRCLE('',#1018,5.E-1); +#1036=CIRCLE('',#1035,5.E-1); +#1053=CIRCLE('',#1052,5.E-1); +#1070=CIRCLE('',#1069,6.75E-1); +#1087=CIRCLE('',#1086,5.E-1); +#1104=CIRCLE('',#1103,5.E-1); +#1121=CIRCLE('',#1120,5.E-1); +#1138=CIRCLE('',#1137,5.E-1); +#1155=CIRCLE('',#1154,5.E-1); +#1168=CIRCLE('',#1167,4.7E0); +#1181=CIRCLE('',#1180,5.E-1); +#1198=CIRCLE('',#1197,5.E-1); +#1211=CIRCLE('',#1210,4.7E0); +#1224=CIRCLE('',#1223,5.E-1); +#1241=CIRCLE('',#1240,5.E-1); +#1258=CIRCLE('',#1257,5.E-1); +#1275=CIRCLE('',#1274,5.E-1); +#1292=CIRCLE('',#1291,5.E-1); +#1309=CIRCLE('',#1308,5.E-1); +#1326=CIRCLE('',#1325,5.E-1); +#1343=CIRCLE('',#1342,6.75E-1); +#1360=CIRCLE('',#1359,5.E-1); +#1377=CIRCLE('',#1376,5.E-1); +#1394=CIRCLE('',#1393,5.E-1); +#1411=CIRCLE('',#1410,5.E-1); +#1420=CIRCLE('',#1419,6.5E-1); +#1425=CIRCLE('',#1424,6.5E-1); +#1430=CIRCLE('',#1429,6.5E-1); +#1435=CIRCLE('',#1434,6.5E-1); +#1440=CIRCLE('',#1439,6.5E-1); +#1445=CIRCLE('',#1444,6.5E-1); +#1450=CIRCLE('',#1449,6.5E-1); +#1455=CIRCLE('',#1454,6.5E-1); +#1460=CIRCLE('',#1459,6.5E-1); +#1465=CIRCLE('',#1464,6.5E-1); +#1470=CIRCLE('',#1469,6.5E-1); +#1475=CIRCLE('',#1474,6.5E-1); +#1480=CIRCLE('',#1479,6.5E-1); +#1485=CIRCLE('',#1484,6.5E-1); +#1490=CIRCLE('',#1489,6.5E-1); +#1495=CIRCLE('',#1494,6.5E-1); +#1500=CIRCLE('',#1499,6.5E-1); +#1505=CIRCLE('',#1504,6.5E-1); +#1510=CIRCLE('',#1509,6.5E-1); +#1515=CIRCLE('',#1514,6.5E-1); +#1520=CIRCLE('',#1519,6.5E-1); +#1525=CIRCLE('',#1524,6.5E-1); +#1530=CIRCLE('',#1529,6.5E-1); +#1535=CIRCLE('',#1534,6.5E-1); +#1540=CIRCLE('',#1539,6.5E-1); +#1545=CIRCLE('',#1544,6.5E-1); +#1550=CIRCLE('',#1549,6.5E-1); +#1555=CIRCLE('',#1554,6.5E-1); +#1560=CIRCLE('',#1559,6.5E-1); +#1565=CIRCLE('',#1564,6.5E-1); +#1570=CIRCLE('',#1569,6.5E-1); +#1575=CIRCLE('',#1574,6.5E-1); +#1580=CIRCLE('',#1579,6.5E-1); +#1585=CIRCLE('',#1584,6.5E-1); +#1590=CIRCLE('',#1589,6.5E-1); +#1595=CIRCLE('',#1594,6.5E-1); +#1600=CIRCLE('',#1599,6.5E-1); +#1605=CIRCLE('',#1604,6.5E-1); +#1610=CIRCLE('',#1609,6.5E-1); +#1615=CIRCLE('',#1614,6.5E-1); +#1620=CIRCLE('',#1619,6.5E-1); +#1625=CIRCLE('',#1624,6.5E-1); +#1630=CIRCLE('',#1629,6.5E-1); +#1635=CIRCLE('',#1634,6.5E-1); +#1640=CIRCLE('',#1639,6.5E-1); +#1645=CIRCLE('',#1644,6.5E-1); +#1650=CIRCLE('',#1649,6.5E-1); +#1655=CIRCLE('',#1654,6.5E-1); +#1660=CIRCLE('',#1659,6.5E-1); +#1665=CIRCLE('',#1664,6.5E-1); +#1670=CIRCLE('',#1669,6.5E-1); +#1675=CIRCLE('',#1674,6.5E-1); +#1680=CIRCLE('',#1679,6.5E-1); +#1685=CIRCLE('',#1684,6.5E-1); +#1690=CIRCLE('',#1689,6.5E-1); +#1695=CIRCLE('',#1694,6.5E-1); +#1700=CIRCLE('',#1699,6.5E-1); +#1705=CIRCLE('',#1704,6.5E-1); +#1710=CIRCLE('',#1709,6.5E-1); +#1715=CIRCLE('',#1714,6.5E-1); +#1720=CIRCLE('',#1719,6.5E-1); +#1725=CIRCLE('',#1724,6.5E-1); +#1730=CIRCLE('',#1729,6.5E-1); +#1735=CIRCLE('',#1734,6.5E-1); +#1740=CIRCLE('',#1739,6.5E-1); +#1745=CIRCLE('',#1744,6.5E-1); +#1750=CIRCLE('',#1749,6.5E-1); +#1755=CIRCLE('',#1754,6.5E-1); +#1760=CIRCLE('',#1759,6.5E-1); +#1765=CIRCLE('',#1764,6.5E-1); +#1772=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1766,#1767,#1768,#1769,#1770,#1771), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#1787=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1781,#1782,#1783,#1784,#1785,#1786), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#1798=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1792,#1793,#1794,#1795,#1796,#1797), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#1813=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1807,#1808,#1809,#1810,#1811,#1812), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#1824=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1818,#1819,#1820,#1821,#1822,#1823), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#1839=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1833,#1834,#1835,#1836,#1837,#1838), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#1850=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1844,#1845,#1846,#1847,#1848,#1849), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#1865=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1859,#1860,#1861,#1862,#1863,#1864), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#1876=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1870,#1871,#1872,#1873,#1874,#1875), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#1891=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1885,#1886,#1887,#1888,#1889,#1890), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#1902=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1896,#1897,#1898,#1899,#1900,#1901), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#1917=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1911,#1912,#1913,#1914,#1915,#1916), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#1928=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1922,#1923,#1924,#1925,#1926,#1927), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#1943=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1937,#1938,#1939,#1940,#1941,#1942), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#1954=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1948,#1949,#1950,#1951,#1952,#1953), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#1969=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1963,#1964,#1965,#1966,#1967,#1968), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#1980=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1974,#1975,#1976,#1977,#1978,#1979), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#1995=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1989,#1990,#1991,#1992,#1993,#1994), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2006=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2000,#2001,#2002,#2003,#2004,#2005), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2021=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2015,#2016,#2017,#2018,#2019,#2020), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2032=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2026,#2027,#2028,#2029,#2030,#2031), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2047=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2041,#2042,#2043,#2044,#2045,#2046), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2058=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2052,#2053,#2054,#2055,#2056,#2057), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2081=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2075,#2076,#2077,#2078,#2079,#2080), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2090=CIRCLE('',#2089,1.E-1); +#2095=CIRCLE('',#2094,1.E-1); +#2104=CIRCLE('',#2103,2.E-1); +#2109=CIRCLE('',#2108,1.E-1); +#2114=CIRCLE('',#2113,1.E-1); +#2123=CIRCLE('',#2122,2.E-1); +#2132=CIRCLE('',#2131,1.E-1); +#2137=CIRCLE('',#2136,1.E-1); +#2142=CIRCLE('',#2141,5.E-1); +#2155=CIRCLE('',#2154,2.E-1); +#2164=CIRCLE('',#2163,1.E-1); +#2169=CIRCLE('',#2168,1.E-1); +#2174=CIRCLE('',#2173,5.E-1); +#2187=CIRCLE('',#2186,2.E-1); +#2192=CIRCLE('',#2191,1.E-1); +#2197=CIRCLE('',#2196,1.E-1); +#2202=CIRCLE('',#2201,1.E-1); +#2207=CIRCLE('',#2206,1.E-1); +#2216=CIRCLE('',#2215,2.E-1); +#2225=CIRCLE('',#2224,1.E-1); +#2230=CIRCLE('',#2229,1.E-1); +#2235=CIRCLE('',#2234,5.E-1); +#2248=CIRCLE('',#2247,2.E-1); +#2253=CIRCLE('',#2252,1.E-1); +#2258=CIRCLE('',#2257,1.E-1); +#2263=CIRCLE('',#2262,1.E-1); +#2268=CIRCLE('',#2267,1.E-1); +#2277=CIRCLE('',#2276,2.E-1); +#2286=CIRCLE('',#2285,1.E-1); +#2291=CIRCLE('',#2290,1.E-1); +#2296=CIRCLE('',#2295,5.E-1); +#2309=CIRCLE('',#2308,2.E-1); +#2314=CIRCLE('',#2313,1.E-1); +#2319=CIRCLE('',#2318,1.E-1); +#2324=CIRCLE('',#2323,1.E-1); +#2329=CIRCLE('',#2328,1.E-1); +#2338=CIRCLE('',#2337,2.E-1); +#2347=CIRCLE('',#2346,1.E-1); +#2352=CIRCLE('',#2351,1.E-1); +#2357=CIRCLE('',#2356,6.75E-1); +#2370=CIRCLE('',#2369,2.E-1); +#2375=CIRCLE('',#2374,1.E-1); +#2380=CIRCLE('',#2379,1.E-1); +#2385=CIRCLE('',#2384,1.E-1); +#2390=CIRCLE('',#2389,1.E-1); +#2399=CIRCLE('',#2398,2.E-1); +#2408=CIRCLE('',#2407,1.E-1); +#2413=CIRCLE('',#2412,1.E-1); +#2418=CIRCLE('',#2417,5.E-1); +#2431=CIRCLE('',#2430,2.E-1); +#2436=CIRCLE('',#2435,1.E-1); +#2441=CIRCLE('',#2440,1.E-1); +#2446=CIRCLE('',#2445,1.E-1); +#2451=CIRCLE('',#2450,1.E-1); +#2460=CIRCLE('',#2459,2.E-1); +#2469=CIRCLE('',#2468,1.E-1); +#2474=CIRCLE('',#2473,1.E-1); +#2479=CIRCLE('',#2478,5.E-1); +#2492=CIRCLE('',#2491,2.E-1); +#2497=CIRCLE('',#2496,1.E-1); +#2502=CIRCLE('',#2501,1.E-1); +#2507=CIRCLE('',#2506,1.E-1); +#2512=CIRCLE('',#2511,1.E-1); +#2521=CIRCLE('',#2520,2.E-1); +#2530=CIRCLE('',#2529,1.E-1); +#2535=CIRCLE('',#2534,1.E-1); +#2540=CIRCLE('',#2539,5.E-1); +#2553=CIRCLE('',#2552,2.E-1); +#2558=CIRCLE('',#2557,1.E-1); +#2563=CIRCLE('',#2562,1.E-1); +#2568=CIRCLE('',#2567,1.E-1); +#2573=CIRCLE('',#2572,1.E-1); +#2582=CIRCLE('',#2581,2.E-1); +#2591=CIRCLE('',#2590,1.E-1); +#2596=CIRCLE('',#2595,1.E-1); +#2601=CIRCLE('',#2600,5.E-1); +#2614=CIRCLE('',#2613,2.E-1); +#2619=CIRCLE('',#2618,1.E-1); +#2624=CIRCLE('',#2623,1.E-1); +#2629=CIRCLE('',#2628,1.E-1); +#2634=CIRCLE('',#2633,1.E-1); +#2643=CIRCLE('',#2642,2.E-1); +#2652=CIRCLE('',#2651,1.E-1); +#2657=CIRCLE('',#2656,1.E-1); +#2662=CIRCLE('',#2661,5.E-1); +#2675=CIRCLE('',#2674,2.E-1); +#2680=CIRCLE('',#2679,1.E-1); +#2685=CIRCLE('',#2684,1.E-1); +#2690=CIRCLE('',#2689,1.E-1); +#2695=CIRCLE('',#2694,1.E-1); +#2704=CIRCLE('',#2703,2.E-1); +#2713=CIRCLE('',#2712,1.E-1); +#2718=CIRCLE('',#2717,1.E-1); +#2723=CIRCLE('',#2722,5.E-1); +#2736=CIRCLE('',#2735,2.E-1); +#2741=CIRCLE('',#2740,1.E-1); +#2746=CIRCLE('',#2745,1.E-1); +#2751=CIRCLE('',#2750,1.E-1); +#2756=CIRCLE('',#2755,1.E-1); +#2765=CIRCLE('',#2764,2.E-1); +#2774=CIRCLE('',#2773,1.E-1); +#2779=CIRCLE('',#2778,1.E-1); +#2784=CIRCLE('',#2783,5.E-1); +#2797=CIRCLE('',#2796,2.E-1); +#2802=CIRCLE('',#2801,1.E-1); +#2807=CIRCLE('',#2806,1.E-1); +#2812=CIRCLE('',#2811,1.E-1); +#2817=CIRCLE('',#2816,1.E-1); +#2822=CIRCLE('',#2821,4.7E0); +#2833=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2827,#2828,#2829,#2830,#2831,#2832), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2848=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2842,#2843,#2844,#2845,#2846,#2847), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2859=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2853,#2854,#2855,#2856,#2857,#2858), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2882=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2876,#2877,#2878,#2879,#2880,#2881), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2891=CIRCLE('',#2890,1.E-1); +#2896=CIRCLE('',#2895,1.E-1); +#2905=CIRCLE('',#2904,2.E-1); +#2922=CIRCLE('',#2921,4.E-1); +#2935=CIRCLE('',#2934,4.E-1); +#2956=CIRCLE('',#2955,4.E-1); +#2973=CIRCLE('',#2972,4.E-1); +#2978=CIRCLE('',#2977,1.E-1); +#2983=CIRCLE('',#2982,1.E-1); +#2992=CIRCLE('',#2991,2.E-1); +#3001=CIRCLE('',#3000,1.E-1); +#3006=CIRCLE('',#3005,1.E-1); +#3013=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3007,#3008,#3009,#3010,#3011,#3012), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#3028=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3022,#3023,#3024,#3025,#3026,#3027), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#3039=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3033,#3034,#3035,#3036,#3037,#3038), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#3062=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3056,#3057,#3058,#3059,#3060,#3061), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#3071=CIRCLE('',#3070,1.E-1); +#3076=CIRCLE('',#3075,1.E-1); +#3085=CIRCLE('',#3084,2.E-1); +#3090=CIRCLE('',#3089,5.E-1); +#3103=CIRCLE('',#3102,2.E-1); +#3112=CIRCLE('',#3111,1.E-1); +#3117=CIRCLE('',#3116,1.E-1); +#3122=CIRCLE('',#3121,5.E-1); +#3135=CIRCLE('',#3134,2.E-1); +#3140=CIRCLE('',#3139,1.E-1); +#3145=CIRCLE('',#3144,1.E-1); +#3150=CIRCLE('',#3149,1.E-1); +#3155=CIRCLE('',#3154,1.E-1); +#3160=CIRCLE('',#3159,4.7E0); +#3169=CIRCLE('',#3168,1.E-1); +#3174=CIRCLE('',#3173,1.E-1); +#3183=CIRCLE('',#3182,2.E-1); +#3192=CIRCLE('',#3191,1.E-1); +#3197=CIRCLE('',#3196,1.E-1); +#3202=CIRCLE('',#3201,5.E-1); +#3211=CIRCLE('',#3210,1.E-1); +#3216=CIRCLE('',#3215,1.E-1); +#3225=CIRCLE('',#3224,2.E-1); +#3234=CIRCLE('',#3233,1.E-1); +#3239=CIRCLE('',#3238,1.E-1); +#3244=CIRCLE('',#3243,1.E-1); +#3249=CIRCLE('',#3248,1.E-1); +#3258=CIRCLE('',#3257,2.E-1); +#3263=CIRCLE('',#3262,5.E-1); +#3272=CIRCLE('',#3271,4.7E0); +#3285=CIRCLE('',#3284,2.E-1); +#3290=CIRCLE('',#3289,1.E-1); +#3295=CIRCLE('',#3294,1.E-1); +#3304=CIRCLE('',#3303,2.E-1); +#3313=CIRCLE('',#3312,1.E-1); +#3318=CIRCLE('',#3317,1.E-1); +#3323=CIRCLE('',#3322,1.E-1); +#3328=CIRCLE('',#3327,1.E-1); +#3333=CIRCLE('',#3332,5.E-1); +#3346=CIRCLE('',#3345,2.E-1); +#3351=CIRCLE('',#3350,1.E-1); +#3356=CIRCLE('',#3355,1.E-1); +#3365=CIRCLE('',#3364,2.E-1); +#3374=CIRCLE('',#3373,1.E-1); +#3379=CIRCLE('',#3378,1.E-1); +#3384=CIRCLE('',#3383,1.E-1); +#3389=CIRCLE('',#3388,1.E-1); +#3394=CIRCLE('',#3393,5.E-1); +#3407=CIRCLE('',#3406,2.E-1); +#3412=CIRCLE('',#3411,1.E-1); +#3417=CIRCLE('',#3416,1.E-1); +#3426=CIRCLE('',#3425,2.E-1); +#3435=CIRCLE('',#3434,1.E-1); +#3440=CIRCLE('',#3439,1.E-1); +#3445=CIRCLE('',#3444,1.E-1); +#3450=CIRCLE('',#3449,1.E-1); +#3455=CIRCLE('',#3454,5.E-1); +#3468=CIRCLE('',#3467,2.E-1); +#3473=CIRCLE('',#3472,1.E-1); +#3478=CIRCLE('',#3477,1.E-1); +#3487=CIRCLE('',#3486,2.E-1); +#3496=CIRCLE('',#3495,1.E-1); +#3501=CIRCLE('',#3500,1.E-1); +#3506=CIRCLE('',#3505,1.E-1); +#3511=CIRCLE('',#3510,1.E-1); +#3516=CIRCLE('',#3515,5.E-1); +#3529=CIRCLE('',#3528,2.E-1); +#3534=CIRCLE('',#3533,1.E-1); +#3539=CIRCLE('',#3538,1.E-1); +#3548=CIRCLE('',#3547,2.E-1); +#3557=CIRCLE('',#3556,1.E-1); +#3562=CIRCLE('',#3561,1.E-1); +#3567=CIRCLE('',#3566,1.E-1); +#3572=CIRCLE('',#3571,1.E-1); +#3577=CIRCLE('',#3576,5.E-1); +#3590=CIRCLE('',#3589,2.E-1); +#3595=CIRCLE('',#3594,1.E-1); +#3600=CIRCLE('',#3599,1.E-1); +#3609=CIRCLE('',#3608,2.E-1); +#3618=CIRCLE('',#3617,1.E-1); +#3623=CIRCLE('',#3622,1.E-1); +#3628=CIRCLE('',#3627,1.E-1); +#3633=CIRCLE('',#3632,1.E-1); +#3638=CIRCLE('',#3637,5.E-1); +#3651=CIRCLE('',#3650,2.E-1); +#3656=CIRCLE('',#3655,1.E-1); +#3661=CIRCLE('',#3660,1.E-1); +#3670=CIRCLE('',#3669,2.E-1); +#3679=CIRCLE('',#3678,1.E-1); +#3684=CIRCLE('',#3683,1.E-1); +#3689=CIRCLE('',#3688,1.E-1); +#3694=CIRCLE('',#3693,1.E-1); +#3699=CIRCLE('',#3698,6.75E-1); +#3712=CIRCLE('',#3711,2.E-1); +#3717=CIRCLE('',#3716,1.E-1); +#3722=CIRCLE('',#3721,1.E-1); +#3731=CIRCLE('',#3730,2.E-1); +#3740=CIRCLE('',#3739,1.E-1); +#3745=CIRCLE('',#3744,1.E-1); +#3750=CIRCLE('',#3749,1.E-1); +#3755=CIRCLE('',#3754,1.E-1); +#3760=CIRCLE('',#3759,5.E-1); +#3773=CIRCLE('',#3772,2.E-1); +#3778=CIRCLE('',#3777,1.E-1); +#3783=CIRCLE('',#3782,1.E-1); +#3792=CIRCLE('',#3791,2.E-1); +#3801=CIRCLE('',#3800,1.E-1); +#3806=CIRCLE('',#3805,1.E-1); +#3811=CIRCLE('',#3810,1.E-1); +#3816=CIRCLE('',#3815,1.E-1); +#3821=CIRCLE('',#3820,5.E-1); +#3834=CIRCLE('',#3833,2.E-1); +#3839=CIRCLE('',#3838,1.E-1); +#3844=CIRCLE('',#3843,1.E-1); +#3853=CIRCLE('',#3852,2.E-1); +#3862=CIRCLE('',#3861,1.E-1); +#3867=CIRCLE('',#3866,1.E-1); +#3872=CIRCLE('',#3871,1.E-1); +#3877=CIRCLE('',#3876,1.E-1); +#3882=CIRCLE('',#3881,5.E-1); +#3895=CIRCLE('',#3894,2.E-1); +#3900=CIRCLE('',#3899,1.E-1); +#3905=CIRCLE('',#3904,1.E-1); +#3914=CIRCLE('',#3913,2.E-1); +#3923=CIRCLE('',#3922,1.E-1); +#3928=CIRCLE('',#3927,1.E-1); +#3933=CIRCLE('',#3932,1.E-1); +#3938=CIRCLE('',#3937,1.E-1); +#3943=CIRCLE('',#3942,5.E-1); +#3960=CIRCLE('',#3959,6.5E-1); +#3965=CIRCLE('',#3964,6.5E-1); +#3970=CIRCLE('',#3969,6.5E-1); +#3975=CIRCLE('',#3974,6.5E-1); +#3980=CIRCLE('',#3979,6.5E-1); +#3985=CIRCLE('',#3984,6.5E-1); +#3990=CIRCLE('',#3989,6.5E-1); +#3995=CIRCLE('',#3994,6.5E-1); +#4000=CIRCLE('',#3999,6.5E-1); +#4005=CIRCLE('',#4004,6.5E-1); +#4010=CIRCLE('',#4009,6.5E-1); +#4015=CIRCLE('',#4014,6.5E-1); +#4020=CIRCLE('',#4019,6.5E-1); +#4025=CIRCLE('',#4024,6.5E-1); +#4030=CIRCLE('',#4029,6.5E-1); +#4035=CIRCLE('',#4034,6.5E-1); +#4040=CIRCLE('',#4039,6.5E-1); +#4045=CIRCLE('',#4044,6.5E-1); +#4050=CIRCLE('',#4049,6.5E-1); +#4055=CIRCLE('',#4054,6.5E-1); +#4060=CIRCLE('',#4059,6.5E-1); +#4065=CIRCLE('',#4064,6.5E-1); +#4070=CIRCLE('',#4069,6.5E-1); +#4075=CIRCLE('',#4074,6.5E-1); +#4080=CIRCLE('',#4079,6.5E-1); +#4085=CIRCLE('',#4084,6.5E-1); +#4090=CIRCLE('',#4089,6.5E-1); +#4095=CIRCLE('',#4094,6.5E-1); +#4100=CIRCLE('',#4099,6.5E-1); +#4105=CIRCLE('',#4104,6.5E-1); +#4110=CIRCLE('',#4109,6.5E-1); +#4115=CIRCLE('',#4114,6.5E-1); +#4120=CIRCLE('',#4119,6.5E-1); +#4125=CIRCLE('',#4124,6.5E-1); +#4130=CIRCLE('',#4129,6.5E-1); +#4135=CIRCLE('',#4134,6.5E-1); +#4140=CIRCLE('',#4139,6.5E-1); +#4145=CIRCLE('',#4144,6.5E-1); +#4150=CIRCLE('',#4149,6.5E-1); +#4155=CIRCLE('',#4154,6.5E-1); +#4160=CIRCLE('',#4159,6.5E-1); +#4165=CIRCLE('',#4164,6.5E-1); +#4170=CIRCLE('',#4169,6.5E-1); +#4175=CIRCLE('',#4174,6.5E-1); +#4180=CIRCLE('',#4179,6.5E-1); +#4185=CIRCLE('',#4184,6.5E-1); +#4190=CIRCLE('',#4189,6.5E-1); +#4195=CIRCLE('',#4194,6.5E-1); +#4200=CIRCLE('',#4199,6.5E-1); +#4205=CIRCLE('',#4204,6.5E-1); +#4210=CIRCLE('',#4209,6.5E-1); +#4215=CIRCLE('',#4214,6.5E-1); +#4220=CIRCLE('',#4219,6.5E-1); +#4225=CIRCLE('',#4224,6.5E-1); +#4230=CIRCLE('',#4229,6.5E-1); +#4235=CIRCLE('',#4234,6.5E-1); +#4240=CIRCLE('',#4239,6.5E-1); +#4245=CIRCLE('',#4244,6.5E-1); +#4250=CIRCLE('',#4249,6.5E-1); +#4255=CIRCLE('',#4254,6.5E-1); +#4260=CIRCLE('',#4259,6.5E-1); +#4265=CIRCLE('',#4264,6.5E-1); +#4270=CIRCLE('',#4269,6.5E-1); +#4275=CIRCLE('',#4274,6.5E-1); +#4280=CIRCLE('',#4279,6.5E-1); +#4285=CIRCLE('',#4284,6.5E-1); +#4290=CIRCLE('',#4289,6.5E-1); +#4295=CIRCLE('',#4294,6.5E-1); +#4300=CIRCLE('',#4299,6.5E-1); +#4305=CIRCLE('',#4304,6.5E-1); +#4582=CIRCLE('',#4581,5.E-1); +#4587=CIRCLE('',#4586,5.E-1); +#4712=CIRCLE('',#4711,6.2E0); +#4717=CIRCLE('',#4716,6.2E0); +#4726=CIRCLE('',#4725,5.E-1); +#4731=CIRCLE('',#4730,5.E-1); +#4736=CIRCLE('',#4735,5.E-1); +#4741=CIRCLE('',#4740,5.E-1); +#4746=CIRCLE('',#4745,5.E-1); +#4751=CIRCLE('',#4750,5.E-1); +#4776=CIRCLE('',#4775,6.2E0); +#4781=CIRCLE('',#4780,6.2E0); +#4790=CIRCLE('',#4789,1.085E0); +#4795=CIRCLE('',#4794,1.085E0); +#4800=CIRCLE('',#4799,1.085E0); +#4805=CIRCLE('',#4804,1.085E0); +#4810=CIRCLE('',#4809,1.085E0); +#4815=CIRCLE('',#4814,1.085E0); +#4820=CIRCLE('',#4819,1.085E0); +#4825=CIRCLE('',#4824,1.085E0); +#4834=CIRCLE('',#4833,1.E0); +#4839=CIRCLE('',#4838,3.25E0); +#4848=CIRCLE('',#4847,3.25E0); +#4853=CIRCLE('',#4852,1.E0); +#4866=CIRCLE('',#4865,3.25E0); +#4875=CIRCLE('',#4874,3.25E0); +#4884=CIRCLE('',#4883,1.E0); +#4889=CIRCLE('',#4888,1.E0); +#4898=CIRCLE('',#4897,3.25E0); +#4907=CIRCLE('',#4906,3.25E0); +#4920=CIRCLE('',#4919,1.E0); +#4925=CIRCLE('',#4924,3.25E0); +#4934=CIRCLE('',#4933,3.25E0); +#4939=CIRCLE('',#4938,1.E0); +#4956=CIRCLE('',#4955,1.085E0); +#4961=CIRCLE('',#4960,1.085E0); +#4966=CIRCLE('',#4965,1.085E0); +#4971=CIRCLE('',#4970,1.085E0); +#4976=CIRCLE('',#4975,1.085E0); +#4981=CIRCLE('',#4980,1.085E0); +#4986=CIRCLE('',#4985,1.085E0); +#4991=CIRCLE('',#4990,1.085E0); +#5044=CIRCLE('',#5043,6.2E0); +#5049=CIRCLE('',#5048,6.2E0); +#5058=CIRCLE('',#5057,6.2E0); +#5079=CIRCLE('',#5078,6.2E0); +#5084=CIRCLE('',#5083,6.2E0); +#5093=CIRCLE('',#5092,6.2E0); +#5106=CIRCLE('',#5105,5.E0); +#5115=CIRCLE('',#5114,5.E0); +#5124=CIRCLE('',#5123,5.E0); +#5133=CIRCLE('',#5132,5.E0); +#5142=CIRCLE('',#5141,3.25E0); +#5151=CIRCLE('',#5150,3.25E0); +#5156=CIRCLE('',#5155,1.E0); +#5165=CIRCLE('',#5164,6.7E0); +#5174=CIRCLE('',#5173,6.7E0); +#5183=CIRCLE('',#5182,1.E0); +#5188=CIRCLE('',#5187,3.25E0); +#5197=CIRCLE('',#5196,3.25E0); +#5202=CIRCLE('',#5201,1.E0); +#5207=CIRCLE('',#5206,6.7E0); +#5216=CIRCLE('',#5215,1.E0); +#5225=CIRCLE('',#5224,3.25E0); +#5234=CIRCLE('',#5233,3.25E0); +#5243=CIRCLE('',#5242,6.7E0); +#5252=CIRCLE('',#5251,6.7E0); +#5261=CIRCLE('',#5260,3.25E0); +#5270=CIRCLE('',#5269,3.25E0); +#5279=CIRCLE('',#5278,1.E0); +#5288=CIRCLE('',#5287,6.7E0); +#5293=CIRCLE('',#5292,1.E0); +#5298=CIRCLE('',#5297,2.3E0); +#5307=CIRCLE('',#5306,2.3E0); +#5316=CIRCLE('',#5315,2.3E0); +#5325=CIRCLE('',#5324,2.3E0); +#5334=CIRCLE('',#5333,4.9E0); +#5343=CIRCLE('',#5342,4.9E0); +#5352=CIRCLE('',#5351,4.9E0); +#5361=CIRCLE('',#5360,4.9E0); +#5370=CIRCLE('',#5369,5.E0); +#5387=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5379,#5380,#5381,#5382,#5383,#5384,#5385, +#5386),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#5416=CIRCLE('',#5415,1.E0); +#5421=CIRCLE('',#5420,3.E0); +#5426=CIRCLE('',#5425,3.E0); +#5431=CIRCLE('',#5430,1.E0); +#5440=CIRCLE('',#5439,4.5E0); +#5449=CIRCLE('',#5448,4.5E0); +#5458=CIRCLE('',#5457,1.E0); +#5463=CIRCLE('',#5462,3.E0); +#5468=CIRCLE('',#5467,3.E0); +#5473=CIRCLE('',#5472,1.E0); +#5490=CIRCLE('',#5489,1.E0); +#5495=CIRCLE('',#5494,3.E0); +#5500=CIRCLE('',#5499,3.E0); +#5505=CIRCLE('',#5504,1.E0); +#5518=CIRCLE('',#5517,4.5E0); +#5527=CIRCLE('',#5526,4.5E0); +#5540=CIRCLE('',#5539,1.E0); +#5545=CIRCLE('',#5544,3.E0); +#5550=CIRCLE('',#5549,3.E0); +#5555=CIRCLE('',#5554,1.E0); +#5576=CIRCLE('',#5575,1.5E0); +#5585=CIRCLE('',#5584,1.5E0); +#5594=CIRCLE('',#5593,1.5E0); +#5603=CIRCLE('',#5602,1.5E0); +#5612=CIRCLE('',#5611,1.5E0); +#5621=CIRCLE('',#5620,1.5E0); +#5630=CIRCLE('',#5629,1.5E0); +#5643=CIRCLE('',#5642,1.5E0); +#5652=CIRCLE('',#5651,1.5E0); +#5661=CIRCLE('',#5660,1.5E0); +#5670=CIRCLE('',#5669,1.5E0); +#5679=CIRCLE('',#5678,1.5E0); +#5688=CIRCLE('',#5687,1.5E0); +#5697=CIRCLE('',#5696,1.5E0); +#5706=CIRCLE('',#5705,1.5E0); +#5715=CIRCLE('',#5714,1.5E0); +#5724=CIRCLE('',#5723,1.5E0); +#5733=CIRCLE('',#5732,1.5E0); +#5742=CIRCLE('',#5741,1.5E0); +#5755=CIRCLE('',#5754,1.5E0); +#5764=CIRCLE('',#5763,1.5E0); +#5773=CIRCLE('',#5772,1.5E0); +#5782=CIRCLE('',#5781,1.5E0); +#5791=CIRCLE('',#5790,1.5E0); +#5800=CIRCLE('',#5799,6.5E-1); +#5805=CIRCLE('',#5804,6.5E-1); +#5810=CIRCLE('',#5809,6.5E-1); +#5815=CIRCLE('',#5814,6.5E-1); +#5820=CIRCLE('',#5819,6.5E-1); +#5825=CIRCLE('',#5824,6.5E-1); +#5830=CIRCLE('',#5829,6.5E-1); +#5835=CIRCLE('',#5834,6.5E-1); +#5840=CIRCLE('',#5839,6.5E-1); +#5845=CIRCLE('',#5844,6.5E-1); +#5850=CIRCLE('',#5849,6.5E-1); +#5855=CIRCLE('',#5854,6.5E-1); +#5860=CIRCLE('',#5859,6.5E-1); +#5865=CIRCLE('',#5864,6.5E-1); +#5870=CIRCLE('',#5869,6.5E-1); +#5875=CIRCLE('',#5874,6.5E-1); +#5880=CIRCLE('',#5879,6.5E-1); +#5885=CIRCLE('',#5884,6.5E-1); +#5890=CIRCLE('',#5889,6.5E-1); +#5895=CIRCLE('',#5894,6.5E-1); +#5900=CIRCLE('',#5899,6.5E-1); +#5905=CIRCLE('',#5904,6.5E-1); +#5910=CIRCLE('',#5909,6.5E-1); +#5915=CIRCLE('',#5914,6.5E-1); +#5920=CIRCLE('',#5919,6.5E-1); +#5925=CIRCLE('',#5924,6.5E-1); +#5930=CIRCLE('',#5929,6.5E-1); +#5935=CIRCLE('',#5934,6.5E-1); +#5940=CIRCLE('',#5939,6.5E-1); +#5945=CIRCLE('',#5944,6.5E-1); +#5950=CIRCLE('',#5949,6.5E-1); +#5955=CIRCLE('',#5954,6.5E-1); +#5960=CIRCLE('',#5959,6.5E-1); +#5965=CIRCLE('',#5964,6.5E-1); +#5970=CIRCLE('',#5969,6.5E-1); +#5975=CIRCLE('',#5974,6.5E-1); +#5980=CIRCLE('',#5979,6.5E-1); +#5985=CIRCLE('',#5984,6.5E-1); +#5990=CIRCLE('',#5989,6.5E-1); +#5995=CIRCLE('',#5994,6.5E-1); +#6000=CIRCLE('',#5999,6.5E-1); +#6005=CIRCLE('',#6004,6.5E-1); +#6010=CIRCLE('',#6009,6.5E-1); +#6015=CIRCLE('',#6014,6.5E-1); +#6020=CIRCLE('',#6019,6.5E-1); +#6025=CIRCLE('',#6024,6.5E-1); +#6030=CIRCLE('',#6029,6.5E-1); +#6035=CIRCLE('',#6034,6.5E-1); +#6040=CIRCLE('',#6039,6.5E-1); +#6045=CIRCLE('',#6044,6.5E-1); +#6050=CIRCLE('',#6049,6.5E-1); +#6055=CIRCLE('',#6054,6.5E-1); +#6060=CIRCLE('',#6059,6.5E-1); +#6065=CIRCLE('',#6064,6.5E-1); +#6070=CIRCLE('',#6069,6.5E-1); +#6075=CIRCLE('',#6074,6.5E-1); +#6080=CIRCLE('',#6079,6.5E-1); +#6085=CIRCLE('',#6084,6.5E-1); +#6090=CIRCLE('',#6089,6.5E-1); +#6095=CIRCLE('',#6094,6.5E-1); +#6100=CIRCLE('',#6099,6.5E-1); +#6105=CIRCLE('',#6104,6.5E-1); +#6110=CIRCLE('',#6109,6.5E-1); +#6115=CIRCLE('',#6114,6.5E-1); +#6120=CIRCLE('',#6119,6.5E-1); +#6125=CIRCLE('',#6124,6.5E-1); +#6130=CIRCLE('',#6129,6.5E-1); +#6135=CIRCLE('',#6134,6.5E-1); +#6140=CIRCLE('',#6139,6.5E-1); +#6145=CIRCLE('',#6144,6.5E-1); +#6154=CIRCLE('',#6153,1.5E0); +#6163=CIRCLE('',#6162,1.5E0); +#6172=CIRCLE('',#6171,1.5E0); +#6181=CIRCLE('',#6180,1.5E0); +#6190=CIRCLE('',#6189,1.5E0); +#6199=CIRCLE('',#6198,1.5E0); +#6224=CIRCLE('',#6223,1.5E0); +#6233=CIRCLE('',#6232,1.5E0); +#6242=CIRCLE('',#6241,1.5E0); +#6251=CIRCLE('',#6250,1.5E0); +#6260=CIRCLE('',#6259,1.5E0); +#6269=CIRCLE('',#6268,1.5E0); +#6294=CIRCLE('',#6293,1.5E0); +#6303=CIRCLE('',#6302,1.5E0); +#6312=CIRCLE('',#6311,1.5E0); +#6321=CIRCLE('',#6320,1.5E0); +#6330=CIRCLE('',#6329,1.5E0); +#6339=CIRCLE('',#6338,1.5E0); +#6348=CIRCLE('',#6347,1.5E0); +#6357=CIRCLE('',#6356,1.5E0); +#6366=CIRCLE('',#6365,1.5E0); +#6375=CIRCLE('',#6374,1.5E0); +#6384=CIRCLE('',#6383,1.5E0); +#6393=CIRCLE('',#6392,1.5E0); +#6626=CIRCLE('',#6625,6.5E-1); +#6631=CIRCLE('',#6630,6.5E-1); +#6636=CIRCLE('',#6635,6.5E-1); +#6641=CIRCLE('',#6640,6.5E-1); +#6646=CIRCLE('',#6645,6.5E-1); +#6651=CIRCLE('',#6650,6.5E-1); +#6656=CIRCLE('',#6655,6.5E-1); +#6661=CIRCLE('',#6660,6.5E-1); +#6666=CIRCLE('',#6665,6.5E-1); +#6671=CIRCLE('',#6670,6.5E-1); +#6676=CIRCLE('',#6675,6.5E-1); +#6681=CIRCLE('',#6680,6.5E-1); +#6686=CIRCLE('',#6685,6.5E-1); +#6691=CIRCLE('',#6690,6.5E-1); +#6696=CIRCLE('',#6695,6.5E-1); +#6701=CIRCLE('',#6700,6.5E-1); +#6706=CIRCLE('',#6705,6.5E-1); +#6711=CIRCLE('',#6710,6.5E-1); +#6716=CIRCLE('',#6715,6.5E-1); +#6721=CIRCLE('',#6720,6.5E-1); +#6726=CIRCLE('',#6725,6.5E-1); +#6731=CIRCLE('',#6730,6.5E-1); +#6736=CIRCLE('',#6735,6.5E-1); +#6741=CIRCLE('',#6740,6.5E-1); +#6746=CIRCLE('',#6745,6.5E-1); +#6751=CIRCLE('',#6750,6.5E-1); +#6756=CIRCLE('',#6755,6.5E-1); +#6761=CIRCLE('',#6760,6.5E-1); +#6766=CIRCLE('',#6765,6.5E-1); +#6771=CIRCLE('',#6770,6.5E-1); +#6776=CIRCLE('',#6775,6.5E-1); +#6781=CIRCLE('',#6780,6.5E-1); +#6786=CIRCLE('',#6785,6.5E-1); +#6791=CIRCLE('',#6790,6.5E-1); +#6796=CIRCLE('',#6795,6.5E-1); +#6801=CIRCLE('',#6800,6.5E-1); +#6806=CIRCLE('',#6805,6.5E-1); +#6811=CIRCLE('',#6810,6.5E-1); +#6816=CIRCLE('',#6815,6.5E-1); +#6821=CIRCLE('',#6820,6.5E-1); +#6826=CIRCLE('',#6825,6.5E-1); +#6831=CIRCLE('',#6830,6.5E-1); +#6836=CIRCLE('',#6835,6.5E-1); +#6841=CIRCLE('',#6840,6.5E-1); +#6846=CIRCLE('',#6845,6.5E-1); +#6851=CIRCLE('',#6850,6.5E-1); +#6856=CIRCLE('',#6855,6.5E-1); +#6861=CIRCLE('',#6860,6.5E-1); +#6866=CIRCLE('',#6865,6.5E-1); +#6871=CIRCLE('',#6870,6.5E-1); +#6876=CIRCLE('',#6875,6.5E-1); +#6881=CIRCLE('',#6880,6.5E-1); +#6886=CIRCLE('',#6885,6.5E-1); +#6891=CIRCLE('',#6890,6.5E-1); +#6896=CIRCLE('',#6895,6.5E-1); +#6901=CIRCLE('',#6900,6.5E-1); +#6906=CIRCLE('',#6905,6.5E-1); +#6911=CIRCLE('',#6910,6.5E-1); +#6916=CIRCLE('',#6915,6.5E-1); +#6921=CIRCLE('',#6920,6.5E-1); +#6926=CIRCLE('',#6925,6.5E-1); +#6931=CIRCLE('',#6930,6.5E-1); +#6936=CIRCLE('',#6935,6.5E-1); +#6941=CIRCLE('',#6940,6.5E-1); +#6946=CIRCLE('',#6945,6.5E-1); +#6951=CIRCLE('',#6950,6.5E-1); +#6956=CIRCLE('',#6955,6.5E-1); +#6961=CIRCLE('',#6960,6.5E-1); +#6966=CIRCLE('',#6965,6.5E-1); +#6971=CIRCLE('',#6970,6.5E-1); +#7248=CIRCLE('',#7247,5.E-1); +#7253=CIRCLE('',#7252,5.E-1); +#7278=CIRCLE('',#7277,3.E0); +#7287=CIRCLE('',#7286,3.E0); +#7296=CIRCLE('',#7295,5.E-1); +#7301=CIRCLE('',#7300,2.5E0); +#7306=CIRCLE('',#7305,2.5E0); +#7311=CIRCLE('',#7310,2.5E0); +#7316=CIRCLE('',#7315,2.5E0); +#7321=CIRCLE('',#7320,2.5E0); +#7326=CIRCLE('',#7325,2.5E0); +#7331=CIRCLE('',#7330,2.5E0); +#7336=CIRCLE('',#7335,2.5E0); +#7341=CIRCLE('',#7340,7.5E-1); +#7346=CIRCLE('',#7345,7.5E-1); +#7351=CIRCLE('',#7350,7.5E-1); +#7356=CIRCLE('',#7355,7.5E-1); +#7361=CIRCLE('',#7360,5.E-1); +#7366=CIRCLE('',#7365,5.E-1); +#7371=CIRCLE('',#7370,3.E0); +#7384=CIRCLE('',#7383,3.E0); +#7389=CIRCLE('',#7388,3.E0); +#7398=CIRCLE('',#7397,3.E0); +#7407=CIRCLE('',#7406,1.E0); +#7420=CIRCLE('',#7419,5.E0); +#7429=CIRCLE('',#7428,1.E0); +#7434=CIRCLE('',#7433,1.E0); +#7443=CIRCLE('',#7442,5.E0); +#7456=CIRCLE('',#7455,1.E0); +#7461=CIRCLE('',#7460,1.E0); +#7478=CIRCLE('',#7477,1.E0); +#7483=CIRCLE('',#7482,1.E0); +#7500=CIRCLE('',#7499,1.E0); +#7505=CIRCLE('',#7504,3.E0); +#7514=CIRCLE('',#7513,3.E0); +#7523=CIRCLE('',#7522,5.E-1); +#7528=CIRCLE('',#7527,5.E-1); +#7533=CIRCLE('',#7532,3.E0); +#7546=CIRCLE('',#7545,3.E0); +#7567=CIRCLE('',#7566,5.E0); +#7576=CIRCLE('',#7575,5.E-1); +#7585=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7577,#7578,#7579,#7580,#7581,#7582,#7583, +#7584),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#7590=CIRCLE('',#7589,5.E-1); +#7603=CIRCLE('',#7602,3.E0); +#7616=CIRCLE('',#7615,3.E0); +#7621=CIRCLE('',#7620,5.E-1); +#7626=CIRCLE('',#7625,5.E-1); +#7631=CIRCLE('',#7630,3.E0); +#7640=CIRCLE('',#7639,3.E0); +#7665=CIRCLE('',#7664,5.E-1); +#7670=CIRCLE('',#7669,5.E-1); +#7675=CIRCLE('',#7674,5.E-1); +#7680=CIRCLE('',#7679,5.E0); +#7697=CIRCLE('',#7696,5.E-1); +#7702=CIRCLE('',#7701,5.E-1); +#7707=CIRCLE('',#7706,5.E0); +#7716=CIRCLE('',#7715,5.E-1); +#7729=CIRCLE('',#7728,3.E0); +#7742=CIRCLE('',#7741,3.E0); +#7747=CIRCLE('',#7746,5.E-1); +#7764=CIRCLE('',#7763,5.E-1); +#7769=CIRCLE('',#7768,5.E-1); +#7794=CIRCLE('',#7793,7.5E-1); +#7799=CIRCLE('',#7798,7.5E-1); +#7804=CIRCLE('',#7803,7.5E-1); +#7809=CIRCLE('',#7808,7.5E-1); +#7837=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7826,#7827,#7828,#7829,#7830,#7831,#7832, +#7833,#7834,#7835,#7836),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#7842=CIRCLE('',#7841,5.E-1); +#7849=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7843,#7844,#7845,#7846,#7847,#7848), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#7858=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7850,#7851,#7852,#7853,#7854,#7855,#7856, +#7857),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#7863=CIRCLE('',#7862,5.E-1); +#7870=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7864,#7865,#7866,#7867,#7868,#7869), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#7875=CIRCLE('',#7874,5.E-1); +#7884=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7876,#7877,#7878,#7879,#7880,#7881,#7882, +#7883),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#7896=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7885,#7886,#7887,#7888,#7889,#7890,#7891, +#7892,#7893,#7894,#7895),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#7906=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7897,#7898,#7899,#7900,#7901,#7902,#7903, +#7904,#7905),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7936=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7927,#7928,#7929,#7930,#7931,#7932,#7933, +#7934,#7935),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7941=CIRCLE('',#7940,5.E-1); +#7946=CIRCLE('',#7945,5.E-1); +#7956=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7947,#7948,#7949,#7950,#7951,#7952,#7953, +#7954,#7955),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7988=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7977,#7978,#7979,#7980,#7981,#7982,#7983, +#7984,#7985,#7986,#7987),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#7993=CIRCLE('',#7992,5.E-1); +#8026=CIRCLE('',#8025,2.3E0); +#8035=CIRCLE('',#8034,5.E-1); +#8040=CIRCLE('',#8039,2.8E0); +#8049=CIRCLE('',#8048,2.8E0); +#8058=CIRCLE('',#8057,2.8E0); +#8067=CIRCLE('',#8066,2.8E0); +#8076=CIRCLE('',#8075,4.4E0); +#8085=CIRCLE('',#8084,4.4E0); +#8094=CIRCLE('',#8093,4.4E0); +#8103=CIRCLE('',#8102,4.4E0); +#8112=CIRCLE('',#8111,5.E-1); +#8125=CIRCLE('',#8124,2.3E0); +#8134=CIRCLE('',#8133,5.E-1); +#8139=CIRCLE('',#8138,5.E-1); +#8152=CIRCLE('',#8151,2.3E0); +#8161=CIRCLE('',#8160,5.E-1); +#8166=CIRCLE('',#8165,5.E-1); +#8179=CIRCLE('',#8178,2.3E0); +#8188=CIRCLE('',#8187,5.E-1); +#8193=CIRCLE('',#8192,5.E-1); +#8206=CIRCLE('',#8205,5.E-1); +#8211=CIRCLE('',#8210,5.E-1); +#8216=CIRCLE('',#8215,5.E-1); +#8221=CIRCLE('',#8220,5.E-1); +#8226=CIRCLE('',#8225,5.E-1); +#8231=CIRCLE('',#8230,5.E-1); +#8236=CIRCLE('',#8235,5.E-1); +#8241=CIRCLE('',#8240,5.E-1); +#8254=CIRCLE('',#8253,4.9E0); +#8271=CIRCLE('',#8270,4.9E0); +#8288=CIRCLE('',#8287,4.9E0); +#8305=CIRCLE('',#8304,4.9E0); +#10607=EDGE_CURVE('',#9330,#9331,#42,.T.); +#10609=EDGE_CURVE('',#9337,#9330,#46,.T.); +#10611=EDGE_CURVE('',#9341,#9337,#51,.T.); +#10613=EDGE_CURVE('',#9345,#9341,#55,.T.); +#10615=EDGE_CURVE('',#9349,#9345,#60,.T.); +#10617=EDGE_CURVE('',#9353,#9349,#64,.T.); +#10619=EDGE_CURVE('',#9357,#9353,#69,.T.); +#10621=EDGE_CURVE('',#9331,#9357,#73,.T.); +#10625=EDGE_CURVE('',#8814,#8815,#5,.T.); +#10627=EDGE_CURVE('',#8827,#8814,#10,.T.); +#10629=EDGE_CURVE('',#8825,#8827,#14,.T.); +#10631=EDGE_CURVE('',#8823,#8825,#19,.T.); +#10633=EDGE_CURVE('',#8821,#8823,#23,.T.); +#10635=EDGE_CURVE('',#8819,#8821,#28,.T.); +#10637=EDGE_CURVE('',#8817,#8819,#32,.T.); +#10639=EDGE_CURVE('',#8815,#8817,#37,.T.); +#10643=ADVANCED_FACE('',(#10624,#10642),#10606,.T.); +#10650=EDGE_CURVE('',#8843,#8815,#77,.T.); +#10652=EDGE_CURVE('',#8843,#8830,#389,.T.); +#10654=EDGE_CURVE('',#8830,#8814,#101,.T.); +#10658=ADVANCED_FACE('',(#10657),#10648,.F.); +#10665=EDGE_CURVE('',#8841,#8817,#81,.T.); +#10667=EDGE_CURVE('',#8841,#8843,#3272,.T.); +#10672=ADVANCED_FACE('',(#10671),#10663,.F.); +#10679=EDGE_CURVE('',#8839,#8819,#85,.T.); +#10681=EDGE_CURVE('',#8839,#8841,#2867,.T.); +#10686=ADVANCED_FACE('',(#10685),#10677,.F.); +#10693=EDGE_CURVE('',#8837,#8821,#89,.T.); +#10695=EDGE_CURVE('',#8837,#8839,#2822,.T.); +#10700=ADVANCED_FACE('',(#10699),#10691,.F.); +#10707=EDGE_CURVE('',#8835,#8823,#93,.T.); +#10709=EDGE_CURVE('',#8835,#8837,#2066,.T.); +#10714=ADVANCED_FACE('',(#10713),#10705,.F.); +#10721=EDGE_CURVE('',#8833,#8825,#97,.T.); +#10723=EDGE_CURVE('',#8833,#8835,#3160,.T.); +#10728=ADVANCED_FACE('',(#10727),#10719,.F.); +#10735=EDGE_CURVE('',#8831,#8827,#105,.T.); +#10737=EDGE_CURVE('',#8831,#8833,#3047,.T.); +#10742=ADVANCED_FACE('',(#10741),#10733,.F.); +#10750=EDGE_CURVE('',#8830,#8831,#110,.T.); +#10755=ADVANCED_FACE('',(#10754),#10747,.F.); +#10762=EDGE_CURVE('',#8830,#8328,#114,.T.); +#10764=EDGE_CURVE('',#8328,#8329,#955,.T.); +#10766=EDGE_CURVE('',#8831,#8329,#3051,.T.); +#10770=ADVANCED_FACE('',(#10769),#10760,.F.); +#10776=EDGE_CURVE('',#9949,#9953,#121,.T.); +#10778=EDGE_CURVE('',#8906,#9953,#125,.T.); +#10780=EDGE_CURVE('',#8906,#8907,#1129,.T.); +#10782=EDGE_CURVE('',#8907,#9999,#129,.T.); +#10784=EDGE_CURVE('',#9999,#9996,#136,.T.); +#10786=EDGE_CURVE('',#9996,#9997,#140,.T.); +#10788=EDGE_CURVE('',#9997,#10001,#147,.T.); +#10790=EDGE_CURVE('',#8910,#10001,#151,.T.); +#10792=EDGE_CURVE('',#8910,#8911,#1112,.T.); +#10794=EDGE_CURVE('',#8911,#10023,#155,.T.); +#10796=EDGE_CURVE('',#10023,#10020,#162,.T.); +#10798=EDGE_CURVE('',#10020,#10021,#166,.T.); +#10800=EDGE_CURVE('',#10021,#10025,#173,.T.); +#10802=EDGE_CURVE('',#8914,#10025,#177,.T.); +#10804=EDGE_CURVE('',#8914,#8915,#1095,.T.); +#10806=EDGE_CURVE('',#8915,#10047,#181,.T.); +#10808=EDGE_CURVE('',#10047,#10044,#188,.T.); +#10810=EDGE_CURVE('',#10044,#10045,#192,.T.); +#10812=EDGE_CURVE('',#10045,#10049,#199,.T.); +#10814=EDGE_CURVE('',#8918,#10049,#203,.T.); +#10816=EDGE_CURVE('',#8918,#8919,#1078,.T.); +#10818=EDGE_CURVE('',#8919,#10071,#207,.T.); +#10820=EDGE_CURVE('',#10071,#10068,#214,.T.); +#10822=EDGE_CURVE('',#10068,#10069,#218,.T.); +#10824=EDGE_CURVE('',#10069,#10073,#225,.T.); +#10826=EDGE_CURVE('',#8922,#10073,#229,.T.); +#10828=EDGE_CURVE('',#8922,#8923,#1061,.T.); +#10830=EDGE_CURVE('',#8923,#10095,#233,.T.); +#10832=EDGE_CURVE('',#10095,#10092,#240,.T.); +#10834=EDGE_CURVE('',#10092,#10093,#244,.T.); +#10836=EDGE_CURVE('',#10093,#10097,#251,.T.); +#10838=EDGE_CURVE('',#8926,#10097,#255,.T.); +#10840=EDGE_CURVE('',#8926,#8927,#1044,.T.); +#10842=EDGE_CURVE('',#8927,#10119,#259,.T.); +#10844=EDGE_CURVE('',#10119,#10116,#266,.T.); +#10846=EDGE_CURVE('',#10116,#10117,#270,.T.); +#10848=EDGE_CURVE('',#10117,#10121,#277,.T.); +#10850=EDGE_CURVE('',#8930,#10121,#281,.T.); +#10852=EDGE_CURVE('',#8930,#8931,#1027,.T.); +#10854=EDGE_CURVE('',#8931,#10143,#285,.T.); +#10856=EDGE_CURVE('',#10143,#10140,#292,.T.); +#10858=EDGE_CURVE('',#10140,#10141,#296,.T.); +#10860=EDGE_CURVE('',#10141,#10145,#303,.T.); +#10862=EDGE_CURVE('',#9846,#10145,#307,.T.); +#10864=EDGE_CURVE('',#9846,#9857,#1010,.T.); +#10866=EDGE_CURVE('',#9857,#10167,#311,.T.); +#10868=EDGE_CURVE('',#10167,#10164,#318,.T.); +#10870=EDGE_CURVE('',#10164,#10165,#322,.T.); +#10872=EDGE_CURVE('',#10165,#10169,#329,.T.); +#10874=EDGE_CURVE('',#9852,#10169,#333,.T.); +#10876=EDGE_CURVE('',#9852,#9865,#993,.T.); +#10878=EDGE_CURVE('',#9865,#10191,#337,.T.); +#10880=EDGE_CURVE('',#10191,#10188,#344,.T.); +#10882=EDGE_CURVE('',#10188,#10189,#348,.T.); +#10884=EDGE_CURVE('',#10189,#10193,#355,.T.); +#10886=EDGE_CURVE('',#9860,#10193,#359,.T.); +#10888=EDGE_CURVE('',#9860,#9873,#976,.T.); +#10890=EDGE_CURVE('',#9873,#10215,#363,.T.); +#10892=EDGE_CURVE('',#10215,#10196,#370,.T.); +#10894=EDGE_CURVE('',#10196,#10197,#374,.T.); +#10896=EDGE_CURVE('',#10197,#10217,#381,.T.); +#10898=EDGE_CURVE('',#9868,#10217,#385,.T.); +#10900=EDGE_CURVE('',#9868,#8328,#959,.T.); +#10904=EDGE_CURVE('',#8843,#8341,#393,.T.); +#10906=EDGE_CURVE('',#8341,#8899,#1163,.T.); +#10908=EDGE_CURVE('',#8899,#9975,#397,.T.); +#10910=EDGE_CURVE('',#9975,#9972,#404,.T.); +#10912=EDGE_CURVE('',#9972,#9973,#408,.T.); +#10914=EDGE_CURVE('',#9973,#9977,#415,.T.); +#10916=EDGE_CURVE('',#8902,#9977,#419,.T.); +#10918=EDGE_CURVE('',#8902,#8903,#1146,.T.); +#10920=EDGE_CURVE('',#8903,#9951,#423,.T.); +#10922=EDGE_CURVE('',#9951,#9948,#430,.T.); +#10924=EDGE_CURVE('',#9948,#9949,#434,.T.); +#10928=ADVANCED_FACE('',(#10927),#10775,.F.); +#10934=EDGE_CURVE('',#9936,#9953,#453,.T.); +#10937=EDGE_CURVE('',#9932,#9949,#439,.T.); +#10939=EDGE_CURVE('',#9936,#9932,#444,.T.); +#10943=ADVANCED_FACE('',(#10942),#10933,.T.); +#10949=EDGE_CURVE('',#9936,#9937,#448,.T.); +#10951=EDGE_CURVE('',#9787,#9937,#903,.T.); +#10953=EDGE_CURVE('',#8906,#9787,#1133,.T.); +#10959=ADVANCED_FACE('',(#10958),#10948,.T.); +#10965=EDGE_CURVE('',#9932,#9933,#457,.T.); +#10967=EDGE_CURVE('',#9933,#9937,#894,.T.); +#10973=ADVANCED_FACE('',(#10972),#10964,.T.); +#10980=EDGE_CURVE('',#9945,#9932,#461,.T.); +#10982=EDGE_CURVE('',#9939,#9945,#465,.T.); +#10984=EDGE_CURVE('',#9933,#9939,#470,.T.); +#10988=ADVANCED_FACE('',(#10987),#10978,.T.); +#10994=EDGE_CURVE('',#9956,#9957,#474,.T.); +#10996=EDGE_CURVE('',#9969,#9956,#478,.T.); +#10998=EDGE_CURVE('',#9963,#9969,#482,.T.); +#11000=EDGE_CURVE('',#9957,#9963,#487,.T.); +#11004=ADVANCED_FACE('',(#11003),#10993,.T.); +#11010=EDGE_CURVE('',#9980,#9981,#491,.T.); +#11012=EDGE_CURVE('',#9993,#9980,#495,.T.); +#11014=EDGE_CURVE('',#9987,#9993,#499,.T.); +#11016=EDGE_CURVE('',#9981,#9987,#504,.T.); +#11020=ADVANCED_FACE('',(#11019),#11009,.T.); +#11026=EDGE_CURVE('',#10004,#10005,#508,.T.); +#11028=EDGE_CURVE('',#10017,#10004,#512,.T.); +#11030=EDGE_CURVE('',#10011,#10017,#516,.T.); +#11032=EDGE_CURVE('',#10005,#10011,#521,.T.); +#11036=ADVANCED_FACE('',(#11035),#11025,.T.); +#11042=EDGE_CURVE('',#10028,#10029,#525,.T.); +#11044=EDGE_CURVE('',#10041,#10028,#529,.T.); +#11046=EDGE_CURVE('',#10035,#10041,#533,.T.); +#11048=EDGE_CURVE('',#10029,#10035,#538,.T.); +#11052=ADVANCED_FACE('',(#11051),#11041,.T.); +#11058=EDGE_CURVE('',#10052,#10053,#542,.T.); +#11060=EDGE_CURVE('',#10065,#10052,#546,.T.); +#11062=EDGE_CURVE('',#10059,#10065,#550,.T.); +#11064=EDGE_CURVE('',#10053,#10059,#555,.T.); +#11068=ADVANCED_FACE('',(#11067),#11057,.T.); +#11074=EDGE_CURVE('',#10076,#10077,#559,.T.); +#11076=EDGE_CURVE('',#10089,#10076,#563,.T.); +#11078=EDGE_CURVE('',#10083,#10089,#567,.T.); +#11080=EDGE_CURVE('',#10077,#10083,#572,.T.); +#11084=ADVANCED_FACE('',(#11083),#11073,.T.); +#11090=EDGE_CURVE('',#10100,#10101,#576,.T.); +#11092=EDGE_CURVE('',#10113,#10100,#580,.T.); +#11094=EDGE_CURVE('',#10107,#10113,#584,.T.); +#11096=EDGE_CURVE('',#10101,#10107,#589,.T.); +#11100=ADVANCED_FACE('',(#11099),#11089,.T.); +#11106=EDGE_CURVE('',#10124,#10125,#593,.T.); +#11108=EDGE_CURVE('',#10137,#10124,#597,.T.); +#11110=EDGE_CURVE('',#10131,#10137,#601,.T.); +#11112=EDGE_CURVE('',#10125,#10131,#606,.T.); +#11116=ADVANCED_FACE('',(#11115),#11105,.T.); +#11122=EDGE_CURVE('',#10148,#10149,#610,.T.); +#11124=EDGE_CURVE('',#10161,#10148,#614,.T.); +#11126=EDGE_CURVE('',#10155,#10161,#618,.T.); +#11128=EDGE_CURVE('',#10149,#10155,#623,.T.); +#11132=ADVANCED_FACE('',(#11131),#11121,.T.); +#11138=EDGE_CURVE('',#10172,#10173,#627,.T.); +#11140=EDGE_CURVE('',#10185,#10172,#631,.T.); +#11142=EDGE_CURVE('',#10179,#10185,#635,.T.); +#11144=EDGE_CURVE('',#10173,#10179,#640,.T.); +#11148=ADVANCED_FACE('',(#11147),#11137,.T.); +#11154=EDGE_CURVE('',#10200,#10201,#644,.T.); +#11156=EDGE_CURVE('',#10209,#10200,#648,.T.); +#11158=EDGE_CURVE('',#10203,#10209,#653,.T.); +#11160=EDGE_CURVE('',#10201,#10203,#657,.T.); +#11164=ADVANCED_FACE('',(#11163),#11153,.T.); +#11170=EDGE_CURVE('',#10272,#10273,#661,.T.); +#11172=EDGE_CURVE('',#10281,#10272,#665,.T.); +#11174=EDGE_CURVE('',#10275,#10281,#670,.T.); +#11176=EDGE_CURVE('',#10273,#10275,#674,.T.); +#11180=ADVANCED_FACE('',(#11179),#11169,.T.); +#11186=EDGE_CURVE('',#10292,#10293,#678,.T.); +#11188=EDGE_CURVE('',#10305,#10292,#682,.T.); +#11190=EDGE_CURVE('',#10299,#10305,#686,.T.); +#11192=EDGE_CURVE('',#10293,#10299,#691,.T.); +#11196=ADVANCED_FACE('',(#11195),#11185,.T.); +#11202=EDGE_CURVE('',#10316,#10317,#695,.T.); +#11204=EDGE_CURVE('',#10329,#10316,#699,.T.); +#11206=EDGE_CURVE('',#10323,#10329,#703,.T.); +#11208=EDGE_CURVE('',#10317,#10323,#708,.T.); +#11212=ADVANCED_FACE('',(#11211),#11201,.T.); +#11218=EDGE_CURVE('',#10340,#10341,#712,.T.); +#11220=EDGE_CURVE('',#10353,#10340,#716,.T.); +#11222=EDGE_CURVE('',#10347,#10353,#720,.T.); +#11224=EDGE_CURVE('',#10341,#10347,#725,.T.); +#11228=ADVANCED_FACE('',(#11227),#11217,.T.); +#11234=EDGE_CURVE('',#10368,#10369,#729,.T.); +#11236=EDGE_CURVE('',#10377,#10368,#733,.T.); +#11238=EDGE_CURVE('',#10371,#10377,#738,.T.); +#11240=EDGE_CURVE('',#10369,#10371,#742,.T.); +#11244=ADVANCED_FACE('',(#11243),#11233,.T.); +#11250=EDGE_CURVE('',#10392,#10393,#746,.T.); +#11252=EDGE_CURVE('',#10401,#10392,#750,.T.); +#11254=EDGE_CURVE('',#10395,#10401,#755,.T.); +#11256=EDGE_CURVE('',#10393,#10395,#759,.T.); +#11260=ADVANCED_FACE('',(#11259),#11249,.T.); +#11266=EDGE_CURVE('',#10416,#10417,#763,.T.); +#11268=EDGE_CURVE('',#10425,#10416,#767,.T.); +#11270=EDGE_CURVE('',#10419,#10425,#772,.T.); +#11272=EDGE_CURVE('',#10417,#10419,#776,.T.); +#11276=ADVANCED_FACE('',(#11275),#11265,.T.); +#11282=EDGE_CURVE('',#10440,#10441,#780,.T.); +#11284=EDGE_CURVE('',#10449,#10440,#784,.T.); +#11286=EDGE_CURVE('',#10443,#10449,#789,.T.); +#11288=EDGE_CURVE('',#10441,#10443,#793,.T.); +#11292=ADVANCED_FACE('',(#11291),#11281,.T.); +#11298=EDGE_CURVE('',#10464,#10465,#797,.T.); +#11300=EDGE_CURVE('',#10473,#10464,#801,.T.); +#11302=EDGE_CURVE('',#10467,#10473,#806,.T.); +#11304=EDGE_CURVE('',#10465,#10467,#810,.T.); +#11308=ADVANCED_FACE('',(#11307),#11297,.T.); +#11314=EDGE_CURVE('',#10488,#10489,#814,.T.); +#11316=EDGE_CURVE('',#10497,#10488,#818,.T.); +#11318=EDGE_CURVE('',#10491,#10497,#823,.T.); +#11320=EDGE_CURVE('',#10489,#10491,#827,.T.); +#11324=ADVANCED_FACE('',(#11323),#11313,.T.); +#11330=EDGE_CURVE('',#10512,#10513,#831,.T.); +#11332=EDGE_CURVE('',#10521,#10512,#835,.T.); +#11334=EDGE_CURVE('',#10515,#10521,#840,.T.); +#11336=EDGE_CURVE('',#10513,#10515,#844,.T.); +#11340=ADVANCED_FACE('',(#11339),#11329,.T.); +#11346=EDGE_CURVE('',#10536,#10537,#848,.T.); +#11348=EDGE_CURVE('',#10545,#10536,#852,.T.); +#11350=EDGE_CURVE('',#10539,#10545,#857,.T.); +#11352=EDGE_CURVE('',#10537,#10539,#861,.T.); +#11356=ADVANCED_FACE('',(#11355),#11345,.T.); +#11363=EDGE_CURVE('',#9948,#9945,#871,.T.); +#11369=ADVANCED_FACE('',(#11368),#11361,.F.); +#11375=EDGE_CURVE('',#9943,#9951,#880,.T.); +#11377=EDGE_CURVE('',#9945,#9943,#866,.T.); +#11383=ADVANCED_FACE('',(#11382),#11374,.T.); +#11389=EDGE_CURVE('',#9941,#9943,#875,.T.); +#11393=EDGE_CURVE('',#8903,#9789,#1142,.T.); +#11395=EDGE_CURVE('',#9789,#9941,#884,.T.); +#11399=ADVANCED_FACE('',(#11398),#11388,.T.); +#11406=EDGE_CURVE('',#9939,#9941,#889,.T.); +#11412=ADVANCED_FACE('',(#11411),#11404,.T.); +#11420=EDGE_CURVE('',#9937,#9941,#899,.T.); +#11425=ADVANCED_FACE('',(#11424),#11417,.T.); +#11433=EDGE_CURVE('',#9787,#9789,#1138,.T.); +#11438=ADVANCED_FACE('',(#11437),#11430,.T.); +#11444=EDGE_CURVE('',#8333,#8865,#907,.T.); +#11446=EDGE_CURVE('',#8332,#8333,#912,.T.); +#11448=EDGE_CURVE('',#8859,#8332,#916,.T.); +#11450=EDGE_CURVE('',#8859,#8941,#920,.T.); +#11452=EDGE_CURVE('',#8941,#8943,#925,.T.); +#11454=EDGE_CURVE('',#8857,#8943,#929,.T.); +#11456=EDGE_CURVE('',#8856,#8857,#933,.T.); +#11458=EDGE_CURVE('',#8856,#8937,#937,.T.); +#11460=EDGE_CURVE('',#8937,#8939,#942,.T.); +#11462=EDGE_CURVE('',#8853,#8939,#946,.T.); +#11464=EDGE_CURVE('',#8329,#8853,#950,.T.); +#11468=EDGE_CURVE('',#9868,#9869,#963,.T.); +#11470=EDGE_CURVE('',#9869,#9871,#968,.T.); +#11472=EDGE_CURVE('',#9873,#9871,#972,.T.); +#11475=EDGE_CURVE('',#9860,#9861,#980,.T.); +#11477=EDGE_CURVE('',#9861,#9863,#985,.T.); +#11479=EDGE_CURVE('',#9865,#9863,#989,.T.); +#11482=EDGE_CURVE('',#9852,#9853,#997,.T.); +#11484=EDGE_CURVE('',#9853,#9855,#1002,.T.); +#11486=EDGE_CURVE('',#9857,#9855,#1006,.T.); +#11489=EDGE_CURVE('',#9846,#9847,#1014,.T.); +#11491=EDGE_CURVE('',#9847,#9849,#1019,.T.); +#11493=EDGE_CURVE('',#8931,#9849,#1023,.T.); +#11496=EDGE_CURVE('',#8930,#9841,#1031,.T.); +#11498=EDGE_CURVE('',#9841,#9843,#1036,.T.); +#11500=EDGE_CURVE('',#8927,#9843,#1040,.T.); +#11503=EDGE_CURVE('',#8926,#9879,#1048,.T.); +#11505=EDGE_CURVE('',#9879,#9881,#1053,.T.); +#11507=EDGE_CURVE('',#8923,#9881,#1057,.T.); +#11510=EDGE_CURVE('',#8922,#9771,#1065,.T.); +#11512=EDGE_CURVE('',#9771,#9773,#1070,.T.); +#11514=EDGE_CURVE('',#8919,#9773,#1074,.T.); +#11517=EDGE_CURVE('',#8918,#9775,#1082,.T.); +#11519=EDGE_CURVE('',#9775,#9777,#1087,.T.); +#11521=EDGE_CURVE('',#8915,#9777,#1091,.T.); +#11524=EDGE_CURVE('',#8914,#9779,#1099,.T.); +#11526=EDGE_CURVE('',#9779,#9781,#1104,.T.); +#11528=EDGE_CURVE('',#8911,#9781,#1108,.T.); +#11531=EDGE_CURVE('',#8910,#9783,#1116,.T.); +#11533=EDGE_CURVE('',#9783,#9785,#1121,.T.); +#11535=EDGE_CURVE('',#8907,#9785,#1125,.T.); +#11542=EDGE_CURVE('',#8902,#9791,#1150,.T.); +#11544=EDGE_CURVE('',#9791,#9793,#1155,.T.); +#11546=EDGE_CURVE('',#8899,#9793,#1159,.T.); +#11549=EDGE_CURVE('',#8340,#8341,#1168,.T.); +#11551=EDGE_CURVE('',#8846,#8340,#1172,.T.); +#11553=EDGE_CURVE('',#8846,#8847,#1176,.T.); +#11555=EDGE_CURVE('',#8847,#8851,#1181,.T.); +#11557=EDGE_CURVE('',#8850,#8851,#1185,.T.); +#11559=EDGE_CURVE('',#8863,#8850,#1189,.T.); +#11561=EDGE_CURVE('',#8863,#8933,#1193,.T.); +#11563=EDGE_CURVE('',#8933,#8935,#1198,.T.); +#11565=EDGE_CURVE('',#8861,#8935,#1202,.T.); +#11567=EDGE_CURVE('',#8337,#8861,#1206,.T.); +#11569=EDGE_CURVE('',#8336,#8337,#1211,.T.); +#11571=EDGE_CURVE('',#9822,#8336,#1215,.T.); +#11573=EDGE_CURVE('',#9822,#9823,#1219,.T.); +#11575=EDGE_CURVE('',#9823,#9819,#1224,.T.); +#11577=EDGE_CURVE('',#9818,#9819,#1228,.T.); +#11579=EDGE_CURVE('',#9810,#9818,#1232,.T.); +#11581=EDGE_CURVE('',#9810,#9811,#1236,.T.); +#11583=EDGE_CURVE('',#9811,#9813,#1241,.T.); +#11585=EDGE_CURVE('',#9815,#9813,#1245,.T.); +#11587=EDGE_CURVE('',#9806,#9815,#1249,.T.); +#11589=EDGE_CURVE('',#9806,#9807,#1253,.T.); +#11591=EDGE_CURVE('',#9807,#9803,#1258,.T.); +#11593=EDGE_CURVE('',#9802,#9803,#1262,.T.); +#11595=EDGE_CURVE('',#9796,#9802,#1266,.T.); +#11597=EDGE_CURVE('',#9796,#9797,#1270,.T.); +#11599=EDGE_CURVE('',#9797,#9799,#1275,.T.); +#11601=EDGE_CURVE('',#8897,#9799,#1279,.T.); +#11603=EDGE_CURVE('',#8896,#8897,#1283,.T.); +#11605=EDGE_CURVE('',#8896,#9891,#1287,.T.); +#11607=EDGE_CURVE('',#9891,#9893,#1292,.T.); +#11609=EDGE_CURVE('',#8893,#9893,#1296,.T.); +#11611=EDGE_CURVE('',#8892,#8893,#1300,.T.); +#11613=EDGE_CURVE('',#8892,#9887,#1304,.T.); +#11615=EDGE_CURVE('',#9887,#9889,#1309,.T.); +#11617=EDGE_CURVE('',#8889,#9889,#1313,.T.); +#11619=EDGE_CURVE('',#8888,#8889,#1317,.T.); +#11621=EDGE_CURVE('',#8888,#9883,#1321,.T.); +#11623=EDGE_CURVE('',#9883,#9885,#1326,.T.); +#11625=EDGE_CURVE('',#8885,#9885,#1330,.T.); +#11627=EDGE_CURVE('',#8884,#8885,#1334,.T.); +#11629=EDGE_CURVE('',#8884,#9875,#1338,.T.); +#11631=EDGE_CURVE('',#9875,#9877,#1343,.T.); +#11633=EDGE_CURVE('',#8881,#9877,#1347,.T.); +#11635=EDGE_CURVE('',#8880,#8881,#1351,.T.); +#11637=EDGE_CURVE('',#8880,#9825,#1355,.T.); +#11639=EDGE_CURVE('',#9825,#9827,#1360,.T.); +#11641=EDGE_CURVE('',#8877,#9827,#1364,.T.); +#11643=EDGE_CURVE('',#8876,#8877,#1368,.T.); +#11645=EDGE_CURVE('',#8876,#9830,#1372,.T.); +#11647=EDGE_CURVE('',#9830,#9831,#1377,.T.); +#11649=EDGE_CURVE('',#8873,#9831,#1381,.T.); +#11651=EDGE_CURVE('',#8872,#8873,#1385,.T.); +#11653=EDGE_CURVE('',#8872,#9833,#1389,.T.); +#11655=EDGE_CURVE('',#9833,#9835,#1394,.T.); +#11657=EDGE_CURVE('',#8869,#9835,#1398,.T.); +#11659=EDGE_CURVE('',#8868,#8869,#1402,.T.); +#11661=EDGE_CURVE('',#8868,#9838,#1406,.T.); +#11663=EDGE_CURVE('',#9838,#9839,#1411,.T.); +#11665=EDGE_CURVE('',#8865,#9839,#1415,.T.); +#11669=EDGE_CURVE('',#9054,#9055,#1420,.T.); +#11671=EDGE_CURVE('',#9055,#9054,#1425,.T.); +#11675=EDGE_CURVE('',#9058,#9059,#1430,.T.); +#11677=EDGE_CURVE('',#9059,#9058,#1435,.T.); +#11681=EDGE_CURVE('',#9062,#9063,#1440,.T.); +#11683=EDGE_CURVE('',#9063,#9062,#1445,.T.); +#11687=EDGE_CURVE('',#9066,#9067,#1450,.T.); +#11689=EDGE_CURVE('',#9067,#9066,#1455,.T.); +#11693=EDGE_CURVE('',#9070,#9071,#1460,.T.); +#11695=EDGE_CURVE('',#9071,#9070,#1465,.T.); +#11699=EDGE_CURVE('',#9074,#9075,#1470,.T.); +#11701=EDGE_CURVE('',#9075,#9074,#1475,.T.); +#11705=EDGE_CURVE('',#9078,#9079,#1480,.T.); +#11707=EDGE_CURVE('',#9079,#9078,#1485,.T.); +#11711=EDGE_CURVE('',#9082,#9083,#1490,.T.); +#11713=EDGE_CURVE('',#9083,#9082,#1495,.T.); +#11717=EDGE_CURVE('',#9086,#9087,#1500,.T.); +#11719=EDGE_CURVE('',#9087,#9086,#1505,.T.); +#11723=EDGE_CURVE('',#9090,#9091,#1510,.T.); +#11725=EDGE_CURVE('',#9091,#9090,#1515,.T.); +#11729=EDGE_CURVE('',#9094,#9095,#1520,.T.); +#11731=EDGE_CURVE('',#9095,#9094,#1525,.T.); +#11735=EDGE_CURVE('',#9098,#9099,#1530,.T.); +#11737=EDGE_CURVE('',#9099,#9098,#1535,.T.); +#11741=EDGE_CURVE('',#9102,#9103,#1540,.T.); +#11743=EDGE_CURVE('',#9103,#9102,#1545,.T.); +#11747=EDGE_CURVE('',#9106,#9107,#1550,.T.); +#11749=EDGE_CURVE('',#9107,#9106,#1555,.T.); +#11753=EDGE_CURVE('',#9110,#9111,#1560,.T.); +#11755=EDGE_CURVE('',#9111,#9110,#1565,.T.); +#11759=EDGE_CURVE('',#9114,#9115,#1570,.T.); +#11761=EDGE_CURVE('',#9115,#9114,#1575,.T.); +#11765=EDGE_CURVE('',#9118,#9119,#1580,.T.); +#11767=EDGE_CURVE('',#9119,#9118,#1585,.T.); +#11771=EDGE_CURVE('',#9122,#9123,#1590,.T.); +#11773=EDGE_CURVE('',#9123,#9122,#1595,.T.); +#11777=EDGE_CURVE('',#9126,#9127,#1600,.T.); +#11779=EDGE_CURVE('',#9127,#9126,#1605,.T.); +#11783=EDGE_CURVE('',#9130,#9131,#1610,.T.); +#11785=EDGE_CURVE('',#9131,#9130,#1615,.T.); +#11789=EDGE_CURVE('',#9134,#9135,#1620,.T.); +#11791=EDGE_CURVE('',#9135,#9134,#1625,.T.); +#11795=EDGE_CURVE('',#9138,#9139,#1630,.T.); +#11797=EDGE_CURVE('',#9139,#9138,#1635,.T.); +#11801=EDGE_CURVE('',#9142,#9143,#1640,.T.); +#11803=EDGE_CURVE('',#9143,#9142,#1645,.T.); +#11807=EDGE_CURVE('',#9628,#9629,#1650,.T.); +#11809=EDGE_CURVE('',#9629,#9628,#1655,.T.); +#11813=EDGE_CURVE('',#9632,#9633,#1660,.T.); +#11815=EDGE_CURVE('',#9633,#9632,#1665,.T.); +#11819=EDGE_CURVE('',#9636,#9637,#1670,.T.); +#11821=EDGE_CURVE('',#9637,#9636,#1675,.T.); +#11825=EDGE_CURVE('',#9640,#9641,#1680,.T.); +#11827=EDGE_CURVE('',#9641,#9640,#1685,.T.); +#11831=EDGE_CURVE('',#9644,#9645,#1690,.T.); +#11833=EDGE_CURVE('',#9645,#9644,#1695,.T.); +#11837=EDGE_CURVE('',#9648,#9649,#1700,.T.); +#11839=EDGE_CURVE('',#9649,#9648,#1705,.T.); +#11843=EDGE_CURVE('',#9652,#9653,#1710,.T.); +#11845=EDGE_CURVE('',#9653,#9652,#1715,.T.); +#11849=EDGE_CURVE('',#9656,#9657,#1720,.T.); +#11851=EDGE_CURVE('',#9657,#9656,#1725,.T.); +#11855=EDGE_CURVE('',#9660,#9661,#1730,.T.); +#11857=EDGE_CURVE('',#9661,#9660,#1735,.T.); +#11861=EDGE_CURVE('',#9664,#9665,#1740,.T.); +#11863=EDGE_CURVE('',#9665,#9664,#1745,.T.); +#11867=EDGE_CURVE('',#9668,#9669,#1750,.T.); +#11869=EDGE_CURVE('',#9669,#9668,#1755,.T.); +#11873=EDGE_CURVE('',#9672,#9673,#1760,.T.); +#11875=EDGE_CURVE('',#9673,#9672,#1765,.T.); +#11879=ADVANCED_FACE('',(#11668,#11674,#11680,#11686,#11692,#11698,#11704, +#11710,#11716,#11722,#11728,#11734,#11740,#11746,#11752,#11758,#11764,#11770, +#11776,#11782,#11788,#11794,#11800,#11806,#11812,#11818,#11824,#11830,#11836, +#11842,#11848,#11854,#11860,#11866,#11872,#11878),#11443,.T.); +#11885=EDGE_CURVE('',#10269,#10289,#1772,.T.); +#11887=EDGE_CURVE('',#8868,#10289,#1776,.T.); +#11890=EDGE_CURVE('',#8869,#10311,#1780,.T.); +#11892=EDGE_CURVE('',#10311,#10308,#1787,.T.); +#11894=EDGE_CURVE('',#10308,#10309,#1791,.T.); +#11896=EDGE_CURVE('',#10309,#10313,#1798,.T.); +#11898=EDGE_CURVE('',#8872,#10313,#1802,.T.); +#11901=EDGE_CURVE('',#8873,#10335,#1806,.T.); +#11903=EDGE_CURVE('',#10335,#10332,#1813,.T.); +#11905=EDGE_CURVE('',#10332,#10333,#1817,.T.); +#11907=EDGE_CURVE('',#10333,#10337,#1824,.T.); +#11909=EDGE_CURVE('',#8876,#10337,#1828,.T.); +#11912=EDGE_CURVE('',#8877,#10359,#1832,.T.); +#11914=EDGE_CURVE('',#10359,#10356,#1839,.T.); +#11916=EDGE_CURVE('',#10356,#10357,#1843,.T.); +#11918=EDGE_CURVE('',#10357,#10361,#1850,.T.); +#11920=EDGE_CURVE('',#8880,#10361,#1854,.T.); +#11923=EDGE_CURVE('',#8881,#10383,#1858,.T.); +#11925=EDGE_CURVE('',#10383,#10364,#1865,.T.); +#11927=EDGE_CURVE('',#10364,#10365,#1869,.T.); +#11929=EDGE_CURVE('',#10365,#10385,#1876,.T.); +#11931=EDGE_CURVE('',#8884,#10385,#1880,.T.); +#11934=EDGE_CURVE('',#8885,#10407,#1884,.T.); +#11936=EDGE_CURVE('',#10407,#10388,#1891,.T.); +#11938=EDGE_CURVE('',#10388,#10389,#1895,.T.); +#11940=EDGE_CURVE('',#10389,#10409,#1902,.T.); +#11942=EDGE_CURVE('',#8888,#10409,#1906,.T.); +#11945=EDGE_CURVE('',#8889,#10431,#1910,.T.); +#11947=EDGE_CURVE('',#10431,#10412,#1917,.T.); +#11949=EDGE_CURVE('',#10412,#10413,#1921,.T.); +#11951=EDGE_CURVE('',#10413,#10433,#1928,.T.); +#11953=EDGE_CURVE('',#8892,#10433,#1932,.T.); +#11956=EDGE_CURVE('',#8893,#10455,#1936,.T.); +#11958=EDGE_CURVE('',#10455,#10436,#1943,.T.); +#11960=EDGE_CURVE('',#10436,#10437,#1947,.T.); +#11962=EDGE_CURVE('',#10437,#10457,#1954,.T.); +#11964=EDGE_CURVE('',#8896,#10457,#1958,.T.); +#11967=EDGE_CURVE('',#8897,#10479,#1962,.T.); +#11969=EDGE_CURVE('',#10479,#10460,#1969,.T.); +#11971=EDGE_CURVE('',#10460,#10461,#1973,.T.); +#11973=EDGE_CURVE('',#10461,#10481,#1980,.T.); +#11975=EDGE_CURVE('',#9796,#10481,#1984,.T.); +#11978=EDGE_CURVE('',#9802,#10503,#1988,.T.); +#11980=EDGE_CURVE('',#10503,#10484,#1995,.T.); +#11982=EDGE_CURVE('',#10484,#10485,#1999,.T.); +#11984=EDGE_CURVE('',#10485,#10505,#2006,.T.); +#11986=EDGE_CURVE('',#9806,#10505,#2010,.T.); +#11989=EDGE_CURVE('',#9815,#10527,#2014,.T.); +#11991=EDGE_CURVE('',#10527,#10508,#2021,.T.); +#11993=EDGE_CURVE('',#10508,#10509,#2025,.T.); +#11995=EDGE_CURVE('',#10509,#10529,#2032,.T.); +#11997=EDGE_CURVE('',#9810,#10529,#2036,.T.); +#12000=EDGE_CURVE('',#9818,#10551,#2040,.T.); +#12002=EDGE_CURVE('',#10551,#10532,#2047,.T.); +#12004=EDGE_CURVE('',#10532,#10533,#2051,.T.); +#12006=EDGE_CURVE('',#10533,#10553,#2058,.T.); +#12008=EDGE_CURVE('',#9822,#10553,#2062,.T.); +#12011=EDGE_CURVE('',#8837,#8336,#2826,.T.); +#12014=EDGE_CURVE('',#8835,#8333,#2070,.T.); +#12017=EDGE_CURVE('',#8865,#10287,#2074,.T.); +#12019=EDGE_CURVE('',#10287,#10268,#2081,.T.); +#12021=EDGE_CURVE('',#10268,#10269,#2085,.T.); +#12025=ADVANCED_FACE('',(#12024),#11884,.F.); +#12031=EDGE_CURVE('',#10278,#10289,#2104,.T.); +#12034=EDGE_CURVE('',#10273,#10269,#2090,.T.); +#12036=EDGE_CURVE('',#10278,#10273,#2095,.T.); +#12040=ADVANCED_FACE('',(#12039),#12030,.T.); +#12046=EDGE_CURVE('',#10278,#10279,#2099,.T.); +#12048=EDGE_CURVE('',#9838,#10279,#2146,.T.); +#12055=ADVANCED_FACE('',(#12054),#12045,.T.); +#12062=EDGE_CURVE('',#10275,#10279,#2109,.T.); +#12068=ADVANCED_FACE('',(#12067),#12060,.T.); +#12075=EDGE_CURVE('',#10281,#10283,#2114,.T.); +#12077=EDGE_CURVE('',#10279,#10283,#2142,.T.); +#12082=ADVANCED_FACE('',(#12081),#12073,.T.); +#12088=EDGE_CURVE('',#10283,#10285,#2118,.T.); +#12092=EDGE_CURVE('',#10272,#10285,#2132,.T.); +#12096=ADVANCED_FACE('',(#12095),#12087,.T.); +#12103=EDGE_CURVE('',#10285,#10287,#2123,.T.); +#12107=EDGE_CURVE('',#9839,#10283,#2127,.T.); +#12111=ADVANCED_FACE('',(#12110),#12101,.T.); +#12119=EDGE_CURVE('',#10268,#10272,#2137,.T.); +#12124=ADVANCED_FACE('',(#12123),#12116,.T.); +#12136=ADVANCED_FACE('',(#12135),#12129,.F.); +#12148=ADVANCED_FACE('',(#12147),#12141,.T.); +#12154=EDGE_CURVE('',#10301,#10303,#2150,.T.); +#12156=EDGE_CURVE('',#10303,#10311,#2155,.T.); +#12160=EDGE_CURVE('',#9835,#10301,#2159,.T.); +#12164=ADVANCED_FACE('',(#12163),#12153,.T.); +#12171=EDGE_CURVE('',#10299,#10301,#2164,.T.); +#12174=EDGE_CURVE('',#10305,#10303,#2202,.T.); +#12178=ADVANCED_FACE('',(#12177),#12169,.T.); +#12186=EDGE_CURVE('',#10297,#10301,#2174,.T.); +#12188=EDGE_CURVE('',#10293,#10297,#2169,.T.); +#12192=ADVANCED_FACE('',(#12191),#12183,.T.); +#12201=EDGE_CURVE('',#9833,#10297,#2178,.T.); +#12205=ADVANCED_FACE('',(#12204),#12197,.T.); +#12211=EDGE_CURVE('',#10296,#10297,#2182,.T.); +#12216=EDGE_CURVE('',#10296,#10313,#2187,.T.); +#12220=ADVANCED_FACE('',(#12219),#12210,.T.); +#12229=EDGE_CURVE('',#10296,#10292,#2197,.T.); +#12233=ADVANCED_FACE('',(#12232),#12225,.T.); +#12241=EDGE_CURVE('',#10292,#10309,#2192,.T.); +#12246=ADVANCED_FACE('',(#12245),#12238,.T.); +#12253=EDGE_CURVE('',#10308,#10305,#2207,.T.); +#12259=ADVANCED_FACE('',(#12258),#12251,.F.); +#12271=ADVANCED_FACE('',(#12270),#12264,.T.); +#12277=EDGE_CURVE('',#10325,#10327,#2211,.T.); +#12279=EDGE_CURVE('',#10327,#10335,#2216,.T.); +#12283=EDGE_CURVE('',#9831,#10325,#2220,.T.); +#12287=ADVANCED_FACE('',(#12286),#12276,.T.); +#12294=EDGE_CURVE('',#10323,#10325,#2225,.T.); +#12297=EDGE_CURVE('',#10329,#10327,#2263,.T.); +#12301=ADVANCED_FACE('',(#12300),#12292,.T.); +#12309=EDGE_CURVE('',#10321,#10325,#2235,.T.); +#12311=EDGE_CURVE('',#10317,#10321,#2230,.T.); +#12315=ADVANCED_FACE('',(#12314),#12306,.T.); +#12324=EDGE_CURVE('',#9830,#10321,#2239,.T.); +#12328=ADVANCED_FACE('',(#12327),#12320,.T.); +#12334=EDGE_CURVE('',#10320,#10321,#2243,.T.); +#12339=EDGE_CURVE('',#10320,#10337,#2248,.T.); +#12343=ADVANCED_FACE('',(#12342),#12333,.T.); +#12352=EDGE_CURVE('',#10320,#10316,#2258,.T.); +#12356=ADVANCED_FACE('',(#12355),#12348,.T.); +#12364=EDGE_CURVE('',#10316,#10333,#2253,.T.); +#12369=ADVANCED_FACE('',(#12368),#12361,.T.); +#12376=EDGE_CURVE('',#10332,#10329,#2268,.T.); +#12382=ADVANCED_FACE('',(#12381),#12374,.F.); +#12394=ADVANCED_FACE('',(#12393),#12387,.T.); +#12400=EDGE_CURVE('',#10349,#10351,#2272,.T.); +#12402=EDGE_CURVE('',#10351,#10359,#2277,.T.); +#12406=EDGE_CURVE('',#9827,#10349,#2281,.T.); +#12410=ADVANCED_FACE('',(#12409),#12399,.T.); +#12417=EDGE_CURVE('',#10347,#10349,#2286,.T.); +#12420=EDGE_CURVE('',#10353,#10351,#2324,.T.); +#12424=ADVANCED_FACE('',(#12423),#12415,.T.); +#12432=EDGE_CURVE('',#10345,#10349,#2296,.T.); +#12434=EDGE_CURVE('',#10341,#10345,#2291,.T.); +#12438=ADVANCED_FACE('',(#12437),#12429,.T.); +#12447=EDGE_CURVE('',#9825,#10345,#2300,.T.); +#12451=ADVANCED_FACE('',(#12450),#12443,.T.); +#12457=EDGE_CURVE('',#10344,#10345,#2304,.T.); +#12462=EDGE_CURVE('',#10344,#10361,#2309,.T.); +#12466=ADVANCED_FACE('',(#12465),#12456,.T.); +#12475=EDGE_CURVE('',#10344,#10340,#2319,.T.); +#12479=ADVANCED_FACE('',(#12478),#12471,.T.); +#12487=EDGE_CURVE('',#10340,#10357,#2314,.T.); +#12492=ADVANCED_FACE('',(#12491),#12484,.T.); +#12499=EDGE_CURVE('',#10356,#10353,#2329,.T.); +#12505=ADVANCED_FACE('',(#12504),#12497,.F.); +#12517=ADVANCED_FACE('',(#12516),#12510,.T.); +#12523=EDGE_CURVE('',#10379,#10381,#2333,.T.); +#12525=EDGE_CURVE('',#10381,#10383,#2338,.T.); +#12529=EDGE_CURVE('',#9877,#10379,#2342,.T.); +#12533=ADVANCED_FACE('',(#12532),#12522,.T.); +#12540=EDGE_CURVE('',#10377,#10379,#2347,.T.); +#12543=EDGE_CURVE('',#10368,#10381,#2385,.T.); +#12547=ADVANCED_FACE('',(#12546),#12538,.T.); +#12555=EDGE_CURVE('',#10375,#10379,#2357,.T.); +#12557=EDGE_CURVE('',#10371,#10375,#2352,.T.); +#12561=ADVANCED_FACE('',(#12560),#12552,.T.); +#12570=EDGE_CURVE('',#9875,#10375,#2361,.T.); +#12574=ADVANCED_FACE('',(#12573),#12566,.T.); +#12580=EDGE_CURVE('',#10374,#10375,#2365,.T.); +#12585=EDGE_CURVE('',#10374,#10385,#2370,.T.); +#12589=ADVANCED_FACE('',(#12588),#12579,.T.); +#12598=EDGE_CURVE('',#10374,#10369,#2380,.T.); +#12602=ADVANCED_FACE('',(#12601),#12594,.T.); +#12610=EDGE_CURVE('',#10369,#10365,#2375,.T.); +#12615=ADVANCED_FACE('',(#12614),#12607,.T.); +#12622=EDGE_CURVE('',#10364,#10368,#2390,.T.); +#12628=ADVANCED_FACE('',(#12627),#12620,.F.); +#12640=ADVANCED_FACE('',(#12639),#12633,.T.); +#12646=EDGE_CURVE('',#10403,#10405,#2394,.T.); +#12648=EDGE_CURVE('',#10405,#10407,#2399,.T.); +#12652=EDGE_CURVE('',#9885,#10403,#2403,.T.); +#12656=ADVANCED_FACE('',(#12655),#12645,.T.); +#12663=EDGE_CURVE('',#10401,#10403,#2408,.T.); +#12666=EDGE_CURVE('',#10392,#10405,#2446,.T.); +#12670=ADVANCED_FACE('',(#12669),#12661,.T.); +#12678=EDGE_CURVE('',#10399,#10403,#2418,.T.); +#12680=EDGE_CURVE('',#10395,#10399,#2413,.T.); +#12684=ADVANCED_FACE('',(#12683),#12675,.T.); +#12693=EDGE_CURVE('',#9883,#10399,#2422,.T.); +#12697=ADVANCED_FACE('',(#12696),#12689,.T.); +#12703=EDGE_CURVE('',#10398,#10399,#2426,.T.); +#12708=EDGE_CURVE('',#10398,#10409,#2431,.T.); +#12712=ADVANCED_FACE('',(#12711),#12702,.T.); +#12721=EDGE_CURVE('',#10398,#10393,#2441,.T.); +#12725=ADVANCED_FACE('',(#12724),#12717,.T.); +#12733=EDGE_CURVE('',#10393,#10389,#2436,.T.); +#12738=ADVANCED_FACE('',(#12737),#12730,.T.); +#12745=EDGE_CURVE('',#10388,#10392,#2451,.T.); +#12751=ADVANCED_FACE('',(#12750),#12743,.F.); +#12763=ADVANCED_FACE('',(#12762),#12756,.T.); +#12769=EDGE_CURVE('',#10427,#10429,#2455,.T.); +#12771=EDGE_CURVE('',#10429,#10431,#2460,.T.); +#12775=EDGE_CURVE('',#9889,#10427,#2464,.T.); +#12779=ADVANCED_FACE('',(#12778),#12768,.T.); +#12786=EDGE_CURVE('',#10425,#10427,#2469,.T.); +#12789=EDGE_CURVE('',#10416,#10429,#2507,.T.); +#12793=ADVANCED_FACE('',(#12792),#12784,.T.); +#12801=EDGE_CURVE('',#10423,#10427,#2479,.T.); +#12803=EDGE_CURVE('',#10419,#10423,#2474,.T.); +#12807=ADVANCED_FACE('',(#12806),#12798,.T.); +#12816=EDGE_CURVE('',#9887,#10423,#2483,.T.); +#12820=ADVANCED_FACE('',(#12819),#12812,.T.); +#12826=EDGE_CURVE('',#10422,#10423,#2487,.T.); +#12831=EDGE_CURVE('',#10422,#10433,#2492,.T.); +#12835=ADVANCED_FACE('',(#12834),#12825,.T.); +#12844=EDGE_CURVE('',#10422,#10417,#2502,.T.); +#12848=ADVANCED_FACE('',(#12847),#12840,.T.); +#12856=EDGE_CURVE('',#10417,#10413,#2497,.T.); +#12861=ADVANCED_FACE('',(#12860),#12853,.T.); +#12868=EDGE_CURVE('',#10412,#10416,#2512,.T.); +#12874=ADVANCED_FACE('',(#12873),#12866,.F.); +#12886=ADVANCED_FACE('',(#12885),#12879,.T.); +#12892=EDGE_CURVE('',#10451,#10453,#2516,.T.); +#12894=EDGE_CURVE('',#10453,#10455,#2521,.T.); +#12898=EDGE_CURVE('',#9893,#10451,#2525,.T.); +#12902=ADVANCED_FACE('',(#12901),#12891,.T.); +#12909=EDGE_CURVE('',#10449,#10451,#2530,.T.); +#12912=EDGE_CURVE('',#10440,#10453,#2568,.T.); +#12916=ADVANCED_FACE('',(#12915),#12907,.T.); +#12924=EDGE_CURVE('',#10447,#10451,#2540,.T.); +#12926=EDGE_CURVE('',#10443,#10447,#2535,.T.); +#12930=ADVANCED_FACE('',(#12929),#12921,.T.); +#12939=EDGE_CURVE('',#9891,#10447,#2544,.T.); +#12943=ADVANCED_FACE('',(#12942),#12935,.T.); +#12949=EDGE_CURVE('',#10446,#10447,#2548,.T.); +#12954=EDGE_CURVE('',#10446,#10457,#2553,.T.); +#12958=ADVANCED_FACE('',(#12957),#12948,.T.); +#12967=EDGE_CURVE('',#10446,#10441,#2563,.T.); +#12971=ADVANCED_FACE('',(#12970),#12963,.T.); +#12979=EDGE_CURVE('',#10441,#10437,#2558,.T.); +#12984=ADVANCED_FACE('',(#12983),#12976,.T.); +#12991=EDGE_CURVE('',#10436,#10440,#2573,.T.); +#12997=ADVANCED_FACE('',(#12996),#12989,.F.); +#13009=ADVANCED_FACE('',(#13008),#13002,.T.); +#13015=EDGE_CURVE('',#10475,#10477,#2577,.T.); +#13017=EDGE_CURVE('',#10477,#10479,#2582,.T.); +#13021=EDGE_CURVE('',#9799,#10475,#2586,.T.); +#13025=ADVANCED_FACE('',(#13024),#13014,.T.); +#13032=EDGE_CURVE('',#10473,#10475,#2591,.T.); +#13035=EDGE_CURVE('',#10464,#10477,#2629,.T.); +#13039=ADVANCED_FACE('',(#13038),#13030,.T.); +#13047=EDGE_CURVE('',#10471,#10475,#2601,.T.); +#13049=EDGE_CURVE('',#10467,#10471,#2596,.T.); +#13053=ADVANCED_FACE('',(#13052),#13044,.T.); +#13062=EDGE_CURVE('',#9797,#10471,#2605,.T.); +#13066=ADVANCED_FACE('',(#13065),#13058,.T.); +#13072=EDGE_CURVE('',#10470,#10471,#2609,.T.); +#13077=EDGE_CURVE('',#10470,#10481,#2614,.T.); +#13081=ADVANCED_FACE('',(#13080),#13071,.T.); +#13090=EDGE_CURVE('',#10470,#10465,#2624,.T.); +#13094=ADVANCED_FACE('',(#13093),#13086,.T.); +#13102=EDGE_CURVE('',#10465,#10461,#2619,.T.); +#13107=ADVANCED_FACE('',(#13106),#13099,.T.); +#13114=EDGE_CURVE('',#10460,#10464,#2634,.T.); +#13120=ADVANCED_FACE('',(#13119),#13112,.F.); +#13132=ADVANCED_FACE('',(#13131),#13125,.T.); +#13138=EDGE_CURVE('',#10499,#10501,#2638,.T.); +#13140=EDGE_CURVE('',#10501,#10503,#2643,.T.); +#13144=EDGE_CURVE('',#9803,#10499,#2647,.T.); +#13148=ADVANCED_FACE('',(#13147),#13137,.T.); +#13155=EDGE_CURVE('',#10497,#10499,#2652,.T.); +#13158=EDGE_CURVE('',#10488,#10501,#2690,.T.); +#13162=ADVANCED_FACE('',(#13161),#13153,.T.); +#13170=EDGE_CURVE('',#10495,#10499,#2662,.T.); +#13172=EDGE_CURVE('',#10491,#10495,#2657,.T.); +#13176=ADVANCED_FACE('',(#13175),#13167,.T.); +#13185=EDGE_CURVE('',#9807,#10495,#2666,.T.); +#13189=ADVANCED_FACE('',(#13188),#13181,.T.); +#13195=EDGE_CURVE('',#10494,#10495,#2670,.T.); +#13200=EDGE_CURVE('',#10494,#10505,#2675,.T.); +#13204=ADVANCED_FACE('',(#13203),#13194,.T.); +#13213=EDGE_CURVE('',#10494,#10489,#2685,.T.); +#13217=ADVANCED_FACE('',(#13216),#13209,.T.); +#13225=EDGE_CURVE('',#10489,#10485,#2680,.T.); +#13230=ADVANCED_FACE('',(#13229),#13222,.T.); +#13237=EDGE_CURVE('',#10484,#10488,#2695,.T.); +#13243=ADVANCED_FACE('',(#13242),#13235,.F.); +#13255=ADVANCED_FACE('',(#13254),#13248,.T.); +#13261=EDGE_CURVE('',#10523,#10525,#2699,.T.); +#13263=EDGE_CURVE('',#10525,#10527,#2704,.T.); +#13267=EDGE_CURVE('',#9813,#10523,#2708,.T.); +#13271=ADVANCED_FACE('',(#13270),#13260,.T.); +#13278=EDGE_CURVE('',#10521,#10523,#2713,.T.); +#13281=EDGE_CURVE('',#10512,#10525,#2751,.T.); +#13285=ADVANCED_FACE('',(#13284),#13276,.T.); +#13293=EDGE_CURVE('',#10519,#10523,#2723,.T.); +#13295=EDGE_CURVE('',#10515,#10519,#2718,.T.); +#13299=ADVANCED_FACE('',(#13298),#13290,.T.); +#13308=EDGE_CURVE('',#9811,#10519,#2727,.T.); +#13312=ADVANCED_FACE('',(#13311),#13304,.T.); +#13318=EDGE_CURVE('',#10518,#10519,#2731,.T.); +#13323=EDGE_CURVE('',#10518,#10529,#2736,.T.); +#13327=ADVANCED_FACE('',(#13326),#13317,.T.); +#13336=EDGE_CURVE('',#10518,#10513,#2746,.T.); +#13340=ADVANCED_FACE('',(#13339),#13332,.T.); +#13348=EDGE_CURVE('',#10513,#10509,#2741,.T.); +#13353=ADVANCED_FACE('',(#13352),#13345,.T.); +#13360=EDGE_CURVE('',#10508,#10512,#2756,.T.); +#13366=ADVANCED_FACE('',(#13365),#13358,.F.); +#13378=ADVANCED_FACE('',(#13377),#13371,.T.); +#13384=EDGE_CURVE('',#10547,#10549,#2760,.T.); +#13386=EDGE_CURVE('',#10549,#10551,#2765,.T.); +#13390=EDGE_CURVE('',#9819,#10547,#2769,.T.); +#13394=ADVANCED_FACE('',(#13393),#13383,.T.); +#13401=EDGE_CURVE('',#10545,#10547,#2774,.T.); +#13404=EDGE_CURVE('',#10536,#10549,#2812,.T.); +#13408=ADVANCED_FACE('',(#13407),#13399,.T.); +#13416=EDGE_CURVE('',#10543,#10547,#2784,.T.); +#13418=EDGE_CURVE('',#10539,#10543,#2779,.T.); +#13422=ADVANCED_FACE('',(#13421),#13413,.T.); +#13431=EDGE_CURVE('',#9823,#10543,#2788,.T.); +#13435=ADVANCED_FACE('',(#13434),#13427,.T.); +#13441=EDGE_CURVE('',#10542,#10543,#2792,.T.); +#13446=EDGE_CURVE('',#10542,#10553,#2797,.T.); +#13450=ADVANCED_FACE('',(#13449),#13440,.T.); +#13459=EDGE_CURVE('',#10542,#10537,#2807,.T.); +#13463=ADVANCED_FACE('',(#13462),#13455,.T.); +#13471=EDGE_CURVE('',#10537,#10533,#2802,.T.); +#13476=ADVANCED_FACE('',(#13475),#13468,.T.); +#13483=EDGE_CURVE('',#10532,#10536,#2817,.T.); +#13489=ADVANCED_FACE('',(#13488),#13481,.F.); +#13501=ADVANCED_FACE('',(#13500),#13494,.T.); +#13510=EDGE_CURVE('',#8839,#8337,#2871,.T.); +#13514=ADVANCED_FACE('',(#13513),#13506,.F.); +#13520=EDGE_CURVE('',#10573,#10577,#2833,.T.); +#13522=EDGE_CURVE('',#8863,#10577,#2837,.T.); +#13525=EDGE_CURVE('',#8850,#10599,#2841,.T.); +#13527=EDGE_CURVE('',#10599,#10596,#2848,.T.); +#13529=EDGE_CURVE('',#10596,#10597,#2852,.T.); +#13531=EDGE_CURVE('',#10597,#10601,#2859,.T.); +#13533=EDGE_CURVE('',#8846,#10601,#2863,.T.); +#13536=EDGE_CURVE('',#8841,#8340,#3276,.T.); +#13541=EDGE_CURVE('',#8861,#10575,#2875,.T.); +#13543=EDGE_CURVE('',#10575,#10572,#2882,.T.); +#13545=EDGE_CURVE('',#10572,#10573,#2886,.T.); +#13549=ADVANCED_FACE('',(#13548),#13519,.F.); +#13555=EDGE_CURVE('',#10560,#10577,#2905,.T.); +#13558=EDGE_CURVE('',#10556,#10573,#2891,.T.); +#13560=EDGE_CURVE('',#10560,#10556,#2896,.T.); +#13564=ADVANCED_FACE('',(#13563),#13554,.T.); +#13570=EDGE_CURVE('',#10560,#10561,#2900,.T.); +#13572=EDGE_CURVE('',#8933,#10561,#3206,.T.); +#13579=ADVANCED_FACE('',(#13578),#13569,.T.); +#13585=EDGE_CURVE('',#10556,#10557,#2943,.T.); +#13587=EDGE_CURVE('',#10557,#10561,#3197,.T.); +#13593=ADVANCED_FACE('',(#13592),#13584,.T.); +#13599=EDGE_CURVE('',#10220,#10221,#2909,.T.); +#13601=EDGE_CURVE('',#10233,#10220,#2913,.T.); +#13603=EDGE_CURVE('',#10227,#10233,#2917,.T.); +#13605=EDGE_CURVE('',#10221,#10227,#2922,.T.); +#13609=ADVANCED_FACE('',(#13608),#13598,.T.); +#13615=EDGE_CURVE('',#10248,#10249,#2926,.T.); +#13617=EDGE_CURVE('',#10257,#10248,#2930,.T.); +#13619=EDGE_CURVE('',#10251,#10257,#2935,.T.); +#13621=EDGE_CURVE('',#10249,#10251,#2939,.T.); +#13625=ADVANCED_FACE('',(#13624),#13614,.T.); +#13632=EDGE_CURVE('',#10569,#10556,#2947,.T.); +#13634=EDGE_CURVE('',#10563,#10569,#2951,.T.); +#13636=EDGE_CURVE('',#10557,#10563,#2956,.T.); +#13640=ADVANCED_FACE('',(#13639),#13630,.T.); +#13646=EDGE_CURVE('',#10580,#10581,#2960,.T.); +#13648=EDGE_CURVE('',#10593,#10580,#2964,.T.); +#13650=EDGE_CURVE('',#10587,#10593,#2968,.T.); +#13652=EDGE_CURVE('',#10581,#10587,#2973,.T.); +#13656=ADVANCED_FACE('',(#13655),#13645,.T.); +#13663=EDGE_CURVE('',#10221,#10225,#2978,.T.); +#13665=EDGE_CURVE('',#10224,#10225,#3080,.T.); +#13667=EDGE_CURVE('',#10224,#10220,#3076,.T.); +#13671=ADVANCED_FACE('',(#13670),#13661,.T.); +#13678=EDGE_CURVE('',#10227,#10229,#2983,.T.); +#13680=EDGE_CURVE('',#10225,#10229,#3090,.T.); +#13685=ADVANCED_FACE('',(#13684),#13676,.T.); +#13691=EDGE_CURVE('',#10229,#10231,#2987,.T.); +#13695=EDGE_CURVE('',#10233,#10231,#3001,.T.); +#13699=ADVANCED_FACE('',(#13698),#13690,.T.); +#13706=EDGE_CURVE('',#10231,#10239,#2992,.T.); +#13708=EDGE_CURVE('',#8853,#10239,#3055,.T.); +#13711=EDGE_CURVE('',#8939,#10229,#2996,.T.); +#13715=ADVANCED_FACE('',(#13714),#13704,.T.); +#13723=EDGE_CURVE('',#10236,#10233,#3006,.T.); +#13725=EDGE_CURVE('',#10239,#10236,#3062,.T.); +#13729=ADVANCED_FACE('',(#13728),#13720,.T.); +#13735=EDGE_CURVE('',#10236,#10237,#3066,.T.); +#13739=EDGE_CURVE('',#10220,#10237,#3071,.T.); +#13743=ADVANCED_FACE('',(#13742),#13734,.F.); +#13749=EDGE_CURVE('',#10237,#10241,#3013,.T.); +#13751=EDGE_CURVE('',#8856,#10241,#3017,.T.); +#13754=EDGE_CURVE('',#8857,#10263,#3021,.T.); +#13756=EDGE_CURVE('',#10263,#10244,#3028,.T.); +#13758=EDGE_CURVE('',#10244,#10245,#3032,.T.); +#13760=EDGE_CURVE('',#10245,#10265,#3039,.T.); +#13762=EDGE_CURVE('',#8859,#10265,#3043,.T.); +#13765=EDGE_CURVE('',#8833,#8332,#3164,.T.); +#13775=ADVANCED_FACE('',(#13774),#13748,.F.); +#13781=EDGE_CURVE('',#10224,#10241,#3085,.T.); +#13788=ADVANCED_FACE('',(#13787),#13780,.T.); +#13795=EDGE_CURVE('',#8937,#10225,#3094,.T.); +#13802=ADVANCED_FACE('',(#13801),#13793,.T.); +#13814=ADVANCED_FACE('',(#13813),#13807,.T.); +#13820=EDGE_CURVE('',#10259,#10261,#3098,.T.); +#13822=EDGE_CURVE('',#10261,#10263,#3103,.T.); +#13826=EDGE_CURVE('',#8943,#10259,#3107,.T.); +#13830=ADVANCED_FACE('',(#13829),#13819,.T.); +#13837=EDGE_CURVE('',#10257,#10259,#3112,.T.); +#13840=EDGE_CURVE('',#10248,#10261,#3150,.T.); +#13844=ADVANCED_FACE('',(#13843),#13835,.T.); +#13852=EDGE_CURVE('',#10255,#10259,#3122,.T.); +#13854=EDGE_CURVE('',#10251,#10255,#3117,.T.); +#13858=ADVANCED_FACE('',(#13857),#13849,.T.); +#13867=EDGE_CURVE('',#8941,#10255,#3126,.T.); +#13871=ADVANCED_FACE('',(#13870),#13863,.T.); +#13877=EDGE_CURVE('',#10254,#10255,#3130,.T.); +#13882=EDGE_CURVE('',#10254,#10265,#3135,.T.); +#13886=ADVANCED_FACE('',(#13885),#13876,.T.); +#13895=EDGE_CURVE('',#10254,#10249,#3145,.T.); +#13899=ADVANCED_FACE('',(#13898),#13891,.T.); +#13907=EDGE_CURVE('',#10249,#10245,#3140,.T.); +#13912=ADVANCED_FACE('',(#13911),#13904,.T.); +#13919=EDGE_CURVE('',#10244,#10248,#3155,.T.); +#13925=ADVANCED_FACE('',(#13924),#13917,.F.); +#13937=ADVANCED_FACE('',(#13936),#13930,.T.); +#13949=ADVANCED_FACE('',(#13948),#13942,.F.); +#13956=EDGE_CURVE('',#10572,#10569,#3174,.T.); +#13962=ADVANCED_FACE('',(#13961),#13954,.F.); +#13968=EDGE_CURVE('',#10567,#10575,#3183,.T.); +#13970=EDGE_CURVE('',#10569,#10567,#3169,.T.); +#13976=ADVANCED_FACE('',(#13975),#13967,.T.); +#13982=EDGE_CURVE('',#10565,#10567,#3178,.T.); +#13987=EDGE_CURVE('',#8935,#10565,#3187,.T.); +#13991=ADVANCED_FACE('',(#13990),#13981,.T.); +#13998=EDGE_CURVE('',#10563,#10565,#3192,.T.); +#14004=ADVANCED_FACE('',(#14003),#13996,.T.); +#14012=EDGE_CURVE('',#10561,#10565,#3202,.T.); +#14017=ADVANCED_FACE('',(#14016),#14009,.T.); +#14029=ADVANCED_FACE('',(#14028),#14022,.T.); +#14036=EDGE_CURVE('',#10581,#10585,#3211,.T.); +#14038=EDGE_CURVE('',#10584,#10585,#3253,.T.); +#14040=EDGE_CURVE('',#10584,#10580,#3249,.T.); +#14044=ADVANCED_FACE('',(#14043),#14034,.T.); +#14051=EDGE_CURVE('',#10587,#10589,#3216,.T.); +#14053=EDGE_CURVE('',#10585,#10589,#3263,.T.); +#14058=ADVANCED_FACE('',(#14057),#14049,.T.); +#14064=EDGE_CURVE('',#10589,#10591,#3220,.T.); +#14068=EDGE_CURVE('',#10593,#10591,#3234,.T.); +#14072=ADVANCED_FACE('',(#14071),#14063,.T.); +#14079=EDGE_CURVE('',#10591,#10599,#3225,.T.); +#14083=EDGE_CURVE('',#8851,#10589,#3229,.T.); +#14087=ADVANCED_FACE('',(#14086),#14077,.T.); +#14095=EDGE_CURVE('',#10596,#10593,#3239,.T.); +#14100=ADVANCED_FACE('',(#14099),#14092,.T.); +#14109=EDGE_CURVE('',#10580,#10597,#3244,.T.); +#14113=ADVANCED_FACE('',(#14112),#14105,.F.); +#14119=EDGE_CURVE('',#10584,#10601,#3258,.T.); +#14126=ADVANCED_FACE('',(#14125),#14118,.T.); +#14133=EDGE_CURVE('',#8847,#10585,#3267,.T.); +#14140=ADVANCED_FACE('',(#14139),#14131,.T.); +#14152=ADVANCED_FACE('',(#14151),#14145,.T.); +#14164=ADVANCED_FACE('',(#14163),#14157,.F.); +#14170=EDGE_CURVE('',#10206,#10207,#3280,.T.); +#14172=EDGE_CURVE('',#9869,#10207,#3337,.T.); +#14176=EDGE_CURVE('',#10206,#10217,#3285,.T.); +#14180=ADVANCED_FACE('',(#14179),#14169,.T.); +#14187=EDGE_CURVE('',#10203,#10207,#3290,.T.); +#14190=EDGE_CURVE('',#10206,#10201,#3328,.T.); +#14194=ADVANCED_FACE('',(#14193),#14185,.T.); +#14201=EDGE_CURVE('',#10209,#10211,#3295,.T.); +#14203=EDGE_CURVE('',#10207,#10211,#3333,.T.); +#14208=ADVANCED_FACE('',(#14207),#14199,.T.); +#14214=EDGE_CURVE('',#10211,#10213,#3299,.T.); +#14218=EDGE_CURVE('',#10200,#10213,#3313,.T.); +#14222=ADVANCED_FACE('',(#14221),#14213,.T.); +#14229=EDGE_CURVE('',#10213,#10215,#3304,.T.); +#14233=EDGE_CURVE('',#9871,#10211,#3308,.T.); +#14237=ADVANCED_FACE('',(#14236),#14227,.T.); +#14245=EDGE_CURVE('',#10196,#10200,#3318,.T.); +#14250=ADVANCED_FACE('',(#14249),#14242,.T.); +#14259=EDGE_CURVE('',#10201,#10197,#3323,.T.); +#14263=ADVANCED_FACE('',(#14262),#14255,.F.); +#14275=ADVANCED_FACE('',(#14274),#14268,.T.); +#14287=ADVANCED_FACE('',(#14286),#14280,.T.); +#14293=EDGE_CURVE('',#10176,#10177,#3341,.T.); +#14295=EDGE_CURVE('',#9861,#10177,#3398,.T.); +#14299=EDGE_CURVE('',#10176,#10193,#3346,.T.); +#14303=ADVANCED_FACE('',(#14302),#14292,.T.); +#14310=EDGE_CURVE('',#10173,#10177,#3351,.T.); +#14313=EDGE_CURVE('',#10176,#10172,#3389,.T.); +#14317=ADVANCED_FACE('',(#14316),#14308,.T.); +#14324=EDGE_CURVE('',#10179,#10181,#3356,.T.); +#14326=EDGE_CURVE('',#10177,#10181,#3394,.T.); +#14331=ADVANCED_FACE('',(#14330),#14322,.T.); +#14337=EDGE_CURVE('',#10181,#10183,#3360,.T.); +#14341=EDGE_CURVE('',#10185,#10183,#3374,.T.); +#14345=ADVANCED_FACE('',(#14344),#14336,.T.); +#14352=EDGE_CURVE('',#10183,#10191,#3365,.T.); +#14356=EDGE_CURVE('',#9863,#10181,#3369,.T.); +#14360=ADVANCED_FACE('',(#14359),#14350,.T.); +#14368=EDGE_CURVE('',#10188,#10185,#3379,.T.); +#14373=ADVANCED_FACE('',(#14372),#14365,.T.); +#14382=EDGE_CURVE('',#10172,#10189,#3384,.T.); +#14386=ADVANCED_FACE('',(#14385),#14378,.F.); +#14398=ADVANCED_FACE('',(#14397),#14391,.T.); +#14410=ADVANCED_FACE('',(#14409),#14403,.T.); +#14416=EDGE_CURVE('',#10152,#10153,#3402,.T.); +#14418=EDGE_CURVE('',#9853,#10153,#3459,.T.); +#14422=EDGE_CURVE('',#10152,#10169,#3407,.T.); +#14426=ADVANCED_FACE('',(#14425),#14415,.T.); +#14433=EDGE_CURVE('',#10149,#10153,#3412,.T.); +#14436=EDGE_CURVE('',#10152,#10148,#3450,.T.); +#14440=ADVANCED_FACE('',(#14439),#14431,.T.); +#14447=EDGE_CURVE('',#10155,#10157,#3417,.T.); +#14449=EDGE_CURVE('',#10153,#10157,#3455,.T.); +#14454=ADVANCED_FACE('',(#14453),#14445,.T.); +#14460=EDGE_CURVE('',#10157,#10159,#3421,.T.); +#14464=EDGE_CURVE('',#10161,#10159,#3435,.T.); +#14468=ADVANCED_FACE('',(#14467),#14459,.T.); +#14475=EDGE_CURVE('',#10159,#10167,#3426,.T.); +#14479=EDGE_CURVE('',#9855,#10157,#3430,.T.); +#14483=ADVANCED_FACE('',(#14482),#14473,.T.); +#14491=EDGE_CURVE('',#10164,#10161,#3440,.T.); +#14496=ADVANCED_FACE('',(#14495),#14488,.T.); +#14505=EDGE_CURVE('',#10148,#10165,#3445,.T.); +#14509=ADVANCED_FACE('',(#14508),#14501,.F.); +#14521=ADVANCED_FACE('',(#14520),#14514,.T.); +#14533=ADVANCED_FACE('',(#14532),#14526,.T.); +#14539=EDGE_CURVE('',#10128,#10129,#3463,.T.); +#14541=EDGE_CURVE('',#9847,#10129,#3520,.T.); +#14545=EDGE_CURVE('',#10128,#10145,#3468,.T.); +#14549=ADVANCED_FACE('',(#14548),#14538,.T.); +#14556=EDGE_CURVE('',#10125,#10129,#3473,.T.); +#14559=EDGE_CURVE('',#10128,#10124,#3511,.T.); +#14563=ADVANCED_FACE('',(#14562),#14554,.T.); +#14570=EDGE_CURVE('',#10131,#10133,#3478,.T.); +#14572=EDGE_CURVE('',#10129,#10133,#3516,.T.); +#14577=ADVANCED_FACE('',(#14576),#14568,.T.); +#14583=EDGE_CURVE('',#10133,#10135,#3482,.T.); +#14587=EDGE_CURVE('',#10137,#10135,#3496,.T.); +#14591=ADVANCED_FACE('',(#14590),#14582,.T.); +#14598=EDGE_CURVE('',#10135,#10143,#3487,.T.); +#14602=EDGE_CURVE('',#9849,#10133,#3491,.T.); +#14606=ADVANCED_FACE('',(#14605),#14596,.T.); +#14614=EDGE_CURVE('',#10140,#10137,#3501,.T.); +#14619=ADVANCED_FACE('',(#14618),#14611,.T.); +#14628=EDGE_CURVE('',#10124,#10141,#3506,.T.); +#14632=ADVANCED_FACE('',(#14631),#14624,.F.); +#14644=ADVANCED_FACE('',(#14643),#14637,.T.); +#14656=ADVANCED_FACE('',(#14655),#14649,.T.); +#14662=EDGE_CURVE('',#10104,#10105,#3524,.T.); +#14664=EDGE_CURVE('',#9841,#10105,#3581,.T.); +#14668=EDGE_CURVE('',#10104,#10121,#3529,.T.); +#14672=ADVANCED_FACE('',(#14671),#14661,.T.); +#14679=EDGE_CURVE('',#10101,#10105,#3534,.T.); +#14682=EDGE_CURVE('',#10104,#10100,#3572,.T.); +#14686=ADVANCED_FACE('',(#14685),#14677,.T.); +#14693=EDGE_CURVE('',#10107,#10109,#3539,.T.); +#14695=EDGE_CURVE('',#10105,#10109,#3577,.T.); +#14700=ADVANCED_FACE('',(#14699),#14691,.T.); +#14706=EDGE_CURVE('',#10109,#10111,#3543,.T.); +#14710=EDGE_CURVE('',#10113,#10111,#3557,.T.); +#14714=ADVANCED_FACE('',(#14713),#14705,.T.); +#14721=EDGE_CURVE('',#10111,#10119,#3548,.T.); +#14725=EDGE_CURVE('',#9843,#10109,#3552,.T.); +#14729=ADVANCED_FACE('',(#14728),#14719,.T.); +#14737=EDGE_CURVE('',#10116,#10113,#3562,.T.); +#14742=ADVANCED_FACE('',(#14741),#14734,.T.); +#14751=EDGE_CURVE('',#10100,#10117,#3567,.T.); +#14755=ADVANCED_FACE('',(#14754),#14747,.F.); +#14767=ADVANCED_FACE('',(#14766),#14760,.T.); +#14779=ADVANCED_FACE('',(#14778),#14772,.T.); +#14785=EDGE_CURVE('',#10080,#10081,#3585,.T.); +#14787=EDGE_CURVE('',#9879,#10081,#3642,.T.); +#14791=EDGE_CURVE('',#10080,#10097,#3590,.T.); +#14795=ADVANCED_FACE('',(#14794),#14784,.T.); +#14802=EDGE_CURVE('',#10077,#10081,#3595,.T.); +#14805=EDGE_CURVE('',#10080,#10076,#3633,.T.); +#14809=ADVANCED_FACE('',(#14808),#14800,.T.); +#14816=EDGE_CURVE('',#10083,#10085,#3600,.T.); +#14818=EDGE_CURVE('',#10081,#10085,#3638,.T.); +#14823=ADVANCED_FACE('',(#14822),#14814,.T.); +#14829=EDGE_CURVE('',#10085,#10087,#3604,.T.); +#14833=EDGE_CURVE('',#10089,#10087,#3618,.T.); +#14837=ADVANCED_FACE('',(#14836),#14828,.T.); +#14844=EDGE_CURVE('',#10087,#10095,#3609,.T.); +#14848=EDGE_CURVE('',#9881,#10085,#3613,.T.); +#14852=ADVANCED_FACE('',(#14851),#14842,.T.); +#14860=EDGE_CURVE('',#10092,#10089,#3623,.T.); +#14865=ADVANCED_FACE('',(#14864),#14857,.T.); +#14874=EDGE_CURVE('',#10076,#10093,#3628,.T.); +#14878=ADVANCED_FACE('',(#14877),#14870,.F.); +#14890=ADVANCED_FACE('',(#14889),#14883,.T.); +#14902=ADVANCED_FACE('',(#14901),#14895,.T.); +#14908=EDGE_CURVE('',#10056,#10057,#3646,.T.); +#14910=EDGE_CURVE('',#9771,#10057,#3703,.T.); +#14914=EDGE_CURVE('',#10056,#10073,#3651,.T.); +#14918=ADVANCED_FACE('',(#14917),#14907,.T.); +#14925=EDGE_CURVE('',#10053,#10057,#3656,.T.); +#14928=EDGE_CURVE('',#10056,#10052,#3694,.T.); +#14932=ADVANCED_FACE('',(#14931),#14923,.T.); +#14939=EDGE_CURVE('',#10059,#10061,#3661,.T.); +#14941=EDGE_CURVE('',#10057,#10061,#3699,.T.); +#14946=ADVANCED_FACE('',(#14945),#14937,.T.); +#14952=EDGE_CURVE('',#10061,#10063,#3665,.T.); +#14956=EDGE_CURVE('',#10065,#10063,#3679,.T.); +#14960=ADVANCED_FACE('',(#14959),#14951,.T.); +#14967=EDGE_CURVE('',#10063,#10071,#3670,.T.); +#14971=EDGE_CURVE('',#9773,#10061,#3674,.T.); +#14975=ADVANCED_FACE('',(#14974),#14965,.T.); +#14983=EDGE_CURVE('',#10068,#10065,#3684,.T.); +#14988=ADVANCED_FACE('',(#14987),#14980,.T.); +#14997=EDGE_CURVE('',#10052,#10069,#3689,.T.); +#15001=ADVANCED_FACE('',(#15000),#14993,.F.); +#15013=ADVANCED_FACE('',(#15012),#15006,.T.); +#15025=ADVANCED_FACE('',(#15024),#15018,.T.); +#15031=EDGE_CURVE('',#10032,#10033,#3707,.T.); +#15033=EDGE_CURVE('',#9775,#10033,#3764,.T.); +#15037=EDGE_CURVE('',#10032,#10049,#3712,.T.); +#15041=ADVANCED_FACE('',(#15040),#15030,.T.); +#15048=EDGE_CURVE('',#10029,#10033,#3717,.T.); +#15051=EDGE_CURVE('',#10032,#10028,#3755,.T.); +#15055=ADVANCED_FACE('',(#15054),#15046,.T.); +#15062=EDGE_CURVE('',#10035,#10037,#3722,.T.); +#15064=EDGE_CURVE('',#10033,#10037,#3760,.T.); +#15069=ADVANCED_FACE('',(#15068),#15060,.T.); +#15075=EDGE_CURVE('',#10037,#10039,#3726,.T.); +#15079=EDGE_CURVE('',#10041,#10039,#3740,.T.); +#15083=ADVANCED_FACE('',(#15082),#15074,.T.); +#15090=EDGE_CURVE('',#10039,#10047,#3731,.T.); +#15094=EDGE_CURVE('',#9777,#10037,#3735,.T.); +#15098=ADVANCED_FACE('',(#15097),#15088,.T.); +#15106=EDGE_CURVE('',#10044,#10041,#3745,.T.); +#15111=ADVANCED_FACE('',(#15110),#15103,.T.); +#15120=EDGE_CURVE('',#10028,#10045,#3750,.T.); +#15124=ADVANCED_FACE('',(#15123),#15116,.F.); +#15136=ADVANCED_FACE('',(#15135),#15129,.T.); +#15148=ADVANCED_FACE('',(#15147),#15141,.T.); +#15154=EDGE_CURVE('',#10008,#10009,#3768,.T.); +#15156=EDGE_CURVE('',#9779,#10009,#3825,.T.); +#15160=EDGE_CURVE('',#10008,#10025,#3773,.T.); +#15164=ADVANCED_FACE('',(#15163),#15153,.T.); +#15171=EDGE_CURVE('',#10005,#10009,#3778,.T.); +#15174=EDGE_CURVE('',#10008,#10004,#3816,.T.); +#15178=ADVANCED_FACE('',(#15177),#15169,.T.); +#15185=EDGE_CURVE('',#10011,#10013,#3783,.T.); +#15187=EDGE_CURVE('',#10009,#10013,#3821,.T.); +#15192=ADVANCED_FACE('',(#15191),#15183,.T.); +#15198=EDGE_CURVE('',#10013,#10015,#3787,.T.); +#15202=EDGE_CURVE('',#10017,#10015,#3801,.T.); +#15206=ADVANCED_FACE('',(#15205),#15197,.T.); +#15213=EDGE_CURVE('',#10015,#10023,#3792,.T.); +#15217=EDGE_CURVE('',#9781,#10013,#3796,.T.); +#15221=ADVANCED_FACE('',(#15220),#15211,.T.); +#15229=EDGE_CURVE('',#10020,#10017,#3806,.T.); +#15234=ADVANCED_FACE('',(#15233),#15226,.T.); +#15243=EDGE_CURVE('',#10004,#10021,#3811,.T.); +#15247=ADVANCED_FACE('',(#15246),#15239,.F.); +#15259=ADVANCED_FACE('',(#15258),#15252,.T.); +#15271=ADVANCED_FACE('',(#15270),#15264,.T.); +#15277=EDGE_CURVE('',#9984,#9985,#3829,.T.); +#15279=EDGE_CURVE('',#9783,#9985,#3886,.T.); +#15283=EDGE_CURVE('',#9984,#10001,#3834,.T.); +#15287=ADVANCED_FACE('',(#15286),#15276,.T.); +#15294=EDGE_CURVE('',#9981,#9985,#3839,.T.); +#15297=EDGE_CURVE('',#9984,#9980,#3877,.T.); +#15301=ADVANCED_FACE('',(#15300),#15292,.T.); +#15308=EDGE_CURVE('',#9987,#9989,#3844,.T.); +#15310=EDGE_CURVE('',#9985,#9989,#3882,.T.); +#15315=ADVANCED_FACE('',(#15314),#15306,.T.); +#15321=EDGE_CURVE('',#9989,#9991,#3848,.T.); +#15325=EDGE_CURVE('',#9993,#9991,#3862,.T.); +#15329=ADVANCED_FACE('',(#15328),#15320,.T.); +#15336=EDGE_CURVE('',#9991,#9999,#3853,.T.); +#15340=EDGE_CURVE('',#9785,#9989,#3857,.T.); +#15344=ADVANCED_FACE('',(#15343),#15334,.T.); +#15352=EDGE_CURVE('',#9996,#9993,#3867,.T.); +#15357=ADVANCED_FACE('',(#15356),#15349,.T.); +#15366=EDGE_CURVE('',#9980,#9997,#3872,.T.); +#15370=ADVANCED_FACE('',(#15369),#15362,.F.); +#15382=ADVANCED_FACE('',(#15381),#15375,.T.); +#15394=ADVANCED_FACE('',(#15393),#15387,.T.); +#15400=EDGE_CURVE('',#9960,#9961,#3890,.T.); +#15402=EDGE_CURVE('',#9791,#9961,#3947,.T.); +#15406=EDGE_CURVE('',#9960,#9977,#3895,.T.); +#15410=ADVANCED_FACE('',(#15409),#15399,.T.); +#15417=EDGE_CURVE('',#9957,#9961,#3900,.T.); +#15420=EDGE_CURVE('',#9960,#9956,#3938,.T.); +#15424=ADVANCED_FACE('',(#15423),#15415,.T.); +#15431=EDGE_CURVE('',#9963,#9965,#3905,.T.); +#15433=EDGE_CURVE('',#9961,#9965,#3943,.T.); +#15438=ADVANCED_FACE('',(#15437),#15429,.T.); +#15444=EDGE_CURVE('',#9965,#9967,#3909,.T.); +#15448=EDGE_CURVE('',#9969,#9967,#3923,.T.); +#15452=ADVANCED_FACE('',(#15451),#15443,.T.); +#15459=EDGE_CURVE('',#9967,#9975,#3914,.T.); +#15463=EDGE_CURVE('',#9793,#9965,#3918,.T.); +#15467=ADVANCED_FACE('',(#15466),#15457,.T.); +#15475=EDGE_CURVE('',#9972,#9969,#3928,.T.); +#15480=ADVANCED_FACE('',(#15479),#15472,.T.); +#15489=EDGE_CURVE('',#9956,#9973,#3933,.T.); +#15493=ADVANCED_FACE('',(#15492),#15485,.F.); +#15505=ADVANCED_FACE('',(#15504),#15498,.T.); +#15517=ADVANCED_FACE('',(#15516),#15510,.T.); +#15524=EDGE_CURVE('',#9054,#8962,#3951,.T.); +#15526=EDGE_CURVE('',#8962,#8963,#3960,.T.); +#15528=EDGE_CURVE('',#9055,#8963,#3955,.T.); +#15532=ADVANCED_FACE('',(#15531),#15522,.T.); +#15540=EDGE_CURVE('',#8963,#8962,#3965,.T.); +#15545=ADVANCED_FACE('',(#15544),#15537,.T.); +#15555=ADVANCED_FACE('',(#15554),#15550,.T.); +#15561=EDGE_CURVE('',#8966,#8967,#3970,.T.); +#15563=EDGE_CURVE('',#8967,#8966,#3975,.T.); +#15567=ADVANCED_FACE('',(#15566),#15560,.T.); +#15573=EDGE_CURVE('',#8970,#8971,#3980,.T.); +#15575=EDGE_CURVE('',#8971,#8970,#3985,.T.); +#15579=ADVANCED_FACE('',(#15578),#15572,.T.); +#15585=EDGE_CURVE('',#8974,#8975,#3990,.T.); +#15587=EDGE_CURVE('',#8975,#8974,#3995,.T.); +#15591=ADVANCED_FACE('',(#15590),#15584,.T.); +#15597=EDGE_CURVE('',#8978,#8979,#4000,.T.); +#15599=EDGE_CURVE('',#8979,#8978,#4005,.T.); +#15603=ADVANCED_FACE('',(#15602),#15596,.T.); +#15609=EDGE_CURVE('',#8982,#8983,#4010,.T.); +#15611=EDGE_CURVE('',#8983,#8982,#4015,.T.); +#15615=ADVANCED_FACE('',(#15614),#15608,.T.); +#15621=EDGE_CURVE('',#8986,#8987,#4020,.T.); +#15623=EDGE_CURVE('',#8987,#8986,#4025,.T.); +#15627=ADVANCED_FACE('',(#15626),#15620,.T.); +#15633=EDGE_CURVE('',#8990,#8991,#4030,.T.); +#15635=EDGE_CURVE('',#8991,#8990,#4035,.T.); +#15639=ADVANCED_FACE('',(#15638),#15632,.T.); +#15645=EDGE_CURVE('',#8994,#8995,#4040,.T.); +#15647=EDGE_CURVE('',#8995,#8994,#4045,.T.); +#15651=ADVANCED_FACE('',(#15650),#15644,.T.); +#15657=EDGE_CURVE('',#8998,#8999,#4050,.T.); +#15659=EDGE_CURVE('',#8999,#8998,#4055,.T.); +#15663=ADVANCED_FACE('',(#15662),#15656,.T.); +#15669=EDGE_CURVE('',#9002,#9003,#4060,.T.); +#15671=EDGE_CURVE('',#9003,#9002,#4065,.T.); +#15675=ADVANCED_FACE('',(#15674),#15668,.T.); +#15681=EDGE_CURVE('',#9006,#9007,#4070,.T.); +#15683=EDGE_CURVE('',#9007,#9006,#4075,.T.); +#15687=ADVANCED_FACE('',(#15686),#15680,.T.); +#15693=EDGE_CURVE('',#9010,#9011,#4080,.T.); +#15695=EDGE_CURVE('',#9011,#9010,#4085,.T.); +#15699=ADVANCED_FACE('',(#15698),#15692,.T.); +#15705=EDGE_CURVE('',#9014,#9015,#4090,.T.); +#15707=EDGE_CURVE('',#9015,#9014,#4095,.T.); +#15711=ADVANCED_FACE('',(#15710),#15704,.T.); +#15717=EDGE_CURVE('',#9018,#9019,#4100,.T.); +#15719=EDGE_CURVE('',#9019,#9018,#4105,.T.); +#15723=ADVANCED_FACE('',(#15722),#15716,.T.); +#15729=EDGE_CURVE('',#9022,#9023,#4110,.T.); +#15731=EDGE_CURVE('',#9023,#9022,#4115,.T.); +#15735=ADVANCED_FACE('',(#15734),#15728,.T.); +#15741=EDGE_CURVE('',#9026,#9027,#4120,.T.); +#15743=EDGE_CURVE('',#9027,#9026,#4125,.T.); +#15747=ADVANCED_FACE('',(#15746),#15740,.T.); +#15753=EDGE_CURVE('',#9030,#9031,#4130,.T.); +#15755=EDGE_CURVE('',#9031,#9030,#4135,.T.); +#15759=ADVANCED_FACE('',(#15758),#15752,.T.); +#15765=EDGE_CURVE('',#9034,#9035,#4140,.T.); +#15767=EDGE_CURVE('',#9035,#9034,#4145,.T.); +#15771=ADVANCED_FACE('',(#15770),#15764,.T.); +#15777=EDGE_CURVE('',#9038,#9039,#4150,.T.); +#15779=EDGE_CURVE('',#9039,#9038,#4155,.T.); +#15783=ADVANCED_FACE('',(#15782),#15776,.T.); +#15789=EDGE_CURVE('',#9042,#9043,#4160,.T.); +#15791=EDGE_CURVE('',#9043,#9042,#4165,.T.); +#15795=ADVANCED_FACE('',(#15794),#15788,.T.); +#15801=EDGE_CURVE('',#9046,#9047,#4170,.T.); +#15803=EDGE_CURVE('',#9047,#9046,#4175,.T.); +#15807=ADVANCED_FACE('',(#15806),#15800,.T.); +#15813=EDGE_CURVE('',#9050,#9051,#4180,.T.); +#15815=EDGE_CURVE('',#9051,#9050,#4185,.T.); +#15819=ADVANCED_FACE('',(#15818),#15812,.T.); +#15825=EDGE_CURVE('',#9580,#9581,#4190,.T.); +#15827=EDGE_CURVE('',#9581,#9580,#4195,.T.); +#15831=ADVANCED_FACE('',(#15830),#15824,.T.); +#15837=EDGE_CURVE('',#9584,#9585,#4200,.T.); +#15839=EDGE_CURVE('',#9585,#9584,#4205,.T.); +#15843=ADVANCED_FACE('',(#15842),#15836,.T.); +#15849=EDGE_CURVE('',#9588,#9589,#4210,.T.); +#15851=EDGE_CURVE('',#9589,#9588,#4215,.T.); +#15855=ADVANCED_FACE('',(#15854),#15848,.T.); +#15861=EDGE_CURVE('',#9592,#9593,#4220,.T.); +#15863=EDGE_CURVE('',#9593,#9592,#4225,.T.); +#15867=ADVANCED_FACE('',(#15866),#15860,.T.); +#15873=EDGE_CURVE('',#9596,#9597,#4230,.T.); +#15875=EDGE_CURVE('',#9597,#9596,#4235,.T.); +#15879=ADVANCED_FACE('',(#15878),#15872,.T.); +#15885=EDGE_CURVE('',#9600,#9601,#4240,.T.); +#15887=EDGE_CURVE('',#9601,#9600,#4245,.T.); +#15891=ADVANCED_FACE('',(#15890),#15884,.T.); +#15897=EDGE_CURVE('',#9604,#9605,#4250,.T.); +#15899=EDGE_CURVE('',#9605,#9604,#4255,.T.); +#15903=ADVANCED_FACE('',(#15902),#15896,.T.); +#15909=EDGE_CURVE('',#9608,#9609,#4260,.T.); +#15911=EDGE_CURVE('',#9609,#9608,#4265,.T.); +#15915=ADVANCED_FACE('',(#15914),#15908,.T.); +#15921=EDGE_CURVE('',#9612,#9613,#4270,.T.); +#15923=EDGE_CURVE('',#9613,#9612,#4275,.T.); +#15927=ADVANCED_FACE('',(#15926),#15920,.T.); +#15933=EDGE_CURVE('',#9616,#9617,#4280,.T.); +#15935=EDGE_CURVE('',#9617,#9616,#4285,.T.); +#15939=ADVANCED_FACE('',(#15938),#15932,.T.); +#15945=EDGE_CURVE('',#9620,#9621,#4290,.T.); +#15947=EDGE_CURVE('',#9621,#9620,#4295,.T.); +#15951=ADVANCED_FACE('',(#15950),#15944,.T.); +#15957=EDGE_CURVE('',#9624,#9625,#4300,.T.); +#15959=EDGE_CURVE('',#9625,#9624,#4305,.T.); +#15963=ADVANCED_FACE('',(#15962),#15956,.T.); +#15970=EDGE_CURVE('',#9058,#8966,#4309,.T.); +#15973=EDGE_CURVE('',#9059,#8967,#4313,.T.); +#15977=ADVANCED_FACE('',(#15976),#15968,.T.); +#15989=ADVANCED_FACE('',(#15988),#15982,.T.); +#15996=EDGE_CURVE('',#9062,#8970,#4317,.T.); +#15999=EDGE_CURVE('',#9063,#8971,#4321,.T.); +#16003=ADVANCED_FACE('',(#16002),#15994,.T.); +#16015=ADVANCED_FACE('',(#16014),#16008,.T.); +#16022=EDGE_CURVE('',#9066,#8974,#4325,.T.); +#16025=EDGE_CURVE('',#9067,#8975,#4329,.T.); +#16029=ADVANCED_FACE('',(#16028),#16020,.T.); +#16041=ADVANCED_FACE('',(#16040),#16034,.T.); +#16048=EDGE_CURVE('',#9070,#8978,#4333,.T.); +#16051=EDGE_CURVE('',#9071,#8979,#4337,.T.); +#16055=ADVANCED_FACE('',(#16054),#16046,.T.); +#16067=ADVANCED_FACE('',(#16066),#16060,.T.); +#16074=EDGE_CURVE('',#9074,#8982,#4341,.T.); +#16077=EDGE_CURVE('',#9075,#8983,#4345,.T.); +#16081=ADVANCED_FACE('',(#16080),#16072,.T.); +#16093=ADVANCED_FACE('',(#16092),#16086,.T.); +#16100=EDGE_CURVE('',#9078,#8986,#4349,.T.); +#16103=EDGE_CURVE('',#9079,#8987,#4353,.T.); +#16107=ADVANCED_FACE('',(#16106),#16098,.T.); +#16119=ADVANCED_FACE('',(#16118),#16112,.T.); +#16126=EDGE_CURVE('',#9082,#8990,#4357,.T.); +#16129=EDGE_CURVE('',#9083,#8991,#4361,.T.); +#16133=ADVANCED_FACE('',(#16132),#16124,.T.); +#16145=ADVANCED_FACE('',(#16144),#16138,.T.); +#16152=EDGE_CURVE('',#9086,#8994,#4365,.T.); +#16155=EDGE_CURVE('',#9087,#8995,#4369,.T.); +#16159=ADVANCED_FACE('',(#16158),#16150,.T.); +#16171=ADVANCED_FACE('',(#16170),#16164,.T.); +#16178=EDGE_CURVE('',#9090,#8998,#4373,.T.); +#16181=EDGE_CURVE('',#9091,#8999,#4377,.T.); +#16185=ADVANCED_FACE('',(#16184),#16176,.T.); +#16197=ADVANCED_FACE('',(#16196),#16190,.T.); +#16204=EDGE_CURVE('',#9094,#9002,#4381,.T.); +#16207=EDGE_CURVE('',#9095,#9003,#4385,.T.); +#16211=ADVANCED_FACE('',(#16210),#16202,.T.); +#16223=ADVANCED_FACE('',(#16222),#16216,.T.); +#16230=EDGE_CURVE('',#9098,#9006,#4389,.T.); +#16233=EDGE_CURVE('',#9099,#9007,#4393,.T.); +#16237=ADVANCED_FACE('',(#16236),#16228,.T.); +#16249=ADVANCED_FACE('',(#16248),#16242,.T.); +#16256=EDGE_CURVE('',#9102,#9010,#4397,.T.); +#16259=EDGE_CURVE('',#9103,#9011,#4401,.T.); +#16263=ADVANCED_FACE('',(#16262),#16254,.T.); +#16275=ADVANCED_FACE('',(#16274),#16268,.T.); +#16282=EDGE_CURVE('',#9106,#9014,#4405,.T.); +#16285=EDGE_CURVE('',#9107,#9015,#4409,.T.); +#16289=ADVANCED_FACE('',(#16288),#16280,.T.); +#16301=ADVANCED_FACE('',(#16300),#16294,.T.); +#16308=EDGE_CURVE('',#9110,#9018,#4413,.T.); +#16311=EDGE_CURVE('',#9111,#9019,#4417,.T.); +#16315=ADVANCED_FACE('',(#16314),#16306,.T.); +#16327=ADVANCED_FACE('',(#16326),#16320,.T.); +#16334=EDGE_CURVE('',#9114,#9022,#4421,.T.); +#16337=EDGE_CURVE('',#9115,#9023,#4425,.T.); +#16341=ADVANCED_FACE('',(#16340),#16332,.T.); +#16353=ADVANCED_FACE('',(#16352),#16346,.T.); +#16360=EDGE_CURVE('',#9118,#9026,#4429,.T.); +#16363=EDGE_CURVE('',#9119,#9027,#4433,.T.); +#16367=ADVANCED_FACE('',(#16366),#16358,.T.); +#16379=ADVANCED_FACE('',(#16378),#16372,.T.); +#16386=EDGE_CURVE('',#9122,#9030,#4437,.T.); +#16389=EDGE_CURVE('',#9123,#9031,#4441,.T.); +#16393=ADVANCED_FACE('',(#16392),#16384,.T.); +#16405=ADVANCED_FACE('',(#16404),#16398,.T.); +#16412=EDGE_CURVE('',#9126,#9034,#4445,.T.); +#16415=EDGE_CURVE('',#9127,#9035,#4449,.T.); +#16419=ADVANCED_FACE('',(#16418),#16410,.T.); +#16431=ADVANCED_FACE('',(#16430),#16424,.T.); +#16438=EDGE_CURVE('',#9130,#9038,#4453,.T.); +#16441=EDGE_CURVE('',#9131,#9039,#4457,.T.); +#16445=ADVANCED_FACE('',(#16444),#16436,.T.); +#16457=ADVANCED_FACE('',(#16456),#16450,.T.); +#16464=EDGE_CURVE('',#9134,#9042,#4461,.T.); +#16467=EDGE_CURVE('',#9135,#9043,#4465,.T.); +#16471=ADVANCED_FACE('',(#16470),#16462,.T.); +#16483=ADVANCED_FACE('',(#16482),#16476,.T.); +#16490=EDGE_CURVE('',#9138,#9046,#4469,.T.); +#16493=EDGE_CURVE('',#9139,#9047,#4473,.T.); +#16497=ADVANCED_FACE('',(#16496),#16488,.T.); +#16509=ADVANCED_FACE('',(#16508),#16502,.T.); +#16516=EDGE_CURVE('',#9142,#9050,#4477,.T.); +#16519=EDGE_CURVE('',#9143,#9051,#4481,.T.); +#16523=ADVANCED_FACE('',(#16522),#16514,.T.); +#16535=ADVANCED_FACE('',(#16534),#16528,.T.); +#16542=EDGE_CURVE('',#9628,#9580,#4485,.T.); +#16545=EDGE_CURVE('',#9629,#9581,#4489,.T.); +#16549=ADVANCED_FACE('',(#16548),#16540,.T.); +#16561=ADVANCED_FACE('',(#16560),#16554,.T.); +#16568=EDGE_CURVE('',#9632,#9584,#4493,.T.); +#16571=EDGE_CURVE('',#9633,#9585,#4497,.T.); +#16575=ADVANCED_FACE('',(#16574),#16566,.T.); +#16587=ADVANCED_FACE('',(#16586),#16580,.T.); +#16594=EDGE_CURVE('',#9636,#9588,#4501,.T.); +#16597=EDGE_CURVE('',#9637,#9589,#4505,.T.); +#16601=ADVANCED_FACE('',(#16600),#16592,.T.); +#16613=ADVANCED_FACE('',(#16612),#16606,.T.); +#16620=EDGE_CURVE('',#9640,#9592,#4509,.T.); +#16623=EDGE_CURVE('',#9641,#9593,#4513,.T.); +#16627=ADVANCED_FACE('',(#16626),#16618,.T.); +#16639=ADVANCED_FACE('',(#16638),#16632,.T.); +#16646=EDGE_CURVE('',#9644,#9596,#4517,.T.); +#16649=EDGE_CURVE('',#9645,#9597,#4521,.T.); +#16653=ADVANCED_FACE('',(#16652),#16644,.T.); +#16665=ADVANCED_FACE('',(#16664),#16658,.T.); +#16672=EDGE_CURVE('',#9648,#9600,#4525,.T.); +#16675=EDGE_CURVE('',#9649,#9601,#4529,.T.); +#16679=ADVANCED_FACE('',(#16678),#16670,.T.); +#16691=ADVANCED_FACE('',(#16690),#16684,.T.); +#16698=EDGE_CURVE('',#9652,#9604,#4533,.T.); +#16701=EDGE_CURVE('',#9653,#9605,#4537,.T.); +#16705=ADVANCED_FACE('',(#16704),#16696,.T.); +#16717=ADVANCED_FACE('',(#16716),#16710,.T.); +#16724=EDGE_CURVE('',#9656,#9608,#4541,.T.); +#16727=EDGE_CURVE('',#9657,#9609,#4545,.T.); +#16731=ADVANCED_FACE('',(#16730),#16722,.T.); +#16743=ADVANCED_FACE('',(#16742),#16736,.T.); +#16750=EDGE_CURVE('',#9660,#9612,#4549,.T.); +#16753=EDGE_CURVE('',#9661,#9613,#4553,.T.); +#16757=ADVANCED_FACE('',(#16756),#16748,.T.); +#16769=ADVANCED_FACE('',(#16768),#16762,.T.); +#16776=EDGE_CURVE('',#9664,#9616,#4557,.T.); +#16779=EDGE_CURVE('',#9665,#9617,#4561,.T.); +#16783=ADVANCED_FACE('',(#16782),#16774,.T.); +#16795=ADVANCED_FACE('',(#16794),#16788,.T.); +#16802=EDGE_CURVE('',#9668,#9620,#4565,.T.); +#16805=EDGE_CURVE('',#9669,#9621,#4569,.T.); +#16809=ADVANCED_FACE('',(#16808),#16800,.T.); +#16821=ADVANCED_FACE('',(#16820),#16814,.T.); +#16828=EDGE_CURVE('',#9672,#9624,#4573,.T.); +#16831=EDGE_CURVE('',#9673,#9625,#4577,.T.); +#16835=ADVANCED_FACE('',(#16834),#16826,.T.); +#16847=ADVANCED_FACE('',(#16846),#16840,.T.); +#16854=EDGE_CURVE('',#9331,#9335,#4587,.T.); +#16856=EDGE_CURVE('',#9334,#9335,#4776,.T.); +#16858=EDGE_CURVE('',#9330,#9334,#4582,.T.); +#16862=ADVANCED_FACE('',(#16861),#16852,.T.); +#16868=EDGE_CURVE('',#9335,#8508,#4643,.T.); +#16872=EDGE_CURVE('',#9357,#9359,#4726,.T.); +#16874=EDGE_CURVE('',#8516,#9359,#4627,.T.); +#16876=EDGE_CURVE('',#8516,#8520,#4591,.T.); +#16878=EDGE_CURVE('',#8520,#8512,#4595,.T.); +#16880=EDGE_CURVE('',#8512,#8508,#4599,.T.); +#16884=ADVANCED_FACE('',(#16883),#16867,.T.); +#16890=EDGE_CURVE('',#8508,#8509,#4603,.T.); +#16892=EDGE_CURVE('',#8513,#8509,#4607,.T.); +#16894=EDGE_CURVE('',#8512,#8513,#4611,.T.); +#16897=EDGE_CURVE('',#8520,#8521,#4615,.T.); +#16899=EDGE_CURVE('',#8517,#8521,#4619,.T.); +#16901=EDGE_CURVE('',#8516,#8517,#4623,.T.); +#16904=EDGE_CURVE('',#9359,#8420,#4721,.T.); +#16906=EDGE_CURVE('',#8420,#8398,#4857,.T.); +#16908=EDGE_CURVE('',#8477,#8398,#7976,.T.); +#16910=EDGE_CURVE('',#8476,#8477,#5178,.T.); +#16912=EDGE_CURVE('',#9923,#8476,#7896,.T.); +#16914=EDGE_CURVE('',#9923,#9924,#4631,.T.); +#16916=EDGE_CURVE('',#8457,#9924,#7837,.T.); +#16918=EDGE_CURVE('',#8455,#8457,#5160,.T.); +#16920=EDGE_CURVE('',#8455,#8383,#4635,.T.); +#16922=EDGE_CURVE('',#8383,#8445,#4915,.T.); +#16924=EDGE_CURVE('',#9335,#8445,#4639,.T.); +#16929=ADVANCED_FACE('',(#16928),#16889,.T.); +#16935=EDGE_CURVE('',#8531,#8508,#4647,.T.); +#16937=EDGE_CURVE('',#8523,#8531,#4687,.T.); +#16939=EDGE_CURVE('',#8523,#8509,#4671,.T.); +#16944=ADVANCED_FACE('',(#16943),#16934,.F.); +#16952=EDGE_CURVE('',#8533,#8512,#4651,.T.); +#16954=EDGE_CURVE('',#8533,#8531,#4655,.T.); +#16958=ADVANCED_FACE('',(#16957),#16949,.T.); +#16964=EDGE_CURVE('',#8535,#8516,#4659,.T.); +#16966=EDGE_CURVE('',#8535,#8537,#4663,.T.); +#16968=EDGE_CURVE('',#8537,#8520,#4667,.T.); +#16973=ADVANCED_FACE('',(#16972),#16963,.T.); +#16981=EDGE_CURVE('',#8513,#8525,#4675,.T.); +#16983=EDGE_CURVE('',#8525,#8533,#4691,.T.); +#16987=ADVANCED_FACE('',(#16986),#16978,.F.); +#16994=EDGE_CURVE('',#8525,#8523,#4695,.T.); +#17000=ADVANCED_FACE('',(#16999),#16992,.T.); +#17006=EDGE_CURVE('',#8517,#8527,#4679,.T.); +#17009=EDGE_CURVE('',#8529,#8521,#4683,.T.); +#17011=EDGE_CURVE('',#8527,#8529,#4703,.T.); +#17015=ADVANCED_FACE('',(#17014),#17005,.T.); +#17027=ADVANCED_FACE('',(#17026),#17020,.T.); +#17033=EDGE_CURVE('',#8527,#8535,#4699,.T.); +#17036=EDGE_CURVE('',#8529,#8537,#4707,.T.); +#17041=ADVANCED_FACE('',(#17040),#17032,.T.); +#17053=ADVANCED_FACE('',(#17052),#17046,.F.); +#17065=ADVANCED_FACE('',(#17064),#17058,.F.); +#17071=EDGE_CURVE('',#9359,#9355,#4712,.T.); +#17073=EDGE_CURVE('',#9355,#8421,#5039,.T.); +#17075=EDGE_CURVE('',#8420,#8421,#4717,.T.); +#17080=ADVANCED_FACE('',(#17079),#17070,.T.); +#17087=EDGE_CURVE('',#9353,#9355,#4731,.T.); +#17093=ADVANCED_FACE('',(#17092),#17085,.T.); +#17100=EDGE_CURVE('',#9349,#9351,#4736,.T.); +#17102=EDGE_CURVE('',#9355,#9351,#5019,.T.); +#17107=ADVANCED_FACE('',(#17106),#17098,.T.); +#17114=EDGE_CURVE('',#9345,#9347,#4741,.T.); +#17116=EDGE_CURVE('',#9351,#9347,#5044,.T.); +#17121=ADVANCED_FACE('',(#17120),#17112,.T.); +#17128=EDGE_CURVE('',#9341,#9343,#4746,.T.); +#17130=EDGE_CURVE('',#9347,#9343,#5066,.T.); +#17135=ADVANCED_FACE('',(#17134),#17126,.T.); +#17142=EDGE_CURVE('',#9337,#9339,#4751,.T.); +#17144=EDGE_CURVE('',#9343,#9339,#5079,.T.); +#17149=ADVANCED_FACE('',(#17148),#17140,.T.); +#17157=EDGE_CURVE('',#9339,#9334,#4755,.T.); +#17162=ADVANCED_FACE('',(#17161),#17154,.T.); +#17169=EDGE_CURVE('',#9334,#8444,#4785,.T.); +#17171=EDGE_CURVE('',#8444,#8385,#4943,.T.); +#17173=EDGE_CURVE('',#8503,#8385,#7825,.T.); +#17175=EDGE_CURVE('',#9925,#8503,#7849,.T.); +#17177=EDGE_CURVE('',#9925,#8441,#4759,.T.); +#17179=EDGE_CURVE('',#8441,#8439,#4763,.T.); +#17181=EDGE_CURVE('',#8435,#8439,#4767,.T.); +#17183=EDGE_CURVE('',#9339,#8435,#4771,.T.); +#17187=ADVANCED_FACE('',(#17186),#17167,.T.); +#17195=EDGE_CURVE('',#8444,#8445,#4781,.T.); +#17200=ADVANCED_FACE('',(#17199),#17192,.T.); +#17206=EDGE_CURVE('',#8407,#8421,#4829,.T.); +#17208=EDGE_CURVE('',#8405,#8407,#4834,.T.); +#17210=EDGE_CURVE('',#8403,#8405,#4839,.T.); +#17212=EDGE_CURVE('',#8401,#8403,#4843,.T.); +#17214=EDGE_CURVE('',#8399,#8401,#4848,.T.); +#17216=EDGE_CURVE('',#8398,#8399,#4853,.T.); +#17222=EDGE_CURVE('',#8368,#8369,#4810,.T.); +#17224=EDGE_CURVE('',#8369,#8368,#4815,.T.); +#17228=ADVANCED_FACE('',(#17221,#17227),#17205,.T.); +#17234=EDGE_CURVE('',#8415,#8429,#4861,.T.); +#17236=EDGE_CURVE('',#8413,#8415,#4866,.T.); +#17238=EDGE_CURVE('',#8411,#8413,#4870,.T.); +#17240=EDGE_CURVE('',#8410,#8411,#4875,.T.); +#17242=EDGE_CURVE('',#8417,#8410,#4879,.T.); +#17244=EDGE_CURVE('',#8424,#8417,#4884,.T.); +#17246=EDGE_CURVE('',#8424,#8425,#5023,.T.); +#17248=EDGE_CURVE('',#8425,#8429,#5058,.T.); +#17252=EDGE_CURVE('',#8372,#8373,#4820,.T.); +#17254=EDGE_CURVE('',#8373,#8372,#4825,.T.); +#17258=ADVANCED_FACE('',(#17251,#17257),#17233,.T.); +#17264=EDGE_CURVE('',#8389,#8439,#4889,.T.); +#17266=EDGE_CURVE('',#8388,#8389,#4893,.T.); +#17268=EDGE_CURVE('',#8395,#8388,#4898,.T.); +#17270=EDGE_CURVE('',#8393,#8395,#4902,.T.); +#17272=EDGE_CURVE('',#8392,#8393,#4907,.T.); +#17274=EDGE_CURVE('',#8434,#8392,#4911,.T.); +#17276=EDGE_CURVE('',#8434,#8435,#5084,.T.); +#17281=EDGE_CURVE('',#8364,#8365,#4800,.T.); +#17283=EDGE_CURVE('',#8365,#8364,#4805,.T.); +#17287=ADVANCED_FACE('',(#17280,#17286),#17263,.T.); +#17294=EDGE_CURVE('',#8381,#8383,#4920,.T.); +#17296=EDGE_CURVE('',#8379,#8381,#4925,.T.); +#17298=EDGE_CURVE('',#8377,#8379,#4929,.T.); +#17300=EDGE_CURVE('',#8376,#8377,#4934,.T.); +#17302=EDGE_CURVE('',#8385,#8376,#4939,.T.); +#17308=EDGE_CURVE('',#8360,#8361,#4790,.T.); +#17310=EDGE_CURVE('',#8361,#8360,#4795,.T.); +#17314=ADVANCED_FACE('',(#17307,#17313),#17292,.T.); +#17320=EDGE_CURVE('',#9904,#8361,#4951,.T.); +#17322=EDGE_CURVE('',#9904,#9905,#4976,.T.); +#17324=EDGE_CURVE('',#8360,#9905,#4947,.T.); +#17329=ADVANCED_FACE('',(#17328),#17319,.F.); +#17336=EDGE_CURVE('',#9905,#9904,#4981,.T.); +#17342=ADVANCED_FACE('',(#17341),#17334,.F.); +#17348=EDGE_CURVE('',#9896,#9897,#4956,.T.); +#17350=EDGE_CURVE('',#9897,#9896,#4961,.T.); +#17354=ADVANCED_FACE('',(#17353),#17347,.T.); +#17360=EDGE_CURVE('',#9900,#9901,#4966,.T.); +#17362=EDGE_CURVE('',#9901,#9900,#4971,.T.); +#17366=ADVANCED_FACE('',(#17365),#17359,.T.); +#17376=ADVANCED_FACE('',(#17375),#17371,.T.); +#17382=EDGE_CURVE('',#9908,#9909,#4986,.T.); +#17384=EDGE_CURVE('',#9909,#9908,#4991,.T.); +#17388=ADVANCED_FACE('',(#17387),#17381,.T.); +#17394=EDGE_CURVE('',#9896,#8369,#4999,.T.); +#17397=EDGE_CURVE('',#8368,#9897,#4995,.T.); +#17402=ADVANCED_FACE('',(#17401),#17393,.F.); +#17414=ADVANCED_FACE('',(#17413),#17407,.F.); +#17420=EDGE_CURVE('',#9900,#8373,#5007,.T.); +#17423=EDGE_CURVE('',#8372,#9901,#5003,.T.); +#17428=ADVANCED_FACE('',(#17427),#17419,.F.); +#17440=ADVANCED_FACE('',(#17439),#17433,.F.); +#17446=EDGE_CURVE('',#9908,#8365,#5015,.T.); +#17449=EDGE_CURVE('',#8364,#9909,#5011,.T.); +#17454=ADVANCED_FACE('',(#17453),#17445,.F.); +#17466=ADVANCED_FACE('',(#17465),#17459,.F.); +#17473=EDGE_CURVE('',#9351,#8425,#5062,.T.); +#17476=EDGE_CURVE('',#8427,#8424,#5027,.T.); +#17478=EDGE_CURVE('',#8427,#9922,#5031,.T.); +#17480=EDGE_CURVE('',#8505,#9922,#7870,.T.); +#17482=EDGE_CURVE('',#8505,#8407,#5035,.T.); +#17488=ADVANCED_FACE('',(#17487),#17471,.T.); +#17495=EDGE_CURVE('',#9347,#9913,#5074,.T.); +#17497=EDGE_CURVE('',#9913,#8431,#5049,.T.); +#17499=EDGE_CURVE('',#8431,#8429,#5053,.T.); +#17505=ADVANCED_FACE('',(#17504),#17493,.T.); +#17512=EDGE_CURVE('',#9343,#9912,#5097,.T.); +#17514=EDGE_CURVE('',#9912,#9913,#5070,.T.); +#17519=ADVANCED_FACE('',(#17518),#17510,.T.); +#17528=EDGE_CURVE('',#8437,#8434,#5088,.T.); +#17530=EDGE_CURVE('',#8437,#9912,#5093,.T.); +#17535=ADVANCED_FACE('',(#17534),#17524,.T.); +#17543=EDGE_CURVE('',#8469,#8392,#5101,.T.); +#17545=EDGE_CURVE('',#8468,#8469,#5256,.T.); +#17547=EDGE_CURVE('',#8437,#8468,#7956,.T.); +#17551=ADVANCED_FACE('',(#17550),#17540,.T.); +#17557=EDGE_CURVE('',#8469,#8471,#5261,.T.); +#17561=EDGE_CURVE('',#8471,#8393,#8009,.T.); +#17565=ADVANCED_FACE('',(#17564),#17556,.T.); +#17571=EDGE_CURVE('',#8312,#8313,#5106,.T.); +#17573=EDGE_CURVE('',#8325,#8312,#5110,.T.); +#17575=EDGE_CURVE('',#8323,#8325,#5115,.T.); +#17577=EDGE_CURVE('',#8321,#8323,#5119,.T.); +#17579=EDGE_CURVE('',#8319,#8321,#5124,.T.); +#17581=EDGE_CURVE('',#8317,#8319,#5128,.T.); +#17583=EDGE_CURVE('',#8315,#8317,#5133,.T.); +#17585=EDGE_CURVE('',#8313,#8315,#5137,.T.); +#17589=EDGE_CURVE('',#8622,#8623,#5334,.T.); +#17591=EDGE_CURVE('',#8623,#8625,#5338,.T.); +#17593=EDGE_CURVE('',#8625,#8627,#5343,.T.); +#17595=EDGE_CURVE('',#8627,#8629,#5347,.T.); +#17597=EDGE_CURVE('',#8629,#8631,#5352,.T.); +#17599=EDGE_CURVE('',#8631,#8633,#5356,.T.); +#17601=EDGE_CURVE('',#8633,#8635,#5361,.T.); +#17603=EDGE_CURVE('',#8635,#8622,#5365,.T.); +#17607=ADVANCED_FACE('',(#17588,#17606),#17570,.T.); +#17613=EDGE_CURVE('',#8540,#8541,#5298,.T.); +#17615=EDGE_CURVE('',#8541,#8553,#5302,.T.); +#17617=EDGE_CURVE('',#8553,#8551,#5307,.T.); +#17619=EDGE_CURVE('',#8551,#8549,#5311,.T.); +#17621=EDGE_CURVE('',#8549,#8547,#5316,.T.); +#17623=EDGE_CURVE('',#8547,#8545,#5320,.T.); +#17625=EDGE_CURVE('',#8545,#8543,#5325,.T.); +#17627=EDGE_CURVE('',#8543,#8540,#5329,.T.); +#17631=EDGE_CURVE('',#8448,#8449,#5142,.T.); +#17633=EDGE_CURVE('',#8449,#8451,#5146,.T.); +#17635=EDGE_CURVE('',#8451,#8453,#5151,.T.); +#17637=EDGE_CURVE('',#8455,#8453,#5156,.T.); +#17640=EDGE_CURVE('',#9921,#8457,#5165,.T.); +#17642=EDGE_CURVE('',#9920,#9921,#5169,.T.); +#17644=EDGE_CURVE('',#8476,#9920,#5174,.T.); +#17647=EDGE_CURVE('',#8479,#8477,#5183,.T.); +#17649=EDGE_CURVE('',#8479,#8481,#5188,.T.); +#17651=EDGE_CURVE('',#8481,#8483,#5192,.T.); +#17653=EDGE_CURVE('',#8483,#8485,#5197,.T.); +#17655=EDGE_CURVE('',#8487,#8485,#5202,.T.); +#17657=EDGE_CURVE('',#9929,#8487,#5207,.T.); +#17659=EDGE_CURVE('',#8501,#9929,#5211,.T.); +#17661=EDGE_CURVE('',#8500,#8501,#5216,.T.); +#17663=EDGE_CURVE('',#8500,#8490,#5220,.T.); +#17665=EDGE_CURVE('',#8490,#8491,#5225,.T.); +#17667=EDGE_CURVE('',#8491,#8493,#5229,.T.); +#17669=EDGE_CURVE('',#8493,#8495,#5234,.T.); +#17671=EDGE_CURVE('',#8495,#8497,#5238,.T.); +#17673=EDGE_CURVE('',#9917,#8497,#5243,.T.); +#17675=EDGE_CURVE('',#9916,#9917,#5247,.T.); +#17677=EDGE_CURVE('',#8468,#9916,#5252,.T.); +#17681=EDGE_CURVE('',#8471,#8473,#5265,.T.); +#17683=EDGE_CURVE('',#8473,#8462,#5270,.T.); +#17685=EDGE_CURVE('',#8462,#8463,#5274,.T.); +#17687=EDGE_CURVE('',#8465,#8463,#5279,.T.); +#17689=EDGE_CURVE('',#9927,#8465,#5283,.T.); +#17691=EDGE_CURVE('',#8459,#9927,#5288,.T.); +#17693=EDGE_CURVE('',#8448,#8459,#5293,.T.); +#17697=ADVANCED_FACE('',(#17630,#17696),#17612,.T.); +#17703=EDGE_CURVE('',#9415,#9411,#5370,.T.); +#17705=EDGE_CURVE('',#8312,#9411,#5374,.T.); +#17708=EDGE_CURVE('',#8313,#8567,#5378,.T.); +#17710=EDGE_CURVE('',#8567,#8569,#7443,.T.); +#17712=EDGE_CURVE('',#9415,#8569,#7781,.T.); +#17716=ADVANCED_FACE('',(#17715),#17702,.T.); +#17722=EDGE_CURVE('',#9413,#9409,#5449,.T.); +#17724=EDGE_CURVE('',#9409,#9411,#7590,.T.); +#17727=EDGE_CURVE('',#9415,#9413,#5387,.T.); +#17731=ADVANCED_FACE('',(#17730),#17721,.T.); +#17737=EDGE_CURVE('',#9366,#9367,#5407,.T.); +#17739=EDGE_CURVE('',#9366,#9479,#5411,.T.); +#17741=EDGE_CURVE('',#8577,#9479,#5416,.T.); +#17743=EDGE_CURVE('',#8575,#8577,#5421,.T.); +#17745=EDGE_CURVE('',#8574,#8575,#5426,.T.); +#17747=EDGE_CURVE('',#9481,#8574,#5431,.T.); +#17749=EDGE_CURVE('',#9481,#9407,#5435,.T.); +#17751=EDGE_CURVE('',#9406,#9407,#5440,.T.); +#17753=EDGE_CURVE('',#9409,#9406,#5444,.T.); +#17756=EDGE_CURVE('',#9413,#9455,#5453,.T.); +#17758=EDGE_CURVE('',#8581,#9455,#5458,.T.); +#17760=EDGE_CURVE('',#8580,#8581,#5463,.T.); +#17762=EDGE_CURVE('',#8583,#8580,#5468,.T.); +#17764=EDGE_CURVE('',#9453,#8583,#5473,.T.); +#17766=EDGE_CURVE('',#9399,#9453,#5477,.T.); +#17768=EDGE_CURVE('',#9398,#9399,#5481,.T.); +#17770=EDGE_CURVE('',#9398,#9451,#5485,.T.); +#17772=EDGE_CURVE('',#8587,#9451,#5490,.T.); +#17774=EDGE_CURVE('',#8586,#8587,#5495,.T.); +#17776=EDGE_CURVE('',#8589,#8586,#5500,.T.); +#17778=EDGE_CURVE('',#9449,#8589,#5505,.T.); +#17780=EDGE_CURVE('',#9375,#9449,#5509,.T.); +#17782=EDGE_CURVE('',#9374,#9375,#5513,.T.); +#17784=EDGE_CURVE('',#9377,#9374,#5518,.T.); +#17786=EDGE_CURVE('',#9381,#9377,#5522,.T.); +#17788=EDGE_CURVE('',#9385,#9381,#5527,.T.); +#17790=EDGE_CURVE('',#9389,#9385,#5531,.T.); +#17792=EDGE_CURVE('',#9389,#9475,#5535,.T.); +#17794=EDGE_CURVE('',#8595,#9475,#5540,.T.); +#17796=EDGE_CURVE('',#8593,#8595,#5545,.T.); +#17798=EDGE_CURVE('',#8592,#8593,#5550,.T.); +#17800=EDGE_CURVE('',#9477,#8592,#5555,.T.); +#17802=EDGE_CURVE('',#9367,#9477,#5559,.T.); +#17806=EDGE_CURVE('',#8352,#8353,#5391,.T.); +#17808=EDGE_CURVE('',#8357,#8352,#5395,.T.); +#17810=EDGE_CURVE('',#8355,#8357,#5399,.T.); +#17812=EDGE_CURVE('',#8353,#8355,#5403,.T.); +#17816=ADVANCED_FACE('',(#17805,#17815),#17736,.F.); +#17822=EDGE_CURVE('',#8751,#8660,#5563,.T.); +#17824=EDGE_CURVE('',#8704,#8660,#6565,.T.); +#17826=EDGE_CURVE('',#8349,#8704,#6215,.T.); +#17828=EDGE_CURVE('',#8352,#8349,#5567,.T.); +#17831=EDGE_CURVE('',#8353,#8344,#6557,.T.); +#17833=EDGE_CURVE('',#8725,#8344,#6277,.T.); +#17835=EDGE_CURVE('',#8725,#8751,#5571,.T.); +#17839=ADVANCED_FACE('',(#17838),#17821,.T.); +#17845=EDGE_CURVE('',#8638,#8639,#5576,.T.); +#17847=EDGE_CURVE('',#8679,#8638,#5580,.T.); +#17849=EDGE_CURVE('',#8677,#8679,#5585,.T.); +#17851=EDGE_CURVE('',#8675,#8677,#5589,.T.); +#17853=EDGE_CURVE('',#8673,#8675,#5594,.T.); +#17855=EDGE_CURVE('',#8672,#8673,#5598,.T.); +#17857=EDGE_CURVE('',#9553,#8672,#5603,.T.); +#17859=EDGE_CURVE('',#8669,#9553,#5607,.T.); +#17861=EDGE_CURVE('',#8667,#8669,#5612,.T.); +#17863=EDGE_CURVE('',#8666,#8667,#5616,.T.); +#17865=EDGE_CURVE('',#9551,#8666,#5621,.T.); +#17867=EDGE_CURVE('',#8663,#9551,#5625,.T.); +#17869=EDGE_CURVE('',#8661,#8663,#5630,.T.); +#17871=EDGE_CURVE('',#8660,#8661,#5634,.T.); +#17874=EDGE_CURVE('',#8749,#8751,#5638,.T.); +#17876=EDGE_CURVE('',#8748,#8749,#5643,.T.); +#17878=EDGE_CURVE('',#9557,#8748,#5647,.T.); +#17880=EDGE_CURVE('',#8745,#9557,#5652,.T.); +#17882=EDGE_CURVE('',#8743,#8745,#5656,.T.); +#17884=EDGE_CURVE('',#8742,#8743,#5661,.T.); +#17886=EDGE_CURVE('',#9555,#8742,#5665,.T.); +#17888=EDGE_CURVE('',#8739,#9555,#5670,.T.); +#17890=EDGE_CURVE('',#8737,#8739,#5674,.T.); +#17892=EDGE_CURVE('',#8735,#8737,#5679,.T.); +#17894=EDGE_CURVE('',#8733,#8735,#5683,.T.); +#17896=EDGE_CURVE('',#8731,#8733,#5688,.T.); +#17898=EDGE_CURVE('',#8730,#8731,#5692,.T.); +#17900=EDGE_CURVE('',#8771,#8730,#5697,.T.); +#17902=EDGE_CURVE('',#8769,#8771,#5701,.T.); +#17904=EDGE_CURVE('',#8767,#8769,#5706,.T.); +#17906=EDGE_CURVE('',#8766,#8767,#5710,.T.); +#17908=EDGE_CURVE('',#9561,#8766,#5715,.T.); +#17910=EDGE_CURVE('',#8763,#9561,#5719,.T.); +#17912=EDGE_CURVE('',#8761,#8763,#5724,.T.); +#17914=EDGE_CURVE('',#8760,#8761,#5728,.T.); +#17916=EDGE_CURVE('',#9559,#8760,#5733,.T.); +#17918=EDGE_CURVE('',#8757,#9559,#5737,.T.); +#17920=EDGE_CURVE('',#8755,#8757,#5742,.T.); +#17922=EDGE_CURVE('',#8754,#8755,#5746,.T.); +#17924=EDGE_CURVE('',#8657,#8754,#6445,.T.); +#17926=EDGE_CURVE('',#8655,#8657,#5750,.T.); +#17928=EDGE_CURVE('',#8654,#8655,#5755,.T.); +#17930=EDGE_CURVE('',#9549,#8654,#5759,.T.); +#17932=EDGE_CURVE('',#8651,#9549,#5764,.T.); +#17934=EDGE_CURVE('',#8649,#8651,#5768,.T.); +#17936=EDGE_CURVE('',#8648,#8649,#5773,.T.); +#17938=EDGE_CURVE('',#9547,#8648,#5777,.T.); +#17940=EDGE_CURVE('',#8645,#9547,#5782,.T.); +#17942=EDGE_CURVE('',#8643,#8645,#5786,.T.); +#17944=EDGE_CURVE('',#8641,#8643,#5791,.T.); +#17946=EDGE_CURVE('',#8639,#8641,#5795,.T.); +#17950=EDGE_CURVE('',#9238,#9239,#5800,.T.); +#17952=EDGE_CURVE('',#9239,#9238,#5805,.T.); +#17956=EDGE_CURVE('',#9242,#9243,#5810,.T.); +#17958=EDGE_CURVE('',#9243,#9242,#5815,.T.); +#17962=EDGE_CURVE('',#9246,#9247,#5820,.T.); +#17964=EDGE_CURVE('',#9247,#9246,#5825,.T.); +#17968=EDGE_CURVE('',#9250,#9251,#5830,.T.); +#17970=EDGE_CURVE('',#9251,#9250,#5835,.T.); +#17974=EDGE_CURVE('',#9254,#9255,#5840,.T.); +#17976=EDGE_CURVE('',#9255,#9254,#5845,.T.); +#17980=EDGE_CURVE('',#9258,#9259,#5850,.T.); +#17982=EDGE_CURVE('',#9259,#9258,#5855,.T.); +#17986=EDGE_CURVE('',#9262,#9263,#5860,.T.); +#17988=EDGE_CURVE('',#9263,#9262,#5865,.T.); +#17992=EDGE_CURVE('',#9266,#9267,#5870,.T.); +#17994=EDGE_CURVE('',#9267,#9266,#5875,.T.); +#17998=EDGE_CURVE('',#9270,#9271,#5880,.T.); +#18000=EDGE_CURVE('',#9271,#9270,#5885,.T.); +#18004=EDGE_CURVE('',#9274,#9275,#5890,.T.); +#18006=EDGE_CURVE('',#9275,#9274,#5895,.T.); +#18010=EDGE_CURVE('',#9278,#9279,#5900,.T.); +#18012=EDGE_CURVE('',#9279,#9278,#5905,.T.); +#18016=EDGE_CURVE('',#9282,#9283,#5910,.T.); +#18018=EDGE_CURVE('',#9283,#9282,#5915,.T.); +#18022=EDGE_CURVE('',#9286,#9287,#5920,.T.); +#18024=EDGE_CURVE('',#9287,#9286,#5925,.T.); +#18028=EDGE_CURVE('',#9290,#9291,#5930,.T.); +#18030=EDGE_CURVE('',#9291,#9290,#5935,.T.); +#18034=EDGE_CURVE('',#9294,#9295,#5940,.T.); +#18036=EDGE_CURVE('',#9295,#9294,#5945,.T.); +#18040=EDGE_CURVE('',#9298,#9299,#5950,.T.); +#18042=EDGE_CURVE('',#9299,#9298,#5955,.T.); +#18046=EDGE_CURVE('',#9302,#9303,#5960,.T.); +#18048=EDGE_CURVE('',#9303,#9302,#5965,.T.); +#18052=EDGE_CURVE('',#9306,#9307,#5970,.T.); +#18054=EDGE_CURVE('',#9307,#9306,#5975,.T.); +#18058=EDGE_CURVE('',#9310,#9311,#5980,.T.); +#18060=EDGE_CURVE('',#9311,#9310,#5985,.T.); +#18064=EDGE_CURVE('',#9314,#9315,#5990,.T.); +#18066=EDGE_CURVE('',#9315,#9314,#5995,.T.); +#18070=EDGE_CURVE('',#9318,#9319,#6000,.T.); +#18072=EDGE_CURVE('',#9319,#9318,#6005,.T.); +#18076=EDGE_CURVE('',#9322,#9323,#6010,.T.); +#18078=EDGE_CURVE('',#9323,#9322,#6015,.T.); +#18082=EDGE_CURVE('',#9326,#9327,#6020,.T.); +#18084=EDGE_CURVE('',#9327,#9326,#6025,.T.); +#18088=EDGE_CURVE('',#9724,#9725,#6030,.T.); +#18090=EDGE_CURVE('',#9725,#9724,#6035,.T.); +#18094=EDGE_CURVE('',#9728,#9729,#6040,.T.); +#18096=EDGE_CURVE('',#9729,#9728,#6045,.T.); +#18100=EDGE_CURVE('',#9732,#9733,#6050,.T.); +#18102=EDGE_CURVE('',#9733,#9732,#6055,.T.); +#18106=EDGE_CURVE('',#9736,#9737,#6060,.T.); +#18108=EDGE_CURVE('',#9737,#9736,#6065,.T.); +#18112=EDGE_CURVE('',#9740,#9741,#6070,.T.); +#18114=EDGE_CURVE('',#9741,#9740,#6075,.T.); +#18118=EDGE_CURVE('',#9744,#9745,#6080,.T.); +#18120=EDGE_CURVE('',#9745,#9744,#6085,.T.); +#18124=EDGE_CURVE('',#9748,#9749,#6090,.T.); +#18126=EDGE_CURVE('',#9749,#9748,#6095,.T.); +#18130=EDGE_CURVE('',#9752,#9753,#6100,.T.); +#18132=EDGE_CURVE('',#9753,#9752,#6105,.T.); +#18136=EDGE_CURVE('',#9756,#9757,#6110,.T.); +#18138=EDGE_CURVE('',#9757,#9756,#6115,.T.); +#18142=EDGE_CURVE('',#9760,#9761,#6120,.T.); +#18144=EDGE_CURVE('',#9761,#9760,#6125,.T.); +#18148=EDGE_CURVE('',#9764,#9765,#6130,.T.); +#18150=EDGE_CURVE('',#9765,#9764,#6135,.T.); +#18154=EDGE_CURVE('',#9768,#9769,#6140,.T.); +#18156=EDGE_CURVE('',#9769,#9768,#6145,.T.); +#18160=ADVANCED_FACE('',(#17949,#17955,#17961,#17967,#17973,#17979,#17985, +#17991,#17997,#18003,#18009,#18015,#18021,#18027,#18033,#18039,#18045,#18051, +#18057,#18063,#18069,#18075,#18081,#18087,#18093,#18099,#18105,#18111,#18117, +#18123,#18129,#18135,#18141,#18147,#18153,#18159),#17844,.T.); +#18166=EDGE_CURVE('',#8682,#8683,#6154,.T.); +#18168=EDGE_CURVE('',#8682,#8638,#6149,.T.); +#18171=EDGE_CURVE('',#8683,#8639,#6401,.T.); +#18175=ADVANCED_FACE('',(#18174),#18165,.T.); +#18182=EDGE_CURVE('',#8683,#8685,#6158,.T.); +#18184=EDGE_CURVE('',#8685,#8687,#6163,.T.); +#18186=EDGE_CURVE('',#8687,#8689,#6167,.T.); +#18188=EDGE_CURVE('',#8689,#9563,#6172,.T.); +#18190=EDGE_CURVE('',#9563,#8692,#6176,.T.); +#18192=EDGE_CURVE('',#8692,#8693,#6181,.T.); +#18194=EDGE_CURVE('',#8693,#8695,#6185,.T.); +#18196=EDGE_CURVE('',#8695,#9565,#6190,.T.); +#18198=EDGE_CURVE('',#9565,#8698,#6194,.T.); +#18200=EDGE_CURVE('',#8698,#8699,#6199,.T.); +#18202=EDGE_CURVE('',#8701,#8699,#6203,.T.); +#18204=EDGE_CURVE('',#8701,#8348,#6207,.T.); +#18206=EDGE_CURVE('',#8348,#8349,#6211,.T.); +#18209=EDGE_CURVE('',#8704,#8705,#6219,.T.); +#18211=EDGE_CURVE('',#8705,#8707,#6224,.T.); +#18213=EDGE_CURVE('',#8707,#9567,#6228,.T.); +#18215=EDGE_CURVE('',#9567,#8710,#6233,.T.); +#18217=EDGE_CURVE('',#8710,#8711,#6237,.T.); +#18219=EDGE_CURVE('',#8711,#8713,#6242,.T.); +#18221=EDGE_CURVE('',#8713,#9569,#6246,.T.); +#18223=EDGE_CURVE('',#9569,#8716,#6251,.T.); +#18225=EDGE_CURVE('',#8716,#8717,#6255,.T.); +#18227=EDGE_CURVE('',#8717,#8719,#6260,.T.); +#18229=EDGE_CURVE('',#8719,#8721,#6264,.T.); +#18231=EDGE_CURVE('',#8721,#8723,#6269,.T.); +#18233=EDGE_CURVE('',#8723,#8682,#6273,.T.); +#18237=ADVANCED_FACE('',(#18236),#18180,.F.); +#18244=EDGE_CURVE('',#8344,#8345,#6281,.T.); +#18246=EDGE_CURVE('',#8345,#8727,#6285,.T.); +#18248=EDGE_CURVE('',#8727,#8795,#6289,.T.); +#18250=EDGE_CURVE('',#8795,#8797,#6294,.T.); +#18252=EDGE_CURVE('',#8797,#9575,#6298,.T.); +#18254=EDGE_CURVE('',#9575,#8800,#6303,.T.); +#18256=EDGE_CURVE('',#8800,#8801,#6307,.T.); +#18258=EDGE_CURVE('',#8801,#8803,#6312,.T.); +#18260=EDGE_CURVE('',#8803,#9577,#6316,.T.); +#18262=EDGE_CURVE('',#9577,#8806,#6321,.T.); +#18264=EDGE_CURVE('',#8806,#8807,#6325,.T.); +#18266=EDGE_CURVE('',#8807,#8809,#6330,.T.); +#18268=EDGE_CURVE('',#8809,#8811,#6334,.T.); +#18270=EDGE_CURVE('',#8811,#8774,#6339,.T.); +#18272=EDGE_CURVE('',#8774,#8775,#6343,.T.); +#18274=EDGE_CURVE('',#8775,#8777,#6348,.T.); +#18276=EDGE_CURVE('',#8777,#8779,#6352,.T.); +#18278=EDGE_CURVE('',#8779,#8781,#6357,.T.); +#18280=EDGE_CURVE('',#8781,#8783,#6361,.T.); +#18282=EDGE_CURVE('',#8783,#9571,#6366,.T.); +#18284=EDGE_CURVE('',#9571,#8786,#6370,.T.); +#18286=EDGE_CURVE('',#8786,#8787,#6375,.T.); +#18288=EDGE_CURVE('',#8787,#8789,#6379,.T.); +#18290=EDGE_CURVE('',#8789,#9573,#6384,.T.); +#18292=EDGE_CURVE('',#9573,#8792,#6388,.T.); +#18294=EDGE_CURVE('',#8792,#8793,#6393,.T.); +#18296=EDGE_CURVE('',#8725,#8793,#6397,.T.); +#18300=ADVANCED_FACE('',(#18299),#18242,.F.); +#18309=EDGE_CURVE('',#8685,#8641,#6405,.T.); +#18313=ADVANCED_FACE('',(#18312),#18305,.T.); +#18322=EDGE_CURVE('',#8687,#8643,#6409,.T.); +#18326=ADVANCED_FACE('',(#18325),#18318,.T.); +#18335=EDGE_CURVE('',#8689,#8645,#6413,.T.); +#18339=ADVANCED_FACE('',(#18338),#18331,.T.); +#18348=EDGE_CURVE('',#9563,#9547,#6417,.T.); +#18352=ADVANCED_FACE('',(#18351),#18344,.T.); +#18361=EDGE_CURVE('',#8692,#8648,#6421,.T.); +#18365=ADVANCED_FACE('',(#18364),#18357,.T.); +#18374=EDGE_CURVE('',#8693,#8649,#6425,.T.); +#18378=ADVANCED_FACE('',(#18377),#18370,.T.); +#18387=EDGE_CURVE('',#8695,#8651,#6429,.T.); +#18391=ADVANCED_FACE('',(#18390),#18383,.T.); +#18400=EDGE_CURVE('',#9565,#9549,#6433,.T.); +#18404=ADVANCED_FACE('',(#18403),#18396,.T.); +#18413=EDGE_CURVE('',#8698,#8654,#6437,.T.); +#18417=ADVANCED_FACE('',(#18416),#18409,.T.); +#18426=EDGE_CURVE('',#8699,#8655,#6441,.T.); +#18430=ADVANCED_FACE('',(#18429),#18422,.T.); +#18439=EDGE_CURVE('',#8701,#8657,#6453,.T.); +#18443=ADVANCED_FACE('',(#18442),#18435,.T.); +#18450=EDGE_CURVE('',#8727,#8754,#6457,.T.); +#18453=EDGE_CURVE('',#8355,#8345,#6449,.T.); +#18456=EDGE_CURVE('',#8357,#8348,#6561,.T.); +#18462=ADVANCED_FACE('',(#18461),#18448,.T.); +#18471=EDGE_CURVE('',#8795,#8755,#6461,.T.); +#18475=ADVANCED_FACE('',(#18474),#18467,.T.); +#18484=EDGE_CURVE('',#8797,#8757,#6465,.T.); +#18488=ADVANCED_FACE('',(#18487),#18480,.T.); +#18497=EDGE_CURVE('',#9575,#9559,#6469,.T.); +#18501=ADVANCED_FACE('',(#18500),#18493,.T.); +#18510=EDGE_CURVE('',#8800,#8760,#6473,.T.); +#18514=ADVANCED_FACE('',(#18513),#18506,.T.); +#18523=EDGE_CURVE('',#8801,#8761,#6477,.T.); +#18527=ADVANCED_FACE('',(#18526),#18519,.T.); +#18536=EDGE_CURVE('',#8803,#8763,#6481,.T.); +#18540=ADVANCED_FACE('',(#18539),#18532,.T.); +#18549=EDGE_CURVE('',#9577,#9561,#6485,.T.); +#18553=ADVANCED_FACE('',(#18552),#18545,.T.); +#18562=EDGE_CURVE('',#8806,#8766,#6489,.T.); +#18566=ADVANCED_FACE('',(#18565),#18558,.T.); +#18575=EDGE_CURVE('',#8807,#8767,#6493,.T.); +#18579=ADVANCED_FACE('',(#18578),#18571,.T.); +#18588=EDGE_CURVE('',#8809,#8769,#6497,.T.); +#18592=ADVANCED_FACE('',(#18591),#18584,.T.); +#18601=EDGE_CURVE('',#8811,#8771,#6501,.T.); +#18605=ADVANCED_FACE('',(#18604),#18597,.T.); +#18614=EDGE_CURVE('',#8774,#8730,#6505,.T.); +#18618=ADVANCED_FACE('',(#18617),#18610,.T.); +#18627=EDGE_CURVE('',#8775,#8731,#6509,.T.); +#18631=ADVANCED_FACE('',(#18630),#18623,.T.); +#18640=EDGE_CURVE('',#8777,#8733,#6513,.T.); +#18644=ADVANCED_FACE('',(#18643),#18636,.T.); +#18653=EDGE_CURVE('',#8779,#8735,#6517,.T.); +#18657=ADVANCED_FACE('',(#18656),#18649,.T.); +#18666=EDGE_CURVE('',#8781,#8737,#6521,.T.); +#18670=ADVANCED_FACE('',(#18669),#18662,.T.); +#18679=EDGE_CURVE('',#8783,#8739,#6525,.T.); +#18683=ADVANCED_FACE('',(#18682),#18675,.T.); +#18692=EDGE_CURVE('',#9571,#9555,#6529,.T.); +#18696=ADVANCED_FACE('',(#18695),#18688,.T.); +#18705=EDGE_CURVE('',#8786,#8742,#6533,.T.); +#18709=ADVANCED_FACE('',(#18708),#18701,.T.); +#18718=EDGE_CURVE('',#8787,#8743,#6537,.T.); +#18722=ADVANCED_FACE('',(#18721),#18714,.T.); +#18731=EDGE_CURVE('',#8789,#8745,#6541,.T.); +#18735=ADVANCED_FACE('',(#18734),#18727,.T.); +#18744=EDGE_CURVE('',#9573,#9557,#6545,.T.); +#18748=ADVANCED_FACE('',(#18747),#18740,.T.); +#18757=EDGE_CURVE('',#8792,#8748,#6549,.T.); +#18761=ADVANCED_FACE('',(#18760),#18753,.T.); +#18770=EDGE_CURVE('',#8793,#8749,#6553,.T.); +#18774=ADVANCED_FACE('',(#18773),#18766,.T.); +#18786=ADVANCED_FACE('',(#18785),#18779,.T.); +#18798=ADVANCED_FACE('',(#18797),#18791,.T.); +#18810=ADVANCED_FACE('',(#18809),#18803,.T.); +#18819=EDGE_CURVE('',#8705,#8661,#6569,.T.); +#18823=ADVANCED_FACE('',(#18822),#18815,.T.); +#18832=EDGE_CURVE('',#8707,#8663,#6573,.T.); +#18836=ADVANCED_FACE('',(#18835),#18828,.T.); +#18845=EDGE_CURVE('',#9567,#9551,#6577,.T.); +#18849=ADVANCED_FACE('',(#18848),#18841,.T.); +#18858=EDGE_CURVE('',#8710,#8666,#6581,.T.); +#18862=ADVANCED_FACE('',(#18861),#18854,.T.); +#18871=EDGE_CURVE('',#8711,#8667,#6585,.T.); +#18875=ADVANCED_FACE('',(#18874),#18867,.T.); +#18884=EDGE_CURVE('',#8713,#8669,#6589,.T.); +#18888=ADVANCED_FACE('',(#18887),#18880,.T.); +#18897=EDGE_CURVE('',#9569,#9553,#6593,.T.); +#18901=ADVANCED_FACE('',(#18900),#18893,.T.); +#18910=EDGE_CURVE('',#8716,#8672,#6597,.T.); +#18914=ADVANCED_FACE('',(#18913),#18906,.T.); +#18923=EDGE_CURVE('',#8717,#8673,#6601,.T.); +#18927=ADVANCED_FACE('',(#18926),#18919,.T.); +#18936=EDGE_CURVE('',#8719,#8675,#6605,.T.); +#18940=ADVANCED_FACE('',(#18939),#18932,.T.); +#18949=EDGE_CURVE('',#8721,#8677,#6609,.T.); +#18953=ADVANCED_FACE('',(#18952),#18945,.T.); +#18962=EDGE_CURVE('',#8723,#8679,#6613,.T.); +#18966=ADVANCED_FACE('',(#18965),#18958,.T.); +#18978=ADVANCED_FACE('',(#18977),#18971,.T.); +#18985=EDGE_CURVE('',#9239,#9147,#6621,.T.); +#18987=EDGE_CURVE('',#9146,#9147,#6626,.T.); +#18989=EDGE_CURVE('',#9238,#9146,#6617,.T.); +#18993=ADVANCED_FACE('',(#18992),#18983,.T.); +#19001=EDGE_CURVE('',#9147,#9146,#6631,.T.); +#19006=ADVANCED_FACE('',(#19005),#18998,.T.); +#19016=ADVANCED_FACE('',(#19015),#19011,.F.); +#19022=EDGE_CURVE('',#9150,#9151,#6636,.T.); +#19024=EDGE_CURVE('',#9151,#9150,#6641,.T.); +#19028=ADVANCED_FACE('',(#19027),#19021,.F.); +#19034=EDGE_CURVE('',#9154,#9155,#6646,.T.); +#19036=EDGE_CURVE('',#9155,#9154,#6651,.T.); +#19040=ADVANCED_FACE('',(#19039),#19033,.F.); +#19046=EDGE_CURVE('',#9158,#9159,#6656,.T.); +#19048=EDGE_CURVE('',#9159,#9158,#6661,.T.); +#19052=ADVANCED_FACE('',(#19051),#19045,.F.); +#19058=EDGE_CURVE('',#9162,#9163,#6666,.T.); +#19060=EDGE_CURVE('',#9163,#9162,#6671,.T.); +#19064=ADVANCED_FACE('',(#19063),#19057,.F.); +#19070=EDGE_CURVE('',#9166,#9167,#6676,.T.); +#19072=EDGE_CURVE('',#9167,#9166,#6681,.T.); +#19076=ADVANCED_FACE('',(#19075),#19069,.F.); +#19082=EDGE_CURVE('',#9170,#9171,#6686,.T.); +#19084=EDGE_CURVE('',#9171,#9170,#6691,.T.); +#19088=ADVANCED_FACE('',(#19087),#19081,.F.); +#19094=EDGE_CURVE('',#9174,#9175,#6696,.T.); +#19096=EDGE_CURVE('',#9175,#9174,#6701,.T.); +#19100=ADVANCED_FACE('',(#19099),#19093,.F.); +#19106=EDGE_CURVE('',#9178,#9179,#6706,.T.); +#19108=EDGE_CURVE('',#9179,#9178,#6711,.T.); +#19112=ADVANCED_FACE('',(#19111),#19105,.F.); +#19118=EDGE_CURVE('',#9182,#9183,#6716,.T.); +#19120=EDGE_CURVE('',#9183,#9182,#6721,.T.); +#19124=ADVANCED_FACE('',(#19123),#19117,.F.); +#19130=EDGE_CURVE('',#9186,#9187,#6726,.T.); +#19132=EDGE_CURVE('',#9187,#9186,#6731,.T.); +#19136=ADVANCED_FACE('',(#19135),#19129,.F.); +#19142=EDGE_CURVE('',#9190,#9191,#6736,.T.); +#19144=EDGE_CURVE('',#9191,#9190,#6741,.T.); +#19148=ADVANCED_FACE('',(#19147),#19141,.F.); +#19154=EDGE_CURVE('',#9194,#9195,#6746,.T.); +#19156=EDGE_CURVE('',#9195,#9194,#6751,.T.); +#19160=ADVANCED_FACE('',(#19159),#19153,.F.); +#19166=EDGE_CURVE('',#9198,#9199,#6756,.T.); +#19168=EDGE_CURVE('',#9199,#9198,#6761,.T.); +#19172=ADVANCED_FACE('',(#19171),#19165,.F.); +#19178=EDGE_CURVE('',#9202,#9203,#6766,.T.); +#19180=EDGE_CURVE('',#9203,#9202,#6771,.T.); +#19184=ADVANCED_FACE('',(#19183),#19177,.F.); +#19190=EDGE_CURVE('',#9206,#9207,#6776,.T.); +#19192=EDGE_CURVE('',#9207,#9206,#6781,.T.); +#19196=ADVANCED_FACE('',(#19195),#19189,.F.); +#19202=EDGE_CURVE('',#9210,#9211,#6786,.T.); +#19204=EDGE_CURVE('',#9211,#9210,#6791,.T.); +#19208=ADVANCED_FACE('',(#19207),#19201,.F.); +#19214=EDGE_CURVE('',#9214,#9215,#6796,.T.); +#19216=EDGE_CURVE('',#9215,#9214,#6801,.T.); +#19220=ADVANCED_FACE('',(#19219),#19213,.F.); +#19226=EDGE_CURVE('',#9218,#9219,#6806,.T.); +#19228=EDGE_CURVE('',#9219,#9218,#6811,.T.); +#19232=ADVANCED_FACE('',(#19231),#19225,.F.); +#19238=EDGE_CURVE('',#9222,#9223,#6816,.T.); +#19240=EDGE_CURVE('',#9223,#9222,#6821,.T.); +#19244=ADVANCED_FACE('',(#19243),#19237,.F.); +#19250=EDGE_CURVE('',#9226,#9227,#6826,.T.); +#19252=EDGE_CURVE('',#9227,#9226,#6831,.T.); +#19256=ADVANCED_FACE('',(#19255),#19249,.F.); +#19262=EDGE_CURVE('',#9230,#9231,#6836,.T.); +#19264=EDGE_CURVE('',#9231,#9230,#6841,.T.); +#19268=ADVANCED_FACE('',(#19267),#19261,.F.); +#19274=EDGE_CURVE('',#9234,#9235,#6846,.T.); +#19276=EDGE_CURVE('',#9235,#9234,#6851,.T.); +#19280=ADVANCED_FACE('',(#19279),#19273,.F.); +#19286=EDGE_CURVE('',#9676,#9677,#6856,.T.); +#19288=EDGE_CURVE('',#9677,#9676,#6861,.T.); +#19292=ADVANCED_FACE('',(#19291),#19285,.F.); +#19298=EDGE_CURVE('',#9680,#9681,#6866,.T.); +#19300=EDGE_CURVE('',#9681,#9680,#6871,.T.); +#19304=ADVANCED_FACE('',(#19303),#19297,.F.); +#19310=EDGE_CURVE('',#9684,#9685,#6876,.T.); +#19312=EDGE_CURVE('',#9685,#9684,#6881,.T.); +#19316=ADVANCED_FACE('',(#19315),#19309,.F.); +#19322=EDGE_CURVE('',#9688,#9689,#6886,.T.); +#19324=EDGE_CURVE('',#9689,#9688,#6891,.T.); +#19328=ADVANCED_FACE('',(#19327),#19321,.F.); +#19334=EDGE_CURVE('',#9692,#9693,#6896,.T.); +#19336=EDGE_CURVE('',#9693,#9692,#6901,.T.); +#19340=ADVANCED_FACE('',(#19339),#19333,.F.); +#19346=EDGE_CURVE('',#9696,#9697,#6906,.T.); +#19348=EDGE_CURVE('',#9697,#9696,#6911,.T.); +#19352=ADVANCED_FACE('',(#19351),#19345,.F.); +#19358=EDGE_CURVE('',#9700,#9701,#6916,.T.); +#19360=EDGE_CURVE('',#9701,#9700,#6921,.T.); +#19364=ADVANCED_FACE('',(#19363),#19357,.F.); +#19370=EDGE_CURVE('',#9704,#9705,#6926,.T.); +#19372=EDGE_CURVE('',#9705,#9704,#6931,.T.); +#19376=ADVANCED_FACE('',(#19375),#19369,.F.); +#19382=EDGE_CURVE('',#9708,#9709,#6936,.T.); +#19384=EDGE_CURVE('',#9709,#9708,#6941,.T.); +#19388=ADVANCED_FACE('',(#19387),#19381,.F.); +#19394=EDGE_CURVE('',#9712,#9713,#6946,.T.); +#19396=EDGE_CURVE('',#9713,#9712,#6951,.T.); +#19400=ADVANCED_FACE('',(#19399),#19393,.F.); +#19406=EDGE_CURVE('',#9716,#9717,#6956,.T.); +#19408=EDGE_CURVE('',#9717,#9716,#6961,.T.); +#19412=ADVANCED_FACE('',(#19411),#19405,.F.); +#19418=EDGE_CURVE('',#9720,#9721,#6966,.T.); +#19420=EDGE_CURVE('',#9721,#9720,#6971,.T.); +#19424=ADVANCED_FACE('',(#19423),#19417,.F.); +#19431=EDGE_CURVE('',#9243,#9151,#6979,.T.); +#19434=EDGE_CURVE('',#9242,#9150,#6975,.T.); +#19438=ADVANCED_FACE('',(#19437),#19429,.T.); +#19450=ADVANCED_FACE('',(#19449),#19443,.T.); +#19457=EDGE_CURVE('',#9247,#9155,#6987,.T.); +#19460=EDGE_CURVE('',#9246,#9154,#6983,.T.); +#19464=ADVANCED_FACE('',(#19463),#19455,.T.); +#19476=ADVANCED_FACE('',(#19475),#19469,.T.); +#19483=EDGE_CURVE('',#9251,#9159,#6995,.T.); +#19486=EDGE_CURVE('',#9250,#9158,#6991,.T.); +#19490=ADVANCED_FACE('',(#19489),#19481,.T.); +#19502=ADVANCED_FACE('',(#19501),#19495,.T.); +#19509=EDGE_CURVE('',#9255,#9163,#7003,.T.); +#19512=EDGE_CURVE('',#9254,#9162,#6999,.T.); +#19516=ADVANCED_FACE('',(#19515),#19507,.T.); +#19528=ADVANCED_FACE('',(#19527),#19521,.T.); +#19535=EDGE_CURVE('',#9259,#9167,#7011,.T.); +#19538=EDGE_CURVE('',#9258,#9166,#7007,.T.); +#19542=ADVANCED_FACE('',(#19541),#19533,.T.); +#19554=ADVANCED_FACE('',(#19553),#19547,.T.); +#19561=EDGE_CURVE('',#9263,#9171,#7019,.T.); +#19564=EDGE_CURVE('',#9262,#9170,#7015,.T.); +#19568=ADVANCED_FACE('',(#19567),#19559,.T.); +#19580=ADVANCED_FACE('',(#19579),#19573,.T.); +#19587=EDGE_CURVE('',#9267,#9175,#7027,.T.); +#19590=EDGE_CURVE('',#9266,#9174,#7023,.T.); +#19594=ADVANCED_FACE('',(#19593),#19585,.T.); +#19606=ADVANCED_FACE('',(#19605),#19599,.T.); +#19613=EDGE_CURVE('',#9271,#9179,#7035,.T.); +#19616=EDGE_CURVE('',#9270,#9178,#7031,.T.); +#19620=ADVANCED_FACE('',(#19619),#19611,.T.); +#19632=ADVANCED_FACE('',(#19631),#19625,.T.); +#19639=EDGE_CURVE('',#9275,#9183,#7043,.T.); +#19642=EDGE_CURVE('',#9274,#9182,#7039,.T.); +#19646=ADVANCED_FACE('',(#19645),#19637,.T.); +#19658=ADVANCED_FACE('',(#19657),#19651,.T.); +#19665=EDGE_CURVE('',#9279,#9187,#7051,.T.); +#19668=EDGE_CURVE('',#9278,#9186,#7047,.T.); +#19672=ADVANCED_FACE('',(#19671),#19663,.T.); +#19684=ADVANCED_FACE('',(#19683),#19677,.T.); +#19691=EDGE_CURVE('',#9283,#9191,#7059,.T.); +#19694=EDGE_CURVE('',#9282,#9190,#7055,.T.); +#19698=ADVANCED_FACE('',(#19697),#19689,.T.); +#19710=ADVANCED_FACE('',(#19709),#19703,.T.); +#19717=EDGE_CURVE('',#9287,#9195,#7067,.T.); +#19720=EDGE_CURVE('',#9286,#9194,#7063,.T.); +#19724=ADVANCED_FACE('',(#19723),#19715,.T.); +#19736=ADVANCED_FACE('',(#19735),#19729,.T.); +#19743=EDGE_CURVE('',#9291,#9199,#7075,.T.); +#19746=EDGE_CURVE('',#9290,#9198,#7071,.T.); +#19750=ADVANCED_FACE('',(#19749),#19741,.T.); +#19762=ADVANCED_FACE('',(#19761),#19755,.T.); +#19769=EDGE_CURVE('',#9295,#9203,#7083,.T.); +#19772=EDGE_CURVE('',#9294,#9202,#7079,.T.); +#19776=ADVANCED_FACE('',(#19775),#19767,.T.); +#19788=ADVANCED_FACE('',(#19787),#19781,.T.); +#19795=EDGE_CURVE('',#9299,#9207,#7091,.T.); +#19798=EDGE_CURVE('',#9298,#9206,#7087,.T.); +#19802=ADVANCED_FACE('',(#19801),#19793,.T.); +#19814=ADVANCED_FACE('',(#19813),#19807,.T.); +#19821=EDGE_CURVE('',#9303,#9211,#7099,.T.); +#19824=EDGE_CURVE('',#9302,#9210,#7095,.T.); +#19828=ADVANCED_FACE('',(#19827),#19819,.T.); +#19840=ADVANCED_FACE('',(#19839),#19833,.T.); +#19847=EDGE_CURVE('',#9307,#9215,#7107,.T.); +#19850=EDGE_CURVE('',#9306,#9214,#7103,.T.); +#19854=ADVANCED_FACE('',(#19853),#19845,.T.); +#19866=ADVANCED_FACE('',(#19865),#19859,.T.); +#19873=EDGE_CURVE('',#9311,#9219,#7115,.T.); +#19876=EDGE_CURVE('',#9310,#9218,#7111,.T.); +#19880=ADVANCED_FACE('',(#19879),#19871,.T.); +#19892=ADVANCED_FACE('',(#19891),#19885,.T.); +#19899=EDGE_CURVE('',#9315,#9223,#7123,.T.); +#19902=EDGE_CURVE('',#9314,#9222,#7119,.T.); +#19906=ADVANCED_FACE('',(#19905),#19897,.T.); +#19918=ADVANCED_FACE('',(#19917),#19911,.T.); +#19925=EDGE_CURVE('',#9319,#9227,#7131,.T.); +#19928=EDGE_CURVE('',#9318,#9226,#7127,.T.); +#19932=ADVANCED_FACE('',(#19931),#19923,.T.); +#19944=ADVANCED_FACE('',(#19943),#19937,.T.); +#19951=EDGE_CURVE('',#9323,#9231,#7139,.T.); +#19954=EDGE_CURVE('',#9322,#9230,#7135,.T.); +#19958=ADVANCED_FACE('',(#19957),#19949,.T.); +#19970=ADVANCED_FACE('',(#19969),#19963,.T.); +#19977=EDGE_CURVE('',#9327,#9235,#7147,.T.); +#19980=EDGE_CURVE('',#9326,#9234,#7143,.T.); +#19984=ADVANCED_FACE('',(#19983),#19975,.T.); +#19996=ADVANCED_FACE('',(#19995),#19989,.T.); +#20003=EDGE_CURVE('',#9725,#9677,#7155,.T.); +#20006=EDGE_CURVE('',#9724,#9676,#7151,.T.); +#20010=ADVANCED_FACE('',(#20009),#20001,.T.); +#20022=ADVANCED_FACE('',(#20021),#20015,.T.); +#20029=EDGE_CURVE('',#9729,#9681,#7163,.T.); +#20032=EDGE_CURVE('',#9728,#9680,#7159,.T.); +#20036=ADVANCED_FACE('',(#20035),#20027,.T.); +#20048=ADVANCED_FACE('',(#20047),#20041,.T.); +#20055=EDGE_CURVE('',#9733,#9685,#7171,.T.); +#20058=EDGE_CURVE('',#9732,#9684,#7167,.T.); +#20062=ADVANCED_FACE('',(#20061),#20053,.T.); +#20074=ADVANCED_FACE('',(#20073),#20067,.T.); +#20081=EDGE_CURVE('',#9737,#9689,#7179,.T.); +#20084=EDGE_CURVE('',#9736,#9688,#7175,.T.); +#20088=ADVANCED_FACE('',(#20087),#20079,.T.); +#20100=ADVANCED_FACE('',(#20099),#20093,.T.); +#20107=EDGE_CURVE('',#9741,#9693,#7187,.T.); +#20110=EDGE_CURVE('',#9740,#9692,#7183,.T.); +#20114=ADVANCED_FACE('',(#20113),#20105,.T.); +#20126=ADVANCED_FACE('',(#20125),#20119,.T.); +#20133=EDGE_CURVE('',#9745,#9697,#7195,.T.); +#20136=EDGE_CURVE('',#9744,#9696,#7191,.T.); +#20140=ADVANCED_FACE('',(#20139),#20131,.T.); +#20152=ADVANCED_FACE('',(#20151),#20145,.T.); +#20159=EDGE_CURVE('',#9749,#9701,#7203,.T.); +#20162=EDGE_CURVE('',#9748,#9700,#7199,.T.); +#20166=ADVANCED_FACE('',(#20165),#20157,.T.); +#20178=ADVANCED_FACE('',(#20177),#20171,.T.); +#20185=EDGE_CURVE('',#9753,#9705,#7211,.T.); +#20188=EDGE_CURVE('',#9752,#9704,#7207,.T.); +#20192=ADVANCED_FACE('',(#20191),#20183,.T.); +#20204=ADVANCED_FACE('',(#20203),#20197,.T.); +#20211=EDGE_CURVE('',#9757,#9709,#7219,.T.); +#20214=EDGE_CURVE('',#9756,#9708,#7215,.T.); +#20218=ADVANCED_FACE('',(#20217),#20209,.T.); +#20230=ADVANCED_FACE('',(#20229),#20223,.T.); +#20237=EDGE_CURVE('',#9761,#9713,#7227,.T.); +#20240=EDGE_CURVE('',#9760,#9712,#7223,.T.); +#20244=ADVANCED_FACE('',(#20243),#20235,.T.); +#20256=ADVANCED_FACE('',(#20255),#20249,.T.); +#20263=EDGE_CURVE('',#9765,#9717,#7235,.T.); +#20266=EDGE_CURVE('',#9764,#9716,#7231,.T.); +#20270=ADVANCED_FACE('',(#20269),#20261,.T.); +#20282=ADVANCED_FACE('',(#20281),#20275,.T.); +#20289=EDGE_CURVE('',#9769,#9721,#7243,.T.); +#20292=EDGE_CURVE('',#9768,#9720,#7239,.T.); +#20296=ADVANCED_FACE('',(#20295),#20287,.T.); +#20308=ADVANCED_FACE('',(#20307),#20301,.T.); +#20314=EDGE_CURVE('',#9362,#9363,#7257,.T.); +#20316=EDGE_CURVE('',#9362,#9366,#7248,.T.); +#20319=EDGE_CURVE('',#9367,#9363,#7253,.T.); +#20323=ADVANCED_FACE('',(#20322),#20313,.T.); +#20330=EDGE_CURVE('',#9459,#9363,#7269,.T.); +#20332=EDGE_CURVE('',#9459,#9461,#7491,.T.); +#20334=EDGE_CURVE('',#9391,#9461,#7720,.T.); +#20336=EDGE_CURVE('',#9391,#9387,#7261,.T.); +#20338=EDGE_CURVE('',#8321,#9387,#7265,.T.); +#20341=EDGE_CURVE('',#9469,#8323,#7571,.T.); +#20343=EDGE_CURVE('',#9469,#9471,#7415,.T.); +#20345=EDGE_CURVE('',#9362,#9471,#7554,.T.); +#20349=ADVANCED_FACE('',(#20348),#20328,.T.); +#20358=EDGE_CURVE('',#9477,#9458,#7273,.T.); +#20360=EDGE_CURVE('',#9458,#9459,#7495,.T.); +#20364=ADVANCED_FACE('',(#20363),#20354,.T.); +#20371=EDGE_CURVE('',#8617,#8592,#7291,.T.); +#20373=EDGE_CURVE('',#8617,#9458,#7500,.T.); +#20378=ADVANCED_FACE('',(#20377),#20369,.F.); +#20384=EDGE_CURVE('',#9439,#9438,#7278,.T.); +#20386=EDGE_CURVE('',#8616,#9438,#7282,.T.); +#20388=EDGE_CURVE('',#8616,#8617,#7287,.T.); +#20392=EDGE_CURVE('',#8593,#9439,#7733,.T.); +#20396=ADVANCED_FACE('',(#20395),#20383,.T.); +#20402=EDGE_CURVE('',#9435,#9434,#7326,.T.); +#20404=EDGE_CURVE('',#9434,#9438,#7747,.T.); +#20407=EDGE_CURVE('',#9435,#9439,#7296,.T.); +#20411=ADVANCED_FACE('',(#20410),#20401,.T.); +#20417=EDGE_CURVE('',#9418,#9419,#7301,.T.); +#20419=EDGE_CURVE('',#9419,#9418,#7306,.T.); +#20423=ADVANCED_FACE('',(#20422),#20416,.F.); +#20429=EDGE_CURVE('',#9426,#9427,#7311,.T.); +#20431=EDGE_CURVE('',#9427,#9426,#7316,.T.); +#20435=EDGE_CURVE('',#8958,#8959,#7351,.T.); +#20437=EDGE_CURVE('',#8959,#8958,#7356,.T.); +#20441=ADVANCED_FACE('',(#20434,#20440),#20428,.F.); +#20447=EDGE_CURVE('',#9434,#9435,#7321,.T.); +#20452=EDGE_CURVE('',#8954,#8955,#7341,.T.); +#20454=EDGE_CURVE('',#8955,#8954,#7346,.T.); +#20458=ADVANCED_FACE('',(#20451,#20457),#20446,.F.); +#20464=EDGE_CURVE('',#9442,#9443,#7331,.T.); +#20466=EDGE_CURVE('',#9443,#9442,#7336,.T.); +#20470=ADVANCED_FACE('',(#20469),#20463,.F.); +#20477=EDGE_CURVE('',#9419,#9423,#7366,.T.); +#20479=EDGE_CURVE('',#9422,#9423,#7389,.T.); +#20481=EDGE_CURVE('',#9418,#9422,#7361,.T.); +#20485=ADVANCED_FACE('',(#20484),#20475,.T.); +#20493=EDGE_CURVE('',#9423,#9422,#7371,.T.); +#20498=ADVANCED_FACE('',(#20497),#20490,.T.); +#20505=EDGE_CURVE('',#8586,#9422,#7375,.T.); +#20508=EDGE_CURVE('',#8610,#8587,#7379,.T.); +#20510=EDGE_CURVE('',#8610,#8611,#7384,.T.); +#20512=EDGE_CURVE('',#8611,#9423,#7393,.T.); +#20516=ADVANCED_FACE('',(#20515),#20503,.T.); +#20524=EDGE_CURVE('',#8611,#8613,#7398,.T.); +#20526=EDGE_CURVE('',#8613,#8589,#7402,.T.); +#20532=ADVANCED_FACE('',(#20531),#20521,.T.); +#20538=EDGE_CURVE('',#8598,#8599,#7514,.T.); +#20540=EDGE_CURVE('',#8601,#8598,#7546,.T.); +#20542=EDGE_CURVE('',#9473,#8601,#7407,.T.); +#20544=EDGE_CURVE('',#9471,#9473,#7411,.T.); +#20547=EDGE_CURVE('',#9467,#9469,#7420,.T.); +#20549=EDGE_CURVE('',#9466,#9467,#7424,.T.); +#20551=EDGE_CURVE('',#8599,#9466,#7429,.T.); +#20555=ADVANCED_FACE('',(#20554),#20537,.T.); +#20561=EDGE_CURVE('',#8604,#8605,#7616,.T.); +#20563=EDGE_CURVE('',#8571,#8604,#7434,.T.); +#20565=EDGE_CURVE('',#8569,#8571,#7438,.T.); +#20568=EDGE_CURVE('',#8565,#8567,#7447,.T.); +#20570=EDGE_CURVE('',#8564,#8565,#7451,.T.); +#20572=EDGE_CURVE('',#8607,#8564,#7456,.T.); +#20574=EDGE_CURVE('',#8605,#8607,#7640,.T.); +#20578=ADVANCED_FACE('',(#20577),#20560,.T.); +#20585=EDGE_CURVE('',#8561,#8610,#7461,.T.); +#20587=EDGE_CURVE('',#8559,#8561,#7465,.T.); +#20589=EDGE_CURVE('',#8557,#8559,#7469,.T.); +#20591=EDGE_CURVE('',#8556,#8557,#7473,.T.); +#20593=EDGE_CURVE('',#8613,#8556,#7478,.T.); +#20598=ADVANCED_FACE('',(#20597),#20583,.T.); +#20605=EDGE_CURVE('',#8619,#8616,#7742,.T.); +#20607=EDGE_CURVE('',#9463,#8619,#7483,.T.); +#20609=EDGE_CURVE('',#9461,#9463,#7487,.T.); +#20616=ADVANCED_FACE('',(#20615),#20603,.T.); +#20622=EDGE_CURVE('',#9447,#9446,#7505,.T.); +#20624=EDGE_CURVE('',#8598,#9446,#7509,.T.); +#20627=EDGE_CURVE('',#8599,#8574,#7518,.T.); +#20630=EDGE_CURVE('',#8575,#9447,#7537,.T.); +#20634=ADVANCED_FACE('',(#20633),#20621,.T.); +#20641=EDGE_CURVE('',#9442,#9446,#7528,.T.); +#20644=EDGE_CURVE('',#9443,#9447,#7523,.T.); +#20648=ADVANCED_FACE('',(#20647),#20639,.T.); +#20656=EDGE_CURVE('',#9446,#9447,#7533,.T.); +#20661=ADVANCED_FACE('',(#20660),#20653,.T.); +#20670=EDGE_CURVE('',#8601,#8577,#7541,.T.); +#20676=ADVANCED_FACE('',(#20675),#20666,.T.); +#20683=EDGE_CURVE('',#9479,#9473,#7550,.T.); +#20689=ADVANCED_FACE('',(#20688),#20681,.F.); +#20702=ADVANCED_FACE('',(#20701),#20694,.T.); +#20711=EDGE_CURVE('',#9481,#9466,#7562,.T.); +#20715=ADVANCED_FACE('',(#20714),#20707,.F.); +#20721=EDGE_CURVE('',#9467,#9403,#7558,.T.); +#20723=EDGE_CURVE('',#9407,#9403,#7585,.T.); +#20730=ADVANCED_FACE('',(#20729),#20720,.T.); +#20736=EDGE_CURVE('',#9402,#9403,#7567,.T.); +#20742=EDGE_CURVE('',#8325,#9402,#7598,.T.); +#20746=ADVANCED_FACE('',(#20745),#20735,.T.); +#20753=EDGE_CURVE('',#9406,#9402,#7576,.T.); +#20759=ADVANCED_FACE('',(#20758),#20751,.T.); +#20767=EDGE_CURVE('',#9411,#9402,#7594,.T.); +#20772=ADVANCED_FACE('',(#20771),#20764,.T.); +#20784=ADVANCED_FACE('',(#20783),#20777,.T.); +#20790=EDGE_CURVE('',#9431,#9430,#7603,.T.); +#20792=EDGE_CURVE('',#8580,#9430,#7607,.T.); +#20795=EDGE_CURVE('',#8604,#8581,#7611,.T.); +#20798=EDGE_CURVE('',#8605,#9431,#7635,.T.); +#20802=ADVANCED_FACE('',(#20801),#20789,.T.); +#20809=EDGE_CURVE('',#9426,#9430,#7626,.T.); +#20812=EDGE_CURVE('',#9427,#9431,#7621,.T.); +#20816=ADVANCED_FACE('',(#20815),#20807,.T.); +#20824=EDGE_CURVE('',#9430,#9431,#7631,.T.); +#20829=ADVANCED_FACE('',(#20828),#20821,.T.); +#20838=EDGE_CURVE('',#8607,#8583,#7644,.T.); +#20844=ADVANCED_FACE('',(#20843),#20834,.T.); +#20853=EDGE_CURVE('',#9453,#8564,#7652,.T.); +#20857=ADVANCED_FACE('',(#20856),#20849,.F.); +#20863=EDGE_CURVE('',#8565,#9395,#7648,.T.); +#20865=EDGE_CURVE('',#9399,#9395,#7769,.T.); +#20872=ADVANCED_FACE('',(#20871),#20862,.T.); +#20878=EDGE_CURVE('',#9370,#9371,#7656,.T.); +#20880=EDGE_CURVE('',#8557,#9371,#7751,.T.); +#20883=EDGE_CURVE('',#9394,#8559,#7759,.T.); +#20885=EDGE_CURVE('',#9394,#9395,#7660,.T.); +#20891=EDGE_CURVE('',#8315,#9370,#7684,.T.); +#20895=ADVANCED_FACE('',(#20894),#20877,.T.); +#20902=EDGE_CURVE('',#9374,#9370,#7665,.T.); +#20905=EDGE_CURVE('',#9375,#9371,#7670,.T.); +#20909=ADVANCED_FACE('',(#20908),#20900,.T.); +#20917=EDGE_CURVE('',#9379,#9370,#7680,.T.); +#20919=EDGE_CURVE('',#9377,#9379,#7675,.T.); +#20923=ADVANCED_FACE('',(#20922),#20914,.T.); +#20932=EDGE_CURVE('',#8317,#9379,#7692,.T.); +#20936=ADVANCED_FACE('',(#20935),#20928,.T.); +#20942=EDGE_CURVE('',#9383,#9379,#7688,.T.); +#20946=EDGE_CURVE('',#8319,#9383,#7711,.T.); +#20950=ADVANCED_FACE('',(#20949),#20941,.T.); +#20959=EDGE_CURVE('',#9381,#9383,#7697,.T.); +#20963=ADVANCED_FACE('',(#20962),#20955,.T.); +#20971=EDGE_CURVE('',#9387,#9383,#7707,.T.); +#20973=EDGE_CURVE('',#9385,#9387,#7702,.T.); +#20977=ADVANCED_FACE('',(#20976),#20968,.T.); +#20989=ADVANCED_FACE('',(#20988),#20982,.T.); +#20998=EDGE_CURVE('',#9391,#9389,#7716,.T.); +#21002=ADVANCED_FACE('',(#21001),#20994,.T.); +#21012=EDGE_CURVE('',#9475,#9463,#7724,.T.); +#21016=ADVANCED_FACE('',(#21015),#21007,.T.); +#21025=EDGE_CURVE('',#8619,#8595,#7737,.T.); +#21029=ADVANCED_FACE('',(#21028),#21021,.F.); +#21035=EDGE_CURVE('',#9438,#9439,#7729,.T.); +#21044=ADVANCED_FACE('',(#21043),#21034,.T.); +#21056=ADVANCED_FACE('',(#21055),#21049,.T.); +#21065=EDGE_CURVE('',#9449,#8556,#7755,.T.); +#21070=ADVANCED_FACE('',(#21069),#21061,.T.); +#21082=ADVANCED_FACE('',(#21081),#21075,.F.); +#21089=EDGE_CURVE('',#9394,#9398,#7764,.T.); +#21093=EDGE_CURVE('',#9451,#8561,#7773,.T.); +#21097=ADVANCED_FACE('',(#21096),#21087,.T.); +#21109=ADVANCED_FACE('',(#21108),#21102,.T.); +#21121=ADVANCED_FACE('',(#21120),#21114,.F.); +#21128=EDGE_CURVE('',#9455,#8571,#7777,.T.); +#21134=ADVANCED_FACE('',(#21133),#21126,.F.); +#21147=ADVANCED_FACE('',(#21146),#21139,.T.); +#21154=EDGE_CURVE('',#8954,#8946,#7785,.T.); +#21156=EDGE_CURVE('',#8946,#8947,#7794,.T.); +#21158=EDGE_CURVE('',#8955,#8947,#7789,.T.); +#21162=ADVANCED_FACE('',(#21161),#21152,.T.); +#21170=EDGE_CURVE('',#8947,#8946,#7799,.T.); +#21175=ADVANCED_FACE('',(#21174),#21167,.T.); +#21185=ADVANCED_FACE('',(#21184),#21180,.T.); +#21191=EDGE_CURVE('',#8950,#8951,#7804,.T.); +#21193=EDGE_CURVE('',#8951,#8950,#7809,.T.); +#21197=ADVANCED_FACE('',(#21196),#21190,.T.); +#21204=EDGE_CURVE('',#8958,#8950,#7813,.T.); +#21207=EDGE_CURVE('',#8959,#8951,#7817,.T.); +#21211=ADVANCED_FACE('',(#21210),#21202,.T.); +#21223=ADVANCED_FACE('',(#21222),#21216,.T.); +#21230=EDGE_CURVE('',#8448,#8376,#7821,.T.); +#21233=EDGE_CURVE('',#8449,#8377,#8013,.T.); +#21237=ADVANCED_FACE('',(#21236),#21228,.T.); +#21244=EDGE_CURVE('',#8503,#8459,#7858,.T.); +#21251=ADVANCED_FACE('',(#21250),#21242,.F.); +#21258=EDGE_CURVE('',#9924,#9921,#7842,.T.); +#21263=ADVANCED_FACE('',(#21262),#21256,.F.); +#21272=EDGE_CURVE('',#9925,#9927,#7993,.T.); +#21276=ADVANCED_FACE('',(#21275),#21268,.F.); +#21283=EDGE_CURVE('',#9923,#9920,#7863,.T.); +#21289=ADVANCED_FACE('',(#21288),#21281,.F.); +#21296=EDGE_CURVE('',#9922,#9929,#7875,.T.); +#21299=EDGE_CURVE('',#8487,#8505,#7884,.T.); +#21303=ADVANCED_FACE('',(#21302),#21294,.F.); +#21314=ADVANCED_FACE('',(#21313),#21308,.F.); +#21320=EDGE_CURVE('',#8427,#8501,#7906,.T.); +#21327=ADVANCED_FACE('',(#21326),#21319,.F.); +#21335=EDGE_CURVE('',#8500,#8417,#7910,.T.); +#21341=ADVANCED_FACE('',(#21340),#21332,.F.); +#21350=EDGE_CURVE('',#8490,#8410,#7914,.T.); +#21354=ADVANCED_FACE('',(#21353),#21346,.T.); +#21363=EDGE_CURVE('',#8491,#8411,#7918,.T.); +#21367=ADVANCED_FACE('',(#21366),#21359,.T.); +#21376=EDGE_CURVE('',#8493,#8413,#7922,.T.); +#21380=ADVANCED_FACE('',(#21379),#21372,.T.); +#21389=EDGE_CURVE('',#8495,#8415,#7926,.T.); +#21393=ADVANCED_FACE('',(#21392),#21385,.T.); +#21400=EDGE_CURVE('',#8497,#8431,#7936,.T.); +#21407=ADVANCED_FACE('',(#21406),#21398,.T.); +#21415=EDGE_CURVE('',#9913,#9917,#7941,.T.); +#21420=ADVANCED_FACE('',(#21419),#21412,.F.); +#21427=EDGE_CURVE('',#9912,#9916,#7946,.T.); +#21433=ADVANCED_FACE('',(#21432),#21425,.F.); +#21445=ADVANCED_FACE('',(#21444),#21438,.F.); +#21452=EDGE_CURVE('',#8485,#8405,#7960,.T.); +#21459=ADVANCED_FACE('',(#21458),#21450,.F.); +#21466=EDGE_CURVE('',#8483,#8403,#7964,.T.); +#21472=ADVANCED_FACE('',(#21471),#21464,.T.); +#21479=EDGE_CURVE('',#8481,#8401,#7968,.T.); +#21485=ADVANCED_FACE('',(#21484),#21477,.T.); +#21492=EDGE_CURVE('',#8479,#8399,#7972,.T.); +#21498=ADVANCED_FACE('',(#21497),#21490,.T.); +#21510=ADVANCED_FACE('',(#21509),#21503,.F.); +#21516=EDGE_CURVE('',#8465,#8441,#7988,.T.); +#21523=ADVANCED_FACE('',(#21522),#21515,.F.); +#21532=EDGE_CURVE('',#8463,#8389,#7997,.T.); +#21537=ADVANCED_FACE('',(#21536),#21528,.F.); +#21544=EDGE_CURVE('',#8462,#8388,#8001,.T.); +#21550=ADVANCED_FACE('',(#21549),#21542,.T.); +#21557=EDGE_CURVE('',#8473,#8395,#8005,.T.); +#21563=ADVANCED_FACE('',(#21562),#21555,.T.); +#21575=ADVANCED_FACE('',(#21574),#21568,.T.); +#21584=EDGE_CURVE('',#8451,#8379,#8017,.T.); +#21588=ADVANCED_FACE('',(#21587),#21580,.T.); +#21597=EDGE_CURVE('',#8453,#8381,#8021,.T.); +#21601=ADVANCED_FACE('',(#21600),#21593,.T.); +#21613=ADVANCED_FACE('',(#21612),#21606,.F.); +#21619=EDGE_CURVE('',#9488,#9489,#8026,.T.); +#21621=EDGE_CURVE('',#8541,#9489,#8201,.T.); +#21624=EDGE_CURVE('',#8540,#9488,#8030,.T.); +#21628=ADVANCED_FACE('',(#21627),#21618,.F.); +#21634=EDGE_CURVE('',#9484,#9485,#8040,.T.); +#21636=EDGE_CURVE('',#9485,#9489,#8193,.T.); +#21639=EDGE_CURVE('',#9484,#9488,#8035,.T.); +#21643=ADVANCED_FACE('',(#21642),#21633,.T.); +#21649=EDGE_CURVE('',#9516,#9517,#8076,.T.); +#21651=EDGE_CURVE('',#9543,#9516,#8080,.T.); +#21653=EDGE_CURVE('',#9539,#9543,#8085,.T.); +#21655=EDGE_CURVE('',#9535,#9539,#8089,.T.); +#21657=EDGE_CURVE('',#9531,#9535,#8094,.T.); +#21659=EDGE_CURVE('',#9527,#9531,#8098,.T.); +#21661=EDGE_CURVE('',#9523,#9527,#8103,.T.); +#21663=EDGE_CURVE('',#9517,#9523,#8107,.T.); +#21668=EDGE_CURVE('',#9511,#9484,#8044,.T.); +#21670=EDGE_CURVE('',#9507,#9511,#8049,.T.); +#21672=EDGE_CURVE('',#9503,#9507,#8053,.T.); +#21674=EDGE_CURVE('',#9499,#9503,#8058,.T.); +#21676=EDGE_CURVE('',#9495,#9499,#8062,.T.); +#21678=EDGE_CURVE('',#9491,#9495,#8067,.T.); +#21680=EDGE_CURVE('',#9485,#9491,#8071,.T.); +#21684=ADVANCED_FACE('',(#21666,#21683),#21648,.T.); +#21692=EDGE_CURVE('',#9513,#9488,#8116,.T.); +#21694=EDGE_CURVE('',#9511,#9513,#8112,.T.); +#21698=ADVANCED_FACE('',(#21697),#21689,.T.); +#21707=EDGE_CURVE('',#8543,#9513,#8120,.T.); +#21711=ADVANCED_FACE('',(#21710),#21703,.T.); +#21717=EDGE_CURVE('',#9509,#9513,#8125,.T.); +#21721=EDGE_CURVE('',#8545,#9509,#8129,.T.); +#21725=ADVANCED_FACE('',(#21724),#21716,.F.); +#21734=EDGE_CURVE('',#9507,#9509,#8134,.T.); +#21738=ADVANCED_FACE('',(#21737),#21730,.T.); +#21746=EDGE_CURVE('',#9505,#9509,#8143,.T.); +#21748=EDGE_CURVE('',#9503,#9505,#8139,.T.); +#21752=ADVANCED_FACE('',(#21751),#21743,.T.); +#21761=EDGE_CURVE('',#8547,#9505,#8147,.T.); +#21765=ADVANCED_FACE('',(#21764),#21757,.T.); +#21771=EDGE_CURVE('',#9501,#9505,#8152,.T.); +#21775=EDGE_CURVE('',#8549,#9501,#8156,.T.); +#21779=ADVANCED_FACE('',(#21778),#21770,.F.); +#21788=EDGE_CURVE('',#9499,#9501,#8161,.T.); +#21792=ADVANCED_FACE('',(#21791),#21784,.T.); +#21800=EDGE_CURVE('',#9497,#9501,#8170,.T.); +#21802=EDGE_CURVE('',#9495,#9497,#8166,.T.); +#21806=ADVANCED_FACE('',(#21805),#21797,.T.); +#21815=EDGE_CURVE('',#8551,#9497,#8174,.T.); +#21819=ADVANCED_FACE('',(#21818),#21811,.T.); +#21825=EDGE_CURVE('',#9493,#9497,#8179,.T.); +#21829=EDGE_CURVE('',#8553,#9493,#8183,.T.); +#21833=ADVANCED_FACE('',(#21832),#21824,.F.); +#21842=EDGE_CURVE('',#9491,#9493,#8188,.T.); +#21846=ADVANCED_FACE('',(#21845),#21838,.T.); +#21854=EDGE_CURVE('',#9489,#9493,#8197,.T.); +#21859=ADVANCED_FACE('',(#21858),#21851,.T.); +#21871=ADVANCED_FACE('',(#21870),#21864,.T.); +#21878=EDGE_CURVE('',#9517,#9521,#8211,.T.); +#21880=EDGE_CURVE('',#9520,#9521,#8254,.T.); +#21882=EDGE_CURVE('',#9516,#9520,#8206,.T.); +#21886=ADVANCED_FACE('',(#21885),#21876,.T.); +#21893=EDGE_CURVE('',#9523,#9525,#8216,.T.); +#21895=EDGE_CURVE('',#9521,#9525,#8262,.T.); +#21900=ADVANCED_FACE('',(#21899),#21891,.T.); +#21907=EDGE_CURVE('',#9527,#9529,#8221,.T.); +#21909=EDGE_CURVE('',#9525,#9529,#8271,.T.); +#21914=ADVANCED_FACE('',(#21913),#21905,.T.); +#21921=EDGE_CURVE('',#9531,#9533,#8226,.T.); +#21923=EDGE_CURVE('',#9529,#9533,#8279,.T.); +#21928=ADVANCED_FACE('',(#21927),#21919,.T.); +#21935=EDGE_CURVE('',#9535,#9537,#8231,.T.); +#21937=EDGE_CURVE('',#9533,#9537,#8288,.T.); +#21942=ADVANCED_FACE('',(#21941),#21933,.T.); +#21949=EDGE_CURVE('',#9539,#9541,#8236,.T.); +#21951=EDGE_CURVE('',#9537,#9541,#8296,.T.); +#21956=ADVANCED_FACE('',(#21955),#21947,.T.); +#21963=EDGE_CURVE('',#9543,#9545,#8241,.T.); +#21965=EDGE_CURVE('',#9541,#9545,#8305,.T.); +#21970=ADVANCED_FACE('',(#21969),#21961,.T.); +#21978=EDGE_CURVE('',#9545,#9520,#8245,.T.); +#21983=ADVANCED_FACE('',(#21982),#21975,.T.); +#21990=EDGE_CURVE('',#8625,#9520,#8258,.T.); +#21993=EDGE_CURVE('',#8623,#9545,#8249,.T.); +#21997=ADVANCED_FACE('',(#21996),#21988,.T.); +#22004=EDGE_CURVE('',#8627,#9521,#8266,.T.); +#22010=ADVANCED_FACE('',(#22009),#22002,.T.); +#22017=EDGE_CURVE('',#8629,#9525,#8275,.T.); +#22023=ADVANCED_FACE('',(#22022),#22015,.T.); +#22030=EDGE_CURVE('',#8631,#9529,#8283,.T.); +#22036=ADVANCED_FACE('',(#22035),#22028,.T.); +#22043=EDGE_CURVE('',#8633,#9533,#8292,.T.); +#22049=ADVANCED_FACE('',(#22048),#22041,.T.); +#22056=EDGE_CURVE('',#8635,#9537,#8300,.T.); +#22062=ADVANCED_FACE('',(#22061),#22054,.T.); +#22069=EDGE_CURVE('',#8622,#9541,#8309,.T.); +#22075=ADVANCED_FACE('',(#22074),#22067,.T.); +#22087=ADVANCED_FACE('',(#22086),#22080,.T.); +#22090=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#22091=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#22094=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#22096=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( +#22095))GLOBAL_UNIT_ASSIGNED_CONTEXT((#22090,#22093,#22094))REPRESENTATION_CONTEXT('ID1','3')); +#22097=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#22089),#22096); +#22104=PRODUCT_DEFINITION('part definition','',#22103,#22100); +#22105=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR C-776231-2.',#22104); +#22106=SHAPE_ASPECT('','solid data associated with C-776231-2',#22105,.F.); +#22107=PROPERTY_DEFINITION('', +'shape for solid data with which properties are associated',#22106); +#22108=SHAPE_REPRESENTATION('',(#22089),#22096); +#22109=SHAPE_DEFINITION_REPRESENTATION(#22107,#22108); +#22110=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#22114=PROPERTY_DEFINITION('geometric validation property','area of C-776231-2', +#22106); +#22115=REPRESENTATION('surface area',(#22113),#22096); +#22116=PROPERTY_DEFINITION_REPRESENTATION(#22114,#22115); +#22117=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#22121=PROPERTY_DEFINITION('geometric validation property', +'volume of C-776231-2',#22106); +#22122=REPRESENTATION('volume',(#22120),#22096); +#22123=PROPERTY_DEFINITION_REPRESENTATION(#22121,#22122); +#22125=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-776231-2',#22106); +#22126=REPRESENTATION('centroid',(#22124),#22096); +#22127=PROPERTY_DEFINITION_REPRESENTATION(#22125,#22126); +#22128=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#22132=PROPERTY_DEFINITION('geometric validation property','area of C-776231-2', +#22105); +#22133=REPRESENTATION('surface area',(#22131),#22096); +#22134=PROPERTY_DEFINITION_REPRESENTATION(#22132,#22133); +#22135=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#22139=PROPERTY_DEFINITION('geometric validation property', +'volume of C-776231-2',#22105); +#22140=REPRESENTATION('volume',(#22138),#22096); +#22141=PROPERTY_DEFINITION_REPRESENTATION(#22139,#22140); +#22143=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-776231-2',#22105); +#22144=REPRESENTATION('centroid',(#22142),#22096); +#22145=PROPERTY_DEFINITION_REPRESENTATION(#22143,#22144); +#22146=SHAPE_DEFINITION_REPRESENTATION(#22105,#22097); +ENDSEC; +END-ISO-10303-21; diff --git a/lib/c-8-1393476-0-b-3d.stp b/lib/c-8-1393476-0-b-3d.stp new file mode 100644 index 0000000..e497a38 --- /dev/null +++ b/lib/c-8-1393476-0-b-3d.stp @@ -0,0 +1,126090 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION((''),'2;1'); +FILE_NAME('C-8-1393476-0','2017-03-13T',('workeradm'),('Tyco Electronics Ltd.'), +'CREO PARAMETRIC BY PTC INC, 2016050','CREO PARAMETRIC BY PTC INC, 2016050',''); +FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); +ENDSEC; +DATA; +#2=CARTESIAN_POINT('',(-6.09E1,-1.35E1,-3.125E1)); +#3=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4=DIRECTION('',(1.E0,0.E0,0.E0)); +#5=AXIS2_PLACEMENT_3D('',#2,#3,#4); +#7=CARTESIAN_POINT('',(-6.09E1,-1.35E1,-3.125E1)); +#8=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10=AXIS2_PLACEMENT_3D('',#7,#8,#9); +#12=CARTESIAN_POINT('',(5.79E1,-1.35E1,-3.125E1)); +#13=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14=DIRECTION('',(1.E0,0.E0,0.E0)); +#15=AXIS2_PLACEMENT_3D('',#12,#13,#14); +#17=CARTESIAN_POINT('',(5.79E1,-1.35E1,-3.125E1)); +#18=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20=AXIS2_PLACEMENT_3D('',#17,#18,#19); +#22=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23=VECTOR('',#22,1.511268535930E0); +#24=CARTESIAN_POINT('',(-5.71E1,-1.35E1,-2.815E1)); +#25=LINE('',#24,#23); +#26=DIRECTION('',(0.E0,0.E0,1.E0)); +#27=VECTOR('',#26,2.E0); +#28=CARTESIAN_POINT('',(-5.71E1,-1.35E1,-2.545E1)); +#29=LINE('',#28,#27); +#30=DIRECTION('',(1.E0,0.E0,0.E0)); +#31=VECTOR('',#30,1.142E2); +#32=CARTESIAN_POINT('',(-5.71E1,-1.35E1,-2.345E1)); +#33=LINE('',#32,#31); +#34=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35=VECTOR('',#34,2.E0); +#36=CARTESIAN_POINT('',(5.71E1,-1.35E1,-2.345E1)); +#37=LINE('',#36,#35); +#38=DIRECTION('',(0.E0,0.E0,1.E0)); +#39=VECTOR('',#38,2.7E0); +#40=CARTESIAN_POINT('',(5.861126853593E1,-1.35E1,-2.815E1)); +#41=LINE('',#40,#39); +#42=DIRECTION('',(-1.E0,0.E0,0.E0)); +#43=VECTOR('',#42,1.511268535930E0); +#44=CARTESIAN_POINT('',(5.861126853593E1,-1.35E1,-2.815E1)); +#45=LINE('',#44,#43); +#46=DIRECTION('',(0.E0,0.E0,-1.E0)); +#47=VECTOR('',#46,1.4E0); +#48=CARTESIAN_POINT('',(5.71E1,-1.35E1,-2.815E1)); +#49=LINE('',#48,#47); +#50=DIRECTION('',(1.E0,0.E0,0.E0)); +#51=VECTOR('',#50,5.5E0); +#52=CARTESIAN_POINT('',(5.71E1,-1.35E1,-2.955E1)); +#53=LINE('',#52,#51); +#54=DIRECTION('',(0.E0,0.E0,-1.E0)); +#55=VECTOR('',#54,3.4E0); +#56=CARTESIAN_POINT('',(6.26E1,-1.35E1,-2.955E1)); +#57=LINE('',#56,#55); +#58=DIRECTION('',(-1.E0,0.E0,0.E0)); +#59=VECTOR('',#58,5.4E0); +#60=CARTESIAN_POINT('',(6.26E1,-1.35E1,-3.295E1)); +#61=LINE('',#60,#59); +#62=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#63=VECTOR('',#62,7.071067811866E-1); +#64=CARTESIAN_POINT('',(5.72E1,-1.35E1,-3.295E1)); +#65=LINE('',#64,#63); +#66=DIRECTION('',(-1.E0,0.E0,0.E0)); +#67=VECTOR('',#66,1.032E2); +#68=CARTESIAN_POINT('',(5.67E1,-1.35E1,-3.245E1)); +#69=LINE('',#68,#67); +#70=DIRECTION('',(0.E0,0.E0,-1.E0)); +#71=VECTOR('',#70,2.5E0); +#72=CARTESIAN_POINT('',(-4.65E1,-1.35E1,-3.245E1)); +#73=LINE('',#72,#71); +#74=DIRECTION('',(-1.E0,0.E0,0.E0)); +#75=VECTOR('',#74,1.27E1); +#76=CARTESIAN_POINT('',(-4.65E1,-1.35E1,-3.495E1)); +#77=LINE('',#76,#75); +#78=DIRECTION('',(0.E0,0.E0,1.E0)); +#79=VECTOR('',#78,2.E0); +#80=CARTESIAN_POINT('',(-5.92E1,-1.35E1,-3.495E1)); +#81=LINE('',#80,#79); +#82=DIRECTION('',(-1.E0,0.E0,0.E0)); +#83=VECTOR('',#82,3.4E0); +#84=CARTESIAN_POINT('',(-5.92E1,-1.35E1,-3.295E1)); +#85=LINE('',#84,#83); +#86=DIRECTION('',(0.E0,0.E0,1.E0)); +#87=VECTOR('',#86,3.4E0); +#88=CARTESIAN_POINT('',(-6.26E1,-1.35E1,-3.295E1)); +#89=LINE('',#88,#87); +#90=DIRECTION('',(1.E0,0.E0,0.E0)); +#91=VECTOR('',#90,5.5E0); +#92=CARTESIAN_POINT('',(-6.26E1,-1.35E1,-2.955E1)); +#93=LINE('',#92,#91); +#94=DIRECTION('',(0.E0,0.E0,1.E0)); +#95=VECTOR('',#94,1.4E0); +#96=CARTESIAN_POINT('',(-5.71E1,-1.35E1,-2.955E1)); +#97=LINE('',#96,#95); +#98=DIRECTION('',(-1.E0,0.E0,0.E0)); +#99=VECTOR('',#98,8.E-1); +#100=CARTESIAN_POINT('',(-4.92E1,-1.35E1,-3.33075E1)); +#101=LINE('',#100,#99); +#102=DIRECTION('',(0.E0,0.E0,1.E0)); +#103=VECTOR('',#102,6.15E-1); +#104=CARTESIAN_POINT('',(-4.92E1,-1.35E1,-3.33075E1)); +#105=LINE('',#104,#103); +#106=DIRECTION('',(-1.E0,0.E0,0.E0)); +#107=VECTOR('',#106,8.E-1); +#108=CARTESIAN_POINT('',(-4.92E1,-1.35E1,-3.26925E1)); +#109=LINE('',#108,#107); +#110=DIRECTION('',(-1.E0,0.E0,0.E0)); +#111=VECTOR('',#110,8.E-1); +#112=CARTESIAN_POINT('',(-5.57E1,-1.35E1,-3.33075E1)); +#113=LINE('',#112,#111); +#114=DIRECTION('',(0.E0,0.E0,1.E0)); +#115=VECTOR('',#114,6.15E-1); +#116=CARTESIAN_POINT('',(-5.57E1,-1.35E1,-3.33075E1)); +#117=LINE('',#116,#115); +#118=DIRECTION('',(-1.E0,0.E0,0.E0)); +#119=VECTOR('',#118,8.E-1); +#120=CARTESIAN_POINT('',(-5.57E1,-1.35E1,-3.26925E1)); +#121=LINE('',#120,#119); +#122=DIRECTION('',(-1.E0,0.E0,0.E0)); +#123=VECTOR('',#122,8.E-1); +#124=CARTESIAN_POINT('',(-4.595E1,-1.35E1,-2.58075E1)); +#125=LINE('',#124,#123); +#126=DIRECTION('',(0.E0,0.E0,1.E0)); +#127=VECTOR('',#126,6.15E-1); +#128=CARTESIAN_POINT('',(-4.595E1,-1.35E1,-2.58075E1)); +#129=LINE('',#128,#127); +#130=DIRECTION('',(-1.E0,0.E0,0.E0)); +#131=VECTOR('',#130,8.E-1); +#132=CARTESIAN_POINT('',(-4.595E1,-1.35E1,-2.51925E1)); +#133=LINE('',#132,#131); +#134=DIRECTION('',(-1.E0,0.E0,0.E0)); +#135=VECTOR('',#134,8.E-1); +#136=CARTESIAN_POINT('',(-5.245E1,-1.35E1,-2.58075E1)); +#137=LINE('',#136,#135); +#138=DIRECTION('',(0.E0,0.E0,1.E0)); +#139=VECTOR('',#138,6.15E-1); +#140=CARTESIAN_POINT('',(-5.245E1,-1.35E1,-2.58075E1)); +#141=LINE('',#140,#139); +#142=DIRECTION('',(-1.E0,0.E0,0.E0)); +#143=VECTOR('',#142,8.E-1); +#144=CARTESIAN_POINT('',(-5.245E1,-1.35E1,-2.51925E1)); +#145=LINE('',#144,#143); +#146=DIRECTION('',(1.E0,0.E0,0.E0)); +#147=VECTOR('',#146,6.E-1); +#148=CARTESIAN_POINT('',(-4.115E1,-1.35E1,-3.0895E1)); +#149=LINE('',#148,#147); +#150=DIRECTION('',(0.E0,0.E0,1.E0)); +#151=VECTOR('',#150,6.3E-1); +#152=CARTESIAN_POINT('',(-4.055E1,-1.35E1,-3.0895E1)); +#153=LINE('',#152,#151); +#154=DIRECTION('',(1.E0,0.E0,0.E0)); +#155=VECTOR('',#154,6.E-1); +#156=CARTESIAN_POINT('',(-4.115E1,-1.35E1,-3.0265E1)); +#157=LINE('',#156,#155); +#158=DIRECTION('',(1.E0,0.E0,0.E0)); +#159=VECTOR('',#158,6.E-1); +#160=CARTESIAN_POINT('',(-4.115E1,-1.35E1,-2.5815E1)); +#161=LINE('',#160,#159); +#162=DIRECTION('',(0.E0,0.E0,1.E0)); +#163=VECTOR('',#162,6.3E-1); +#164=CARTESIAN_POINT('',(-4.055E1,-1.35E1,-2.5815E1)); +#165=LINE('',#164,#163); +#166=DIRECTION('',(1.E0,0.E0,0.E0)); +#167=VECTOR('',#166,6.E-1); +#168=CARTESIAN_POINT('',(-4.115E1,-1.35E1,-2.5185E1)); +#169=LINE('',#168,#167); +#170=DIRECTION('',(1.E0,0.E0,0.E0)); +#171=VECTOR('',#170,6.E-1); +#172=CARTESIAN_POINT('',(-3.9E1,-1.35E1,-2.5815E1)); +#173=LINE('',#172,#171); +#174=DIRECTION('',(0.E0,0.E0,1.E0)); +#175=VECTOR('',#174,6.3E-1); +#176=CARTESIAN_POINT('',(-3.84E1,-1.35E1,-2.5815E1)); +#177=LINE('',#176,#175); +#178=DIRECTION('',(1.E0,0.E0,0.E0)); +#179=VECTOR('',#178,6.E-1); +#180=CARTESIAN_POINT('',(-3.9E1,-1.35E1,-2.5185E1)); +#181=LINE('',#180,#179); +#182=DIRECTION('',(1.E0,0.E0,0.E0)); +#183=VECTOR('',#182,6.E-1); +#184=CARTESIAN_POINT('',(-3.9E1,-1.35E1,-2.8355E1)); +#185=LINE('',#184,#183); +#186=DIRECTION('',(0.E0,0.E0,1.E0)); +#187=VECTOR('',#186,6.3E-1); +#188=CARTESIAN_POINT('',(-3.84E1,-1.35E1,-2.8355E1)); +#189=LINE('',#188,#187); +#190=DIRECTION('',(1.E0,0.E0,0.E0)); +#191=VECTOR('',#190,6.E-1); +#192=CARTESIAN_POINT('',(-3.9E1,-1.35E1,-2.7725E1)); +#193=LINE('',#192,#191); +#194=DIRECTION('',(1.E0,0.E0,0.E0)); +#195=VECTOR('',#194,6.E-1); +#196=CARTESIAN_POINT('',(-3.685E1,-1.35E1,-3.0895E1)); +#197=LINE('',#196,#195); +#198=DIRECTION('',(0.E0,0.E0,1.E0)); +#199=VECTOR('',#198,6.3E-1); +#200=CARTESIAN_POINT('',(-3.625E1,-1.35E1,-3.0895E1)); +#201=LINE('',#200,#199); +#202=DIRECTION('',(1.E0,0.E0,0.E0)); +#203=VECTOR('',#202,6.E-1); +#204=CARTESIAN_POINT('',(-3.685E1,-1.35E1,-3.0265E1)); +#205=LINE('',#204,#203); +#206=DIRECTION('',(1.E0,0.E0,0.E0)); +#207=VECTOR('',#206,6.E-1); +#208=CARTESIAN_POINT('',(-3.685E1,-1.35E1,-2.5815E1)); +#209=LINE('',#208,#207); +#210=DIRECTION('',(0.E0,0.E0,1.E0)); +#211=VECTOR('',#210,6.3E-1); +#212=CARTESIAN_POINT('',(-3.625E1,-1.35E1,-2.5815E1)); +#213=LINE('',#212,#211); +#214=DIRECTION('',(1.E0,0.E0,0.E0)); +#215=VECTOR('',#214,6.E-1); +#216=CARTESIAN_POINT('',(-3.685E1,-1.35E1,-2.5185E1)); +#217=LINE('',#216,#215); +#218=DIRECTION('',(1.E0,0.E0,0.E0)); +#219=VECTOR('',#218,6.E-1); +#220=CARTESIAN_POINT('',(-3.47E1,-1.35E1,-2.5815E1)); +#221=LINE('',#220,#219); +#222=DIRECTION('',(0.E0,0.E0,1.E0)); +#223=VECTOR('',#222,6.3E-1); +#224=CARTESIAN_POINT('',(-3.41E1,-1.35E1,-2.5815E1)); +#225=LINE('',#224,#223); +#226=DIRECTION('',(1.E0,0.E0,0.E0)); +#227=VECTOR('',#226,6.E-1); +#228=CARTESIAN_POINT('',(-3.47E1,-1.35E1,-2.5185E1)); +#229=LINE('',#228,#227); +#230=DIRECTION('',(1.E0,0.E0,0.E0)); +#231=VECTOR('',#230,6.E-1); +#232=CARTESIAN_POINT('',(-3.47E1,-1.35E1,-2.8355E1)); +#233=LINE('',#232,#231); +#234=DIRECTION('',(0.E0,0.E0,1.E0)); +#235=VECTOR('',#234,6.3E-1); +#236=CARTESIAN_POINT('',(-3.41E1,-1.35E1,-2.8355E1)); +#237=LINE('',#236,#235); +#238=DIRECTION('',(1.E0,0.E0,0.E0)); +#239=VECTOR('',#238,6.E-1); +#240=CARTESIAN_POINT('',(-3.47E1,-1.35E1,-2.7725E1)); +#241=LINE('',#240,#239); +#242=DIRECTION('',(1.E0,0.E0,0.E0)); +#243=VECTOR('',#242,6.E-1); +#244=CARTESIAN_POINT('',(-3.255E1,-1.35E1,-3.0895E1)); +#245=LINE('',#244,#243); +#246=DIRECTION('',(0.E0,0.E0,1.E0)); +#247=VECTOR('',#246,6.3E-1); +#248=CARTESIAN_POINT('',(-3.195E1,-1.35E1,-3.0895E1)); +#249=LINE('',#248,#247); +#250=DIRECTION('',(1.E0,0.E0,0.E0)); +#251=VECTOR('',#250,6.E-1); +#252=CARTESIAN_POINT('',(-3.255E1,-1.35E1,-3.0265E1)); +#253=LINE('',#252,#251); +#254=DIRECTION('',(1.E0,0.E0,0.E0)); +#255=VECTOR('',#254,6.E-1); +#256=CARTESIAN_POINT('',(-3.255E1,-1.35E1,-2.5815E1)); +#257=LINE('',#256,#255); +#258=DIRECTION('',(0.E0,0.E0,1.E0)); +#259=VECTOR('',#258,6.3E-1); +#260=CARTESIAN_POINT('',(-3.195E1,-1.35E1,-2.5815E1)); +#261=LINE('',#260,#259); +#262=DIRECTION('',(1.E0,0.E0,0.E0)); +#263=VECTOR('',#262,6.E-1); +#264=CARTESIAN_POINT('',(-3.255E1,-1.35E1,-2.5185E1)); +#265=LINE('',#264,#263); +#266=DIRECTION('',(1.E0,0.E0,0.E0)); +#267=VECTOR('',#266,6.E-1); +#268=CARTESIAN_POINT('',(-3.04E1,-1.35E1,-2.5815E1)); +#269=LINE('',#268,#267); +#270=DIRECTION('',(0.E0,0.E0,1.E0)); +#271=VECTOR('',#270,6.3E-1); +#272=CARTESIAN_POINT('',(-2.98E1,-1.35E1,-2.5815E1)); +#273=LINE('',#272,#271); +#274=DIRECTION('',(1.E0,0.E0,0.E0)); +#275=VECTOR('',#274,6.E-1); +#276=CARTESIAN_POINT('',(-3.04E1,-1.35E1,-2.5185E1)); +#277=LINE('',#276,#275); +#278=DIRECTION('',(1.E0,0.E0,0.E0)); +#279=VECTOR('',#278,6.E-1); +#280=CARTESIAN_POINT('',(-3.04E1,-1.35E1,-2.8355E1)); +#281=LINE('',#280,#279); +#282=DIRECTION('',(0.E0,0.E0,1.E0)); +#283=VECTOR('',#282,6.3E-1); +#284=CARTESIAN_POINT('',(-2.98E1,-1.35E1,-2.8355E1)); +#285=LINE('',#284,#283); +#286=DIRECTION('',(1.E0,0.E0,0.E0)); +#287=VECTOR('',#286,6.E-1); +#288=CARTESIAN_POINT('',(-3.04E1,-1.35E1,-2.7725E1)); +#289=LINE('',#288,#287); +#290=DIRECTION('',(1.E0,0.E0,0.E0)); +#291=VECTOR('',#290,6.E-1); +#292=CARTESIAN_POINT('',(-2.825E1,-1.35E1,-3.0895E1)); +#293=LINE('',#292,#291); +#294=DIRECTION('',(0.E0,0.E0,1.E0)); +#295=VECTOR('',#294,6.3E-1); +#296=CARTESIAN_POINT('',(-2.765E1,-1.35E1,-3.0895E1)); +#297=LINE('',#296,#295); +#298=DIRECTION('',(1.E0,0.E0,0.E0)); +#299=VECTOR('',#298,6.E-1); +#300=CARTESIAN_POINT('',(-2.825E1,-1.35E1,-3.0265E1)); +#301=LINE('',#300,#299); +#302=DIRECTION('',(1.E0,0.E0,0.E0)); +#303=VECTOR('',#302,6.E-1); +#304=CARTESIAN_POINT('',(-2.825E1,-1.35E1,-2.5815E1)); +#305=LINE('',#304,#303); +#306=DIRECTION('',(0.E0,0.E0,1.E0)); +#307=VECTOR('',#306,6.3E-1); +#308=CARTESIAN_POINT('',(-2.765E1,-1.35E1,-2.5815E1)); +#309=LINE('',#308,#307); +#310=DIRECTION('',(1.E0,0.E0,0.E0)); +#311=VECTOR('',#310,6.E-1); +#312=CARTESIAN_POINT('',(-2.825E1,-1.35E1,-2.5185E1)); +#313=LINE('',#312,#311); +#314=DIRECTION('',(1.E0,0.E0,0.E0)); +#315=VECTOR('',#314,6.E-1); +#316=CARTESIAN_POINT('',(-2.61E1,-1.35E1,-2.5815E1)); +#317=LINE('',#316,#315); +#318=DIRECTION('',(0.E0,0.E0,1.E0)); +#319=VECTOR('',#318,6.3E-1); +#320=CARTESIAN_POINT('',(-2.55E1,-1.35E1,-2.5815E1)); +#321=LINE('',#320,#319); +#322=DIRECTION('',(1.E0,0.E0,0.E0)); +#323=VECTOR('',#322,6.E-1); +#324=CARTESIAN_POINT('',(-2.61E1,-1.35E1,-2.5185E1)); +#325=LINE('',#324,#323); +#326=DIRECTION('',(1.E0,0.E0,0.E0)); +#327=VECTOR('',#326,6.E-1); +#328=CARTESIAN_POINT('',(-2.61E1,-1.35E1,-2.8355E1)); +#329=LINE('',#328,#327); +#330=DIRECTION('',(0.E0,0.E0,1.E0)); +#331=VECTOR('',#330,6.3E-1); +#332=CARTESIAN_POINT('',(-2.55E1,-1.35E1,-2.8355E1)); +#333=LINE('',#332,#331); +#334=DIRECTION('',(1.E0,0.E0,0.E0)); +#335=VECTOR('',#334,6.E-1); +#336=CARTESIAN_POINT('',(-2.61E1,-1.35E1,-2.7725E1)); +#337=LINE('',#336,#335); +#338=DIRECTION('',(1.E0,0.E0,0.E0)); +#339=VECTOR('',#338,6.E-1); +#340=CARTESIAN_POINT('',(-2.395E1,-1.35E1,-3.0895E1)); +#341=LINE('',#340,#339); +#342=DIRECTION('',(0.E0,0.E0,1.E0)); +#343=VECTOR('',#342,6.3E-1); +#344=CARTESIAN_POINT('',(-2.335E1,-1.35E1,-3.0895E1)); +#345=LINE('',#344,#343); +#346=DIRECTION('',(1.E0,0.E0,0.E0)); +#347=VECTOR('',#346,6.E-1); +#348=CARTESIAN_POINT('',(-2.395E1,-1.35E1,-3.0265E1)); +#349=LINE('',#348,#347); +#350=DIRECTION('',(1.E0,0.E0,0.E0)); +#351=VECTOR('',#350,6.E-1); +#352=CARTESIAN_POINT('',(-2.395E1,-1.35E1,-2.5815E1)); +#353=LINE('',#352,#351); +#354=DIRECTION('',(0.E0,0.E0,1.E0)); +#355=VECTOR('',#354,6.3E-1); +#356=CARTESIAN_POINT('',(-2.335E1,-1.35E1,-2.5815E1)); +#357=LINE('',#356,#355); +#358=DIRECTION('',(1.E0,0.E0,0.E0)); +#359=VECTOR('',#358,6.E-1); +#360=CARTESIAN_POINT('',(-2.395E1,-1.35E1,-2.5185E1)); +#361=LINE('',#360,#359); +#362=DIRECTION('',(1.E0,0.E0,0.E0)); +#363=VECTOR('',#362,6.E-1); +#364=CARTESIAN_POINT('',(-2.18E1,-1.35E1,-2.5815E1)); +#365=LINE('',#364,#363); +#366=DIRECTION('',(0.E0,0.E0,1.E0)); +#367=VECTOR('',#366,6.3E-1); +#368=CARTESIAN_POINT('',(-2.12E1,-1.35E1,-2.5815E1)); +#369=LINE('',#368,#367); +#370=DIRECTION('',(1.E0,0.E0,0.E0)); +#371=VECTOR('',#370,6.E-1); +#372=CARTESIAN_POINT('',(-2.18E1,-1.35E1,-2.5185E1)); +#373=LINE('',#372,#371); +#374=DIRECTION('',(1.E0,0.E0,0.E0)); +#375=VECTOR('',#374,6.E-1); +#376=CARTESIAN_POINT('',(-2.18E1,-1.35E1,-2.8355E1)); +#377=LINE('',#376,#375); +#378=DIRECTION('',(0.E0,0.E0,1.E0)); +#379=VECTOR('',#378,6.3E-1); +#380=CARTESIAN_POINT('',(-2.12E1,-1.35E1,-2.8355E1)); +#381=LINE('',#380,#379); +#382=DIRECTION('',(1.E0,0.E0,0.E0)); +#383=VECTOR('',#382,6.E-1); +#384=CARTESIAN_POINT('',(-2.18E1,-1.35E1,-2.7725E1)); +#385=LINE('',#384,#383); +#386=DIRECTION('',(1.E0,0.E0,0.E0)); +#387=VECTOR('',#386,6.E-1); +#388=CARTESIAN_POINT('',(-1.965E1,-1.35E1,-3.0895E1)); +#389=LINE('',#388,#387); +#390=DIRECTION('',(0.E0,0.E0,1.E0)); +#391=VECTOR('',#390,6.3E-1); +#392=CARTESIAN_POINT('',(-1.905E1,-1.35E1,-3.0895E1)); +#393=LINE('',#392,#391); +#394=DIRECTION('',(1.E0,0.E0,0.E0)); +#395=VECTOR('',#394,6.E-1); +#396=CARTESIAN_POINT('',(-1.965E1,-1.35E1,-3.0265E1)); +#397=LINE('',#396,#395); +#398=DIRECTION('',(1.E0,0.E0,0.E0)); +#399=VECTOR('',#398,6.E-1); +#400=CARTESIAN_POINT('',(-1.965E1,-1.35E1,-2.5815E1)); +#401=LINE('',#400,#399); +#402=DIRECTION('',(0.E0,0.E0,1.E0)); +#403=VECTOR('',#402,6.3E-1); +#404=CARTESIAN_POINT('',(-1.905E1,-1.35E1,-2.5815E1)); +#405=LINE('',#404,#403); +#406=DIRECTION('',(1.E0,0.E0,0.E0)); +#407=VECTOR('',#406,6.E-1); +#408=CARTESIAN_POINT('',(-1.965E1,-1.35E1,-2.5185E1)); +#409=LINE('',#408,#407); +#410=DIRECTION('',(1.E0,0.E0,0.E0)); +#411=VECTOR('',#410,6.E-1); +#412=CARTESIAN_POINT('',(-1.75E1,-1.35E1,-2.5815E1)); +#413=LINE('',#412,#411); +#414=DIRECTION('',(0.E0,0.E0,1.E0)); +#415=VECTOR('',#414,6.3E-1); +#416=CARTESIAN_POINT('',(-1.69E1,-1.35E1,-2.5815E1)); +#417=LINE('',#416,#415); +#418=DIRECTION('',(1.E0,0.E0,0.E0)); +#419=VECTOR('',#418,6.E-1); +#420=CARTESIAN_POINT('',(-1.75E1,-1.35E1,-2.5185E1)); +#421=LINE('',#420,#419); +#422=DIRECTION('',(1.E0,0.E0,0.E0)); +#423=VECTOR('',#422,6.E-1); +#424=CARTESIAN_POINT('',(-1.75E1,-1.35E1,-2.8355E1)); +#425=LINE('',#424,#423); +#426=DIRECTION('',(0.E0,0.E0,1.E0)); +#427=VECTOR('',#426,6.3E-1); +#428=CARTESIAN_POINT('',(-1.69E1,-1.35E1,-2.8355E1)); +#429=LINE('',#428,#427); +#430=DIRECTION('',(1.E0,0.E0,0.E0)); +#431=VECTOR('',#430,6.E-1); +#432=CARTESIAN_POINT('',(-1.75E1,-1.35E1,-2.7725E1)); +#433=LINE('',#432,#431); +#434=DIRECTION('',(1.E0,0.E0,0.E0)); +#435=VECTOR('',#434,6.E-1); +#436=CARTESIAN_POINT('',(-1.535E1,-1.35E1,-3.0895E1)); +#437=LINE('',#436,#435); +#438=DIRECTION('',(0.E0,0.E0,1.E0)); +#439=VECTOR('',#438,6.3E-1); +#440=CARTESIAN_POINT('',(-1.475E1,-1.35E1,-3.0895E1)); +#441=LINE('',#440,#439); +#442=DIRECTION('',(1.E0,0.E0,0.E0)); +#443=VECTOR('',#442,6.E-1); +#444=CARTESIAN_POINT('',(-1.535E1,-1.35E1,-3.0265E1)); +#445=LINE('',#444,#443); +#446=DIRECTION('',(1.E0,0.E0,0.E0)); +#447=VECTOR('',#446,6.E-1); +#448=CARTESIAN_POINT('',(-1.535E1,-1.35E1,-2.5815E1)); +#449=LINE('',#448,#447); +#450=DIRECTION('',(0.E0,0.E0,1.E0)); +#451=VECTOR('',#450,6.3E-1); +#452=CARTESIAN_POINT('',(-1.475E1,-1.35E1,-2.5815E1)); +#453=LINE('',#452,#451); +#454=DIRECTION('',(1.E0,0.E0,0.E0)); +#455=VECTOR('',#454,6.E-1); +#456=CARTESIAN_POINT('',(-1.535E1,-1.35E1,-2.5185E1)); +#457=LINE('',#456,#455); +#458=DIRECTION('',(1.E0,0.E0,0.E0)); +#459=VECTOR('',#458,6.E-1); +#460=CARTESIAN_POINT('',(-1.32E1,-1.35E1,-2.5815E1)); +#461=LINE('',#460,#459); +#462=DIRECTION('',(0.E0,0.E0,1.E0)); +#463=VECTOR('',#462,6.3E-1); +#464=CARTESIAN_POINT('',(-1.26E1,-1.35E1,-2.5815E1)); +#465=LINE('',#464,#463); +#466=DIRECTION('',(1.E0,0.E0,0.E0)); +#467=VECTOR('',#466,6.E-1); +#468=CARTESIAN_POINT('',(-1.32E1,-1.35E1,-2.5185E1)); +#469=LINE('',#468,#467); +#470=DIRECTION('',(1.E0,0.E0,0.E0)); +#471=VECTOR('',#470,6.E-1); +#472=CARTESIAN_POINT('',(-1.32E1,-1.35E1,-2.8355E1)); +#473=LINE('',#472,#471); +#474=DIRECTION('',(0.E0,0.E0,1.E0)); +#475=VECTOR('',#474,6.3E-1); +#476=CARTESIAN_POINT('',(-1.26E1,-1.35E1,-2.8355E1)); +#477=LINE('',#476,#475); +#478=DIRECTION('',(1.E0,0.E0,0.E0)); +#479=VECTOR('',#478,6.E-1); +#480=CARTESIAN_POINT('',(-1.32E1,-1.35E1,-2.7725E1)); +#481=LINE('',#480,#479); +#482=DIRECTION('',(1.E0,0.E0,0.E0)); +#483=VECTOR('',#482,6.E-1); +#484=CARTESIAN_POINT('',(-1.105E1,-1.35E1,-3.0895E1)); +#485=LINE('',#484,#483); +#486=DIRECTION('',(0.E0,0.E0,1.E0)); +#487=VECTOR('',#486,6.3E-1); +#488=CARTESIAN_POINT('',(-1.045E1,-1.35E1,-3.0895E1)); +#489=LINE('',#488,#487); +#490=DIRECTION('',(1.E0,0.E0,0.E0)); +#491=VECTOR('',#490,6.E-1); +#492=CARTESIAN_POINT('',(-1.105E1,-1.35E1,-3.0265E1)); +#493=LINE('',#492,#491); +#494=DIRECTION('',(1.E0,0.E0,0.E0)); +#495=VECTOR('',#494,6.E-1); +#496=CARTESIAN_POINT('',(-1.105E1,-1.35E1,-2.5815E1)); +#497=LINE('',#496,#495); +#498=DIRECTION('',(0.E0,0.E0,1.E0)); +#499=VECTOR('',#498,6.3E-1); +#500=CARTESIAN_POINT('',(-1.045E1,-1.35E1,-2.5815E1)); +#501=LINE('',#500,#499); +#502=DIRECTION('',(1.E0,0.E0,0.E0)); +#503=VECTOR('',#502,6.E-1); +#504=CARTESIAN_POINT('',(-1.105E1,-1.35E1,-2.5185E1)); +#505=LINE('',#504,#503); +#506=DIRECTION('',(1.E0,0.E0,0.E0)); +#507=VECTOR('',#506,6.E-1); +#508=CARTESIAN_POINT('',(-8.9E0,-1.35E1,-2.5815E1)); +#509=LINE('',#508,#507); +#510=DIRECTION('',(0.E0,0.E0,1.E0)); +#511=VECTOR('',#510,6.3E-1); +#512=CARTESIAN_POINT('',(-8.3E0,-1.35E1,-2.5815E1)); +#513=LINE('',#512,#511); +#514=DIRECTION('',(1.E0,0.E0,0.E0)); +#515=VECTOR('',#514,6.E-1); +#516=CARTESIAN_POINT('',(-8.9E0,-1.35E1,-2.5185E1)); +#517=LINE('',#516,#515); +#518=DIRECTION('',(1.E0,0.E0,0.E0)); +#519=VECTOR('',#518,6.E-1); +#520=CARTESIAN_POINT('',(-8.9E0,-1.35E1,-2.8355E1)); +#521=LINE('',#520,#519); +#522=DIRECTION('',(0.E0,0.E0,1.E0)); +#523=VECTOR('',#522,6.3E-1); +#524=CARTESIAN_POINT('',(-8.3E0,-1.35E1,-2.8355E1)); +#525=LINE('',#524,#523); +#526=DIRECTION('',(1.E0,0.E0,0.E0)); +#527=VECTOR('',#526,6.E-1); +#528=CARTESIAN_POINT('',(-8.9E0,-1.35E1,-2.7725E1)); +#529=LINE('',#528,#527); +#530=DIRECTION('',(1.E0,0.E0,0.E0)); +#531=VECTOR('',#530,6.E-1); +#532=CARTESIAN_POINT('',(-6.75E0,-1.35E1,-3.0895E1)); +#533=LINE('',#532,#531); +#534=DIRECTION('',(0.E0,0.E0,1.E0)); +#535=VECTOR('',#534,6.3E-1); +#536=CARTESIAN_POINT('',(-6.15E0,-1.35E1,-3.0895E1)); +#537=LINE('',#536,#535); +#538=DIRECTION('',(1.E0,0.E0,0.E0)); +#539=VECTOR('',#538,6.E-1); +#540=CARTESIAN_POINT('',(-6.75E0,-1.35E1,-3.0265E1)); +#541=LINE('',#540,#539); +#542=DIRECTION('',(1.E0,0.E0,0.E0)); +#543=VECTOR('',#542,6.E-1); +#544=CARTESIAN_POINT('',(-6.75E0,-1.35E1,-2.5815E1)); +#545=LINE('',#544,#543); +#546=DIRECTION('',(0.E0,0.E0,1.E0)); +#547=VECTOR('',#546,6.3E-1); +#548=CARTESIAN_POINT('',(-6.15E0,-1.35E1,-2.5815E1)); +#549=LINE('',#548,#547); +#550=DIRECTION('',(1.E0,0.E0,0.E0)); +#551=VECTOR('',#550,6.E-1); +#552=CARTESIAN_POINT('',(-6.75E0,-1.35E1,-2.5185E1)); +#553=LINE('',#552,#551); +#554=DIRECTION('',(1.E0,0.E0,0.E0)); +#555=VECTOR('',#554,6.E-1); +#556=CARTESIAN_POINT('',(-4.6E0,-1.35E1,-2.5815E1)); +#557=LINE('',#556,#555); +#558=DIRECTION('',(0.E0,0.E0,1.E0)); +#559=VECTOR('',#558,6.3E-1); +#560=CARTESIAN_POINT('',(-4.E0,-1.35E1,-2.5815E1)); +#561=LINE('',#560,#559); +#562=DIRECTION('',(1.E0,0.E0,0.E0)); +#563=VECTOR('',#562,6.E-1); +#564=CARTESIAN_POINT('',(-4.6E0,-1.35E1,-2.5185E1)); +#565=LINE('',#564,#563); +#566=DIRECTION('',(1.E0,0.E0,0.E0)); +#567=VECTOR('',#566,6.E-1); +#568=CARTESIAN_POINT('',(-4.6E0,-1.35E1,-2.8355E1)); +#569=LINE('',#568,#567); +#570=DIRECTION('',(0.E0,0.E0,1.E0)); +#571=VECTOR('',#570,6.3E-1); +#572=CARTESIAN_POINT('',(-4.E0,-1.35E1,-2.8355E1)); +#573=LINE('',#572,#571); +#574=DIRECTION('',(1.E0,0.E0,0.E0)); +#575=VECTOR('',#574,6.E-1); +#576=CARTESIAN_POINT('',(-4.6E0,-1.35E1,-2.7725E1)); +#577=LINE('',#576,#575); +#578=DIRECTION('',(1.E0,0.E0,0.E0)); +#579=VECTOR('',#578,6.E-1); +#580=CARTESIAN_POINT('',(-2.45E0,-1.35E1,-3.0895E1)); +#581=LINE('',#580,#579); +#582=DIRECTION('',(0.E0,0.E0,1.E0)); +#583=VECTOR('',#582,6.3E-1); +#584=CARTESIAN_POINT('',(-1.85E0,-1.35E1,-3.0895E1)); +#585=LINE('',#584,#583); +#586=DIRECTION('',(1.E0,0.E0,0.E0)); +#587=VECTOR('',#586,6.E-1); +#588=CARTESIAN_POINT('',(-2.45E0,-1.35E1,-3.0265E1)); +#589=LINE('',#588,#587); +#590=DIRECTION('',(1.E0,0.E0,0.E0)); +#591=VECTOR('',#590,6.E-1); +#592=CARTESIAN_POINT('',(-2.45E0,-1.35E1,-2.5815E1)); +#593=LINE('',#592,#591); +#594=DIRECTION('',(0.E0,0.E0,1.E0)); +#595=VECTOR('',#594,6.3E-1); +#596=CARTESIAN_POINT('',(-1.85E0,-1.35E1,-2.5815E1)); +#597=LINE('',#596,#595); +#598=DIRECTION('',(1.E0,0.E0,0.E0)); +#599=VECTOR('',#598,6.E-1); +#600=CARTESIAN_POINT('',(-2.45E0,-1.35E1,-2.5185E1)); +#601=LINE('',#600,#599); +#602=DIRECTION('',(1.E0,0.E0,0.E0)); +#603=VECTOR('',#602,6.E-1); +#604=CARTESIAN_POINT('',(-3.E-1,-1.35E1,-2.5815E1)); +#605=LINE('',#604,#603); +#606=DIRECTION('',(0.E0,0.E0,1.E0)); +#607=VECTOR('',#606,6.3E-1); +#608=CARTESIAN_POINT('',(3.E-1,-1.35E1,-2.5815E1)); +#609=LINE('',#608,#607); +#610=DIRECTION('',(1.E0,0.E0,0.E0)); +#611=VECTOR('',#610,6.E-1); +#612=CARTESIAN_POINT('',(-3.E-1,-1.35E1,-2.5185E1)); +#613=LINE('',#612,#611); +#614=DIRECTION('',(1.E0,0.E0,0.E0)); +#615=VECTOR('',#614,6.E-1); +#616=CARTESIAN_POINT('',(-3.E-1,-1.35E1,-2.8355E1)); +#617=LINE('',#616,#615); +#618=DIRECTION('',(0.E0,0.E0,1.E0)); +#619=VECTOR('',#618,6.3E-1); +#620=CARTESIAN_POINT('',(3.E-1,-1.35E1,-2.8355E1)); +#621=LINE('',#620,#619); +#622=DIRECTION('',(1.E0,0.E0,0.E0)); +#623=VECTOR('',#622,6.E-1); +#624=CARTESIAN_POINT('',(-3.E-1,-1.35E1,-2.7725E1)); +#625=LINE('',#624,#623); +#626=DIRECTION('',(1.E0,0.E0,0.E0)); +#627=VECTOR('',#626,6.E-1); +#628=CARTESIAN_POINT('',(1.85E0,-1.35E1,-3.0895E1)); +#629=LINE('',#628,#627); +#630=DIRECTION('',(0.E0,0.E0,1.E0)); +#631=VECTOR('',#630,6.3E-1); +#632=CARTESIAN_POINT('',(2.45E0,-1.35E1,-3.0895E1)); +#633=LINE('',#632,#631); +#634=DIRECTION('',(1.E0,0.E0,0.E0)); +#635=VECTOR('',#634,6.E-1); +#636=CARTESIAN_POINT('',(1.85E0,-1.35E1,-3.0265E1)); +#637=LINE('',#636,#635); +#638=DIRECTION('',(1.E0,0.E0,0.E0)); +#639=VECTOR('',#638,6.E-1); +#640=CARTESIAN_POINT('',(1.85E0,-1.35E1,-2.5815E1)); +#641=LINE('',#640,#639); +#642=DIRECTION('',(0.E0,0.E0,1.E0)); +#643=VECTOR('',#642,6.3E-1); +#644=CARTESIAN_POINT('',(2.45E0,-1.35E1,-2.5815E1)); +#645=LINE('',#644,#643); +#646=DIRECTION('',(1.E0,0.E0,0.E0)); +#647=VECTOR('',#646,6.E-1); +#648=CARTESIAN_POINT('',(1.85E0,-1.35E1,-2.5185E1)); +#649=LINE('',#648,#647); +#650=DIRECTION('',(1.E0,0.E0,0.E0)); +#651=VECTOR('',#650,6.E-1); +#652=CARTESIAN_POINT('',(4.E0,-1.35E1,-2.5815E1)); +#653=LINE('',#652,#651); +#654=DIRECTION('',(0.E0,0.E0,1.E0)); +#655=VECTOR('',#654,6.3E-1); +#656=CARTESIAN_POINT('',(4.6E0,-1.35E1,-2.5815E1)); +#657=LINE('',#656,#655); +#658=DIRECTION('',(1.E0,0.E0,0.E0)); +#659=VECTOR('',#658,6.E-1); +#660=CARTESIAN_POINT('',(4.E0,-1.35E1,-2.5185E1)); +#661=LINE('',#660,#659); +#662=DIRECTION('',(1.E0,0.E0,0.E0)); +#663=VECTOR('',#662,6.E-1); +#664=CARTESIAN_POINT('',(4.E0,-1.35E1,-2.8355E1)); +#665=LINE('',#664,#663); +#666=DIRECTION('',(0.E0,0.E0,1.E0)); +#667=VECTOR('',#666,6.3E-1); +#668=CARTESIAN_POINT('',(4.6E0,-1.35E1,-2.8355E1)); +#669=LINE('',#668,#667); +#670=DIRECTION('',(1.E0,0.E0,0.E0)); +#671=VECTOR('',#670,6.E-1); +#672=CARTESIAN_POINT('',(4.E0,-1.35E1,-2.7725E1)); +#673=LINE('',#672,#671); +#674=DIRECTION('',(1.E0,0.E0,0.E0)); +#675=VECTOR('',#674,6.E-1); +#676=CARTESIAN_POINT('',(6.15E0,-1.35E1,-3.0895E1)); +#677=LINE('',#676,#675); +#678=DIRECTION('',(0.E0,0.E0,1.E0)); +#679=VECTOR('',#678,6.3E-1); +#680=CARTESIAN_POINT('',(6.75E0,-1.35E1,-3.0895E1)); +#681=LINE('',#680,#679); +#682=DIRECTION('',(1.E0,0.E0,0.E0)); +#683=VECTOR('',#682,6.E-1); +#684=CARTESIAN_POINT('',(6.15E0,-1.35E1,-3.0265E1)); +#685=LINE('',#684,#683); +#686=DIRECTION('',(1.E0,0.E0,0.E0)); +#687=VECTOR('',#686,6.E-1); +#688=CARTESIAN_POINT('',(6.15E0,-1.35E1,-2.5815E1)); +#689=LINE('',#688,#687); +#690=DIRECTION('',(0.E0,0.E0,1.E0)); +#691=VECTOR('',#690,6.3E-1); +#692=CARTESIAN_POINT('',(6.75E0,-1.35E1,-2.5815E1)); +#693=LINE('',#692,#691); +#694=DIRECTION('',(1.E0,0.E0,0.E0)); +#695=VECTOR('',#694,6.E-1); +#696=CARTESIAN_POINT('',(6.15E0,-1.35E1,-2.5185E1)); +#697=LINE('',#696,#695); +#698=DIRECTION('',(1.E0,0.E0,0.E0)); +#699=VECTOR('',#698,6.E-1); +#700=CARTESIAN_POINT('',(8.3E0,-1.35E1,-2.5815E1)); +#701=LINE('',#700,#699); +#702=DIRECTION('',(0.E0,0.E0,1.E0)); +#703=VECTOR('',#702,6.3E-1); +#704=CARTESIAN_POINT('',(8.9E0,-1.35E1,-2.5815E1)); +#705=LINE('',#704,#703); +#706=DIRECTION('',(1.E0,0.E0,0.E0)); +#707=VECTOR('',#706,6.E-1); +#708=CARTESIAN_POINT('',(8.3E0,-1.35E1,-2.5185E1)); +#709=LINE('',#708,#707); +#710=DIRECTION('',(1.E0,0.E0,0.E0)); +#711=VECTOR('',#710,6.E-1); +#712=CARTESIAN_POINT('',(8.3E0,-1.35E1,-2.8355E1)); +#713=LINE('',#712,#711); +#714=DIRECTION('',(0.E0,0.E0,1.E0)); +#715=VECTOR('',#714,6.3E-1); +#716=CARTESIAN_POINT('',(8.9E0,-1.35E1,-2.8355E1)); +#717=LINE('',#716,#715); +#718=DIRECTION('',(1.E0,0.E0,0.E0)); +#719=VECTOR('',#718,6.E-1); +#720=CARTESIAN_POINT('',(8.3E0,-1.35E1,-2.7725E1)); +#721=LINE('',#720,#719); +#722=DIRECTION('',(1.E0,0.E0,0.E0)); +#723=VECTOR('',#722,6.E-1); +#724=CARTESIAN_POINT('',(2.395E1,-1.35E1,-3.0895E1)); +#725=LINE('',#724,#723); +#726=DIRECTION('',(0.E0,0.E0,1.E0)); +#727=VECTOR('',#726,6.3E-1); +#728=CARTESIAN_POINT('',(2.455E1,-1.35E1,-3.0895E1)); +#729=LINE('',#728,#727); +#730=DIRECTION('',(1.E0,0.E0,0.E0)); +#731=VECTOR('',#730,6.E-1); +#732=CARTESIAN_POINT('',(2.395E1,-1.35E1,-3.0265E1)); +#733=LINE('',#732,#731); +#734=DIRECTION('',(1.E0,0.E0,0.E0)); +#735=VECTOR('',#734,6.E-1); +#736=CARTESIAN_POINT('',(2.395E1,-1.35E1,-2.5815E1)); +#737=LINE('',#736,#735); +#738=DIRECTION('',(0.E0,0.E0,1.E0)); +#739=VECTOR('',#738,6.3E-1); +#740=CARTESIAN_POINT('',(2.455E1,-1.35E1,-2.5815E1)); +#741=LINE('',#740,#739); +#742=DIRECTION('',(1.E0,0.E0,0.E0)); +#743=VECTOR('',#742,6.E-1); +#744=CARTESIAN_POINT('',(2.395E1,-1.35E1,-2.5185E1)); +#745=LINE('',#744,#743); +#746=DIRECTION('',(1.E0,0.E0,0.E0)); +#747=VECTOR('',#746,6.E-1); +#748=CARTESIAN_POINT('',(2.61E1,-1.35E1,-2.5815E1)); +#749=LINE('',#748,#747); +#750=DIRECTION('',(0.E0,0.E0,1.E0)); +#751=VECTOR('',#750,6.3E-1); +#752=CARTESIAN_POINT('',(2.67E1,-1.35E1,-2.5815E1)); +#753=LINE('',#752,#751); +#754=DIRECTION('',(1.E0,0.E0,0.E0)); +#755=VECTOR('',#754,6.E-1); +#756=CARTESIAN_POINT('',(2.61E1,-1.35E1,-2.5185E1)); +#757=LINE('',#756,#755); +#758=DIRECTION('',(1.E0,0.E0,0.E0)); +#759=VECTOR('',#758,6.E-1); +#760=CARTESIAN_POINT('',(2.61E1,-1.35E1,-2.8355E1)); +#761=LINE('',#760,#759); +#762=DIRECTION('',(0.E0,0.E0,1.E0)); +#763=VECTOR('',#762,6.3E-1); +#764=CARTESIAN_POINT('',(2.67E1,-1.35E1,-2.8355E1)); +#765=LINE('',#764,#763); +#766=DIRECTION('',(1.E0,0.E0,0.E0)); +#767=VECTOR('',#766,6.E-1); +#768=CARTESIAN_POINT('',(2.61E1,-1.35E1,-2.7725E1)); +#769=LINE('',#768,#767); +#770=DIRECTION('',(1.E0,0.E0,0.E0)); +#771=VECTOR('',#770,6.E-1); +#772=CARTESIAN_POINT('',(2.825E1,-1.35E1,-3.0895E1)); +#773=LINE('',#772,#771); +#774=DIRECTION('',(0.E0,0.E0,1.E0)); +#775=VECTOR('',#774,6.3E-1); +#776=CARTESIAN_POINT('',(2.885E1,-1.35E1,-3.0895E1)); +#777=LINE('',#776,#775); +#778=DIRECTION('',(1.E0,0.E0,0.E0)); +#779=VECTOR('',#778,6.E-1); +#780=CARTESIAN_POINT('',(2.825E1,-1.35E1,-3.0265E1)); +#781=LINE('',#780,#779); +#782=DIRECTION('',(1.E0,0.E0,0.E0)); +#783=VECTOR('',#782,6.E-1); +#784=CARTESIAN_POINT('',(2.825E1,-1.35E1,-2.5815E1)); +#785=LINE('',#784,#783); +#786=DIRECTION('',(0.E0,0.E0,1.E0)); +#787=VECTOR('',#786,6.3E-1); +#788=CARTESIAN_POINT('',(2.885E1,-1.35E1,-2.5815E1)); +#789=LINE('',#788,#787); +#790=DIRECTION('',(1.E0,0.E0,0.E0)); +#791=VECTOR('',#790,6.E-1); +#792=CARTESIAN_POINT('',(2.825E1,-1.35E1,-2.5185E1)); +#793=LINE('',#792,#791); +#794=DIRECTION('',(1.E0,0.E0,0.E0)); +#795=VECTOR('',#794,6.E-1); +#796=CARTESIAN_POINT('',(3.04E1,-1.35E1,-2.5815E1)); +#797=LINE('',#796,#795); +#798=DIRECTION('',(0.E0,0.E0,1.E0)); +#799=VECTOR('',#798,6.3E-1); +#800=CARTESIAN_POINT('',(3.1E1,-1.35E1,-2.5815E1)); +#801=LINE('',#800,#799); +#802=DIRECTION('',(1.E0,0.E0,0.E0)); +#803=VECTOR('',#802,6.E-1); +#804=CARTESIAN_POINT('',(3.04E1,-1.35E1,-2.5185E1)); +#805=LINE('',#804,#803); +#806=DIRECTION('',(1.E0,0.E0,0.E0)); +#807=VECTOR('',#806,6.E-1); +#808=CARTESIAN_POINT('',(3.04E1,-1.35E1,-2.8355E1)); +#809=LINE('',#808,#807); +#810=DIRECTION('',(0.E0,0.E0,1.E0)); +#811=VECTOR('',#810,6.3E-1); +#812=CARTESIAN_POINT('',(3.1E1,-1.35E1,-2.8355E1)); +#813=LINE('',#812,#811); +#814=DIRECTION('',(1.E0,0.E0,0.E0)); +#815=VECTOR('',#814,6.E-1); +#816=CARTESIAN_POINT('',(3.04E1,-1.35E1,-2.7725E1)); +#817=LINE('',#816,#815); +#818=DIRECTION('',(1.E0,0.E0,0.E0)); +#819=VECTOR('',#818,6.E-1); +#820=CARTESIAN_POINT('',(3.255E1,-1.35E1,-3.0895E1)); +#821=LINE('',#820,#819); +#822=DIRECTION('',(0.E0,0.E0,1.E0)); +#823=VECTOR('',#822,6.3E-1); +#824=CARTESIAN_POINT('',(3.315E1,-1.35E1,-3.0895E1)); +#825=LINE('',#824,#823); +#826=DIRECTION('',(1.E0,0.E0,0.E0)); +#827=VECTOR('',#826,6.E-1); +#828=CARTESIAN_POINT('',(3.255E1,-1.35E1,-3.0265E1)); +#829=LINE('',#828,#827); +#830=DIRECTION('',(1.E0,0.E0,0.E0)); +#831=VECTOR('',#830,6.E-1); +#832=CARTESIAN_POINT('',(3.255E1,-1.35E1,-2.5815E1)); +#833=LINE('',#832,#831); +#834=DIRECTION('',(0.E0,0.E0,1.E0)); +#835=VECTOR('',#834,6.3E-1); +#836=CARTESIAN_POINT('',(3.315E1,-1.35E1,-2.5815E1)); +#837=LINE('',#836,#835); +#838=DIRECTION('',(1.E0,0.E0,0.E0)); +#839=VECTOR('',#838,6.E-1); +#840=CARTESIAN_POINT('',(3.255E1,-1.35E1,-2.5185E1)); +#841=LINE('',#840,#839); +#842=DIRECTION('',(1.E0,0.E0,0.E0)); +#843=VECTOR('',#842,6.E-1); +#844=CARTESIAN_POINT('',(3.47E1,-1.35E1,-2.5815E1)); +#845=LINE('',#844,#843); +#846=DIRECTION('',(0.E0,0.E0,1.E0)); +#847=VECTOR('',#846,6.3E-1); +#848=CARTESIAN_POINT('',(3.53E1,-1.35E1,-2.5815E1)); +#849=LINE('',#848,#847); +#850=DIRECTION('',(1.E0,0.E0,0.E0)); +#851=VECTOR('',#850,6.E-1); +#852=CARTESIAN_POINT('',(3.47E1,-1.35E1,-2.5185E1)); +#853=LINE('',#852,#851); +#854=DIRECTION('',(1.E0,0.E0,0.E0)); +#855=VECTOR('',#854,6.E-1); +#856=CARTESIAN_POINT('',(3.47E1,-1.35E1,-2.8355E1)); +#857=LINE('',#856,#855); +#858=DIRECTION('',(0.E0,0.E0,1.E0)); +#859=VECTOR('',#858,6.3E-1); +#860=CARTESIAN_POINT('',(3.53E1,-1.35E1,-2.8355E1)); +#861=LINE('',#860,#859); +#862=DIRECTION('',(1.E0,0.E0,0.E0)); +#863=VECTOR('',#862,6.E-1); +#864=CARTESIAN_POINT('',(3.47E1,-1.35E1,-2.7725E1)); +#865=LINE('',#864,#863); +#866=DIRECTION('',(1.E0,0.E0,0.E0)); +#867=VECTOR('',#866,6.E-1); +#868=CARTESIAN_POINT('',(3.685E1,-1.35E1,-3.0895E1)); +#869=LINE('',#868,#867); +#870=DIRECTION('',(0.E0,0.E0,1.E0)); +#871=VECTOR('',#870,6.3E-1); +#872=CARTESIAN_POINT('',(3.745E1,-1.35E1,-3.0895E1)); +#873=LINE('',#872,#871); +#874=DIRECTION('',(1.E0,0.E0,0.E0)); +#875=VECTOR('',#874,6.E-1); +#876=CARTESIAN_POINT('',(3.685E1,-1.35E1,-3.0265E1)); +#877=LINE('',#876,#875); +#878=DIRECTION('',(1.E0,0.E0,0.E0)); +#879=VECTOR('',#878,6.E-1); +#880=CARTESIAN_POINT('',(3.685E1,-1.35E1,-2.5815E1)); +#881=LINE('',#880,#879); +#882=DIRECTION('',(0.E0,0.E0,1.E0)); +#883=VECTOR('',#882,6.3E-1); +#884=CARTESIAN_POINT('',(3.745E1,-1.35E1,-2.5815E1)); +#885=LINE('',#884,#883); +#886=DIRECTION('',(1.E0,0.E0,0.E0)); +#887=VECTOR('',#886,6.E-1); +#888=CARTESIAN_POINT('',(3.685E1,-1.35E1,-2.5185E1)); +#889=LINE('',#888,#887); +#890=DIRECTION('',(1.E0,0.E0,0.E0)); +#891=VECTOR('',#890,6.E-1); +#892=CARTESIAN_POINT('',(3.9E1,-1.35E1,-2.5815E1)); +#893=LINE('',#892,#891); +#894=DIRECTION('',(0.E0,0.E0,1.E0)); +#895=VECTOR('',#894,6.3E-1); +#896=CARTESIAN_POINT('',(3.96E1,-1.35E1,-2.5815E1)); +#897=LINE('',#896,#895); +#898=DIRECTION('',(1.E0,0.E0,0.E0)); +#899=VECTOR('',#898,6.E-1); +#900=CARTESIAN_POINT('',(3.9E1,-1.35E1,-2.5185E1)); +#901=LINE('',#900,#899); +#902=DIRECTION('',(1.E0,0.E0,0.E0)); +#903=VECTOR('',#902,6.E-1); +#904=CARTESIAN_POINT('',(3.9E1,-1.35E1,-2.8355E1)); +#905=LINE('',#904,#903); +#906=DIRECTION('',(0.E0,0.E0,1.E0)); +#907=VECTOR('',#906,6.3E-1); +#908=CARTESIAN_POINT('',(3.96E1,-1.35E1,-2.8355E1)); +#909=LINE('',#908,#907); +#910=DIRECTION('',(1.E0,0.E0,0.E0)); +#911=VECTOR('',#910,6.E-1); +#912=CARTESIAN_POINT('',(3.9E1,-1.35E1,-2.7725E1)); +#913=LINE('',#912,#911); +#914=DIRECTION('',(1.E0,0.E0,0.E0)); +#915=VECTOR('',#914,6.E-1); +#916=CARTESIAN_POINT('',(4.115E1,-1.35E1,-3.0895E1)); +#917=LINE('',#916,#915); +#918=DIRECTION('',(0.E0,0.E0,1.E0)); +#919=VECTOR('',#918,6.3E-1); +#920=CARTESIAN_POINT('',(4.175E1,-1.35E1,-3.0895E1)); +#921=LINE('',#920,#919); +#922=DIRECTION('',(1.E0,0.E0,0.E0)); +#923=VECTOR('',#922,6.E-1); +#924=CARTESIAN_POINT('',(4.115E1,-1.35E1,-3.0265E1)); +#925=LINE('',#924,#923); +#926=DIRECTION('',(1.E0,0.E0,0.E0)); +#927=VECTOR('',#926,6.E-1); +#928=CARTESIAN_POINT('',(4.115E1,-1.35E1,-2.5815E1)); +#929=LINE('',#928,#927); +#930=DIRECTION('',(0.E0,0.E0,1.E0)); +#931=VECTOR('',#930,6.3E-1); +#932=CARTESIAN_POINT('',(4.175E1,-1.35E1,-2.5815E1)); +#933=LINE('',#932,#931); +#934=DIRECTION('',(1.E0,0.E0,0.E0)); +#935=VECTOR('',#934,6.E-1); +#936=CARTESIAN_POINT('',(4.115E1,-1.35E1,-2.5185E1)); +#937=LINE('',#936,#935); +#938=DIRECTION('',(1.E0,0.E0,0.E0)); +#939=VECTOR('',#938,6.E-1); +#940=CARTESIAN_POINT('',(4.33E1,-1.35E1,-2.5815E1)); +#941=LINE('',#940,#939); +#942=DIRECTION('',(0.E0,0.E0,1.E0)); +#943=VECTOR('',#942,6.3E-1); +#944=CARTESIAN_POINT('',(4.39E1,-1.35E1,-2.5815E1)); +#945=LINE('',#944,#943); +#946=DIRECTION('',(1.E0,0.E0,0.E0)); +#947=VECTOR('',#946,6.E-1); +#948=CARTESIAN_POINT('',(4.33E1,-1.35E1,-2.5185E1)); +#949=LINE('',#948,#947); +#950=DIRECTION('',(1.E0,0.E0,0.E0)); +#951=VECTOR('',#950,6.E-1); +#952=CARTESIAN_POINT('',(4.33E1,-1.35E1,-2.8355E1)); +#953=LINE('',#952,#951); +#954=DIRECTION('',(0.E0,0.E0,1.E0)); +#955=VECTOR('',#954,6.3E-1); +#956=CARTESIAN_POINT('',(4.39E1,-1.35E1,-2.8355E1)); +#957=LINE('',#956,#955); +#958=DIRECTION('',(1.E0,0.E0,0.E0)); +#959=VECTOR('',#958,6.E-1); +#960=CARTESIAN_POINT('',(4.33E1,-1.35E1,-2.7725E1)); +#961=LINE('',#960,#959); +#962=DIRECTION('',(1.E0,0.E0,0.E0)); +#963=VECTOR('',#962,6.E-1); +#964=CARTESIAN_POINT('',(4.545E1,-1.35E1,-3.0895E1)); +#965=LINE('',#964,#963); +#966=DIRECTION('',(0.E0,0.E0,1.E0)); +#967=VECTOR('',#966,6.3E-1); +#968=CARTESIAN_POINT('',(4.605E1,-1.35E1,-3.0895E1)); +#969=LINE('',#968,#967); +#970=DIRECTION('',(1.E0,0.E0,0.E0)); +#971=VECTOR('',#970,6.E-1); +#972=CARTESIAN_POINT('',(4.545E1,-1.35E1,-3.0265E1)); +#973=LINE('',#972,#971); +#974=DIRECTION('',(1.E0,0.E0,0.E0)); +#975=VECTOR('',#974,6.E-1); +#976=CARTESIAN_POINT('',(4.545E1,-1.35E1,-2.5815E1)); +#977=LINE('',#976,#975); +#978=DIRECTION('',(0.E0,0.E0,1.E0)); +#979=VECTOR('',#978,6.3E-1); +#980=CARTESIAN_POINT('',(4.605E1,-1.35E1,-2.5815E1)); +#981=LINE('',#980,#979); +#982=DIRECTION('',(1.E0,0.E0,0.E0)); +#983=VECTOR('',#982,6.E-1); +#984=CARTESIAN_POINT('',(4.545E1,-1.35E1,-2.5185E1)); +#985=LINE('',#984,#983); +#986=DIRECTION('',(1.E0,0.E0,0.E0)); +#987=VECTOR('',#986,6.E-1); +#988=CARTESIAN_POINT('',(4.76E1,-1.35E1,-2.5815E1)); +#989=LINE('',#988,#987); +#990=DIRECTION('',(0.E0,0.E0,1.E0)); +#991=VECTOR('',#990,6.3E-1); +#992=CARTESIAN_POINT('',(4.82E1,-1.35E1,-2.5815E1)); +#993=LINE('',#992,#991); +#994=DIRECTION('',(1.E0,0.E0,0.E0)); +#995=VECTOR('',#994,6.E-1); +#996=CARTESIAN_POINT('',(4.76E1,-1.35E1,-2.5185E1)); +#997=LINE('',#996,#995); +#998=DIRECTION('',(1.E0,0.E0,0.E0)); +#999=VECTOR('',#998,6.E-1); +#1000=CARTESIAN_POINT('',(4.76E1,-1.35E1,-2.8355E1)); +#1001=LINE('',#1000,#999); +#1002=DIRECTION('',(0.E0,0.E0,1.E0)); +#1003=VECTOR('',#1002,6.3E-1); +#1004=CARTESIAN_POINT('',(4.82E1,-1.35E1,-2.8355E1)); +#1005=LINE('',#1004,#1003); +#1006=DIRECTION('',(1.E0,0.E0,0.E0)); +#1007=VECTOR('',#1006,6.E-1); +#1008=CARTESIAN_POINT('',(4.76E1,-1.35E1,-2.7725E1)); +#1009=LINE('',#1008,#1007); +#1010=DIRECTION('',(1.E0,0.E0,0.E0)); +#1011=VECTOR('',#1010,6.E-1); +#1012=CARTESIAN_POINT('',(4.975E1,-1.35E1,-3.0895E1)); +#1013=LINE('',#1012,#1011); +#1014=DIRECTION('',(0.E0,0.E0,1.E0)); +#1015=VECTOR('',#1014,6.3E-1); +#1016=CARTESIAN_POINT('',(5.035E1,-1.35E1,-3.0895E1)); +#1017=LINE('',#1016,#1015); +#1018=DIRECTION('',(1.E0,0.E0,0.E0)); +#1019=VECTOR('',#1018,6.E-1); +#1020=CARTESIAN_POINT('',(4.975E1,-1.35E1,-3.0265E1)); +#1021=LINE('',#1020,#1019); +#1022=DIRECTION('',(1.E0,0.E0,0.E0)); +#1023=VECTOR('',#1022,6.E-1); +#1024=CARTESIAN_POINT('',(4.975E1,-1.35E1,-2.5815E1)); +#1025=LINE('',#1024,#1023); +#1026=DIRECTION('',(0.E0,0.E0,1.E0)); +#1027=VECTOR('',#1026,6.3E-1); +#1028=CARTESIAN_POINT('',(5.035E1,-1.35E1,-2.5815E1)); +#1029=LINE('',#1028,#1027); +#1030=DIRECTION('',(1.E0,0.E0,0.E0)); +#1031=VECTOR('',#1030,6.E-1); +#1032=CARTESIAN_POINT('',(4.975E1,-1.35E1,-2.5185E1)); +#1033=LINE('',#1032,#1031); +#1034=DIRECTION('',(1.E0,0.E0,0.E0)); +#1035=VECTOR('',#1034,6.E-1); +#1036=CARTESIAN_POINT('',(5.19E1,-1.35E1,-2.5815E1)); +#1037=LINE('',#1036,#1035); +#1038=DIRECTION('',(0.E0,0.E0,1.E0)); +#1039=VECTOR('',#1038,6.3E-1); +#1040=CARTESIAN_POINT('',(5.25E1,-1.35E1,-2.5815E1)); +#1041=LINE('',#1040,#1039); +#1042=DIRECTION('',(1.E0,0.E0,0.E0)); +#1043=VECTOR('',#1042,6.E-1); +#1044=CARTESIAN_POINT('',(5.19E1,-1.35E1,-2.5185E1)); +#1045=LINE('',#1044,#1043); +#1046=DIRECTION('',(1.E0,0.E0,0.E0)); +#1047=VECTOR('',#1046,6.E-1); +#1048=CARTESIAN_POINT('',(5.19E1,-1.35E1,-2.8355E1)); +#1049=LINE('',#1048,#1047); +#1050=DIRECTION('',(0.E0,0.E0,1.E0)); +#1051=VECTOR('',#1050,6.3E-1); +#1052=CARTESIAN_POINT('',(5.25E1,-1.35E1,-2.8355E1)); +#1053=LINE('',#1052,#1051); +#1054=DIRECTION('',(1.E0,0.E0,0.E0)); +#1055=VECTOR('',#1054,6.E-1); +#1056=CARTESIAN_POINT('',(5.19E1,-1.35E1,-2.7725E1)); +#1057=LINE('',#1056,#1055); +#1058=CARTESIAN_POINT('',(-6.09E1,-1.776794919243E1,-3.125E1)); +#1059=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1060=DIRECTION('',(1.E0,0.E0,0.E0)); +#1061=AXIS2_PLACEMENT_3D('',#1058,#1059,#1060); +#1063=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1064=VECTOR('',#1063,4.267949192431E0); +#1065=CARTESIAN_POINT('',(-5.99E1,-1.35E1,-3.125E1)); +#1066=LINE('',#1065,#1064); +#1067=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#1068=VECTOR('',#1067,1.480384757729E0); +#1069=CARTESIAN_POINT('',(-6.19E1,-1.776794919243E1,-3.125E1)); +#1070=LINE('',#1069,#1068); +#1071=DIRECTION('',(-5.E-1,-8.660254037844E-1,0.E0)); +#1072=VECTOR('',#1071,1.480384757729E0); +#1073=CARTESIAN_POINT('',(-5.99E1,-1.776794919243E1,-3.125E1)); +#1074=LINE('',#1073,#1072); +#1075=CARTESIAN_POINT('',(-6.09E1,-1.776794919243E1,-3.125E1)); +#1076=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1077=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1078=AXIS2_PLACEMENT_3D('',#1075,#1076,#1077); +#1080=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1081=VECTOR('',#1080,4.267949192431E0); +#1082=CARTESIAN_POINT('',(-6.19E1,-1.35E1,-3.125E1)); +#1083=LINE('',#1082,#1081); +#1084=CARTESIAN_POINT('',(-6.09E1,-1.89E1,-3.125E1)); +#1085=DIRECTION('',(0.E0,0.E0,1.E0)); +#1086=DIRECTION('',(-8.660254037844E-1,-5.E-1,0.E0)); +#1087=AXIS2_PLACEMENT_3D('',#1084,#1085,#1086); +#1089=CARTESIAN_POINT('',(-6.09E1,-1.89E1,-3.125E1)); +#1090=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1091=DIRECTION('',(8.660254037844E-1,-5.E-1,0.E0)); +#1092=AXIS2_PLACEMENT_3D('',#1089,#1090,#1091); +#1094=CARTESIAN_POINT('',(-6.09E1,-1.905E1,-3.125E1)); +#1095=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1096=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1097=AXIS2_PLACEMENT_3D('',#1094,#1095,#1096); +#1099=CARTESIAN_POINT('',(-6.09E1,-1.905E1,-3.125E1)); +#1100=DIRECTION('',(0.E0,1.E0,0.E0)); +#1101=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1102=AXIS2_PLACEMENT_3D('',#1099,#1100,#1101); +#1104=CARTESIAN_POINT('',(5.79E1,-1.776794919243E1,-3.125E1)); +#1105=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1106=DIRECTION('',(1.E0,0.E0,0.E0)); +#1107=AXIS2_PLACEMENT_3D('',#1104,#1105,#1106); +#1109=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1110=VECTOR('',#1109,4.267949192431E0); +#1111=CARTESIAN_POINT('',(5.89E1,-1.35E1,-3.125E1)); +#1112=LINE('',#1111,#1110); +#1113=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#1114=VECTOR('',#1113,1.480384757729E0); +#1115=CARTESIAN_POINT('',(5.815980762114E1,-1.905E1,-3.125E1)); +#1116=LINE('',#1115,#1114); +#1117=CARTESIAN_POINT('',(5.79E1,-1.905E1,-3.125E1)); +#1118=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1119=DIRECTION('',(1.E0,0.E0,0.E0)); +#1120=AXIS2_PLACEMENT_3D('',#1117,#1118,#1119); +#1122=DIRECTION('',(-5.E-1,8.660254037844E-1,0.E0)); +#1123=VECTOR('',#1122,1.480384757729E0); +#1124=CARTESIAN_POINT('',(5.764019237886E1,-1.905E1,-3.125E1)); +#1125=LINE('',#1124,#1123); +#1126=CARTESIAN_POINT('',(5.79E1,-1.905E1,-3.125E1)); +#1127=DIRECTION('',(0.E0,1.E0,0.E0)); +#1128=DIRECTION('',(1.E0,0.E0,0.E0)); +#1129=AXIS2_PLACEMENT_3D('',#1126,#1127,#1128); +#1131=CARTESIAN_POINT('',(5.79E1,-1.776794919243E1,-3.125E1)); +#1132=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1133=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1134=AXIS2_PLACEMENT_3D('',#1131,#1132,#1133); +#1136=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1137=VECTOR('',#1136,4.267949192431E0); +#1138=CARTESIAN_POINT('',(5.69E1,-1.35E1,-3.125E1)); +#1139=LINE('',#1138,#1137); +#1140=CARTESIAN_POINT('',(5.79E1,-1.89E1,-3.125E1)); +#1141=DIRECTION('',(0.E0,0.E0,1.E0)); +#1142=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1143=AXIS2_PLACEMENT_3D('',#1140,#1141,#1142); +#1145=CARTESIAN_POINT('',(5.79E1,-1.89E1,-3.125E1)); +#1146=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1147=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1148=AXIS2_PLACEMENT_3D('',#1145,#1146,#1147); +#1150=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1151=VECTOR('',#1150,2.3E0); +#1152=CARTESIAN_POINT('',(5.71E1,-1.12E1,-2.815E1)); +#1153=LINE('',#1152,#1151); +#1154=DIRECTION('',(-3.489949670250E-2,-9.993908270191E-1,0.E0)); +#1155=VECTOR('',#1154,9.705912579698E0); +#1156=CARTESIAN_POINT('',(5.895E1,-3.8E0,-2.815E1)); +#1157=LINE('',#1156,#1155); +#1158=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1159=VECTOR('',#1158,5.E-1); +#1160=CARTESIAN_POINT('',(5.985E1,-3.3E0,-2.815E1)); +#1161=LINE('',#1160,#1159); +#1162=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#1163=VECTOR('',#1162,1.385640646055E0); +#1164=CARTESIAN_POINT('',(5.915717967697E1,-2.1E0,-2.815E1)); +#1165=LINE('',#1164,#1163); +#1166=DIRECTION('',(1.E0,0.E0,0.E0)); +#1167=VECTOR('',#1166,1.658734780728E0); +#1168=CARTESIAN_POINT('',(5.749844489624E1,-2.1E0,-2.815E1)); +#1169=LINE('',#1168,#1167); +#1170=DIRECTION('',(3.489949670250E-2,9.993908270191E-1,0.E0)); +#1171=VECTOR('',#1170,8.139858078312E0); +#1172=CARTESIAN_POINT('',(5.721436794608E1,-1.023489949670E1,-2.815E1)); +#1173=LINE('',#1172,#1171); +#1174=CARTESIAN_POINT('',(5.621497711906E1,-1.02E1,-2.815E1)); +#1175=DIRECTION('',(0.E0,0.E0,1.E0)); +#1176=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1177=AXIS2_PLACEMENT_3D('',#1174,#1175,#1176); +#1179=CARTESIAN_POINT('',(-5.621497711906E1,-1.02E1,-2.815E1)); +#1180=DIRECTION('',(0.E0,0.E0,1.E0)); +#1181=DIRECTION('',(-9.993908270191E-1,-3.489949670250E-2,0.E0)); +#1182=AXIS2_PLACEMENT_3D('',#1179,#1180,#1181); +#1184=DIRECTION('',(3.489949670250E-2,-9.993908270191E-1,0.E0)); +#1185=VECTOR('',#1184,8.139858078312E0); +#1186=CARTESIAN_POINT('',(-5.749844489624E1,-2.1E0,-2.815E1)); +#1187=LINE('',#1186,#1185); +#1188=DIRECTION('',(1.E0,0.E0,0.E0)); +#1189=VECTOR('',#1188,1.658734780728E0); +#1190=CARTESIAN_POINT('',(-5.915717967697E1,-2.1E0,-2.815E1)); +#1191=LINE('',#1190,#1189); +#1192=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#1193=VECTOR('',#1192,1.385640646055E0); +#1194=CARTESIAN_POINT('',(-5.985E1,-3.3E0,-2.815E1)); +#1195=LINE('',#1194,#1193); +#1196=DIRECTION('',(0.E0,1.E0,0.E0)); +#1197=VECTOR('',#1196,5.E-1); +#1198=CARTESIAN_POINT('',(-5.985E1,-3.8E0,-2.815E1)); +#1199=LINE('',#1198,#1197); +#1200=DIRECTION('',(-3.489949670250E-2,9.993908270191E-1,0.E0)); +#1201=VECTOR('',#1200,9.705912579698E0); +#1202=CARTESIAN_POINT('',(-5.861126853593E1,-1.35E1,-2.815E1)); +#1203=LINE('',#1202,#1201); +#1204=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1205=VECTOR('',#1204,8.850228809372E-1); +#1206=CARTESIAN_POINT('',(5.71E1,-1.12E1,-2.815E1)); +#1207=LINE('',#1206,#1205); +#1208=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1209=VECTOR('',#1208,2.7E0); +#1210=CARTESIAN_POINT('',(5.621497711906E1,-1.12E1,-2.545E1)); +#1211=LINE('',#1210,#1209); +#1212=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1213=VECTOR('',#1212,8.850228809372E-1); +#1214=CARTESIAN_POINT('',(5.71E1,-1.12E1,-2.545E1)); +#1215=LINE('',#1214,#1213); +#1216=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1217=VECTOR('',#1216,2.E0); +#1218=CARTESIAN_POINT('',(5.71E1,-1.12E1,-2.345E1)); +#1219=LINE('',#1218,#1217); +#1220=DIRECTION('',(1.E0,0.E0,0.E0)); +#1221=VECTOR('',#1220,1.142E2); +#1222=CARTESIAN_POINT('',(-5.71E1,-1.12E1,-2.345E1)); +#1223=LINE('',#1222,#1221); +#1224=DIRECTION('',(0.E0,0.E0,1.E0)); +#1225=VECTOR('',#1224,2.E0); +#1226=CARTESIAN_POINT('',(-5.71E1,-1.12E1,-2.545E1)); +#1227=LINE('',#1226,#1225); +#1228=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1229=VECTOR('',#1228,8.850228809372E-1); +#1230=CARTESIAN_POINT('',(-5.621497711906E1,-1.12E1,-2.545E1)); +#1231=LINE('',#1230,#1229); +#1232=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1233=VECTOR('',#1232,2.7E0); +#1234=CARTESIAN_POINT('',(-5.621497711906E1,-1.12E1,-2.545E1)); +#1235=LINE('',#1234,#1233); +#1236=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1237=VECTOR('',#1236,8.850228809372E-1); +#1238=CARTESIAN_POINT('',(-5.621497711906E1,-1.12E1,-2.815E1)); +#1239=LINE('',#1238,#1237); +#1240=DIRECTION('',(0.E0,0.E0,1.E0)); +#1241=VECTOR('',#1240,1.4E0); +#1242=CARTESIAN_POINT('',(-5.71E1,-1.12E1,-2.955E1)); +#1243=LINE('',#1242,#1241); +#1244=DIRECTION('',(1.E0,0.E0,0.E0)); +#1245=VECTOR('',#1244,5.5E0); +#1246=CARTESIAN_POINT('',(-6.26E1,-1.12E1,-2.955E1)); +#1247=LINE('',#1246,#1245); +#1248=DIRECTION('',(0.E0,0.E0,1.E0)); +#1249=VECTOR('',#1248,3.4E0); +#1250=CARTESIAN_POINT('',(-6.26E1,-1.12E1,-3.295E1)); +#1251=LINE('',#1250,#1249); +#1252=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1253=VECTOR('',#1252,3.4E0); +#1254=CARTESIAN_POINT('',(-5.92E1,-1.12E1,-3.295E1)); +#1255=LINE('',#1254,#1253); +#1256=DIRECTION('',(0.E0,0.E0,1.E0)); +#1257=VECTOR('',#1256,2.E0); +#1258=CARTESIAN_POINT('',(-5.92E1,-1.12E1,-3.495E1)); +#1259=LINE('',#1258,#1257); +#1260=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1261=VECTOR('',#1260,1.27E1); +#1262=CARTESIAN_POINT('',(-4.65E1,-1.12E1,-3.495E1)); +#1263=LINE('',#1262,#1261); +#1264=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1265=VECTOR('',#1264,2.5E0); +#1266=CARTESIAN_POINT('',(-4.65E1,-1.12E1,-3.245E1)); +#1267=LINE('',#1266,#1265); +#1268=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1269=VECTOR('',#1268,1.032E2); +#1270=CARTESIAN_POINT('',(5.67E1,-1.12E1,-3.245E1)); +#1271=LINE('',#1270,#1269); +#1272=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#1273=VECTOR('',#1272,7.071067811866E-1); +#1274=CARTESIAN_POINT('',(5.72E1,-1.12E1,-3.295E1)); +#1275=LINE('',#1274,#1273); +#1276=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1277=VECTOR('',#1276,5.4E0); +#1278=CARTESIAN_POINT('',(6.26E1,-1.12E1,-3.295E1)); +#1279=LINE('',#1278,#1277); +#1280=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1281=VECTOR('',#1280,3.4E0); +#1282=CARTESIAN_POINT('',(6.26E1,-1.12E1,-2.955E1)); +#1283=LINE('',#1282,#1281); +#1284=DIRECTION('',(1.E0,0.E0,0.E0)); +#1285=VECTOR('',#1284,5.5E0); +#1286=CARTESIAN_POINT('',(5.71E1,-1.12E1,-2.955E1)); +#1287=LINE('',#1286,#1285); +#1288=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1289=VECTOR('',#1288,1.4E0); +#1290=CARTESIAN_POINT('',(5.71E1,-1.12E1,-2.815E1)); +#1291=LINE('',#1290,#1289); +#1292=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1293=VECTOR('',#1292,8.E-1); +#1294=CARTESIAN_POINT('',(-4.92E1,-1.12E1,-3.26925E1)); +#1295=LINE('',#1294,#1293); +#1296=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1297=VECTOR('',#1296,8.E-1); +#1298=CARTESIAN_POINT('',(-4.92E1,-1.12E1,-3.33075E1)); +#1299=LINE('',#1298,#1297); +#1300=DIRECTION('',(0.E0,0.E0,1.E0)); +#1301=VECTOR('',#1300,6.15E-1); +#1302=CARTESIAN_POINT('',(-5.E1,-1.12E1,-3.33075E1)); +#1303=LINE('',#1302,#1301); +#1304=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1305=VECTOR('',#1304,8.E-1); +#1306=CARTESIAN_POINT('',(-5.57E1,-1.12E1,-3.26925E1)); +#1307=LINE('',#1306,#1305); +#1308=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1309=VECTOR('',#1308,8.E-1); +#1310=CARTESIAN_POINT('',(-5.57E1,-1.12E1,-3.33075E1)); +#1311=LINE('',#1310,#1309); +#1312=DIRECTION('',(0.E0,0.E0,1.E0)); +#1313=VECTOR('',#1312,6.15E-1); +#1314=CARTESIAN_POINT('',(-5.65E1,-1.12E1,-3.33075E1)); +#1315=LINE('',#1314,#1313); +#1316=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1317=VECTOR('',#1316,8.E-1); +#1318=CARTESIAN_POINT('',(-4.595E1,-1.12E1,-2.51925E1)); +#1319=LINE('',#1318,#1317); +#1320=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1321=VECTOR('',#1320,8.E-1); +#1322=CARTESIAN_POINT('',(-4.595E1,-1.12E1,-2.58075E1)); +#1323=LINE('',#1322,#1321); +#1324=DIRECTION('',(0.E0,0.E0,1.E0)); +#1325=VECTOR('',#1324,6.15E-1); +#1326=CARTESIAN_POINT('',(-4.675E1,-1.12E1,-2.58075E1)); +#1327=LINE('',#1326,#1325); +#1328=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1329=VECTOR('',#1328,8.E-1); +#1330=CARTESIAN_POINT('',(-5.245E1,-1.12E1,-2.51925E1)); +#1331=LINE('',#1330,#1329); +#1332=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1333=VECTOR('',#1332,8.E-1); +#1334=CARTESIAN_POINT('',(-5.245E1,-1.12E1,-2.58075E1)); +#1335=LINE('',#1334,#1333); +#1336=DIRECTION('',(0.E0,0.E0,1.E0)); +#1337=VECTOR('',#1336,6.15E-1); +#1338=CARTESIAN_POINT('',(-5.325E1,-1.12E1,-2.58075E1)); +#1339=LINE('',#1338,#1337); +#1340=DIRECTION('',(1.E0,0.E0,0.E0)); +#1341=VECTOR('',#1340,6.E-1); +#1342=CARTESIAN_POINT('',(-4.115E1,-1.12E1,-3.0265E1)); +#1343=LINE('',#1342,#1341); +#1344=DIRECTION('',(1.E0,0.E0,0.E0)); +#1345=VECTOR('',#1344,6.E-1); +#1346=CARTESIAN_POINT('',(-4.115E1,-1.12E1,-3.0895E1)); +#1347=LINE('',#1346,#1345); +#1348=DIRECTION('',(0.E0,0.E0,1.E0)); +#1349=VECTOR('',#1348,6.3E-1); +#1350=CARTESIAN_POINT('',(-4.115E1,-1.12E1,-3.0895E1)); +#1351=LINE('',#1350,#1349); +#1352=DIRECTION('',(1.E0,0.E0,0.E0)); +#1353=VECTOR('',#1352,6.E-1); +#1354=CARTESIAN_POINT('',(-4.115E1,-1.12E1,-2.5185E1)); +#1355=LINE('',#1354,#1353); +#1356=DIRECTION('',(1.E0,0.E0,0.E0)); +#1357=VECTOR('',#1356,6.E-1); +#1358=CARTESIAN_POINT('',(-4.115E1,-1.12E1,-2.5815E1)); +#1359=LINE('',#1358,#1357); +#1360=DIRECTION('',(0.E0,0.E0,1.E0)); +#1361=VECTOR('',#1360,6.3E-1); +#1362=CARTESIAN_POINT('',(-4.115E1,-1.12E1,-2.5815E1)); +#1363=LINE('',#1362,#1361); +#1364=DIRECTION('',(1.E0,0.E0,0.E0)); +#1365=VECTOR('',#1364,6.E-1); +#1366=CARTESIAN_POINT('',(-3.9E1,-1.12E1,-2.5185E1)); +#1367=LINE('',#1366,#1365); +#1368=DIRECTION('',(1.E0,0.E0,0.E0)); +#1369=VECTOR('',#1368,6.E-1); +#1370=CARTESIAN_POINT('',(-3.9E1,-1.12E1,-2.5815E1)); +#1371=LINE('',#1370,#1369); +#1372=DIRECTION('',(0.E0,0.E0,1.E0)); +#1373=VECTOR('',#1372,6.3E-1); +#1374=CARTESIAN_POINT('',(-3.9E1,-1.12E1,-2.5815E1)); +#1375=LINE('',#1374,#1373); +#1376=DIRECTION('',(1.E0,0.E0,0.E0)); +#1377=VECTOR('',#1376,6.E-1); +#1378=CARTESIAN_POINT('',(-3.9E1,-1.12E1,-2.7725E1)); +#1379=LINE('',#1378,#1377); +#1380=DIRECTION('',(1.E0,0.E0,0.E0)); +#1381=VECTOR('',#1380,6.E-1); +#1382=CARTESIAN_POINT('',(-3.9E1,-1.12E1,-2.8355E1)); +#1383=LINE('',#1382,#1381); +#1384=DIRECTION('',(0.E0,0.E0,1.E0)); +#1385=VECTOR('',#1384,6.3E-1); +#1386=CARTESIAN_POINT('',(-3.9E1,-1.12E1,-2.8355E1)); +#1387=LINE('',#1386,#1385); +#1388=DIRECTION('',(1.E0,0.E0,0.E0)); +#1389=VECTOR('',#1388,6.E-1); +#1390=CARTESIAN_POINT('',(-3.685E1,-1.12E1,-3.0265E1)); +#1391=LINE('',#1390,#1389); +#1392=DIRECTION('',(1.E0,0.E0,0.E0)); +#1393=VECTOR('',#1392,6.E-1); +#1394=CARTESIAN_POINT('',(-3.685E1,-1.12E1,-3.0895E1)); +#1395=LINE('',#1394,#1393); +#1396=DIRECTION('',(0.E0,0.E0,1.E0)); +#1397=VECTOR('',#1396,6.3E-1); +#1398=CARTESIAN_POINT('',(-3.685E1,-1.12E1,-3.0895E1)); +#1399=LINE('',#1398,#1397); +#1400=DIRECTION('',(1.E0,0.E0,0.E0)); +#1401=VECTOR('',#1400,6.E-1); +#1402=CARTESIAN_POINT('',(-3.685E1,-1.12E1,-2.5185E1)); +#1403=LINE('',#1402,#1401); +#1404=DIRECTION('',(1.E0,0.E0,0.E0)); +#1405=VECTOR('',#1404,6.E-1); +#1406=CARTESIAN_POINT('',(-3.685E1,-1.12E1,-2.5815E1)); +#1407=LINE('',#1406,#1405); +#1408=DIRECTION('',(0.E0,0.E0,1.E0)); +#1409=VECTOR('',#1408,6.3E-1); +#1410=CARTESIAN_POINT('',(-3.685E1,-1.12E1,-2.5815E1)); +#1411=LINE('',#1410,#1409); +#1412=DIRECTION('',(1.E0,0.E0,0.E0)); +#1413=VECTOR('',#1412,6.E-1); +#1414=CARTESIAN_POINT('',(-3.47E1,-1.12E1,-2.5185E1)); +#1415=LINE('',#1414,#1413); +#1416=DIRECTION('',(1.E0,0.E0,0.E0)); +#1417=VECTOR('',#1416,6.E-1); +#1418=CARTESIAN_POINT('',(-3.47E1,-1.12E1,-2.5815E1)); +#1419=LINE('',#1418,#1417); +#1420=DIRECTION('',(0.E0,0.E0,1.E0)); +#1421=VECTOR('',#1420,6.3E-1); +#1422=CARTESIAN_POINT('',(-3.47E1,-1.12E1,-2.5815E1)); +#1423=LINE('',#1422,#1421); +#1424=DIRECTION('',(1.E0,0.E0,0.E0)); +#1425=VECTOR('',#1424,6.E-1); +#1426=CARTESIAN_POINT('',(-3.47E1,-1.12E1,-2.7725E1)); +#1427=LINE('',#1426,#1425); +#1428=DIRECTION('',(1.E0,0.E0,0.E0)); +#1429=VECTOR('',#1428,6.E-1); +#1430=CARTESIAN_POINT('',(-3.47E1,-1.12E1,-2.8355E1)); +#1431=LINE('',#1430,#1429); +#1432=DIRECTION('',(0.E0,0.E0,1.E0)); +#1433=VECTOR('',#1432,6.3E-1); +#1434=CARTESIAN_POINT('',(-3.47E1,-1.12E1,-2.8355E1)); +#1435=LINE('',#1434,#1433); +#1436=DIRECTION('',(1.E0,0.E0,0.E0)); +#1437=VECTOR('',#1436,6.E-1); +#1438=CARTESIAN_POINT('',(-3.255E1,-1.12E1,-3.0265E1)); +#1439=LINE('',#1438,#1437); +#1440=DIRECTION('',(1.E0,0.E0,0.E0)); +#1441=VECTOR('',#1440,6.E-1); +#1442=CARTESIAN_POINT('',(-3.255E1,-1.12E1,-3.0895E1)); +#1443=LINE('',#1442,#1441); +#1444=DIRECTION('',(0.E0,0.E0,1.E0)); +#1445=VECTOR('',#1444,6.3E-1); +#1446=CARTESIAN_POINT('',(-3.255E1,-1.12E1,-3.0895E1)); +#1447=LINE('',#1446,#1445); +#1448=DIRECTION('',(1.E0,0.E0,0.E0)); +#1449=VECTOR('',#1448,6.E-1); +#1450=CARTESIAN_POINT('',(-3.255E1,-1.12E1,-2.5185E1)); +#1451=LINE('',#1450,#1449); +#1452=DIRECTION('',(1.E0,0.E0,0.E0)); +#1453=VECTOR('',#1452,6.E-1); +#1454=CARTESIAN_POINT('',(-3.255E1,-1.12E1,-2.5815E1)); +#1455=LINE('',#1454,#1453); +#1456=DIRECTION('',(0.E0,0.E0,1.E0)); +#1457=VECTOR('',#1456,6.3E-1); +#1458=CARTESIAN_POINT('',(-3.255E1,-1.12E1,-2.5815E1)); +#1459=LINE('',#1458,#1457); +#1460=DIRECTION('',(1.E0,0.E0,0.E0)); +#1461=VECTOR('',#1460,6.E-1); +#1462=CARTESIAN_POINT('',(-3.04E1,-1.12E1,-2.5185E1)); +#1463=LINE('',#1462,#1461); +#1464=DIRECTION('',(1.E0,0.E0,0.E0)); +#1465=VECTOR('',#1464,6.E-1); +#1466=CARTESIAN_POINT('',(-3.04E1,-1.12E1,-2.5815E1)); +#1467=LINE('',#1466,#1465); +#1468=DIRECTION('',(0.E0,0.E0,1.E0)); +#1469=VECTOR('',#1468,6.3E-1); +#1470=CARTESIAN_POINT('',(-3.04E1,-1.12E1,-2.5815E1)); +#1471=LINE('',#1470,#1469); +#1472=DIRECTION('',(1.E0,0.E0,0.E0)); +#1473=VECTOR('',#1472,6.E-1); +#1474=CARTESIAN_POINT('',(-3.04E1,-1.12E1,-2.7725E1)); +#1475=LINE('',#1474,#1473); +#1476=DIRECTION('',(1.E0,0.E0,0.E0)); +#1477=VECTOR('',#1476,6.E-1); +#1478=CARTESIAN_POINT('',(-3.04E1,-1.12E1,-2.8355E1)); +#1479=LINE('',#1478,#1477); +#1480=DIRECTION('',(0.E0,0.E0,1.E0)); +#1481=VECTOR('',#1480,6.3E-1); +#1482=CARTESIAN_POINT('',(-3.04E1,-1.12E1,-2.8355E1)); +#1483=LINE('',#1482,#1481); +#1484=DIRECTION('',(1.E0,0.E0,0.E0)); +#1485=VECTOR('',#1484,6.E-1); +#1486=CARTESIAN_POINT('',(-2.825E1,-1.12E1,-3.0265E1)); +#1487=LINE('',#1486,#1485); +#1488=DIRECTION('',(1.E0,0.E0,0.E0)); +#1489=VECTOR('',#1488,6.E-1); +#1490=CARTESIAN_POINT('',(-2.825E1,-1.12E1,-3.0895E1)); +#1491=LINE('',#1490,#1489); +#1492=DIRECTION('',(0.E0,0.E0,1.E0)); +#1493=VECTOR('',#1492,6.3E-1); +#1494=CARTESIAN_POINT('',(-2.825E1,-1.12E1,-3.0895E1)); +#1495=LINE('',#1494,#1493); +#1496=DIRECTION('',(1.E0,0.E0,0.E0)); +#1497=VECTOR('',#1496,6.E-1); +#1498=CARTESIAN_POINT('',(-2.825E1,-1.12E1,-2.5185E1)); +#1499=LINE('',#1498,#1497); +#1500=DIRECTION('',(1.E0,0.E0,0.E0)); +#1501=VECTOR('',#1500,6.E-1); +#1502=CARTESIAN_POINT('',(-2.825E1,-1.12E1,-2.5815E1)); +#1503=LINE('',#1502,#1501); +#1504=DIRECTION('',(0.E0,0.E0,1.E0)); +#1505=VECTOR('',#1504,6.3E-1); +#1506=CARTESIAN_POINT('',(-2.825E1,-1.12E1,-2.5815E1)); +#1507=LINE('',#1506,#1505); +#1508=DIRECTION('',(1.E0,0.E0,0.E0)); +#1509=VECTOR('',#1508,6.E-1); +#1510=CARTESIAN_POINT('',(-2.61E1,-1.12E1,-2.5185E1)); +#1511=LINE('',#1510,#1509); +#1512=DIRECTION('',(1.E0,0.E0,0.E0)); +#1513=VECTOR('',#1512,6.E-1); +#1514=CARTESIAN_POINT('',(-2.61E1,-1.12E1,-2.5815E1)); +#1515=LINE('',#1514,#1513); +#1516=DIRECTION('',(0.E0,0.E0,1.E0)); +#1517=VECTOR('',#1516,6.3E-1); +#1518=CARTESIAN_POINT('',(-2.61E1,-1.12E1,-2.5815E1)); +#1519=LINE('',#1518,#1517); +#1520=DIRECTION('',(1.E0,0.E0,0.E0)); +#1521=VECTOR('',#1520,6.E-1); +#1522=CARTESIAN_POINT('',(-2.61E1,-1.12E1,-2.7725E1)); +#1523=LINE('',#1522,#1521); +#1524=DIRECTION('',(1.E0,0.E0,0.E0)); +#1525=VECTOR('',#1524,6.E-1); +#1526=CARTESIAN_POINT('',(-2.61E1,-1.12E1,-2.8355E1)); +#1527=LINE('',#1526,#1525); +#1528=DIRECTION('',(0.E0,0.E0,1.E0)); +#1529=VECTOR('',#1528,6.3E-1); +#1530=CARTESIAN_POINT('',(-2.61E1,-1.12E1,-2.8355E1)); +#1531=LINE('',#1530,#1529); +#1532=DIRECTION('',(1.E0,0.E0,0.E0)); +#1533=VECTOR('',#1532,6.E-1); +#1534=CARTESIAN_POINT('',(-2.395E1,-1.12E1,-3.0265E1)); +#1535=LINE('',#1534,#1533); +#1536=DIRECTION('',(1.E0,0.E0,0.E0)); +#1537=VECTOR('',#1536,6.E-1); +#1538=CARTESIAN_POINT('',(-2.395E1,-1.12E1,-3.0895E1)); +#1539=LINE('',#1538,#1537); +#1540=DIRECTION('',(0.E0,0.E0,1.E0)); +#1541=VECTOR('',#1540,6.3E-1); +#1542=CARTESIAN_POINT('',(-2.395E1,-1.12E1,-3.0895E1)); +#1543=LINE('',#1542,#1541); +#1544=DIRECTION('',(1.E0,0.E0,0.E0)); +#1545=VECTOR('',#1544,6.E-1); +#1546=CARTESIAN_POINT('',(-2.395E1,-1.12E1,-2.5185E1)); +#1547=LINE('',#1546,#1545); +#1548=DIRECTION('',(1.E0,0.E0,0.E0)); +#1549=VECTOR('',#1548,6.E-1); +#1550=CARTESIAN_POINT('',(-2.395E1,-1.12E1,-2.5815E1)); +#1551=LINE('',#1550,#1549); +#1552=DIRECTION('',(0.E0,0.E0,1.E0)); +#1553=VECTOR('',#1552,6.3E-1); +#1554=CARTESIAN_POINT('',(-2.395E1,-1.12E1,-2.5815E1)); +#1555=LINE('',#1554,#1553); +#1556=DIRECTION('',(1.E0,0.E0,0.E0)); +#1557=VECTOR('',#1556,6.E-1); +#1558=CARTESIAN_POINT('',(-2.18E1,-1.12E1,-2.5185E1)); +#1559=LINE('',#1558,#1557); +#1560=DIRECTION('',(1.E0,0.E0,0.E0)); +#1561=VECTOR('',#1560,6.E-1); +#1562=CARTESIAN_POINT('',(-2.18E1,-1.12E1,-2.5815E1)); +#1563=LINE('',#1562,#1561); +#1564=DIRECTION('',(0.E0,0.E0,1.E0)); +#1565=VECTOR('',#1564,6.3E-1); +#1566=CARTESIAN_POINT('',(-2.18E1,-1.12E1,-2.5815E1)); +#1567=LINE('',#1566,#1565); +#1568=DIRECTION('',(1.E0,0.E0,0.E0)); +#1569=VECTOR('',#1568,6.E-1); +#1570=CARTESIAN_POINT('',(-2.18E1,-1.12E1,-2.7725E1)); +#1571=LINE('',#1570,#1569); +#1572=DIRECTION('',(1.E0,0.E0,0.E0)); +#1573=VECTOR('',#1572,6.E-1); +#1574=CARTESIAN_POINT('',(-2.18E1,-1.12E1,-2.8355E1)); +#1575=LINE('',#1574,#1573); +#1576=DIRECTION('',(0.E0,0.E0,1.E0)); +#1577=VECTOR('',#1576,6.3E-1); +#1578=CARTESIAN_POINT('',(-2.18E1,-1.12E1,-2.8355E1)); +#1579=LINE('',#1578,#1577); +#1580=DIRECTION('',(1.E0,0.E0,0.E0)); +#1581=VECTOR('',#1580,6.E-1); +#1582=CARTESIAN_POINT('',(-1.965E1,-1.12E1,-3.0265E1)); +#1583=LINE('',#1582,#1581); +#1584=DIRECTION('',(1.E0,0.E0,0.E0)); +#1585=VECTOR('',#1584,6.E-1); +#1586=CARTESIAN_POINT('',(-1.965E1,-1.12E1,-3.0895E1)); +#1587=LINE('',#1586,#1585); +#1588=DIRECTION('',(0.E0,0.E0,1.E0)); +#1589=VECTOR('',#1588,6.3E-1); +#1590=CARTESIAN_POINT('',(-1.965E1,-1.12E1,-3.0895E1)); +#1591=LINE('',#1590,#1589); +#1592=DIRECTION('',(1.E0,0.E0,0.E0)); +#1593=VECTOR('',#1592,6.E-1); +#1594=CARTESIAN_POINT('',(-1.965E1,-1.12E1,-2.5185E1)); +#1595=LINE('',#1594,#1593); +#1596=DIRECTION('',(1.E0,0.E0,0.E0)); +#1597=VECTOR('',#1596,6.E-1); +#1598=CARTESIAN_POINT('',(-1.965E1,-1.12E1,-2.5815E1)); +#1599=LINE('',#1598,#1597); +#1600=DIRECTION('',(0.E0,0.E0,1.E0)); +#1601=VECTOR('',#1600,6.3E-1); +#1602=CARTESIAN_POINT('',(-1.965E1,-1.12E1,-2.5815E1)); +#1603=LINE('',#1602,#1601); +#1604=DIRECTION('',(1.E0,0.E0,0.E0)); +#1605=VECTOR('',#1604,6.E-1); +#1606=CARTESIAN_POINT('',(-1.75E1,-1.12E1,-2.5185E1)); +#1607=LINE('',#1606,#1605); +#1608=DIRECTION('',(1.E0,0.E0,0.E0)); +#1609=VECTOR('',#1608,6.E-1); +#1610=CARTESIAN_POINT('',(-1.75E1,-1.12E1,-2.5815E1)); +#1611=LINE('',#1610,#1609); +#1612=DIRECTION('',(0.E0,0.E0,1.E0)); +#1613=VECTOR('',#1612,6.3E-1); +#1614=CARTESIAN_POINT('',(-1.75E1,-1.12E1,-2.5815E1)); +#1615=LINE('',#1614,#1613); +#1616=DIRECTION('',(1.E0,0.E0,0.E0)); +#1617=VECTOR('',#1616,6.E-1); +#1618=CARTESIAN_POINT('',(-1.75E1,-1.12E1,-2.7725E1)); +#1619=LINE('',#1618,#1617); +#1620=DIRECTION('',(1.E0,0.E0,0.E0)); +#1621=VECTOR('',#1620,6.E-1); +#1622=CARTESIAN_POINT('',(-1.75E1,-1.12E1,-2.8355E1)); +#1623=LINE('',#1622,#1621); +#1624=DIRECTION('',(0.E0,0.E0,1.E0)); +#1625=VECTOR('',#1624,6.3E-1); +#1626=CARTESIAN_POINT('',(-1.75E1,-1.12E1,-2.8355E1)); +#1627=LINE('',#1626,#1625); +#1628=DIRECTION('',(1.E0,0.E0,0.E0)); +#1629=VECTOR('',#1628,6.E-1); +#1630=CARTESIAN_POINT('',(-1.535E1,-1.12E1,-3.0265E1)); +#1631=LINE('',#1630,#1629); +#1632=DIRECTION('',(1.E0,0.E0,0.E0)); +#1633=VECTOR('',#1632,6.E-1); +#1634=CARTESIAN_POINT('',(-1.535E1,-1.12E1,-3.0895E1)); +#1635=LINE('',#1634,#1633); +#1636=DIRECTION('',(0.E0,0.E0,1.E0)); +#1637=VECTOR('',#1636,6.3E-1); +#1638=CARTESIAN_POINT('',(-1.535E1,-1.12E1,-3.0895E1)); +#1639=LINE('',#1638,#1637); +#1640=DIRECTION('',(1.E0,0.E0,0.E0)); +#1641=VECTOR('',#1640,6.E-1); +#1642=CARTESIAN_POINT('',(-1.535E1,-1.12E1,-2.5185E1)); +#1643=LINE('',#1642,#1641); +#1644=DIRECTION('',(1.E0,0.E0,0.E0)); +#1645=VECTOR('',#1644,6.E-1); +#1646=CARTESIAN_POINT('',(-1.535E1,-1.12E1,-2.5815E1)); +#1647=LINE('',#1646,#1645); +#1648=DIRECTION('',(0.E0,0.E0,1.E0)); +#1649=VECTOR('',#1648,6.3E-1); +#1650=CARTESIAN_POINT('',(-1.535E1,-1.12E1,-2.5815E1)); +#1651=LINE('',#1650,#1649); +#1652=DIRECTION('',(1.E0,0.E0,0.E0)); +#1653=VECTOR('',#1652,6.E-1); +#1654=CARTESIAN_POINT('',(-1.32E1,-1.12E1,-2.5185E1)); +#1655=LINE('',#1654,#1653); +#1656=DIRECTION('',(1.E0,0.E0,0.E0)); +#1657=VECTOR('',#1656,6.E-1); +#1658=CARTESIAN_POINT('',(-1.32E1,-1.12E1,-2.5815E1)); +#1659=LINE('',#1658,#1657); +#1660=DIRECTION('',(0.E0,0.E0,1.E0)); +#1661=VECTOR('',#1660,6.3E-1); +#1662=CARTESIAN_POINT('',(-1.32E1,-1.12E1,-2.5815E1)); +#1663=LINE('',#1662,#1661); +#1664=DIRECTION('',(1.E0,0.E0,0.E0)); +#1665=VECTOR('',#1664,6.E-1); +#1666=CARTESIAN_POINT('',(-1.32E1,-1.12E1,-2.7725E1)); +#1667=LINE('',#1666,#1665); +#1668=DIRECTION('',(1.E0,0.E0,0.E0)); +#1669=VECTOR('',#1668,6.E-1); +#1670=CARTESIAN_POINT('',(-1.32E1,-1.12E1,-2.8355E1)); +#1671=LINE('',#1670,#1669); +#1672=DIRECTION('',(0.E0,0.E0,1.E0)); +#1673=VECTOR('',#1672,6.3E-1); +#1674=CARTESIAN_POINT('',(-1.32E1,-1.12E1,-2.8355E1)); +#1675=LINE('',#1674,#1673); +#1676=DIRECTION('',(1.E0,0.E0,0.E0)); +#1677=VECTOR('',#1676,6.E-1); +#1678=CARTESIAN_POINT('',(-1.105E1,-1.12E1,-3.0265E1)); +#1679=LINE('',#1678,#1677); +#1680=DIRECTION('',(1.E0,0.E0,0.E0)); +#1681=VECTOR('',#1680,6.E-1); +#1682=CARTESIAN_POINT('',(-1.105E1,-1.12E1,-3.0895E1)); +#1683=LINE('',#1682,#1681); +#1684=DIRECTION('',(0.E0,0.E0,1.E0)); +#1685=VECTOR('',#1684,6.3E-1); +#1686=CARTESIAN_POINT('',(-1.105E1,-1.12E1,-3.0895E1)); +#1687=LINE('',#1686,#1685); +#1688=DIRECTION('',(1.E0,0.E0,0.E0)); +#1689=VECTOR('',#1688,6.E-1); +#1690=CARTESIAN_POINT('',(-1.105E1,-1.12E1,-2.5185E1)); +#1691=LINE('',#1690,#1689); +#1692=DIRECTION('',(1.E0,0.E0,0.E0)); +#1693=VECTOR('',#1692,6.E-1); +#1694=CARTESIAN_POINT('',(-1.105E1,-1.12E1,-2.5815E1)); +#1695=LINE('',#1694,#1693); +#1696=DIRECTION('',(0.E0,0.E0,1.E0)); +#1697=VECTOR('',#1696,6.3E-1); +#1698=CARTESIAN_POINT('',(-1.105E1,-1.12E1,-2.5815E1)); +#1699=LINE('',#1698,#1697); +#1700=DIRECTION('',(1.E0,0.E0,0.E0)); +#1701=VECTOR('',#1700,6.E-1); +#1702=CARTESIAN_POINT('',(-8.9E0,-1.12E1,-2.5185E1)); +#1703=LINE('',#1702,#1701); +#1704=DIRECTION('',(1.E0,0.E0,0.E0)); +#1705=VECTOR('',#1704,6.E-1); +#1706=CARTESIAN_POINT('',(-8.9E0,-1.12E1,-2.5815E1)); +#1707=LINE('',#1706,#1705); +#1708=DIRECTION('',(0.E0,0.E0,1.E0)); +#1709=VECTOR('',#1708,6.3E-1); +#1710=CARTESIAN_POINT('',(-8.9E0,-1.12E1,-2.5815E1)); +#1711=LINE('',#1710,#1709); +#1712=DIRECTION('',(1.E0,0.E0,0.E0)); +#1713=VECTOR('',#1712,6.E-1); +#1714=CARTESIAN_POINT('',(-8.9E0,-1.12E1,-2.7725E1)); +#1715=LINE('',#1714,#1713); +#1716=DIRECTION('',(1.E0,0.E0,0.E0)); +#1717=VECTOR('',#1716,6.E-1); +#1718=CARTESIAN_POINT('',(-8.9E0,-1.12E1,-2.8355E1)); +#1719=LINE('',#1718,#1717); +#1720=DIRECTION('',(0.E0,0.E0,1.E0)); +#1721=VECTOR('',#1720,6.3E-1); +#1722=CARTESIAN_POINT('',(-8.9E0,-1.12E1,-2.8355E1)); +#1723=LINE('',#1722,#1721); +#1724=DIRECTION('',(1.E0,0.E0,0.E0)); +#1725=VECTOR('',#1724,6.E-1); +#1726=CARTESIAN_POINT('',(-6.75E0,-1.12E1,-3.0265E1)); +#1727=LINE('',#1726,#1725); +#1728=DIRECTION('',(1.E0,0.E0,0.E0)); +#1729=VECTOR('',#1728,6.E-1); +#1730=CARTESIAN_POINT('',(-6.75E0,-1.12E1,-3.0895E1)); +#1731=LINE('',#1730,#1729); +#1732=DIRECTION('',(0.E0,0.E0,1.E0)); +#1733=VECTOR('',#1732,6.3E-1); +#1734=CARTESIAN_POINT('',(-6.75E0,-1.12E1,-3.0895E1)); +#1735=LINE('',#1734,#1733); +#1736=DIRECTION('',(1.E0,0.E0,0.E0)); +#1737=VECTOR('',#1736,6.E-1); +#1738=CARTESIAN_POINT('',(-6.75E0,-1.12E1,-2.5185E1)); +#1739=LINE('',#1738,#1737); +#1740=DIRECTION('',(1.E0,0.E0,0.E0)); +#1741=VECTOR('',#1740,6.E-1); +#1742=CARTESIAN_POINT('',(-6.75E0,-1.12E1,-2.5815E1)); +#1743=LINE('',#1742,#1741); +#1744=DIRECTION('',(0.E0,0.E0,1.E0)); +#1745=VECTOR('',#1744,6.3E-1); +#1746=CARTESIAN_POINT('',(-6.75E0,-1.12E1,-2.5815E1)); +#1747=LINE('',#1746,#1745); +#1748=DIRECTION('',(1.E0,0.E0,0.E0)); +#1749=VECTOR('',#1748,6.E-1); +#1750=CARTESIAN_POINT('',(-4.6E0,-1.12E1,-2.5185E1)); +#1751=LINE('',#1750,#1749); +#1752=DIRECTION('',(1.E0,0.E0,0.E0)); +#1753=VECTOR('',#1752,6.E-1); +#1754=CARTESIAN_POINT('',(-4.6E0,-1.12E1,-2.5815E1)); +#1755=LINE('',#1754,#1753); +#1756=DIRECTION('',(0.E0,0.E0,1.E0)); +#1757=VECTOR('',#1756,6.3E-1); +#1758=CARTESIAN_POINT('',(-4.6E0,-1.12E1,-2.5815E1)); +#1759=LINE('',#1758,#1757); +#1760=DIRECTION('',(1.E0,0.E0,0.E0)); +#1761=VECTOR('',#1760,6.E-1); +#1762=CARTESIAN_POINT('',(-4.6E0,-1.12E1,-2.7725E1)); +#1763=LINE('',#1762,#1761); +#1764=DIRECTION('',(1.E0,0.E0,0.E0)); +#1765=VECTOR('',#1764,6.E-1); +#1766=CARTESIAN_POINT('',(-4.6E0,-1.12E1,-2.8355E1)); +#1767=LINE('',#1766,#1765); +#1768=DIRECTION('',(0.E0,0.E0,1.E0)); +#1769=VECTOR('',#1768,6.3E-1); +#1770=CARTESIAN_POINT('',(-4.6E0,-1.12E1,-2.8355E1)); +#1771=LINE('',#1770,#1769); +#1772=DIRECTION('',(1.E0,0.E0,0.E0)); +#1773=VECTOR('',#1772,6.E-1); +#1774=CARTESIAN_POINT('',(-2.45E0,-1.12E1,-3.0265E1)); +#1775=LINE('',#1774,#1773); +#1776=DIRECTION('',(1.E0,0.E0,0.E0)); +#1777=VECTOR('',#1776,6.E-1); +#1778=CARTESIAN_POINT('',(-2.45E0,-1.12E1,-3.0895E1)); +#1779=LINE('',#1778,#1777); +#1780=DIRECTION('',(0.E0,0.E0,1.E0)); +#1781=VECTOR('',#1780,6.3E-1); +#1782=CARTESIAN_POINT('',(-2.45E0,-1.12E1,-3.0895E1)); +#1783=LINE('',#1782,#1781); +#1784=DIRECTION('',(1.E0,0.E0,0.E0)); +#1785=VECTOR('',#1784,6.E-1); +#1786=CARTESIAN_POINT('',(-2.45E0,-1.12E1,-2.5185E1)); +#1787=LINE('',#1786,#1785); +#1788=DIRECTION('',(1.E0,0.E0,0.E0)); +#1789=VECTOR('',#1788,6.E-1); +#1790=CARTESIAN_POINT('',(-2.45E0,-1.12E1,-2.5815E1)); +#1791=LINE('',#1790,#1789); +#1792=DIRECTION('',(0.E0,0.E0,1.E0)); +#1793=VECTOR('',#1792,6.3E-1); +#1794=CARTESIAN_POINT('',(-2.45E0,-1.12E1,-2.5815E1)); +#1795=LINE('',#1794,#1793); +#1796=DIRECTION('',(1.E0,0.E0,0.E0)); +#1797=VECTOR('',#1796,6.E-1); +#1798=CARTESIAN_POINT('',(-3.E-1,-1.12E1,-2.5185E1)); +#1799=LINE('',#1798,#1797); +#1800=DIRECTION('',(1.E0,0.E0,0.E0)); +#1801=VECTOR('',#1800,6.E-1); +#1802=CARTESIAN_POINT('',(-3.E-1,-1.12E1,-2.5815E1)); +#1803=LINE('',#1802,#1801); +#1804=DIRECTION('',(0.E0,0.E0,1.E0)); +#1805=VECTOR('',#1804,6.3E-1); +#1806=CARTESIAN_POINT('',(-3.E-1,-1.12E1,-2.5815E1)); +#1807=LINE('',#1806,#1805); +#1808=DIRECTION('',(1.E0,0.E0,0.E0)); +#1809=VECTOR('',#1808,6.E-1); +#1810=CARTESIAN_POINT('',(-3.E-1,-1.12E1,-2.7725E1)); +#1811=LINE('',#1810,#1809); +#1812=DIRECTION('',(1.E0,0.E0,0.E0)); +#1813=VECTOR('',#1812,6.E-1); +#1814=CARTESIAN_POINT('',(-3.E-1,-1.12E1,-2.8355E1)); +#1815=LINE('',#1814,#1813); +#1816=DIRECTION('',(0.E0,0.E0,1.E0)); +#1817=VECTOR('',#1816,6.3E-1); +#1818=CARTESIAN_POINT('',(-3.E-1,-1.12E1,-2.8355E1)); +#1819=LINE('',#1818,#1817); +#1820=DIRECTION('',(1.E0,0.E0,0.E0)); +#1821=VECTOR('',#1820,6.E-1); +#1822=CARTESIAN_POINT('',(1.85E0,-1.12E1,-3.0265E1)); +#1823=LINE('',#1822,#1821); +#1824=DIRECTION('',(1.E0,0.E0,0.E0)); +#1825=VECTOR('',#1824,6.E-1); +#1826=CARTESIAN_POINT('',(1.85E0,-1.12E1,-3.0895E1)); +#1827=LINE('',#1826,#1825); +#1828=DIRECTION('',(0.E0,0.E0,1.E0)); +#1829=VECTOR('',#1828,6.3E-1); +#1830=CARTESIAN_POINT('',(1.85E0,-1.12E1,-3.0895E1)); +#1831=LINE('',#1830,#1829); +#1832=DIRECTION('',(1.E0,0.E0,0.E0)); +#1833=VECTOR('',#1832,6.E-1); +#1834=CARTESIAN_POINT('',(1.85E0,-1.12E1,-2.5185E1)); +#1835=LINE('',#1834,#1833); +#1836=DIRECTION('',(1.E0,0.E0,0.E0)); +#1837=VECTOR('',#1836,6.E-1); +#1838=CARTESIAN_POINT('',(1.85E0,-1.12E1,-2.5815E1)); +#1839=LINE('',#1838,#1837); +#1840=DIRECTION('',(0.E0,0.E0,1.E0)); +#1841=VECTOR('',#1840,6.3E-1); +#1842=CARTESIAN_POINT('',(1.85E0,-1.12E1,-2.5815E1)); +#1843=LINE('',#1842,#1841); +#1844=DIRECTION('',(1.E0,0.E0,0.E0)); +#1845=VECTOR('',#1844,6.E-1); +#1846=CARTESIAN_POINT('',(4.E0,-1.12E1,-2.5185E1)); +#1847=LINE('',#1846,#1845); +#1848=DIRECTION('',(1.E0,0.E0,0.E0)); +#1849=VECTOR('',#1848,6.E-1); +#1850=CARTESIAN_POINT('',(4.E0,-1.12E1,-2.5815E1)); +#1851=LINE('',#1850,#1849); +#1852=DIRECTION('',(0.E0,0.E0,1.E0)); +#1853=VECTOR('',#1852,6.3E-1); +#1854=CARTESIAN_POINT('',(4.E0,-1.12E1,-2.5815E1)); +#1855=LINE('',#1854,#1853); +#1856=DIRECTION('',(1.E0,0.E0,0.E0)); +#1857=VECTOR('',#1856,6.E-1); +#1858=CARTESIAN_POINT('',(4.E0,-1.12E1,-2.7725E1)); +#1859=LINE('',#1858,#1857); +#1860=DIRECTION('',(1.E0,0.E0,0.E0)); +#1861=VECTOR('',#1860,6.E-1); +#1862=CARTESIAN_POINT('',(4.E0,-1.12E1,-2.8355E1)); +#1863=LINE('',#1862,#1861); +#1864=DIRECTION('',(0.E0,0.E0,1.E0)); +#1865=VECTOR('',#1864,6.3E-1); +#1866=CARTESIAN_POINT('',(4.E0,-1.12E1,-2.8355E1)); +#1867=LINE('',#1866,#1865); +#1868=DIRECTION('',(1.E0,0.E0,0.E0)); +#1869=VECTOR('',#1868,6.E-1); +#1870=CARTESIAN_POINT('',(6.15E0,-1.12E1,-3.0265E1)); +#1871=LINE('',#1870,#1869); +#1872=DIRECTION('',(1.E0,0.E0,0.E0)); +#1873=VECTOR('',#1872,6.E-1); +#1874=CARTESIAN_POINT('',(6.15E0,-1.12E1,-3.0895E1)); +#1875=LINE('',#1874,#1873); +#1876=DIRECTION('',(0.E0,0.E0,1.E0)); +#1877=VECTOR('',#1876,6.3E-1); +#1878=CARTESIAN_POINT('',(6.15E0,-1.12E1,-3.0895E1)); +#1879=LINE('',#1878,#1877); +#1880=DIRECTION('',(1.E0,0.E0,0.E0)); +#1881=VECTOR('',#1880,6.E-1); +#1882=CARTESIAN_POINT('',(6.15E0,-1.12E1,-2.5185E1)); +#1883=LINE('',#1882,#1881); +#1884=DIRECTION('',(1.E0,0.E0,0.E0)); +#1885=VECTOR('',#1884,6.E-1); +#1886=CARTESIAN_POINT('',(6.15E0,-1.12E1,-2.5815E1)); +#1887=LINE('',#1886,#1885); +#1888=DIRECTION('',(0.E0,0.E0,1.E0)); +#1889=VECTOR('',#1888,6.3E-1); +#1890=CARTESIAN_POINT('',(6.15E0,-1.12E1,-2.5815E1)); +#1891=LINE('',#1890,#1889); +#1892=DIRECTION('',(1.E0,0.E0,0.E0)); +#1893=VECTOR('',#1892,6.E-1); +#1894=CARTESIAN_POINT('',(8.3E0,-1.12E1,-2.5185E1)); +#1895=LINE('',#1894,#1893); +#1896=DIRECTION('',(1.E0,0.E0,0.E0)); +#1897=VECTOR('',#1896,6.E-1); +#1898=CARTESIAN_POINT('',(8.3E0,-1.12E1,-2.5815E1)); +#1899=LINE('',#1898,#1897); +#1900=DIRECTION('',(0.E0,0.E0,1.E0)); +#1901=VECTOR('',#1900,6.3E-1); +#1902=CARTESIAN_POINT('',(8.3E0,-1.12E1,-2.5815E1)); +#1903=LINE('',#1902,#1901); +#1904=DIRECTION('',(1.E0,0.E0,0.E0)); +#1905=VECTOR('',#1904,6.E-1); +#1906=CARTESIAN_POINT('',(8.3E0,-1.12E1,-2.7725E1)); +#1907=LINE('',#1906,#1905); +#1908=DIRECTION('',(1.E0,0.E0,0.E0)); +#1909=VECTOR('',#1908,6.E-1); +#1910=CARTESIAN_POINT('',(8.3E0,-1.12E1,-2.8355E1)); +#1911=LINE('',#1910,#1909); +#1912=DIRECTION('',(0.E0,0.E0,1.E0)); +#1913=VECTOR('',#1912,6.3E-1); +#1914=CARTESIAN_POINT('',(8.3E0,-1.12E1,-2.8355E1)); +#1915=LINE('',#1914,#1913); +#1916=DIRECTION('',(1.E0,0.E0,0.E0)); +#1917=VECTOR('',#1916,6.E-1); +#1918=CARTESIAN_POINT('',(2.395E1,-1.12E1,-3.0265E1)); +#1919=LINE('',#1918,#1917); +#1920=DIRECTION('',(1.E0,0.E0,0.E0)); +#1921=VECTOR('',#1920,6.E-1); +#1922=CARTESIAN_POINT('',(2.395E1,-1.12E1,-3.0895E1)); +#1923=LINE('',#1922,#1921); +#1924=DIRECTION('',(0.E0,0.E0,1.E0)); +#1925=VECTOR('',#1924,6.3E-1); +#1926=CARTESIAN_POINT('',(2.395E1,-1.12E1,-3.0895E1)); +#1927=LINE('',#1926,#1925); +#1928=DIRECTION('',(1.E0,0.E0,0.E0)); +#1929=VECTOR('',#1928,6.E-1); +#1930=CARTESIAN_POINT('',(2.395E1,-1.12E1,-2.5185E1)); +#1931=LINE('',#1930,#1929); +#1932=DIRECTION('',(1.E0,0.E0,0.E0)); +#1933=VECTOR('',#1932,6.E-1); +#1934=CARTESIAN_POINT('',(2.395E1,-1.12E1,-2.5815E1)); +#1935=LINE('',#1934,#1933); +#1936=DIRECTION('',(0.E0,0.E0,1.E0)); +#1937=VECTOR('',#1936,6.3E-1); +#1938=CARTESIAN_POINT('',(2.395E1,-1.12E1,-2.5815E1)); +#1939=LINE('',#1938,#1937); +#1940=DIRECTION('',(1.E0,0.E0,0.E0)); +#1941=VECTOR('',#1940,6.E-1); +#1942=CARTESIAN_POINT('',(2.61E1,-1.12E1,-2.5185E1)); +#1943=LINE('',#1942,#1941); +#1944=DIRECTION('',(1.E0,0.E0,0.E0)); +#1945=VECTOR('',#1944,6.E-1); +#1946=CARTESIAN_POINT('',(2.61E1,-1.12E1,-2.5815E1)); +#1947=LINE('',#1946,#1945); +#1948=DIRECTION('',(0.E0,0.E0,1.E0)); +#1949=VECTOR('',#1948,6.3E-1); +#1950=CARTESIAN_POINT('',(2.61E1,-1.12E1,-2.5815E1)); +#1951=LINE('',#1950,#1949); +#1952=DIRECTION('',(1.E0,0.E0,0.E0)); +#1953=VECTOR('',#1952,6.E-1); +#1954=CARTESIAN_POINT('',(2.61E1,-1.12E1,-2.7725E1)); +#1955=LINE('',#1954,#1953); +#1956=DIRECTION('',(1.E0,0.E0,0.E0)); +#1957=VECTOR('',#1956,6.E-1); +#1958=CARTESIAN_POINT('',(2.61E1,-1.12E1,-2.8355E1)); +#1959=LINE('',#1958,#1957); +#1960=DIRECTION('',(0.E0,0.E0,1.E0)); +#1961=VECTOR('',#1960,6.3E-1); +#1962=CARTESIAN_POINT('',(2.61E1,-1.12E1,-2.8355E1)); +#1963=LINE('',#1962,#1961); +#1964=DIRECTION('',(1.E0,0.E0,0.E0)); +#1965=VECTOR('',#1964,6.E-1); +#1966=CARTESIAN_POINT('',(2.825E1,-1.12E1,-3.0265E1)); +#1967=LINE('',#1966,#1965); +#1968=DIRECTION('',(1.E0,0.E0,0.E0)); +#1969=VECTOR('',#1968,6.E-1); +#1970=CARTESIAN_POINT('',(2.825E1,-1.12E1,-3.0895E1)); +#1971=LINE('',#1970,#1969); +#1972=DIRECTION('',(0.E0,0.E0,1.E0)); +#1973=VECTOR('',#1972,6.3E-1); +#1974=CARTESIAN_POINT('',(2.825E1,-1.12E1,-3.0895E1)); +#1975=LINE('',#1974,#1973); +#1976=DIRECTION('',(1.E0,0.E0,0.E0)); +#1977=VECTOR('',#1976,6.E-1); +#1978=CARTESIAN_POINT('',(2.825E1,-1.12E1,-2.5185E1)); +#1979=LINE('',#1978,#1977); +#1980=DIRECTION('',(1.E0,0.E0,0.E0)); +#1981=VECTOR('',#1980,6.E-1); +#1982=CARTESIAN_POINT('',(2.825E1,-1.12E1,-2.5815E1)); +#1983=LINE('',#1982,#1981); +#1984=DIRECTION('',(0.E0,0.E0,1.E0)); +#1985=VECTOR('',#1984,6.3E-1); +#1986=CARTESIAN_POINT('',(2.825E1,-1.12E1,-2.5815E1)); +#1987=LINE('',#1986,#1985); +#1988=DIRECTION('',(1.E0,0.E0,0.E0)); +#1989=VECTOR('',#1988,6.E-1); +#1990=CARTESIAN_POINT('',(3.04E1,-1.12E1,-2.5185E1)); +#1991=LINE('',#1990,#1989); +#1992=DIRECTION('',(1.E0,0.E0,0.E0)); +#1993=VECTOR('',#1992,6.E-1); +#1994=CARTESIAN_POINT('',(3.04E1,-1.12E1,-2.5815E1)); +#1995=LINE('',#1994,#1993); +#1996=DIRECTION('',(0.E0,0.E0,1.E0)); +#1997=VECTOR('',#1996,6.3E-1); +#1998=CARTESIAN_POINT('',(3.04E1,-1.12E1,-2.5815E1)); +#1999=LINE('',#1998,#1997); +#2000=DIRECTION('',(1.E0,0.E0,0.E0)); +#2001=VECTOR('',#2000,6.E-1); +#2002=CARTESIAN_POINT('',(3.04E1,-1.12E1,-2.7725E1)); +#2003=LINE('',#2002,#2001); +#2004=DIRECTION('',(1.E0,0.E0,0.E0)); +#2005=VECTOR('',#2004,6.E-1); +#2006=CARTESIAN_POINT('',(3.04E1,-1.12E1,-2.8355E1)); +#2007=LINE('',#2006,#2005); +#2008=DIRECTION('',(0.E0,0.E0,1.E0)); +#2009=VECTOR('',#2008,6.3E-1); +#2010=CARTESIAN_POINT('',(3.04E1,-1.12E1,-2.8355E1)); +#2011=LINE('',#2010,#2009); +#2012=DIRECTION('',(1.E0,0.E0,0.E0)); +#2013=VECTOR('',#2012,6.E-1); +#2014=CARTESIAN_POINT('',(3.255E1,-1.12E1,-3.0265E1)); +#2015=LINE('',#2014,#2013); +#2016=DIRECTION('',(1.E0,0.E0,0.E0)); +#2017=VECTOR('',#2016,6.E-1); +#2018=CARTESIAN_POINT('',(3.255E1,-1.12E1,-3.0895E1)); +#2019=LINE('',#2018,#2017); +#2020=DIRECTION('',(0.E0,0.E0,1.E0)); +#2021=VECTOR('',#2020,6.3E-1); +#2022=CARTESIAN_POINT('',(3.255E1,-1.12E1,-3.0895E1)); +#2023=LINE('',#2022,#2021); +#2024=DIRECTION('',(1.E0,0.E0,0.E0)); +#2025=VECTOR('',#2024,6.E-1); +#2026=CARTESIAN_POINT('',(3.255E1,-1.12E1,-2.5185E1)); +#2027=LINE('',#2026,#2025); +#2028=DIRECTION('',(1.E0,0.E0,0.E0)); +#2029=VECTOR('',#2028,6.E-1); +#2030=CARTESIAN_POINT('',(3.255E1,-1.12E1,-2.5815E1)); +#2031=LINE('',#2030,#2029); +#2032=DIRECTION('',(0.E0,0.E0,1.E0)); +#2033=VECTOR('',#2032,6.3E-1); +#2034=CARTESIAN_POINT('',(3.255E1,-1.12E1,-2.5815E1)); +#2035=LINE('',#2034,#2033); +#2036=DIRECTION('',(1.E0,0.E0,0.E0)); +#2037=VECTOR('',#2036,6.E-1); +#2038=CARTESIAN_POINT('',(3.47E1,-1.12E1,-2.5185E1)); +#2039=LINE('',#2038,#2037); +#2040=DIRECTION('',(1.E0,0.E0,0.E0)); +#2041=VECTOR('',#2040,6.E-1); +#2042=CARTESIAN_POINT('',(3.47E1,-1.12E1,-2.5815E1)); +#2043=LINE('',#2042,#2041); +#2044=DIRECTION('',(0.E0,0.E0,1.E0)); +#2045=VECTOR('',#2044,6.3E-1); +#2046=CARTESIAN_POINT('',(3.47E1,-1.12E1,-2.5815E1)); +#2047=LINE('',#2046,#2045); +#2048=DIRECTION('',(1.E0,0.E0,0.E0)); +#2049=VECTOR('',#2048,6.E-1); +#2050=CARTESIAN_POINT('',(3.47E1,-1.12E1,-2.7725E1)); +#2051=LINE('',#2050,#2049); +#2052=DIRECTION('',(1.E0,0.E0,0.E0)); +#2053=VECTOR('',#2052,6.E-1); +#2054=CARTESIAN_POINT('',(3.47E1,-1.12E1,-2.8355E1)); +#2055=LINE('',#2054,#2053); +#2056=DIRECTION('',(0.E0,0.E0,1.E0)); +#2057=VECTOR('',#2056,6.3E-1); +#2058=CARTESIAN_POINT('',(3.47E1,-1.12E1,-2.8355E1)); +#2059=LINE('',#2058,#2057); +#2060=DIRECTION('',(1.E0,0.E0,0.E0)); +#2061=VECTOR('',#2060,6.E-1); +#2062=CARTESIAN_POINT('',(3.685E1,-1.12E1,-3.0265E1)); +#2063=LINE('',#2062,#2061); +#2064=DIRECTION('',(1.E0,0.E0,0.E0)); +#2065=VECTOR('',#2064,6.E-1); +#2066=CARTESIAN_POINT('',(3.685E1,-1.12E1,-3.0895E1)); +#2067=LINE('',#2066,#2065); +#2068=DIRECTION('',(0.E0,0.E0,1.E0)); +#2069=VECTOR('',#2068,6.3E-1); +#2070=CARTESIAN_POINT('',(3.685E1,-1.12E1,-3.0895E1)); +#2071=LINE('',#2070,#2069); +#2072=DIRECTION('',(1.E0,0.E0,0.E0)); +#2073=VECTOR('',#2072,6.E-1); +#2074=CARTESIAN_POINT('',(3.685E1,-1.12E1,-2.5185E1)); +#2075=LINE('',#2074,#2073); +#2076=DIRECTION('',(1.E0,0.E0,0.E0)); +#2077=VECTOR('',#2076,6.E-1); +#2078=CARTESIAN_POINT('',(3.685E1,-1.12E1,-2.5815E1)); +#2079=LINE('',#2078,#2077); +#2080=DIRECTION('',(0.E0,0.E0,1.E0)); +#2081=VECTOR('',#2080,6.3E-1); +#2082=CARTESIAN_POINT('',(3.685E1,-1.12E1,-2.5815E1)); +#2083=LINE('',#2082,#2081); +#2084=DIRECTION('',(1.E0,0.E0,0.E0)); +#2085=VECTOR('',#2084,6.E-1); +#2086=CARTESIAN_POINT('',(3.9E1,-1.12E1,-2.5185E1)); +#2087=LINE('',#2086,#2085); +#2088=DIRECTION('',(1.E0,0.E0,0.E0)); +#2089=VECTOR('',#2088,6.E-1); +#2090=CARTESIAN_POINT('',(3.9E1,-1.12E1,-2.5815E1)); +#2091=LINE('',#2090,#2089); +#2092=DIRECTION('',(0.E0,0.E0,1.E0)); +#2093=VECTOR('',#2092,6.3E-1); +#2094=CARTESIAN_POINT('',(3.9E1,-1.12E1,-2.5815E1)); +#2095=LINE('',#2094,#2093); +#2096=DIRECTION('',(1.E0,0.E0,0.E0)); +#2097=VECTOR('',#2096,6.E-1); +#2098=CARTESIAN_POINT('',(3.9E1,-1.12E1,-2.7725E1)); +#2099=LINE('',#2098,#2097); +#2100=DIRECTION('',(1.E0,0.E0,0.E0)); +#2101=VECTOR('',#2100,6.E-1); +#2102=CARTESIAN_POINT('',(3.9E1,-1.12E1,-2.8355E1)); +#2103=LINE('',#2102,#2101); +#2104=DIRECTION('',(0.E0,0.E0,1.E0)); +#2105=VECTOR('',#2104,6.3E-1); +#2106=CARTESIAN_POINT('',(3.9E1,-1.12E1,-2.8355E1)); +#2107=LINE('',#2106,#2105); +#2108=DIRECTION('',(1.E0,0.E0,0.E0)); +#2109=VECTOR('',#2108,6.E-1); +#2110=CARTESIAN_POINT('',(4.115E1,-1.12E1,-3.0265E1)); +#2111=LINE('',#2110,#2109); +#2112=DIRECTION('',(1.E0,0.E0,0.E0)); +#2113=VECTOR('',#2112,6.E-1); +#2114=CARTESIAN_POINT('',(4.115E1,-1.12E1,-3.0895E1)); +#2115=LINE('',#2114,#2113); +#2116=DIRECTION('',(1.127845612318E-14,0.E0,1.E0)); +#2117=VECTOR('',#2116,6.3E-1); +#2118=CARTESIAN_POINT('',(4.115E1,-1.12E1,-3.0895E1)); +#2119=LINE('',#2118,#2117); +#2120=DIRECTION('',(1.E0,0.E0,0.E0)); +#2121=VECTOR('',#2120,6.E-1); +#2122=CARTESIAN_POINT('',(4.115E1,-1.12E1,-2.5185E1)); +#2123=LINE('',#2122,#2121); +#2124=DIRECTION('',(1.E0,0.E0,0.E0)); +#2125=VECTOR('',#2124,6.E-1); +#2126=CARTESIAN_POINT('',(4.115E1,-1.12E1,-2.5815E1)); +#2127=LINE('',#2126,#2125); +#2128=DIRECTION('',(1.127845612318E-14,0.E0,1.E0)); +#2129=VECTOR('',#2128,6.3E-1); +#2130=CARTESIAN_POINT('',(4.115E1,-1.12E1,-2.5815E1)); +#2131=LINE('',#2130,#2129); +#2132=DIRECTION('',(1.E0,0.E0,0.E0)); +#2133=VECTOR('',#2132,6.E-1); +#2134=CARTESIAN_POINT('',(4.33E1,-1.12E1,-2.5185E1)); +#2135=LINE('',#2134,#2133); +#2136=DIRECTION('',(1.E0,0.E0,0.E0)); +#2137=VECTOR('',#2136,6.E-1); +#2138=CARTESIAN_POINT('',(4.33E1,-1.12E1,-2.5815E1)); +#2139=LINE('',#2138,#2137); +#2140=DIRECTION('',(0.E0,0.E0,1.E0)); +#2141=VECTOR('',#2140,6.3E-1); +#2142=CARTESIAN_POINT('',(4.33E1,-1.12E1,-2.5815E1)); +#2143=LINE('',#2142,#2141); +#2144=DIRECTION('',(1.E0,0.E0,0.E0)); +#2145=VECTOR('',#2144,6.E-1); +#2146=CARTESIAN_POINT('',(4.33E1,-1.12E1,-2.7725E1)); +#2147=LINE('',#2146,#2145); +#2148=DIRECTION('',(1.E0,0.E0,0.E0)); +#2149=VECTOR('',#2148,6.E-1); +#2150=CARTESIAN_POINT('',(4.33E1,-1.12E1,-2.8355E1)); +#2151=LINE('',#2150,#2149); +#2152=DIRECTION('',(0.E0,0.E0,1.E0)); +#2153=VECTOR('',#2152,6.3E-1); +#2154=CARTESIAN_POINT('',(4.33E1,-1.12E1,-2.8355E1)); +#2155=LINE('',#2154,#2153); +#2156=DIRECTION('',(1.E0,0.E0,0.E0)); +#2157=VECTOR('',#2156,6.E-1); +#2158=CARTESIAN_POINT('',(4.545E1,-1.12E1,-3.0265E1)); +#2159=LINE('',#2158,#2157); +#2160=DIRECTION('',(1.E0,0.E0,0.E0)); +#2161=VECTOR('',#2160,6.E-1); +#2162=CARTESIAN_POINT('',(4.545E1,-1.12E1,-3.0895E1)); +#2163=LINE('',#2162,#2161); +#2164=DIRECTION('',(0.E0,0.E0,1.E0)); +#2165=VECTOR('',#2164,6.3E-1); +#2166=CARTESIAN_POINT('',(4.545E1,-1.12E1,-3.0895E1)); +#2167=LINE('',#2166,#2165); +#2168=DIRECTION('',(1.E0,0.E0,0.E0)); +#2169=VECTOR('',#2168,6.E-1); +#2170=CARTESIAN_POINT('',(4.545E1,-1.12E1,-2.5185E1)); +#2171=LINE('',#2170,#2169); +#2172=DIRECTION('',(1.E0,0.E0,0.E0)); +#2173=VECTOR('',#2172,6.E-1); +#2174=CARTESIAN_POINT('',(4.545E1,-1.12E1,-2.5815E1)); +#2175=LINE('',#2174,#2173); +#2176=DIRECTION('',(0.E0,0.E0,1.E0)); +#2177=VECTOR('',#2176,6.3E-1); +#2178=CARTESIAN_POINT('',(4.545E1,-1.12E1,-2.5815E1)); +#2179=LINE('',#2178,#2177); +#2180=DIRECTION('',(1.E0,0.E0,0.E0)); +#2181=VECTOR('',#2180,6.E-1); +#2182=CARTESIAN_POINT('',(4.76E1,-1.12E1,-2.5185E1)); +#2183=LINE('',#2182,#2181); +#2184=DIRECTION('',(1.E0,0.E0,0.E0)); +#2185=VECTOR('',#2184,6.E-1); +#2186=CARTESIAN_POINT('',(4.76E1,-1.12E1,-2.5815E1)); +#2187=LINE('',#2186,#2185); +#2188=DIRECTION('',(0.E0,0.E0,1.E0)); +#2189=VECTOR('',#2188,6.3E-1); +#2190=CARTESIAN_POINT('',(4.76E1,-1.12E1,-2.5815E1)); +#2191=LINE('',#2190,#2189); +#2192=DIRECTION('',(1.E0,0.E0,0.E0)); +#2193=VECTOR('',#2192,6.E-1); +#2194=CARTESIAN_POINT('',(4.76E1,-1.12E1,-2.7725E1)); +#2195=LINE('',#2194,#2193); +#2196=DIRECTION('',(1.E0,0.E0,0.E0)); +#2197=VECTOR('',#2196,6.E-1); +#2198=CARTESIAN_POINT('',(4.76E1,-1.12E1,-2.8355E1)); +#2199=LINE('',#2198,#2197); +#2200=DIRECTION('',(0.E0,0.E0,1.E0)); +#2201=VECTOR('',#2200,6.3E-1); +#2202=CARTESIAN_POINT('',(4.76E1,-1.12E1,-2.8355E1)); +#2203=LINE('',#2202,#2201); +#2204=DIRECTION('',(1.E0,0.E0,0.E0)); +#2205=VECTOR('',#2204,6.E-1); +#2206=CARTESIAN_POINT('',(4.975E1,-1.12E1,-3.0265E1)); +#2207=LINE('',#2206,#2205); +#2208=DIRECTION('',(1.E0,0.E0,0.E0)); +#2209=VECTOR('',#2208,6.E-1); +#2210=CARTESIAN_POINT('',(4.975E1,-1.12E1,-3.0895E1)); +#2211=LINE('',#2210,#2209); +#2212=DIRECTION('',(0.E0,0.E0,1.E0)); +#2213=VECTOR('',#2212,6.3E-1); +#2214=CARTESIAN_POINT('',(4.975E1,-1.12E1,-3.0895E1)); +#2215=LINE('',#2214,#2213); +#2216=DIRECTION('',(1.E0,0.E0,0.E0)); +#2217=VECTOR('',#2216,6.E-1); +#2218=CARTESIAN_POINT('',(4.975E1,-1.12E1,-2.5185E1)); +#2219=LINE('',#2218,#2217); +#2220=DIRECTION('',(1.E0,0.E0,0.E0)); +#2221=VECTOR('',#2220,6.E-1); +#2222=CARTESIAN_POINT('',(4.975E1,-1.12E1,-2.5815E1)); +#2223=LINE('',#2222,#2221); +#2224=DIRECTION('',(0.E0,0.E0,1.E0)); +#2225=VECTOR('',#2224,6.3E-1); +#2226=CARTESIAN_POINT('',(4.975E1,-1.12E1,-2.5815E1)); +#2227=LINE('',#2226,#2225); +#2228=DIRECTION('',(1.E0,0.E0,0.E0)); +#2229=VECTOR('',#2228,6.E-1); +#2230=CARTESIAN_POINT('',(5.19E1,-1.12E1,-2.5185E1)); +#2231=LINE('',#2230,#2229); +#2232=DIRECTION('',(1.E0,0.E0,0.E0)); +#2233=VECTOR('',#2232,6.E-1); +#2234=CARTESIAN_POINT('',(5.19E1,-1.12E1,-2.5815E1)); +#2235=LINE('',#2234,#2233); +#2236=DIRECTION('',(-1.127845612318E-14,0.E0,1.E0)); +#2237=VECTOR('',#2236,6.3E-1); +#2238=CARTESIAN_POINT('',(5.19E1,-1.12E1,-2.5815E1)); +#2239=LINE('',#2238,#2237); +#2240=DIRECTION('',(1.E0,0.E0,0.E0)); +#2241=VECTOR('',#2240,6.E-1); +#2242=CARTESIAN_POINT('',(5.19E1,-1.12E1,-2.7725E1)); +#2243=LINE('',#2242,#2241); +#2244=DIRECTION('',(1.E0,0.E0,0.E0)); +#2245=VECTOR('',#2244,6.E-1); +#2246=CARTESIAN_POINT('',(5.19E1,-1.12E1,-2.8355E1)); +#2247=LINE('',#2246,#2245); +#2248=DIRECTION('',(-1.127845612318E-14,0.E0,1.E0)); +#2249=VECTOR('',#2248,6.3E-1); +#2250=CARTESIAN_POINT('',(5.19E1,-1.12E1,-2.8355E1)); +#2251=LINE('',#2250,#2249); +#2252=DIRECTION('',(0.E0,0.E0,1.E0)); +#2253=VECTOR('',#2252,2.7E0); +#2254=CARTESIAN_POINT('',(5.721436794608E1,-1.023489949670E1,-2.815E1)); +#2255=LINE('',#2254,#2253); +#2256=DIRECTION('',(0.E0,0.E0,1.E0)); +#2257=VECTOR('',#2256,2.7E0); +#2258=CARTESIAN_POINT('',(5.749844489624E1,-2.1E0,-2.815E1)); +#2259=LINE('',#2258,#2257); +#2260=DIRECTION('',(0.E0,0.E0,1.E0)); +#2261=VECTOR('',#2260,2.7E0); +#2262=CARTESIAN_POINT('',(5.915717967697E1,-2.1E0,-2.815E1)); +#2263=LINE('',#2262,#2261); +#2264=DIRECTION('',(0.E0,0.E0,1.E0)); +#2265=VECTOR('',#2264,2.7E0); +#2266=CARTESIAN_POINT('',(5.985E1,-3.3E0,-2.815E1)); +#2267=LINE('',#2266,#2265); +#2268=DIRECTION('',(1.E0,8.388351741612E-14,0.E0)); +#2269=VECTOR('',#2268,9.E-1); +#2270=CARTESIAN_POINT('',(5.895E1,-3.8E0,-2.815E1)); +#2271=LINE('',#2270,#2269); +#2272=DIRECTION('',(0.E0,0.E0,1.E0)); +#2273=VECTOR('',#2272,5.7E0); +#2274=CARTESIAN_POINT('',(6.E1,-3.8E0,-2.93E1)); +#2275=LINE('',#2274,#2273); +#2276=DIRECTION('',(1.E0,8.437694987151E-14,0.E0)); +#2277=VECTOR('',#2276,9.E-1); +#2278=CARTESIAN_POINT('',(5.895E1,-3.8E0,-2.545E1)); +#2279=LINE('',#2278,#2277); +#2280=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2281=VECTOR('',#2280,2.7E0); +#2282=CARTESIAN_POINT('',(5.985E1,-3.8E0,-2.545E1)); +#2283=LINE('',#2282,#2281); +#2284=DIRECTION('',(0.E0,-6.642029921236E-14,1.E0)); +#2285=VECTOR('',#2284,1.15E0); +#2286=CARTESIAN_POINT('',(5.895E1,-3.8E0,-2.93E1)); +#2287=LINE('',#2286,#2285); +#2288=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2289=VECTOR('',#2288,2.7E0); +#2290=CARTESIAN_POINT('',(5.895E1,-3.8E0,-2.545E1)); +#2291=LINE('',#2290,#2289); +#2292=DIRECTION('',(0.E0,4.104824588344E-14,1.E0)); +#2293=VECTOR('',#2292,1.85E0); +#2294=CARTESIAN_POINT('',(5.895E1,-3.8E0,-2.545E1)); +#2295=LINE('',#2294,#2293); +#2296=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2297=VECTOR('',#2296,1.511268535930E0); +#2298=CARTESIAN_POINT('',(5.861126853593E1,-1.35E1,-2.545E1)); +#2299=LINE('',#2298,#2297); +#2300=CARTESIAN_POINT('',(5.621497711906E1,-1.02E1,-2.545E1)); +#2301=DIRECTION('',(0.E0,0.E0,1.E0)); +#2302=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2303=AXIS2_PLACEMENT_3D('',#2300,#2301,#2302); +#2305=DIRECTION('',(3.489949670250E-2,9.993908270191E-1,0.E0)); +#2306=VECTOR('',#2305,8.139858078312E0); +#2307=CARTESIAN_POINT('',(5.721436794608E1,-1.023489949670E1,-2.545E1)); +#2308=LINE('',#2307,#2306); +#2309=DIRECTION('',(1.E0,0.E0,0.E0)); +#2310=VECTOR('',#2309,1.658734780728E0); +#2311=CARTESIAN_POINT('',(5.749844489624E1,-2.1E0,-2.545E1)); +#2312=LINE('',#2311,#2310); +#2313=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#2314=VECTOR('',#2313,1.385640646055E0); +#2315=CARTESIAN_POINT('',(5.915717967697E1,-2.1E0,-2.545E1)); +#2316=LINE('',#2315,#2314); +#2317=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2318=VECTOR('',#2317,5.E-1); +#2319=CARTESIAN_POINT('',(5.985E1,-3.3E0,-2.545E1)); +#2320=LINE('',#2319,#2318); +#2321=DIRECTION('',(-3.489949670250E-2,-9.993908270191E-1,0.E0)); +#2322=VECTOR('',#2321,9.705912579698E0); +#2323=CARTESIAN_POINT('',(5.895E1,-3.8E0,-2.545E1)); +#2324=LINE('',#2323,#2322); +#2325=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2326=VECTOR('',#2325,1.511268535930E0); +#2327=CARTESIAN_POINT('',(-5.71E1,-1.35E1,-2.545E1)); +#2328=LINE('',#2327,#2326); +#2329=DIRECTION('',(-3.489949670250E-2,9.993908270191E-1,0.E0)); +#2330=VECTOR('',#2329,9.705912579698E0); +#2331=CARTESIAN_POINT('',(-5.861126853593E1,-1.35E1,-2.545E1)); +#2332=LINE('',#2331,#2330); +#2333=DIRECTION('',(-1.421085471520E-14,1.E0,0.E0)); +#2334=VECTOR('',#2333,5.E-1); +#2335=CARTESIAN_POINT('',(-5.985E1,-3.8E0,-2.545E1)); +#2336=LINE('',#2335,#2334); +#2337=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#2338=VECTOR('',#2337,1.385640646055E0); +#2339=CARTESIAN_POINT('',(-5.985E1,-3.3E0,-2.545E1)); +#2340=LINE('',#2339,#2338); +#2341=DIRECTION('',(1.E0,0.E0,0.E0)); +#2342=VECTOR('',#2341,1.658734780728E0); +#2343=CARTESIAN_POINT('',(-5.915717967697E1,-2.1E0,-2.545E1)); +#2344=LINE('',#2343,#2342); +#2345=DIRECTION('',(3.489949670250E-2,-9.993908270191E-1,0.E0)); +#2346=VECTOR('',#2345,8.139858078312E0); +#2347=CARTESIAN_POINT('',(-5.749844489624E1,-2.1E0,-2.545E1)); +#2348=LINE('',#2347,#2346); +#2349=CARTESIAN_POINT('',(-5.621497711906E1,-1.02E1,-2.545E1)); +#2350=DIRECTION('',(0.E0,0.E0,1.E0)); +#2351=DIRECTION('',(-9.993908270191E-1,-3.489949670250E-2,0.E0)); +#2352=AXIS2_PLACEMENT_3D('',#2349,#2350,#2351); +#2354=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2355=VECTOR('',#2354,2.3E0); +#2356=CARTESIAN_POINT('',(-5.71E1,-1.12E1,-2.545E1)); +#2357=LINE('',#2356,#2355); +#2358=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2359=VECTOR('',#2358,2.3E0); +#2360=CARTESIAN_POINT('',(5.71E1,-1.12E1,-2.545E1)); +#2361=LINE('',#2360,#2359); +#2362=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2363=VECTOR('',#2362,2.3E0); +#2364=CARTESIAN_POINT('',(5.71E1,-1.12E1,-2.345E1)); +#2365=LINE('',#2364,#2363); +#2366=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2367=VECTOR('',#2366,2.3E0); +#2368=CARTESIAN_POINT('',(-5.71E1,-1.12E1,-2.345E1)); +#2369=LINE('',#2368,#2367); +#2370=DIRECTION('',(0.E0,0.E0,1.E0)); +#2371=VECTOR('',#2370,2.7E0); +#2372=CARTESIAN_POINT('',(-5.861126853593E1,-1.35E1,-2.815E1)); +#2373=LINE('',#2372,#2371); +#2374=DIRECTION('',(0.E0,0.E0,1.E0)); +#2375=VECTOR('',#2374,5.7E0); +#2376=CARTESIAN_POINT('',(-5.895E1,-4.2E0,-2.93E1)); +#2377=LINE('',#2376,#2375); +#2378=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2379=VECTOR('',#2378,2.7E0); +#2380=CARTESIAN_POINT('',(-5.895E1,-3.8E0,-2.545E1)); +#2381=LINE('',#2380,#2379); +#2382=DIRECTION('',(-1.E0,1.934255225125E-13,0.E0)); +#2383=VECTOR('',#2382,9.E-1); +#2384=CARTESIAN_POINT('',(-5.895E1,-3.8E0,-2.815E1)); +#2385=LINE('',#2384,#2383); +#2386=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2387=VECTOR('',#2386,2.7E0); +#2388=CARTESIAN_POINT('',(-5.985E1,-3.8E0,-2.545E1)); +#2389=LINE('',#2388,#2387); +#2390=DIRECTION('',(-1.E0,1.924386576017E-13,0.E0)); +#2391=VECTOR('',#2390,9.E-1); +#2392=CARTESIAN_POINT('',(-5.895E1,-3.8E0,-2.545E1)); +#2393=LINE('',#2392,#2391); +#2394=DIRECTION('',(0.E0,9.433895106545E-14,1.E0)); +#2395=VECTOR('',#2394,1.85E0); +#2396=CARTESIAN_POINT('',(-5.895E1,-3.8E0,-2.545E1)); +#2397=LINE('',#2396,#2395); +#2398=DIRECTION('',(0.E0,-1.509903313490E-13,1.E0)); +#2399=VECTOR('',#2398,1.15E0); +#2400=CARTESIAN_POINT('',(-5.895E1,-3.8E0,-2.93E1)); +#2401=LINE('',#2400,#2399); +#2402=DIRECTION('',(0.E0,0.E0,1.E0)); +#2403=VECTOR('',#2402,2.7E0); +#2404=CARTESIAN_POINT('',(-5.985E1,-3.3E0,-2.815E1)); +#2405=LINE('',#2404,#2403); +#2406=DIRECTION('',(0.E0,0.E0,1.E0)); +#2407=VECTOR('',#2406,2.7E0); +#2408=CARTESIAN_POINT('',(-5.915717967697E1,-2.1E0,-2.815E1)); +#2409=LINE('',#2408,#2407); +#2410=DIRECTION('',(0.E0,0.E0,1.E0)); +#2411=VECTOR('',#2410,2.7E0); +#2412=CARTESIAN_POINT('',(-5.749844489624E1,-2.1E0,-2.815E1)); +#2413=LINE('',#2412,#2411); +#2414=DIRECTION('',(0.E0,0.E0,1.E0)); +#2415=VECTOR('',#2414,2.7E0); +#2416=CARTESIAN_POINT('',(-5.721436794608E1,-1.023489949670E1,-2.815E1)); +#2417=LINE('',#2416,#2415); +#2418=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2419=VECTOR('',#2418,2.8E0); +#2420=CARTESIAN_POINT('',(5.33E1,6.3E0,-2.36E1)); +#2421=LINE('',#2420,#2419); +#2422=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2423=VECTOR('',#2422,3.015E1); +#2424=CARTESIAN_POINT('',(5.33E1,3.5E0,-2.36E1)); +#2425=LINE('',#2424,#2423); +#2426=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2427=VECTOR('',#2426,2.8E0); +#2428=CARTESIAN_POINT('',(2.315E1,6.3E0,-2.36E1)); +#2429=LINE('',#2428,#2427); +#2430=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2431=VECTOR('',#2430,6.2E0); +#2432=CARTESIAN_POINT('',(2.315E1,6.3E0,-2.36E1)); +#2433=LINE('',#2432,#2431); +#2434=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2435=VECTOR('',#2434,4.9E0); +#2436=CARTESIAN_POINT('',(1.695E1,6.3E0,-2.36E1)); +#2437=LINE('',#2436,#2435); +#2438=DIRECTION('',(1.E0,0.E0,0.E0)); +#2439=VECTOR('',#2438,1.E0); +#2440=CARTESIAN_POINT('',(1.595E1,1.4E0,-2.36E1)); +#2441=LINE('',#2440,#2439); +#2442=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2443=VECTOR('',#2442,4.9E0); +#2444=CARTESIAN_POINT('',(1.595E1,6.3E0,-2.36E1)); +#2445=LINE('',#2444,#2443); +#2446=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2447=VECTOR('',#2446,6.25E0); +#2448=CARTESIAN_POINT('',(1.595E1,6.3E0,-2.36E1)); +#2449=LINE('',#2448,#2447); +#2450=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2451=VECTOR('',#2450,2.8E0); +#2452=CARTESIAN_POINT('',(9.7E0,6.3E0,-2.36E1)); +#2453=LINE('',#2452,#2451); +#2454=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2455=VECTOR('',#2454,5.165E1); +#2456=CARTESIAN_POINT('',(9.7E0,3.5E0,-2.36E1)); +#2457=LINE('',#2456,#2455); +#2458=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2459=VECTOR('',#2458,2.8E0); +#2460=CARTESIAN_POINT('',(-4.195E1,6.3E0,-2.36E1)); +#2461=LINE('',#2460,#2459); +#2462=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2463=VECTOR('',#2462,1.305E1); +#2464=CARTESIAN_POINT('',(-4.195E1,6.3E0,-2.36E1)); +#2465=LINE('',#2464,#2463); +#2466=CARTESIAN_POINT('',(-5.5E1,1.3E0,-2.36E1)); +#2467=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2468=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2469=AXIS2_PLACEMENT_3D('',#2466,#2467,#2468); +#2471=DIRECTION('',(0.E0,1.E0,0.E0)); +#2472=VECTOR('',#2471,1.4E0); +#2473=CARTESIAN_POINT('',(-6.E1,-1.E-1,-2.36E1)); +#2474=LINE('',#2473,#2472); +#2475=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2476=VECTOR('',#2475,2.25E0); +#2477=CARTESIAN_POINT('',(-5.775E1,-1.E-1,-2.36E1)); +#2478=LINE('',#2477,#2476); +#2479=DIRECTION('',(1.E0,0.E0,0.E0)); +#2480=VECTOR('',#2479,2.25E0); +#2481=CARTESIAN_POINT('',(-6.E1,-1.6E0,-2.36E1)); +#2482=LINE('',#2481,#2480); +#2483=DIRECTION('',(0.E0,1.E0,0.E0)); +#2484=VECTOR('',#2483,2.2E0); +#2485=CARTESIAN_POINT('',(-6.E1,-3.8E0,-2.36E1)); +#2486=LINE('',#2485,#2484); +#2487=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2488=VECTOR('',#2487,1.05E0); +#2489=CARTESIAN_POINT('',(-5.895E1,-3.8E0,-2.36E1)); +#2490=LINE('',#2489,#2488); +#2491=DIRECTION('',(0.E0,1.E0,0.E0)); +#2492=VECTOR('',#2491,4.E-1); +#2493=CARTESIAN_POINT('',(-5.895E1,-4.2E0,-2.36E1)); +#2494=LINE('',#2493,#2492); +#2495=DIRECTION('',(5.214500094540E-1,8.532818336520E-1,0.E0)); +#2496=VECTOR('',#2495,2.109502310973E0); +#2497=CARTESIAN_POINT('',(-6.005E1,-6.E0,-2.36E1)); +#2498=LINE('',#2497,#2496); +#2499=DIRECTION('',(0.E0,1.E0,0.E0)); +#2500=VECTOR('',#2499,2.E-1); +#2501=CARTESIAN_POINT('',(-6.005E1,-6.2E0,-2.36E1)); +#2502=LINE('',#2501,#2500); +#2503=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2504=VECTOR('',#2503,2.3E0); +#2505=CARTESIAN_POINT('',(-5.775E1,-6.2E0,-2.36E1)); +#2506=LINE('',#2505,#2504); +#2507=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2508=VECTOR('',#2507,2.3E0); +#2509=CARTESIAN_POINT('',(6.005E1,-6.2E0,-2.36E1)); +#2510=LINE('',#2509,#2508); +#2511=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2512=VECTOR('',#2511,2.E-1); +#2513=CARTESIAN_POINT('',(6.005E1,-6.E0,-2.36E1)); +#2514=LINE('',#2513,#2512); +#2515=DIRECTION('',(5.214500094540E-1,-8.532818336520E-1,0.E0)); +#2516=VECTOR('',#2515,2.109502310973E0); +#2517=CARTESIAN_POINT('',(5.895E1,-4.2E0,-2.36E1)); +#2518=LINE('',#2517,#2516); +#2519=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2520=VECTOR('',#2519,4.E-1); +#2521=CARTESIAN_POINT('',(5.895E1,-3.8E0,-2.36E1)); +#2522=LINE('',#2521,#2520); +#2523=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2524=VECTOR('',#2523,1.05E0); +#2525=CARTESIAN_POINT('',(6.E1,-3.8E0,-2.36E1)); +#2526=LINE('',#2525,#2524); +#2527=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2528=VECTOR('',#2527,2.2E0); +#2529=CARTESIAN_POINT('',(6.E1,-1.6E0,-2.36E1)); +#2530=LINE('',#2529,#2528); +#2531=DIRECTION('',(1.E0,0.E0,0.E0)); +#2532=VECTOR('',#2531,2.25E0); +#2533=CARTESIAN_POINT('',(5.775E1,-1.6E0,-2.36E1)); +#2534=LINE('',#2533,#2532); +#2535=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2536=VECTOR('',#2535,2.25E0); +#2537=CARTESIAN_POINT('',(6.E1,-1.E-1,-2.36E1)); +#2538=LINE('',#2537,#2536); +#2539=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2540=VECTOR('',#2539,1.4E0); +#2541=CARTESIAN_POINT('',(6.E1,1.3E0,-2.36E1)); +#2542=LINE('',#2541,#2540); +#2543=CARTESIAN_POINT('',(5.5E1,1.3E0,-2.36E1)); +#2544=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2545=DIRECTION('',(0.E0,1.E0,0.E0)); +#2546=AXIS2_PLACEMENT_3D('',#2543,#2544,#2545); +#2548=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2549=VECTOR('',#2548,1.7E0); +#2550=CARTESIAN_POINT('',(5.5E1,6.3E0,-2.36E1)); +#2551=LINE('',#2550,#2549); +#2552=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2553=VECTOR('',#2552,6.15E-1); +#2554=CARTESIAN_POINT('',(-4.895E1,-1.0625E0,-2.36E1)); +#2555=LINE('',#2554,#2553); +#2556=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2557=VECTOR('',#2556,1.3E0); +#2558=CARTESIAN_POINT('',(-4.895E1,-1.6775E0,-2.36E1)); +#2559=LINE('',#2558,#2557); +#2560=DIRECTION('',(0.E0,1.E0,0.E0)); +#2561=VECTOR('',#2560,6.15E-1); +#2562=CARTESIAN_POINT('',(-5.025E1,-1.6775E0,-2.36E1)); +#2563=LINE('',#2562,#2561); +#2564=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2565=VECTOR('',#2564,1.3E0); +#2566=CARTESIAN_POINT('',(-4.895E1,-1.0625E0,-2.36E1)); +#2567=LINE('',#2566,#2565); +#2568=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2569=VECTOR('',#2568,6.15E-1); +#2570=CARTESIAN_POINT('',(-5.545E1,-1.0625E0,-2.36E1)); +#2571=LINE('',#2570,#2569); +#2572=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2573=VECTOR('',#2572,1.3E0); +#2574=CARTESIAN_POINT('',(-5.545E1,-1.6775E0,-2.36E1)); +#2575=LINE('',#2574,#2573); +#2576=DIRECTION('',(0.E0,1.E0,0.E0)); +#2577=VECTOR('',#2576,6.15E-1); +#2578=CARTESIAN_POINT('',(-5.675E1,-1.6775E0,-2.36E1)); +#2579=LINE('',#2578,#2577); +#2580=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2581=VECTOR('',#2580,1.3E0); +#2582=CARTESIAN_POINT('',(-5.545E1,-1.0625E0,-2.36E1)); +#2583=LINE('',#2582,#2581); +#2584=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2585=VECTOR('',#2584,6.15E-1); +#2586=CARTESIAN_POINT('',(-4.57E1,4.9375E0,-2.36E1)); +#2587=LINE('',#2586,#2585); +#2588=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2589=VECTOR('',#2588,1.3E0); +#2590=CARTESIAN_POINT('',(-4.57E1,4.3225E0,-2.36E1)); +#2591=LINE('',#2590,#2589); +#2592=DIRECTION('',(0.E0,1.E0,0.E0)); +#2593=VECTOR('',#2592,6.15E-1); +#2594=CARTESIAN_POINT('',(-4.7E1,4.3225E0,-2.36E1)); +#2595=LINE('',#2594,#2593); +#2596=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2597=VECTOR('',#2596,1.3E0); +#2598=CARTESIAN_POINT('',(-4.57E1,4.9375E0,-2.36E1)); +#2599=LINE('',#2598,#2597); +#2600=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2601=VECTOR('',#2600,6.15E-1); +#2602=CARTESIAN_POINT('',(-5.22E1,4.9375E0,-2.36E1)); +#2603=LINE('',#2602,#2601); +#2604=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2605=VECTOR('',#2604,1.3E0); +#2606=CARTESIAN_POINT('',(-5.22E1,4.3225E0,-2.36E1)); +#2607=LINE('',#2606,#2605); +#2608=DIRECTION('',(0.E0,1.E0,0.E0)); +#2609=VECTOR('',#2608,6.15E-1); +#2610=CARTESIAN_POINT('',(-5.35E1,4.3225E0,-2.36E1)); +#2611=LINE('',#2610,#2609); +#2612=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2613=VECTOR('',#2612,1.3E0); +#2614=CARTESIAN_POINT('',(-5.22E1,4.9375E0,-2.36E1)); +#2615=LINE('',#2614,#2613); +#2616=DIRECTION('',(0.E0,1.E0,0.E0)); +#2617=VECTOR('',#2616,6.3E-1); +#2618=CARTESIAN_POINT('',(-4.13E1,-2.785E0,-2.36E1)); +#2619=LINE('',#2618,#2617); +#2620=DIRECTION('',(1.E0,0.E0,0.E0)); +#2621=VECTOR('',#2620,9.E-1); +#2622=CARTESIAN_POINT('',(-4.13E1,-2.155E0,-2.36E1)); +#2623=LINE('',#2622,#2621); +#2624=DIRECTION('',(1.127845612318E-14,1.E0,0.E0)); +#2625=VECTOR('',#2624,6.3E-1); +#2626=CARTESIAN_POINT('',(-4.04E1,-2.785E0,-2.36E1)); +#2627=LINE('',#2626,#2625); +#2628=DIRECTION('',(1.E0,0.E0,0.E0)); +#2629=VECTOR('',#2628,9.E-1); +#2630=CARTESIAN_POINT('',(-4.13E1,-2.785E0,-2.36E1)); +#2631=LINE('',#2630,#2629); +#2632=DIRECTION('',(0.E0,1.E0,0.E0)); +#2633=VECTOR('',#2632,6.3E-1); +#2634=CARTESIAN_POINT('',(-3.915E1,-2.785E0,-2.36E1)); +#2635=LINE('',#2634,#2633); +#2636=DIRECTION('',(1.E0,0.E0,0.E0)); +#2637=VECTOR('',#2636,9.E-1); +#2638=CARTESIAN_POINT('',(-3.915E1,-2.155E0,-2.36E1)); +#2639=LINE('',#2638,#2637); +#2640=DIRECTION('',(0.E0,1.E0,0.E0)); +#2641=VECTOR('',#2640,6.3E-1); +#2642=CARTESIAN_POINT('',(-3.825E1,-2.785E0,-2.36E1)); +#2643=LINE('',#2642,#2641); +#2644=DIRECTION('',(1.E0,0.E0,0.E0)); +#2645=VECTOR('',#2644,9.E-1); +#2646=CARTESIAN_POINT('',(-3.915E1,-2.785E0,-2.36E1)); +#2647=LINE('',#2646,#2645); +#2648=DIRECTION('',(0.E0,1.E0,0.E0)); +#2649=VECTOR('',#2648,6.3E-1); +#2650=CARTESIAN_POINT('',(-3.7E1,-2.785E0,-2.36E1)); +#2651=LINE('',#2650,#2649); +#2652=DIRECTION('',(1.E0,0.E0,0.E0)); +#2653=VECTOR('',#2652,9.E-1); +#2654=CARTESIAN_POINT('',(-3.7E1,-2.155E0,-2.36E1)); +#2655=LINE('',#2654,#2653); +#2656=DIRECTION('',(0.E0,1.E0,0.E0)); +#2657=VECTOR('',#2656,6.3E-1); +#2658=CARTESIAN_POINT('',(-3.61E1,-2.785E0,-2.36E1)); +#2659=LINE('',#2658,#2657); +#2660=DIRECTION('',(1.E0,0.E0,0.E0)); +#2661=VECTOR('',#2660,9.E-1); +#2662=CARTESIAN_POINT('',(-3.7E1,-2.785E0,-2.36E1)); +#2663=LINE('',#2662,#2661); +#2664=DIRECTION('',(0.E0,1.E0,0.E0)); +#2665=VECTOR('',#2664,6.3E-1); +#2666=CARTESIAN_POINT('',(-3.485E1,-2.785E0,-2.36E1)); +#2667=LINE('',#2666,#2665); +#2668=DIRECTION('',(1.E0,0.E0,0.E0)); +#2669=VECTOR('',#2668,9.E-1); +#2670=CARTESIAN_POINT('',(-3.485E1,-2.155E0,-2.36E1)); +#2671=LINE('',#2670,#2669); +#2672=DIRECTION('',(0.E0,1.E0,0.E0)); +#2673=VECTOR('',#2672,6.3E-1); +#2674=CARTESIAN_POINT('',(-3.395E1,-2.785E0,-2.36E1)); +#2675=LINE('',#2674,#2673); +#2676=DIRECTION('',(1.E0,0.E0,0.E0)); +#2677=VECTOR('',#2676,9.E-1); +#2678=CARTESIAN_POINT('',(-3.485E1,-2.785E0,-2.36E1)); +#2679=LINE('',#2678,#2677); +#2680=DIRECTION('',(0.E0,1.E0,0.E0)); +#2681=VECTOR('',#2680,6.3E-1); +#2682=CARTESIAN_POINT('',(-3.27E1,-2.785E0,-2.36E1)); +#2683=LINE('',#2682,#2681); +#2684=DIRECTION('',(1.E0,0.E0,0.E0)); +#2685=VECTOR('',#2684,9.E-1); +#2686=CARTESIAN_POINT('',(-3.27E1,-2.155E0,-2.36E1)); +#2687=LINE('',#2686,#2685); +#2688=DIRECTION('',(0.E0,1.E0,0.E0)); +#2689=VECTOR('',#2688,6.3E-1); +#2690=CARTESIAN_POINT('',(-3.18E1,-2.785E0,-2.36E1)); +#2691=LINE('',#2690,#2689); +#2692=DIRECTION('',(1.E0,0.E0,0.E0)); +#2693=VECTOR('',#2692,9.E-1); +#2694=CARTESIAN_POINT('',(-3.27E1,-2.785E0,-2.36E1)); +#2695=LINE('',#2694,#2693); +#2696=DIRECTION('',(0.E0,1.E0,0.E0)); +#2697=VECTOR('',#2696,6.3E-1); +#2698=CARTESIAN_POINT('',(-3.055E1,-2.785E0,-2.36E1)); +#2699=LINE('',#2698,#2697); +#2700=DIRECTION('',(1.E0,0.E0,0.E0)); +#2701=VECTOR('',#2700,9.E-1); +#2702=CARTESIAN_POINT('',(-3.055E1,-2.155E0,-2.36E1)); +#2703=LINE('',#2702,#2701); +#2704=DIRECTION('',(0.E0,1.E0,0.E0)); +#2705=VECTOR('',#2704,6.3E-1); +#2706=CARTESIAN_POINT('',(-2.965E1,-2.785E0,-2.36E1)); +#2707=LINE('',#2706,#2705); +#2708=DIRECTION('',(1.E0,0.E0,0.E0)); +#2709=VECTOR('',#2708,9.E-1); +#2710=CARTESIAN_POINT('',(-3.055E1,-2.785E0,-2.36E1)); +#2711=LINE('',#2710,#2709); +#2712=DIRECTION('',(0.E0,1.E0,0.E0)); +#2713=VECTOR('',#2712,6.3E-1); +#2714=CARTESIAN_POINT('',(-2.84E1,-2.785E0,-2.36E1)); +#2715=LINE('',#2714,#2713); +#2716=DIRECTION('',(1.E0,0.E0,0.E0)); +#2717=VECTOR('',#2716,9.E-1); +#2718=CARTESIAN_POINT('',(-2.84E1,-2.155E0,-2.36E1)); +#2719=LINE('',#2718,#2717); +#2720=DIRECTION('',(0.E0,1.E0,0.E0)); +#2721=VECTOR('',#2720,6.3E-1); +#2722=CARTESIAN_POINT('',(-2.75E1,-2.785E0,-2.36E1)); +#2723=LINE('',#2722,#2721); +#2724=DIRECTION('',(1.E0,0.E0,0.E0)); +#2725=VECTOR('',#2724,9.E-1); +#2726=CARTESIAN_POINT('',(-2.84E1,-2.785E0,-2.36E1)); +#2727=LINE('',#2726,#2725); +#2728=DIRECTION('',(-1.127845612318E-14,1.E0,0.E0)); +#2729=VECTOR('',#2728,6.3E-1); +#2730=CARTESIAN_POINT('',(-2.625E1,-2.785E0,-2.36E1)); +#2731=LINE('',#2730,#2729); +#2732=DIRECTION('',(1.E0,0.E0,0.E0)); +#2733=VECTOR('',#2732,9.E-1); +#2734=CARTESIAN_POINT('',(-2.625E1,-2.155E0,-2.36E1)); +#2735=LINE('',#2734,#2733); +#2736=DIRECTION('',(0.E0,1.E0,0.E0)); +#2737=VECTOR('',#2736,6.3E-1); +#2738=CARTESIAN_POINT('',(-2.535E1,-2.785E0,-2.36E1)); +#2739=LINE('',#2738,#2737); +#2740=DIRECTION('',(1.E0,0.E0,0.E0)); +#2741=VECTOR('',#2740,9.E-1); +#2742=CARTESIAN_POINT('',(-2.625E1,-2.785E0,-2.36E1)); +#2743=LINE('',#2742,#2741); +#2744=DIRECTION('',(0.E0,1.E0,0.E0)); +#2745=VECTOR('',#2744,6.3E-1); +#2746=CARTESIAN_POINT('',(-2.41E1,-2.785E0,-2.36E1)); +#2747=LINE('',#2746,#2745); +#2748=DIRECTION('',(1.E0,0.E0,0.E0)); +#2749=VECTOR('',#2748,9.E-1); +#2750=CARTESIAN_POINT('',(-2.41E1,-2.155E0,-2.36E1)); +#2751=LINE('',#2750,#2749); +#2752=DIRECTION('',(0.E0,1.E0,0.E0)); +#2753=VECTOR('',#2752,6.3E-1); +#2754=CARTESIAN_POINT('',(-2.32E1,-2.785E0,-2.36E1)); +#2755=LINE('',#2754,#2753); +#2756=DIRECTION('',(1.E0,0.E0,0.E0)); +#2757=VECTOR('',#2756,9.E-1); +#2758=CARTESIAN_POINT('',(-2.41E1,-2.785E0,-2.36E1)); +#2759=LINE('',#2758,#2757); +#2760=DIRECTION('',(0.E0,1.E0,0.E0)); +#2761=VECTOR('',#2760,6.3E-1); +#2762=CARTESIAN_POINT('',(-2.195E1,-2.785E0,-2.36E1)); +#2763=LINE('',#2762,#2761); +#2764=DIRECTION('',(1.E0,0.E0,0.E0)); +#2765=VECTOR('',#2764,9.E-1); +#2766=CARTESIAN_POINT('',(-2.195E1,-2.155E0,-2.36E1)); +#2767=LINE('',#2766,#2765); +#2768=DIRECTION('',(0.E0,1.E0,0.E0)); +#2769=VECTOR('',#2768,6.3E-1); +#2770=CARTESIAN_POINT('',(-2.105E1,-2.785E0,-2.36E1)); +#2771=LINE('',#2770,#2769); +#2772=DIRECTION('',(1.E0,0.E0,0.E0)); +#2773=VECTOR('',#2772,9.E-1); +#2774=CARTESIAN_POINT('',(-2.195E1,-2.785E0,-2.36E1)); +#2775=LINE('',#2774,#2773); +#2776=DIRECTION('',(0.E0,1.E0,0.E0)); +#2777=VECTOR('',#2776,6.3E-1); +#2778=CARTESIAN_POINT('',(-1.98E1,-2.785E0,-2.36E1)); +#2779=LINE('',#2778,#2777); +#2780=DIRECTION('',(1.E0,0.E0,0.E0)); +#2781=VECTOR('',#2780,9.E-1); +#2782=CARTESIAN_POINT('',(-1.98E1,-2.155E0,-2.36E1)); +#2783=LINE('',#2782,#2781); +#2784=DIRECTION('',(0.E0,1.E0,0.E0)); +#2785=VECTOR('',#2784,6.3E-1); +#2786=CARTESIAN_POINT('',(-1.89E1,-2.785E0,-2.36E1)); +#2787=LINE('',#2786,#2785); +#2788=DIRECTION('',(1.E0,0.E0,0.E0)); +#2789=VECTOR('',#2788,9.E-1); +#2790=CARTESIAN_POINT('',(-1.98E1,-2.785E0,-2.36E1)); +#2791=LINE('',#2790,#2789); +#2792=DIRECTION('',(0.E0,1.E0,0.E0)); +#2793=VECTOR('',#2792,6.3E-1); +#2794=CARTESIAN_POINT('',(-1.765E1,-2.785E0,-2.36E1)); +#2795=LINE('',#2794,#2793); +#2796=DIRECTION('',(1.E0,0.E0,0.E0)); +#2797=VECTOR('',#2796,9.E-1); +#2798=CARTESIAN_POINT('',(-1.765E1,-2.155E0,-2.36E1)); +#2799=LINE('',#2798,#2797); +#2800=DIRECTION('',(0.E0,1.E0,0.E0)); +#2801=VECTOR('',#2800,6.3E-1); +#2802=CARTESIAN_POINT('',(-1.675E1,-2.785E0,-2.36E1)); +#2803=LINE('',#2802,#2801); +#2804=DIRECTION('',(1.E0,0.E0,0.E0)); +#2805=VECTOR('',#2804,9.E-1); +#2806=CARTESIAN_POINT('',(-1.765E1,-2.785E0,-2.36E1)); +#2807=LINE('',#2806,#2805); +#2808=DIRECTION('',(0.E0,1.E0,0.E0)); +#2809=VECTOR('',#2808,6.3E-1); +#2810=CARTESIAN_POINT('',(-1.55E1,-2.785E0,-2.36E1)); +#2811=LINE('',#2810,#2809); +#2812=DIRECTION('',(1.E0,0.E0,0.E0)); +#2813=VECTOR('',#2812,9.E-1); +#2814=CARTESIAN_POINT('',(-1.55E1,-2.155E0,-2.36E1)); +#2815=LINE('',#2814,#2813); +#2816=DIRECTION('',(0.E0,1.E0,0.E0)); +#2817=VECTOR('',#2816,6.3E-1); +#2818=CARTESIAN_POINT('',(-1.46E1,-2.785E0,-2.36E1)); +#2819=LINE('',#2818,#2817); +#2820=DIRECTION('',(1.E0,0.E0,0.E0)); +#2821=VECTOR('',#2820,9.E-1); +#2822=CARTESIAN_POINT('',(-1.55E1,-2.785E0,-2.36E1)); +#2823=LINE('',#2822,#2821); +#2824=DIRECTION('',(0.E0,1.E0,0.E0)); +#2825=VECTOR('',#2824,6.3E-1); +#2826=CARTESIAN_POINT('',(-1.335E1,-2.785E0,-2.36E1)); +#2827=LINE('',#2826,#2825); +#2828=DIRECTION('',(1.E0,0.E0,0.E0)); +#2829=VECTOR('',#2828,9.E-1); +#2830=CARTESIAN_POINT('',(-1.335E1,-2.155E0,-2.36E1)); +#2831=LINE('',#2830,#2829); +#2832=DIRECTION('',(0.E0,1.E0,0.E0)); +#2833=VECTOR('',#2832,6.3E-1); +#2834=CARTESIAN_POINT('',(-1.245E1,-2.785E0,-2.36E1)); +#2835=LINE('',#2834,#2833); +#2836=DIRECTION('',(1.E0,0.E0,0.E0)); +#2837=VECTOR('',#2836,9.E-1); +#2838=CARTESIAN_POINT('',(-1.335E1,-2.785E0,-2.36E1)); +#2839=LINE('',#2838,#2837); +#2840=DIRECTION('',(0.E0,1.E0,0.E0)); +#2841=VECTOR('',#2840,6.3E-1); +#2842=CARTESIAN_POINT('',(-1.12E1,-2.785E0,-2.36E1)); +#2843=LINE('',#2842,#2841); +#2844=DIRECTION('',(1.E0,0.E0,0.E0)); +#2845=VECTOR('',#2844,9.E-1); +#2846=CARTESIAN_POINT('',(-1.12E1,-2.155E0,-2.36E1)); +#2847=LINE('',#2846,#2845); +#2848=DIRECTION('',(0.E0,1.E0,0.E0)); +#2849=VECTOR('',#2848,6.3E-1); +#2850=CARTESIAN_POINT('',(-1.03E1,-2.785E0,-2.36E1)); +#2851=LINE('',#2850,#2849); +#2852=DIRECTION('',(1.E0,0.E0,0.E0)); +#2853=VECTOR('',#2852,9.E-1); +#2854=CARTESIAN_POINT('',(-1.12E1,-2.785E0,-2.36E1)); +#2855=LINE('',#2854,#2853); +#2856=DIRECTION('',(0.E0,1.E0,0.E0)); +#2857=VECTOR('',#2856,6.3E-1); +#2858=CARTESIAN_POINT('',(-9.05E0,-2.785E0,-2.36E1)); +#2859=LINE('',#2858,#2857); +#2860=DIRECTION('',(1.E0,0.E0,0.E0)); +#2861=VECTOR('',#2860,9.E-1); +#2862=CARTESIAN_POINT('',(-9.05E0,-2.155E0,-2.36E1)); +#2863=LINE('',#2862,#2861); +#2864=DIRECTION('',(0.E0,1.E0,0.E0)); +#2865=VECTOR('',#2864,6.3E-1); +#2866=CARTESIAN_POINT('',(-8.15E0,-2.785E0,-2.36E1)); +#2867=LINE('',#2866,#2865); +#2868=DIRECTION('',(1.E0,0.E0,0.E0)); +#2869=VECTOR('',#2868,9.E-1); +#2870=CARTESIAN_POINT('',(-9.05E0,-2.785E0,-2.36E1)); +#2871=LINE('',#2870,#2869); +#2872=DIRECTION('',(0.E0,1.E0,0.E0)); +#2873=VECTOR('',#2872,6.3E-1); +#2874=CARTESIAN_POINT('',(-6.9E0,-2.785E0,-2.36E1)); +#2875=LINE('',#2874,#2873); +#2876=DIRECTION('',(1.E0,0.E0,0.E0)); +#2877=VECTOR('',#2876,9.E-1); +#2878=CARTESIAN_POINT('',(-6.9E0,-2.155E0,-2.36E1)); +#2879=LINE('',#2878,#2877); +#2880=DIRECTION('',(0.E0,1.E0,0.E0)); +#2881=VECTOR('',#2880,6.3E-1); +#2882=CARTESIAN_POINT('',(-6.E0,-2.785E0,-2.36E1)); +#2883=LINE('',#2882,#2881); +#2884=DIRECTION('',(1.E0,0.E0,0.E0)); +#2885=VECTOR('',#2884,9.E-1); +#2886=CARTESIAN_POINT('',(-6.9E0,-2.785E0,-2.36E1)); +#2887=LINE('',#2886,#2885); +#2888=DIRECTION('',(0.E0,1.E0,0.E0)); +#2889=VECTOR('',#2888,6.3E-1); +#2890=CARTESIAN_POINT('',(-4.75E0,-2.785E0,-2.36E1)); +#2891=LINE('',#2890,#2889); +#2892=DIRECTION('',(1.E0,0.E0,0.E0)); +#2893=VECTOR('',#2892,9.E-1); +#2894=CARTESIAN_POINT('',(-4.75E0,-2.155E0,-2.36E1)); +#2895=LINE('',#2894,#2893); +#2896=DIRECTION('',(0.E0,1.E0,0.E0)); +#2897=VECTOR('',#2896,6.3E-1); +#2898=CARTESIAN_POINT('',(-3.85E0,-2.785E0,-2.36E1)); +#2899=LINE('',#2898,#2897); +#2900=DIRECTION('',(1.E0,0.E0,0.E0)); +#2901=VECTOR('',#2900,9.E-1); +#2902=CARTESIAN_POINT('',(-4.75E0,-2.785E0,-2.36E1)); +#2903=LINE('',#2902,#2901); +#2904=DIRECTION('',(0.E0,1.E0,0.E0)); +#2905=VECTOR('',#2904,6.3E-1); +#2906=CARTESIAN_POINT('',(-2.6E0,-2.785E0,-2.36E1)); +#2907=LINE('',#2906,#2905); +#2908=DIRECTION('',(1.E0,0.E0,0.E0)); +#2909=VECTOR('',#2908,9.E-1); +#2910=CARTESIAN_POINT('',(-2.6E0,-2.155E0,-2.36E1)); +#2911=LINE('',#2910,#2909); +#2912=DIRECTION('',(0.E0,1.E0,0.E0)); +#2913=VECTOR('',#2912,6.3E-1); +#2914=CARTESIAN_POINT('',(-1.7E0,-2.785E0,-2.36E1)); +#2915=LINE('',#2914,#2913); +#2916=DIRECTION('',(1.E0,0.E0,0.E0)); +#2917=VECTOR('',#2916,9.E-1); +#2918=CARTESIAN_POINT('',(-2.6E0,-2.785E0,-2.36E1)); +#2919=LINE('',#2918,#2917); +#2920=DIRECTION('',(0.E0,1.E0,0.E0)); +#2921=VECTOR('',#2920,6.3E-1); +#2922=CARTESIAN_POINT('',(-4.5E-1,-2.785E0,-2.36E1)); +#2923=LINE('',#2922,#2921); +#2924=DIRECTION('',(1.E0,0.E0,0.E0)); +#2925=VECTOR('',#2924,9.E-1); +#2926=CARTESIAN_POINT('',(-4.5E-1,-2.155E0,-2.36E1)); +#2927=LINE('',#2926,#2925); +#2928=DIRECTION('',(0.E0,1.E0,0.E0)); +#2929=VECTOR('',#2928,6.3E-1); +#2930=CARTESIAN_POINT('',(4.5E-1,-2.785E0,-2.36E1)); +#2931=LINE('',#2930,#2929); +#2932=DIRECTION('',(1.E0,0.E0,0.E0)); +#2933=VECTOR('',#2932,9.E-1); +#2934=CARTESIAN_POINT('',(-4.5E-1,-2.785E0,-2.36E1)); +#2935=LINE('',#2934,#2933); +#2936=DIRECTION('',(0.E0,1.E0,0.E0)); +#2937=VECTOR('',#2936,6.3E-1); +#2938=CARTESIAN_POINT('',(1.7E0,-2.785E0,-2.36E1)); +#2939=LINE('',#2938,#2937); +#2940=DIRECTION('',(1.E0,0.E0,0.E0)); +#2941=VECTOR('',#2940,9.E-1); +#2942=CARTESIAN_POINT('',(1.7E0,-2.155E0,-2.36E1)); +#2943=LINE('',#2942,#2941); +#2944=DIRECTION('',(0.E0,1.E0,0.E0)); +#2945=VECTOR('',#2944,6.3E-1); +#2946=CARTESIAN_POINT('',(2.6E0,-2.785E0,-2.36E1)); +#2947=LINE('',#2946,#2945); +#2948=DIRECTION('',(1.E0,0.E0,0.E0)); +#2949=VECTOR('',#2948,9.E-1); +#2950=CARTESIAN_POINT('',(1.7E0,-2.785E0,-2.36E1)); +#2951=LINE('',#2950,#2949); +#2952=DIRECTION('',(0.E0,1.E0,0.E0)); +#2953=VECTOR('',#2952,6.3E-1); +#2954=CARTESIAN_POINT('',(3.85E0,-2.785E0,-2.36E1)); +#2955=LINE('',#2954,#2953); +#2956=DIRECTION('',(1.E0,0.E0,0.E0)); +#2957=VECTOR('',#2956,9.E-1); +#2958=CARTESIAN_POINT('',(3.85E0,-2.155E0,-2.36E1)); +#2959=LINE('',#2958,#2957); +#2960=DIRECTION('',(0.E0,1.E0,0.E0)); +#2961=VECTOR('',#2960,6.3E-1); +#2962=CARTESIAN_POINT('',(4.75E0,-2.785E0,-2.36E1)); +#2963=LINE('',#2962,#2961); +#2964=DIRECTION('',(1.E0,0.E0,0.E0)); +#2965=VECTOR('',#2964,9.E-1); +#2966=CARTESIAN_POINT('',(3.85E0,-2.785E0,-2.36E1)); +#2967=LINE('',#2966,#2965); +#2968=DIRECTION('',(0.E0,1.E0,0.E0)); +#2969=VECTOR('',#2968,6.3E-1); +#2970=CARTESIAN_POINT('',(6.E0,-2.785E0,-2.36E1)); +#2971=LINE('',#2970,#2969); +#2972=DIRECTION('',(1.E0,0.E0,0.E0)); +#2973=VECTOR('',#2972,9.E-1); +#2974=CARTESIAN_POINT('',(6.E0,-2.155E0,-2.36E1)); +#2975=LINE('',#2974,#2973); +#2976=DIRECTION('',(0.E0,1.E0,0.E0)); +#2977=VECTOR('',#2976,6.3E-1); +#2978=CARTESIAN_POINT('',(6.9E0,-2.785E0,-2.36E1)); +#2979=LINE('',#2978,#2977); +#2980=DIRECTION('',(1.E0,0.E0,0.E0)); +#2981=VECTOR('',#2980,9.E-1); +#2982=CARTESIAN_POINT('',(6.E0,-2.785E0,-2.36E1)); +#2983=LINE('',#2982,#2981); +#2984=DIRECTION('',(0.E0,1.E0,0.E0)); +#2985=VECTOR('',#2984,6.3E-1); +#2986=CARTESIAN_POINT('',(8.15E0,-2.785E0,-2.36E1)); +#2987=LINE('',#2986,#2985); +#2988=DIRECTION('',(1.E0,0.E0,0.E0)); +#2989=VECTOR('',#2988,9.E-1); +#2990=CARTESIAN_POINT('',(8.15E0,-2.155E0,-2.36E1)); +#2991=LINE('',#2990,#2989); +#2992=DIRECTION('',(0.E0,1.E0,0.E0)); +#2993=VECTOR('',#2992,6.3E-1); +#2994=CARTESIAN_POINT('',(9.05E0,-2.785E0,-2.36E1)); +#2995=LINE('',#2994,#2993); +#2996=DIRECTION('',(1.E0,0.E0,0.E0)); +#2997=VECTOR('',#2996,9.E-1); +#2998=CARTESIAN_POINT('',(8.15E0,-2.785E0,-2.36E1)); +#2999=LINE('',#2998,#2997); +#3000=DIRECTION('',(0.E0,1.E0,0.E0)); +#3001=VECTOR('',#3000,6.3E-1); +#3002=CARTESIAN_POINT('',(2.38E1,-2.785E0,-2.36E1)); +#3003=LINE('',#3002,#3001); +#3004=DIRECTION('',(1.E0,0.E0,0.E0)); +#3005=VECTOR('',#3004,9.E-1); +#3006=CARTESIAN_POINT('',(2.38E1,-2.155E0,-2.36E1)); +#3007=LINE('',#3006,#3005); +#3008=DIRECTION('',(0.E0,1.E0,0.E0)); +#3009=VECTOR('',#3008,6.3E-1); +#3010=CARTESIAN_POINT('',(2.47E1,-2.785E0,-2.36E1)); +#3011=LINE('',#3010,#3009); +#3012=DIRECTION('',(1.E0,0.E0,0.E0)); +#3013=VECTOR('',#3012,9.E-1); +#3014=CARTESIAN_POINT('',(2.38E1,-2.785E0,-2.36E1)); +#3015=LINE('',#3014,#3013); +#3016=DIRECTION('',(0.E0,1.E0,0.E0)); +#3017=VECTOR('',#3016,6.3E-1); +#3018=CARTESIAN_POINT('',(2.595E1,-2.785E0,-2.36E1)); +#3019=LINE('',#3018,#3017); +#3020=DIRECTION('',(1.E0,0.E0,0.E0)); +#3021=VECTOR('',#3020,9.E-1); +#3022=CARTESIAN_POINT('',(2.595E1,-2.155E0,-2.36E1)); +#3023=LINE('',#3022,#3021); +#3024=DIRECTION('',(0.E0,1.E0,0.E0)); +#3025=VECTOR('',#3024,6.3E-1); +#3026=CARTESIAN_POINT('',(2.685E1,-2.785E0,-2.36E1)); +#3027=LINE('',#3026,#3025); +#3028=DIRECTION('',(1.E0,0.E0,0.E0)); +#3029=VECTOR('',#3028,9.E-1); +#3030=CARTESIAN_POINT('',(2.595E1,-2.785E0,-2.36E1)); +#3031=LINE('',#3030,#3029); +#3032=DIRECTION('',(0.E0,1.E0,0.E0)); +#3033=VECTOR('',#3032,6.3E-1); +#3034=CARTESIAN_POINT('',(2.81E1,-2.785E0,-2.36E1)); +#3035=LINE('',#3034,#3033); +#3036=DIRECTION('',(1.E0,0.E0,0.E0)); +#3037=VECTOR('',#3036,9.E-1); +#3038=CARTESIAN_POINT('',(2.81E1,-2.155E0,-2.36E1)); +#3039=LINE('',#3038,#3037); +#3040=DIRECTION('',(0.E0,1.E0,0.E0)); +#3041=VECTOR('',#3040,6.3E-1); +#3042=CARTESIAN_POINT('',(2.9E1,-2.785E0,-2.36E1)); +#3043=LINE('',#3042,#3041); +#3044=DIRECTION('',(1.E0,0.E0,0.E0)); +#3045=VECTOR('',#3044,9.E-1); +#3046=CARTESIAN_POINT('',(2.81E1,-2.785E0,-2.36E1)); +#3047=LINE('',#3046,#3045); +#3048=DIRECTION('',(0.E0,1.E0,0.E0)); +#3049=VECTOR('',#3048,6.3E-1); +#3050=CARTESIAN_POINT('',(3.025E1,-2.785E0,-2.36E1)); +#3051=LINE('',#3050,#3049); +#3052=DIRECTION('',(1.E0,0.E0,0.E0)); +#3053=VECTOR('',#3052,9.E-1); +#3054=CARTESIAN_POINT('',(3.025E1,-2.155E0,-2.36E1)); +#3055=LINE('',#3054,#3053); +#3056=DIRECTION('',(-1.127845612318E-14,1.E0,0.E0)); +#3057=VECTOR('',#3056,6.3E-1); +#3058=CARTESIAN_POINT('',(3.115E1,-2.785E0,-2.36E1)); +#3059=LINE('',#3058,#3057); +#3060=DIRECTION('',(1.E0,0.E0,0.E0)); +#3061=VECTOR('',#3060,9.E-1); +#3062=CARTESIAN_POINT('',(3.025E1,-2.785E0,-2.36E1)); +#3063=LINE('',#3062,#3061); +#3064=DIRECTION('',(0.E0,1.E0,0.E0)); +#3065=VECTOR('',#3064,6.3E-1); +#3066=CARTESIAN_POINT('',(3.24E1,-2.785E0,-2.36E1)); +#3067=LINE('',#3066,#3065); +#3068=DIRECTION('',(1.E0,0.E0,0.E0)); +#3069=VECTOR('',#3068,9.E-1); +#3070=CARTESIAN_POINT('',(3.24E1,-2.155E0,-2.36E1)); +#3071=LINE('',#3070,#3069); +#3072=DIRECTION('',(0.E0,1.E0,0.E0)); +#3073=VECTOR('',#3072,6.3E-1); +#3074=CARTESIAN_POINT('',(3.33E1,-2.785E0,-2.36E1)); +#3075=LINE('',#3074,#3073); +#3076=DIRECTION('',(1.E0,0.E0,0.E0)); +#3077=VECTOR('',#3076,9.E-1); +#3078=CARTESIAN_POINT('',(3.24E1,-2.785E0,-2.36E1)); +#3079=LINE('',#3078,#3077); +#3080=DIRECTION('',(0.E0,1.E0,0.E0)); +#3081=VECTOR('',#3080,6.3E-1); +#3082=CARTESIAN_POINT('',(3.455E1,-2.785E0,-2.36E1)); +#3083=LINE('',#3082,#3081); +#3084=DIRECTION('',(1.E0,0.E0,0.E0)); +#3085=VECTOR('',#3084,9.E-1); +#3086=CARTESIAN_POINT('',(3.455E1,-2.155E0,-2.36E1)); +#3087=LINE('',#3086,#3085); +#3088=DIRECTION('',(0.E0,1.E0,0.E0)); +#3089=VECTOR('',#3088,6.3E-1); +#3090=CARTESIAN_POINT('',(3.545E1,-2.785E0,-2.36E1)); +#3091=LINE('',#3090,#3089); +#3092=DIRECTION('',(1.E0,0.E0,0.E0)); +#3093=VECTOR('',#3092,9.E-1); +#3094=CARTESIAN_POINT('',(3.455E1,-2.785E0,-2.36E1)); +#3095=LINE('',#3094,#3093); +#3096=DIRECTION('',(0.E0,1.E0,0.E0)); +#3097=VECTOR('',#3096,6.3E-1); +#3098=CARTESIAN_POINT('',(3.67E1,-2.785E0,-2.36E1)); +#3099=LINE('',#3098,#3097); +#3100=DIRECTION('',(1.E0,0.E0,0.E0)); +#3101=VECTOR('',#3100,9.E-1); +#3102=CARTESIAN_POINT('',(3.67E1,-2.155E0,-2.36E1)); +#3103=LINE('',#3102,#3101); +#3104=DIRECTION('',(0.E0,1.E0,0.E0)); +#3105=VECTOR('',#3104,6.3E-1); +#3106=CARTESIAN_POINT('',(3.76E1,-2.785E0,-2.36E1)); +#3107=LINE('',#3106,#3105); +#3108=DIRECTION('',(1.E0,0.E0,0.E0)); +#3109=VECTOR('',#3108,9.E-1); +#3110=CARTESIAN_POINT('',(3.67E1,-2.785E0,-2.36E1)); +#3111=LINE('',#3110,#3109); +#3112=DIRECTION('',(0.E0,1.E0,0.E0)); +#3113=VECTOR('',#3112,6.3E-1); +#3114=CARTESIAN_POINT('',(3.885E1,-2.785E0,-2.36E1)); +#3115=LINE('',#3114,#3113); +#3116=DIRECTION('',(1.E0,0.E0,0.E0)); +#3117=VECTOR('',#3116,9.E-1); +#3118=CARTESIAN_POINT('',(3.885E1,-2.155E0,-2.36E1)); +#3119=LINE('',#3118,#3117); +#3120=DIRECTION('',(0.E0,1.E0,0.E0)); +#3121=VECTOR('',#3120,6.3E-1); +#3122=CARTESIAN_POINT('',(3.975E1,-2.785E0,-2.36E1)); +#3123=LINE('',#3122,#3121); +#3124=DIRECTION('',(1.E0,0.E0,0.E0)); +#3125=VECTOR('',#3124,9.E-1); +#3126=CARTESIAN_POINT('',(3.885E1,-2.785E0,-2.36E1)); +#3127=LINE('',#3126,#3125); +#3128=DIRECTION('',(0.E0,1.E0,0.E0)); +#3129=VECTOR('',#3128,6.3E-1); +#3130=CARTESIAN_POINT('',(4.1E1,-2.785E0,-2.36E1)); +#3131=LINE('',#3130,#3129); +#3132=DIRECTION('',(1.E0,0.E0,0.E0)); +#3133=VECTOR('',#3132,9.E-1); +#3134=CARTESIAN_POINT('',(4.1E1,-2.155E0,-2.36E1)); +#3135=LINE('',#3134,#3133); +#3136=DIRECTION('',(0.E0,1.E0,0.E0)); +#3137=VECTOR('',#3136,6.3E-1); +#3138=CARTESIAN_POINT('',(4.19E1,-2.785E0,-2.36E1)); +#3139=LINE('',#3138,#3137); +#3140=DIRECTION('',(1.E0,0.E0,0.E0)); +#3141=VECTOR('',#3140,9.E-1); +#3142=CARTESIAN_POINT('',(4.1E1,-2.785E0,-2.36E1)); +#3143=LINE('',#3142,#3141); +#3144=DIRECTION('',(0.E0,1.E0,0.E0)); +#3145=VECTOR('',#3144,6.3E-1); +#3146=CARTESIAN_POINT('',(4.315E1,-2.785E0,-2.36E1)); +#3147=LINE('',#3146,#3145); +#3148=DIRECTION('',(1.E0,0.E0,0.E0)); +#3149=VECTOR('',#3148,9.E-1); +#3150=CARTESIAN_POINT('',(4.315E1,-2.155E0,-2.36E1)); +#3151=LINE('',#3150,#3149); +#3152=DIRECTION('',(0.E0,1.E0,0.E0)); +#3153=VECTOR('',#3152,6.3E-1); +#3154=CARTESIAN_POINT('',(4.405E1,-2.785E0,-2.36E1)); +#3155=LINE('',#3154,#3153); +#3156=DIRECTION('',(1.E0,0.E0,0.E0)); +#3157=VECTOR('',#3156,9.E-1); +#3158=CARTESIAN_POINT('',(4.315E1,-2.785E0,-2.36E1)); +#3159=LINE('',#3158,#3157); +#3160=DIRECTION('',(0.E0,1.E0,0.E0)); +#3161=VECTOR('',#3160,6.3E-1); +#3162=CARTESIAN_POINT('',(4.53E1,-2.785E0,-2.36E1)); +#3163=LINE('',#3162,#3161); +#3164=DIRECTION('',(1.E0,0.E0,0.E0)); +#3165=VECTOR('',#3164,9.E-1); +#3166=CARTESIAN_POINT('',(4.53E1,-2.155E0,-2.36E1)); +#3167=LINE('',#3166,#3165); +#3168=DIRECTION('',(0.E0,1.E0,0.E0)); +#3169=VECTOR('',#3168,6.3E-1); +#3170=CARTESIAN_POINT('',(4.62E1,-2.785E0,-2.36E1)); +#3171=LINE('',#3170,#3169); +#3172=DIRECTION('',(1.E0,0.E0,0.E0)); +#3173=VECTOR('',#3172,9.E-1); +#3174=CARTESIAN_POINT('',(4.53E1,-2.785E0,-2.36E1)); +#3175=LINE('',#3174,#3173); +#3176=DIRECTION('',(0.E0,1.E0,0.E0)); +#3177=VECTOR('',#3176,6.3E-1); +#3178=CARTESIAN_POINT('',(4.745E1,-2.785E0,-2.36E1)); +#3179=LINE('',#3178,#3177); +#3180=DIRECTION('',(1.E0,0.E0,0.E0)); +#3181=VECTOR('',#3180,9.E-1); +#3182=CARTESIAN_POINT('',(4.745E1,-2.155E0,-2.36E1)); +#3183=LINE('',#3182,#3181); +#3184=DIRECTION('',(0.E0,1.E0,0.E0)); +#3185=VECTOR('',#3184,6.3E-1); +#3186=CARTESIAN_POINT('',(4.835E1,-2.785E0,-2.36E1)); +#3187=LINE('',#3186,#3185); +#3188=DIRECTION('',(1.E0,0.E0,0.E0)); +#3189=VECTOR('',#3188,9.E-1); +#3190=CARTESIAN_POINT('',(4.745E1,-2.785E0,-2.36E1)); +#3191=LINE('',#3190,#3189); +#3192=DIRECTION('',(0.E0,1.E0,0.E0)); +#3193=VECTOR('',#3192,6.3E-1); +#3194=CARTESIAN_POINT('',(4.96E1,-2.785E0,-2.36E1)); +#3195=LINE('',#3194,#3193); +#3196=DIRECTION('',(1.E0,0.E0,0.E0)); +#3197=VECTOR('',#3196,9.E-1); +#3198=CARTESIAN_POINT('',(4.96E1,-2.155E0,-2.36E1)); +#3199=LINE('',#3198,#3197); +#3200=DIRECTION('',(0.E0,1.E0,0.E0)); +#3201=VECTOR('',#3200,6.3E-1); +#3202=CARTESIAN_POINT('',(5.05E1,-2.785E0,-2.36E1)); +#3203=LINE('',#3202,#3201); +#3204=DIRECTION('',(1.E0,0.E0,0.E0)); +#3205=VECTOR('',#3204,9.E-1); +#3206=CARTESIAN_POINT('',(4.96E1,-2.785E0,-2.36E1)); +#3207=LINE('',#3206,#3205); +#3208=DIRECTION('',(0.E0,1.E0,0.E0)); +#3209=VECTOR('',#3208,6.3E-1); +#3210=CARTESIAN_POINT('',(5.175E1,-2.785E0,-2.36E1)); +#3211=LINE('',#3210,#3209); +#3212=DIRECTION('',(1.E0,0.E0,0.E0)); +#3213=VECTOR('',#3212,9.E-1); +#3214=CARTESIAN_POINT('',(5.175E1,-2.155E0,-2.36E1)); +#3215=LINE('',#3214,#3213); +#3216=DIRECTION('',(0.E0,1.E0,0.E0)); +#3217=VECTOR('',#3216,6.3E-1); +#3218=CARTESIAN_POINT('',(5.265E1,-2.785E0,-2.36E1)); +#3219=LINE('',#3218,#3217); +#3220=DIRECTION('',(1.E0,0.E0,0.E0)); +#3221=VECTOR('',#3220,9.E-1); +#3222=CARTESIAN_POINT('',(5.175E1,-2.785E0,-2.36E1)); +#3223=LINE('',#3222,#3221); +#3224=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3225=VECTOR('',#3224,5.E0); +#3226=CARTESIAN_POINT('',(1.895E1,6.3E0,-3.64E1)); +#3227=LINE('',#3226,#3225); +#3228=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3229=VECTOR('',#3228,6.895E1); +#3230=CARTESIAN_POINT('',(1.395E1,6.3E0,-2.93E1)); +#3231=LINE('',#3230,#3229); +#3232=DIRECTION('',(0.E0,0.E0,1.E0)); +#3233=VECTOR('',#3232,5.7E0); +#3234=CARTESIAN_POINT('',(-5.5E1,6.3E0,-2.93E1)); +#3235=LINE('',#3234,#3233); +#3236=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3237=VECTOR('',#3236,2.24E0); +#3238=CARTESIAN_POINT('',(-4.195E1,6.3E0,-2.36E1)); +#3239=LINE('',#3238,#3237); +#3240=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3241=VECTOR('',#3240,5.165E1); +#3242=CARTESIAN_POINT('',(9.7E0,6.3E0,-2.584E1)); +#3243=LINE('',#3242,#3241); +#3244=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3245=VECTOR('',#3244,2.24E0); +#3246=CARTESIAN_POINT('',(9.7E0,6.3E0,-2.36E1)); +#3247=LINE('',#3246,#3245); +#3248=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3249=VECTOR('',#3248,1.15E1); +#3250=CARTESIAN_POINT('',(1.595E1,6.3E0,-2.36E1)); +#3251=LINE('',#3250,#3249); +#3252=DIRECTION('',(1.E0,0.E0,0.E0)); +#3253=VECTOR('',#3252,1.E0); +#3254=CARTESIAN_POINT('',(1.595E1,6.3E0,-3.51E1)); +#3255=LINE('',#3254,#3253); +#3256=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3257=VECTOR('',#3256,1.15E1); +#3258=CARTESIAN_POINT('',(1.695E1,6.3E0,-2.36E1)); +#3259=LINE('',#3258,#3257); +#3260=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3261=VECTOR('',#3260,2.24E0); +#3262=CARTESIAN_POINT('',(2.315E1,6.3E0,-2.36E1)); +#3263=LINE('',#3262,#3261); +#3264=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3265=VECTOR('',#3264,3.015E1); +#3266=CARTESIAN_POINT('',(5.33E1,6.3E0,-2.584E1)); +#3267=LINE('',#3266,#3265); +#3268=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3269=VECTOR('',#3268,2.24E0); +#3270=CARTESIAN_POINT('',(5.33E1,6.3E0,-2.36E1)); +#3271=LINE('',#3270,#3269); +#3272=DIRECTION('',(0.E0,0.E0,1.E0)); +#3273=VECTOR('',#3272,5.7E0); +#3274=CARTESIAN_POINT('',(5.5E1,6.3E0,-2.93E1)); +#3275=LINE('',#3274,#3273); +#3276=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3277=VECTOR('',#3276,3.605E1); +#3278=CARTESIAN_POINT('',(5.5E1,6.3E0,-2.93E1)); +#3279=LINE('',#3278,#3277); +#3280=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3281=VECTOR('',#3280,7.1E0); +#3282=CARTESIAN_POINT('',(1.895E1,6.3E0,-2.93E1)); +#3283=LINE('',#3282,#3281); +#3284=CARTESIAN_POINT('',(1.395E1,6.8E0,-3.64E1)); +#3285=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3286=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3287=AXIS2_PLACEMENT_3D('',#3284,#3285,#3286); +#3289=CARTESIAN_POINT('',(1.395E1,7.2E0,-3.64E1)); +#3290=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3291=DIRECTION('',(0.E0,1.E0,0.E0)); +#3292=AXIS2_PLACEMENT_3D('',#3289,#3290,#3291); +#3294=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3295=VECTOR('',#3294,7.1E0); +#3296=CARTESIAN_POINT('',(1.395E1,6.3E0,-2.93E1)); +#3297=LINE('',#3296,#3295); +#3298=DIRECTION('',(1.E0,0.E0,0.E0)); +#3299=VECTOR('',#3298,6.35E0); +#3300=CARTESIAN_POINT('',(-6.21E1,7.2E0,-3.69E1)); +#3301=LINE('',#3300,#3299); +#3302=DIRECTION('',(0.E0,1.E0,0.E0)); +#3303=VECTOR('',#3302,2.E0); +#3304=CARTESIAN_POINT('',(-6.21E1,5.2E0,-3.69E1)); +#3305=LINE('',#3304,#3303); +#3306=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3307=VECTOR('',#3306,3.221856114067E0); +#3308=CARTESIAN_POINT('',(-5.887814388593E1,5.2E0,-3.69E1)); +#3309=LINE('',#3308,#3307); +#3310=CARTESIAN_POINT('',(-5.5E1,1.3E0,-3.69E1)); +#3311=DIRECTION('',(0.E0,0.E0,1.E0)); +#3312=DIRECTION('',(-1.363636363636E-1,9.906588508047E-1,0.E0)); +#3313=AXIS2_PLACEMENT_3D('',#3310,#3311,#3312); +#3315=DIRECTION('',(1.574169275996E-14,-1.E0,0.E0)); +#3316=VECTOR('',#3315,4.513763205742E-1); +#3317=CARTESIAN_POINT('',(-5.575E1,7.2E0,-3.69E1)); +#3318=LINE('',#3317,#3316); +#3319=DIRECTION('',(1.E0,0.E0,0.E0)); +#3320=VECTOR('',#3319,6.35E0); +#3321=CARTESIAN_POINT('',(5.575E1,7.2E0,-3.69E1)); +#3322=LINE('',#3321,#3320); +#3323=DIRECTION('',(-3.148338551993E-14,1.E0,0.E0)); +#3324=VECTOR('',#3323,4.513763205742E-1); +#3325=CARTESIAN_POINT('',(5.575E1,6.748623679426E0,-3.69E1)); +#3326=LINE('',#3325,#3324); +#3327=CARTESIAN_POINT('',(5.5E1,1.3E0,-3.69E1)); +#3328=DIRECTION('',(0.E0,0.E0,1.E0)); +#3329=DIRECTION('',(7.051170701696E-1,7.090909090909E-1,0.E0)); +#3330=AXIS2_PLACEMENT_3D('',#3327,#3328,#3329); +#3332=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3333=VECTOR('',#3332,3.221856114067E0); +#3334=CARTESIAN_POINT('',(6.21E1,5.2E0,-3.69E1)); +#3335=LINE('',#3334,#3333); +#3336=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3337=VECTOR('',#3336,2.E0); +#3338=CARTESIAN_POINT('',(6.21E1,7.2E0,-3.69E1)); +#3339=LINE('',#3338,#3337); +#3340=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3341=VECTOR('',#3340,5.E0); +#3342=CARTESIAN_POINT('',(1.895E1,6.8E0,-3.69E1)); +#3343=LINE('',#3342,#3341); +#3344=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3345=VECTOR('',#3344,4.E-1); +#3346=CARTESIAN_POINT('',(1.895E1,7.2E0,-3.69E1)); +#3347=LINE('',#3346,#3345); +#3348=DIRECTION('',(1.E0,0.E0,0.E0)); +#3349=VECTOR('',#3348,5.E0); +#3350=CARTESIAN_POINT('',(1.395E1,7.2E0,-3.69E1)); +#3351=LINE('',#3350,#3349); +#3352=DIRECTION('',(0.E0,1.E0,0.E0)); +#3353=VECTOR('',#3352,4.E-1); +#3354=CARTESIAN_POINT('',(1.395E1,6.8E0,-3.69E1)); +#3355=LINE('',#3354,#3353); +#3356=CARTESIAN_POINT('',(-5.875E1,7.7E0,-3.36E1)); +#3357=DIRECTION('',(0.E0,1.E0,0.E0)); +#3358=DIRECTION('',(1.E0,0.E0,0.E0)); +#3359=AXIS2_PLACEMENT_3D('',#3356,#3357,#3358); +#3361=CARTESIAN_POINT('',(-5.875E1,7.7E0,-3.36E1)); +#3362=DIRECTION('',(0.E0,1.E0,0.E0)); +#3363=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3364=AXIS2_PLACEMENT_3D('',#3361,#3362,#3363); +#3366=CARTESIAN_POINT('',(5.875E1,7.7E0,-3.36E1)); +#3367=DIRECTION('',(0.E0,1.E0,0.E0)); +#3368=DIRECTION('',(1.E0,0.E0,0.E0)); +#3369=AXIS2_PLACEMENT_3D('',#3366,#3367,#3368); +#3371=CARTESIAN_POINT('',(5.875E1,7.7E0,-3.36E1)); +#3372=DIRECTION('',(0.E0,1.E0,0.E0)); +#3373=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3374=AXIS2_PLACEMENT_3D('',#3371,#3372,#3373); +#3376=CARTESIAN_POINT('',(1.645E1,7.7E0,-3.36E1)); +#3377=DIRECTION('',(0.E0,1.E0,0.E0)); +#3378=DIRECTION('',(1.E0,0.E0,0.E0)); +#3379=AXIS2_PLACEMENT_3D('',#3376,#3377,#3378); +#3381=CARTESIAN_POINT('',(1.645E1,7.7E0,-3.36E1)); +#3382=DIRECTION('',(0.E0,1.E0,0.E0)); +#3383=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3384=AXIS2_PLACEMENT_3D('',#3381,#3382,#3383); +#3386=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3387=VECTOR('',#3386,2.3E0); +#3388=CARTESIAN_POINT('',(-6.293486873411E1,7.7E0,-2.7E1)); +#3389=LINE('',#3388,#3387); +#3390=DIRECTION('',(0.E0,0.E0,1.E0)); +#3391=VECTOR('',#3390,7.1E0); +#3392=CARTESIAN_POINT('',(-6.21E1,7.7E0,-3.64E1)); +#3393=LINE('',#3392,#3391); +#3394=DIRECTION('',(1.E0,0.E0,0.E0)); +#3395=VECTOR('',#3394,6.35E0); +#3396=CARTESIAN_POINT('',(-6.21E1,7.7E0,-3.64E1)); +#3397=LINE('',#3396,#3395); +#3398=DIRECTION('',(0.E0,0.E0,1.E0)); +#3399=VECTOR('',#3398,7.1E0); +#3400=CARTESIAN_POINT('',(-5.575E1,7.7E0,-3.64E1)); +#3401=LINE('',#3400,#3399); +#3402=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3403=VECTOR('',#3402,7.1E0); +#3404=CARTESIAN_POINT('',(1.395E1,7.7E0,-2.93E1)); +#3405=LINE('',#3404,#3403); +#3406=DIRECTION('',(1.E0,0.E0,0.E0)); +#3407=VECTOR('',#3406,5.E0); +#3408=CARTESIAN_POINT('',(1.395E1,7.7E0,-3.64E1)); +#3409=LINE('',#3408,#3407); +#3410=DIRECTION('',(0.E0,0.E0,1.E0)); +#3411=VECTOR('',#3410,7.1E0); +#3412=CARTESIAN_POINT('',(5.575E1,7.7E0,-3.64E1)); +#3413=LINE('',#3412,#3411); +#3414=DIRECTION('',(1.E0,0.E0,0.E0)); +#3415=VECTOR('',#3414,6.35E0); +#3416=CARTESIAN_POINT('',(5.575E1,7.7E0,-3.64E1)); +#3417=LINE('',#3416,#3415); +#3418=DIRECTION('',(0.E0,0.E0,1.E0)); +#3419=VECTOR('',#3418,7.1E0); +#3420=CARTESIAN_POINT('',(6.21E1,7.7E0,-3.64E1)); +#3421=LINE('',#3420,#3419); +#3422=DIRECTION('',(0.E0,0.E0,1.E0)); +#3423=VECTOR('',#3422,2.3E0); +#3424=CARTESIAN_POINT('',(6.293486873411E1,7.7E0,-2.93E1)); +#3425=LINE('',#3424,#3423); +#3426=CARTESIAN_POINT('',(-5.875E1,9.5E0,-3.36E1)); +#3427=DIRECTION('',(0.E0,1.E0,0.E0)); +#3428=DIRECTION('',(1.E0,0.E0,0.E0)); +#3429=AXIS2_PLACEMENT_3D('',#3426,#3427,#3428); +#3431=DIRECTION('',(0.E0,1.E0,-2.009256958344E-12)); +#3432=VECTOR('',#3431,1.8E0); +#3433=CARTESIAN_POINT('',(-5.725E1,7.7E0,-3.36E1)); +#3434=LINE('',#3433,#3432); +#3435=CARTESIAN_POINT('',(-5.775E1,9.5E0,-3.36E1)); +#3436=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3437=DIRECTION('',(-1.421085471520E-14,1.E0,0.E0)); +#3438=AXIS2_PLACEMENT_3D('',#3435,#3436,#3437); +#3440=CARTESIAN_POINT('',(-5.875E1,1.E1,-3.36E1)); +#3441=DIRECTION('',(0.E0,1.E0,0.E0)); +#3442=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3443=AXIS2_PLACEMENT_3D('',#3440,#3441,#3442); +#3445=CARTESIAN_POINT('',(-5.875E1,1.E1,-3.36E1)); +#3446=DIRECTION('',(0.E0,1.E0,0.E0)); +#3447=DIRECTION('',(1.E0,0.E0,0.E0)); +#3448=AXIS2_PLACEMENT_3D('',#3445,#3446,#3447); +#3450=CARTESIAN_POINT('',(1.645E1,1.E1,-3.36E1)); +#3451=DIRECTION('',(0.E0,1.E0,0.E0)); +#3452=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3453=AXIS2_PLACEMENT_3D('',#3450,#3451,#3452); +#3455=CARTESIAN_POINT('',(1.645E1,1.E1,-3.36E1)); +#3456=DIRECTION('',(0.E0,1.E0,0.E0)); +#3457=DIRECTION('',(1.E0,0.E0,0.E0)); +#3458=AXIS2_PLACEMENT_3D('',#3455,#3456,#3457); +#3460=CARTESIAN_POINT('',(5.875E1,1.E1,-3.36E1)); +#3461=DIRECTION('',(0.E0,1.E0,0.E0)); +#3462=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3463=AXIS2_PLACEMENT_3D('',#3460,#3461,#3462); +#3465=CARTESIAN_POINT('',(5.875E1,1.E1,-3.36E1)); +#3466=DIRECTION('',(0.E0,1.E0,0.E0)); +#3467=DIRECTION('',(1.E0,0.E0,0.E0)); +#3468=AXIS2_PLACEMENT_3D('',#3465,#3466,#3467); +#3470=CARTESIAN_POINT('',(-5.975E1,9.5E0,-3.36E1)); +#3471=DIRECTION('',(0.E0,0.E0,1.E0)); +#3472=DIRECTION('',(1.421085471520E-14,1.E0,0.E0)); +#3473=AXIS2_PLACEMENT_3D('',#3470,#3471,#3472); +#3475=CARTESIAN_POINT('',(-5.875E1,9.5E0,-3.36E1)); +#3476=DIRECTION('',(0.E0,1.E0,0.E0)); +#3477=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3478=AXIS2_PLACEMENT_3D('',#3475,#3476,#3477); +#3480=DIRECTION('',(0.E0,1.E0,2.009256958344E-12)); +#3481=VECTOR('',#3480,1.8E0); +#3482=CARTESIAN_POINT('',(-6.025E1,7.7E0,-3.36E1)); +#3483=LINE('',#3482,#3481); +#3484=CARTESIAN_POINT('',(1.545E1,9.5E0,-3.36E1)); +#3485=DIRECTION('',(0.E0,0.E0,1.E0)); +#3486=DIRECTION('',(0.E0,1.E0,0.E0)); +#3487=AXIS2_PLACEMENT_3D('',#3484,#3485,#3486); +#3489=CARTESIAN_POINT('',(1.745E1,9.5E0,-3.36E1)); +#3490=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3491=DIRECTION('',(0.E0,1.E0,0.E0)); +#3492=AXIS2_PLACEMENT_3D('',#3489,#3490,#3491); +#3494=CARTESIAN_POINT('',(1.645E1,9.5E0,-3.36E1)); +#3495=DIRECTION('',(0.E0,1.E0,0.E0)); +#3496=DIRECTION('',(1.E0,0.E0,0.E0)); +#3497=AXIS2_PLACEMENT_3D('',#3494,#3495,#3496); +#3499=DIRECTION('',(0.E0,1.E0,-2.009256958344E-12)); +#3500=VECTOR('',#3499,1.8E0); +#3501=CARTESIAN_POINT('',(1.795E1,7.7E0,-3.36E1)); +#3502=LINE('',#3501,#3500); +#3503=CARTESIAN_POINT('',(1.645E1,9.5E0,-3.36E1)); +#3504=DIRECTION('',(0.E0,1.E0,0.E0)); +#3505=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3506=AXIS2_PLACEMENT_3D('',#3503,#3504,#3505); +#3508=DIRECTION('',(0.E0,1.E0,2.009256958344E-12)); +#3509=VECTOR('',#3508,1.8E0); +#3510=CARTESIAN_POINT('',(1.495E1,7.7E0,-3.36E1)); +#3511=LINE('',#3510,#3509); +#3512=CARTESIAN_POINT('',(5.775E1,9.5E0,-3.36E1)); +#3513=DIRECTION('',(0.E0,0.E0,1.E0)); +#3514=DIRECTION('',(0.E0,1.E0,0.E0)); +#3515=AXIS2_PLACEMENT_3D('',#3512,#3513,#3514); +#3517=CARTESIAN_POINT('',(5.975E1,9.5E0,-3.36E1)); +#3518=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3519=DIRECTION('',(-1.421085471520E-14,1.E0,0.E0)); +#3520=AXIS2_PLACEMENT_3D('',#3517,#3518,#3519); +#3522=CARTESIAN_POINT('',(5.875E1,9.5E0,-3.36E1)); +#3523=DIRECTION('',(0.E0,1.E0,0.E0)); +#3524=DIRECTION('',(1.E0,0.E0,0.E0)); +#3525=AXIS2_PLACEMENT_3D('',#3522,#3523,#3524); +#3527=DIRECTION('',(0.E0,1.E0,-2.009256958344E-12)); +#3528=VECTOR('',#3527,1.8E0); +#3529=CARTESIAN_POINT('',(6.025E1,7.7E0,-3.36E1)); +#3530=LINE('',#3529,#3528); +#3531=CARTESIAN_POINT('',(5.875E1,9.5E0,-3.36E1)); +#3532=DIRECTION('',(0.E0,1.E0,0.E0)); +#3533=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3534=AXIS2_PLACEMENT_3D('',#3531,#3532,#3533); +#3536=DIRECTION('',(0.E0,1.E0,2.009256958344E-12)); +#3537=VECTOR('',#3536,1.8E0); +#3538=CARTESIAN_POINT('',(5.725E1,7.7E0,-3.36E1)); +#3539=LINE('',#3538,#3537); +#3540=CARTESIAN_POINT('',(-6.293486873411E1,7.2E0,-2.7E1)); +#3541=DIRECTION('',(0.E0,0.E0,1.E0)); +#3542=DIRECTION('',(1.421085471520E-14,1.E0,0.E0)); +#3543=AXIS2_PLACEMENT_3D('',#3540,#3541,#3542); +#3545=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3546=VECTOR('',#3545,2.5E0); +#3547=CARTESIAN_POINT('',(-6.26E1,7.2E0,-2.93E1)); +#3548=LINE('',#3547,#3546); +#3549=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3550=VECTOR('',#3549,8.348687341115E-1); +#3551=CARTESIAN_POINT('',(-6.21E1,7.7E0,-2.93E1)); +#3552=LINE('',#3551,#3550); +#3553=CARTESIAN_POINT('',(-6.293486873411E1,7.2E0,-2.93E1)); +#3554=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3555=DIRECTION('',(-9.069566748341E-1,4.212239190428E-1,0.E0)); +#3556=AXIS2_PLACEMENT_3D('',#3553,#3554,#3555); +#3558=DIRECTION('',(4.212239190428E-1,9.069566748341E-1,0.E0)); +#3559=VECTOR('',#3558,2.029932903122E1); +#3560=CARTESIAN_POINT('',(-7.193891E1,-1.1E1,-2.93E1)); +#3561=LINE('',#3560,#3559); +#3562=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3563=VECTOR('',#3562,4.63891E0); +#3564=CARTESIAN_POINT('',(-6.73E1,-1.1E1,-2.93E1)); +#3565=LINE('',#3564,#3563); +#3566=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3567=VECTOR('',#3566,2.5E0); +#3568=CARTESIAN_POINT('',(-6.73E1,-1.1E1,-2.93E1)); +#3569=LINE('',#3568,#3567); +#3570=DIRECTION('',(0.E0,1.E0,0.E0)); +#3571=VECTOR('',#3570,1.112452383183E1); +#3572=CARTESIAN_POINT('',(-6.65E1,-1.35E1,-2.93E1)); +#3573=LINE('',#3572,#3571); +#3574=DIRECTION('',(4.212239190428E-1,9.069566748341E-1,0.E0)); +#3575=VECTOR('',#3574,1.516584205547E0); +#3576=CARTESIAN_POINT('',(-6.65E1,-2.375476168169E0,-2.93E1)); +#3577=LINE('',#3576,#3575); +#3578=DIRECTION('',(1.E0,0.E0,0.E0)); +#3579=VECTOR('',#3578,3.561178457381E0); +#3580=CARTESIAN_POINT('',(-6.586117845738E1,-1.E0,-2.93E1)); +#3581=LINE('',#3580,#3579); +#3582=CARTESIAN_POINT('',(-6.23E1,-1.8E0,-2.93E1)); +#3583=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3584=DIRECTION('',(-1.776356839400E-14,1.E0,0.E0)); +#3585=AXIS2_PLACEMENT_3D('',#3582,#3583,#3584); +#3587=DIRECTION('',(0.E0,1.E0,0.E0)); +#3588=VECTOR('',#3587,1.17E1); +#3589=CARTESIAN_POINT('',(-6.15E1,-1.35E1,-2.93E1)); +#3590=LINE('',#3589,#3588); +#3591=DIRECTION('',(0.E0,1.E0,0.E0)); +#3592=VECTOR('',#3591,7.3E0); +#3593=CARTESIAN_POINT('',(-5.775E1,-1.35E1,-2.93E1)); +#3594=LINE('',#3593,#3592); +#3595=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3596=VECTOR('',#3595,2.3E0); +#3597=CARTESIAN_POINT('',(-5.775E1,-6.2E0,-2.93E1)); +#3598=LINE('',#3597,#3596); +#3599=DIRECTION('',(0.E0,1.E0,0.E0)); +#3600=VECTOR('',#3599,2.E-1); +#3601=CARTESIAN_POINT('',(-6.005E1,-6.2E0,-2.93E1)); +#3602=LINE('',#3601,#3600); +#3603=DIRECTION('',(5.214500094540E-1,8.532818336520E-1,0.E0)); +#3604=VECTOR('',#3603,2.109502310973E0); +#3605=CARTESIAN_POINT('',(-6.005E1,-6.E0,-2.93E1)); +#3606=LINE('',#3605,#3604); +#3607=DIRECTION('',(0.E0,1.E0,0.E0)); +#3608=VECTOR('',#3607,4.E-1); +#3609=CARTESIAN_POINT('',(-5.895E1,-4.2E0,-2.93E1)); +#3610=LINE('',#3609,#3608); +#3611=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3612=VECTOR('',#3611,1.05E0); +#3613=CARTESIAN_POINT('',(-5.895E1,-3.8E0,-2.93E1)); +#3614=LINE('',#3613,#3612); +#3615=DIRECTION('',(0.E0,1.E0,0.E0)); +#3616=VECTOR('',#3615,2.2E0); +#3617=CARTESIAN_POINT('',(-6.E1,-3.8E0,-2.93E1)); +#3618=LINE('',#3617,#3616); +#3619=DIRECTION('',(1.E0,0.E0,0.E0)); +#3620=VECTOR('',#3619,2.25E0); +#3621=CARTESIAN_POINT('',(-6.E1,-1.6E0,-2.93E1)); +#3622=LINE('',#3621,#3620); +#3623=DIRECTION('',(0.E0,1.E0,0.E0)); +#3624=VECTOR('',#3623,1.5E0); +#3625=CARTESIAN_POINT('',(-5.775E1,-1.6E0,-2.93E1)); +#3626=LINE('',#3625,#3624); +#3627=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3628=VECTOR('',#3627,2.25E0); +#3629=CARTESIAN_POINT('',(-5.775E1,-1.E-1,-2.93E1)); +#3630=LINE('',#3629,#3628); +#3631=DIRECTION('',(0.E0,1.E0,0.E0)); +#3632=VECTOR('',#3631,1.4E0); +#3633=CARTESIAN_POINT('',(-6.E1,-1.E-1,-2.93E1)); +#3634=LINE('',#3633,#3632); +#3635=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3636=VECTOR('',#3635,3.933939444035E0); +#3637=CARTESIAN_POINT('',(-5.866606055596E1,4.7E0,-2.93E1)); +#3638=LINE('',#3637,#3636); +#3639=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3640=VECTOR('',#3639,6.97E1); +#3641=CARTESIAN_POINT('',(1.395E1,7.7E0,-2.93E1)); +#3642=LINE('',#3641,#3640); +#3643=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3644=VECTOR('',#3643,9.062539111405E-1); +#3645=CARTESIAN_POINT('',(-5.525E1,7.2E0,-2.93E1)); +#3646=LINE('',#3645,#3644); +#3647=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3648=VECTOR('',#3647,1.4E0); +#3649=CARTESIAN_POINT('',(1.395E1,7.7E0,-2.93E1)); +#3650=LINE('',#3649,#3648); +#3651=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3652=VECTOR('',#3651,8.348687341115E-1); +#3653=CARTESIAN_POINT('',(6.293486873411E1,7.7E0,-2.93E1)); +#3654=LINE('',#3653,#3652); +#3655=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3656=VECTOR('',#3655,2.5E0); +#3657=CARTESIAN_POINT('',(6.26E1,7.2E0,-2.93E1)); +#3658=LINE('',#3657,#3656); +#3659=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3660=VECTOR('',#3659,3.933939444035E0); +#3661=CARTESIAN_POINT('',(6.26E1,4.7E0,-2.93E1)); +#3662=LINE('',#3661,#3660); +#3663=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3664=VECTOR('',#3663,1.4E0); +#3665=CARTESIAN_POINT('',(6.E1,1.3E0,-2.93E1)); +#3666=LINE('',#3665,#3664); +#3667=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3668=VECTOR('',#3667,2.25E0); +#3669=CARTESIAN_POINT('',(6.E1,-1.E-1,-2.93E1)); +#3670=LINE('',#3669,#3668); +#3671=DIRECTION('',(0.E0,1.E0,0.E0)); +#3672=VECTOR('',#3671,1.5E0); +#3673=CARTESIAN_POINT('',(5.775E1,-1.6E0,-2.93E1)); +#3674=LINE('',#3673,#3672); +#3675=DIRECTION('',(1.E0,0.E0,0.E0)); +#3676=VECTOR('',#3675,2.25E0); +#3677=CARTESIAN_POINT('',(5.775E1,-1.6E0,-2.93E1)); +#3678=LINE('',#3677,#3676); +#3679=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3680=VECTOR('',#3679,2.2E0); +#3681=CARTESIAN_POINT('',(6.E1,-1.6E0,-2.93E1)); +#3682=LINE('',#3681,#3680); +#3683=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3684=VECTOR('',#3683,1.05E0); +#3685=CARTESIAN_POINT('',(6.E1,-3.8E0,-2.93E1)); +#3686=LINE('',#3685,#3684); +#3687=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3688=VECTOR('',#3687,4.E-1); +#3689=CARTESIAN_POINT('',(5.895E1,-3.8E0,-2.93E1)); +#3690=LINE('',#3689,#3688); +#3691=DIRECTION('',(5.214500094540E-1,-8.532818336520E-1,0.E0)); +#3692=VECTOR('',#3691,2.109502310973E0); +#3693=CARTESIAN_POINT('',(5.895E1,-4.2E0,-2.93E1)); +#3694=LINE('',#3693,#3692); +#3695=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3696=VECTOR('',#3695,2.E-1); +#3697=CARTESIAN_POINT('',(6.005E1,-6.E0,-2.93E1)); +#3698=LINE('',#3697,#3696); +#3699=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3700=VECTOR('',#3699,2.3E0); +#3701=CARTESIAN_POINT('',(6.005E1,-6.2E0,-2.93E1)); +#3702=LINE('',#3701,#3700); +#3703=DIRECTION('',(0.E0,1.E0,0.E0)); +#3704=VECTOR('',#3703,7.3E0); +#3705=CARTESIAN_POINT('',(5.775E1,-1.35E1,-2.93E1)); +#3706=LINE('',#3705,#3704); +#3707=DIRECTION('',(0.E0,1.E0,0.E0)); +#3708=VECTOR('',#3707,1.17E1); +#3709=CARTESIAN_POINT('',(6.15E1,-1.35E1,-2.93E1)); +#3710=LINE('',#3709,#3708); +#3711=CARTESIAN_POINT('',(6.23E1,-1.8E0,-2.93E1)); +#3712=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3713=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3714=AXIS2_PLACEMENT_3D('',#3711,#3712,#3713); +#3716=DIRECTION('',(1.E0,0.E0,0.E0)); +#3717=VECTOR('',#3716,3.561178457381E0); +#3718=CARTESIAN_POINT('',(6.23E1,-1.E0,-2.93E1)); +#3719=LINE('',#3718,#3717); +#3720=DIRECTION('',(4.212239190428E-1,-9.069566748341E-1,0.E0)); +#3721=VECTOR('',#3720,1.516584205547E0); +#3722=CARTESIAN_POINT('',(6.586117845738E1,-1.E0,-2.93E1)); +#3723=LINE('',#3722,#3721); +#3724=DIRECTION('',(0.E0,1.E0,0.E0)); +#3725=VECTOR('',#3724,1.112452383183E1); +#3726=CARTESIAN_POINT('',(6.65E1,-1.35E1,-2.93E1)); +#3727=LINE('',#3726,#3725); +#3728=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3729=VECTOR('',#3728,4.63891E0); +#3730=CARTESIAN_POINT('',(7.193891E1,-1.1E1,-2.93E1)); +#3731=LINE('',#3730,#3729); +#3732=DIRECTION('',(4.212239190428E-1,-9.069566748341E-1,0.E0)); +#3733=VECTOR('',#3732,2.029932903122E1); +#3734=CARTESIAN_POINT('',(6.338834707153E1,7.410611959521E0,-2.93E1)); +#3735=LINE('',#3734,#3733); +#3736=CARTESIAN_POINT('',(6.293486873411E1,7.2E0,-2.93E1)); +#3737=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3738=DIRECTION('',(-1.421085471520E-14,1.E0,0.E0)); +#3739=AXIS2_PLACEMENT_3D('',#3736,#3737,#3738); +#3741=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3742=VECTOR('',#3741,9.062539111405E-1); +#3743=CARTESIAN_POINT('',(5.525E1,7.2E0,-2.93E1)); +#3744=LINE('',#3743,#3742); +#3745=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3746=VECTOR('',#3745,3.68E1); +#3747=CARTESIAN_POINT('',(5.575E1,7.7E0,-2.93E1)); +#3748=LINE('',#3747,#3746); +#3749=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3750=VECTOR('',#3749,1.4E0); +#3751=CARTESIAN_POINT('',(1.895E1,7.7E0,-2.93E1)); +#3752=LINE('',#3751,#3750); +#3753=DIRECTION('',(0.E0,1.E0,0.E0)); +#3754=VECTOR('',#3753,2.5E0); +#3755=CARTESIAN_POINT('',(-6.26E1,4.7E0,-3.64E1)); +#3756=LINE('',#3755,#3754); +#3757=DIRECTION('',(0.E0,0.E0,1.E0)); +#3758=VECTOR('',#3757,7.1E0); +#3759=CARTESIAN_POINT('',(-6.26E1,7.2E0,-3.64E1)); +#3760=LINE('',#3759,#3758); +#3761=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3762=VECTOR('',#3761,7.1E0); +#3763=CARTESIAN_POINT('',(-6.26E1,4.7E0,-2.93E1)); +#3764=LINE('',#3763,#3762); +#3765=CARTESIAN_POINT('',(-6.21E1,7.2E0,-3.64E1)); +#3766=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3767=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3768=AXIS2_PLACEMENT_3D('',#3765,#3766,#3767); +#3770=CARTESIAN_POINT('',(-6.21E1,7.2E0,-3.64E1)); +#3771=DIRECTION('',(0.E0,1.E0,0.E0)); +#3772=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3773=AXIS2_PLACEMENT_3D('',#3770,#3771,#3772); +#3775=CARTESIAN_POINT('',(-6.21E1,7.2E0,-3.64E1)); +#3776=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3777=DIRECTION('',(0.E0,1.E0,-1.421085471520E-14)); +#3778=AXIS2_PLACEMENT_3D('',#3775,#3776,#3777); +#3780=CARTESIAN_POINT('',(-6.21E1,7.2E0,-2.93E1)); +#3781=DIRECTION('',(0.E0,0.E0,1.E0)); +#3782=DIRECTION('',(0.E0,1.E0,0.E0)); +#3783=AXIS2_PLACEMENT_3D('',#3780,#3781,#3782); +#3785=CARTESIAN_POINT('',(-5.887814388593E1,5.2E0,-3.69E1)); +#3786=CARTESIAN_POINT('',(-5.886678587674E1,5.172470003810E0,-3.69E1)); +#3787=CARTESIAN_POINT('',(-5.884406937538E1,5.117652237639E0, +-3.689545518175E1)); +#3788=CARTESIAN_POINT('',(-5.881070443553E1,5.037847598442E0, +-3.687534362723E1)); +#3789=CARTESIAN_POINT('',(-5.877906015634E1,4.962808656551E0, +-3.684265759954E1)); +#3790=CARTESIAN_POINT('',(-5.875019610942E1,4.894914215832E0, +-3.679881971314E1)); +#3791=CARTESIAN_POINT('',(-5.872491748697E1,4.835884288504E0, +-3.674567227826E1)); +#3792=CARTESIAN_POINT('',(-5.870367674631E1,4.786594248483E0, +-3.668478578922E1)); +#3793=CARTESIAN_POINT('',(-5.868693936492E1,4.747954342854E0, +-3.661817168825E1)); +#3794=CARTESIAN_POINT('',(-5.867489398102E1,4.720254996730E0, +-3.654744046325E1)); +#3795=CARTESIAN_POINT('',(-5.866766177687E1,4.703667652101E0, +-3.647425577850E1)); +#3796=CARTESIAN_POINT('',(-5.866606055596E1,4.7E0,-3.642468272393E1)); +#3797=CARTESIAN_POINT('',(-5.866606055596E1,4.7E0,-3.64E1)); +#3799=CARTESIAN_POINT('',(-6.26E1,4.7E0,-3.64E1)); +#3800=CARTESIAN_POINT('',(-6.26E1,4.7E0,-3.642084021302E1)); +#3801=CARTESIAN_POINT('',(-6.259737559342E1,4.702624406584E0, +-3.646307648724E1)); +#3802=CARTESIAN_POINT('',(-6.258520011046E1,4.714799889543E0, +-3.652657015301E1)); +#3803=CARTESIAN_POINT('',(-6.256400596480E1,4.735994035196E0, +-3.659047159100E1)); +#3804=CARTESIAN_POINT('',(-6.253275149416E1,4.767248505836E0, +-3.665393576502E1)); +#3805=CARTESIAN_POINT('',(-6.249030711926E1,4.809692880737E0, +-3.671566752383E1)); +#3806=CARTESIAN_POINT('',(-6.243548550980E1,4.864514490196E0, +-3.677378842994E1)); +#3807=CARTESIAN_POINT('',(-6.236752134643E1,4.932478653565E0, +-3.682545197937E1)); +#3808=CARTESIAN_POINT('',(-6.228690271470E1,5.013097285304E0, +-3.686684889304E1)); +#3809=CARTESIAN_POINT('',(-6.219616109262E1,5.103838907378E0, +-3.689373228874E1)); +#3810=CARTESIAN_POINT('',(-6.213253618069E1,5.167463819309E0,-3.69E1)); +#3811=CARTESIAN_POINT('',(-6.21E1,5.2E0,-3.69E1)); +#3813=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3814=VECTOR('',#3813,3.933939444035E0); +#3815=CARTESIAN_POINT('',(-5.866606055596E1,4.7E0,-3.64E1)); +#3816=LINE('',#3815,#3814); +#3817=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3818=VECTOR('',#3817,7.1E0); +#3819=CARTESIAN_POINT('',(-5.866606055596E1,4.7E0,-2.93E1)); +#3820=LINE('',#3819,#3818); +#3821=CARTESIAN_POINT('',(-5.5E1,1.3E0,-3.64E1)); +#3822=DIRECTION('',(0.E0,0.E0,1.E0)); +#3823=DIRECTION('',(-5.E-2,9.987492177719E-1,0.E0)); +#3824=AXIS2_PLACEMENT_3D('',#3821,#3822,#3823); +#3826=CARTESIAN_POINT('',(-5.5E1,1.3E0,-2.93E1)); +#3827=DIRECTION('',(0.E0,0.E0,1.E0)); +#3828=DIRECTION('',(-7.332121111929E-1,6.8E-1,0.E0)); +#3829=AXIS2_PLACEMENT_3D('',#3826,#3827,#3828); +#3831=CARTESIAN_POINT('',(-5.5E1,1.3E0,-2.93E1)); +#3832=DIRECTION('',(0.E0,0.E0,1.E0)); +#3833=DIRECTION('',(0.E0,1.E0,0.E0)); +#3834=AXIS2_PLACEMENT_3D('',#3831,#3832,#3833); +#3836=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3837=VECTOR('',#3836,7.1E0); +#3838=CARTESIAN_POINT('',(-5.525E1,6.293746088860E0,-2.93E1)); +#3839=LINE('',#3838,#3837); +#3840=CARTESIAN_POINT('',(-5.525E1,6.293746088860E0,-3.64E1)); +#3841=CARTESIAN_POINT('',(-5.525E1,6.293746088860E0,-3.642114681049E1)); +#3842=CARTESIAN_POINT('',(-5.525270221175E1,6.296317418407E0, +-3.646399522579E1)); +#3843=CARTESIAN_POINT('',(-5.526522587300E1,6.308216043844E0, +-3.652834389193E1)); +#3844=CARTESIAN_POINT('',(-5.528700168204E1,6.328839315615E0, +-3.659303330087E1)); +#3845=CARTESIAN_POINT('',(-5.531916069692E1,6.359143900579E0, +-3.665725911960E1)); +#3846=CARTESIAN_POINT('',(-5.536261658026E1,6.399809926417E0, +-3.671931525281E1)); +#3847=CARTESIAN_POINT('',(-5.541858794363E1,6.451716896850E0, +-3.677745527072E1)); +#3848=CARTESIAN_POINT('',(-5.548742089063E1,6.514839056191E0, +-3.682850893017E1)); +#3849=CARTESIAN_POINT('',(-5.556782493393E1,6.587608912690E0, +-3.686862729793E1)); +#3850=CARTESIAN_POINT('',(-5.565701620537E1,6.667153492088E0, +-3.689419001872E1)); +#3851=CARTESIAN_POINT('',(-5.571868431979E1,6.721323302350E0,-3.69E1)); +#3852=CARTESIAN_POINT('',(-5.575E1,6.748623679426E0,-3.69E1)); +#3854=DIRECTION('',(0.E0,0.E0,1.E0)); +#3855=VECTOR('',#3854,7.1E0); +#3856=CARTESIAN_POINT('',(-5.525E1,7.2E0,-3.64E1)); +#3857=LINE('',#3856,#3855); +#3858=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3859=VECTOR('',#3858,9.062539111405E-1); +#3860=CARTESIAN_POINT('',(-5.525E1,7.2E0,-3.64E1)); +#3861=LINE('',#3860,#3859); +#3862=CARTESIAN_POINT('',(-5.575E1,7.2E0,-2.93E1)); +#3863=DIRECTION('',(0.E0,0.E0,1.E0)); +#3864=DIRECTION('',(1.E0,0.E0,0.E0)); +#3865=AXIS2_PLACEMENT_3D('',#3862,#3863,#3864); +#3867=CARTESIAN_POINT('',(-5.575E1,7.2E0,-3.64E1)); +#3868=DIRECTION('',(0.E0,1.E0,0.E0)); +#3869=DIRECTION('',(1.E0,0.E0,0.E0)); +#3870=AXIS2_PLACEMENT_3D('',#3867,#3868,#3869); +#3872=CARTESIAN_POINT('',(-5.575E1,7.2E0,-3.64E1)); +#3873=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3874=DIRECTION('',(-1.421085471520E-14,1.E0,0.E0)); +#3875=AXIS2_PLACEMENT_3D('',#3872,#3873,#3874); +#3877=CARTESIAN_POINT('',(-5.575E1,7.2E0,-3.64E1)); +#3878=DIRECTION('',(1.E0,0.E0,0.E0)); +#3879=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3880=AXIS2_PLACEMENT_3D('',#3877,#3878,#3879); +#3882=DIRECTION('',(0.E0,0.E0,1.E0)); +#3883=VECTOR('',#3882,5.7E0); +#3884=CARTESIAN_POINT('',(-6.E1,1.3E0,-2.93E1)); +#3885=LINE('',#3884,#3883); +#3886=DIRECTION('',(0.E0,0.E0,1.E0)); +#3887=VECTOR('',#3886,5.7E0); +#3888=CARTESIAN_POINT('',(-6.E1,-1.E-1,-2.93E1)); +#3889=LINE('',#3888,#3887); +#3890=DIRECTION('',(0.E0,0.E0,1.E0)); +#3891=VECTOR('',#3890,5.7E0); +#3892=CARTESIAN_POINT('',(-5.775E1,-1.6E0,-2.93E1)); +#3893=LINE('',#3892,#3891); +#3894=DIRECTION('',(0.E0,1.E0,0.E0)); +#3895=VECTOR('',#3894,1.5E0); +#3896=CARTESIAN_POINT('',(-5.775E1,-1.6E0,-2.36E1)); +#3897=LINE('',#3896,#3895); +#3898=DIRECTION('',(0.E0,0.E0,1.E0)); +#3899=VECTOR('',#3898,5.7E0); +#3900=CARTESIAN_POINT('',(-5.775E1,-1.E-1,-2.93E1)); +#3901=LINE('',#3900,#3899); +#3902=DIRECTION('',(0.E0,-8.660254037844E-1,-5.E-1)); +#3903=VECTOR('',#3902,1.154700538379E0); +#3904=CARTESIAN_POINT('',(-5.775E1,-1.25E1,-2.82E1)); +#3905=LINE('',#3904,#3903); +#3906=DIRECTION('',(0.E0,1.E0,0.E0)); +#3907=VECTOR('',#3906,6.3E0); +#3908=CARTESIAN_POINT('',(-5.775E1,-1.25E1,-2.82E1)); +#3909=LINE('',#3908,#3907); +#3910=DIRECTION('',(0.E0,0.E0,1.E0)); +#3911=VECTOR('',#3910,1.1E0); +#3912=CARTESIAN_POINT('',(-5.775E1,-6.2E0,-2.93E1)); +#3913=LINE('',#3912,#3911); +#3914=DIRECTION('',(0.E0,8.660254037844E-1,-5.E-1)); +#3915=VECTOR('',#3914,1.154700538379E0); +#3916=CARTESIAN_POINT('',(-5.775E1,-1.35E1,-2.482264973081E1)); +#3917=LINE('',#3916,#3915); +#3918=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3919=VECTOR('',#3918,6.390598923241E0); +#3920=CARTESIAN_POINT('',(-5.775E1,-7.109401076758E0,-1.96E1)); +#3921=LINE('',#3920,#3919); +#3922=CARTESIAN_POINT('',(-5.775E1,-7.109401076758E0,-2.16E1)); +#3923=DIRECTION('',(1.E0,0.E0,0.E0)); +#3924=DIRECTION('',(0.E0,8.660254037844E-1,5.E-1)); +#3925=AXIS2_PLACEMENT_3D('',#3922,#3923,#3924); +#3927=DIRECTION('',(0.E0,-5.E-1,8.660254037844E-1)); +#3928=VECTOR('',#3927,3.776478803290E-1); +#3929=CARTESIAN_POINT('',(-5.775E1,-5.188526329025E0,-2.092705265805E1)); +#3930=LINE('',#3929,#3928); +#3931=CARTESIAN_POINT('',(-5.775E1,-7.7E0,-2.237705265805E1)); +#3932=DIRECTION('',(1.E0,0.E0,0.E0)); +#3933=DIRECTION('',(0.E0,1.E0,0.E0)); +#3934=AXIS2_PLACEMENT_3D('',#3931,#3932,#3933); +#3936=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3937=VECTOR('',#3936,2.229473419497E-1); +#3938=CARTESIAN_POINT('',(-5.775E1,-4.8E0,-2.237705265805E1)); +#3939=LINE('',#3938,#3937); +#3940=CARTESIAN_POINT('',(-5.775E1,-3.8E0,-2.26E1)); +#3941=DIRECTION('',(1.E0,0.E0,0.E0)); +#3942=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3943=AXIS2_PLACEMENT_3D('',#3940,#3941,#3942); +#3945=DIRECTION('',(0.E0,1.E0,0.E0)); +#3946=VECTOR('',#3945,2.4E0); +#3947=CARTESIAN_POINT('',(-5.775E1,-6.2E0,-2.36E1)); +#3948=LINE('',#3947,#3946); +#3949=DIRECTION('',(0.E0,0.E0,1.E0)); +#3950=VECTOR('',#3949,1.8E0); +#3951=CARTESIAN_POINT('',(-5.775E1,-6.2E0,-2.54E1)); +#3952=LINE('',#3951,#3950); +#3953=DIRECTION('',(0.E0,1.E0,0.E0)); +#3954=VECTOR('',#3953,6.3E0); +#3955=CARTESIAN_POINT('',(-5.775E1,-1.25E1,-2.54E1)); +#3956=LINE('',#3955,#3954); +#3957=DIRECTION('',(0.E0,0.E0,1.E0)); +#3958=VECTOR('',#3957,5.7E0); +#3959=CARTESIAN_POINT('',(-6.E1,-1.6E0,-2.93E1)); +#3960=LINE('',#3959,#3958); +#3961=DIRECTION('',(0.E0,0.E0,1.E0)); +#3962=VECTOR('',#3961,5.7E0); +#3963=CARTESIAN_POINT('',(-6.E1,-3.8E0,-2.93E1)); +#3964=LINE('',#3963,#3962); +#3965=DIRECTION('',(-4.472135954999E-1,-7.745966692415E-1,-4.472135955E-1)); +#3966=VECTOR('',#3965,1.290994448736E0); +#3967=CARTESIAN_POINT('',(-6.005E1,-1.25E1,-2.82E1)); +#3968=LINE('',#3967,#3966); +#3969=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3970=VECTOR('',#3969,2.877350269190E0); +#3971=CARTESIAN_POINT('',(-5.775E1,-1.35E1,-2.877735026919E1)); +#3972=LINE('',#3971,#3970); +#3973=DIRECTION('',(0.E0,0.E0,1.E0)); +#3974=VECTOR('',#3973,5.226497308104E-1); +#3975=CARTESIAN_POINT('',(-5.775E1,-1.35E1,-2.93E1)); +#3976=LINE('',#3975,#3974); +#3977=DIRECTION('',(1.E0,0.E0,0.E0)); +#3978=VECTOR('',#3977,3.75E0); +#3979=CARTESIAN_POINT('',(-6.15E1,-1.35E1,-2.93E1)); +#3980=LINE('',#3979,#3978); +#3981=DIRECTION('',(0.E0,0.E0,1.E0)); +#3982=VECTOR('',#3981,8.6E0); +#3983=CARTESIAN_POINT('',(-6.15E1,-1.35E1,-2.93E1)); +#3984=LINE('',#3983,#3982); +#3985=DIRECTION('',(1.E0,0.E0,0.E0)); +#3986=VECTOR('',#3985,5.E0); +#3987=CARTESIAN_POINT('',(-6.65E1,-1.35E1,-2.07E1)); +#3988=LINE('',#3987,#3986); +#3989=DIRECTION('',(0.E0,0.E0,1.E0)); +#3990=VECTOR('',#3989,8.6E0); +#3991=CARTESIAN_POINT('',(-6.65E1,-1.35E1,-2.93E1)); +#3992=LINE('',#3991,#3990); +#3993=DIRECTION('',(1.E0,0.E0,0.E0)); +#3994=VECTOR('',#3993,8.E-1); +#3995=CARTESIAN_POINT('',(-6.73E1,-1.35E1,-2.93E1)); +#3996=LINE('',#3995,#3994); +#3997=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3998=VECTOR('',#3997,9.3E0); +#3999=CARTESIAN_POINT('',(-6.73E1,-1.35E1,-2.E1)); +#4000=LINE('',#3999,#3998); +#4001=DIRECTION('',(-9.848077530122E-1,0.E0,-1.736481776669E-1)); +#4002=VECTOR('',#4001,1.151754096629E1); +#4003=CARTESIAN_POINT('',(-5.595743636076E1,-1.35E1,-1.8E1)); +#4004=LINE('',#4003,#4002); +#4005=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4006=VECTOR('',#4005,1.119148727215E2); +#4007=CARTESIAN_POINT('',(5.595743636076E1,-1.35E1,-1.8E1)); +#4008=LINE('',#4007,#4006); +#4009=DIRECTION('',(-9.848077530122E-1,0.E0,1.736481776669E-1)); +#4010=VECTOR('',#4009,1.151754096629E1); +#4011=CARTESIAN_POINT('',(6.73E1,-1.35E1,-2.E1)); +#4012=LINE('',#4011,#4010); +#4013=DIRECTION('',(0.E0,0.E0,1.E0)); +#4014=VECTOR('',#4013,9.3E0); +#4015=CARTESIAN_POINT('',(6.73E1,-1.35E1,-2.93E1)); +#4016=LINE('',#4015,#4014); +#4017=DIRECTION('',(1.E0,0.E0,0.E0)); +#4018=VECTOR('',#4017,8.E-1); +#4019=CARTESIAN_POINT('',(6.65E1,-1.35E1,-2.93E1)); +#4020=LINE('',#4019,#4018); +#4021=DIRECTION('',(0.E0,0.E0,1.E0)); +#4022=VECTOR('',#4021,8.6E0); +#4023=CARTESIAN_POINT('',(6.65E1,-1.35E1,-2.93E1)); +#4024=LINE('',#4023,#4022); +#4025=DIRECTION('',(1.E0,0.E0,0.E0)); +#4026=VECTOR('',#4025,5.E0); +#4027=CARTESIAN_POINT('',(6.15E1,-1.35E1,-2.07E1)); +#4028=LINE('',#4027,#4026); +#4029=DIRECTION('',(0.E0,0.E0,1.E0)); +#4030=VECTOR('',#4029,8.6E0); +#4031=CARTESIAN_POINT('',(6.15E1,-1.35E1,-2.93E1)); +#4032=LINE('',#4031,#4030); +#4033=DIRECTION('',(1.E0,0.E0,0.E0)); +#4034=VECTOR('',#4033,3.75E0); +#4035=CARTESIAN_POINT('',(5.775E1,-1.35E1,-2.93E1)); +#4036=LINE('',#4035,#4034); +#4037=DIRECTION('',(0.E0,0.E0,1.E0)); +#4038=VECTOR('',#4037,5.226497308104E-1); +#4039=CARTESIAN_POINT('',(5.775E1,-1.35E1,-2.93E1)); +#4040=LINE('',#4039,#4038); +#4041=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4042=VECTOR('',#4041,2.877350269190E0); +#4043=CARTESIAN_POINT('',(6.062735026919E1,-1.35E1,-2.877735026919E1)); +#4044=LINE('',#4043,#4042); +#4045=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4046=VECTOR('',#4045,3.954700538379E0); +#4047=CARTESIAN_POINT('',(6.062735026919E1,-1.35E1,-2.482264973081E1)); +#4048=LINE('',#4047,#4046); +#4049=DIRECTION('',(1.E0,0.E0,0.E0)); +#4050=VECTOR('',#4049,2.877350269190E0); +#4051=CARTESIAN_POINT('',(5.775E1,-1.35E1,-2.482264973081E1)); +#4052=LINE('',#4051,#4050); +#4053=DIRECTION('',(0.E0,0.E0,1.E0)); +#4054=VECTOR('',#4053,5.222649730810E0); +#4055=CARTESIAN_POINT('',(5.775E1,-1.35E1,-2.482264973081E1)); +#4056=LINE('',#4055,#4054); +#4057=DIRECTION('',(1.E0,0.E0,0.E0)); +#4058=VECTOR('',#4057,1.155E2); +#4059=CARTESIAN_POINT('',(-5.775E1,-1.35E1,-1.96E1)); +#4060=LINE('',#4059,#4058); +#4061=DIRECTION('',(0.E0,0.E0,1.E0)); +#4062=VECTOR('',#4061,5.222649730810E0); +#4063=CARTESIAN_POINT('',(-5.775E1,-1.35E1,-2.482264973081E1)); +#4064=LINE('',#4063,#4062); +#4065=DIRECTION('',(1.E0,0.E0,0.E0)); +#4066=VECTOR('',#4065,2.877350269190E0); +#4067=CARTESIAN_POINT('',(-6.062735026919E1,-1.35E1,-2.482264973081E1)); +#4068=LINE('',#4067,#4066); +#4069=DIRECTION('',(0.E0,0.E0,1.E0)); +#4070=VECTOR('',#4069,3.954700538379E0); +#4071=CARTESIAN_POINT('',(-6.062735026919E1,-1.35E1,-2.877735026919E1)); +#4072=LINE('',#4071,#4070); +#4073=DIRECTION('',(0.E0,0.E0,1.E0)); +#4074=VECTOR('',#4073,8.6E0); +#4075=CARTESIAN_POINT('',(-6.15E1,-1.8E0,-2.93E1)); +#4076=LINE('',#4075,#4074); +#4077=DIRECTION('',(0.E0,0.E0,1.E0)); +#4078=VECTOR('',#4077,8.6E0); +#4079=CARTESIAN_POINT('',(-6.23E1,-1.E0,-2.93E1)); +#4080=LINE('',#4079,#4078); +#4081=DIRECTION('',(0.E0,0.E0,1.E0)); +#4082=VECTOR('',#4081,8.6E0); +#4083=CARTESIAN_POINT('',(-6.586117845738E1,-1.E0,-2.93E1)); +#4084=LINE('',#4083,#4082); +#4085=DIRECTION('',(1.E0,0.E0,0.E0)); +#4086=VECTOR('',#4085,2.094482283358E0); +#4087=CARTESIAN_POINT('',(-6.452E1,-1.E0,-2.54E1)); +#4088=LINE('',#4087,#4086); +#4089=DIRECTION('',(0.E0,0.E0,1.E0)); +#4090=VECTOR('',#4089,3.8E0); +#4091=CARTESIAN_POINT('',(-6.242551771664E1,-1.E0,-2.54E1)); +#4092=LINE('',#4091,#4090); +#4093=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4094=VECTOR('',#4093,2.094482283358E0); +#4095=CARTESIAN_POINT('',(-6.242551771664E1,-1.E0,-2.16E1)); +#4096=LINE('',#4095,#4094); +#4097=CARTESIAN_POINT('',(-6.452E1,-1.E0,-2.228E1)); +#4098=DIRECTION('',(0.E0,1.E0,0.E0)); +#4099=DIRECTION('',(-1.E0,0.E0,-1.044916288825E-14)); +#4100=AXIS2_PLACEMENT_3D('',#4097,#4098,#4099); +#4102=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4103=VECTOR('',#4102,2.439999999995E0); +#4104=CARTESIAN_POINT('',(-6.52E1,-1.E0,-2.228E1)); +#4105=LINE('',#4104,#4103); +#4106=CARTESIAN_POINT('',(-6.452E1,-1.E0,-2.472E1)); +#4107=DIRECTION('',(0.E0,1.E0,0.E0)); +#4108=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4109=AXIS2_PLACEMENT_3D('',#4106,#4107,#4108); +#4111=DIRECTION('',(0.E0,0.E0,1.E0)); +#4112=VECTOR('',#4111,8.6E0); +#4113=CARTESIAN_POINT('',(-6.65E1,-2.375476168169E0,-2.93E1)); +#4114=LINE('',#4113,#4112); +#4115=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4116=VECTOR('',#4115,1.17E1); +#4117=CARTESIAN_POINT('',(-6.15E1,-1.8E0,-2.07E1)); +#4118=LINE('',#4117,#4116); +#4119=CARTESIAN_POINT('',(-6.23E1,-1.8E0,-2.07E1)); +#4120=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4121=DIRECTION('',(-1.776356839400E-14,1.E0,0.E0)); +#4122=AXIS2_PLACEMENT_3D('',#4119,#4120,#4121); +#4124=DIRECTION('',(1.E0,0.E0,0.E0)); +#4125=VECTOR('',#4124,3.561178457381E0); +#4126=CARTESIAN_POINT('',(-6.586117845738E1,-1.E0,-2.07E1)); +#4127=LINE('',#4126,#4125); +#4128=DIRECTION('',(4.212239190428E-1,9.069566748341E-1,0.E0)); +#4129=VECTOR('',#4128,1.516584205547E0); +#4130=CARTESIAN_POINT('',(-6.65E1,-2.375476168169E0,-2.07E1)); +#4131=LINE('',#4130,#4129); +#4132=DIRECTION('',(0.E0,1.E0,0.E0)); +#4133=VECTOR('',#4132,1.112452383183E1); +#4134=CARTESIAN_POINT('',(-6.65E1,-1.35E1,-2.07E1)); +#4135=LINE('',#4134,#4133); +#4136=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4137=VECTOR('',#4136,1.112452383183E1); +#4138=CARTESIAN_POINT('',(6.65E1,-2.375476168169E0,-2.07E1)); +#4139=LINE('',#4138,#4137); +#4140=DIRECTION('',(4.212239190428E-1,-9.069566748341E-1,0.E0)); +#4141=VECTOR('',#4140,1.516584205547E0); +#4142=CARTESIAN_POINT('',(6.586117845738E1,-1.E0,-2.07E1)); +#4143=LINE('',#4142,#4141); +#4144=DIRECTION('',(1.E0,0.E0,0.E0)); +#4145=VECTOR('',#4144,3.561178457381E0); +#4146=CARTESIAN_POINT('',(6.23E1,-1.E0,-2.07E1)); +#4147=LINE('',#4146,#4145); +#4148=CARTESIAN_POINT('',(6.23E1,-1.8E0,-2.07E1)); +#4149=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4150=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4151=AXIS2_PLACEMENT_3D('',#4148,#4149,#4150); +#4153=DIRECTION('',(0.E0,1.E0,0.E0)); +#4154=VECTOR('',#4153,1.17E1); +#4155=CARTESIAN_POINT('',(6.15E1,-1.35E1,-2.07E1)); +#4156=LINE('',#4155,#4154); +#4157=DIRECTION('',(0.E0,0.E0,1.E0)); +#4158=VECTOR('',#4157,8.6E0); +#4159=CARTESIAN_POINT('',(6.65E1,-2.375476168169E0,-2.93E1)); +#4160=LINE('',#4159,#4158); +#4161=DIRECTION('',(0.E0,0.E0,1.E0)); +#4162=VECTOR('',#4161,8.6E0); +#4163=CARTESIAN_POINT('',(6.586117845738E1,-1.E0,-2.93E1)); +#4164=LINE('',#4163,#4162); +#4165=DIRECTION('',(0.E0,0.E0,1.E0)); +#4166=VECTOR('',#4165,8.6E0); +#4167=CARTESIAN_POINT('',(6.23E1,-1.E0,-2.93E1)); +#4168=LINE('',#4167,#4166); +#4169=DIRECTION('',(1.E0,0.E0,0.E0)); +#4170=VECTOR('',#4169,2.094482283358E0); +#4171=CARTESIAN_POINT('',(6.242551771664E1,-1.E0,-2.54E1)); +#4172=LINE('',#4171,#4170); +#4173=CARTESIAN_POINT('',(6.452E1,-1.E0,-2.472E1)); +#4174=DIRECTION('',(0.E0,1.E0,0.E0)); +#4175=DIRECTION('',(1.E0,0.E0,-7.314414021774E-14)); +#4176=AXIS2_PLACEMENT_3D('',#4173,#4174,#4175); +#4178=DIRECTION('',(0.E0,0.E0,1.E0)); +#4179=VECTOR('',#4178,2.439999999998E0); +#4180=CARTESIAN_POINT('',(6.52E1,-1.E0,-2.472E1)); +#4181=LINE('',#4180,#4179); +#4182=CARTESIAN_POINT('',(6.452E1,-1.E0,-2.228E1)); +#4183=DIRECTION('',(0.E0,1.E0,0.E0)); +#4184=DIRECTION('',(6.269497732950E-14,0.E0,1.E0)); +#4185=AXIS2_PLACEMENT_3D('',#4182,#4183,#4184); +#4187=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4188=VECTOR('',#4187,2.094482283355E0); +#4189=CARTESIAN_POINT('',(6.452E1,-1.E0,-2.16E1)); +#4190=LINE('',#4189,#4188); +#4191=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4192=VECTOR('',#4191,3.8E0); +#4193=CARTESIAN_POINT('',(6.242551771664E1,-1.E0,-2.16E1)); +#4194=LINE('',#4193,#4192); +#4195=DIRECTION('',(0.E0,0.E0,1.E0)); +#4196=VECTOR('',#4195,8.6E0); +#4197=CARTESIAN_POINT('',(6.15E1,-1.8E0,-2.93E1)); +#4198=LINE('',#4197,#4196); +#4199=CARTESIAN_POINT('',(6.452E1,-1.5E0,-2.54E1)); +#4200=DIRECTION('',(1.E0,0.E0,0.E0)); +#4201=DIRECTION('',(0.E0,1.E0,1.421085471520E-14)); +#4202=AXIS2_PLACEMENT_3D('',#4199,#4200,#4201); +#4204=CARTESIAN_POINT('',(6.292483248402E1,-1.473832021879E0, +-2.490068523262E1)); +#4205=CARTESIAN_POINT('',(6.292349281843E1,-1.448269679698E0, +-2.490202489821E1)); +#4206=CARTESIAN_POINT('',(6.291695943952E1,-1.398768015795E0, +-2.490855827713E1)); +#4207=CARTESIAN_POINT('',(6.289765169924E1,-1.330708296108E0, +-2.492786601740E1)); +#4208=CARTESIAN_POINT('',(6.287082117625E1,-1.269544680880E0, +-2.495469654039E1)); +#4209=CARTESIAN_POINT('',(6.283808823837E1,-1.215293446548E0, +-2.498742947827E1)); +#4210=CARTESIAN_POINT('',(6.280071762397E1,-1.167747154497E0, +-2.502480009267E1)); +#4211=CARTESIAN_POINT('',(6.275968260612E1,-1.126612945380E0, +-2.506583511052E1)); +#4212=CARTESIAN_POINT('',(6.271576706653E1,-1.091621059521E0, +-2.510975065011E1)); +#4213=CARTESIAN_POINT('',(6.266962932649E1,-1.062536578699E0, +-2.515588839015E1)); +#4214=CARTESIAN_POINT('',(6.262185372751E1,-1.039160661843E0, +-2.520366398913E1)); +#4215=CARTESIAN_POINT('',(6.257298778992E1,-1.021319762136E0, +-2.525252992672E1)); +#4216=CARTESIAN_POINT('',(6.252357567621E1,-1.008844568299E0, +-2.530194204043E1)); +#4217=CARTESIAN_POINT('',(6.247413299398E1,-1.001553694460E0, +-2.535138472266E1)); +#4218=CARTESIAN_POINT('',(6.244163572752E1,-1.E0,-2.538388198912E1)); +#4219=CARTESIAN_POINT('',(6.242551771664E1,-1.E0,-2.54E1)); +#4221=CARTESIAN_POINT('',(6.292483248402E1,-1.473832021879E0, +-2.209931476738E1)); +#4222=CARTESIAN_POINT('',(6.292349281843E1,-1.448269679698E0, +-2.209797510179E1)); +#4223=CARTESIAN_POINT('',(6.291695943952E1,-1.398768015795E0, +-2.209144172287E1)); +#4224=CARTESIAN_POINT('',(6.289765169924E1,-1.330708296108E0, +-2.207213398260E1)); +#4225=CARTESIAN_POINT('',(6.287082117625E1,-1.269544680880E0, +-2.204530345961E1)); +#4226=CARTESIAN_POINT('',(6.283808823837E1,-1.215293446548E0, +-2.201257052173E1)); +#4227=CARTESIAN_POINT('',(6.280071762397E1,-1.167747154497E0, +-2.197519990733E1)); +#4228=CARTESIAN_POINT('',(6.275968260612E1,-1.126612945380E0, +-2.193416488948E1)); +#4229=CARTESIAN_POINT('',(6.271576706653E1,-1.091621059521E0, +-2.189024934989E1)); +#4230=CARTESIAN_POINT('',(6.266962932649E1,-1.062536578699E0, +-2.184411160985E1)); +#4231=CARTESIAN_POINT('',(6.262185372751E1,-1.039160661843E0, +-2.179633601087E1)); +#4232=CARTESIAN_POINT('',(6.257298778992E1,-1.021319762136E0, +-2.174747007328E1)); +#4233=CARTESIAN_POINT('',(6.252357567621E1,-1.008844568299E0, +-2.169805795957E1)); +#4234=CARTESIAN_POINT('',(6.247413299398E1,-1.001553694460E0, +-2.164861527734E1)); +#4235=CARTESIAN_POINT('',(6.244163572752E1,-1.E0,-2.161611801088E1)); +#4236=CARTESIAN_POINT('',(6.242551771664E1,-1.E0,-2.16E1)); +#4238=CARTESIAN_POINT('',(6.355509628240E1,-1.641134319421E1, +-2.209999885118E1)); +#4239=CARTESIAN_POINT('',(6.355509091921E1,-1.644341651737E1, +-2.209999885118E1)); +#4240=CARTESIAN_POINT('',(6.355768150383E1,-1.650426294038E1, +-2.210000053317E1)); +#4241=CARTESIAN_POINT('',(6.356815176324E1,-1.658510712130E1, +-2.209999985714E1)); +#4242=CARTESIAN_POINT('',(6.358444624669E1,-1.665710785038E1, +-2.210000003828E1)); +#4243=CARTESIAN_POINT('',(6.360619395380E1,-1.672043572616E1, +-2.209999998974E1)); +#4244=CARTESIAN_POINT('',(6.363239207468E1,-1.677382315395E1, +-2.210000000275E1)); +#4245=CARTESIAN_POINT('',(6.366283202202E1,-1.681808747169E1, +-2.209999999926E1)); +#4246=CARTESIAN_POINT('',(6.369790956822E1,-1.685388536512E1, +-2.210000000020E1)); +#4247=CARTESIAN_POINT('',(6.373943638737E1,-1.688124698628E1, +-2.209999999995E1)); +#4248=CARTESIAN_POINT('',(6.378490426013E1,-1.689699883072E1, +-2.210000000002E1)); +#4249=CARTESIAN_POINT('',(6.381505744917E1,-1.690000004048E1,-2.21E1)); +#4250=CARTESIAN_POINT('',(6.383032908361E1,-1.69E1,-2.21E1)); +#4252=DIRECTION('',(5.233595624294E-2,-9.986295347546E-1,0.E0)); +#4253=VECTOR('',#4252,1.201646815198E1); +#4254=CARTESIAN_POINT('',(6.292620388964E1,-1.5E0,-2.21E1)); +#4255=LINE('',#4254,#4253); +#4256=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4257=VECTOR('',#4256,1.593796110358E0); +#4258=CARTESIAN_POINT('',(6.452E1,-1.5E0,-2.21E1)); +#4259=LINE('',#4258,#4257); +#4260=DIRECTION('',(0.E0,1.E0,0.E0)); +#4261=VECTOR('',#4260,1.54E1); +#4262=CARTESIAN_POINT('',(6.452E1,-1.69E1,-2.21E1)); +#4263=LINE('',#4262,#4261); +#4264=DIRECTION('',(-1.E0,5.151317236070E-14,-1.236316136657E-13)); +#4265=VECTOR('',#4264,6.896709163870E-1); +#4266=CARTESIAN_POINT('',(6.452E1,-1.69E1,-2.21E1)); +#4267=LINE('',#4266,#4265); +#4268=DIRECTION('',(-3.147947409995E-1,8.919184328319E-1,3.246317609442E-1)); +#4269=VECTOR('',#4268,1.348526494641E0); +#4270=CARTESIAN_POINT('',(-6.427549095139E1,-1.860521208600E1, +-2.272064644241E1)); +#4271=LINE('',#4270,#4269); +#4272=CARTESIAN_POINT('',(-6.452E1,-1.69E1,-2.21E1)); +#4273=CARTESIAN_POINT('',(-6.453471426650E1,-1.69E1,-2.21E1)); +#4274=CARTESIAN_POINT('',(-6.455561331562E1,-1.690801509306E1, +-2.210291725530E1)); +#4275=CARTESIAN_POINT('',(-6.458792169169E1,-1.693296270108E1, +-2.211199744203E1)); +#4276=CARTESIAN_POINT('',(-6.461720798307E1,-1.697457702744E1, +-2.212714381815E1)); +#4277=CARTESIAN_POINT('',(-6.464399153481E1,-1.703237004741E1, +-2.214817875717E1)); +#4278=CARTESIAN_POINT('',(-6.467137653829E1,-1.711725166293E1, +-2.217907313865E1)); +#4279=CARTESIAN_POINT('',(-6.469344454768E1,-1.723478339386E1, +-2.222185119029E1)); +#4280=CARTESIAN_POINT('',(-6.47E1,-1.733923838490E1,-2.225986969785E1)); +#4281=CARTESIAN_POINT('',(-6.47E1,-1.739454593550E1,-2.228E1)); +#4283=CARTESIAN_POINT('',(-6.383032908361E1,-1.69E1,-2.21E1)); +#4284=CARTESIAN_POINT('',(-6.379485652181E1,-1.700408809371E1, +-2.213788496785E1)); +#4285=CARTESIAN_POINT('',(-6.372680651953E1,-1.723057039011E1, +-2.222031778233E1)); +#4286=CARTESIAN_POINT('',(-6.363781428597E1,-1.762296863717E1, +-2.236313906424E1)); +#4287=CARTESIAN_POINT('',(-6.356456530235E1,-1.807957547548E1, +-2.252933036215E1)); +#4288=CARTESIAN_POINT('',(-6.353096807495E1,-1.842320119302E1, +-2.265439989506E1)); +#4289=CARTESIAN_POINT('',(-6.351911862177E1,-1.860521206875E1, +-2.272064643614E1)); +#4291=DIRECTION('',(-1.E0,-2.279789876791E-8,-8.297754643868E-9)); +#4292=VECTOR('',#4291,7.563723296137E-1); +#4293=CARTESIAN_POINT('',(-6.351911862177E1,-1.860521206875E1, +-2.272064643614E1)); +#4294=LINE('',#4293,#4292); +#4295=CARTESIAN_POINT('',(6.351911842755E1,-1.860521206948E1, +-2.272064643640E1)); +#4296=CARTESIAN_POINT('',(6.353096806305E1,-1.842319936431E1, +-2.265439922946E1)); +#4297=CARTESIAN_POINT('',(6.356456754932E1,-1.807957158017E1, +-2.252932894437E1)); +#4298=CARTESIAN_POINT('',(6.363779827135E1,-1.762305261729E1, +-2.236316963050E1)); +#4299=CARTESIAN_POINT('',(6.372680473331E1,-1.723057222253E1, +-2.222031844928E1)); +#4300=CARTESIAN_POINT('',(6.379485528806E1,-1.700408890450E1, +-2.213788526295E1)); +#4301=CARTESIAN_POINT('',(6.383032908361E1,-1.69E1,-2.21E1)); +#4303=CARTESIAN_POINT('',(6.47E1,-1.739454593550E1,-2.228E1)); +#4304=CARTESIAN_POINT('',(6.47E1,-1.733923838490E1,-2.225986969785E1)); +#4305=CARTESIAN_POINT('',(6.469344454768E1,-1.723478339386E1, +-2.222185119029E1)); +#4306=CARTESIAN_POINT('',(6.467137653829E1,-1.711725166293E1, +-2.217907313865E1)); +#4307=CARTESIAN_POINT('',(6.464399153481E1,-1.703237004741E1, +-2.214817875717E1)); +#4308=CARTESIAN_POINT('',(6.461720798307E1,-1.697457702744E1, +-2.212714381815E1)); +#4309=CARTESIAN_POINT('',(6.458792169169E1,-1.693296270108E1, +-2.211199744203E1)); +#4310=CARTESIAN_POINT('',(6.455561331562E1,-1.690801509306E1, +-2.210291725530E1)); +#4311=CARTESIAN_POINT('',(6.453471426650E1,-1.69E1,-2.21E1)); +#4312=CARTESIAN_POINT('',(6.452E1,-1.69E1,-2.21E1)); +#4314=DIRECTION('',(-3.147947409995E-1,-8.919184328319E-1,-3.246317609442E-1)); +#4315=VECTOR('',#4314,1.348526494640E0); +#4316=CARTESIAN_POINT('',(6.47E1,-1.740243644826E1,-2.228287191178E1)); +#4317=LINE('',#4316,#4315); +#4318=DIRECTION('',(-1.E0,2.184007206425E-8,7.949138039562E-9)); +#4319=VECTOR('',#4318,7.563725238362E-1); +#4320=CARTESIAN_POINT('',(6.427549095139E1,-1.860521208600E1, +-2.272064644241E1)); +#4321=LINE('',#4320,#4319); +#4322=CARTESIAN_POINT('',(-6.559882750719E1,-1.645332206297E1, +-2.250324722037E1)); +#4323=CARTESIAN_POINT('',(-6.558783026470E1,-1.648448091668E1, +-2.248671055262E1)); +#4324=CARTESIAN_POINT('',(-6.556440610410E1,-1.654036458617E1, +-2.245268923634E1)); +#4325=CARTESIAN_POINT('',(-6.552597166203E1,-1.660598480104E1, +-2.240089938628E1)); +#4326=CARTESIAN_POINT('',(-6.549868296415E1,-1.663888215681E1, +-2.236679112128E1)); +#4327=CARTESIAN_POINT('',(-6.548473824241E1,-1.665292804965E1,-2.235E1)); +#4329=CARTESIAN_POINT('',(-6.559882750719E1,-1.645332206297E1, +-2.250324722037E1)); +#4330=CARTESIAN_POINT('',(-6.562179711724E1,-1.638824150116E1, +-2.253778686157E1)); +#4331=CARTESIAN_POINT('',(-6.566477472372E1,-1.626647161614E1, +-2.260760086351E1)); +#4332=CARTESIAN_POINT('',(-6.572042545996E1,-1.610879453011E1, +-2.271333092449E1)); +#4333=CARTESIAN_POINT('',(-6.576773969464E1,-1.597473753185E1, +-2.281954129958E1)); +#4334=CARTESIAN_POINT('',(-6.580705218961E1,-1.586335212945E1, +-2.292545734397E1)); +#4335=CARTESIAN_POINT('',(-6.583874653065E1,-1.577355149650E1, +-2.303029369843E1)); +#4336=CARTESIAN_POINT('',(-6.586314794846E1,-1.570441414601E1, +-2.313281978959E1)); +#4337=CARTESIAN_POINT('',(-6.588063427411E1,-1.565486955675E1, +-2.323085033267E1)); +#4338=CARTESIAN_POINT('',(-6.589211679423E1,-1.562233574945E1, +-2.332373323708E1)); +#4339=CARTESIAN_POINT('',(-6.589860072158E1,-1.560396462305E1, +-2.341266219434E1)); +#4340=CARTESIAN_POINT('',(-6.589999999803E1,-1.560000000370E1, +-2.347112520270E1)); +#4341=CARTESIAN_POINT('',(-6.590000000122E1,-1.559999999467E1, +-2.350000001232E1)); +#4343=CARTESIAN_POINT('',(-6.548473824241E1,-1.542697426767E1,-2.235E1)); +#4344=CARTESIAN_POINT('',(-6.553041512418E1,-1.544600630174E1, +-2.240500045650E1)); +#4345=CARTESIAN_POINT('',(-6.561409715296E1,-1.548087381375E1, +-2.251900467426E1)); +#4346=CARTESIAN_POINT('',(-6.571803640969E1,-1.552418183732E1, +-2.270213866516E1)); +#4347=CARTESIAN_POINT('',(-6.579916421742E1,-1.555798509078E1, +-2.289406321382E1)); +#4348=CARTESIAN_POINT('',(-6.585730428045E1,-1.558221011613E1, +-2.309249486283E1)); +#4349=CARTESIAN_POINT('',(-6.589223247370E1,-1.559676353342E1, +-2.329532524473E1)); +#4350=CARTESIAN_POINT('',(-6.589999999366E1,-1.559999999152E1, +-2.343150529762E1)); +#4351=CARTESIAN_POINT('',(-6.590000000122E1,-1.559999999467E1, +-2.350000001232E1)); +#4353=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4354=VECTOR('',#4353,1.225953781980E0); +#4355=CARTESIAN_POINT('',(-6.548473824241E1,-1.542697426767E1,-2.235E1)); +#4356=LINE('',#4355,#4354); +#4357=CARTESIAN_POINT('',(-6.548473824241E1,-1.665292804965E1,-2.465E1)); +#4358=CARTESIAN_POINT('',(-6.549868241599E1,-1.663888270895E1, +-2.463320953878E1)); +#4359=CARTESIAN_POINT('',(-6.552597056851E1,-1.660598638840E1, +-2.459910203648E1)); +#4360=CARTESIAN_POINT('',(-6.556440572907E1,-1.654036548046E1, +-2.454731131153E1)); +#4361=CARTESIAN_POINT('',(-6.558783012376E1,-1.648448131601E1, +-2.451328965931E1)); +#4362=CARTESIAN_POINT('',(-6.559882750719E1,-1.645332206297E1, +-2.449675277963E1)); +#4364=CARTESIAN_POINT('',(-6.590000000122E1,-1.559999999467E1, +-2.350000001232E1)); +#4365=CARTESIAN_POINT('',(-6.589999999864E1,-1.559999999360E1, +-2.355867247200E1)); +#4366=CARTESIAN_POINT('',(-6.589429047540E1,-1.559762103412E1, +-2.367549959859E1)); +#4367=CARTESIAN_POINT('',(-6.586861944357E1,-1.558692476743E1, +-2.384979871881E1)); +#4368=CARTESIAN_POINT('',(-6.582588823371E1,-1.556912009758E1, +-2.402131459078E1)); +#4369=CARTESIAN_POINT('',(-6.576617994612E1,-1.554424164417E1, +-2.418879810251E1)); +#4370=CARTESIAN_POINT('',(-6.568966888436E1,-1.551236203517E1, +-2.435072850831E1)); +#4371=CARTESIAN_POINT('',(-6.559654738696E1,-1.547356141123E1, +-2.450562907088E1)); +#4372=CARTESIAN_POINT('',(-6.552387327982E1,-1.544328053326E1, +-2.460287671051E1)); +#4373=CARTESIAN_POINT('',(-6.548473824241E1,-1.542697426767E1,-2.465E1)); +#4375=CARTESIAN_POINT('',(-6.590000000122E1,-1.559999999467E1, +-2.350000001232E1)); +#4376=CARTESIAN_POINT('',(-6.589999999995E1,-1.559999999827E1, +-2.352889917143E1)); +#4377=CARTESIAN_POINT('',(-6.589859812968E1,-1.560397196678E1, +-2.358739821672E1)); +#4378=CARTESIAN_POINT('',(-6.589211074270E1,-1.562235289544E1, +-2.367632919485E1)); +#4379=CARTESIAN_POINT('',(-6.588062434487E1,-1.565489768959E1, +-2.376921130751E1)); +#4380=CARTESIAN_POINT('',(-6.586316140067E1,-1.570437603142E1, +-2.386708420151E1)); +#4381=CARTESIAN_POINT('',(-6.583886965604E1,-1.577320264122E1, +-2.396922876734E1)); +#4382=CARTESIAN_POINT('',(-6.580723683369E1,-1.586282897120E1, +-2.407399500357E1)); +#4383=CARTESIAN_POINT('',(-6.576794145082E1,-1.597416588935E1, +-2.417996888064E1)); +#4384=CARTESIAN_POINT('',(-6.572060487101E1,-1.610828619881E1, +-2.428630712766E1)); +#4385=CARTESIAN_POINT('',(-6.566489155957E1,-1.626614058122E1, +-2.439220326689E1)); +#4386=CARTESIAN_POINT('',(-6.562184135910E1,-1.638811614922E1, +-2.446214661148E1)); +#4387=CARTESIAN_POINT('',(-6.559882750719E1,-1.645332206297E1, +-2.449675277963E1)); +#4389=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4390=VECTOR('',#4389,4.310759702303E-1); +#4391=CARTESIAN_POINT('',(-6.484128515154E1,-1.859969207064E1, +-2.328446201488E1)); +#4392=LINE('',#4391,#4390); +#4393=DIRECTION('',(3.147947409995E-1,-8.919184328319E-1,3.246317609442E-1)); +#4394=VECTOR('',#4393,2.406464457581E0); +#4395=CARTESIAN_POINT('',(-6.559882750719E1,-1.645332206297E1, +-2.449675277963E1)); +#4396=LINE('',#4395,#4394); +#4397=DIRECTION('',(-3.147947409995E-1,8.919184328319E-1,3.246317609442E-1)); +#4398=VECTOR('',#4397,2.406464457581E0); +#4399=CARTESIAN_POINT('',(-6.484128515154E1,-1.859969207064E1, +-2.328446201488E1)); +#4400=LINE('',#4399,#4398); +#4401=CARTESIAN_POINT('',(-6.427549095139E1,-1.84E1,-2.328446201488E1)); +#4402=DIRECTION('',(1.E0,0.E0,0.E0)); +#4403=DIRECTION('',(0.E0,-3.420201433257E-1,9.396926207859E-1)); +#4404=AXIS2_PLACEMENT_3D('',#4401,#4402,#4403); +#4406=CARTESIAN_POINT('',(-6.427549095139E1,-1.84E1,-2.328446201488E1)); +#4407=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4408=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4409=AXIS2_PLACEMENT_3D('',#4406,#4407,#4408); +#4411=CARTESIAN_POINT('',(-6.427549095139E1,-1.84E1,-2.328446201488E1)); +#4412=DIRECTION('',(-3.147947409995E-1,8.919184328319E-1,3.246317609442E-1)); +#4413=DIRECTION('',(-9.429903335829E-1,-3.328201177351E-1,0.E0)); +#4414=AXIS2_PLACEMENT_3D('',#4411,#4412,#4413); +#4416=CARTESIAN_POINT('',(-6.351913460711E1,-1.84E1,-2.328446201488E1)); +#4417=DIRECTION('',(1.761636860140E-1,0.E0,-9.843608869363E-1)); +#4418=DIRECTION('',(9.843608869363E-1,7.171744679605E-11,1.761636860140E-1)); +#4419=AXIS2_PLACEMENT_3D('',#4416,#4417,#4418); +#4421=CARTESIAN_POINT('',(-6.351913460711E1,-1.84E1,-2.328446201488E1)); +#4422=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4423=DIRECTION('',(1.184237892933E-14,-1.E0,0.E0)); +#4424=AXIS2_PLACEMENT_3D('',#4421,#4422,#4423); +#4426=CARTESIAN_POINT('',(-6.351913398929E1,-1.839999099636E1, +-2.328445873783E1)); +#4427=DIRECTION('',(-6.109380467652E-2,9.379373020870E-1,3.413812595676E-1)); +#4428=DIRECTION('',(-1.999658951017E-6,-3.420202583390E-1,9.396925789223E-1)); +#4429=AXIS2_PLACEMENT_3D('',#4426,#4427,#4428); +#4431=CARTESIAN_POINT('',(-6.355509641920E1,-1.641134319424E1, +-2.209999901513E1)); +#4432=CARTESIAN_POINT('',(-6.349697817034E1,-1.650073963215E1, +-2.214849395746E1)); +#4433=CARTESIAN_POINT('',(-6.338510146330E1,-1.669573320403E1, +-2.225425990709E1)); +#4434=CARTESIAN_POINT('',(-6.323550915584E1,-1.703218510456E1, +-2.243678030567E1)); +#4435=CARTESIAN_POINT('',(-6.310377746327E1,-1.742362809853E1, +-2.264912177289E1)); +#4436=CARTESIAN_POINT('',(-6.299570033840E1,-1.787753346570E1, +-2.289536260395E1)); +#4437=CARTESIAN_POINT('',(-6.294604878906E1,-1.821943808302E1, +-2.308079951139E1)); +#4438=CARTESIAN_POINT('',(-6.292852265553E1,-1.839999996095E1, +-2.317873601385E1)); +#4440=DIRECTION('',(3.292762237995E-7,-9.999999999999E-1,-3.946001235889E-7)); +#4441=VECTOR('',#4440,2.911343194209E0); +#4442=CARTESIAN_POINT('',(-6.355509724104E1,-1.35E1,-2.49E1)); +#4443=LINE('',#4442,#4441); +#4444=CARTESIAN_POINT('',(-6.292852266091E1,-1.839999996254E1, +-2.382126392602E1)); +#4445=CARTESIAN_POINT('',(-6.294604967009E1,-1.821942871458E1, +-2.391920515113E1)); +#4446=CARTESIAN_POINT('',(-6.299570650264E1,-1.787750978146E1, +-2.410465068523E1)); +#4447=CARTESIAN_POINT('',(-6.310376458883E1,-1.742367524053E1, +-2.435085352033E1)); +#4448=CARTESIAN_POINT('',(-6.323552131624E1,-1.703214451303E1, +-2.456324181601E1)); +#4449=CARTESIAN_POINT('',(-6.338513928678E1,-1.669566546924E1, +-2.474577958019E1)); +#4450=CARTESIAN_POINT('',(-6.349699476694E1,-1.650070663765E1, +-2.485152062864E1)); +#4451=CARTESIAN_POINT('',(-6.355509628240E1,-1.641134319421E1, +-2.490000114882E1)); +#4453=CARTESIAN_POINT('',(-6.472350973684E1,-1.84E1,-2.35E1)); +#4454=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4455=DIRECTION('',(9.843499859229E-1,0.E0,-1.762245874264E-1)); +#4456=AXIS2_PLACEMENT_3D('',#4453,#4454,#4455); +#4458=CARTESIAN_POINT('',(-6.383032908361E1,-1.69E1,-2.21E1)); +#4459=CARTESIAN_POINT('',(-6.381496141340E1,-1.690000002503E1,-2.21E1)); +#4460=CARTESIAN_POINT('',(-6.378465259414E1,-1.689696221304E1, +-2.210000000001E1)); +#4461=CARTESIAN_POINT('',(-6.373903080693E1,-1.688105629423E1, +-2.209999999995E1)); +#4462=CARTESIAN_POINT('',(-6.369752117689E1,-1.685355942467E1, +-2.210000000017E1)); +#4463=CARTESIAN_POINT('',(-6.366253451907E1,-1.681771228430E1, +-2.209999999937E1)); +#4464=CARTESIAN_POINT('',(-6.363221953139E1,-1.677350935068E1, +-2.210000000236E1)); +#4465=CARTESIAN_POINT('',(-6.360611132440E1,-1.672022387891E1, +-2.209999999121E1)); +#4466=CARTESIAN_POINT('',(-6.358440837327E1,-1.665696367754E1, +-2.210000003282E1)); +#4467=CARTESIAN_POINT('',(-6.356811331961E1,-1.658493762644E1, +-2.209999987753E1)); +#4468=CARTESIAN_POINT('',(-6.355763822205E1,-1.650373945563E1, +-2.210000045708E1)); +#4469=CARTESIAN_POINT('',(-6.355509130647E1,-1.644319442164E1, +-2.209999901513E1)); +#4470=CARTESIAN_POINT('',(-6.355509641920E1,-1.641134319424E1, +-2.209999901513E1)); +#4472=DIRECTION('',(-1.E0,-2.060526894428E-14,4.636185512463E-14)); +#4473=VECTOR('',#4472,6.896709163870E-1); +#4474=CARTESIAN_POINT('',(-6.383032908361E1,-1.69E1,-2.21E1)); +#4475=LINE('',#4474,#4473); +#4476=DIRECTION('',(0.E0,1.E0,0.E0)); +#4477=VECTOR('',#4476,1.54E1); +#4478=CARTESIAN_POINT('',(-6.452E1,-1.69E1,-2.21E1)); +#4479=LINE('',#4478,#4477); +#4480=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4481=VECTOR('',#4480,1.593796110359E0); +#4482=CARTESIAN_POINT('',(-6.292620388964E1,-1.5E0,-2.21E1)); +#4483=LINE('',#4482,#4481); +#4484=DIRECTION('',(5.233595624294E-2,9.986295347546E-1,0.E0)); +#4485=VECTOR('',#4484,1.201646815198E1); +#4486=CARTESIAN_POINT('',(-6.355509724104E1,-1.35E1,-2.21E1)); +#4487=LINE('',#4486,#4485); +#4488=DIRECTION('',(2.822881828836E-7,-9.999999999999E-1,3.382873647496E-7)); +#4489=VECTOR('',#4488,2.911343194237E0); +#4490=CARTESIAN_POINT('',(-6.355509724104E1,-1.35E1,-2.21E1)); +#4491=LINE('',#4490,#4489); +#4492=CARTESIAN_POINT('',(-6.47E1,-1.757829539244E1,-2.235E1)); +#4493=CARTESIAN_POINT('',(-6.47E1,-1.752002595009E1,-2.232670661332E1)); +#4494=CARTESIAN_POINT('',(-6.47E1,-1.746140476041E1,-2.230433462216E1)); +#4495=CARTESIAN_POINT('',(-6.47E1,-1.740243644826E1,-2.228287191178E1)); +#4497=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4498=VECTOR('',#4497,2.478295392443E0); +#4499=CARTESIAN_POINT('',(-6.47E1,-1.51E1,-2.465E1)); +#4500=LINE('',#4499,#4498); +#4501=CARTESIAN_POINT('',(-6.47E1,-1.740243644826E1,-2.471712808822E1)); +#4502=CARTESIAN_POINT('',(-6.47E1,-1.746140476041E1,-2.469566537783E1)); +#4503=CARTESIAN_POINT('',(-6.47E1,-1.752002595009E1,-2.467329338668E1)); +#4504=CARTESIAN_POINT('',(-6.47E1,-1.757829539244E1,-2.465E1)); +#4506=DIRECTION('',(0.E0,-9.396926207861E-1,3.420201433252E-1)); +#4507=VECTOR('',#4506,8.396908294306E-3); +#4508=CARTESIAN_POINT('',(-6.47E1,-1.739454593550E1,-2.472E1)); +#4509=LINE('',#4508,#4507); +#4510=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4511=VECTOR('',#4510,1.589454593550E1); +#4512=CARTESIAN_POINT('',(-6.47E1,-1.5E0,-2.472E1)); +#4513=LINE('',#4512,#4511); +#4514=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4515=VECTOR('',#4514,2.44E0); +#4516=CARTESIAN_POINT('',(-6.47E1,-1.5E0,-2.228E1)); +#4517=LINE('',#4516,#4515); +#4518=DIRECTION('',(0.E0,1.E0,0.E0)); +#4519=VECTOR('',#4518,1.589454593550E1); +#4520=CARTESIAN_POINT('',(-6.47E1,-1.739454593550E1,-2.228E1)); +#4521=LINE('',#4520,#4519); +#4522=DIRECTION('',(0.E0,9.396926207859E-1,3.420201433257E-1)); +#4523=VECTOR('',#4522,8.396908294210E-3); +#4524=CARTESIAN_POINT('',(-6.47E1,-1.740243644826E1,-2.228287191178E1)); +#4525=LINE('',#4524,#4523); +#4526=CARTESIAN_POINT('',(-6.548473824241E1,-1.665292804965E1,-2.235E1)); +#4527=CARTESIAN_POINT('',(-6.543574912837E1,-1.675046065743E1,-2.235E1)); +#4528=CARTESIAN_POINT('',(-6.533263991548E1,-1.693913982455E1,-2.235E1)); +#4529=CARTESIAN_POINT('',(-6.515965837830E1,-1.719936025519E1,-2.235E1)); +#4530=CARTESIAN_POINT('',(-6.499568576167E1,-1.739154088404E1,-2.235E1)); +#4531=CARTESIAN_POINT('',(-6.484189142625E1,-1.751713710304E1,-2.235E1)); +#4532=CARTESIAN_POINT('',(-6.475289756638E1,-1.756487961109E1,-2.235E1)); +#4533=CARTESIAN_POINT('',(-6.47E1,-1.757829539244E1,-2.235E1)); +#4535=DIRECTION('',(9.230769230769E-1,3.846153846154E-1,0.E0)); +#4536=VECTOR('',#4535,8.501330959470E-1); +#4537=CARTESIAN_POINT('',(-6.548473824241E1,-1.542697426767E1,-2.235E1)); +#4538=LINE('',#4537,#4536); +#4539=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4540=VECTOR('',#4539,2.478295392443E0); +#4541=CARTESIAN_POINT('',(-6.47E1,-1.51E1,-2.235E1)); +#4542=LINE('',#4541,#4540); +#4543=DIRECTION('',(0.E0,0.E0,1.E0)); +#4544=VECTOR('',#4543,2.3E0); +#4545=CARTESIAN_POINT('',(-6.47E1,-1.51E1,-2.465E1)); +#4546=LINE('',#4545,#4544); +#4547=CARTESIAN_POINT('',(-6.47E1,-1.757829539244E1,-2.465E1)); +#4548=CARTESIAN_POINT('',(-6.475289756638E1,-1.756487961109E1,-2.465E1)); +#4549=CARTESIAN_POINT('',(-6.484189142625E1,-1.751713710304E1,-2.465E1)); +#4550=CARTESIAN_POINT('',(-6.499568576167E1,-1.739154088404E1,-2.465E1)); +#4551=CARTESIAN_POINT('',(-6.515965837830E1,-1.719936025519E1,-2.465E1)); +#4552=CARTESIAN_POINT('',(-6.533263991548E1,-1.693913982455E1,-2.465E1)); +#4553=CARTESIAN_POINT('',(-6.543574912837E1,-1.675046065743E1,-2.465E1)); +#4554=CARTESIAN_POINT('',(-6.548473824241E1,-1.665292804965E1,-2.465E1)); +#4556=DIRECTION('',(9.230769230769E-1,3.846153846154E-1,0.E0)); +#4557=VECTOR('',#4556,8.501330959470E-1); +#4558=CARTESIAN_POINT('',(-6.548473824241E1,-1.542697426767E1,-2.465E1)); +#4559=LINE('',#4558,#4557); +#4560=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4561=VECTOR('',#4560,1.225953781980E0); +#4562=CARTESIAN_POINT('',(-6.548473824241E1,-1.542697426767E1,-2.465E1)); +#4563=LINE('',#4562,#4561); +#4564=CARTESIAN_POINT('',(-6.427549095139E1,-1.84E1,-2.371553798512E1)); +#4565=DIRECTION('',(-3.147947409995E-1,8.919184328319E-1,-3.246317609442E-1)); +#4566=DIRECTION('',(0.E0,-3.420201433257E-1,-9.396926207859E-1)); +#4567=AXIS2_PLACEMENT_3D('',#4564,#4565,#4566); +#4569=CARTESIAN_POINT('',(-6.427549095139E1,-1.84E1,-2.371553798512E1)); +#4570=DIRECTION('',(0.E0,0.E0,1.E0)); +#4571=DIRECTION('',(-9.429903335829E-1,-3.328201177351E-1,0.E0)); +#4572=AXIS2_PLACEMENT_3D('',#4569,#4570,#4571); +#4574=CARTESIAN_POINT('',(-6.427549095139E1,-1.84E1,-2.371553798512E1)); +#4575=DIRECTION('',(1.E0,1.184237892934E-14,-1.691266986301E-14)); +#4576=DIRECTION('',(2.368475785867E-14,-1.E0,0.E0)); +#4577=AXIS2_PLACEMENT_3D('',#4574,#4575,#4576); +#4579=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4580=VECTOR('',#4579,4.310759702303E-1); +#4581=CARTESIAN_POINT('',(-6.427549095139E1,-1.9E1,-2.328446201488E1)); +#4582=LINE('',#4581,#4580); +#4583=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4584=VECTOR('',#4583,7.563553966636E-1); +#4585=CARTESIAN_POINT('',(-6.351913555473E1,-1.9E1,-2.328446201488E1)); +#4586=LINE('',#4585,#4584); +#4587=CARTESIAN_POINT('',(-6.472350973684E1,-1.9E1,-2.35E1)); +#4588=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4589=DIRECTION('',(9.843607884198E-1,0.E0,-1.761642364997E-1)); +#4590=AXIS2_PLACEMENT_3D('',#4587,#4588,#4589); +#4592=DIRECTION('',(1.E0,0.E0,0.E0)); +#4593=VECTOR('',#4592,7.563553732748E-1); +#4594=CARTESIAN_POINT('',(-6.427549095139E1,-1.9E1,-2.371553798512E1)); +#4595=LINE('',#4594,#4593); +#4596=DIRECTION('',(0.E0,0.E0,1.E0)); +#4597=VECTOR('',#4596,4.310759702303E-1); +#4598=CARTESIAN_POINT('',(6.427549095139E1,-1.9E1,-2.371553798512E1)); +#4599=LINE('',#4598,#4597); +#4600=DIRECTION('',(1.E0,0.E0,0.E0)); +#4601=VECTOR('',#4600,7.563553814470E-1); +#4602=CARTESIAN_POINT('',(6.351913556994E1,-1.9E1,-2.371553798512E1)); +#4603=LINE('',#4602,#4601); +#4604=CARTESIAN_POINT('',(6.472350973684E1,-1.9E1,-2.35E1)); +#4605=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4606=DIRECTION('',(-9.843607900039E-1,0.E0,1.761642276484E-1)); +#4607=AXIS2_PLACEMENT_3D('',#4604,#4605,#4606); +#4609=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4610=VECTOR('',#4609,7.563553888876E-1); +#4611=CARTESIAN_POINT('',(6.427549095139E1,-1.9E1,-2.328446201488E1)); +#4612=LINE('',#4611,#4610); +#4613=DIRECTION('',(3.147947409995E-1,8.919184328319E-1,-3.246317609442E-1)); +#4614=VECTOR('',#4613,2.406464457581E0); +#4615=CARTESIAN_POINT('',(6.484128515154E1,-1.859969207064E1, +-2.371553798512E1)); +#4616=LINE('',#4615,#4614); +#4617=DIRECTION('',(0.E0,0.E0,1.E0)); +#4618=VECTOR('',#4617,4.310759702303E-1); +#4619=CARTESIAN_POINT('',(6.484128515154E1,-1.859969207064E1, +-2.371553798512E1)); +#4620=LINE('',#4619,#4618); +#4621=DIRECTION('',(-3.147947409995E-1,-8.919184328319E-1,-3.246317609442E-1)); +#4622=VECTOR('',#4621,2.406464457581E0); +#4623=CARTESIAN_POINT('',(6.559882750719E1,-1.645332206297E1, +-2.250324722037E1)); +#4624=LINE('',#4623,#4622); +#4625=CARTESIAN_POINT('',(6.559882750719E1,-1.645332206297E1, +-2.449675277963E1)); +#4626=CARTESIAN_POINT('',(6.558783026470E1,-1.648448091668E1, +-2.451328944738E1)); +#4627=CARTESIAN_POINT('',(6.556440610410E1,-1.654036458617E1, +-2.454731076366E1)); +#4628=CARTESIAN_POINT('',(6.552597166203E1,-1.660598480104E1, +-2.459910061372E1)); +#4629=CARTESIAN_POINT('',(6.549868296415E1,-1.663888215681E1, +-2.463320887872E1)); +#4630=CARTESIAN_POINT('',(6.548473824241E1,-1.665292804965E1,-2.465E1)); +#4632=CARTESIAN_POINT('',(6.559882750719E1,-1.645332206297E1, +-2.449675277963E1)); +#4633=CARTESIAN_POINT('',(6.562179712055E1,-1.638824149177E1, +-2.446221313345E1)); +#4634=CARTESIAN_POINT('',(6.566477473281E1,-1.626647159037E1, +-2.439239912131E1)); +#4635=CARTESIAN_POINT('',(6.572042547523E1,-1.610879448684E1, +-2.428666904489E1)); +#4636=CARTESIAN_POINT('',(6.576773971379E1,-1.597473747759E1, +-2.418045865423E1)); +#4637=CARTESIAN_POINT('',(6.580705221046E1,-1.586335207035E1, +-2.407454259537E1)); +#4638=CARTESIAN_POINT('',(6.583874655125E1,-1.577355143812E1, +-2.396970622476E1)); +#4639=CARTESIAN_POINT('',(6.586314796687E1,-1.570441409387E1, +-2.386718012854E1)); +#4640=CARTESIAN_POINT('',(6.588063428910E1,-1.565486951423E1, +-2.376914954855E1)); +#4641=CARTESIAN_POINT('',(6.589211680380E1,-1.562233572252E1, +-2.367626674026E1)); +#4642=CARTESIAN_POINT('',(6.589860073065E1,-1.560396459673E1, +-2.358733738410E1)); +#4643=CARTESIAN_POINT('',(6.589999999253E1,-1.560000002068E1, +-2.352887534377E1)); +#4644=CARTESIAN_POINT('',(6.590000000082E1,-1.559999999720E1, +-2.350000056049E1)); +#4646=CARTESIAN_POINT('',(6.548473824241E1,-1.542697426767E1,-2.465E1)); +#4647=CARTESIAN_POINT('',(6.553041506169E1,-1.544600627570E1, +-2.459499961875E1)); +#4648=CARTESIAN_POINT('',(6.561409698698E1,-1.548087374458E1, +-2.448099556426E1)); +#4649=CARTESIAN_POINT('',(6.571803614832E1,-1.552418172844E1, +-2.429786183148E1)); +#4650=CARTESIAN_POINT('',(6.579916392133E1,-1.555798496733E1, +-2.410593761687E1)); +#4651=CARTESIAN_POINT('',(6.585730402329E1,-1.558221000931E1, +-2.390750609072E1)); +#4652=CARTESIAN_POINT('',(6.589223228132E1,-1.559676345201E1, +-2.370467664995E1)); +#4653=CARTESIAN_POINT('',(6.589999998115E1,-1.559999998900E1, +-2.356849518154E1)); +#4654=CARTESIAN_POINT('',(6.590000000082E1,-1.559999999720E1, +-2.350000056049E1)); +#4656=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4657=VECTOR('',#4656,1.225953781980E0); +#4658=CARTESIAN_POINT('',(6.548473824241E1,-1.542697426767E1,-2.465E1)); +#4659=LINE('',#4658,#4657); +#4660=CARTESIAN_POINT('',(6.548473824241E1,-1.665292804965E1,-2.235E1)); +#4661=CARTESIAN_POINT('',(6.549868241599E1,-1.663888270895E1, +-2.236679046122E1)); +#4662=CARTESIAN_POINT('',(6.552597056851E1,-1.660598638840E1, +-2.240089796352E1)); +#4663=CARTESIAN_POINT('',(6.556440572907E1,-1.654036548046E1, +-2.245268868847E1)); +#4664=CARTESIAN_POINT('',(6.558783012376E1,-1.648448131601E1, +-2.248671034069E1)); +#4665=CARTESIAN_POINT('',(6.559882750719E1,-1.645332206297E1, +-2.250324722037E1)); +#4667=CARTESIAN_POINT('',(6.590000000082E1,-1.559999999720E1, +-2.350000056049E1)); +#4668=CARTESIAN_POINT('',(6.590000001020E1,-1.560000000111E1, +-2.344132802151E1)); +#4669=CARTESIAN_POINT('',(6.589429064268E1,-1.559762110258E1, +-2.332450233914E1)); +#4670=CARTESIAN_POINT('',(6.586861967888E1,-1.558692486581E1, +-2.315020232200E1)); +#4671=CARTESIAN_POINT('',(6.582588852205E1,-1.556912021763E1, +-2.297868636891E1)); +#4672=CARTESIAN_POINT('',(6.576618023187E1,-1.554424176325E1, +-2.281120255934E1)); +#4673=CARTESIAN_POINT('',(6.568966912323E1,-1.551236213469E1, +-2.264927192341E1)); +#4674=CARTESIAN_POINT('',(6.559654753140E1,-1.547356147141E1, +-2.249437112867E1)); +#4675=CARTESIAN_POINT('',(6.552387333312E1,-1.544328055547E1, +-2.239712335367E1)); +#4676=CARTESIAN_POINT('',(6.548473824241E1,-1.542697426767E1,-2.235E1)); +#4678=CARTESIAN_POINT('',(6.590000000082E1,-1.559999999720E1, +-2.350000056049E1)); +#4679=CARTESIAN_POINT('',(6.590000000544E1,-1.559999998411E1, +-2.347110143189E1)); +#4680=CARTESIAN_POINT('',(6.589859812700E1,-1.560397197374E1, +-2.341260150058E1)); +#4681=CARTESIAN_POINT('',(6.589211074346E1,-1.562235289348E1, +-2.332367088123E1)); +#4682=CARTESIAN_POINT('',(6.588062434467E1,-1.565489769011E1, +-2.323078867210E1)); +#4683=CARTESIAN_POINT('',(6.586316140072E1,-1.570437603128E1, +-2.313291580395E1)); +#4684=CARTESIAN_POINT('',(6.583886965603E1,-1.577320264126E1, +-2.303077123120E1)); +#4685=CARTESIAN_POINT('',(6.580723683370E1,-1.586282897119E1, +-2.292600499682E1)); +#4686=CARTESIAN_POINT('',(6.576794145082E1,-1.597416588935E1, +-2.282003111925E1)); +#4687=CARTESIAN_POINT('',(6.572060487101E1,-1.610828619881E1, +-2.271369287237E1)); +#4688=CARTESIAN_POINT('',(6.566489155957E1,-1.626614058122E1, +-2.260779673310E1)); +#4689=CARTESIAN_POINT('',(6.562184135910E1,-1.638811614922E1, +-2.253785338852E1)); +#4690=CARTESIAN_POINT('',(6.559882750719E1,-1.645332206297E1, +-2.250324722037E1)); +#4692=CARTESIAN_POINT('',(6.548473824241E1,-1.665292804965E1,-2.465E1)); +#4693=CARTESIAN_POINT('',(6.543574912837E1,-1.675046065743E1,-2.465E1)); +#4694=CARTESIAN_POINT('',(6.533263991548E1,-1.693913982455E1,-2.465E1)); +#4695=CARTESIAN_POINT('',(6.515965837830E1,-1.719936025519E1,-2.465E1)); +#4696=CARTESIAN_POINT('',(6.499568576167E1,-1.739154088404E1,-2.465E1)); +#4697=CARTESIAN_POINT('',(6.484189142625E1,-1.751713710304E1,-2.465E1)); +#4698=CARTESIAN_POINT('',(6.475289756638E1,-1.756487961109E1,-2.465E1)); +#4699=CARTESIAN_POINT('',(6.47E1,-1.757829539244E1,-2.465E1)); +#4701=DIRECTION('',(-9.230769230769E-1,3.846153846154E-1,0.E0)); +#4702=VECTOR('',#4701,8.501330959470E-1); +#4703=CARTESIAN_POINT('',(6.548473824241E1,-1.542697426767E1,-2.465E1)); +#4704=LINE('',#4703,#4702); +#4705=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4706=VECTOR('',#4705,2.478295392443E0); +#4707=CARTESIAN_POINT('',(6.47E1,-1.51E1,-2.465E1)); +#4708=LINE('',#4707,#4706); +#4709=CARTESIAN_POINT('',(6.47E1,-1.757829539244E1,-2.465E1)); +#4710=CARTESIAN_POINT('',(6.47E1,-1.752002595009E1,-2.467329338668E1)); +#4711=CARTESIAN_POINT('',(6.47E1,-1.746140476041E1,-2.469566537784E1)); +#4712=CARTESIAN_POINT('',(6.47E1,-1.740243644826E1,-2.471712808822E1)); +#4714=DIRECTION('',(0.E0,0.E0,1.E0)); +#4715=VECTOR('',#4714,2.3E0); +#4716=CARTESIAN_POINT('',(6.47E1,-1.51E1,-2.465E1)); +#4717=LINE('',#4716,#4715); +#4718=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4719=VECTOR('',#4718,2.478295392443E0); +#4720=CARTESIAN_POINT('',(6.47E1,-1.51E1,-2.235E1)); +#4721=LINE('',#4720,#4719); +#4722=CARTESIAN_POINT('',(6.47E1,-1.740243644826E1,-2.228287191178E1)); +#4723=CARTESIAN_POINT('',(6.47E1,-1.746140476041E1,-2.230433462217E1)); +#4724=CARTESIAN_POINT('',(6.47E1,-1.752002595009E1,-2.232670661332E1)); +#4725=CARTESIAN_POINT('',(6.47E1,-1.757829539244E1,-2.235E1)); +#4727=DIRECTION('',(0.E0,9.396926207859E-1,3.420201433258E-1)); +#4728=VECTOR('',#4727,8.396908294384E-3); +#4729=CARTESIAN_POINT('',(6.47E1,-1.740243644826E1,-2.228287191178E1)); +#4730=LINE('',#4729,#4728); +#4731=DIRECTION('',(0.E0,1.E0,0.E0)); +#4732=VECTOR('',#4731,1.589454593550E1); +#4733=CARTESIAN_POINT('',(6.47E1,-1.739454593550E1,-2.228E1)); +#4734=LINE('',#4733,#4732); +#4735=DIRECTION('',(0.E0,0.E0,1.E0)); +#4736=VECTOR('',#4735,2.44E0); +#4737=CARTESIAN_POINT('',(6.47E1,-1.5E0,-2.472E1)); +#4738=LINE('',#4737,#4736); +#4739=DIRECTION('',(0.E0,1.E0,0.E0)); +#4740=VECTOR('',#4739,1.589454593550E1); +#4741=CARTESIAN_POINT('',(6.47E1,-1.739454593550E1,-2.472E1)); +#4742=LINE('',#4741,#4740); +#4743=DIRECTION('',(0.E0,-9.396926207860E-1,3.420201433254E-1)); +#4744=VECTOR('',#4743,8.396908294280E-3); +#4745=CARTESIAN_POINT('',(6.47E1,-1.739454593550E1,-2.472E1)); +#4746=LINE('',#4745,#4744); +#4747=CARTESIAN_POINT('',(6.47E1,-1.757829539244E1,-2.235E1)); +#4748=CARTESIAN_POINT('',(6.475289756638E1,-1.756487961109E1,-2.235E1)); +#4749=CARTESIAN_POINT('',(6.484189142625E1,-1.751713710304E1,-2.235E1)); +#4750=CARTESIAN_POINT('',(6.499568576167E1,-1.739154088404E1,-2.235E1)); +#4751=CARTESIAN_POINT('',(6.515965837830E1,-1.719936025519E1,-2.235E1)); +#4752=CARTESIAN_POINT('',(6.533263991548E1,-1.693913982455E1,-2.235E1)); +#4753=CARTESIAN_POINT('',(6.543574912837E1,-1.675046065743E1,-2.235E1)); +#4754=CARTESIAN_POINT('',(6.548473824241E1,-1.665292804965E1,-2.235E1)); +#4756=DIRECTION('',(-9.230769230769E-1,3.846153846154E-1,0.E0)); +#4757=VECTOR('',#4756,8.501330959470E-1); +#4758=CARTESIAN_POINT('',(6.548473824241E1,-1.542697426767E1,-2.235E1)); +#4759=LINE('',#4758,#4757); +#4760=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4761=VECTOR('',#4760,1.225953781980E0); +#4762=CARTESIAN_POINT('',(6.548473824241E1,-1.542697426767E1,-2.235E1)); +#4763=LINE('',#4762,#4761); +#4764=CARTESIAN_POINT('',(6.427549095139E1,-1.84E1,-2.328446201488E1)); +#4765=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4766=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4767=AXIS2_PLACEMENT_3D('',#4764,#4765,#4766); +#4769=CARTESIAN_POINT('',(6.427549095139E1,-1.84E1,-2.328446201488E1)); +#4770=DIRECTION('',(3.147947409995E-1,8.919184328319E-1,3.246317609442E-1)); +#4771=DIRECTION('',(0.E0,-3.420201433257E-1,9.396926207859E-1)); +#4772=AXIS2_PLACEMENT_3D('',#4769,#4770,#4771); +#4774=CARTESIAN_POINT('',(6.427549095139E1,-1.84E1,-2.328446201488E1)); +#4775=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4776=DIRECTION('',(9.429903335829E-1,-3.328201177351E-1,0.E0)); +#4777=AXIS2_PLACEMENT_3D('',#4774,#4775,#4776); +#4779=CARTESIAN_POINT('',(6.351913460711E1,-1.84E1,-2.328446201488E1)); +#4780=DIRECTION('',(-1.761636860140E-1,0.E0,-9.843608869363E-1)); +#4781=DIRECTION('',(1.184237892933E-14,-1.E0,0.E0)); +#4782=AXIS2_PLACEMENT_3D('',#4779,#4780,#4781); +#4784=CARTESIAN_POINT('',(6.351913460711E1,-1.84E1,-2.328446201488E1)); +#4785=DIRECTION('',(6.110495591322E-2,9.379409185909E-1,3.413693272625E-1)); +#4786=DIRECTION('',(-9.843601262841E-1,1.167701454937E-5,1.761679359180E-1)); +#4787=AXIS2_PLACEMENT_3D('',#4784,#4785,#4786); +#4789=CARTESIAN_POINT('',(6.351913460711E1,-1.84E1,-2.328446201488E1)); +#4790=DIRECTION('',(1.E0,0.E0,0.E0)); +#4791=DIRECTION('',(0.E0,-3.420201433257E-1,9.396926207859E-1)); +#4792=AXIS2_PLACEMENT_3D('',#4789,#4790,#4791); +#4794=CARTESIAN_POINT('',(6.292852266091E1,-1.839999996254E1, +-2.317873607398E1)); +#4795=CARTESIAN_POINT('',(6.294604967009E1,-1.821942871458E1, +-2.308079484887E1)); +#4796=CARTESIAN_POINT('',(6.299570650264E1,-1.787750978146E1, +-2.289534931478E1)); +#4797=CARTESIAN_POINT('',(6.310376458883E1,-1.742367524053E1, +-2.264914647967E1)); +#4798=CARTESIAN_POINT('',(6.323552131624E1,-1.703214451303E1, +-2.243675818399E1)); +#4799=CARTESIAN_POINT('',(6.338513928678E1,-1.669566546924E1, +-2.225422041981E1)); +#4800=CARTESIAN_POINT('',(6.349699476695E1,-1.650070663765E1, +-2.214847937136E1)); +#4801=CARTESIAN_POINT('',(6.355509628240E1,-1.641134319421E1, +-2.209999885118E1)); +#4803=CARTESIAN_POINT('',(6.472350973684E1,-1.84E1,-2.35E1)); +#4804=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4805=DIRECTION('',(-9.843499859229E-1,0.E0,1.762245874264E-1)); +#4806=AXIS2_PLACEMENT_3D('',#4803,#4804,#4805); +#4808=CARTESIAN_POINT('',(6.355509641920E1,-1.641134319424E1, +-2.490000098487E1)); +#4809=CARTESIAN_POINT('',(6.349697817034E1,-1.650073963215E1, +-2.485150604254E1)); +#4810=CARTESIAN_POINT('',(6.338510146330E1,-1.669573320403E1, +-2.474574009291E1)); +#4811=CARTESIAN_POINT('',(6.323550915584E1,-1.703218510456E1, +-2.456321969433E1)); +#4812=CARTESIAN_POINT('',(6.310377746327E1,-1.742362809853E1, +-2.435087822711E1)); +#4813=CARTESIAN_POINT('',(6.299570033840E1,-1.787753346570E1, +-2.410463739605E1)); +#4814=CARTESIAN_POINT('',(6.294604878906E1,-1.821943808302E1, +-2.391920048860E1)); +#4815=CARTESIAN_POINT('',(6.292852265553E1,-1.839999996095E1, +-2.382126398615E1)); +#4817=DIRECTION('',(-3.292762335619E-7,-9.999999999999E-1,3.946001248092E-7)); +#4818=VECTOR('',#4817,2.911343194209E0); +#4819=CARTESIAN_POINT('',(6.355509724104E1,-1.35E1,-2.21E1)); +#4820=LINE('',#4819,#4818); +#4821=CARTESIAN_POINT('',(6.383032908361E1,-1.69E1,-2.49E1)); +#4822=CARTESIAN_POINT('',(6.381516121028E1,-1.690000002471E1,-2.49E1)); +#4823=CARTESIAN_POINT('',(6.378517897893E1,-1.689703936146E1, +-2.489999999999E1)); +#4824=CARTESIAN_POINT('',(6.373987007965E1,-1.688144794240E1, +-2.490000000005E1)); +#4825=CARTESIAN_POINT('',(6.369836575072E1,-1.685425886080E1, +-2.489999999983E1)); +#4826=CARTESIAN_POINT('',(6.366325329263E1,-1.681860024967E1, +-2.490000000063E1)); +#4827=CARTESIAN_POINT('',(6.363275063744E1,-1.677444412999E1, +-2.489999999764E1)); +#4828=CARTESIAN_POINT('',(6.360645917662E1,-1.672109427636E1, +-2.490000000879E1)); +#4829=CARTESIAN_POINT('',(6.358459984102E1,-1.665768328751E1, +-2.489999996718E1)); +#4830=CARTESIAN_POINT('',(6.356819656237E1,-1.658544419702E1, +-2.490000012247E1)); +#4831=CARTESIAN_POINT('',(6.355766601152E1,-1.650414778730E1, +-2.489999954292E1)); +#4832=CARTESIAN_POINT('',(6.355509128192E1,-1.644334739593E1, +-2.490000098487E1)); +#4833=CARTESIAN_POINT('',(6.355509641920E1,-1.641134319424E1, +-2.490000098487E1)); +#4835=DIRECTION('',(1.E0,-2.575658618035E-14,-4.636185512463E-14)); +#4836=VECTOR('',#4835,6.896709163870E-1); +#4837=CARTESIAN_POINT('',(6.383032908361E1,-1.69E1,-2.49E1)); +#4838=LINE('',#4837,#4836); +#4839=DIRECTION('',(0.E0,1.E0,0.E0)); +#4840=VECTOR('',#4839,1.54E1); +#4841=CARTESIAN_POINT('',(6.452E1,-1.69E1,-2.49E1)); +#4842=LINE('',#4841,#4840); +#4843=DIRECTION('',(1.E0,0.E0,0.E0)); +#4844=VECTOR('',#4843,1.593796110359E0); +#4845=CARTESIAN_POINT('',(6.292620388964E1,-1.5E0,-2.49E1)); +#4846=LINE('',#4845,#4844); +#4847=DIRECTION('',(5.233595624294E-2,-9.986295347546E-1,0.E0)); +#4848=VECTOR('',#4847,1.201646815198E1); +#4849=CARTESIAN_POINT('',(6.292620388964E1,-1.5E0,-2.49E1)); +#4850=LINE('',#4849,#4848); +#4851=DIRECTION('',(-2.822881902054E-7,-9.999999999999E-1,-3.382873623090E-7)); +#4852=VECTOR('',#4851,2.911343194237E0); +#4853=CARTESIAN_POINT('',(6.355509724104E1,-1.35E1,-2.49E1)); +#4854=LINE('',#4853,#4852); +#4855=CARTESIAN_POINT('',(-6.351911842755E1,-1.860521206948E1, +-2.427935356360E1)); +#4856=CARTESIAN_POINT('',(-6.353096806305E1,-1.842319936431E1, +-2.434560077054E1)); +#4857=CARTESIAN_POINT('',(-6.356456754932E1,-1.807957158017E1, +-2.447067105563E1)); +#4858=CARTESIAN_POINT('',(-6.363779827135E1,-1.762305261729E1, +-2.463683036950E1)); +#4859=CARTESIAN_POINT('',(-6.372680473331E1,-1.723057222253E1, +-2.477968155072E1)); +#4860=CARTESIAN_POINT('',(-6.379485528806E1,-1.700408890450E1, +-2.486211473705E1)); +#4861=CARTESIAN_POINT('',(-6.383032908361E1,-1.69E1,-2.49E1)); +#4863=CARTESIAN_POINT('',(-6.47E1,-1.739454593550E1,-2.472E1)); +#4864=CARTESIAN_POINT('',(-6.47E1,-1.733923838490E1,-2.474013030215E1)); +#4865=CARTESIAN_POINT('',(-6.469344454768E1,-1.723478339386E1, +-2.477814880971E1)); +#4866=CARTESIAN_POINT('',(-6.467137653829E1,-1.711725166293E1, +-2.482092686135E1)); +#4867=CARTESIAN_POINT('',(-6.464399153481E1,-1.703237004741E1, +-2.485182124283E1)); +#4868=CARTESIAN_POINT('',(-6.461720798307E1,-1.697457702744E1, +-2.487285618185E1)); +#4869=CARTESIAN_POINT('',(-6.458792169169E1,-1.693296270108E1, +-2.488800255797E1)); +#4870=CARTESIAN_POINT('',(-6.455561331562E1,-1.690801509306E1, +-2.489708274470E1)); +#4871=CARTESIAN_POINT('',(-6.453471426650E1,-1.69E1,-2.49E1)); +#4872=CARTESIAN_POINT('',(-6.452E1,-1.69E1,-2.49E1)); +#4874=DIRECTION('',(3.147947409995E-1,-8.919184328319E-1,3.246317609442E-1)); +#4875=VECTOR('',#4874,1.348526494640E0); +#4876=CARTESIAN_POINT('',(-6.47E1,-1.740243644826E1,-2.471712808822E1)); +#4877=LINE('',#4876,#4875); +#4878=DIRECTION('',(1.E0,2.184009085242E-8,-7.949142736604E-9)); +#4879=VECTOR('',#4878,7.563725238361E-1); +#4880=CARTESIAN_POINT('',(-6.427549095139E1,-1.860521208600E1, +-2.427935355759E1)); +#4881=LINE('',#4880,#4879); +#4882=DIRECTION('',(3.147947409995E-1,8.919184328319E-1,-3.246317609442E-1)); +#4883=VECTOR('',#4882,1.348526494640E0); +#4884=CARTESIAN_POINT('',(6.427549095139E1,-1.860521208600E1, +-2.427935355759E1)); +#4885=LINE('',#4884,#4883); +#4886=CARTESIAN_POINT('',(6.452E1,-1.69E1,-2.49E1)); +#4887=CARTESIAN_POINT('',(6.453471426650E1,-1.69E1,-2.49E1)); +#4888=CARTESIAN_POINT('',(6.455561331562E1,-1.690801509306E1, +-2.489708274470E1)); +#4889=CARTESIAN_POINT('',(6.458792169169E1,-1.693296270108E1, +-2.488800255797E1)); +#4890=CARTESIAN_POINT('',(6.461720798307E1,-1.697457702744E1, +-2.487285618185E1)); +#4891=CARTESIAN_POINT('',(6.464399153481E1,-1.703237004741E1, +-2.485182124283E1)); +#4892=CARTESIAN_POINT('',(6.467137653829E1,-1.711725166293E1, +-2.482092686135E1)); +#4893=CARTESIAN_POINT('',(6.469344454768E1,-1.723478339386E1, +-2.477814880971E1)); +#4894=CARTESIAN_POINT('',(6.47E1,-1.733923838490E1,-2.474013030215E1)); +#4895=CARTESIAN_POINT('',(6.47E1,-1.739454593550E1,-2.472E1)); +#4897=CARTESIAN_POINT('',(6.383032908361E1,-1.69E1,-2.49E1)); +#4898=CARTESIAN_POINT('',(6.379485652181E1,-1.700408809371E1, +-2.486211503215E1)); +#4899=CARTESIAN_POINT('',(6.372680651953E1,-1.723057039011E1, +-2.477968221767E1)); +#4900=CARTESIAN_POINT('',(6.363781428597E1,-1.762296863717E1, +-2.463686093576E1)); +#4901=CARTESIAN_POINT('',(6.356456530235E1,-1.807957547548E1, +-2.447066963785E1)); +#4902=CARTESIAN_POINT('',(6.353096807495E1,-1.842320119302E1, +-2.434560010494E1)); +#4903=CARTESIAN_POINT('',(6.351911862177E1,-1.860521206875E1, +-2.427935356386E1)); +#4905=DIRECTION('',(1.E0,-2.279791285904E-8,8.297759340911E-9)); +#4906=VECTOR('',#4905,7.563723296138E-1); +#4907=CARTESIAN_POINT('',(6.351911862177E1,-1.860521206875E1, +-2.427935356386E1)); +#4908=LINE('',#4907,#4906); +#4909=CARTESIAN_POINT('',(-6.355509628240E1,-1.641134319421E1, +-2.490000114882E1)); +#4910=CARTESIAN_POINT('',(-6.355509129099E1,-1.644119316147E1, +-2.490000114882E1)); +#4911=CARTESIAN_POINT('',(-6.355731371410E1,-1.649807478302E1, +-2.489999946683E1)); +#4912=CARTESIAN_POINT('',(-6.356664037572E1,-1.657541318056E1, +-2.490000014286E1)); +#4913=CARTESIAN_POINT('',(-6.358094438181E1,-1.664335881102E1, +-2.489999996172E1)); +#4914=CARTESIAN_POINT('',(-6.359950223630E1,-1.670268758064E1, +-2.490000001026E1)); +#4915=CARTESIAN_POINT('',(-6.362188249483E1,-1.675403534315E1, +-2.489999999725E1)); +#4916=CARTESIAN_POINT('',(-6.364824523390E1,-1.679844511294E1, +-2.490000000074E1)); +#4917=CARTESIAN_POINT('',(-6.367901092855E1,-1.683598289807E1, +-2.489999999980E1)); +#4918=CARTESIAN_POINT('',(-6.371315280034E1,-1.686501829405E1, +-2.490000000005E1)); +#4919=CARTESIAN_POINT('',(-6.374971224775E1,-1.688531904441E1, +-2.489999999999E1)); +#4920=CARTESIAN_POINT('',(-6.378878220093E1,-1.689737576821E1,-2.49E1)); +#4921=CARTESIAN_POINT('',(-6.381623128588E1,-1.690000003737E1,-2.49E1)); +#4922=CARTESIAN_POINT('',(-6.383032908361E1,-1.69E1,-2.49E1)); +#4924=DIRECTION('',(5.233595624295E-2,9.986295347546E-1,0.E0)); +#4925=VECTOR('',#4924,1.201646815198E1); +#4926=CARTESIAN_POINT('',(-6.355509724104E1,-1.35E1,-2.49E1)); +#4927=LINE('',#4926,#4925); +#4928=DIRECTION('',(1.E0,0.E0,0.E0)); +#4929=VECTOR('',#4928,1.593796110359E0); +#4930=CARTESIAN_POINT('',(-6.452E1,-1.5E0,-2.49E1)); +#4931=LINE('',#4930,#4929); +#4932=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4933=VECTOR('',#4932,1.54E1); +#4934=CARTESIAN_POINT('',(-6.452E1,-1.5E0,-2.49E1)); +#4935=LINE('',#4934,#4933); +#4936=DIRECTION('',(1.E0,5.666448959677E-14,1.184802964296E-13)); +#4937=VECTOR('',#4936,6.896709163870E-1); +#4938=CARTESIAN_POINT('',(-6.452E1,-1.69E1,-2.49E1)); +#4939=LINE('',#4938,#4937); +#4940=CARTESIAN_POINT('',(-6.292620388964E1,-1.5E0,-2.49E1)); +#4941=CARTESIAN_POINT('',(-6.292574644183E1,-1.491271375848E0,-2.49E1)); +#4942=CARTESIAN_POINT('',(-6.292528930663E1,-1.482548716452E0, +-2.490022841002E1)); +#4943=CARTESIAN_POINT('',(-6.292483248402E1,-1.473832021879E0, +-2.490068523262E1)); +#4945=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4946=VECTOR('',#4945,2.8E0); +#4947=CARTESIAN_POINT('',(-6.355509724104E1,-1.35E1,-2.21E1)); +#4948=LINE('',#4947,#4946); +#4949=CARTESIAN_POINT('',(-6.292483248402E1,-1.473832021879E0, +-2.209931476738E1)); +#4950=CARTESIAN_POINT('',(-6.292528930663E1,-1.482548716452E0, +-2.209977158998E1)); +#4951=CARTESIAN_POINT('',(-6.292574644183E1,-1.491271375848E0,-2.21E1)); +#4952=CARTESIAN_POINT('',(-6.292620388964E1,-1.5E0,-2.21E1)); +#4954=DIRECTION('',(0.E0,0.E0,1.E0)); +#4955=VECTOR('',#4954,2.801370465245E0); +#4956=CARTESIAN_POINT('',(-6.292483248402E1,-1.473832021879E0, +-2.490068523262E1)); +#4957=LINE('',#4956,#4955); +#4958=CARTESIAN_POINT('',(-6.292483248402E1,-1.473832021879E0, +-2.490068523262E1)); +#4959=CARTESIAN_POINT('',(-6.292349281843E1,-1.448269679698E0, +-2.490202489821E1)); +#4960=CARTESIAN_POINT('',(-6.291695943952E1,-1.398768015795E0, +-2.490855827713E1)); +#4961=CARTESIAN_POINT('',(-6.289765169924E1,-1.330708296108E0, +-2.492786601740E1)); +#4962=CARTESIAN_POINT('',(-6.287082117625E1,-1.269544680880E0, +-2.495469654039E1)); +#4963=CARTESIAN_POINT('',(-6.283808823837E1,-1.215293446548E0, +-2.498742947827E1)); +#4964=CARTESIAN_POINT('',(-6.280071762397E1,-1.167747154497E0, +-2.502480009267E1)); +#4965=CARTESIAN_POINT('',(-6.275968260612E1,-1.126612945380E0, +-2.506583511052E1)); +#4966=CARTESIAN_POINT('',(-6.271576706653E1,-1.091621059521E0, +-2.510975065011E1)); +#4967=CARTESIAN_POINT('',(-6.266962932649E1,-1.062536578699E0, +-2.515588839015E1)); +#4968=CARTESIAN_POINT('',(-6.262185372751E1,-1.039160661843E0, +-2.520366398913E1)); +#4969=CARTESIAN_POINT('',(-6.257298778992E1,-1.021319762136E0, +-2.525252992672E1)); +#4970=CARTESIAN_POINT('',(-6.252357567621E1,-1.008844568299E0, +-2.530194204043E1)); +#4971=CARTESIAN_POINT('',(-6.247413299398E1,-1.001553694460E0, +-2.535138472266E1)); +#4972=CARTESIAN_POINT('',(-6.244163572752E1,-1.E0,-2.538388198912E1)); +#4973=CARTESIAN_POINT('',(-6.242551771664E1,-1.E0,-2.54E1)); +#4975=CARTESIAN_POINT('',(-6.292483248402E1,-1.473832021879E0, +-2.209931476738E1)); +#4976=CARTESIAN_POINT('',(-6.292349281843E1,-1.448269679698E0, +-2.209797510179E1)); +#4977=CARTESIAN_POINT('',(-6.291695943952E1,-1.398768015795E0, +-2.209144172287E1)); +#4978=CARTESIAN_POINT('',(-6.289765169924E1,-1.330708296108E0, +-2.207213398260E1)); +#4979=CARTESIAN_POINT('',(-6.287082117625E1,-1.269544680880E0, +-2.204530345961E1)); +#4980=CARTESIAN_POINT('',(-6.283808823837E1,-1.215293446548E0, +-2.201257052173E1)); +#4981=CARTESIAN_POINT('',(-6.280071762397E1,-1.167747154497E0, +-2.197519990733E1)); +#4982=CARTESIAN_POINT('',(-6.275968260612E1,-1.126612945380E0, +-2.193416488948E1)); +#4983=CARTESIAN_POINT('',(-6.271576706653E1,-1.091621059521E0, +-2.189024934989E1)); +#4984=CARTESIAN_POINT('',(-6.266962932649E1,-1.062536578699E0, +-2.184411160985E1)); +#4985=CARTESIAN_POINT('',(-6.262185372751E1,-1.039160661843E0, +-2.179633601087E1)); +#4986=CARTESIAN_POINT('',(-6.257298778992E1,-1.021319762136E0, +-2.174747007328E1)); +#4987=CARTESIAN_POINT('',(-6.252357567621E1,-1.008844568299E0, +-2.169805795957E1)); +#4988=CARTESIAN_POINT('',(-6.247413299398E1,-1.001553694460E0, +-2.164861527734E1)); +#4989=CARTESIAN_POINT('',(-6.244163572752E1,-1.E0,-2.161611801088E1)); +#4990=CARTESIAN_POINT('',(-6.242551771664E1,-1.E0,-2.16E1)); +#4992=CARTESIAN_POINT('',(-6.452E1,-1.5E0,-2.16E1)); +#4993=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4994=DIRECTION('',(0.E0,1.E0,0.E0)); +#4995=AXIS2_PLACEMENT_3D('',#4992,#4993,#4994); +#4997=CARTESIAN_POINT('',(-6.452E1,-1.5E0,-2.228E1)); +#4998=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4999=DIRECTION('',(0.E0,0.E0,1.E0)); +#5000=AXIS2_PLACEMENT_3D('',#4997,#4998,#4999); +#5002=CARTESIAN_POINT('',(-6.52E1,-1.5E0,-2.228E1)); +#5003=DIRECTION('',(0.E0,0.E0,1.E0)); +#5004=DIRECTION('',(1.E0,0.E0,0.E0)); +#5005=AXIS2_PLACEMENT_3D('',#5002,#5003,#5004); +#5007=CARTESIAN_POINT('',(-6.52E1,-1.5E0,-2.472E1)); +#5008=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5009=DIRECTION('',(0.E0,1.E0,0.E0)); +#5010=AXIS2_PLACEMENT_3D('',#5007,#5008,#5009); +#5012=CARTESIAN_POINT('',(-6.452E1,-1.5E0,-2.472E1)); +#5013=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5014=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5015=AXIS2_PLACEMENT_3D('',#5012,#5013,#5014); +#5017=CARTESIAN_POINT('',(-6.452E1,-1.5E0,-2.54E1)); +#5018=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5019=DIRECTION('',(0.E0,0.E0,1.E0)); +#5020=AXIS2_PLACEMENT_3D('',#5017,#5018,#5019); +#5022=CARTESIAN_POINT('',(-6.472350973684E1,-1.35E1,-2.35E1)); +#5023=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5024=DIRECTION('',(6.407492497548E-1,0.E0,-7.677502191069E-1)); +#5025=AXIS2_PLACEMENT_3D('',#5022,#5023,#5024); +#5027=CARTESIAN_POINT('',(6.472350973684E1,-1.35E1,-2.35E1)); +#5028=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5029=DIRECTION('',(-6.407492497548E-1,0.E0,7.677502191069E-1)); +#5030=AXIS2_PLACEMENT_3D('',#5027,#5028,#5029); +#5032=CARTESIAN_POINT('',(6.292483248402E1,-1.473832021879E0, +-2.490068523262E1)); +#5033=CARTESIAN_POINT('',(6.292528930663E1,-1.482548716452E0, +-2.490022841002E1)); +#5034=CARTESIAN_POINT('',(6.292574644183E1,-1.491271375848E0,-2.49E1)); +#5035=CARTESIAN_POINT('',(6.292620388964E1,-1.5E0,-2.49E1)); +#5037=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5038=VECTOR('',#5037,2.801370465245E0); +#5039=CARTESIAN_POINT('',(6.292483248402E1,-1.473832021879E0, +-2.209931476738E1)); +#5040=LINE('',#5039,#5038); +#5041=CARTESIAN_POINT('',(6.292620388964E1,-1.5E0,-2.21E1)); +#5042=CARTESIAN_POINT('',(6.292574644183E1,-1.491271375848E0,-2.21E1)); +#5043=CARTESIAN_POINT('',(6.292528930663E1,-1.482548716452E0, +-2.209977158998E1)); +#5044=CARTESIAN_POINT('',(6.292483248402E1,-1.473832021879E0, +-2.209931476738E1)); +#5046=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5047=VECTOR('',#5046,2.8E0); +#5048=CARTESIAN_POINT('',(6.355509724104E1,-1.35E1,-2.21E1)); +#5049=LINE('',#5048,#5047); +#5050=CARTESIAN_POINT('',(-6.351913460711E1,-1.84E1,-2.371553798512E1)); +#5051=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5052=DIRECTION('',(0.E0,-3.420201433257E-1,-9.396926207859E-1)); +#5053=AXIS2_PLACEMENT_3D('',#5050,#5051,#5052); +#5055=CARTESIAN_POINT('',(-6.351913460711E1,-1.84E1,-2.371553798512E1)); +#5056=DIRECTION('',(1.761636860140E-1,0.E0,9.843608869363E-1)); +#5057=DIRECTION('',(-1.184237892933E-14,-1.E0,0.E0)); +#5058=AXIS2_PLACEMENT_3D('',#5055,#5056,#5057); +#5060=CARTESIAN_POINT('',(-6.351913460711E1,-1.84E1,-2.371553798512E1)); +#5061=DIRECTION('',(-6.110491347089E-2,9.379409038452E-1,-3.413693753749E-1)); +#5062=DIRECTION('',(9.843601293548E-1,1.162987883182E-5,-1.761679187634E-1)); +#5063=AXIS2_PLACEMENT_3D('',#5060,#5061,#5062); +#5065=CARTESIAN_POINT('',(6.452E1,-1.5E0,-2.472E1)); +#5066=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5067=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5068=AXIS2_PLACEMENT_3D('',#5065,#5066,#5067); +#5070=CARTESIAN_POINT('',(6.52E1,-1.5E0,-2.472E1)); +#5071=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5072=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5073=AXIS2_PLACEMENT_3D('',#5070,#5071,#5072); +#5075=CARTESIAN_POINT('',(6.52E1,-1.5E0,-2.228E1)); +#5076=DIRECTION('',(0.E0,0.E0,1.E0)); +#5077=DIRECTION('',(-2.842170943040E-14,1.E0,0.E0)); +#5078=AXIS2_PLACEMENT_3D('',#5075,#5076,#5077); +#5080=CARTESIAN_POINT('',(6.452E1,-1.5E0,-2.228E1)); +#5081=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5082=DIRECTION('',(1.E0,0.E0,0.E0)); +#5083=AXIS2_PLACEMENT_3D('',#5080,#5081,#5082); +#5085=CARTESIAN_POINT('',(6.452E1,-1.5E0,-2.16E1)); +#5086=DIRECTION('',(1.E0,0.E0,0.E0)); +#5087=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5088=AXIS2_PLACEMENT_3D('',#5085,#5086,#5087); +#5090=CARTESIAN_POINT('',(6.351913460711E1,-1.84E1,-2.371553798512E1)); +#5091=DIRECTION('',(1.E0,0.E0,0.E0)); +#5092=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5093=AXIS2_PLACEMENT_3D('',#5090,#5091,#5092); +#5095=CARTESIAN_POINT('',(6.351913398708E1,-1.839999103017E1, +-2.371554124987E1)); +#5096=DIRECTION('',(6.109380109128E-2,9.379373022932E-1,-3.413812596427E-1)); +#5097=DIRECTION('',(1.999657079921E-6,-3.420202583389E-1,-9.396925789224E-1)); +#5098=AXIS2_PLACEMENT_3D('',#5095,#5096,#5097); +#5100=CARTESIAN_POINT('',(6.351913460711E1,-1.84E1,-2.371553798512E1)); +#5101=DIRECTION('',(-1.761636860140E-1,0.E0,9.843608869363E-1)); +#5102=DIRECTION('',(-9.843608869363E-1,7.143322970175E-11,-1.761636860140E-1)); +#5103=AXIS2_PLACEMENT_3D('',#5100,#5101,#5102); +#5105=CARTESIAN_POINT('',(6.427549095139E1,-1.84E1,-2.371553798512E1)); +#5106=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5107=DIRECTION('',(0.E0,-3.420201433257E-1,-9.396926207859E-1)); +#5108=AXIS2_PLACEMENT_3D('',#5105,#5106,#5107); +#5110=CARTESIAN_POINT('',(6.427549095139E1,-1.84E1,-2.371553798512E1)); +#5111=DIRECTION('',(0.E0,0.E0,1.E0)); +#5112=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5113=AXIS2_PLACEMENT_3D('',#5110,#5111,#5112); +#5115=CARTESIAN_POINT('',(6.427549095139E1,-1.84E1,-2.371553798512E1)); +#5116=DIRECTION('',(3.147947409995E-1,8.919184328319E-1,-3.246317609442E-1)); +#5117=DIRECTION('',(9.429903335829E-1,-3.328201177351E-1,0.E0)); +#5118=AXIS2_PLACEMENT_3D('',#5115,#5116,#5117); +#5120=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5121=VECTOR('',#5120,2.5E0); +#5122=CARTESIAN_POINT('',(-6.73E1,-1.1E1,-2.E1)); +#5123=LINE('',#5122,#5121); +#5124=DIRECTION('',(0.E0,0.E0,1.E0)); +#5125=VECTOR('',#5124,9.3E0); +#5126=CARTESIAN_POINT('',(6.73E1,-1.1E1,-2.93E1)); +#5127=LINE('',#5126,#5125); +#5128=DIRECTION('',(-9.848077530122E-1,0.E0,1.736481776669E-1)); +#5129=VECTOR('',#5128,1.151754096629E1); +#5130=CARTESIAN_POINT('',(6.73E1,-1.1E1,-2.E1)); +#5131=LINE('',#5130,#5129); +#5132=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5133=VECTOR('',#5132,1.119148727215E2); +#5134=CARTESIAN_POINT('',(5.595743636076E1,-1.1E1,-1.8E1)); +#5135=LINE('',#5134,#5133); +#5136=DIRECTION('',(-9.848077530122E-1,0.E0,-1.736481776669E-1)); +#5137=VECTOR('',#5136,1.151754096629E1); +#5138=CARTESIAN_POINT('',(-5.595743636076E1,-1.1E1,-1.8E1)); +#5139=LINE('',#5138,#5137); +#5140=DIRECTION('',(0.E0,0.E0,1.E0)); +#5141=VECTOR('',#5140,9.3E0); +#5142=CARTESIAN_POINT('',(-6.73E1,-1.1E1,-2.93E1)); +#5143=LINE('',#5142,#5141); +#5144=DIRECTION('',(1.E0,0.E0,0.E0)); +#5145=VECTOR('',#5144,7.43891E0); +#5146=CARTESIAN_POINT('',(-7.193891E1,-1.1E1,-1.405E1)); +#5147=LINE('',#5146,#5145); +#5148=DIRECTION('',(-1.421085471520E-14,0.E0,1.E0)); +#5149=VECTOR('',#5148,2.E0); +#5150=CARTESIAN_POINT('',(-6.45E1,-1.1E1,-1.405E1)); +#5151=LINE('',#5150,#5149); +#5152=DIRECTION('',(1.E0,0.E0,0.E0)); +#5153=VECTOR('',#5152,1.9E0); +#5154=CARTESIAN_POINT('',(-6.45E1,-1.1E1,-1.205E1)); +#5155=LINE('',#5154,#5153); +#5156=DIRECTION('',(0.E0,0.E0,1.E0)); +#5157=VECTOR('',#5156,1.25E0); +#5158=CARTESIAN_POINT('',(-6.26E1,-1.1E1,-1.205E1)); +#5159=LINE('',#5158,#5157); +#5160=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5161=VECTOR('',#5160,1.252E2); +#5162=CARTESIAN_POINT('',(6.26E1,-1.1E1,-1.08E1)); +#5163=LINE('',#5162,#5161); +#5164=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5165=VECTOR('',#5164,1.25E0); +#5166=CARTESIAN_POINT('',(6.26E1,-1.1E1,-1.08E1)); +#5167=LINE('',#5166,#5165); +#5168=DIRECTION('',(1.E0,0.E0,0.E0)); +#5169=VECTOR('',#5168,1.9E0); +#5170=CARTESIAN_POINT('',(6.26E1,-1.1E1,-1.205E1)); +#5171=LINE('',#5170,#5169); +#5172=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5173=VECTOR('',#5172,2.E0); +#5174=CARTESIAN_POINT('',(6.45E1,-1.1E1,-1.205E1)); +#5175=LINE('',#5174,#5173); +#5176=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5177=VECTOR('',#5176,7.43891E0); +#5178=CARTESIAN_POINT('',(7.193891E1,-1.1E1,-1.405E1)); +#5179=LINE('',#5178,#5177); +#5180=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5181=VECTOR('',#5180,1.525E1); +#5182=CARTESIAN_POINT('',(7.193891E1,-1.1E1,-1.405E1)); +#5183=LINE('',#5182,#5181); +#5184=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5185=VECTOR('',#5184,2.5E0); +#5186=CARTESIAN_POINT('',(6.73E1,-1.1E1,-2.93E1)); +#5187=LINE('',#5186,#5185); +#5188=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5189=VECTOR('',#5188,2.5E0); +#5190=CARTESIAN_POINT('',(6.73E1,-1.1E1,-2.E1)); +#5191=LINE('',#5190,#5189); +#5192=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5193=VECTOR('',#5192,2.5E0); +#5194=CARTESIAN_POINT('',(5.595743636076E1,-1.1E1,-1.8E1)); +#5195=LINE('',#5194,#5193); +#5196=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5197=VECTOR('',#5196,2.5E0); +#5198=CARTESIAN_POINT('',(-5.595743636076E1,-1.1E1,-1.8E1)); +#5199=LINE('',#5198,#5197); +#5200=DIRECTION('',(0.E0,0.E0,1.E0)); +#5201=VECTOR('',#5200,1.186432613945E1); +#5202=CARTESIAN_POINT('',(-6.320257241184E1,7.810611959521E0,-2.7E1)); +#5203=LINE('',#5202,#5201); +#5204=DIRECTION('',(-3.965381848027E-1,-8.538046803007E-1,3.373055527114E-1)); +#5205=VECTOR('',#5204,3.271885628878E0); +#5206=CARTESIAN_POINT('',(-6.320257241184E1,7.810611959521E0, +-1.513567386055E1)); +#5207=LINE('',#5206,#5205); +#5208=DIRECTION('',(0.E0,-2.473851309113E-13,1.E0)); +#5209=VECTOR('',#5208,1.795132990468E-2); +#5210=CARTESIAN_POINT('',(-6.45E1,5.017060696177E0,-1.405E1)); +#5211=LINE('',#5210,#5209); +#5212=DIRECTION('',(4.212239190428E-1,9.069566748341E-1,0.E0)); +#5213=VECTOR('',#5212,1.766022693323E1); +#5214=CARTESIAN_POINT('',(-7.193891E1,-1.1E1,-1.405E1)); +#5215=LINE('',#5214,#5213); +#5216=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5217=VECTOR('',#5216,1.525E1); +#5218=CARTESIAN_POINT('',(-7.193891E1,-1.1E1,-1.405E1)); +#5219=LINE('',#5218,#5217); +#5220=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5221=VECTOR('',#5220,2.3E0); +#5222=CARTESIAN_POINT('',(-6.338834707153E1,7.410611959521E0,-2.7E1)); +#5223=LINE('',#5222,#5221); +#5224=CARTESIAN_POINT('',(-6.274909407443E1,8.1E0,-1.525E1)); +#5225=CARTESIAN_POINT('',(-6.285876329427E1,8.1E0,-1.525E1)); +#5226=CARTESIAN_POINT('',(-6.303345777258E1,8.036835733100E0, +-1.522504621555E1)); +#5227=CARTESIAN_POINT('',(-6.315908437848E1,7.904248052706E0, +-1.517266589737E1)); +#5228=CARTESIAN_POINT('',(-6.320257241184E1,7.810611959521E0, +-1.513567386055E1)); +#5230=CARTESIAN_POINT('',(-6.26E1,5.565851822465E-1,-1.226988550410E1)); +#5231=CARTESIAN_POINT('',(-6.266210916859E1,3.735434828190E-1, +-1.219757273395E1)); +#5232=CARTESIAN_POINT('',(-6.271389059776E1,1.880055040877E-1, +-1.212427377939E1)); +#5233=CARTESIAN_POINT('',(-6.275546324984E1,-1.001221328067E-11,-1.205E1)); +#5235=DIRECTION('',(0.E0,0.E0,1.E0)); +#5236=VECTOR('',#5235,1.175E1); +#5237=CARTESIAN_POINT('',(-6.274909407443E1,8.1E0,-2.7E1)); +#5238=LINE('',#5237,#5236); +#5239=DIRECTION('',(1.E0,0.E0,0.E0)); +#5240=VECTOR('',#5239,5.249094074426E0); +#5241=CARTESIAN_POINT('',(-6.274909407443E1,8.1E0,-2.7E1)); +#5242=LINE('',#5241,#5240); +#5243=DIRECTION('',(0.E0,0.E0,1.E0)); +#5244=VECTOR('',#5243,1.5E0); +#5245=CARTESIAN_POINT('',(-5.75E1,8.1E0,-2.7E1)); +#5246=LINE('',#5245,#5244); +#5247=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5248=VECTOR('',#5247,1.15E2); +#5249=CARTESIAN_POINT('',(5.75E1,8.1E0,-2.55E1)); +#5250=LINE('',#5249,#5248); +#5251=DIRECTION('',(0.E0,0.E0,1.E0)); +#5252=VECTOR('',#5251,1.5E0); +#5253=CARTESIAN_POINT('',(5.75E1,8.1E0,-2.7E1)); +#5254=LINE('',#5253,#5252); +#5255=DIRECTION('',(1.E0,0.E0,0.E0)); +#5256=VECTOR('',#5255,5.249094074426E0); +#5257=CARTESIAN_POINT('',(5.75E1,8.1E0,-2.7E1)); +#5258=LINE('',#5257,#5256); +#5259=DIRECTION('',(0.E0,0.E0,1.E0)); +#5260=VECTOR('',#5259,1.175E1); +#5261=CARTESIAN_POINT('',(6.274909407443E1,8.1E0,-2.7E1)); +#5262=LINE('',#5261,#5260); +#5263=DIRECTION('',(-1.E0,1.191433560485E-14,0.E0)); +#5264=VECTOR('',#5263,1.490940744256E-1); +#5265=CARTESIAN_POINT('',(6.274909407443E1,8.1E0,-1.525E1)); +#5266=LINE('',#5265,#5264); +#5267=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5268=VECTOR('',#5267,1.208E2); +#5269=CARTESIAN_POINT('',(6.04E1,8.1E0,-2.22E1)); +#5270=LINE('',#5269,#5268); +#5271=DIRECTION('',(1.E0,0.E0,0.E0)); +#5272=VECTOR('',#5271,1.490940744256E-1); +#5273=CARTESIAN_POINT('',(-6.274909407443E1,8.1E0,-1.525E1)); +#5274=LINE('',#5273,#5272); +#5275=DIRECTION('',(-4.212239190428E-1,-9.069566748341E-1,0.E0)); +#5276=VECTOR('',#5275,4.410354001454E-1); +#5277=CARTESIAN_POINT('',(-6.320257241184E1,7.810611959521E0,-2.7E1)); +#5278=LINE('',#5277,#5276); +#5279=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5280=VECTOR('',#5279,1.258697374682E2); +#5281=CARTESIAN_POINT('',(6.293486873411E1,7.7E0,-2.7E1)); +#5282=LINE('',#5281,#5280); +#5283=DIRECTION('',(-4.212239190428E-1,9.069566748341E-1,0.E0)); +#5284=VECTOR('',#5283,4.410354001454E-1); +#5285=CARTESIAN_POINT('',(6.338834707153E1,7.410611959521E0,-2.7E1)); +#5286=LINE('',#5285,#5284); +#5287=CARTESIAN_POINT('',(6.274909407443E1,7.6E0,-2.7E1)); +#5288=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5289=DIRECTION('',(0.E0,1.E0,0.E0)); +#5290=AXIS2_PLACEMENT_3D('',#5287,#5288,#5289); +#5292=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5293=VECTOR('',#5292,1.15E2); +#5294=CARTESIAN_POINT('',(5.75E1,9.7E0,-2.7E1)); +#5295=LINE('',#5294,#5293); +#5296=CARTESIAN_POINT('',(-6.274909407443E1,7.6E0,-2.7E1)); +#5297=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5298=DIRECTION('',(-9.069566748341E-1,4.212239190428E-1,0.E0)); +#5299=AXIS2_PLACEMENT_3D('',#5296,#5297,#5298); +#5301=CARTESIAN_POINT('',(6.293486873411E1,7.2E0,-2.7E1)); +#5302=DIRECTION('',(0.E0,0.E0,1.E0)); +#5303=DIRECTION('',(9.069566748341E-1,4.212239190428E-1,0.E0)); +#5304=AXIS2_PLACEMENT_3D('',#5301,#5302,#5303); +#5306=DIRECTION('',(0.E0,0.E0,1.E0)); +#5307=VECTOR('',#5306,1.186432613945E1); +#5308=CARTESIAN_POINT('',(6.320257241184E1,7.810611959521E0,-2.7E1)); +#5309=LINE('',#5308,#5307); +#5310=DIRECTION('',(0.E0,0.E0,1.E0)); +#5311=VECTOR('',#5310,2.3E0); +#5312=CARTESIAN_POINT('',(6.338834707153E1,7.410611959521E0,-2.93E1)); +#5313=LINE('',#5312,#5311); +#5314=DIRECTION('',(-4.212239190428E-1,9.069566748341E-1,0.E0)); +#5315=VECTOR('',#5314,1.766022693323E1); +#5316=CARTESIAN_POINT('',(7.193891E1,-1.1E1,-1.405E1)); +#5317=LINE('',#5316,#5315); +#5318=DIRECTION('',(0.E0,-9.895405235945E-14,-1.E0)); +#5319=VECTOR('',#5318,1.795132990560E-2); +#5320=CARTESIAN_POINT('',(6.45E1,5.017060696176E0,-1.403204867009E1)); +#5321=LINE('',#5320,#5319); +#5322=DIRECTION('',(3.965381848027E-1,-8.538046803007E-1,3.373055527114E-1)); +#5323=VECTOR('',#5322,3.271885628878E0); +#5324=CARTESIAN_POINT('',(6.320257241184E1,7.810611959521E0,-1.513567386055E1)); +#5325=LINE('',#5324,#5323); +#5326=CARTESIAN_POINT('',(6.320257241184E1,7.810611959521E0,-1.513567386055E1)); +#5327=CARTESIAN_POINT('',(6.315908437848E1,7.904248052706E0,-1.517266589737E1)); +#5328=CARTESIAN_POINT('',(6.303345777258E1,8.036835733100E0,-1.522504621555E1)); +#5329=CARTESIAN_POINT('',(6.285876329427E1,8.1E0,-1.525E1)); +#5330=CARTESIAN_POINT('',(6.274909407443E1,8.1E0,-1.525E1)); +#5332=DIRECTION('',(0.E0,-9.300519899560E-1,3.674279466493E-1)); +#5333=VECTOR('',#5332,5.394387357221E0); +#5334=CARTESIAN_POINT('',(6.45E1,5.017060696176E0,-1.403204867009E1)); +#5335=LINE('',#5334,#5333); +#5336=DIRECTION('',(0.E0,1.E0,0.E0)); +#5337=VECTOR('',#5336,1.1E1); +#5338=CARTESIAN_POINT('',(6.45E1,-1.1E1,-1.205E1)); +#5339=LINE('',#5338,#5337); +#5340=DIRECTION('',(0.E0,1.E0,0.E0)); +#5341=VECTOR('',#5340,1.601706069618E1); +#5342=CARTESIAN_POINT('',(6.45E1,-1.1E1,-1.405E1)); +#5343=LINE('',#5342,#5341); +#5344=DIRECTION('',(1.E0,0.E0,0.E0)); +#5345=VECTOR('',#5344,1.9E0); +#5346=CARTESIAN_POINT('',(6.26E1,0.E0,-1.205E1)); +#5347=LINE('',#5346,#5345); +#5348=DIRECTION('',(0.E0,1.E0,0.E0)); +#5349=VECTOR('',#5348,1.1E1); +#5350=CARTESIAN_POINT('',(6.26E1,-1.1E1,-1.205E1)); +#5351=LINE('',#5350,#5349); +#5352=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5353=VECTOR('',#5352,5.6E0); +#5354=CARTESIAN_POINT('',(6.26E1,8.1E0,-9.65E0)); +#5355=LINE('',#5354,#5353); +#5356=DIRECTION('',(0.E0,9.300519899560E-1,-3.674279466493E-1)); +#5357=VECTOR('',#5356,8.709190547921E0); +#5358=CARTESIAN_POINT('',(6.26E1,0.E0,-1.205E1)); +#5359=LINE('',#5358,#5357); +#5360=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5361=VECTOR('',#5360,1.15E0); +#5362=CARTESIAN_POINT('',(6.26E1,-1.35E1,-9.65E0)); +#5363=LINE('',#5362,#5361); +#5364=DIRECTION('',(0.E0,1.E0,0.E0)); +#5365=VECTOR('',#5364,2.16E1); +#5366=CARTESIAN_POINT('',(6.26E1,-1.35E1,-9.65E0)); +#5367=LINE('',#5366,#5365); +#5368=DIRECTION('',(0.E0,1.E0,0.E0)); +#5369=VECTOR('',#5368,2.5E0); +#5370=CARTESIAN_POINT('',(-6.26E1,-1.35E1,-1.08E1)); +#5371=LINE('',#5370,#5369); +#5372=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5373=VECTOR('',#5372,1.252E2); +#5374=CARTESIAN_POINT('',(6.26E1,-1.35E1,-1.08E1)); +#5375=LINE('',#5374,#5373); +#5376=DIRECTION('',(0.E0,1.E0,0.E0)); +#5377=VECTOR('',#5376,2.5E0); +#5378=CARTESIAN_POINT('',(6.26E1,-1.35E1,-1.08E1)); +#5379=LINE('',#5378,#5377); +#5380=DIRECTION('',(0.E0,2.277455099435E-12,1.E0)); +#5381=VECTOR('',#5380,2.619885504098E0); +#5382=CARTESIAN_POINT('',(-6.26E1,5.565851822462E-1,-1.226988550410E1)); +#5383=LINE('',#5382,#5381); +#5384=DIRECTION('',(0.E0,-9.300519899560E-1,3.674279466493E-1)); +#5385=VECTOR('',#5384,8.110745312325E0); +#5386=CARTESIAN_POINT('',(-6.26E1,8.1E0,-1.525E1)); +#5387=LINE('',#5386,#5385); +#5388=DIRECTION('',(0.E0,0.E0,1.E0)); +#5389=VECTOR('',#5388,5.600000000001E0); +#5390=CARTESIAN_POINT('',(-6.26E1,8.1E0,-1.525E1)); +#5391=LINE('',#5390,#5389); +#5392=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5393=VECTOR('',#5392,7.543414817748E0); +#5394=CARTESIAN_POINT('',(-6.26E1,8.1E0,-9.65E0)); +#5395=LINE('',#5394,#5393); +#5396=DIRECTION('',(0.E0,-2.076117056049E-13,1.E0)); +#5397=VECTOR('',#5396,2.4E0); +#5398=CARTESIAN_POINT('',(-6.26E1,-2.836585182245E0,-1.205E1)); +#5399=LINE('',#5398,#5397); +#5400=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5401=VECTOR('',#5400,1.066341481775E1); +#5402=CARTESIAN_POINT('',(-6.26E1,-2.836585182246E0,-9.65E0)); +#5403=LINE('',#5402,#5401); +#5404=DIRECTION('',(0.E0,1.E0,0.E0)); +#5405=VECTOR('',#5404,8.163414817755E0); +#5406=CARTESIAN_POINT('',(-6.26E1,-1.1E1,-1.205E1)); +#5407=LINE('',#5406,#5405); +#5408=CARTESIAN_POINT('',(5.465334738715E1,7.700000525664E0,-9.65E0)); +#5409=DIRECTION('',(0.E0,0.E0,1.E0)); +#5410=DIRECTION('',(7.830824795300E-1,6.219178645554E-1,0.E0)); +#5411=AXIS2_PLACEMENT_3D('',#5408,#5409,#5410); +#5413=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5414=VECTOR('',#5413,3.766850218185E0); +#5415=CARTESIAN_POINT('',(6.04E1,1.186685021819E1,-9.65E0)); +#5416=LINE('',#5415,#5414); +#5417=DIRECTION('',(1.E0,0.E0,0.E0)); +#5418=VECTOR('',#5417,2.2E0); +#5419=CARTESIAN_POINT('',(6.04E1,8.1E0,-9.65E0)); +#5420=LINE('',#5419,#5418); +#5421=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5422=VECTOR('',#5421,5.706496704853E0); +#5423=CARTESIAN_POINT('',(6.830649670485E1,-1.35E1,-9.65E0)); +#5424=LINE('',#5423,#5422); +#5425=CARTESIAN_POINT('',(6.830649670485E1,-1.25E1,-9.65E0)); +#5426=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5427=DIRECTION('',(9.845558689811E-1,1.750706738859E-1,0.E0)); +#5428=AXIS2_PLACEMENT_3D('',#5425,#5426,#5427); +#5430=DIRECTION('',(-1.750706738857E-1,9.845558689811E-1,0.E0)); +#5431=VECTOR('',#5430,2.287855136648E1); +#5432=CARTESIAN_POINT('',(6.929105257383E1,-1.232492932611E1,-9.65E0)); +#5433=LINE('',#5432,#5431); +#5434=CARTESIAN_POINT('',(6.134746569265E1,9.5E0,-9.65E0)); +#5435=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5436=DIRECTION('',(0.E0,1.E0,0.E0)); +#5437=AXIS2_PLACEMENT_3D('',#5434,#5435,#5436); +#5439=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5440=VECTOR('',#5439,3.333440637842E0); +#5441=CARTESIAN_POINT('',(-6.04E1,1.143344063784E1,-9.65E0)); +#5442=LINE('',#5441,#5440); +#5443=CARTESIAN_POINT('',(-5.181523E1,1.899999808472E0,-9.65E0)); +#5444=DIRECTION('',(0.E0,0.E0,1.E0)); +#5445=DIRECTION('',(-4.514276862974E-1,8.923077070406E-1,0.E0)); +#5446=AXIS2_PLACEMENT_3D('',#5443,#5444,#5445); +#5448=CARTESIAN_POINT('',(-6.134746569265E1,9.5E0,-9.65E0)); +#5449=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5450=DIRECTION('',(-9.845558689811E-1,1.750706738857E-1,0.E0)); +#5451=AXIS2_PLACEMENT_3D('',#5448,#5449,#5450); +#5453=DIRECTION('',(-1.750706738857E-1,-9.845558689811E-1,0.E0)); +#5454=VECTOR('',#5453,2.287855136648E1); +#5455=CARTESIAN_POINT('',(-6.528568916857E1,1.020028269554E1,-9.65E0)); +#5456=LINE('',#5455,#5454); +#5457=CARTESIAN_POINT('',(-6.830649670485E1,-1.25E1,-9.65E0)); +#5458=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5459=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5460=AXIS2_PLACEMENT_3D('',#5457,#5458,#5459); +#5462=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5463=VECTOR('',#5462,5.706496704853E0); +#5464=CARTESIAN_POINT('',(-6.26E1,-1.35E1,-9.65E0)); +#5465=LINE('',#5464,#5463); +#5466=CARTESIAN_POINT('',(-5.760000012806E1,-1.14E0,-9.65E0)); +#5467=DIRECTION('',(0.E0,0.E0,1.E0)); +#5468=DIRECTION('',(-9.469696727145E-1,3.213229511861E-1,0.E0)); +#5469=AXIS2_PLACEMENT_3D('',#5466,#5467,#5468); +#5471=DIRECTION('',(1.E0,0.E0,0.E0)); +#5472=VECTOR('',#5471,2.2E0); +#5473=CARTESIAN_POINT('',(-6.26E1,8.1E0,-9.65E0)); +#5474=LINE('',#5473,#5472); +#5475=DIRECTION('',(0.E0,0.E0,1.E0)); +#5476=VECTOR('',#5475,1.106497334384E1); +#5477=CARTESIAN_POINT('',(6.029154123977E1,1.217780915046E1,-2.071497334384E1)); +#5478=LINE('',#5477,#5476); +#5479=DIRECTION('',(0.E0,0.E0,1.E0)); +#5480=VECTOR('',#5479,1.15E0); +#5481=CARTESIAN_POINT('',(5.891949390335E1,1.35E1,-9.65E0)); +#5482=LINE('',#5481,#5480); +#5483=CARTESIAN_POINT('',(6.030678300579E1,1.215758650110E1,-2.075E1)); +#5484=CARTESIAN_POINT('',(6.031706396163E1,1.214320192522E1,-2.077491481626E1)); +#5485=CARTESIAN_POINT('',(6.033757533932E1,1.211133399541E1,-2.082048384355E1)); +#5486=CARTESIAN_POINT('',(6.036529622449E1,1.205367697739E1,-2.087921358467E1)); +#5487=CARTESIAN_POINT('',(6.038537711825E1,1.199245780469E1,-2.092428394364E1)); +#5488=CARTESIAN_POINT('',(6.039739174860E1,1.192968552915E1,-2.095773113490E1)); +#5489=CARTESIAN_POINT('',(6.04E1,1.188766795391E1,-2.097315098777E1)); +#5490=CARTESIAN_POINT('',(6.04E1,1.186685021819E1,-2.097933017911E1)); +#5492=CARTESIAN_POINT('',(5.99E1,1.186685021819E1,-9.65E0)); +#5493=DIRECTION('',(0.E0,0.E0,1.E0)); +#5494=DIRECTION('',(1.E0,0.E0,0.E0)); +#5495=AXIS2_PLACEMENT_3D('',#5492,#5493,#5494); +#5497=CARTESIAN_POINT('',(6.029154123977E1,1.217780915046E1,-2.071497334384E1)); +#5498=CARTESIAN_POINT('',(6.029685924387E1,1.217111303177E1,-2.072657136163E1)); +#5499=CARTESIAN_POINT('',(6.030193395436E1,1.216437104032E1,-2.073824883336E1)); +#5500=CARTESIAN_POINT('',(6.030678300579E1,1.215758650110E1,-2.075E1)); +#5502=CARTESIAN_POINT('',(5.555E1,1.484395E1,-1.609708193231E1)); +#5503=CARTESIAN_POINT('',(5.587149080881E1,1.480359899041E1,-1.616697193105E1)); +#5504=CARTESIAN_POINT('',(5.645317204220E1,1.468790626939E1,-1.636735760192E1)); +#5505=CARTESIAN_POINT('',(5.745710170992E1,1.436551543470E1,-1.692575490750E1)); +#5506=CARTESIAN_POINT('',(5.849303703562E1,1.383753337654E1,-1.784024665773E1)); +#5507=CARTESIAN_POINT('',(5.950142468634E1,1.306996662252E1,-1.916971127389E1)); +#5508=CARTESIAN_POINT('',(6.004687831116E1,1.248587435280E1,-2.018138876135E1)); +#5509=CARTESIAN_POINT('',(6.029154123977E1,1.217780915046E1,-2.071497334384E1)); +#5511=DIRECTION('',(0.E0,-5.E-1,-8.660254037844E-1)); +#5512=VECTOR('',#5511,1.3121E0); +#5513=CARTESIAN_POINT('',(5.555E1,1.55E1,-1.496077E1)); +#5514=LINE('',#5513,#5512); +#5515=DIRECTION('',(1.E0,0.E0,0.E0)); +#5516=VECTOR('',#5515,1.4E0); +#5517=CARTESIAN_POINT('',(5.415E1,1.55E1,-1.496077E1)); +#5518=LINE('',#5517,#5516); +#5519=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5520=VECTOR('',#5519,9.75E0); +#5521=CARTESIAN_POINT('',(5.415E1,1.55E1,-1.496077E1)); +#5522=LINE('',#5521,#5520); +#5523=DIRECTION('',(1.E0,0.E0,0.E0)); +#5524=VECTOR('',#5523,1.4E0); +#5525=CARTESIAN_POINT('',(4.3E1,1.55E1,-1.496077E1)); +#5526=LINE('',#5525,#5524); +#5527=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5528=VECTOR('',#5527,9.75E0); +#5529=CARTESIAN_POINT('',(4.3E1,1.55E1,-1.496077E1)); +#5530=LINE('',#5529,#5528); +#5531=DIRECTION('',(1.E0,0.E0,0.E0)); +#5532=VECTOR('',#5531,1.4E0); +#5533=CARTESIAN_POINT('',(3.185E1,1.55E1,-1.496077E1)); +#5534=LINE('',#5533,#5532); +#5535=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5536=VECTOR('',#5535,7.680376934006E0); +#5537=CARTESIAN_POINT('',(3.185E1,1.55E1,-1.496077E1)); +#5538=LINE('',#5537,#5536); +#5539=CARTESIAN_POINT('',(2.416962306599E1,1.55E1,-1.496077E1)); +#5540=CARTESIAN_POINT('',(2.380052423418E1,1.55E1,-1.496077E1)); +#5541=CARTESIAN_POINT('',(2.314561125841E1,1.544008432994E1,-1.506454698471E1)); +#5542=CARTESIAN_POINT('',(2.230864110458E1,1.524560939935E1,-1.540138744529E1)); +#5543=CARTESIAN_POINT('',(2.157617837963E1,1.497595098921E1,-1.586844951234E1)); +#5544=CARTESIAN_POINT('',(2.070879666960E1,1.453782172579E1,-1.662731165687E1)); +#5545=CARTESIAN_POINT('',(1.979518258471E1,1.386741975291E1,-1.778848193540E1)); +#5546=CARTESIAN_POINT('',(1.921146028319E1,1.322522364402E1,-1.890079822441E1)); +#5547=CARTESIAN_POINT('',(1.895414316346E1,1.287927433759E1,-1.95E1)); +#5549=DIRECTION('',(1.E0,0.E0,0.E0)); +#5550=VECTOR('',#5549,5.058286326918E0); +#5551=CARTESIAN_POINT('',(1.389585683654E1,1.287927433759E1,-1.95E1)); +#5552=LINE('',#5551,#5550); +#5553=CARTESIAN_POINT('',(1.389585683654E1,1.287927433759E1,-1.95E1)); +#5554=CARTESIAN_POINT('',(1.363853971681E1,1.322522364402E1,-1.890079822441E1)); +#5555=CARTESIAN_POINT('',(1.305481741529E1,1.386741975291E1,-1.778848193540E1)); +#5556=CARTESIAN_POINT('',(1.214120333040E1,1.453782172579E1,-1.662731165687E1)); +#5557=CARTESIAN_POINT('',(1.127382162037E1,1.497595098921E1,-1.586844951234E1)); +#5558=CARTESIAN_POINT('',(1.054135889542E1,1.524560939935E1,-1.540138744529E1)); +#5559=CARTESIAN_POINT('',(9.704388741592E0,1.544008432994E1,-1.506454698471E1)); +#5560=CARTESIAN_POINT('',(9.049475765825E0,1.55E1,-1.496077E1)); +#5561=CARTESIAN_POINT('',(8.680376934006E0,1.55E1,-1.496077E1)); +#5563=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5564=VECTOR('',#5563,2.380376934006E0); +#5565=CARTESIAN_POINT('',(8.680376934006E0,1.55E1,-1.496077E1)); +#5566=LINE('',#5565,#5564); +#5567=DIRECTION('',(1.E0,0.E0,0.E0)); +#5568=VECTOR('',#5567,1.4E0); +#5569=CARTESIAN_POINT('',(4.9E0,1.55E1,-1.496077E1)); +#5570=LINE('',#5569,#5568); +#5571=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5572=VECTOR('',#5571,1.26E1); +#5573=CARTESIAN_POINT('',(4.9E0,1.55E1,-1.496077E1)); +#5574=LINE('',#5573,#5572); +#5575=DIRECTION('',(1.E0,0.E0,0.E0)); +#5576=VECTOR('',#5575,1.4E0); +#5577=CARTESIAN_POINT('',(-9.1E0,1.55E1,-1.496077E1)); +#5578=LINE('',#5577,#5576); +#5579=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5580=VECTOR('',#5579,1.36E1); +#5581=CARTESIAN_POINT('',(-9.1E0,1.55E1,-1.496077E1)); +#5582=LINE('',#5581,#5580); +#5583=DIRECTION('',(1.E0,0.E0,0.E0)); +#5584=VECTOR('',#5583,1.4E0); +#5585=CARTESIAN_POINT('',(-2.41E1,1.55E1,-1.496077E1)); +#5586=LINE('',#5585,#5584); +#5587=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5588=VECTOR('',#5587,1.36E1); +#5589=CARTESIAN_POINT('',(-2.41E1,1.55E1,-1.496077E1)); +#5590=LINE('',#5589,#5588); +#5591=DIRECTION('',(1.E0,0.E0,0.E0)); +#5592=VECTOR('',#5591,1.4E0); +#5593=CARTESIAN_POINT('',(-3.91E1,1.55E1,-1.496077E1)); +#5594=LINE('',#5593,#5592); +#5595=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5596=VECTOR('',#5595,1.26E1); +#5597=CARTESIAN_POINT('',(-3.91E1,1.55E1,-1.496077E1)); +#5598=LINE('',#5597,#5596); +#5599=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5600=VECTOR('',#5599,1.4E0); +#5601=CARTESIAN_POINT('',(-5.17E1,1.55E1,-1.496077E1)); +#5602=LINE('',#5601,#5600); +#5603=DIRECTION('',(0.E0,-5.E-1,-8.660254037844E-1)); +#5604=VECTOR('',#5603,1.459455011283E-1); +#5605=CARTESIAN_POINT('',(-5.31E1,1.55E1,-1.496077E1)); +#5606=LINE('',#5605,#5604); +#5607=CARTESIAN_POINT('',(-5.603311699554E1,1.419672414029E1, +-1.721811000529E1)); +#5608=CARTESIAN_POINT('',(-5.565811870325E1,1.447846505847E1, +-1.673012042043E1)); +#5609=CARTESIAN_POINT('',(-5.503099595233E1,1.485754597089E1, +-1.607353301994E1)); +#5610=CARTESIAN_POINT('',(-5.401283362834E1,1.524039611910E1, +-1.541041711157E1)); +#5611=CARTESIAN_POINT('',(-5.342395549160E1,1.537807136561E1, +-1.517195658966E1)); +#5612=CARTESIAN_POINT('',(-5.31E1,1.542702724944E1,-1.508716251155E1)); +#5614=CARTESIAN_POINT('',(-5.980158807131E1,1.215758650110E1,-2.075E1)); +#5615=CARTESIAN_POINT('',(-5.929154796552E1,1.255469383616E1, +-2.006218991962E1)); +#5616=CARTESIAN_POINT('',(-5.817573449339E1,1.330247590041E1, +-1.876699339135E1)); +#5617=CARTESIAN_POINT('',(-5.681145546814E1,1.392974704679E1, +-1.768052789569E1)); +#5618=CARTESIAN_POINT('',(-5.603311699554E1,1.419672414029E1, +-1.721811000529E1)); +#5620=DIRECTION('',(1.E0,0.E0,0.E0)); +#5621=VECTOR('',#5620,1.201083710771E2); +#5622=CARTESIAN_POINT('',(-5.980158807131E1,1.215758650110E1,-2.075E1)); +#5623=LINE('',#5622,#5621); +#5624=DIRECTION('',(0.E0,0.E0,1.E0)); +#5625=VECTOR('',#5624,1.116149732121E1); +#5626=CARTESIAN_POINT('',(5.555E1,1.59E1,-1.461677E1)); +#5627=LINE('',#5626,#5625); +#5628=DIRECTION('',(0.E0,5.026204573745E-1,8.645071866842E-1)); +#5629=VECTOR('',#5628,3.979145636943E-1); +#5630=CARTESIAN_POINT('',(5.555E1,1.57E1,-1.496077E1)); +#5631=LINE('',#5630,#5629); +#5632=DIRECTION('',(0.E0,0.E0,1.E0)); +#5633=VECTOR('',#5632,7.597081932306E0); +#5634=CARTESIAN_POINT('',(5.555E1,1.484395E1,-1.609708193231E1)); +#5635=LINE('',#5634,#5633); +#5636=CARTESIAN_POINT('',(5.555E1,1.59E1,-3.455272678794E0)); +#5637=CARTESIAN_POINT('',(5.555E1,1.591729409490E1,-3.455272678794E0)); +#5638=CARTESIAN_POINT('',(5.554701892890E1,1.595192813356E1,-3.455656539884E0)); +#5639=CARTESIAN_POINT('',(5.553345694288E1,1.600277577227E1,-3.457379428167E0)); +#5640=CARTESIAN_POINT('',(5.551155664426E1,1.604997034251E1,-3.460088532535E0)); +#5641=CARTESIAN_POINT('',(5.548154644738E1,1.609320054130E1,-3.463656173302E0)); +#5642=CARTESIAN_POINT('',(5.544386328064E1,1.613098901443E1,-3.467895856223E0)); +#5643=CARTESIAN_POINT('',(5.540071995616E1,1.616113418994E1,-3.472421576811E0)); +#5644=CARTESIAN_POINT('',(5.535334504483E1,1.618326905583E1,-3.476994401516E0)); +#5645=CARTESIAN_POINT('',(5.530223243788E1,1.619698270375E1,-3.481459221770E0)); +#5646=CARTESIAN_POINT('',(5.526739902611E1,1.62E1,-3.484166517241E0)); +#5647=CARTESIAN_POINT('',(5.525E1,1.62E1,-3.485435260761E0)); +#5649=DIRECTION('',(0.E0,0.E0,1.E0)); +#5650=VECTOR('',#5649,1.061533473924E1); +#5651=CARTESIAN_POINT('',(5.525E1,1.62E1,-1.410077E1)); +#5652=LINE('',#5651,#5650); +#5653=DIRECTION('',(0.E0,0.E0,1.E0)); +#5654=VECTOR('',#5653,1.061533473924E1); +#5655=CARTESIAN_POINT('',(5.445E1,1.62E1,-1.410077E1)); +#5656=LINE('',#5655,#5654); +#5657=DIRECTION('',(1.E0,0.E0,0.E0)); +#5658=VECTOR('',#5657,8.E-1); +#5659=CARTESIAN_POINT('',(5.445E1,1.62E1,-1.410077E1)); +#5660=LINE('',#5659,#5658); +#5661=DIRECTION('',(0.E0,1.E0,0.E0)); +#5662=VECTOR('',#5661,4.E-1); +#5663=CARTESIAN_POINT('',(5.415E1,1.55E1,-3.455272678794E0)); +#5664=LINE('',#5663,#5662); +#5665=CARTESIAN_POINT('',(5.485E1,1.62E1,2.E0)); +#5666=DIRECTION('',(0.E0,1.E0,0.E0)); +#5667=DIRECTION('',(7.272727272727E-2,0.E0,-9.973518655929E-1)); +#5668=AXIS2_PLACEMENT_3D('',#5665,#5666,#5667); +#5670=DIRECTION('',(0.E0,1.E0,0.E0)); +#5671=VECTOR('',#5670,4.E-1); +#5672=CARTESIAN_POINT('',(5.555E1,1.55E1,-3.455272678794E0)); +#5673=LINE('',#5672,#5671); +#5674=CARTESIAN_POINT('',(5.781079535719E1,1.629999956499E1,-2.635050253542E0)); +#5675=CARTESIAN_POINT('',(5.782643511169E1,1.628859175520E1,-2.625059830574E0)); +#5676=CARTESIAN_POINT('',(5.785761849612E1,1.626595718028E1,-2.604861211396E0)); +#5677=CARTESIAN_POINT('',(5.790343221337E1,1.623270767649E1,-2.574855133971E0)); +#5678=CARTESIAN_POINT('',(5.793468458724E1,1.621067969381E1,-2.553175927870E0)); +#5679=CARTESIAN_POINT('',(5.794981251717E1,1.619986521149E1,-2.542853395528E0)); +#5681=CARTESIAN_POINT('',(5.485E1,1.629999956499E1,2.E0)); +#5682=DIRECTION('',(0.E0,1.E0,0.E0)); +#5683=DIRECTION('',(5.383264285795E-1,0.E0,-8.427364097349E-1)); +#5684=AXIS2_PLACEMENT_3D('',#5681,#5682,#5683); +#5686=DIRECTION('',(0.E0,0.E0,1.E0)); +#5687=VECTOR('',#5686,1.116149732121E1); +#5688=CARTESIAN_POINT('',(5.415E1,1.59E1,-1.461677E1)); +#5689=LINE('',#5688,#5687); +#5690=DIRECTION('',(0.E0,-5.026204573745E-1,-8.645071866842E-1)); +#5691=VECTOR('',#5690,3.979145636943E-1); +#5692=CARTESIAN_POINT('',(5.415E1,1.59E1,-1.461677E1)); +#5693=LINE('',#5692,#5691); +#5694=CARTESIAN_POINT('',(5.445E1,1.62E1,-3.485435260761E0)); +#5695=CARTESIAN_POINT('',(5.443266553211E1,1.62E1,-3.484171224850E0)); +#5696=CARTESIAN_POINT('',(5.439798170911E1,1.619699326040E1,-3.481475476193E0)); +#5697=CARTESIAN_POINT('',(5.434737730828E1,1.618350771455E1,-3.477059780888E0)); +#5698=CARTESIAN_POINT('',(5.429998017132E1,1.616155035931E1,-3.472492716687E0)); +#5699=CARTESIAN_POINT('',(5.425654929296E1,1.613135148576E1,-3.467941216056E0)); +#5700=CARTESIAN_POINT('',(5.421874448108E1,1.609354882545E1,-3.463689919113E0)); +#5701=CARTESIAN_POINT('',(5.418866043957E1,1.605035887368E1,-3.460115012331E0)); +#5702=CARTESIAN_POINT('',(5.416662419534E1,1.600303157954E1,-3.457389718255E0)); +#5703=CARTESIAN_POINT('',(5.415299387733E1,1.595204494872E1,-3.455658187562E0)); +#5704=CARTESIAN_POINT('',(5.415E1,1.591732997650E1,-3.455272678794E0)); +#5705=CARTESIAN_POINT('',(5.415E1,1.59E1,-3.455272678794E0)); +#5707=CARTESIAN_POINT('',(5.525E1,1.62E1,-1.410077E1)); +#5708=CARTESIAN_POINT('',(5.528736966023E1,1.62E1,-1.410077E1)); +#5709=CARTESIAN_POINT('',(5.533984792334E1,1.618881113717E1,-1.412001484406E1)); +#5710=CARTESIAN_POINT('',(5.541932075209E1,1.615362478384E1,-1.418053537179E1)); +#5711=CARTESIAN_POINT('',(5.548649196140E1,1.609347262920E1,-1.428399707778E1)); +#5712=CARTESIAN_POINT('',(5.553660200261E1,1.600596242673E1,-1.443451462603E1)); +#5713=CARTESIAN_POINT('',(5.555E1,1.593756551143E1,-1.455215732033E1)); +#5714=CARTESIAN_POINT('',(5.555E1,1.59E1,-1.461677E1)); +#5716=CARTESIAN_POINT('',(5.415E1,1.59E1,-1.461677E1)); +#5717=CARTESIAN_POINT('',(5.415E1,1.593756551143E1,-1.455215732033E1)); +#5718=CARTESIAN_POINT('',(5.416339799739E1,1.600596242673E1,-1.443451462603E1)); +#5719=CARTESIAN_POINT('',(5.421350803860E1,1.609347262920E1,-1.428399707778E1)); +#5720=CARTESIAN_POINT('',(5.428067924791E1,1.615362478384E1,-1.418053537179E1)); +#5721=CARTESIAN_POINT('',(5.436015207666E1,1.618881113717E1,-1.412001484406E1)); +#5722=CARTESIAN_POINT('',(5.441263033977E1,1.62E1,-1.410077E1)); +#5723=CARTESIAN_POINT('',(5.445E1,1.62E1,-1.410077E1)); +#5725=DIRECTION('',(1.E0,0.E0,0.E0)); +#5726=VECTOR('',#5725,1.4E0); +#5727=CARTESIAN_POINT('',(-5.31E1,1.57E1,-1.496077E1)); +#5728=LINE('',#5727,#5726); +#5729=DIRECTION('',(3.552713678801E-14,1.E0,0.E0)); +#5730=VECTOR('',#5729,2.E-1); +#5731=CARTESIAN_POINT('',(-5.31E1,1.55E1,-1.496077E1)); +#5732=LINE('',#5731,#5730); +#5733=DIRECTION('',(-3.552713678801E-14,-1.E0,0.E0)); +#5734=VECTOR('',#5733,2.E-1); +#5735=CARTESIAN_POINT('',(-5.17E1,1.57E1,-1.496077E1)); +#5736=LINE('',#5735,#5734); +#5737=DIRECTION('',(1.E0,0.E0,0.E0)); +#5738=VECTOR('',#5737,1.4E0); +#5739=CARTESIAN_POINT('',(5.415E1,1.57E1,-1.496077E1)); +#5740=LINE('',#5739,#5738); +#5741=DIRECTION('',(0.E0,1.E0,0.E0)); +#5742=VECTOR('',#5741,2.E-1); +#5743=CARTESIAN_POINT('',(5.415E1,1.55E1,-1.496077E1)); +#5744=LINE('',#5743,#5742); +#5745=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5746=VECTOR('',#5745,2.E-1); +#5747=CARTESIAN_POINT('',(5.555E1,1.57E1,-1.496077E1)); +#5748=LINE('',#5747,#5746); +#5749=DIRECTION('',(1.E0,0.E0,0.E0)); +#5750=VECTOR('',#5749,1.4E0); +#5751=CARTESIAN_POINT('',(4.3E1,1.57E1,-1.496077E1)); +#5752=LINE('',#5751,#5750); +#5753=DIRECTION('',(-3.552713678801E-14,1.E0,0.E0)); +#5754=VECTOR('',#5753,2.E-1); +#5755=CARTESIAN_POINT('',(4.3E1,1.55E1,-1.496077E1)); +#5756=LINE('',#5755,#5754); +#5757=DIRECTION('',(3.552713678801E-14,-1.E0,0.E0)); +#5758=VECTOR('',#5757,2.E-1); +#5759=CARTESIAN_POINT('',(4.44E1,1.57E1,-1.496077E1)); +#5760=LINE('',#5759,#5758); +#5761=DIRECTION('',(1.E0,0.E0,0.E0)); +#5762=VECTOR('',#5761,1.4E0); +#5763=CARTESIAN_POINT('',(3.185E1,1.57E1,-1.496077E1)); +#5764=LINE('',#5763,#5762); +#5765=DIRECTION('',(0.E0,1.E0,0.E0)); +#5766=VECTOR('',#5765,2.E-1); +#5767=CARTESIAN_POINT('',(3.185E1,1.55E1,-1.496077E1)); +#5768=LINE('',#5767,#5766); +#5769=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5770=VECTOR('',#5769,2.E-1); +#5771=CARTESIAN_POINT('',(3.325E1,1.57E1,-1.496077E1)); +#5772=LINE('',#5771,#5770); +#5773=DIRECTION('',(1.E0,0.E0,0.E0)); +#5774=VECTOR('',#5773,1.4E0); +#5775=CARTESIAN_POINT('',(4.9E0,1.57E1,-1.496077E1)); +#5776=LINE('',#5775,#5774); +#5777=DIRECTION('',(0.E0,1.E0,0.E0)); +#5778=VECTOR('',#5777,2.E-1); +#5779=CARTESIAN_POINT('',(4.9E0,1.55E1,-1.496077E1)); +#5780=LINE('',#5779,#5778); +#5781=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5782=VECTOR('',#5781,2.E-1); +#5783=CARTESIAN_POINT('',(6.3E0,1.57E1,-1.496077E1)); +#5784=LINE('',#5783,#5782); +#5785=DIRECTION('',(1.E0,0.E0,0.E0)); +#5786=VECTOR('',#5785,1.4E0); +#5787=CARTESIAN_POINT('',(-9.1E0,1.57E1,-1.496077E1)); +#5788=LINE('',#5787,#5786); +#5789=DIRECTION('',(0.E0,1.E0,0.E0)); +#5790=VECTOR('',#5789,2.E-1); +#5791=CARTESIAN_POINT('',(-9.1E0,1.55E1,-1.496077E1)); +#5792=LINE('',#5791,#5790); +#5793=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5794=VECTOR('',#5793,2.E-1); +#5795=CARTESIAN_POINT('',(-7.7E0,1.57E1,-1.496077E1)); +#5796=LINE('',#5795,#5794); +#5797=DIRECTION('',(1.E0,0.E0,0.E0)); +#5798=VECTOR('',#5797,1.4E0); +#5799=CARTESIAN_POINT('',(-2.41E1,1.57E1,-1.496077E1)); +#5800=LINE('',#5799,#5798); +#5801=DIRECTION('',(1.776356839400E-14,1.E0,0.E0)); +#5802=VECTOR('',#5801,2.E-1); +#5803=CARTESIAN_POINT('',(-2.41E1,1.55E1,-1.496077E1)); +#5804=LINE('',#5803,#5802); +#5805=DIRECTION('',(-3.552713678801E-14,-1.E0,0.E0)); +#5806=VECTOR('',#5805,2.E-1); +#5807=CARTESIAN_POINT('',(-2.27E1,1.57E1,-1.496077E1)); +#5808=LINE('',#5807,#5806); +#5809=DIRECTION('',(1.E0,0.E0,0.E0)); +#5810=VECTOR('',#5809,1.4E0); +#5811=CARTESIAN_POINT('',(-3.91E1,1.57E1,-1.496077E1)); +#5812=LINE('',#5811,#5810); +#5813=DIRECTION('',(0.E0,1.E0,0.E0)); +#5814=VECTOR('',#5813,2.E-1); +#5815=CARTESIAN_POINT('',(-3.91E1,1.55E1,-1.496077E1)); +#5816=LINE('',#5815,#5814); +#5817=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5818=VECTOR('',#5817,2.E-1); +#5819=CARTESIAN_POINT('',(-3.77E1,1.57E1,-1.496077E1)); +#5820=LINE('',#5819,#5818); +#5821=CARTESIAN_POINT('',(-5.31E1,1.59E1,-1.461677E1)); +#5822=CARTESIAN_POINT('',(-5.31E1,1.593756551143E1,-1.455215732033E1)); +#5823=CARTESIAN_POINT('',(-5.308660200261E1,1.600596242673E1, +-1.443451462603E1)); +#5824=CARTESIAN_POINT('',(-5.303649196140E1,1.609347262920E1, +-1.428399707778E1)); +#5825=CARTESIAN_POINT('',(-5.296932075209E1,1.615362478384E1, +-1.418053537179E1)); +#5826=CARTESIAN_POINT('',(-5.288984792334E1,1.618881113717E1, +-1.412001484406E1)); +#5827=CARTESIAN_POINT('',(-5.283736966023E1,1.62E1,-1.410077E1)); +#5828=CARTESIAN_POINT('',(-5.28E1,1.62E1,-1.410077E1)); +#5830=CARTESIAN_POINT('',(-5.2E1,1.62E1,-1.410077E1)); +#5831=CARTESIAN_POINT('',(-5.196263033977E1,1.62E1,-1.410077E1)); +#5832=CARTESIAN_POINT('',(-5.191015207666E1,1.618881113717E1, +-1.412001484406E1)); +#5833=CARTESIAN_POINT('',(-5.183067924791E1,1.615362478384E1, +-1.418053537179E1)); +#5834=CARTESIAN_POINT('',(-5.176350803860E1,1.609347262920E1, +-1.428399707778E1)); +#5835=CARTESIAN_POINT('',(-5.171339799739E1,1.600596242673E1, +-1.443451462603E1)); +#5836=CARTESIAN_POINT('',(-5.17E1,1.593756551143E1,-1.455215732033E1)); +#5837=CARTESIAN_POINT('',(-5.17E1,1.59E1,-1.461677E1)); +#5839=CARTESIAN_POINT('',(-5.28E1,1.59E1,-1.8E0)); +#5840=DIRECTION('',(0.E0,0.E0,1.E0)); +#5841=DIRECTION('',(-5.455220257209E-1,8.380964857660E-1,0.E0)); +#5842=AXIS2_PLACEMENT_3D('',#5839,#5840,#5841); +#5844=CARTESIAN_POINT('',(-5.28E1,1.59E1,-1.8E0)); +#5845=DIRECTION('',(0.E0,0.E0,1.E0)); +#5846=DIRECTION('',(0.E0,1.E0,0.E0)); +#5847=AXIS2_PLACEMENT_3D('',#5844,#5845,#5846); +#5849=DIRECTION('',(1.E0,0.E0,0.E0)); +#5850=VECTOR('',#5849,6.71247E-1); +#5851=CARTESIAN_POINT('',(-5.28E1,1.62E1,-1.8E0)); +#5852=LINE('',#5851,#5850); +#5853=DIRECTION('',(0.E0,0.E0,1.E0)); +#5854=VECTOR('',#5853,1.230077E1); +#5855=CARTESIAN_POINT('',(-5.28E1,1.62E1,-1.410077E1)); +#5856=LINE('',#5855,#5854); +#5857=DIRECTION('',(1.E0,0.E0,0.E0)); +#5858=VECTOR('',#5857,8.E-1); +#5859=CARTESIAN_POINT('',(-5.28E1,1.62E1,-1.410077E1)); +#5860=LINE('',#5859,#5858); +#5861=DIRECTION('',(0.E0,0.E0,1.E0)); +#5862=VECTOR('',#5861,1.230077E1); +#5863=CARTESIAN_POINT('',(-5.2E1,1.62E1,-1.410077E1)); +#5864=LINE('',#5863,#5862); +#5865=CARTESIAN_POINT('',(-3.84E1,1.62E1,2.E0)); +#5866=DIRECTION('',(0.E0,1.E0,0.E0)); +#5867=DIRECTION('',(-7.229416491662E-1,0.E0,-6.909090909091E-1)); +#5868=AXIS2_PLACEMENT_3D('',#5865,#5866,#5867); +#5870=DIRECTION('',(1.E0,4.983189788341E-8,4.983182752726E-8)); +#5871=VECTOR('',#5870,8.780015787303E0); +#5872=CARTESIAN_POINT('',(-5.212875299997E1,1.619999956248E1, +-4.000004375242E-1)); +#5873=LINE('',#5872,#5871); +#5874=DIRECTION('',(0.E0,0.E0,1.E0)); +#5875=VECTOR('',#5874,1.230077E1); +#5876=CARTESIAN_POINT('',(6.E0,1.62E1,-1.410077E1)); +#5877=LINE('',#5876,#5875); +#5878=DIRECTION('',(1.E0,-1.153197010081E-8,-1.153194115186E-8)); +#5879=VECTOR('',#5878,1.264626278729E1); +#5880=CARTESIAN_POINT('',(-3.451262787337E0,1.62E1,-4.E-1)); +#5881=LINE('',#5880,#5879); +#5882=CARTESIAN_POINT('',(-8.4E0,1.62E1,2.E0)); +#5883=DIRECTION('',(0.E0,1.E0,0.E0)); +#5884=DIRECTION('',(8.997704023024E-1,0.E0,-4.363636363636E-1)); +#5885=AXIS2_PLACEMENT_3D('',#5882,#5883,#5884); +#5887=DIRECTION('',(0.E0,0.E0,1.E0)); +#5888=VECTOR('',#5887,1.230077E1); +#5889=CARTESIAN_POINT('',(5.2E0,1.62E1,-1.410077E1)); +#5890=LINE('',#5889,#5888); +#5891=DIRECTION('',(1.E0,0.E0,0.E0)); +#5892=VECTOR('',#5891,8.E-1); +#5893=CARTESIAN_POINT('',(5.2E0,1.62E1,-1.410077E1)); +#5894=LINE('',#5893,#5892); +#5895=DIRECTION('',(0.E0,0.E0,1.E0)); +#5896=VECTOR('',#5895,1.230077E1); +#5897=CARTESIAN_POINT('',(-2.3E1,1.62E1,-1.410077E1)); +#5898=LINE('',#5897,#5896); +#5899=CARTESIAN_POINT('',(-8.4E0,1.62E1,2.E0)); +#5900=DIRECTION('',(0.E0,1.E0,0.E0)); +#5901=DIRECTION('',(-7.229416491662E-1,0.E0,-6.909090909091E-1)); +#5902=AXIS2_PLACEMENT_3D('',#5899,#5900,#5901); +#5904=DIRECTION('',(1.E0,0.E0,0.E0)); +#5905=VECTOR('',#5904,2.010252557467E1); +#5906=CARTESIAN_POINT('',(-3.345126278734E1,1.62E1,-4.E-1)); +#5907=LINE('',#5906,#5905); +#5908=CARTESIAN_POINT('',(-3.84E1,1.62E1,2.E0)); +#5909=DIRECTION('',(0.E0,1.E0,0.E0)); +#5910=DIRECTION('',(8.997704023024E-1,0.E0,-4.363636363636E-1)); +#5911=AXIS2_PLACEMENT_3D('',#5908,#5909,#5910); +#5913=DIRECTION('',(0.E0,0.E0,1.E0)); +#5914=VECTOR('',#5913,1.230077E1); +#5915=CARTESIAN_POINT('',(-2.38E1,1.62E1,-1.410077E1)); +#5916=LINE('',#5915,#5914); +#5917=DIRECTION('',(1.E0,0.E0,0.E0)); +#5918=VECTOR('',#5917,8.E-1); +#5919=CARTESIAN_POINT('',(-2.38E1,1.62E1,-1.410077E1)); +#5920=LINE('',#5919,#5918); +#5921=CARTESIAN_POINT('',(-5.2E1,1.59E1,-1.8E0)); +#5922=DIRECTION('',(0.E0,0.E0,1.E0)); +#5923=DIRECTION('',(1.E0,0.E0,0.E0)); +#5924=AXIS2_PLACEMENT_3D('',#5921,#5922,#5923); +#5926=DIRECTION('',(0.E0,0.E0,1.E0)); +#5927=VECTOR('',#5926,1.281677E1); +#5928=CARTESIAN_POINT('',(-5.17E1,1.59E1,-1.461677E1)); +#5929=LINE('',#5928,#5927); +#5930=DIRECTION('',(1.785666574159E-14,5.026204573745E-1,8.645071866842E-1)); +#5931=VECTOR('',#5930,3.979145636943E-1); +#5932=CARTESIAN_POINT('',(-5.17E1,1.57E1,-1.496077E1)); +#5933=LINE('',#5932,#5931); +#5934=DIRECTION('',(0.E0,0.E0,1.E0)); +#5935=VECTOR('',#5934,1.316077E1); +#5936=CARTESIAN_POINT('',(-2.27E1,1.55E1,-1.496077E1)); +#5937=LINE('',#5936,#5935); +#5938=DIRECTION('',(0.E0,0.E0,1.E0)); +#5939=VECTOR('',#5938,1.150549732121E1); +#5940=CARTESIAN_POINT('',(-9.1E0,1.55E1,-1.496077E1)); +#5941=LINE('',#5940,#5939); +#5942=CARTESIAN_POINT('',(-8.4E0,1.55E1,2.E0)); +#5943=DIRECTION('',(0.E0,1.E0,0.E0)); +#5944=DIRECTION('',(-1.272727272727E-1,0.E0,-9.918677597808E-1)); +#5945=AXIS2_PLACEMENT_3D('',#5942,#5943,#5944); +#5947=DIRECTION('',(1.E0,0.E0,0.E0)); +#5948=VECTOR('',#5947,1.032382092959E1); +#5949=CARTESIAN_POINT('',(-2.27E1,1.55E1,-1.8E0)); +#5950=LINE('',#5949,#5948); +#5951=DIRECTION('',(0.E0,0.E0,1.E0)); +#5952=VECTOR('',#5951,1.316077E1); +#5953=CARTESIAN_POINT('',(-2.41E1,1.55E1,-1.496077E1)); +#5954=LINE('',#5953,#5952); +#5955=DIRECTION('',(1.E0,0.E0,0.E0)); +#5956=VECTOR('',#5955,1.032382092959E1); +#5957=CARTESIAN_POINT('',(-3.442382092959E1,1.55E1,-1.8E0)); +#5958=LINE('',#5957,#5956); +#5959=CARTESIAN_POINT('',(-3.84E1,1.55E1,2.E0)); +#5960=DIRECTION('',(0.E0,1.E0,0.E0)); +#5961=DIRECTION('',(7.229416491662E-1,0.E0,-6.909090909091E-1)); +#5962=AXIS2_PLACEMENT_3D('',#5959,#5960,#5961); +#5964=DIRECTION('',(0.E0,0.E0,1.E0)); +#5965=VECTOR('',#5964,1.150549732121E1); +#5966=CARTESIAN_POINT('',(-3.77E1,1.55E1,-1.496077E1)); +#5967=LINE('',#5966,#5965); +#5968=DIRECTION('',(1.E0,0.E0,0.E0)); +#5969=VECTOR('',#5968,2.380378241855E0); +#5970=CARTESIAN_POINT('',(6.3E0,1.55E1,-1.8E0)); +#5971=LINE('',#5970,#5969); +#5972=DIRECTION('',(0.E0,0.E0,1.E0)); +#5973=VECTOR('',#5972,1.316077E1); +#5974=CARTESIAN_POINT('',(6.3E0,1.55E1,-1.496077E1)); +#5975=LINE('',#5974,#5973); +#5976=DIRECTION('',(0.E0,0.E0,1.E0)); +#5977=VECTOR('',#5976,1.316077E1); +#5978=CARTESIAN_POINT('',(4.9E0,1.55E1,-1.496077E1)); +#5979=LINE('',#5978,#5977); +#5980=DIRECTION('',(1.E0,0.E0,0.E0)); +#5981=VECTOR('',#5980,9.323820929586E0); +#5982=CARTESIAN_POINT('',(-4.423820929586E0,1.55E1,-1.8E0)); +#5983=LINE('',#5982,#5981); +#5984=CARTESIAN_POINT('',(-8.4E0,1.55E1,2.E0)); +#5985=DIRECTION('',(0.E0,1.E0,0.E0)); +#5986=DIRECTION('',(7.229416491662E-1,0.E0,-6.909090909091E-1)); +#5987=AXIS2_PLACEMENT_3D('',#5984,#5985,#5986); +#5989=DIRECTION('',(0.E0,0.E0,1.E0)); +#5990=VECTOR('',#5989,1.150549732121E1); +#5991=CARTESIAN_POINT('',(-7.7E0,1.55E1,-1.496077E1)); +#5992=LINE('',#5991,#5990); +#5993=DIRECTION('',(0.E0,0.E0,1.E0)); +#5994=VECTOR('',#5993,1.150549732121E1); +#5995=CARTESIAN_POINT('',(-3.91E1,1.55E1,-1.496077E1)); +#5996=LINE('',#5995,#5994); +#5997=CARTESIAN_POINT('',(-3.84E1,1.55E1,2.E0)); +#5998=DIRECTION('',(0.E0,1.E0,0.E0)); +#5999=DIRECTION('',(-1.272727272727E-1,0.E0,-9.918677597808E-1)); +#6000=AXIS2_PLACEMENT_3D('',#5997,#5998,#5999); +#6002=DIRECTION('',(1.E0,0.E0,0.E0)); +#6003=VECTOR('',#6002,9.323820929586E0); +#6004=CARTESIAN_POINT('',(-5.17E1,1.55E1,-1.8E0)); +#6005=LINE('',#6004,#6003); +#6006=DIRECTION('',(0.E0,0.E0,1.E0)); +#6007=VECTOR('',#6006,1.316077E1); +#6008=CARTESIAN_POINT('',(-5.17E1,1.55E1,-1.496077E1)); +#6009=LINE('',#6008,#6007); +#6010=DIRECTION('',(0.E0,0.E0,1.E0)); +#6011=VECTOR('',#6010,1.150549732121E1); +#6012=CARTESIAN_POINT('',(3.325E1,1.55E1,-1.496077E1)); +#6013=LINE('',#6012,#6011); +#6014=DIRECTION('',(0.E0,0.E0,1.E0)); +#6015=VECTOR('',#6014,1.316077E1); +#6016=CARTESIAN_POINT('',(4.3E1,1.55E1,-1.496077E1)); +#6017=LINE('',#6016,#6015); +#6018=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6019=VECTOR('',#6018,6.473820929586E0); +#6020=CARTESIAN_POINT('',(4.3E1,1.55E1,-1.8E0)); +#6021=LINE('',#6020,#6019); +#6022=CARTESIAN_POINT('',(3.255E1,1.55E1,2.E0)); +#6023=DIRECTION('',(0.E0,1.E0,0.E0)); +#6024=DIRECTION('',(7.229416491662E-1,0.E0,-6.909090909091E-1)); +#6025=AXIS2_PLACEMENT_3D('',#6022,#6023,#6024); +#6027=DIRECTION('',(0.E0,0.E0,1.E0)); +#6028=VECTOR('',#6027,1.150549732121E1); +#6029=CARTESIAN_POINT('',(3.185E1,1.55E1,-1.496077E1)); +#6030=LINE('',#6029,#6028); +#6031=CARTESIAN_POINT('',(3.255E1,1.55E1,2.E0)); +#6032=DIRECTION('',(0.E0,1.E0,0.E0)); +#6033=DIRECTION('',(-1.272727272727E-1,0.E0,-9.918677597808E-1)); +#6034=AXIS2_PLACEMENT_3D('',#6031,#6032,#6033); +#6036=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6037=VECTOR('',#6036,4.404199184102E0); +#6038=CARTESIAN_POINT('',(2.857382092959E1,1.55E1,-1.8E0)); +#6039=LINE('',#6038,#6037); +#6040=DIRECTION('',(0.E0,0.E0,1.E0)); +#6041=VECTOR('',#6040,1.316077E1); +#6042=CARTESIAN_POINT('',(4.44E1,1.55E1,-1.496077E1)); +#6043=LINE('',#6042,#6041); +#6044=DIRECTION('',(0.E0,0.E0,1.E0)); +#6045=VECTOR('',#6044,1.150549732121E1); +#6046=CARTESIAN_POINT('',(5.415E1,1.55E1,-1.496077E1)); +#6047=LINE('',#6046,#6045); +#6048=CARTESIAN_POINT('',(5.485E1,1.55E1,2.E0)); +#6049=DIRECTION('',(0.E0,1.E0,0.E0)); +#6050=DIRECTION('',(-1.272727272727E-1,0.E0,-9.918677597808E-1)); +#6051=AXIS2_PLACEMENT_3D('',#6048,#6049,#6050); +#6053=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6054=VECTOR('',#6053,6.473820929586E0); +#6055=CARTESIAN_POINT('',(5.087382092959E1,1.55E1,-1.8E0)); +#6056=LINE('',#6055,#6054); +#6057=DIRECTION('',(0.E0,0.E0,1.E0)); +#6058=VECTOR('',#6057,5.044727321206E0); +#6059=CARTESIAN_POINT('',(5.555E1,1.55E1,-8.5E0)); +#6060=LINE('',#6059,#6058); +#6061=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6062=VECTOR('',#6061,2.4E0); +#6063=CARTESIAN_POINT('',(5.795E1,1.55E1,-8.5E0)); +#6064=LINE('',#6063,#6062); +#6065=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6066=VECTOR('',#6065,5.956873323360E0); +#6067=CARTESIAN_POINT('',(5.795E1,1.55E1,-2.543126676640E0)); +#6068=LINE('',#6067,#6066); +#6069=CARTESIAN_POINT('',(5.485E1,1.55E1,2.E0)); +#6070=DIRECTION('',(0.E0,1.E0,0.E0)); +#6071=DIRECTION('',(5.636363636364E-1,0.E0,-8.260230321164E-1)); +#6072=AXIS2_PLACEMENT_3D('',#6069,#6070,#6071); +#6074=DIRECTION('',(0.E0,0.E0,1.E0)); +#6075=VECTOR('',#6074,1.281677E1); +#6076=CARTESIAN_POINT('',(-2.27E1,1.59E1,-1.461677E1)); +#6077=LINE('',#6076,#6075); +#6078=DIRECTION('',(0.E0,5.026204573745E-1,8.645071866842E-1)); +#6079=VECTOR('',#6078,3.979145636943E-1); +#6080=CARTESIAN_POINT('',(-2.27E1,1.57E1,-1.496077E1)); +#6081=LINE('',#6080,#6079); +#6082=CARTESIAN_POINT('',(-2.3E1,1.59E1,-1.8E0)); +#6083=DIRECTION('',(0.E0,0.E0,1.E0)); +#6084=DIRECTION('',(1.E0,0.E0,0.E0)); +#6085=AXIS2_PLACEMENT_3D('',#6082,#6083,#6084); +#6087=CARTESIAN_POINT('',(-2.3E1,1.62E1,-1.410077E1)); +#6088=CARTESIAN_POINT('',(-2.296263033977E1,1.62E1,-1.410077E1)); +#6089=CARTESIAN_POINT('',(-2.291015207666E1,1.618881113717E1, +-1.412001484406E1)); +#6090=CARTESIAN_POINT('',(-2.283067924791E1,1.615362478384E1, +-1.418053537179E1)); +#6091=CARTESIAN_POINT('',(-2.276350803860E1,1.609347262920E1, +-1.428399707778E1)); +#6092=CARTESIAN_POINT('',(-2.271339799739E1,1.600596242673E1, +-1.443451462603E1)); +#6093=CARTESIAN_POINT('',(-2.27E1,1.593756551143E1,-1.455215732033E1)); +#6094=CARTESIAN_POINT('',(-2.27E1,1.59E1,-1.461677E1)); +#6096=CARTESIAN_POINT('',(-2.41E1,1.59E1,-1.461677E1)); +#6097=CARTESIAN_POINT('',(-2.41E1,1.593756551143E1,-1.455215732033E1)); +#6098=CARTESIAN_POINT('',(-2.408660200261E1,1.600596242673E1, +-1.443451462603E1)); +#6099=CARTESIAN_POINT('',(-2.403649196140E1,1.609347262920E1, +-1.428399707778E1)); +#6100=CARTESIAN_POINT('',(-2.396932075209E1,1.615362478384E1, +-1.418053537179E1)); +#6101=CARTESIAN_POINT('',(-2.388984792334E1,1.618881113717E1, +-1.412001484406E1)); +#6102=CARTESIAN_POINT('',(-2.383736966023E1,1.62E1,-1.410077E1)); +#6103=CARTESIAN_POINT('',(-2.38E1,1.62E1,-1.410077E1)); +#6105=CARTESIAN_POINT('',(-2.38E1,1.59E1,-1.8E0)); +#6106=DIRECTION('',(0.E0,0.E0,1.E0)); +#6107=DIRECTION('',(0.E0,1.E0,0.E0)); +#6108=AXIS2_PLACEMENT_3D('',#6105,#6106,#6107); +#6110=DIRECTION('',(0.E0,0.E0,1.E0)); +#6111=VECTOR('',#6110,1.281677E1); +#6112=CARTESIAN_POINT('',(-2.41E1,1.59E1,-1.461677E1)); +#6113=LINE('',#6112,#6111); +#6114=DIRECTION('',(0.E0,-5.026204573745E-1,-8.645071866842E-1)); +#6115=VECTOR('',#6114,3.979145636943E-1); +#6116=CARTESIAN_POINT('',(-2.41E1,1.59E1,-1.461677E1)); +#6117=LINE('',#6116,#6115); +#6118=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6119=VECTOR('',#6118,7.E-1); +#6120=CARTESIAN_POINT('',(-1.737617907041E1,-7.64E0,-1.8E0)); +#6121=LINE('',#6120,#6119); +#6122=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6123=VECTOR('',#6122,7.E-1); +#6124=CARTESIAN_POINT('',(-3.442382092959E1,-7.64E0,-1.8E0)); +#6125=LINE('',#6124,#6123); +#6126=DIRECTION('',(1.E0,0.E0,0.E0)); +#6127=VECTOR('',#6126,1.704764185917E1); +#6128=CARTESIAN_POINT('',(-3.442382092959E1,-8.34E0,-1.8E0)); +#6129=LINE('',#6128,#6127); +#6130=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6131=VECTOR('',#6130,7.E-1); +#6132=CARTESIAN_POINT('',(-9.423820929586E0,-7.64E0,-1.8E0)); +#6133=LINE('',#6132,#6131); +#6134=DIRECTION('',(1.E0,0.E0,0.E0)); +#6135=VECTOR('',#6134,1.861882092959E1); +#6136=CARTESIAN_POINT('',(-9.423820929586E0,-8.34E0,-1.8E0)); +#6137=LINE('',#6136,#6135); +#6138=CARTESIAN_POINT('',(9.195E0,-1.64E0,-1.8E0)); +#6139=DIRECTION('',(0.E0,0.E0,1.E0)); +#6140=DIRECTION('',(1.140049911854E-14,-1.E0,0.E0)); +#6141=AXIS2_PLACEMENT_3D('',#6138,#6139,#6140); +#6143=DIRECTION('',(0.E0,1.E0,0.E0)); +#6144=VECTOR('',#6143,5.7E-1); +#6145=CARTESIAN_POINT('',(1.5895E1,-1.64E0,-1.8E0)); +#6146=LINE('',#6145,#6144); +#6147=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6148=VECTOR('',#6147,7.15E-1); +#6149=CARTESIAN_POINT('',(1.5895E1,-1.07E0,-1.8E0)); +#6150=LINE('',#6149,#6148); +#6151=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6152=VECTOR('',#6151,4.729727505641E-1); +#6153=CARTESIAN_POINT('',(-5.31E1,1.59E1,-1.8E0)); +#6154=LINE('',#6153,#6152); +#6155=CARTESIAN_POINT('',(-5.2128753E1,9.E0,-1.8E0)); +#6156=DIRECTION('',(0.E0,0.E0,1.E0)); +#6157=DIRECTION('',(-1.159588344050E-1,9.932540202403E-1,0.E0)); +#6158=AXIS2_PLACEMENT_3D('',#6155,#6156,#6157); +#6160=DIRECTION('',(-4.748563898706E-1,-8.800632982911E-1,0.E0)); +#6161=VECTOR('',#6160,1.152189850399E1); +#6162=CARTESIAN_POINT('',(-5.846520874770E1,1.241896600707E1,-1.8E0)); +#6163=LINE('',#6162,#6161); +#6164=CARTESIAN_POINT('',(-5.760000012806E1,-1.14E0,-1.8E0)); +#6165=DIRECTION('',(0.E0,0.E0,1.E0)); +#6166=DIRECTION('',(-8.800632982911E-1,4.748563898706E-1,0.E0)); +#6167=AXIS2_PLACEMENT_3D('',#6164,#6165,#6166); +#6169=DIRECTION('',(1.E0,0.E0,0.E0)); +#6170=VECTOR('',#6169,1.522382105765E1); +#6171=CARTESIAN_POINT('',(-5.760000012806E1,-8.34E0,-1.8E0)); +#6172=LINE('',#6171,#6170); +#6173=DIRECTION('',(-1.015061051086E-14,-1.E0,0.E0)); +#6174=VECTOR('',#6173,7.E-1); +#6175=CARTESIAN_POINT('',(-4.237617907041E1,-7.64E0,-1.8E0)); +#6176=LINE('',#6175,#6174); +#6177=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6178=VECTOR('',#6177,3.195E0); +#6179=CARTESIAN_POINT('',(9.195E0,1.62E1,-1.8E0)); +#6180=LINE('',#6179,#6178); +#6181=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6182=VECTOR('',#6181,4.E-1); +#6183=CARTESIAN_POINT('',(6.3E0,1.59E1,-1.8E0)); +#6184=LINE('',#6183,#6182); +#6185=DIRECTION('',(1.E0,0.E0,0.E0)); +#6186=VECTOR('',#6185,7.15E-1); +#6187=CARTESIAN_POINT('',(1.518E1,8.93E0,-1.8E0)); +#6188=LINE('',#6187,#6186); +#6189=DIRECTION('',(0.E0,1.E0,0.E0)); +#6190=VECTOR('',#6189,5.7E-1); +#6191=CARTESIAN_POINT('',(1.5895E1,8.93E0,-1.8E0)); +#6192=LINE('',#6191,#6190); +#6193=CARTESIAN_POINT('',(9.195E0,9.5E0,-1.8E0)); +#6194=DIRECTION('',(0.E0,0.E0,1.E0)); +#6195=DIRECTION('',(1.E0,0.E0,0.E0)); +#6196=AXIS2_PLACEMENT_3D('',#6193,#6194,#6195); +#6198=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6199=VECTOR('',#6198,4.E-1); +#6200=CARTESIAN_POINT('',(4.9E0,1.59E1,-1.8E0)); +#6201=LINE('',#6200,#6199); +#6202=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6203=VECTOR('',#6202,9.623820929586E0); +#6204=CARTESIAN_POINT('',(5.2E0,1.62E1,-1.8E0)); +#6205=LINE('',#6204,#6203); +#6206=DIRECTION('',(0.E0,1.E0,0.E0)); +#6207=VECTOR('',#6206,7.E-1); +#6208=CARTESIAN_POINT('',(-4.423820929586E0,1.55E1,-1.8E0)); +#6209=LINE('',#6208,#6207); +#6210=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6211=VECTOR('',#6210,1.062382092959E1); +#6212=CARTESIAN_POINT('',(-1.237617907041E1,1.62E1,-1.8E0)); +#6213=LINE('',#6212,#6211); +#6214=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6215=VECTOR('',#6214,4.E-1); +#6216=CARTESIAN_POINT('',(-2.27E1,1.59E1,-1.8E0)); +#6217=LINE('',#6216,#6215); +#6218=DIRECTION('',(0.E0,1.E0,0.E0)); +#6219=VECTOR('',#6218,7.E-1); +#6220=CARTESIAN_POINT('',(-1.237617907041E1,1.55E1,-1.8E0)); +#6221=LINE('',#6220,#6219); +#6222=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6223=VECTOR('',#6222,4.E-1); +#6224=CARTESIAN_POINT('',(-2.41E1,1.59E1,-1.8E0)); +#6225=LINE('',#6224,#6223); +#6226=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6227=VECTOR('',#6226,1.062382092959E1); +#6228=CARTESIAN_POINT('',(-2.38E1,1.62E1,-1.8E0)); +#6229=LINE('',#6228,#6227); +#6230=DIRECTION('',(0.E0,1.E0,0.E0)); +#6231=VECTOR('',#6230,7.E-1); +#6232=CARTESIAN_POINT('',(-3.442382092959E1,1.55E1,-1.8E0)); +#6233=LINE('',#6232,#6231); +#6234=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6235=VECTOR('',#6234,9.623820929586E0); +#6236=CARTESIAN_POINT('',(-4.237617907041E1,1.62E1,-1.8E0)); +#6237=LINE('',#6236,#6235); +#6238=DIRECTION('',(-1.776356839400E-14,-1.E0,0.E0)); +#6239=VECTOR('',#6238,4.E-1); +#6240=CARTESIAN_POINT('',(-5.17E1,1.59E1,-1.8E0)); +#6241=LINE('',#6240,#6239); +#6242=DIRECTION('',(0.E0,1.E0,0.E0)); +#6243=VECTOR('',#6242,7.E-1); +#6244=CARTESIAN_POINT('',(-4.237617907041E1,1.55E1,-1.8E0)); +#6245=LINE('',#6244,#6243); +#6246=CARTESIAN_POINT('',(-1.34E1,-8.439999076261E0,2.E0)); +#6247=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6248=DIRECTION('',(-9.272727272727E-1,0.E0,-3.743865505813E-1)); +#6249=AXIS2_PLACEMENT_3D('',#6246,#6247,#6248); +#6251=DIRECTION('',(0.E0,1.E0,0.E0)); +#6252=VECTOR('',#6251,8.E-1); +#6253=CARTESIAN_POINT('',(-8.598320437114E0,-8.44E0,1.103671867423E0)); +#6254=LINE('',#6253,#6252); +#6255=DIRECTION('',(2.485059819020E-1,0.E0,-9.686303613654E-1)); +#6256=VECTOR('',#6255,1.139414901126E0); +#6257=CARTESIAN_POINT('',(-8.598320437114E0,-7.64E0,1.103671867423E0)); +#6258=LINE('',#6257,#6256); +#6259=DIRECTION('',(-2.428589314005E-7,-1.E0,9.805403685563E-8)); +#6260=VECTOR('',#6259,4.408738563356E-1); +#6261=CARTESIAN_POINT('',(-8.3E0,-7.999126028197E0,-5.912602819740E-2)); +#6262=LINE('',#6261,#6260); +#6263=DIRECTION('',(-2.485059068870E-1,-9.618597077663E-8,9.686303806108E-1)); +#6264=VECTOR('',#6263,1.200455690496E0); +#6265=CARTESIAN_POINT('',(-8.300000107070E0,-8.439999884533E0, +-5.912598496794E-2)); +#6266=LINE('',#6265,#6264); +#6267=CARTESIAN_POINT('',(-9.050332118510E0,-7.64E0,1.711381477242E0)); +#6268=CARTESIAN_POINT('',(-8.934181600735E0,-7.64E0,1.641299213206E0)); +#6269=CARTESIAN_POINT('',(-8.840280989937E0,-7.613565525550E0, +1.553768356757E0)); +#6270=CARTESIAN_POINT('',(-8.764567522754E0,-7.565523428933E0, +1.451974966247E0)); +#6272=DIRECTION('',(3.653045698927E-1,-7.071067850616E-1,6.054358477455E-1)); +#6273=VECTOR('',#6272,8.485281374239E-1); +#6274=CARTESIAN_POINT('',(-1.267673387182E1,-7.040000046033E0, +3.198701788995E0)); +#6275=LINE('',#6274,#6273); +#6276=DIRECTION('',(-5.888754395796E-1,6.792489730815E-1,-4.380029100676E-1)); +#6277=VECTOR('',#6276,7.736830672691E-1); +#6278=CARTESIAN_POINT('',(-8.764567522754E0,-7.565523428933E0, +1.451974966247E0)); +#6279=LINE('',#6278,#6277); +#6280=DIRECTION('',(1.184237892934E-14,1.E0,0.E0)); +#6281=VECTOR('',#6280,6.E-1); +#6282=CARTESIAN_POINT('',(-9.050332118510E0,-8.24E0,1.711381477242E0)); +#6283=LINE('',#6282,#6281); +#6284=DIRECTION('',(-8.562155917208E-1,0.E0,5.166186799703E-1)); +#6285=VECTOR('',#6284,3.873359179181E0); +#6286=CARTESIAN_POINT('',(-9.050332118510E0,-8.24E0,1.711381477242E0)); +#6287=LINE('',#6286,#6285); +#6288=DIRECTION('',(8.562155982992E-1,1.273353458389E-8,-5.166186690676E-1)); +#6289=VECTOR('',#6288,3.873359179182E0); +#6290=CARTESIAN_POINT('',(-1.236676266554E1,-7.640000049322E0, +3.712431141212E0)); +#6291=LINE('',#6290,#6289); +#6292=CARTESIAN_POINT('',(-8.635450690822E0,-8.447328888010E0, +1.218906932743E0)); +#6293=CARTESIAN_POINT('',(-8.673670817394E0,-8.383269906800E0, +1.316784398836E0)); +#6294=CARTESIAN_POINT('',(-8.779246665211E0,-8.282947320813E0, +1.497655948736E0)); +#6295=CARTESIAN_POINT('',(-8.944964259066E0,-8.24E0,1.647805194605E0)); +#6296=CARTESIAN_POINT('',(-9.050332118510E0,-8.24E0,1.711381477242E0)); +#6298=DIRECTION('',(-5.888754395796E-1,-6.792489730815E-1,-4.380029100676E-1)); +#6299=VECTOR('',#6298,5.780959965369E-1); +#6300=CARTESIAN_POINT('',(-8.635450690822E0,-8.447328888010E0, +1.218906932743E0)); +#6301=LINE('',#6300,#6299); +#6302=CARTESIAN_POINT('',(-1.145381337451E1,-8.84E0,2.460822762838E0)); +#6303=CARTESIAN_POINT('',(-1.151233353032E1,-8.658621154123E0, +2.707970156056E0)); +#6304=CARTESIAN_POINT('',(-1.166531491655E1,-8.443217822808E0, +3.051851271950E0)); +#6305=CARTESIAN_POINT('',(-1.200649857500E1,-8.275258355492E0, +3.453877726386E0)); +#6306=CARTESIAN_POINT('',(-1.223315135034E1,-8.24E0,3.631813534346E0)); +#6307=CARTESIAN_POINT('',(-1.236676264006E1,-8.24E0,3.712431183442E0)); +#6309=CARTESIAN_POINT('',(-8.598320437114E0,-8.44E0,1.103671867423E0)); +#6310=CARTESIAN_POINT('',(-8.608404227765E0,-8.44E0,1.142976618588E0)); +#6311=CARTESIAN_POINT('',(-8.620797541086E0,-8.442460608222E0, +1.181381856424E0)); +#6312=CARTESIAN_POINT('',(-8.635450690822E0,-8.447328888010E0, +1.218906932743E0)); +#6314=CARTESIAN_POINT('',(-8.300000107070E0,-8.439999884533E0, +-5.912598496794E-2)); +#6315=CARTESIAN_POINT('',(-8.348130741355E0,-8.485826534110E0, +-5.593031097781E-2)); +#6316=CARTESIAN_POINT('',(-8.443475249632E0,-8.576557405431E0, +-4.939933812210E-2)); +#6317=CARTESIAN_POINT('',(-8.583727951516E0,-8.709877259519E0, +-3.920562184880E-2)); +#6318=CARTESIAN_POINT('',(-8.675411546823E0,-8.796931130080E0, +-3.215027248768E-2)); +#6319=CARTESIAN_POINT('',(-8.720796452340E0,-8.839999857240E0, +-2.855892927854E-2)); +#6321=CARTESIAN_POINT('',(-1.816454930918E1,-8.447328888010E0, +1.218906932743E0)); +#6322=CARTESIAN_POINT('',(-1.817920245891E1,-8.442460608222E0, +1.181381856424E0)); +#6323=CARTESIAN_POINT('',(-1.819159577224E1,-8.44E0,1.142976618588E0)); +#6324=CARTESIAN_POINT('',(-1.820167956289E1,-8.44E0,1.103671867423E0)); +#6326=DIRECTION('',(5.888754395796E-1,-6.792489730815E-1,-4.380029100676E-1)); +#6327=VECTOR('',#6326,5.780959965369E-1); +#6328=CARTESIAN_POINT('',(-1.816454930918E1,-8.447328888010E0, +1.218906932743E0)); +#6329=LINE('',#6328,#6327); +#6330=CARTESIAN_POINT('',(-1.849999989293E1,-8.439999884533E0, +-5.912598496796E-2)); +#6331=CARTESIAN_POINT('',(-1.845186925865E1,-8.485826534110E0, +-5.593031097783E-2)); +#6332=CARTESIAN_POINT('',(-1.835652475037E1,-8.576557405431E0, +-4.939933812201E-2)); +#6333=CARTESIAN_POINT('',(-1.821627204848E1,-8.709877259519E0, +-3.920562184877E-2)); +#6334=CARTESIAN_POINT('',(-1.812458845318E1,-8.796931130079E0, +-3.215027248763E-2)); +#6335=CARTESIAN_POINT('',(-1.807920354766E1,-8.839999857240E0, +-2.855892927849E-2)); +#6337=CARTESIAN_POINT('',(-1.820167956289E1,-7.64E0,1.103671867423E0)); +#6338=CARTESIAN_POINT('',(-1.816796831218E1,-7.64E0,1.235072088457E0)); +#6339=CARTESIAN_POINT('',(-1.811114594443E1,-7.613565525550E0, +1.350181575736E0)); +#6340=CARTESIAN_POINT('',(-1.803543247725E1,-7.565523428933E0, +1.451974966247E0)); +#6342=DIRECTION('',(7.182831669838E-1,6.957508835982E-1,0.E0)); +#6343=VECTOR('',#6342,8.623776327771E-1); +#6344=CARTESIAN_POINT('',(-1.848483098168E1,-7.64E0,0.E0)); +#6345=LINE('',#6344,#6343); +#6346=DIRECTION('',(5.888754395796E-1,6.792489730815E-1,-4.380029100676E-1)); +#6347=VECTOR('',#6346,7.736830672691E-1); +#6348=CARTESIAN_POINT('',(-1.803543247725E1,-7.565523428933E0, +1.451974966247E0)); +#6349=LINE('',#6348,#6347); +#6350=DIRECTION('',(0.E0,1.E0,1.026956297778E-14)); +#6351=VECTOR('',#6350,8.E-1); +#6352=CARTESIAN_POINT('',(-1.820167956289E1,-8.44E0,1.103671867423E0)); +#6353=LINE('',#6352,#6351); +#6354=DIRECTION('',(-2.485059068873E-1,9.618628300080E-8,-9.686303806107E-1)); +#6355=VECTOR('',#6354,1.200455690496E0); +#6356=CARTESIAN_POINT('',(-1.820167956289E1,-8.44E0,1.103671867423E0)); +#6357=LINE('',#6356,#6355); +#6358=DIRECTION('',(-2.428580288661E-7,1.E0,-9.805398924538E-8)); +#6359=VECTOR('',#6358,4.408738563352E-1); +#6360=CARTESIAN_POINT('',(-1.849999989293E1,-8.439999884533E0, +-5.912598496796E-2)); +#6361=LINE('',#6360,#6359); +#6362=DIRECTION('',(2.485059819020E-1,0.E0,9.686303613654E-1)); +#6363=VECTOR('',#6362,1.139414901126E0); +#6364=CARTESIAN_POINT('',(-1.848483098168E1,-7.64E0,0.E0)); +#6365=LINE('',#6364,#6363); +#6366=DIRECTION('',(-1.784976636950E-1,-6.957508835982E-1,-6.957508835982E-1)); +#6367=VECTOR('',#6366,8.498160705397E-2); +#6368=CARTESIAN_POINT('',(-1.848483098168E1,-7.94E0,0.E0)); +#6369=LINE('',#6368,#6367); +#6370=CARTESIAN_POINT('',(-1.85E1,-7.999126028197E0,-5.912602819740E-2)); +#6371=CARTESIAN_POINT('',(-1.845375013511E1,-8.113676719961E0, +-1.736767199610E-1)); +#6372=CARTESIAN_POINT('',(-1.840338671975E1,-8.227314146086E0, +-2.873141460863E-1)); +#6373=CARTESIAN_POINT('',(-1.834873721266E1,-8.34E0,-4.E-1)); +#6375=CARTESIAN_POINT('',(-3.345126278734E1,-8.34E0,-4.E-1)); +#6376=CARTESIAN_POINT('',(-3.339661328025E1,-8.227314146086E0, +-2.873141460863E-1)); +#6377=CARTESIAN_POINT('',(-3.334624986489E1,-8.113676719961E0, +-1.736767199610E-1)); +#6378=CARTESIAN_POINT('',(-3.33E1,-7.999126028197E0,-5.912602819740E-2)); +#6380=DIRECTION('',(-1.784976636950E-1,6.957508835982E-1,6.957508835982E-1)); +#6381=VECTOR('',#6380,8.498160705398E-2); +#6382=CARTESIAN_POINT('',(-3.33E1,-7.999126028197E0,-5.912602819740E-2)); +#6383=LINE('',#6382,#6381); +#6384=CARTESIAN_POINT('',(-5.760000012806E1,-1.14E0,-2.300129846589E-7)); +#6385=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6386=DIRECTION('',(-2.900174461812E-14,-1.E0,0.E0)); +#6387=AXIS2_PLACEMENT_3D('',#6384,#6385,#6386); +#6389=DIRECTION('',(-1.E0,-6.364734449919E-9,-6.364774027454E-9)); +#6390=VECTOR('',#6389,6.615000012718E1); +#6391=CARTESIAN_POINT('',(8.549999999616E0,-7.019999607121E0, +3.928751161989E-7)); +#6392=LINE('',#6391,#6390); +#6393=CARTESIAN_POINT('',(8.55E0,-6.2E-1,1.016161482070E-6)); +#6394=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6395=DIRECTION('',(1.E0,0.E0,0.E0)); +#6396=AXIS2_PLACEMENT_3D('',#6393,#6394,#6395); +#6398=DIRECTION('',(-1.309819164116E-13,-1.E0,-1.313397904963E-13)); +#6399=VECTOR('',#6398,9.099999999174E0); +#6400=CARTESIAN_POINT('',(1.494999960712E1,8.479999999616E0,3.928751148112E-7)); +#6401=LINE('',#6400,#6399); +#6402=CARTESIAN_POINT('',(8.55E0,8.48E0,1.016161482070E-6)); +#6403=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6404=DIRECTION('',(0.E0,1.E0,0.E0)); +#6405=AXIS2_PLACEMENT_3D('',#6402,#6403,#6404); +#6407=DIRECTION('',(1.E0,1.720319422334E-8,-1.720315814218E-8)); +#6408=VECTOR('',#6407,6.067875299914E1); +#6409=CARTESIAN_POINT('',(-5.212875299958E1,1.487999856325E1, +1.436740101983E-6)); +#6410=LINE('',#6409,#6408); +#6411=CARTESIAN_POINT('',(-5.2128753E1,9.E0,4.061637340236E-6)); +#6412=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6413=DIRECTION('',(-8.800632982911E-1,4.748563898706E-1,0.E0)); +#6414=AXIS2_PLACEMENT_3D('',#6411,#6412,#6413); +#6416=DIRECTION('',(4.748565017623E-1,8.800632379176E-1,1.271397854808E-7)); +#6417=VECTOR('',#6416,1.152189850308E1); +#6418=CARTESIAN_POINT('',(-6.277477234658E1,1.652155586192E0, +-2.815331656691E-8)); +#6419=LINE('',#6418,#6417); +#6420=CARTESIAN_POINT('',(2.43E1,-6.2E-1,1.016161482958E-6)); +#6421=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6422=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6423=AXIS2_PLACEMENT_3D('',#6420,#6421,#6422); +#6425=DIRECTION('',(-1.E0,4.240539240185E-14,-4.243431473112E-14)); +#6426=VECTOR('',#6425,2.814999999917E1); +#6427=CARTESIAN_POINT('',(5.244999999962E1,-7.019999607121E0, +3.928751114435E-7)); +#6428=LINE('',#6427,#6426); +#6429=CARTESIAN_POINT('',(5.245E1,-6.2E-1,1.016161482070E-6)); +#6430=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6431=DIRECTION('',(1.E0,0.E0,0.E0)); +#6432=AXIS2_PLACEMENT_3D('',#6429,#6430,#6431); +#6434=DIRECTION('',(-1.303963042667E-13,-1.E0,-1.317952666109E-13)); +#6435=VECTOR('',#6434,9.099999999174E0); +#6436=CARTESIAN_POINT('',(5.884999960712E1,8.479999999616E0,3.928751176237E-7)); +#6437=LINE('',#6436,#6435); +#6438=CARTESIAN_POINT('',(5.245E1,8.48E0,1.016161483847E-6)); +#6439=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6440=DIRECTION('',(1.332267908723E-14,1.E0,0.E0)); +#6441=AXIS2_PLACEMENT_3D('',#6438,#6439,#6440); +#6443=DIRECTION('',(1.E0,-4.208987608933E-14,-4.275246034561E-14)); +#6444=VECTOR('',#6443,2.814999999917E1); +#6445=CARTESIAN_POINT('',(2.430000000038E1,1.487999960712E1,3.928751147741E-7)); +#6446=LINE('',#6445,#6444); +#6447=CARTESIAN_POINT('',(2.43E1,8.48E0,1.016161482070E-6)); +#6448=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6449=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6450=AXIS2_PLACEMENT_3D('',#6447,#6448,#6449); +#6452=DIRECTION('',(1.311771204599E-13,1.E0,-1.315675285565E-13)); +#6453=VECTOR('',#6452,9.099999999174E0); +#6454=CARTESIAN_POINT('',(1.790000039288E1,-6.199999996164E-1, +3.928751155513E-7)); +#6455=LINE('',#6454,#6453); +#6456=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6457=VECTOR('',#6456,8.930799288953E0); +#6458=CARTESIAN_POINT('',(-3.393460035552E1,1.49E1,0.E0)); +#6459=LINE('',#6458,#6457); +#6460=DIRECTION('',(2.368475785867E-14,-1.E0,0.E0)); +#6461=VECTOR('',#6460,3.E-1); +#6462=CARTESIAN_POINT('',(-3.331516901832E1,1.58E1,0.E0)); +#6463=LINE('',#6462,#6461); +#6464=DIRECTION('',(1.E0,0.E0,0.E0)); +#6465=VECTOR('',#6464,1.983033803663E1); +#6466=CARTESIAN_POINT('',(-3.331516901832E1,1.58E1,0.E0)); +#6467=LINE('',#6466,#6465); +#6468=DIRECTION('',(1.776356839400E-14,-1.E0,0.E0)); +#6469=VECTOR('',#6468,3.E-1); +#6470=CARTESIAN_POINT('',(-1.348483098168E1,1.58E1,0.E0)); +#6471=LINE('',#6470,#6469); +#6472=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6473=VECTOR('',#6472,8.930799288953E0); +#6474=CARTESIAN_POINT('',(-3.934600355523E0,1.49E1,0.E0)); +#6475=LINE('',#6474,#6473); +#6476=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6477=VECTOR('',#6476,3.E-1); +#6478=CARTESIAN_POINT('',(-3.315169018316E0,1.58E1,0.E0)); +#6479=LINE('',#6478,#6477); +#6480=DIRECTION('',(1.E0,-1.461527584257E-8,-1.461523910705E-8)); +#6481=VECTOR('',#6480,1.251016901826E1); +#6482=CARTESIAN_POINT('',(-3.315169018316E0,1.58E1,0.E0)); +#6483=LINE('',#6482,#6481); +#6484=CARTESIAN_POINT('',(9.195E0,9.5E0,-4.977180827481E-7)); +#6485=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6486=DIRECTION('',(0.E0,1.E0,0.E0)); +#6487=AXIS2_PLACEMENT_3D('',#6484,#6485,#6486); +#6489=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6490=VECTOR('',#6489,1.113999999989E1); +#6491=CARTESIAN_POINT('',(1.549499981716E1,9.499999999941E0, +-1.828390719568E-7)); +#6492=LINE('',#6491,#6490); +#6493=CARTESIAN_POINT('',(9.195E0,-1.64E0,-4.977180747545E-7)); +#6494=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6495=DIRECTION('',(1.E0,0.E0,0.E0)); +#6496=AXIS2_PLACEMENT_3D('',#6493,#6494,#6495); +#6498=DIRECTION('',(-1.E0,-1.044190976108E-8,1.044187987155E-8)); +#6499=VECTOR('',#6498,1.751016901826E1); +#6500=CARTESIAN_POINT('',(9.194999999941E0,-7.939999817160E0, +-1.828390815880E-7)); +#6501=LINE('',#6500,#6499); +#6502=DIRECTION('',(0.E0,1.E0,0.E0)); +#6503=VECTOR('',#6502,3.E-1); +#6504=CARTESIAN_POINT('',(-8.315169018316E0,-7.94E0,0.E0)); +#6505=LINE('',#6504,#6503); +#6506=DIRECTION('',(1.E0,0.E0,0.E0)); +#6507=VECTOR('',#6506,8.930799288953E0); +#6508=CARTESIAN_POINT('',(-1.786539964448E1,-7.04E0,0.E0)); +#6509=LINE('',#6508,#6507); +#6510=DIRECTION('',(0.E0,1.E0,0.E0)); +#6511=VECTOR('',#6510,3.E-1); +#6512=CARTESIAN_POINT('',(-1.848483098168E1,-7.94E0,0.E0)); +#6513=LINE('',#6512,#6511); +#6514=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6515=VECTOR('',#6514,1.483033803663E1); +#6516=CARTESIAN_POINT('',(-1.848483098168E1,-7.94E0,0.E0)); +#6517=LINE('',#6516,#6515); +#6518=DIRECTION('',(2.368475785867E-14,1.E0,0.E0)); +#6519=VECTOR('',#6518,3.E-1); +#6520=CARTESIAN_POINT('',(-3.331516901832E1,-7.94E0,0.E0)); +#6521=LINE('',#6520,#6519); +#6522=DIRECTION('',(1.E0,0.E0,0.E0)); +#6523=VECTOR('',#6522,8.930799288953E0); +#6524=CARTESIAN_POINT('',(-4.286539964448E1,-7.04E0,0.E0)); +#6525=LINE('',#6524,#6523); +#6526=DIRECTION('',(0.E0,1.E0,0.E0)); +#6527=VECTOR('',#6526,3.E-1); +#6528=CARTESIAN_POINT('',(-4.348483098168E1,-7.94E0,0.E0)); +#6529=LINE('',#6528,#6527); +#6530=DIRECTION('',(-1.E0,3.414533864766E-9,-3.414509582123E-9)); +#6531=VECTOR('',#6530,1.411516914632E1); +#6532=CARTESIAN_POINT('',(-4.348483098168E1,-7.94E0,0.E0)); +#6533=LINE('',#6532,#6531); +#6534=CARTESIAN_POINT('',(-5.760000012806E1,-1.14E0,-1.042512112193E-7)); +#6535=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6536=DIRECTION('',(2.612289540748E-14,-1.E0,0.E0)); +#6537=AXIS2_PLACEMENT_3D('',#6534,#6535,#6536); +#6539=DIRECTION('',(4.748564282723E-1,8.800632775707E-1,-4.363518382308E-8)); +#6540=VECTOR('',#6539,1.152189850389E1); +#6541=CARTESIAN_POINT('',(-6.358443051400E1,2.089023428287E0, +-4.819633074119E-8)); +#6542=LINE('',#6541,#6540); +#6543=CARTESIAN_POINT('',(-5.2128753E1,9.E0,-1.597076922266E-6)); +#6544=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6545=DIRECTION('',(-8.800632982911E-1,4.748563898706E-1,0.E0)); +#6546=AXIS2_PLACEMENT_3D('',#6543,#6544,#6545); +#6548=DIRECTION('',(1.E0,6.373926306115E-8,6.373917305193E-8)); +#6549=VECTOR('',#6548,8.643922018274E0); +#6550=CARTESIAN_POINT('',(-5.212875299996E1,1.579999944904E1, +-5.509564412198E-7)); +#6551=LINE('',#6550,#6549); +#6552=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6553=VECTOR('',#6552,3.E-1); +#6554=CARTESIAN_POINT('',(-4.348483098168E1,1.58E1,0.E0)); +#6555=LINE('',#6554,#6553); +#6556=CARTESIAN_POINT('',(5.895E1,-7.54E0,0.E0)); +#6557=DIRECTION('',(0.E0,0.E0,1.E0)); +#6558=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6559=AXIS2_PLACEMENT_3D('',#6556,#6557,#6558); +#6561=DIRECTION('',(-2.030122102172E-14,1.E0,0.E0)); +#6562=VECTOR('',#6561,7.E-1); +#6563=CARTESIAN_POINT('',(5.885E1,-8.34E0,0.E0)); +#6564=LINE('',#6563,#6562); +#6565=DIRECTION('',(1.E0,0.E0,0.E0)); +#6566=VECTOR('',#6565,7.865399644477E0); +#6567=CARTESIAN_POINT('',(5.038460035552E1,-7.04E0,0.E0)); +#6568=LINE('',#6567,#6566); +#6569=DIRECTION('',(-4.736951571734E-14,1.E0,0.E0)); +#6570=VECTOR('',#6569,3.E-1); +#6571=CARTESIAN_POINT('',(4.976516901832E1,-7.94E0,0.E0)); +#6572=LINE('',#6571,#6570); +#6573=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6574=VECTOR('',#6573,1.213033803663E1); +#6575=CARTESIAN_POINT('',(4.976516901832E1,-7.94E0,0.E0)); +#6576=LINE('',#6575,#6574); +#6577=DIRECTION('',(-2.368475785867E-14,1.E0,0.E0)); +#6578=VECTOR('',#6577,3.E-1); +#6579=CARTESIAN_POINT('',(3.763483098168E1,-7.94E0,0.E0)); +#6580=LINE('',#6579,#6578); +#6581=DIRECTION('',(1.E0,0.E0,0.E0)); +#6582=VECTOR('',#6581,8.930799288953E0); +#6583=CARTESIAN_POINT('',(2.808460035552E1,-7.04E0,0.E0)); +#6584=LINE('',#6583,#6582); +#6585=DIRECTION('',(0.E0,1.E0,0.E0)); +#6586=VECTOR('',#6585,3.E-1); +#6587=CARTESIAN_POINT('',(2.746516901832E1,-7.94E0,0.E0)); +#6588=LINE('',#6587,#6586); +#6589=DIRECTION('',(-1.E0,4.792436960872E-8,-4.792424870696E-8)); +#6590=VECTOR('',#6589,3.815169018260E0); +#6591=CARTESIAN_POINT('',(2.746516901832E1,-7.94E0,0.E0)); +#6592=LINE('',#6591,#6590); +#6593=CARTESIAN_POINT('',(2.365E1,-1.64E0,-4.977180738663E-7)); +#6594=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6595=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6596=AXIS2_PLACEMENT_3D('',#6593,#6594,#6595); +#6598=DIRECTION('',(0.E0,1.E0,0.E0)); +#6599=VECTOR('',#6598,1.113999999989E1); +#6600=CARTESIAN_POINT('',(1.735000018284E1,-1.639999999941E0, +-1.828390780908E-7)); +#6601=LINE('',#6600,#6599); +#6602=CARTESIAN_POINT('',(2.365E1,9.5E0,-4.977180774191E-7)); +#6603=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6604=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6605=AXIS2_PLACEMENT_3D('',#6602,#6603,#6604); +#6607=DIRECTION('',(1.E0,4.792437589441E-8,4.792424040676E-8)); +#6608=VECTOR('',#6607,3.815169018257E0); +#6609=CARTESIAN_POINT('',(2.365000000006E1,1.579999981716E1, +-1.828390770638E-7)); +#6610=LINE('',#6609,#6608); +#6611=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6612=VECTOR('',#6611,3.E-1); +#6613=CARTESIAN_POINT('',(2.746516901832E1,1.58E1,0.E0)); +#6614=LINE('',#6613,#6612); +#6615=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6616=VECTOR('',#6615,8.930799288953E0); +#6617=CARTESIAN_POINT('',(3.701539964448E1,1.49E1,0.E0)); +#6618=LINE('',#6617,#6616); +#6619=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6620=VECTOR('',#6619,3.E-1); +#6621=CARTESIAN_POINT('',(3.763483098168E1,1.58E1,0.E0)); +#6622=LINE('',#6621,#6620); +#6623=DIRECTION('',(1.E0,0.E0,0.E0)); +#6624=VECTOR('',#6623,1.213033803663E1); +#6625=CARTESIAN_POINT('',(3.763483098168E1,1.58E1,0.E0)); +#6626=LINE('',#6625,#6624); +#6627=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6628=VECTOR('',#6627,3.E-1); +#6629=CARTESIAN_POINT('',(4.976516901832E1,1.58E1,0.E0)); +#6630=LINE('',#6629,#6628); +#6631=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6632=VECTOR('',#6631,7.865399644477E0); +#6633=CARTESIAN_POINT('',(5.825E1,1.49E1,0.E0)); +#6634=LINE('',#6633,#6632); +#6635=DIRECTION('',(-2.030122102172E-14,-1.E0,0.E0)); +#6636=VECTOR('',#6635,7.E-1); +#6637=CARTESIAN_POINT('',(5.885E1,1.62E1,0.E0)); +#6638=LINE('',#6637,#6636); +#6639=CARTESIAN_POINT('',(5.895E1,1.54E1,0.E0)); +#6640=DIRECTION('',(0.E0,0.E0,1.E0)); +#6641=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#6642=AXIS2_PLACEMENT_3D('',#6639,#6640,#6641); +#6644=DIRECTION('',(0.E0,1.E0,0.E0)); +#6645=VECTOR('',#6644,2.432564064606E1); +#6646=CARTESIAN_POINT('',(5.935E1,-8.232820323028E0,0.E0)); +#6647=LINE('',#6646,#6645); +#6648=DIRECTION('',(-1.910572241994E-10,7.071067920198E-1,-7.071067703533E-1)); +#6649=VECTOR('',#6648,8.485281374224E-1); +#6650=CARTESIAN_POINT('',(-5.760000012757E1,-7.020000028148E0, +-2.815468652662E-8)); +#6651=LINE('',#6650,#6649); +#6652=DIRECTION('',(6.222987355489E-1,-3.357741786600E-1,-7.071067703533E-1)); +#6653=VECTOR('',#6652,8.485281374230E-1); +#6654=CARTESIAN_POINT('',(-6.277477234658E1,1.652155586192E0, +-2.815331656691E-8)); +#6655=LINE('',#6654,#6653); +#6656=DIRECTION('',(6.222982396051E-1,-3.357739106643E-1,-7.071073340743E-1)); +#6657=VECTOR('',#6656,8.485281374214E-1); +#6658=CARTESIAN_POINT('',(-5.730352392975E1,1.179215488977E1, +1.436738387447E-6)); +#6659=LINE('',#6658,#6657); +#6660=DIRECTION('',(-1.607272248108E-10,-7.071062282984E-1,-7.071073340743E-1)); +#6661=VECTOR('',#6660,8.485281374222E-1); +#6662=CARTESIAN_POINT('',(-5.212875299958E1,1.487999856325E1, +1.436740101983E-6)); +#6663=LINE('',#6662,#6661); +#6664=DIRECTION('',(1.669845666228E-10,-7.071066328787E-1,-7.071069294944E-1)); +#6665=VECTOR('',#6664,8.485281374225E-1); +#6666=CARTESIAN_POINT('',(8.549999999558E0,1.487999960712E1,3.928739182683E-7)); +#6667=LINE('',#6666,#6665); +#6668=DIRECTION('',(-7.071066328787E-1,1.448211414759E-10,-7.071069294944E-1)); +#6669=VECTOR('',#6668,8.485281374231E-1); +#6670=CARTESIAN_POINT('',(1.494999960712E1,8.479999999616E0,3.928751148112E-7)); +#6671=LINE('',#6670,#6669); +#6672=DIRECTION('',(-7.071066328787E-1,-1.669848283049E-10,-7.071069294944E-1)); +#6673=VECTOR('',#6672,8.485281374225E-1); +#6674=CARTESIAN_POINT('',(1.494999960712E1,-6.199999995576E-1, +3.928739196191E-7)); +#6675=LINE('',#6674,#6673); +#6676=DIRECTION('',(1.448190480193E-10,7.071066328787E-1,-7.071069294944E-1)); +#6677=VECTOR('',#6676,8.485281374231E-1); +#6678=CARTESIAN_POINT('',(8.549999999616E0,-7.019999607121E0, +3.928751161989E-7)); +#6679=LINE('',#6678,#6677); +#6680=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6681=VECTOR('',#6680,1.5E0); +#6682=CARTESIAN_POINT('',(5.35E0,-6.42E0,-6.95E0)); +#6683=LINE('',#6682,#6681); +#6684=DIRECTION('',(0.E0,0.E0,1.E0)); +#6685=VECTOR('',#6684,8.3E0); +#6686=CARTESIAN_POINT('',(5.35E0,-6.42E0,-1.525E1)); +#6687=LINE('',#6686,#6685); +#6688=DIRECTION('',(1.E0,0.E0,0.E0)); +#6689=VECTOR('',#6688,3.2E0); +#6690=CARTESIAN_POINT('',(5.35E0,-6.42E0,-1.525E1)); +#6691=LINE('',#6690,#6689); +#6692=DIRECTION('',(-1.E0,-4.323384474035E-9,-4.323410810573E-9)); +#6693=VECTOR('',#6692,6.615000012747E1); +#6694=CARTESIAN_POINT('',(8.549999999739E0,-6.419999732965E0, +-5.999997329677E-1)); +#6695=LINE('',#6694,#6693); +#6696=DIRECTION('',(-2.282369972879E-11,1.294003449868E-9,-1.E0)); +#6697=VECTOR('',#6696,1.464999998104E1); +#6698=CARTESIAN_POINT('',(-5.760000012773E1,-6.420000018957E0, +-6.000000189613E-1)); +#6699=LINE('',#6698,#6697); +#6700=DIRECTION('',(1.E0,0.E0,0.E0)); +#6701=VECTOR('',#6700,6.250000128062E0); +#6702=CARTESIAN_POINT('',(-5.760000012806E1,-6.42E0,-1.525E1)); +#6703=LINE('',#6702,#6701); +#6704=DIRECTION('',(0.E0,0.E0,1.E0)); +#6705=VECTOR('',#6704,8.3E0); +#6706=CARTESIAN_POINT('',(-5.135E1,-6.42E0,-1.525E1)); +#6707=LINE('',#6706,#6705); +#6708=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6709=VECTOR('',#6708,1.5E0); +#6710=CARTESIAN_POINT('',(-4.985E1,-6.42E0,-6.95E0)); +#6711=LINE('',#6710,#6709); +#6712=DIRECTION('',(0.E0,0.E0,1.E0)); +#6713=VECTOR('',#6712,8.3E0); +#6714=CARTESIAN_POINT('',(-4.985E1,-6.42E0,-1.525E1)); +#6715=LINE('',#6714,#6713); +#6716=DIRECTION('',(1.E0,0.E0,0.E0)); +#6717=VECTOR('',#6716,5.37E1); +#6718=CARTESIAN_POINT('',(-4.985E1,-6.42E0,-1.525E1)); +#6719=LINE('',#6718,#6717); +#6720=DIRECTION('',(0.E0,0.E0,1.E0)); +#6721=VECTOR('',#6720,8.3E0); +#6722=CARTESIAN_POINT('',(3.85E0,-6.42E0,-1.525E1)); +#6723=LINE('',#6722,#6721); +#6724=DIRECTION('',(0.E0,-6.525231353599E-1,7.577688023534E-1)); +#6725=VECTOR('',#6724,2.375394704044E0); +#6726=CARTESIAN_POINT('',(3.85E0,-4.87E0,-8.75E0)); +#6727=LINE('',#6726,#6725); +#6728=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6729=VECTOR('',#6728,9.198148148148E0); +#6730=CARTESIAN_POINT('',(3.85E0,-4.87E0,-8.75E0)); +#6731=LINE('',#6730,#6729); +#6732=DIRECTION('',(0.E0,4.981244605683E-1,-8.671055424708E-1)); +#6733=VECTOR('',#6732,3.111672127547E0); +#6734=CARTESIAN_POINT('',(2.67E1,-6.42E0,-1.525E1)); +#6735=LINE('',#6734,#6733); +#6736=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6737=VECTOR('',#6736,1.5E0); +#6738=CARTESIAN_POINT('',(2.67E1,-4.87E0,-1.794814814815E1)); +#6739=LINE('',#6738,#6737); +#6740=DIRECTION('',(0.E0,4.981244605683E-1,-8.671055424708E-1)); +#6741=VECTOR('',#6740,3.111672127547E0); +#6742=CARTESIAN_POINT('',(2.52E1,-6.42E0,-1.525E1)); +#6743=LINE('',#6742,#6741); +#6744=DIRECTION('',(0.E0,4.981244605683E-1,-8.671055424708E-1)); +#6745=VECTOR('',#6744,3.111672127547E0); +#6746=CARTESIAN_POINT('',(5.17E1,-6.42E0,-1.525E1)); +#6747=LINE('',#6746,#6745); +#6748=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6749=VECTOR('',#6748,1.5E0); +#6750=CARTESIAN_POINT('',(5.17E1,-4.87E0,-1.794814814815E1)); +#6751=LINE('',#6750,#6749); +#6752=DIRECTION('',(0.E0,4.981244605683E-1,-8.671055424708E-1)); +#6753=VECTOR('',#6752,3.111672127547E0); +#6754=CARTESIAN_POINT('',(5.02E1,-6.42E0,-1.525E1)); +#6755=LINE('',#6754,#6753); +#6756=DIRECTION('',(0.E0,4.981244605683E-1,-8.671055424708E-1)); +#6757=VECTOR('',#6756,3.111672127547E0); +#6758=CARTESIAN_POINT('',(5.35E0,-6.42E0,-1.525E1)); +#6759=LINE('',#6758,#6757); +#6760=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6761=VECTOR('',#6760,1.5E0); +#6762=CARTESIAN_POINT('',(5.35E0,-4.87E0,-1.794814814815E1)); +#6763=LINE('',#6762,#6761); +#6764=DIRECTION('',(0.E0,4.981244605683E-1,-8.671055424708E-1)); +#6765=VECTOR('',#6764,3.111672127547E0); +#6766=CARTESIAN_POINT('',(3.85E0,-6.42E0,-1.525E1)); +#6767=LINE('',#6766,#6765); +#6768=DIRECTION('',(0.E0,4.981244605683E-1,-8.671055424708E-1)); +#6769=VECTOR('',#6768,3.111672127547E0); +#6770=CARTESIAN_POINT('',(-4.985E1,-6.42E0,-1.525E1)); +#6771=LINE('',#6770,#6769); +#6772=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6773=VECTOR('',#6772,1.5E0); +#6774=CARTESIAN_POINT('',(-4.985E1,-4.87E0,-1.794814814815E1)); +#6775=LINE('',#6774,#6773); +#6776=DIRECTION('',(0.E0,4.981244605683E-1,-8.671055424708E-1)); +#6777=VECTOR('',#6776,3.111672127547E0); +#6778=CARTESIAN_POINT('',(-5.135E1,-6.42E0,-1.525E1)); +#6779=LINE('',#6778,#6777); +#6780=DIRECTION('',(0.E0,-6.525231353599E-1,7.577688023534E-1)); +#6781=VECTOR('',#6780,2.375394704044E0); +#6782=CARTESIAN_POINT('',(2.67E1,-4.87E0,-8.75E0)); +#6783=LINE('',#6782,#6781); +#6784=DIRECTION('',(0.E0,0.E0,1.E0)); +#6785=VECTOR('',#6784,8.3E0); +#6786=CARTESIAN_POINT('',(2.52E1,-6.42E0,-1.525E1)); +#6787=LINE('',#6786,#6785); +#6788=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6789=VECTOR('',#6788,1.5E0); +#6790=CARTESIAN_POINT('',(2.67E1,-6.42E0,-6.95E0)); +#6791=LINE('',#6790,#6789); +#6792=DIRECTION('',(0.E0,0.E0,1.E0)); +#6793=VECTOR('',#6792,8.3E0); +#6794=CARTESIAN_POINT('',(2.67E1,-6.42E0,-1.525E1)); +#6795=LINE('',#6794,#6793); +#6796=DIRECTION('',(1.E0,0.E0,0.E0)); +#6797=VECTOR('',#6796,2.35E1); +#6798=CARTESIAN_POINT('',(2.67E1,-6.42E0,-1.525E1)); +#6799=LINE('',#6798,#6797); +#6800=DIRECTION('',(0.E0,0.E0,1.E0)); +#6801=VECTOR('',#6800,8.3E0); +#6802=CARTESIAN_POINT('',(5.02E1,-6.42E0,-1.525E1)); +#6803=LINE('',#6802,#6801); +#6804=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6805=VECTOR('',#6804,1.5E0); +#6806=CARTESIAN_POINT('',(5.17E1,-6.42E0,-6.95E0)); +#6807=LINE('',#6806,#6805); +#6808=DIRECTION('',(0.E0,0.E0,1.E0)); +#6809=VECTOR('',#6808,8.3E0); +#6810=CARTESIAN_POINT('',(5.17E1,-6.42E0,-1.525E1)); +#6811=LINE('',#6810,#6809); +#6812=DIRECTION('',(1.E0,0.E0,0.E0)); +#6813=VECTOR('',#6812,7.5E-1); +#6814=CARTESIAN_POINT('',(5.17E1,-6.42E0,-1.525E1)); +#6815=LINE('',#6814,#6813); +#6816=DIRECTION('',(-1.E0,2.886974259474E-14,-2.882241514786E-14)); +#6817=VECTOR('',#6816,2.814999999944E1); +#6818=CARTESIAN_POINT('',(5.244999999974E1,-6.419999732965E0, +-5.999997329677E-1)); +#6819=LINE('',#6818,#6817); +#6820=DIRECTION('',(-2.052255938674E-11,-1.822768184519E-8,-1.E0)); +#6821=VECTOR('',#6820,1.465000026703E1); +#6822=CARTESIAN_POINT('',(2.430000000030E1,-6.419999732964E0, +-5.999997329685E-1)); +#6823=LINE('',#6822,#6821); +#6824=DIRECTION('',(1.E0,0.E0,0.E0)); +#6825=VECTOR('',#6824,9.E-1); +#6826=CARTESIAN_POINT('',(2.43E1,-6.42E0,-1.525E1)); +#6827=LINE('',#6826,#6825); +#6828=DIRECTION('',(0.E0,-6.525231353599E-1,7.577688023534E-1)); +#6829=VECTOR('',#6828,2.375394704044E0); +#6830=CARTESIAN_POINT('',(2.52E1,-4.87E0,-8.75E0)); +#6831=LINE('',#6830,#6829); +#6832=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6833=VECTOR('',#6832,9.198148148148E0); +#6834=CARTESIAN_POINT('',(2.52E1,-4.87E0,-8.75E0)); +#6835=LINE('',#6834,#6833); +#6836=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6837=VECTOR('',#6836,9.198148148148E0); +#6838=CARTESIAN_POINT('',(2.67E1,-4.87E0,-8.75E0)); +#6839=LINE('',#6838,#6837); +#6840=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6841=VECTOR('',#6840,1.5E0); +#6842=CARTESIAN_POINT('',(2.67E1,-4.87E0,-8.75E0)); +#6843=LINE('',#6842,#6841); +#6844=DIRECTION('',(0.E0,-6.525231353599E-1,7.577688023534E-1)); +#6845=VECTOR('',#6844,2.375394704044E0); +#6846=CARTESIAN_POINT('',(5.02E1,-4.87E0,-8.75E0)); +#6847=LINE('',#6846,#6845); +#6848=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6849=VECTOR('',#6848,9.198148148148E0); +#6850=CARTESIAN_POINT('',(5.02E1,-4.87E0,-8.75E0)); +#6851=LINE('',#6850,#6849); +#6852=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6853=VECTOR('',#6852,1.5E0); +#6854=CARTESIAN_POINT('',(5.17E1,-4.87E0,-8.75E0)); +#6855=LINE('',#6854,#6853); +#6856=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6857=VECTOR('',#6856,9.198148148148E0); +#6858=CARTESIAN_POINT('',(5.17E1,-4.87E0,-8.75E0)); +#6859=LINE('',#6858,#6857); +#6860=DIRECTION('',(0.E0,-6.525231353599E-1,7.577688023534E-1)); +#6861=VECTOR('',#6860,2.375394704044E0); +#6862=CARTESIAN_POINT('',(5.17E1,-4.87E0,-8.75E0)); +#6863=LINE('',#6862,#6861); +#6864=CARTESIAN_POINT('',(5.245E1,-6.2E-1,-5.999997052193E-1)); +#6865=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6866=DIRECTION('',(1.E0,0.E0,0.E0)); +#6867=AXIS2_PLACEMENT_3D('',#6864,#6865,#6866); +#6869=DIRECTION('',(1.779897395333E-11,-1.822762655381E-8,-1.E0)); +#6870=VECTOR('',#6869,1.465000026703E1); +#6871=CARTESIAN_POINT('',(5.244999999974E1,-6.419999732965E0, +-5.999997329677E-1)); +#6872=LINE('',#6871,#6870); +#6873=CARTESIAN_POINT('',(5.245E1,-6.42E0,-1.525E1)); +#6874=CARTESIAN_POINT('',(5.267246495734E1,-6.42E0,-1.525E1)); +#6875=CARTESIAN_POINT('',(5.308854639357E1,-6.394908892121E0, +-1.529367711372E1)); +#6876=CARTESIAN_POINT('',(5.383768711022E1,-6.272517742635E0, +-1.550672837393E1)); +#6877=CARTESIAN_POINT('',(5.465339568293E1,-6.014534312469E0, +-1.595581064126E1)); +#6878=CARTESIAN_POINT('',(5.549437835886E1,-5.585043154304E0, +-1.670344339806E1)); +#6879=CARTESIAN_POINT('',(5.597883080380E1,-5.232438061525E0, +-1.731723744846E1)); +#6880=CARTESIAN_POINT('',(5.620397299723E1,-5.041276595745E0,-1.765E1)); +#6882=DIRECTION('',(-7.071066328787E-1,-1.669839124176E-10,-7.071069294944E-1)); +#6883=VECTOR('',#6882,8.485281374225E-1); +#6884=CARTESIAN_POINT('',(5.884999960712E1,-6.199999995577E-1, +3.928739182868E-7)); +#6885=LINE('',#6884,#6883); +#6886=DIRECTION('',(1.448253283891E-10,7.071066328787E-1,-7.071069294944E-1)); +#6887=VECTOR('',#6886,8.485281374231E-1); +#6888=CARTESIAN_POINT('',(5.244999999962E1,-7.019999607121E0, +3.928751114435E-7)); +#6889=LINE('',#6888,#6887); +#6890=DIRECTION('',(-1.669740993398E-10,7.071066328787E-1,-7.071069294944E-1)); +#6891=VECTOR('',#6890,8.485281374225E-1); +#6892=CARTESIAN_POINT('',(2.430000000044E1,-7.019999607120E0, +3.928739169175E-7)); +#6893=LINE('',#6892,#6891); +#6894=DIRECTION('',(7.071066328787E-1,-1.448187863372E-10,-7.071069294944E-1)); +#6895=VECTOR('',#6894,8.485281374231E-1); +#6896=CARTESIAN_POINT('',(1.790000039288E1,-6.199999996164E-1, +3.928751155513E-7)); +#6897=LINE('',#6896,#6895); +#6898=DIRECTION('',(7.071066328787E-1,1.669845666228E-10,-7.071069294944E-1)); +#6899=VECTOR('',#6898,8.485281374225E-1); +#6900=CARTESIAN_POINT('',(1.790000039288E1,8.479999999558E0,3.928739182868E-7)); +#6901=LINE('',#6900,#6899); +#6902=DIRECTION('',(-1.448127676495E-10,-7.071066328787E-1,-7.071069294944E-1)); +#6903=VECTOR('',#6902,8.485281374231E-1); +#6904=CARTESIAN_POINT('',(2.430000000038E1,1.487999960712E1,3.928751147741E-7)); +#6905=LINE('',#6904,#6903); +#6906=DIRECTION('',(1.669908469926E-10,-7.071066328787E-1,-7.071069294944E-1)); +#6907=VECTOR('',#6906,8.485281374225E-1); +#6908=CARTESIAN_POINT('',(5.244999999956E1,1.487999960712E1,3.928739112924E-7)); +#6909=LINE('',#6908,#6907); +#6910=DIRECTION('',(-7.071066328787E-1,1.448211414759E-10,-7.071069294944E-1)); +#6911=VECTOR('',#6910,8.485281374231E-1); +#6912=CARTESIAN_POINT('',(5.884999960712E1,8.479999999616E0,3.928751176237E-7)); +#6913=LINE('',#6912,#6911); +#6914=DIRECTION('',(0.E0,0.E0,1.E0)); +#6915=VECTOR('',#6914,1.07E1); +#6916=CARTESIAN_POINT('',(5.825E1,3.03E0,-1.765E1)); +#6917=LINE('',#6916,#6915); +#6918=DIRECTION('',(0.E0,1.E0,0.E0)); +#6919=VECTOR('',#6918,1.4E0); +#6920=CARTESIAN_POINT('',(5.825E1,3.23E0,-6.75E0)); +#6921=LINE('',#6920,#6919); +#6922=DIRECTION('',(0.E0,0.E0,1.E0)); +#6923=VECTOR('',#6922,1.07E1); +#6924=CARTESIAN_POINT('',(5.825E1,4.83E0,-1.765E1)); +#6925=LINE('',#6924,#6923); +#6926=DIRECTION('',(-1.566186108357E-8,-1.529333820362E-11,1.E0)); +#6927=VECTOR('',#6926,1.705000026703E1); +#6928=CARTESIAN_POINT('',(5.825E1,8.48E0,-1.765E1)); +#6929=LINE('',#6928,#6927); +#6930=DIRECTION('',(-8.901304602379E-14,-1.E0,-8.954985715661E-14)); +#6931=VECTOR('',#6930,9.099999999439E0); +#6932=CARTESIAN_POINT('',(5.824999973297E1,8.479999999739E0, +-5.999997329677E-1)); +#6933=LINE('',#6932,#6931); +#6934=DIRECTION('',(1.566190859200E-8,-1.763401388147E-11,-1.E0)); +#6935=VECTOR('',#6934,1.705000026703E1); +#6936=CARTESIAN_POINT('',(5.824999973296E1,-6.199999996993E-1, +-5.999997329685E-1)); +#6937=LINE('',#6936,#6935); +#6938=DIRECTION('',(1.E0,0.E0,0.E0)); +#6939=VECTOR('',#6938,1.2E0); +#6940=CARTESIAN_POINT('',(5.705E1,3.03E0,-6.95E0)); +#6941=LINE('',#6940,#6939); +#6942=DIRECTION('',(0.E0,0.E0,1.E0)); +#6943=VECTOR('',#6942,1.07E1); +#6944=CARTESIAN_POINT('',(5.705E1,3.03E0,-1.765E1)); +#6945=LINE('',#6944,#6943); +#6946=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#6947=VECTOR('',#6946,2.828427124746E-1); +#6948=CARTESIAN_POINT('',(5.825E1,3.23E0,-6.75E0)); +#6949=LINE('',#6948,#6947); +#6950=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#6951=VECTOR('',#6950,2.828427124746E-1); +#6952=CARTESIAN_POINT('',(5.705E1,4.63E0,-6.75E0)); +#6953=LINE('',#6952,#6951); +#6954=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#6955=VECTOR('',#6954,2.828427124746E-1); +#6956=CARTESIAN_POINT('',(5.705E1,3.03E0,-6.95E0)); +#6957=LINE('',#6956,#6955); +#6958=DIRECTION('',(0.E0,0.E0,1.E0)); +#6959=VECTOR('',#6958,1.07E1); +#6960=CARTESIAN_POINT('',(5.705E1,4.83E0,-1.765E1)); +#6961=LINE('',#6960,#6959); +#6962=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#6963=VECTOR('',#6962,2.828427124746E-1); +#6964=CARTESIAN_POINT('',(5.825E1,4.83E0,-6.95E0)); +#6965=LINE('',#6964,#6963); +#6966=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6967=VECTOR('',#6966,1.2E0); +#6968=CARTESIAN_POINT('',(5.825E1,4.83E0,-6.95E0)); +#6969=LINE('',#6968,#6967); +#6970=DIRECTION('',(1.E0,0.E0,0.E0)); +#6971=VECTOR('',#6970,1.7E0); +#6972=CARTESIAN_POINT('',(1.85E1,6.83E0,-1.765E1)); +#6973=LINE('',#6972,#6971); +#6974=DIRECTION('',(0.E0,1.E0,0.E0)); +#6975=VECTOR('',#6974,2.9E0); +#6976=CARTESIAN_POINT('',(2.02E1,6.83E0,-1.765E1)); +#6977=LINE('',#6976,#6975); +#6978=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6979=VECTOR('',#6978,1.563700203930E0); +#6980=CARTESIAN_POINT('',(2.02E1,9.73E0,-1.765E1)); +#6981=LINE('',#6980,#6979); +#6982=CARTESIAN_POINT('',(2.43E1,8.48E0,-1.765E1)); +#6983=DIRECTION('',(0.E0,0.E0,1.E0)); +#6984=DIRECTION('',(-6.486387349742E-1,7.610964403353E-1,0.E0)); +#6985=AXIS2_PLACEMENT_3D('',#6982,#6983,#6984); +#6987=DIRECTION('',(1.E0,0.E0,0.E0)); +#6988=VECTOR('',#6987,1.433499538215E0); +#6989=CARTESIAN_POINT('',(2.053789533715E1,1.289435935394E1,-1.765E1)); +#6990=LINE('',#6989,#6988); +#6991=CARTESIAN_POINT('',(2.45E1,1.128E1,-1.765E1)); +#6992=DIRECTION('',(0.E0,0.E0,1.E0)); +#6993=DIRECTION('',(-8.428683748783E-1,5.381197846483E-1,0.E0)); +#6994=AXIS2_PLACEMENT_3D('',#6991,#6992,#6993); +#6996=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6997=VECTOR('',#6996,1.47E1); +#6998=CARTESIAN_POINT('',(2.15E1,1.128E1,-1.765E1)); +#6999=LINE('',#6998,#6997); +#7000=CARTESIAN_POINT('',(2.45E1,-3.42E0,-1.765E1)); +#7001=DIRECTION('',(0.E0,0.E0,1.E0)); +#7002=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7003=AXIS2_PLACEMENT_3D('',#7000,#7001,#7002); +#7005=DIRECTION('',(1.E0,0.E0,0.E0)); +#7006=VECTOR('',#7005,1.429797448640E0); +#7007=CARTESIAN_POINT('',(2.054602700277E1,-5.041276595745E0,-1.765E1)); +#7008=LINE('',#7007,#7006); +#7009=CARTESIAN_POINT('',(2.43E1,-6.2E-1,-1.765E1)); +#7010=DIRECTION('',(0.E0,0.E0,1.E0)); +#7011=DIRECTION('',(-9.765000351604E-1,-2.155172413793E-1,0.E0)); +#7012=AXIS2_PLACEMENT_3D('',#7009,#7010,#7011); +#7014=DIRECTION('',(1.E0,0.E0,0.E0)); +#7015=VECTOR('',#7014,1.563700203930E0); +#7016=CARTESIAN_POINT('',(1.863629979607E1,-1.87E0,-1.765E1)); +#7017=LINE('',#7016,#7015); +#7018=DIRECTION('',(0.E0,1.E0,0.E0)); +#7019=VECTOR('',#7018,2.9E0); +#7020=CARTESIAN_POINT('',(2.02E1,-1.87E0,-1.765E1)); +#7021=LINE('',#7020,#7019); +#7022=DIRECTION('',(1.E0,0.E0,0.E0)); +#7023=VECTOR('',#7022,1.7E0); +#7024=CARTESIAN_POINT('',(1.85E1,1.03E0,-1.765E1)); +#7025=LINE('',#7024,#7023); +#7026=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7027=VECTOR('',#7026,5.8E0); +#7028=CARTESIAN_POINT('',(1.85E1,6.83E0,-1.765E1)); +#7029=LINE('',#7028,#7027); +#7030=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7031=VECTOR('',#7030,1.563700203930E0); +#7032=CARTESIAN_POINT('',(1.421370020393E1,9.73E0,-1.765E1)); +#7033=LINE('',#7032,#7031); +#7034=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7035=VECTOR('',#7034,2.9E0); +#7036=CARTESIAN_POINT('',(1.265E1,9.73E0,-1.765E1)); +#7037=LINE('',#7036,#7035); +#7038=DIRECTION('',(1.E0,0.E0,0.E0)); +#7039=VECTOR('',#7038,1.7E0); +#7040=CARTESIAN_POINT('',(1.265E1,6.83E0,-1.765E1)); +#7041=LINE('',#7040,#7039); +#7042=DIRECTION('',(0.E0,1.E0,0.E0)); +#7043=VECTOR('',#7042,5.8E0); +#7044=CARTESIAN_POINT('',(1.435E1,1.03E0,-1.765E1)); +#7045=LINE('',#7044,#7043); +#7046=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7047=VECTOR('',#7046,1.7E0); +#7048=CARTESIAN_POINT('',(1.435E1,1.03E0,-1.765E1)); +#7049=LINE('',#7048,#7047); +#7050=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7051=VECTOR('',#7050,2.9E0); +#7052=CARTESIAN_POINT('',(1.265E1,1.03E0,-1.765E1)); +#7053=LINE('',#7052,#7051); +#7054=DIRECTION('',(1.E0,0.E0,0.E0)); +#7055=VECTOR('',#7054,1.563700203930E0); +#7056=CARTESIAN_POINT('',(1.265E1,-1.87E0,-1.765E1)); +#7057=LINE('',#7056,#7055); +#7058=CARTESIAN_POINT('',(8.55E0,-6.2E-1,-1.765E1)); +#7059=DIRECTION('',(0.E0,0.E0,1.E0)); +#7060=DIRECTION('',(6.472367236601E-1,-7.622890682318E-1,0.E0)); +#7061=AXIS2_PLACEMENT_3D('',#7058,#7059,#7060); +#7063=DIRECTION('',(1.E0,0.E0,0.E0)); +#7064=VECTOR('',#7063,1.429797448640E0); +#7065=CARTESIAN_POINT('',(1.087417554859E1,-5.041276595745E0,-1.765E1)); +#7066=LINE('',#7065,#7064); +#7067=CARTESIAN_POINT('',(8.35E0,-3.42E0,-1.765E1)); +#7068=DIRECTION('',(0.E0,0.E0,1.E0)); +#7069=DIRECTION('',(8.413918495294E-1,-5.404255319149E-1,0.E0)); +#7070=AXIS2_PLACEMENT_3D('',#7067,#7068,#7069); +#7072=DIRECTION('',(0.E0,1.E0,0.E0)); +#7073=VECTOR('',#7072,1.47E1); +#7074=CARTESIAN_POINT('',(1.135E1,-3.42E0,-1.765E1)); +#7075=LINE('',#7074,#7073); +#7076=CARTESIAN_POINT('',(8.35E0,1.128E1,-1.765E1)); +#7077=DIRECTION('',(0.E0,0.E0,1.E0)); +#7078=DIRECTION('',(1.E0,0.E0,0.E0)); +#7079=AXIS2_PLACEMENT_3D('',#7076,#7077,#7078); +#7081=DIRECTION('',(1.E0,0.E0,0.E0)); +#7082=VECTOR('',#7081,1.433499538215E0); +#7083=CARTESIAN_POINT('',(1.087860512464E1,1.289435935394E1,-1.765E1)); +#7084=LINE('',#7083,#7082); +#7085=CARTESIAN_POINT('',(8.55E0,8.48E0,-1.765E1)); +#7086=DIRECTION('',(0.E0,0.E0,1.E0)); +#7087=DIRECTION('',(9.765000351604E-1,2.155172413793E-1,0.E0)); +#7088=AXIS2_PLACEMENT_3D('',#7085,#7086,#7087); +#7090=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7091=VECTOR('',#7090,1.2E0); +#7092=CARTESIAN_POINT('',(5.825E1,4.83E0,-1.765E1)); +#7093=LINE('',#7092,#7091); +#7094=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7095=VECTOR('',#7094,1.8E0); +#7096=CARTESIAN_POINT('',(5.705E1,4.83E0,-1.765E1)); +#7097=LINE('',#7096,#7095); +#7098=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7099=VECTOR('',#7098,1.2E0); +#7100=CARTESIAN_POINT('',(5.825E1,3.03E0,-1.765E1)); +#7101=LINE('',#7100,#7099); +#7102=DIRECTION('',(0.E0,1.E0,0.E0)); +#7103=VECTOR('',#7102,3.65E0); +#7104=CARTESIAN_POINT('',(5.825E1,-6.2E-1,-1.765E1)); +#7105=LINE('',#7104,#7103); +#7106=CARTESIAN_POINT('',(5.245E1,-6.2E-1,-1.765E1)); +#7107=DIRECTION('',(0.E0,0.E0,1.E0)); +#7108=DIRECTION('',(6.472367236601E-1,-7.622890682318E-1,0.E0)); +#7109=AXIS2_PLACEMENT_3D('',#7106,#7107,#7108); +#7111=DIRECTION('',(1.E0,0.E0,0.E0)); +#7112=VECTOR('',#7111,1.429797448640E0); +#7113=CARTESIAN_POINT('',(5.477417554859E1,-5.041276595745E0,-1.765E1)); +#7114=LINE('',#7113,#7112); +#7115=CARTESIAN_POINT('',(5.225E1,-3.42E0,-1.765E1)); +#7116=DIRECTION('',(0.E0,0.E0,1.E0)); +#7117=DIRECTION('',(8.413918495294E-1,-5.404255319149E-1,0.E0)); +#7118=AXIS2_PLACEMENT_3D('',#7115,#7116,#7117); +#7120=DIRECTION('',(0.E0,1.E0,0.E0)); +#7121=VECTOR('',#7120,1.47E1); +#7122=CARTESIAN_POINT('',(5.525E1,-3.42E0,-1.765E1)); +#7123=LINE('',#7122,#7121); +#7124=CARTESIAN_POINT('',(5.225E1,1.128E1,-1.765E1)); +#7125=DIRECTION('',(0.E0,0.E0,1.E0)); +#7126=DIRECTION('',(1.E0,0.E0,0.E0)); +#7127=AXIS2_PLACEMENT_3D('',#7124,#7125,#7126); +#7129=DIRECTION('',(1.E0,0.E0,0.E0)); +#7130=VECTOR('',#7129,1.433499538215E0); +#7131=CARTESIAN_POINT('',(5.477860512464E1,1.289435935394E1,-1.765E1)); +#7132=LINE('',#7131,#7130); +#7133=CARTESIAN_POINT('',(5.245E1,8.48E0,-1.765E1)); +#7134=DIRECTION('',(0.E0,0.E0,1.E0)); +#7135=DIRECTION('',(1.E0,0.E0,0.E0)); +#7136=AXIS2_PLACEMENT_3D('',#7133,#7134,#7135); +#7138=DIRECTION('',(0.E0,1.E0,0.E0)); +#7139=VECTOR('',#7138,3.65E0); +#7140=CARTESIAN_POINT('',(5.825E1,4.83E0,-1.765E1)); +#7141=LINE('',#7140,#7139); +#7142=DIRECTION('',(1.E0,0.E0,0.E0)); +#7143=VECTOR('',#7142,1.363538284496E0); +#7144=CARTESIAN_POINT('',(-6.021646014859E1,5.13E0,-1.765E1)); +#7145=LINE('',#7144,#7143); +#7146=DIRECTION('',(4.748563898706E-1,8.800632982911E-1,0.E0)); +#7147=VECTOR('',#7146,2.045307426744E0); +#7148=CARTESIAN_POINT('',(-5.885292186409E1,5.13E0,-1.765E1)); +#7149=LINE('',#7148,#7147); +#7150=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7151=VECTOR('',#7150,1.363538284496E0); +#7152=CARTESIAN_POINT('',(-5.788169456325E1,6.93E0,-1.765E1)); +#7153=LINE('',#7152,#7151); +#7154=DIRECTION('',(-4.748563898706E-1,-8.800632982911E-1,0.E0)); +#7155=VECTOR('',#7154,5.201036956551E0); +#7156=CARTESIAN_POINT('',(-5.677548721498E1,1.150724173852E1,-1.765E1)); +#7157=LINE('',#7156,#7155); +#7158=CARTESIAN_POINT('',(-5.2128753E1,9.E0,-1.765E1)); +#7159=DIRECTION('',(0.E0,0.E0,1.E0)); +#7160=DIRECTION('',(-6.752728024032E-1,7.375680594593E-1,0.E0)); +#7161=AXIS2_PLACEMENT_3D('',#7158,#7159,#7160); +#7163=DIRECTION('',(1.E0,0.E0,0.E0)); +#7164=VECTOR('',#7163,3.183100022834E0); +#7165=CARTESIAN_POINT('',(-5.569419339669E1,1.289435935394E1,-1.765E1)); +#7166=LINE('',#7165,#7164); +#7167=CARTESIAN_POINT('',(-4.948369760051E1,1.028E1,-1.765E1)); +#7168=DIRECTION('',(0.E0,0.E0,1.E0)); +#7169=DIRECTION('',(-7.568489433352E-1,6.535898384862E-1,0.E0)); +#7170=AXIS2_PLACEMENT_3D('',#7167,#7168,#7169); +#7172=DIRECTION('',(-4.748563898706E-1,-8.800632982911E-1,0.E0)); +#7173=VECTOR('',#7172,1.107907492471E1); +#7174=CARTESIAN_POINT('',(-5.300395079368E1,1.217942555948E1,-1.765E1)); +#7175=LINE('',#7174,#7173); +#7176=CARTESIAN_POINT('',(-5.298454052579E1,-4.2E-1,-1.765E1)); +#7177=DIRECTION('',(0.E0,0.E0,1.E0)); +#7178=DIRECTION('',(-8.800632982911E-1,4.748563898706E-1,0.E0)); +#7179=AXIS2_PLACEMENT_3D('',#7176,#7177,#7178); +#7181=DIRECTION('',(1.E0,0.E0,0.E0)); +#7182=VECTOR('',#7181,4.346607703715E0); +#7183=CARTESIAN_POINT('',(-6.115787040724E1,-5.041276595745E0,-1.765E1)); +#7184=LINE('',#7183,#7182); +#7185=CARTESIAN_POINT('',(-5.760000012806E1,-1.14E0,-1.765E1)); +#7186=DIRECTION('',(0.E0,0.E0,1.E0)); +#7187=DIRECTION('',(-7.102272484732E-1,-7.039724820803E-1,0.E0)); +#7188=AXIS2_PLACEMENT_3D('',#7185,#7186,#7187); +#7190=DIRECTION('',(0.E0,1.E0,0.E0)); +#7191=VECTOR('',#7190,7.886156770408E0); +#7192=CARTESIAN_POINT('',(-6.135E1,-4.856974705384E0,-1.765E1)); +#7193=LINE('',#7192,#7191); +#7194=DIRECTION('',(-4.748563898706E-1,-8.800632982911E-1,0.E0)); +#7195=VECTOR('',#7194,2.387121402580E0); +#7196=CARTESIAN_POINT('',(-6.021646014859E1,5.13E0,-1.765E1)); +#7197=LINE('',#7196,#7195); +#7198=DIRECTION('',(1.E0,0.E0,0.E0)); +#7199=VECTOR('',#7198,1.7E0); +#7200=CARTESIAN_POINT('',(1.85E1,6.83E0,-6.95E0)); +#7201=LINE('',#7200,#7199); +#7202=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#7203=VECTOR('',#7202,2.828427124746E-1); +#7204=CARTESIAN_POINT('',(1.85E1,6.83E0,-6.95E0)); +#7205=LINE('',#7204,#7203); +#7206=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#7207=VECTOR('',#7206,2.828427124746E-1); +#7208=CARTESIAN_POINT('',(2.02E1,9.73E0,-6.95E0)); +#7209=LINE('',#7208,#7207); +#7210=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7211=VECTOR('',#7210,1.07E1); +#7212=CARTESIAN_POINT('',(2.02E1,6.83E0,-6.95E0)); +#7213=LINE('',#7212,#7211); +#7214=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#7215=VECTOR('',#7214,2.828427124746E-1); +#7216=CARTESIAN_POINT('',(2.02E1,7.03E0,-6.75E0)); +#7217=LINE('',#7216,#7215); +#7218=CARTESIAN_POINT('',(1.859583485513E1,9.53E0,-6.75E0)); +#7219=CARTESIAN_POINT('',(1.860812883349E1,9.596787507504E0,-6.816787507504E0)); +#7220=CARTESIAN_POINT('',(1.862161297446E1,9.663454596356E0,-6.883454596356E0)); +#7221=CARTESIAN_POINT('',(1.863629979607E1,9.73E0,-6.95E0)); +#7223=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7224=VECTOR('',#7223,1.563700203930E0); +#7225=CARTESIAN_POINT('',(2.02E1,9.73E0,-6.95E0)); +#7226=LINE('',#7225,#7224); +#7227=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7228=VECTOR('',#7227,1.07E1); +#7229=CARTESIAN_POINT('',(2.02E1,9.73E0,-6.95E0)); +#7230=LINE('',#7229,#7228); +#7231=DIRECTION('',(0.E0,0.E0,1.E0)); +#7232=VECTOR('',#7231,1.07E1); +#7233=CARTESIAN_POINT('',(1.863629979607E1,9.73E0,-1.765E1)); +#7234=LINE('',#7233,#7232); +#7235=CARTESIAN_POINT('',(2.43E1,8.48E0,-6.75E0)); +#7236=DIRECTION('',(0.E0,0.E0,1.E0)); +#7237=DIRECTION('',(-9.834767491163E-1,1.810344827586E-1,0.E0)); +#7238=AXIS2_PLACEMENT_3D('',#7235,#7236,#7237); +#7240=CARTESIAN_POINT('',(2.43E1,8.48E0,-5.999997052193E-1)); +#7241=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7242=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7243=AXIS2_PLACEMENT_3D('',#7240,#7241,#7242); +#7245=DIRECTION('',(-1.779873144729E-11,1.822762667506E-8,-1.E0)); +#7246=VECTOR('',#7245,1.465000026703E1); +#7247=CARTESIAN_POINT('',(2.430000000026E1,1.427999973297E1, +-5.999997329677E-1)); +#7248=LINE('',#7247,#7246); +#7249=CARTESIAN_POINT('',(2.43E1,1.428E1,-1.525E1)); +#7250=CARTESIAN_POINT('',(2.407711479991E1,1.428E1,-1.525E1)); +#7251=CARTESIAN_POINT('',(2.366019433009E1,1.425481278739E1,-1.529362553195E1)); +#7252=CARTESIAN_POINT('',(2.290943346590E1,1.413190593318E1,-1.550650644803E1)); +#7253=CARTESIAN_POINT('',(2.209185206430E1,1.387274801036E1,-1.595538113754E1)); +#7254=CARTESIAN_POINT('',(2.124894344656E1,1.344111358988E1,-1.670299388411E1)); +#7255=CARTESIAN_POINT('',(2.076346762425E1,1.308660164441E1,-1.731702658556E1)); +#7256=CARTESIAN_POINT('',(2.053789533715E1,1.289435935394E1,-1.765E1)); +#7258=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7259=VECTOR('',#7258,1.604165144874E0); +#7260=CARTESIAN_POINT('',(1.425416514487E1,9.53E0,-6.75E0)); +#7261=LINE('',#7260,#7259); +#7262=DIRECTION('',(1.E0,0.E0,0.E0)); +#7263=VECTOR('',#7262,1.7E0); +#7264=CARTESIAN_POINT('',(1.265E1,7.03E0,-6.75E0)); +#7265=LINE('',#7264,#7263); +#7266=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7267=VECTOR('',#7266,2.5E0); +#7268=CARTESIAN_POINT('',(1.265E1,9.53E0,-6.75E0)); +#7269=LINE('',#7268,#7267); +#7270=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7271=VECTOR('',#7270,1.7E0); +#7272=CARTESIAN_POINT('',(1.435E1,8.3E-1,-6.75E0)); +#7273=LINE('',#7272,#7271); +#7274=DIRECTION('',(1.E0,0.E0,0.E0)); +#7275=VECTOR('',#7274,1.604165144874E0); +#7276=CARTESIAN_POINT('',(1.265E1,-1.67E0,-6.75E0)); +#7277=LINE('',#7276,#7275); +#7278=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7279=VECTOR('',#7278,2.5E0); +#7280=CARTESIAN_POINT('',(1.265E1,8.3E-1,-6.75E0)); +#7281=LINE('',#7280,#7279); +#7282=DIRECTION('',(1.E0,0.E0,0.E0)); +#7283=VECTOR('',#7282,1.604165144874E0); +#7284=CARTESIAN_POINT('',(1.859583485513E1,-1.67E0,-6.75E0)); +#7285=LINE('',#7284,#7283); +#7286=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7287=VECTOR('',#7286,1.7E0); +#7288=CARTESIAN_POINT('',(2.02E1,8.3E-1,-6.75E0)); +#7289=LINE('',#7288,#7287); +#7290=DIRECTION('',(0.E0,1.E0,0.E0)); +#7291=VECTOR('',#7290,2.5E0); +#7292=CARTESIAN_POINT('',(2.02E1,-1.67E0,-6.75E0)); +#7293=LINE('',#7292,#7291); +#7294=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7295=VECTOR('',#7294,1.604165144874E0); +#7296=CARTESIAN_POINT('',(2.02E1,9.53E0,-6.75E0)); +#7297=LINE('',#7296,#7295); +#7298=DIRECTION('',(0.E0,1.E0,0.E0)); +#7299=VECTOR('',#7298,2.5E0); +#7300=CARTESIAN_POINT('',(2.02E1,7.03E0,-6.75E0)); +#7301=LINE('',#7300,#7299); +#7302=DIRECTION('',(1.E0,0.E0,0.E0)); +#7303=VECTOR('',#7302,1.7E0); +#7304=CARTESIAN_POINT('',(1.85E1,7.03E0,-6.75E0)); +#7305=LINE('',#7304,#7303); +#7306=CARTESIAN_POINT('',(1.421370020393E1,9.73E0,-6.95E0)); +#7307=CARTESIAN_POINT('',(1.422838702554E1,9.663454596356E0,-6.883454596356E0)); +#7308=CARTESIAN_POINT('',(1.424187116651E1,9.596787507504E0,-6.816787507504E0)); +#7309=CARTESIAN_POINT('',(1.425416514487E1,9.53E0,-6.75E0)); +#7311=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811866E-1)); +#7312=VECTOR('',#7311,2.828427124746E-1); +#7313=CARTESIAN_POINT('',(1.265E1,9.53E0,-6.75E0)); +#7314=LINE('',#7313,#7312); +#7315=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#7316=VECTOR('',#7315,2.828427124746E-1); +#7317=CARTESIAN_POINT('',(1.265E1,6.83E0,-6.95E0)); +#7318=LINE('',#7317,#7316); +#7319=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7320=VECTOR('',#7319,1.07E1); +#7321=CARTESIAN_POINT('',(1.265E1,9.73E0,-6.95E0)); +#7322=LINE('',#7321,#7320); +#7323=DIRECTION('',(-1.256073966947E-14,-7.071067811865E-1,-7.071067811865E-1)); +#7324=VECTOR('',#7323,2.828427124746E-1); +#7325=CARTESIAN_POINT('',(1.435E1,7.03E0,-6.75E0)); +#7326=LINE('',#7325,#7324); +#7327=DIRECTION('',(1.E0,0.E0,0.E0)); +#7328=VECTOR('',#7327,1.7E0); +#7329=CARTESIAN_POINT('',(1.265E1,6.83E0,-6.95E0)); +#7330=LINE('',#7329,#7328); +#7331=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7332=VECTOR('',#7331,1.07E1); +#7333=CARTESIAN_POINT('',(1.265E1,6.83E0,-6.95E0)); +#7334=LINE('',#7333,#7332); +#7335=DIRECTION('',(0.E0,1.E0,0.E0)); +#7336=VECTOR('',#7335,1.45E0); +#7337=CARTESIAN_POINT('',(1.435E1,-6.2E-1,-6.75E0)); +#7338=LINE('',#7337,#7336); +#7339=DIRECTION('',(0.E0,0.E0,1.E0)); +#7340=VECTOR('',#7339,1.07E1); +#7341=CARTESIAN_POINT('',(1.435E1,1.03E0,-1.765E1)); +#7342=LINE('',#7341,#7340); +#7343=DIRECTION('',(0.E0,0.E0,1.E0)); +#7344=VECTOR('',#7343,1.07E1); +#7345=CARTESIAN_POINT('',(1.435E1,6.83E0,-1.765E1)); +#7346=LINE('',#7345,#7344); +#7347=DIRECTION('',(0.E0,1.E0,0.E0)); +#7348=VECTOR('',#7347,1.45E0); +#7349=CARTESIAN_POINT('',(1.435E1,7.03E0,-6.75E0)); +#7350=LINE('',#7349,#7348); +#7351=DIRECTION('',(-4.342028033535E-8,-4.239860311118E-11,1.E0)); +#7352=VECTOR('',#7351,6.150000267032E0); +#7353=CARTESIAN_POINT('',(1.435E1,8.48E0,-6.75E0)); +#7354=LINE('',#7353,#7352); +#7355=DIRECTION('',(-8.901304602379E-14,-1.E0,-8.935465310831E-14)); +#7356=VECTOR('',#7355,9.099999999439E0); +#7357=CARTESIAN_POINT('',(1.434999973297E1,8.479999999739E0, +-5.999997329677E-1)); +#7358=LINE('',#7357,#7356); +#7359=DIRECTION('',(4.342041204571E-8,-4.888768491050E-11,-1.E0)); +#7360=VECTOR('',#7359,6.150000267031E0); +#7361=CARTESIAN_POINT('',(1.434999973296E1,-6.199999996993E-1, +-5.999997329685E-1)); +#7362=LINE('',#7361,#7360); +#7363=DIRECTION('',(-1.256073966947E-14,-7.071067811865E-1,7.071067811865E-1)); +#7364=VECTOR('',#7363,2.828427124746E-1); +#7365=CARTESIAN_POINT('',(1.435E1,1.03E0,-6.95E0)); +#7366=LINE('',#7365,#7364); +#7367=DIRECTION('',(1.256073966947E-14,7.071067811865E-1,-7.071067811865E-1)); +#7368=VECTOR('',#7367,2.828427124746E-1); +#7369=CARTESIAN_POINT('',(1.265E1,8.3E-1,-6.75E0)); +#7370=LINE('',#7369,#7368); +#7371=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#7372=VECTOR('',#7371,2.828427124746E-1); +#7373=CARTESIAN_POINT('',(1.265E1,-1.87E0,-6.95E0)); +#7374=LINE('',#7373,#7372); +#7375=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7376=VECTOR('',#7375,1.07E1); +#7377=CARTESIAN_POINT('',(1.265E1,1.03E0,-6.95E0)); +#7378=LINE('',#7377,#7376); +#7379=CARTESIAN_POINT('',(1.425416514487E1,-1.67E0,-6.75E0)); +#7380=CARTESIAN_POINT('',(1.424187116651E1,-1.736787507504E0, +-6.816787507504E0)); +#7381=CARTESIAN_POINT('',(1.422838702554E1,-1.803454596356E0, +-6.883454596356E0)); +#7382=CARTESIAN_POINT('',(1.421370020393E1,-1.87E0,-6.95E0)); +#7384=DIRECTION('',(1.E0,0.E0,0.E0)); +#7385=VECTOR('',#7384,1.563700203930E0); +#7386=CARTESIAN_POINT('',(1.265E1,-1.87E0,-6.95E0)); +#7387=LINE('',#7386,#7385); +#7388=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7389=VECTOR('',#7388,1.07E1); +#7390=CARTESIAN_POINT('',(1.265E1,-1.87E0,-6.95E0)); +#7391=LINE('',#7390,#7389); +#7392=DIRECTION('',(0.E0,0.E0,1.E0)); +#7393=VECTOR('',#7392,1.07E1); +#7394=CARTESIAN_POINT('',(1.421370020393E1,-1.87E0,-1.765E1)); +#7395=LINE('',#7394,#7393); +#7396=CARTESIAN_POINT('',(8.55E0,-6.2E-1,-6.75E0)); +#7397=DIRECTION('',(0.E0,0.E0,1.E0)); +#7398=DIRECTION('',(9.834767491163E-1,-1.810344827586E-1,0.E0)); +#7399=AXIS2_PLACEMENT_3D('',#7396,#7397,#7398); +#7401=CARTESIAN_POINT('',(8.55E0,-6.2E-1,-5.999997052193E-1)); +#7402=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7403=DIRECTION('',(1.E0,0.E0,0.E0)); +#7404=AXIS2_PLACEMENT_3D('',#7401,#7402,#7403); +#7406=DIRECTION('',(1.779776142309E-11,-1.822762667506E-8,-1.E0)); +#7407=VECTOR('',#7406,1.465000026703E1); +#7408=CARTESIAN_POINT('',(8.549999999739E0,-6.419999732965E0, +-5.999997329677E-1)); +#7409=LINE('',#7408,#7407); +#7410=CARTESIAN_POINT('',(8.55E0,-6.42E0,-1.525E1)); +#7411=CARTESIAN_POINT('',(8.772464957336E0,-6.42E0,-1.525E1)); +#7412=CARTESIAN_POINT('',(9.188546393567E0,-6.394908892121E0, +-1.529367711372E1)); +#7413=CARTESIAN_POINT('',(9.937687110216E0,-6.272517742635E0, +-1.550672837393E1)); +#7414=CARTESIAN_POINT('',(1.075339568293E1,-6.014534312469E0, +-1.595581064126E1)); +#7415=CARTESIAN_POINT('',(1.159437835886E1,-5.585043154304E0, +-1.670344339806E1)); +#7416=CARTESIAN_POINT('',(1.207883080380E1,-5.232438061525E0, +-1.731723744846E1)); +#7417=CARTESIAN_POINT('',(1.230397299723E1,-5.041276595745E0,-1.765E1)); +#7419=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7420=VECTOR('',#7419,1.7E0); +#7421=CARTESIAN_POINT('',(1.435E1,1.03E0,-6.95E0)); +#7422=LINE('',#7421,#7420); +#7423=CARTESIAN_POINT('',(8.55E0,8.48E0,-6.75E0)); +#7424=DIRECTION('',(0.E0,0.E0,1.E0)); +#7425=DIRECTION('',(1.E0,0.E0,0.E0)); +#7426=AXIS2_PLACEMENT_3D('',#7423,#7424,#7425); +#7428=DIRECTION('',(0.E0,0.E0,1.E0)); +#7429=VECTOR('',#7428,1.07E1); +#7430=CARTESIAN_POINT('',(1.421370020393E1,9.73E0,-1.765E1)); +#7431=LINE('',#7430,#7429); +#7432=CARTESIAN_POINT('',(1.231210466285E1,1.289435935394E1,-1.765E1)); +#7433=CARTESIAN_POINT('',(1.208653237575E1,1.308660164441E1,-1.731702658556E1)); +#7434=CARTESIAN_POINT('',(1.160105655344E1,1.344111358988E1,-1.670299388411E1)); +#7435=CARTESIAN_POINT('',(1.075814793570E1,1.387274801036E1,-1.595538113754E1)); +#7436=CARTESIAN_POINT('',(9.940566534104E0,1.413190593318E1,-1.550650644803E1)); +#7437=CARTESIAN_POINT('',(9.189805669913E0,1.425481278739E1,-1.529362553195E1)); +#7438=CARTESIAN_POINT('',(8.772885200090E0,1.428E1,-1.525E1)); +#7439=CARTESIAN_POINT('',(8.55E0,1.428E1,-1.525E1)); +#7441=CARTESIAN_POINT('',(8.55E0,8.48E0,-5.999997052193E-1)); +#7442=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7443=DIRECTION('',(0.E0,1.E0,0.E0)); +#7444=AXIS2_PLACEMENT_3D('',#7441,#7442,#7443); +#7446=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7447=VECTOR('',#7446,1.563700203930E0); +#7448=CARTESIAN_POINT('',(1.421370020393E1,9.73E0,-6.95E0)); +#7449=LINE('',#7448,#7447); +#7450=DIRECTION('',(0.E0,-5.E-1,-8.660254037844E-1)); +#7451=VECTOR('',#7450,3.1E0); +#7452=CARTESIAN_POINT('',(2.52E1,1.428E1,-1.525E1)); +#7453=LINE('',#7452,#7451); +#7454=DIRECTION('',(1.E0,0.E0,0.E0)); +#7455=VECTOR('',#7454,1.5E0); +#7456=CARTESIAN_POINT('',(2.52E1,1.273E1,-1.793467875173E1)); +#7457=LINE('',#7456,#7455); +#7458=DIRECTION('',(0.E0,-5.E-1,-8.660254037844E-1)); +#7459=VECTOR('',#7458,3.1E0); +#7460=CARTESIAN_POINT('',(2.67E1,1.428E1,-1.525E1)); +#7461=LINE('',#7460,#7459); +#7462=DIRECTION('',(0.E0,-5.E-1,-8.660254037844E-1)); +#7463=VECTOR('',#7462,3.1E0); +#7464=CARTESIAN_POINT('',(5.02E1,1.428E1,-1.525E1)); +#7465=LINE('',#7464,#7463); +#7466=DIRECTION('',(1.E0,0.E0,0.E0)); +#7467=VECTOR('',#7466,1.5E0); +#7468=CARTESIAN_POINT('',(5.02E1,1.273E1,-1.793467875173E1)); +#7469=LINE('',#7468,#7467); +#7470=DIRECTION('',(0.E0,-5.E-1,-8.660254037844E-1)); +#7471=VECTOR('',#7470,3.1E0); +#7472=CARTESIAN_POINT('',(5.17E1,1.428E1,-1.525E1)); +#7473=LINE('',#7472,#7471); +#7474=DIRECTION('',(1.E0,0.E0,0.E0)); +#7475=VECTOR('',#7474,3.351294405899E1); +#7476=CARTESIAN_POINT('',(2.161852797050E1,1.211493649054E1,-1.9E1)); +#7477=LINE('',#7476,#7475); +#7478=DIRECTION('',(0.E0,-5.E-1,-8.660254037844E-1)); +#7479=VECTOR('',#7478,3.1E0); +#7480=CARTESIAN_POINT('',(3.85E0,1.428E1,-1.525E1)); +#7481=LINE('',#7480,#7479); +#7482=DIRECTION('',(1.E0,0.E0,0.E0)); +#7483=VECTOR('',#7482,1.5E0); +#7484=CARTESIAN_POINT('',(3.85E0,1.273E1,-1.793467875173E1)); +#7485=LINE('',#7484,#7483); +#7486=DIRECTION('',(0.E0,-5.E-1,-8.660254037844E-1)); +#7487=VECTOR('',#7486,3.1E0); +#7488=CARTESIAN_POINT('',(5.35E0,1.428E1,-1.525E1)); +#7489=LINE('',#7488,#7487); +#7490=DIRECTION('',(1.E0,0.E0,0.E0)); +#7491=VECTOR('',#7490,6.427021923671E1); +#7492=CARTESIAN_POINT('',(-5.303874720721E1,1.211493649054E1,-1.9E1)); +#7493=LINE('',#7492,#7491); +#7494=DIRECTION('',(0.E0,-5.E-1,-8.660254037844E-1)); +#7495=VECTOR('',#7494,3.1E0); +#7496=CARTESIAN_POINT('',(-4.045E1,1.428E1,-1.525E1)); +#7497=LINE('',#7496,#7495); +#7498=DIRECTION('',(1.E0,0.E0,0.E0)); +#7499=VECTOR('',#7498,1.5E0); +#7500=CARTESIAN_POINT('',(-4.045E1,1.273E1,-1.793467875173E1)); +#7501=LINE('',#7500,#7499); +#7502=DIRECTION('',(0.E0,-5.E-1,-8.660254037844E-1)); +#7503=VECTOR('',#7502,3.1E0); +#7504=CARTESIAN_POINT('',(-3.895E1,1.428E1,-1.525E1)); +#7505=LINE('',#7504,#7503); +#7506=DIRECTION('',(0.E0,-6.525231353599E-1,-7.577688023534E-1)); +#7507=VECTOR('',#7506,2.375394704044E0); +#7508=CARTESIAN_POINT('',(2.52E1,1.428E1,-6.95E0)); +#7509=LINE('',#7508,#7507); +#7510=DIRECTION('',(0.E0,-6.525231353599E-1,-7.577688023534E-1)); +#7511=VECTOR('',#7510,2.375394704044E0); +#7512=CARTESIAN_POINT('',(2.67E1,1.428E1,-6.95E0)); +#7513=LINE('',#7512,#7511); +#7514=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7515=VECTOR('',#7514,9.184678751732E0); +#7516=CARTESIAN_POINT('',(2.67E1,1.273E1,-8.75E0)); +#7517=LINE('',#7516,#7515); +#7518=DIRECTION('',(0.E0,0.E0,1.E0)); +#7519=VECTOR('',#7518,8.3E0); +#7520=CARTESIAN_POINT('',(2.52E1,1.428E1,-1.525E1)); +#7521=LINE('',#7520,#7519); +#7522=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7523=VECTOR('',#7522,9.E-1); +#7524=CARTESIAN_POINT('',(2.52E1,1.428E1,-1.525E1)); +#7525=LINE('',#7524,#7523); +#7526=DIRECTION('',(1.E0,-2.864888117598E-14,-2.909060401349E-14)); +#7527=VECTOR('',#7526,2.814999999944E1); +#7528=CARTESIAN_POINT('',(2.430000000026E1,1.427999973297E1, +-5.999997329677E-1)); +#7529=LINE('',#7528,#7527); +#7530=DIRECTION('',(2.052425692908E-11,1.822768172394E-8,-1.E0)); +#7531=VECTOR('',#7530,1.465000026703E1); +#7532=CARTESIAN_POINT('',(5.244999999970E1,1.427999973296E1, +-5.999997329685E-1)); +#7533=LINE('',#7532,#7531); +#7534=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7535=VECTOR('',#7534,7.5E-1); +#7536=CARTESIAN_POINT('',(5.245E1,1.428E1,-1.525E1)); +#7537=LINE('',#7536,#7535); +#7538=DIRECTION('',(0.E0,0.E0,1.E0)); +#7539=VECTOR('',#7538,8.3E0); +#7540=CARTESIAN_POINT('',(5.17E1,1.428E1,-1.525E1)); +#7541=LINE('',#7540,#7539); +#7542=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7543=VECTOR('',#7542,1.5E0); +#7544=CARTESIAN_POINT('',(5.17E1,1.428E1,-6.95E0)); +#7545=LINE('',#7544,#7543); +#7546=DIRECTION('',(0.E0,0.E0,1.E0)); +#7547=VECTOR('',#7546,8.3E0); +#7548=CARTESIAN_POINT('',(5.02E1,1.428E1,-1.525E1)); +#7549=LINE('',#7548,#7547); +#7550=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7551=VECTOR('',#7550,2.35E1); +#7552=CARTESIAN_POINT('',(5.02E1,1.428E1,-1.525E1)); +#7553=LINE('',#7552,#7551); +#7554=DIRECTION('',(0.E0,0.E0,1.E0)); +#7555=VECTOR('',#7554,8.3E0); +#7556=CARTESIAN_POINT('',(2.67E1,1.428E1,-1.525E1)); +#7557=LINE('',#7556,#7555); +#7558=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7559=VECTOR('',#7558,1.5E0); +#7560=CARTESIAN_POINT('',(2.67E1,1.428E1,-6.95E0)); +#7561=LINE('',#7560,#7559); +#7562=CARTESIAN_POINT('',(5.245E1,8.48E0,-5.999997052193E-1)); +#7563=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7564=DIRECTION('',(0.E0,1.E0,0.E0)); +#7565=AXIS2_PLACEMENT_3D('',#7562,#7563,#7564); +#7567=CARTESIAN_POINT('',(5.621210466285E1,1.289435935394E1,-1.765E1)); +#7568=CARTESIAN_POINT('',(5.598653237575E1,1.308660164441E1,-1.731702658556E1)); +#7569=CARTESIAN_POINT('',(5.550105655344E1,1.344111358988E1,-1.670299388411E1)); +#7570=CARTESIAN_POINT('',(5.465814793570E1,1.387274801036E1,-1.595538113754E1)); +#7571=CARTESIAN_POINT('',(5.384056653410E1,1.413190593318E1,-1.550650644803E1)); +#7572=CARTESIAN_POINT('',(5.308980566991E1,1.425481278739E1,-1.529362553195E1)); +#7573=CARTESIAN_POINT('',(5.267288520009E1,1.428E1,-1.525E1)); +#7574=CARTESIAN_POINT('',(5.245E1,1.428E1,-1.525E1)); +#7576=DIRECTION('',(0.E0,-6.525231353599E-1,-7.577688023534E-1)); +#7577=VECTOR('',#7576,2.375394704044E0); +#7578=CARTESIAN_POINT('',(5.17E1,1.428E1,-6.95E0)); +#7579=LINE('',#7578,#7577); +#7580=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7581=VECTOR('',#7580,9.184678751732E0); +#7582=CARTESIAN_POINT('',(5.17E1,1.273E1,-8.75E0)); +#7583=LINE('',#7582,#7581); +#7584=DIRECTION('',(1.E0,0.E0,0.E0)); +#7585=VECTOR('',#7584,1.5E0); +#7586=CARTESIAN_POINT('',(5.02E1,1.273E1,-8.75E0)); +#7587=LINE('',#7586,#7585); +#7588=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7589=VECTOR('',#7588,9.184678751732E0); +#7590=CARTESIAN_POINT('',(5.02E1,1.273E1,-8.75E0)); +#7591=LINE('',#7590,#7589); +#7592=DIRECTION('',(0.E0,-6.525231353599E-1,-7.577688023534E-1)); +#7593=VECTOR('',#7592,2.375394704044E0); +#7594=CARTESIAN_POINT('',(5.02E1,1.428E1,-6.95E0)); +#7595=LINE('',#7594,#7593); +#7596=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7597=VECTOR('',#7596,9.184678751732E0); +#7598=CARTESIAN_POINT('',(2.52E1,1.273E1,-8.75E0)); +#7599=LINE('',#7598,#7597); +#7600=DIRECTION('',(1.E0,0.E0,0.E0)); +#7601=VECTOR('',#7600,1.5E0); +#7602=CARTESIAN_POINT('',(2.52E1,1.273E1,-8.75E0)); +#7603=LINE('',#7602,#7601); +#7604=CARTESIAN_POINT('',(5.225E1,1.128E1,-1.9E1)); +#7605=DIRECTION('',(0.E0,0.E0,1.E0)); +#7606=DIRECTION('',(1.E0,0.E0,0.E0)); +#7607=AXIS2_PLACEMENT_3D('',#7604,#7605,#7606); +#7609=CARTESIAN_POINT('',(5.513147202950E1,1.211493649054E1,-1.9E1)); +#7610=CARTESIAN_POINT('',(5.505482245432E1,1.237946392399E1,-1.854182504526E1)); +#7611=CARTESIAN_POINT('',(5.494117101769E1,1.263972896496E1,-1.809103277088E1)); +#7612=CARTESIAN_POINT('',(5.477860512464E1,1.289435935394E1,-1.765E1)); +#7614=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7615=VECTOR('',#7614,1.35E0); +#7616=CARTESIAN_POINT('',(5.525E1,1.128E1,-1.765E1)); +#7617=LINE('',#7616,#7615); +#7618=DIRECTION('',(0.E0,1.E0,0.E0)); +#7619=VECTOR('',#7618,2.100206839205E0); +#7620=CARTESIAN_POINT('',(7.5E0,9.114936490539E0,-1.9E1)); +#7621=LINE('',#7620,#7619); +#7622=DIRECTION('',(0.E0,1.E0,0.E0)); +#7623=VECTOR('',#7622,2.100206839205E0); +#7624=CARTESIAN_POINT('',(5.4E0,9.114936490539E0,-1.9E1)); +#7625=LINE('',#7624,#7623); +#7626=DIRECTION('',(1.E0,0.E0,0.E0)); +#7627=VECTOR('',#7626,2.2E0); +#7628=CARTESIAN_POINT('',(3.2E0,1.121514332974E1,-1.9E1)); +#7629=LINE('',#7628,#7627); +#7630=DIRECTION('',(0.E0,1.E0,0.E0)); +#7631=VECTOR('',#7630,2.100206839205E0); +#7632=CARTESIAN_POINT('',(3.2E0,9.114936490539E0,-1.9E1)); +#7633=LINE('',#7632,#7631); +#7634=DIRECTION('',(0.E0,1.E0,0.E0)); +#7635=VECTOR('',#7634,2.100206839205E0); +#7636=CARTESIAN_POINT('',(1.1E0,9.114936490539E0,-1.9E1)); +#7637=LINE('',#7636,#7635); +#7638=DIRECTION('',(1.E0,0.E0,0.E0)); +#7639=VECTOR('',#7638,2.2E0); +#7640=CARTESIAN_POINT('',(-1.1E0,1.121514332974E1,-1.9E1)); +#7641=LINE('',#7640,#7639); +#7642=DIRECTION('',(0.E0,1.E0,0.E0)); +#7643=VECTOR('',#7642,2.100206839205E0); +#7644=CARTESIAN_POINT('',(-1.1E0,9.114936490539E0,-1.9E1)); +#7645=LINE('',#7644,#7643); +#7646=DIRECTION('',(0.E0,1.E0,0.E0)); +#7647=VECTOR('',#7646,2.100206839205E0); +#7648=CARTESIAN_POINT('',(-3.2E0,9.114936490539E0,-1.9E1)); +#7649=LINE('',#7648,#7647); +#7650=DIRECTION('',(1.E0,0.E0,0.E0)); +#7651=VECTOR('',#7650,2.2E0); +#7652=CARTESIAN_POINT('',(-5.4E0,1.121514332974E1,-1.9E1)); +#7653=LINE('',#7652,#7651); +#7654=DIRECTION('',(0.E0,1.E0,0.E0)); +#7655=VECTOR('',#7654,2.100206839205E0); +#7656=CARTESIAN_POINT('',(-5.4E0,9.114936490539E0,-1.9E1)); +#7657=LINE('',#7656,#7655); +#7658=DIRECTION('',(0.E0,1.E0,0.E0)); +#7659=VECTOR('',#7658,2.100206839205E0); +#7660=CARTESIAN_POINT('',(-7.5E0,9.114936490539E0,-1.9E1)); +#7661=LINE('',#7660,#7659); +#7662=DIRECTION('',(1.E0,0.E0,0.E0)); +#7663=VECTOR('',#7662,2.2E0); +#7664=CARTESIAN_POINT('',(-9.7E0,1.121514332974E1,-1.9E1)); +#7665=LINE('',#7664,#7663); +#7666=DIRECTION('',(0.E0,1.E0,0.E0)); +#7667=VECTOR('',#7666,2.100206839205E0); +#7668=CARTESIAN_POINT('',(-9.7E0,9.114936490539E0,-1.9E1)); +#7669=LINE('',#7668,#7667); +#7670=DIRECTION('',(0.E0,1.E0,0.E0)); +#7671=VECTOR('',#7670,2.100206839205E0); +#7672=CARTESIAN_POINT('',(-1.18E1,9.114936490539E0,-1.9E1)); +#7673=LINE('',#7672,#7671); +#7674=DIRECTION('',(1.E0,0.E0,0.E0)); +#7675=VECTOR('',#7674,2.2E0); +#7676=CARTESIAN_POINT('',(-1.4E1,1.121514332974E1,-1.9E1)); +#7677=LINE('',#7676,#7675); +#7678=DIRECTION('',(0.E0,1.E0,0.E0)); +#7679=VECTOR('',#7678,2.100206839205E0); +#7680=CARTESIAN_POINT('',(-1.4E1,9.114936490539E0,-1.9E1)); +#7681=LINE('',#7680,#7679); +#7682=DIRECTION('',(0.E0,1.E0,0.E0)); +#7683=VECTOR('',#7682,2.100206839205E0); +#7684=CARTESIAN_POINT('',(-1.61E1,9.114936490539E0,-1.9E1)); +#7685=LINE('',#7684,#7683); +#7686=DIRECTION('',(1.E0,0.E0,0.E0)); +#7687=VECTOR('',#7686,2.2E0); +#7688=CARTESIAN_POINT('',(-1.83E1,1.121514332974E1,-1.9E1)); +#7689=LINE('',#7688,#7687); +#7690=DIRECTION('',(0.E0,1.E0,0.E0)); +#7691=VECTOR('',#7690,2.100206839205E0); +#7692=CARTESIAN_POINT('',(-1.83E1,9.114936490539E0,-1.9E1)); +#7693=LINE('',#7692,#7691); +#7694=DIRECTION('',(0.E0,1.E0,0.E0)); +#7695=VECTOR('',#7694,2.100206839205E0); +#7696=CARTESIAN_POINT('',(-2.04E1,9.114936490539E0,-1.9E1)); +#7697=LINE('',#7696,#7695); +#7698=DIRECTION('',(1.E0,0.E0,0.E0)); +#7699=VECTOR('',#7698,2.2E0); +#7700=CARTESIAN_POINT('',(-2.26E1,1.121514332974E1,-1.9E1)); +#7701=LINE('',#7700,#7699); +#7702=DIRECTION('',(0.E0,1.E0,0.E0)); +#7703=VECTOR('',#7702,2.100206839205E0); +#7704=CARTESIAN_POINT('',(-2.26E1,9.114936490539E0,-1.9E1)); +#7705=LINE('',#7704,#7703); +#7706=DIRECTION('',(0.E0,1.E0,0.E0)); +#7707=VECTOR('',#7706,2.100206839205E0); +#7708=CARTESIAN_POINT('',(-2.47E1,9.114936490539E0,-1.9E1)); +#7709=LINE('',#7708,#7707); +#7710=DIRECTION('',(1.E0,0.E0,0.E0)); +#7711=VECTOR('',#7710,2.2E0); +#7712=CARTESIAN_POINT('',(-2.69E1,1.121514332974E1,-1.9E1)); +#7713=LINE('',#7712,#7711); +#7714=DIRECTION('',(0.E0,1.E0,0.E0)); +#7715=VECTOR('',#7714,2.100206839205E0); +#7716=CARTESIAN_POINT('',(-2.69E1,9.114936490539E0,-1.9E1)); +#7717=LINE('',#7716,#7715); +#7718=DIRECTION('',(0.E0,1.E0,0.E0)); +#7719=VECTOR('',#7718,2.100206839205E0); +#7720=CARTESIAN_POINT('',(-2.9E1,9.114936490539E0,-1.9E1)); +#7721=LINE('',#7720,#7719); +#7722=DIRECTION('',(1.E0,0.E0,0.E0)); +#7723=VECTOR('',#7722,2.2E0); +#7724=CARTESIAN_POINT('',(-3.12E1,1.121514332974E1,-1.9E1)); +#7725=LINE('',#7724,#7723); +#7726=DIRECTION('',(0.E0,1.E0,0.E0)); +#7727=VECTOR('',#7726,2.100206839205E0); +#7728=CARTESIAN_POINT('',(-3.12E1,9.114936490539E0,-1.9E1)); +#7729=LINE('',#7728,#7727); +#7730=DIRECTION('',(0.E0,1.E0,0.E0)); +#7731=VECTOR('',#7730,2.100206839205E0); +#7732=CARTESIAN_POINT('',(-3.33E1,9.114936490539E0,-1.9E1)); +#7733=LINE('',#7732,#7731); +#7734=DIRECTION('',(1.E0,0.E0,0.E0)); +#7735=VECTOR('',#7734,2.2E0); +#7736=CARTESIAN_POINT('',(-3.55E1,1.121514332974E1,-1.9E1)); +#7737=LINE('',#7736,#7735); +#7738=DIRECTION('',(0.E0,1.E0,0.E0)); +#7739=VECTOR('',#7738,2.100206839205E0); +#7740=CARTESIAN_POINT('',(-3.55E1,9.114936490539E0,-1.9E1)); +#7741=LINE('',#7740,#7739); +#7742=DIRECTION('',(0.E0,1.E0,0.E0)); +#7743=VECTOR('',#7742,2.100206839205E0); +#7744=CARTESIAN_POINT('',(-3.76E1,9.114936490539E0,-1.9E1)); +#7745=LINE('',#7744,#7743); +#7746=DIRECTION('',(1.E0,0.E0,0.E0)); +#7747=VECTOR('',#7746,2.2E0); +#7748=CARTESIAN_POINT('',(-3.98E1,1.121514332974E1,-1.9E1)); +#7749=LINE('',#7748,#7747); +#7750=DIRECTION('',(0.E0,1.E0,0.E0)); +#7751=VECTOR('',#7750,2.100206839205E0); +#7752=CARTESIAN_POINT('',(-3.98E1,9.114936490539E0,-1.9E1)); +#7753=LINE('',#7752,#7751); +#7754=DIRECTION('',(0.E0,1.E0,0.E0)); +#7755=VECTOR('',#7754,2.100206839205E0); +#7756=CARTESIAN_POINT('',(9.7E0,9.114936490539E0,-1.9E1)); +#7757=LINE('',#7756,#7755); +#7758=DIRECTION('',(1.E0,0.E0,0.E0)); +#7759=VECTOR('',#7758,2.2E0); +#7760=CARTESIAN_POINT('',(7.5E0,1.121514332974E1,-1.9E1)); +#7761=LINE('',#7760,#7759); +#7762=DIRECTION('',(0.E0,1.E0,0.E0)); +#7763=VECTOR('',#7762,2.100206839205E0); +#7764=CARTESIAN_POINT('',(5.11E1,9.114936490539E0,-1.9E1)); +#7765=LINE('',#7764,#7763); +#7766=DIRECTION('',(0.E0,1.E0,0.E0)); +#7767=VECTOR('',#7766,2.100206839205E0); +#7768=CARTESIAN_POINT('',(4.9E1,9.114936490539E0,-1.9E1)); +#7769=LINE('',#7768,#7767); +#7770=DIRECTION('',(1.E0,0.E0,0.E0)); +#7771=VECTOR('',#7770,2.2E0); +#7772=CARTESIAN_POINT('',(4.68E1,1.121514332974E1,-1.9E1)); +#7773=LINE('',#7772,#7771); +#7774=DIRECTION('',(0.E0,1.E0,0.E0)); +#7775=VECTOR('',#7774,2.100206839205E0); +#7776=CARTESIAN_POINT('',(4.68E1,9.114936490539E0,-1.9E1)); +#7777=LINE('',#7776,#7775); +#7778=DIRECTION('',(0.E0,1.E0,0.E0)); +#7779=VECTOR('',#7778,2.100206839205E0); +#7780=CARTESIAN_POINT('',(4.47E1,9.114936490539E0,-1.9E1)); +#7781=LINE('',#7780,#7779); +#7782=DIRECTION('',(1.E0,0.E0,0.E0)); +#7783=VECTOR('',#7782,2.2E0); +#7784=CARTESIAN_POINT('',(4.25E1,1.121514332974E1,-1.9E1)); +#7785=LINE('',#7784,#7783); +#7786=DIRECTION('',(0.E0,1.E0,0.E0)); +#7787=VECTOR('',#7786,2.100206839205E0); +#7788=CARTESIAN_POINT('',(4.25E1,9.114936490539E0,-1.9E1)); +#7789=LINE('',#7788,#7787); +#7790=DIRECTION('',(0.E0,1.E0,0.E0)); +#7791=VECTOR('',#7790,2.100206839205E0); +#7792=CARTESIAN_POINT('',(4.04E1,9.114936490539E0,-1.9E1)); +#7793=LINE('',#7792,#7791); +#7794=DIRECTION('',(1.E0,0.E0,0.E0)); +#7795=VECTOR('',#7794,2.2E0); +#7796=CARTESIAN_POINT('',(3.82E1,1.121514332974E1,-1.9E1)); +#7797=LINE('',#7796,#7795); +#7798=DIRECTION('',(0.E0,1.E0,0.E0)); +#7799=VECTOR('',#7798,2.100206839205E0); +#7800=CARTESIAN_POINT('',(3.82E1,9.114936490539E0,-1.9E1)); +#7801=LINE('',#7800,#7799); +#7802=DIRECTION('',(0.E0,1.E0,0.E0)); +#7803=VECTOR('',#7802,2.100206839205E0); +#7804=CARTESIAN_POINT('',(3.61E1,9.114936490539E0,-1.9E1)); +#7805=LINE('',#7804,#7803); +#7806=DIRECTION('',(1.E0,0.E0,0.E0)); +#7807=VECTOR('',#7806,2.2E0); +#7808=CARTESIAN_POINT('',(3.39E1,1.121514332974E1,-1.9E1)); +#7809=LINE('',#7808,#7807); +#7810=DIRECTION('',(0.E0,1.E0,0.E0)); +#7811=VECTOR('',#7810,2.100206839205E0); +#7812=CARTESIAN_POINT('',(3.39E1,9.114936490539E0,-1.9E1)); +#7813=LINE('',#7812,#7811); +#7814=DIRECTION('',(0.E0,1.E0,0.E0)); +#7815=VECTOR('',#7814,2.100206839205E0); +#7816=CARTESIAN_POINT('',(3.18E1,9.114936490539E0,-1.9E1)); +#7817=LINE('',#7816,#7815); +#7818=DIRECTION('',(1.E0,0.E0,0.E0)); +#7819=VECTOR('',#7818,2.2E0); +#7820=CARTESIAN_POINT('',(2.96E1,1.121514332974E1,-1.9E1)); +#7821=LINE('',#7820,#7819); +#7822=DIRECTION('',(0.E0,1.E0,0.E0)); +#7823=VECTOR('',#7822,2.100206839205E0); +#7824=CARTESIAN_POINT('',(2.96E1,9.114936490539E0,-1.9E1)); +#7825=LINE('',#7824,#7823); +#7826=DIRECTION('',(0.E0,1.E0,0.E0)); +#7827=VECTOR('',#7826,2.100206839205E0); +#7828=CARTESIAN_POINT('',(2.75E1,9.114936490539E0,-1.9E1)); +#7829=LINE('',#7828,#7827); +#7830=DIRECTION('',(1.E0,0.E0,0.E0)); +#7831=VECTOR('',#7830,2.2E0); +#7832=CARTESIAN_POINT('',(2.53E1,1.121514332974E1,-1.9E1)); +#7833=LINE('',#7832,#7831); +#7834=DIRECTION('',(0.E0,1.E0,0.E0)); +#7835=VECTOR('',#7834,2.100206839205E0); +#7836=CARTESIAN_POINT('',(2.53E1,9.114936490539E0,-1.9E1)); +#7837=LINE('',#7836,#7835); +#7838=DIRECTION('',(0.E0,1.E0,0.E0)); +#7839=VECTOR('',#7838,2.100206839205E0); +#7840=CARTESIAN_POINT('',(5.33E1,9.114936490539E0,-1.9E1)); +#7841=LINE('',#7840,#7839); +#7842=DIRECTION('',(1.E0,0.E0,0.E0)); +#7843=VECTOR('',#7842,2.2E0); +#7844=CARTESIAN_POINT('',(5.11E1,1.121514332974E1,-1.9E1)); +#7845=LINE('',#7844,#7843); +#7846=DIRECTION('',(0.E0,1.E0,0.E0)); +#7847=VECTOR('',#7846,1.4E0); +#7848=CARTESIAN_POINT('',(7.5E0,9.63E0,-1.795E1)); +#7849=LINE('',#7848,#7847); +#7850=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7851=VECTOR('',#7850,2.128287321091E-1); +#7852=CARTESIAN_POINT('',(7.5E0,9.63E0,-1.795E1)); +#7853=LINE('',#7852,#7851); +#7854=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#7855=VECTOR('',#7854,2.969378216362E0); +#7856=CARTESIAN_POINT('',(7.5E0,9.63E0,-1.816282873211E1)); +#7857=LINE('',#7856,#7855); +#7858=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#7859=VECTOR('',#7858,1.066197942480E0); +#7860=CARTESIAN_POINT('',(7.5E0,1.121514332974E1,-1.9E1)); +#7861=LINE('',#7860,#7859); +#7862=DIRECTION('',(0.E0,1.E0,0.E0)); +#7863=VECTOR('',#7862,1.4E0); +#7864=CARTESIAN_POINT('',(7.5E0,8.3E-1,-1.795E1)); +#7865=LINE('',#7864,#7863); +#7866=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7867=VECTOR('',#7866,1.7E-1); +#7868=CARTESIAN_POINT('',(7.5E0,8.3E-1,-1.795E1)); +#7869=LINE('',#7868,#7867); +#7870=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#7871=VECTOR('',#7870,2.973688447842E0); +#7872=CARTESIAN_POINT('',(7.5E0,8.3E-1,-1.812E1)); +#7873=LINE('',#7872,#7871); +#7874=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#7875=VECTOR('',#7874,2.007639675087E0); +#7876=CARTESIAN_POINT('',(7.5E0,2.404977327052E0,-1.995E1)); +#7877=LINE('',#7876,#7875); +#7878=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#7879=VECTOR('',#7878,5.196152422707E-1); +#7880=CARTESIAN_POINT('',(9.4E0,1.073E1,-1.765E1)); +#7881=LINE('',#7880,#7879); +#7882=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#7883=VECTOR('',#7882,5.196152422707E-1); +#7884=CARTESIAN_POINT('',(7.5E0,1.103E1,-1.795E1)); +#7885=LINE('',#7884,#7883); +#7886=DIRECTION('',(0.E0,1.E0,0.E0)); +#7887=VECTOR('',#7886,8.E-1); +#7888=CARTESIAN_POINT('',(7.8E0,9.93E0,-1.765E1)); +#7889=LINE('',#7888,#7887); +#7890=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7891=VECTOR('',#7890,1.6E0); +#7892=CARTESIAN_POINT('',(9.4E0,1.073E1,-1.765E1)); +#7893=LINE('',#7892,#7891); +#7894=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7895=VECTOR('',#7894,8.E-1); +#7896=CARTESIAN_POINT('',(9.4E0,1.073E1,-1.765E1)); +#7897=LINE('',#7896,#7895); +#7898=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7899=VECTOR('',#7898,1.6E0); +#7900=CARTESIAN_POINT('',(9.4E0,9.93E0,-1.765E1)); +#7901=LINE('',#7900,#7899); +#7902=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7903=VECTOR('',#7902,6.3E-1); +#7904=CARTESIAN_POINT('',(8.3E0,1.0645E1,-1.765E1)); +#7905=LINE('',#7904,#7903); +#7906=DIRECTION('',(0.E0,0.E0,1.E0)); +#7907=VECTOR('',#7906,5.9E0); +#7908=CARTESIAN_POINT('',(8.3E0,1.0645E1,-1.765E1)); +#7909=LINE('',#7908,#7907); +#7910=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7911=VECTOR('',#7910,6.E-1); +#7912=CARTESIAN_POINT('',(8.9E0,1.0645E1,-1.765E1)); +#7913=LINE('',#7912,#7911); +#7914=DIRECTION('',(0.E0,0.E0,1.E0)); +#7915=VECTOR('',#7914,5.9E0); +#7916=CARTESIAN_POINT('',(8.9E0,1.0645E1,-1.765E1)); +#7917=LINE('',#7916,#7915); +#7918=DIRECTION('',(0.E0,1.E0,0.E0)); +#7919=VECTOR('',#7918,6.3E-1); +#7920=CARTESIAN_POINT('',(8.9E0,1.0015E1,-1.765E1)); +#7921=LINE('',#7920,#7919); +#7922=DIRECTION('',(0.E0,0.E0,1.E0)); +#7923=VECTOR('',#7922,5.9E0); +#7924=CARTESIAN_POINT('',(8.9E0,1.0015E1,-1.765E1)); +#7925=LINE('',#7924,#7923); +#7926=DIRECTION('',(1.E0,0.E0,0.E0)); +#7927=VECTOR('',#7926,6.E-1); +#7928=CARTESIAN_POINT('',(8.3E0,1.0015E1,-1.765E1)); +#7929=LINE('',#7928,#7927); +#7930=DIRECTION('',(0.E0,0.E0,1.E0)); +#7931=VECTOR('',#7930,5.9E0); +#7932=CARTESIAN_POINT('',(8.3E0,1.0015E1,-1.765E1)); +#7933=LINE('',#7932,#7931); +#7934=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7935=VECTOR('',#7934,6.3E-1); +#7936=CARTESIAN_POINT('',(8.3E0,1.0645E1,-1.175E1)); +#7937=LINE('',#7936,#7935); +#7938=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7939=VECTOR('',#7938,6.E-1); +#7940=CARTESIAN_POINT('',(8.9E0,1.0645E1,-1.175E1)); +#7941=LINE('',#7940,#7939); +#7942=DIRECTION('',(0.E0,1.E0,0.E0)); +#7943=VECTOR('',#7942,6.3E-1); +#7944=CARTESIAN_POINT('',(8.9E0,1.0015E1,-1.175E1)); +#7945=LINE('',#7944,#7943); +#7946=DIRECTION('',(1.E0,0.E0,0.E0)); +#7947=VECTOR('',#7946,6.E-1); +#7948=CARTESIAN_POINT('',(8.3E0,1.0015E1,-1.175E1)); +#7949=LINE('',#7948,#7947); +#7950=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7951=VECTOR('',#7950,6.3E-1); +#7952=CARTESIAN_POINT('',(8.3E0,1.845E0,-1.175E1)); +#7953=LINE('',#7952,#7951); +#7954=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7955=VECTOR('',#7954,6.E-1); +#7956=CARTESIAN_POINT('',(8.9E0,1.845E0,-1.175E1)); +#7957=LINE('',#7956,#7955); +#7958=DIRECTION('',(0.E0,1.E0,0.E0)); +#7959=VECTOR('',#7958,6.3E-1); +#7960=CARTESIAN_POINT('',(8.9E0,1.215E0,-1.175E1)); +#7961=LINE('',#7960,#7959); +#7962=DIRECTION('',(1.E0,0.E0,0.E0)); +#7963=VECTOR('',#7962,6.E-1); +#7964=CARTESIAN_POINT('',(8.3E0,1.215E0,-1.175E1)); +#7965=LINE('',#7964,#7963); +#7966=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7967=VECTOR('',#7966,6.3E-1); +#7968=CARTESIAN_POINT('',(8.3E0,1.845E0,-1.765E1)); +#7969=LINE('',#7968,#7967); +#7970=DIRECTION('',(0.E0,0.E0,1.E0)); +#7971=VECTOR('',#7970,5.9E0); +#7972=CARTESIAN_POINT('',(8.3E0,1.845E0,-1.765E1)); +#7973=LINE('',#7972,#7971); +#7974=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7975=VECTOR('',#7974,8.E-1); +#7976=CARTESIAN_POINT('',(9.4E0,1.93E0,-1.765E1)); +#7977=LINE('',#7976,#7975); +#7978=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7979=VECTOR('',#7978,1.6E0); +#7980=CARTESIAN_POINT('',(9.4E0,1.13E0,-1.765E1)); +#7981=LINE('',#7980,#7979); +#7982=DIRECTION('',(0.E0,1.E0,0.E0)); +#7983=VECTOR('',#7982,8.E-1); +#7984=CARTESIAN_POINT('',(7.8E0,1.13E0,-1.765E1)); +#7985=LINE('',#7984,#7983); +#7986=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7987=VECTOR('',#7986,1.6E0); +#7988=CARTESIAN_POINT('',(9.4E0,1.93E0,-1.765E1)); +#7989=LINE('',#7988,#7987); +#7990=DIRECTION('',(1.E0,0.E0,0.E0)); +#7991=VECTOR('',#7990,6.E-1); +#7992=CARTESIAN_POINT('',(8.3E0,1.215E0,-1.765E1)); +#7993=LINE('',#7992,#7991); +#7994=DIRECTION('',(0.E0,0.E0,1.E0)); +#7995=VECTOR('',#7994,5.9E0); +#7996=CARTESIAN_POINT('',(8.3E0,1.215E0,-1.765E1)); +#7997=LINE('',#7996,#7995); +#7998=DIRECTION('',(0.E0,1.E0,0.E0)); +#7999=VECTOR('',#7998,6.3E-1); +#8000=CARTESIAN_POINT('',(8.9E0,1.215E0,-1.765E1)); +#8001=LINE('',#8000,#7999); +#8002=DIRECTION('',(0.E0,0.E0,1.E0)); +#8003=VECTOR('',#8002,5.9E0); +#8004=CARTESIAN_POINT('',(8.9E0,1.215E0,-1.765E1)); +#8005=LINE('',#8004,#8003); +#8006=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8007=VECTOR('',#8006,6.E-1); +#8008=CARTESIAN_POINT('',(8.9E0,1.845E0,-1.765E1)); +#8009=LINE('',#8008,#8007); +#8010=DIRECTION('',(0.E0,0.E0,1.E0)); +#8011=VECTOR('',#8010,5.9E0); +#8012=CARTESIAN_POINT('',(8.9E0,1.845E0,-1.765E1)); +#8013=LINE('',#8012,#8011); +#8014=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#8015=VECTOR('',#8014,5.196152422707E-1); +#8016=CARTESIAN_POINT('',(9.4E0,1.13E0,-1.765E1)); +#8017=LINE('',#8016,#8015); +#8018=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#8019=VECTOR('',#8018,5.196152422707E-1); +#8020=CARTESIAN_POINT('',(9.4E0,1.93E0,-1.765E1)); +#8021=LINE('',#8020,#8019); +#8022=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#8023=VECTOR('',#8022,5.196152422707E-1); +#8024=CARTESIAN_POINT('',(7.8E0,1.93E0,-1.765E1)); +#8025=LINE('',#8024,#8023); +#8026=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#8027=VECTOR('',#8026,5.196152422707E-1); +#8028=CARTESIAN_POINT('',(7.8E0,1.13E0,-1.765E1)); +#8029=LINE('',#8028,#8027); +#8030=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8031=VECTOR('',#8030,2.2E0); +#8032=CARTESIAN_POINT('',(9.7E0,8.3E-1,-1.795E1)); +#8033=LINE('',#8032,#8031); +#8034=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8035=VECTOR('',#8034,1.4E0); +#8036=CARTESIAN_POINT('',(9.7E0,1.103E1,-1.795E1)); +#8037=LINE('',#8036,#8035); +#8038=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#8039=VECTOR('',#8038,1.066197942480E0); +#8040=CARTESIAN_POINT('',(9.7E0,1.121514332974E1,-1.9E1)); +#8041=LINE('',#8040,#8039); +#8042=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#8043=VECTOR('',#8042,3.115838020231E0); +#8044=CARTESIAN_POINT('',(9.7E0,9.63E0,-1.816282873211E1)); +#8045=LINE('',#8044,#8043); +#8046=DIRECTION('',(-2.503924383419E-14,0.E0,-1.E0)); +#8047=VECTOR('',#8046,2.128287321091E-1); +#8048=CARTESIAN_POINT('',(9.7E0,9.63E0,-1.795E1)); +#8049=LINE('',#8048,#8047); +#8050=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8051=VECTOR('',#8050,1.4E0); +#8052=CARTESIAN_POINT('',(9.7E0,2.23E0,-1.795E1)); +#8053=LINE('',#8052,#8051); +#8054=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#8055=VECTOR('',#8054,2.007639675087E0); +#8056=CARTESIAN_POINT('',(9.7E0,2.404977327052E0,-1.995E1)); +#8057=LINE('',#8056,#8055); +#8058=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#8059=VECTOR('',#8058,3.190507635987E0); +#8060=CARTESIAN_POINT('',(9.7E0,8.3E-1,-1.812E1)); +#8061=LINE('',#8060,#8059); +#8062=DIRECTION('',(-2.089831575765E-14,0.E0,-1.E0)); +#8063=VECTOR('',#8062,1.7E-1); +#8064=CARTESIAN_POINT('',(9.7E0,8.3E-1,-1.795E1)); +#8065=LINE('',#8064,#8063); +#8066=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8067=VECTOR('',#8066,2.2E0); +#8068=CARTESIAN_POINT('',(9.7E0,1.103E1,-1.795E1)); +#8069=LINE('',#8068,#8067); +#8070=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8071=VECTOR('',#8070,2.112913418632E0); +#8072=CARTESIAN_POINT('',(-3.98E1,9.114936490539E0,-1.9E1)); +#8073=LINE('',#8072,#8071); +#8074=DIRECTION('',(1.E0,0.E0,0.E0)); +#8075=VECTOR('',#8074,2.15E0); +#8076=CARTESIAN_POINT('',(-4.195E1,7.199986605899E0,-1.989295579619E1)); +#8077=LINE('',#8076,#8075); +#8078=DIRECTION('',(0.E0,9.063077870366E-1,4.226182617407E-1)); +#8079=VECTOR('',#8078,1.349780853631E-1); +#8080=CARTESIAN_POINT('',(-4.195E1,7.077654916055E0,-1.995E1)); +#8081=LINE('',#8080,#8079); +#8082=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8083=VECTOR('',#8082,1.485745937528E1); +#8084=CARTESIAN_POINT('',(-3.98E1,9.114936490539E0,-1.9E1)); +#8085=LINE('',#8084,#8083); +#8086=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8087=VECTOR('',#8086,2.112913418632E0); +#8088=CARTESIAN_POINT('',(-3.55E1,9.114936490539E0,-1.9E1)); +#8089=LINE('',#8088,#8087); +#8090=DIRECTION('',(1.E0,0.E0,0.E0)); +#8091=VECTOR('',#8090,2.1E0); +#8092=CARTESIAN_POINT('',(-3.76E1,7.199986605899E0,-1.989295579619E1)); +#8093=LINE('',#8092,#8091); +#8094=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8095=VECTOR('',#8094,2.112913418632E0); +#8096=CARTESIAN_POINT('',(-3.76E1,9.114936490539E0,-1.9E1)); +#8097=LINE('',#8096,#8095); +#8098=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8099=VECTOR('',#8098,2.1E0); +#8100=CARTESIAN_POINT('',(-3.55E1,9.114936490539E0,-1.9E1)); +#8101=LINE('',#8100,#8099); +#8102=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8103=VECTOR('',#8102,2.112913418632E0); +#8104=CARTESIAN_POINT('',(-3.12E1,9.114936490539E0,-1.9E1)); +#8105=LINE('',#8104,#8103); +#8106=DIRECTION('',(1.E0,0.E0,0.E0)); +#8107=VECTOR('',#8106,2.1E0); +#8108=CARTESIAN_POINT('',(-3.33E1,7.199986605899E0,-1.989295579619E1)); +#8109=LINE('',#8108,#8107); +#8110=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8111=VECTOR('',#8110,2.112913418632E0); +#8112=CARTESIAN_POINT('',(-3.33E1,9.114936490539E0,-1.9E1)); +#8113=LINE('',#8112,#8111); +#8114=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8115=VECTOR('',#8114,2.1E0); +#8116=CARTESIAN_POINT('',(-3.12E1,9.114936490539E0,-1.9E1)); +#8117=LINE('',#8116,#8115); +#8118=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8119=VECTOR('',#8118,2.112913418632E0); +#8120=CARTESIAN_POINT('',(-2.69E1,9.114936490539E0,-1.9E1)); +#8121=LINE('',#8120,#8119); +#8122=DIRECTION('',(1.E0,0.E0,0.E0)); +#8123=VECTOR('',#8122,2.1E0); +#8124=CARTESIAN_POINT('',(-2.9E1,7.199986605899E0,-1.989295579619E1)); +#8125=LINE('',#8124,#8123); +#8126=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8127=VECTOR('',#8126,2.112913418632E0); +#8128=CARTESIAN_POINT('',(-2.9E1,9.114936490539E0,-1.9E1)); +#8129=LINE('',#8128,#8127); +#8130=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8131=VECTOR('',#8130,2.1E0); +#8132=CARTESIAN_POINT('',(-2.69E1,9.114936490539E0,-1.9E1)); +#8133=LINE('',#8132,#8131); +#8134=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8135=VECTOR('',#8134,2.112913418632E0); +#8136=CARTESIAN_POINT('',(-2.26E1,9.114936490539E0,-1.9E1)); +#8137=LINE('',#8136,#8135); +#8138=DIRECTION('',(1.E0,0.E0,0.E0)); +#8139=VECTOR('',#8138,2.1E0); +#8140=CARTESIAN_POINT('',(-2.47E1,7.199986605899E0,-1.989295579619E1)); +#8141=LINE('',#8140,#8139); +#8142=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8143=VECTOR('',#8142,2.112913418632E0); +#8144=CARTESIAN_POINT('',(-2.47E1,9.114936490539E0,-1.9E1)); +#8145=LINE('',#8144,#8143); +#8146=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8147=VECTOR('',#8146,2.1E0); +#8148=CARTESIAN_POINT('',(-2.26E1,9.114936490539E0,-1.9E1)); +#8149=LINE('',#8148,#8147); +#8150=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8151=VECTOR('',#8150,2.112913418632E0); +#8152=CARTESIAN_POINT('',(-1.83E1,9.114936490539E0,-1.9E1)); +#8153=LINE('',#8152,#8151); +#8154=DIRECTION('',(1.E0,0.E0,0.E0)); +#8155=VECTOR('',#8154,2.1E0); +#8156=CARTESIAN_POINT('',(-2.04E1,7.199986605899E0,-1.989295579619E1)); +#8157=LINE('',#8156,#8155); +#8158=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8159=VECTOR('',#8158,2.112913418632E0); +#8160=CARTESIAN_POINT('',(-2.04E1,9.114936490539E0,-1.9E1)); +#8161=LINE('',#8160,#8159); +#8162=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8163=VECTOR('',#8162,2.1E0); +#8164=CARTESIAN_POINT('',(-1.83E1,9.114936490539E0,-1.9E1)); +#8165=LINE('',#8164,#8163); +#8166=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8167=VECTOR('',#8166,2.112913418632E0); +#8168=CARTESIAN_POINT('',(-1.4E1,9.114936490539E0,-1.9E1)); +#8169=LINE('',#8168,#8167); +#8170=DIRECTION('',(1.E0,0.E0,0.E0)); +#8171=VECTOR('',#8170,2.1E0); +#8172=CARTESIAN_POINT('',(-1.61E1,7.199986605899E0,-1.989295579619E1)); +#8173=LINE('',#8172,#8171); +#8174=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8175=VECTOR('',#8174,2.112913418632E0); +#8176=CARTESIAN_POINT('',(-1.61E1,9.114936490539E0,-1.9E1)); +#8177=LINE('',#8176,#8175); +#8178=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8179=VECTOR('',#8178,2.1E0); +#8180=CARTESIAN_POINT('',(-1.4E1,9.114936490539E0,-1.9E1)); +#8181=LINE('',#8180,#8179); +#8182=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8183=VECTOR('',#8182,2.112913418632E0); +#8184=CARTESIAN_POINT('',(-9.7E0,9.114936490539E0,-1.9E1)); +#8185=LINE('',#8184,#8183); +#8186=DIRECTION('',(1.E0,0.E0,0.E0)); +#8187=VECTOR('',#8186,2.1E0); +#8188=CARTESIAN_POINT('',(-1.18E1,7.199986605899E0,-1.989295579619E1)); +#8189=LINE('',#8188,#8187); +#8190=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8191=VECTOR('',#8190,2.112913418632E0); +#8192=CARTESIAN_POINT('',(-1.18E1,9.114936490539E0,-1.9E1)); +#8193=LINE('',#8192,#8191); +#8194=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8195=VECTOR('',#8194,2.1E0); +#8196=CARTESIAN_POINT('',(-9.7E0,9.114936490539E0,-1.9E1)); +#8197=LINE('',#8196,#8195); +#8198=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8199=VECTOR('',#8198,2.112913418632E0); +#8200=CARTESIAN_POINT('',(-5.4E0,9.114936490539E0,-1.9E1)); +#8201=LINE('',#8200,#8199); +#8202=DIRECTION('',(1.E0,0.E0,0.E0)); +#8203=VECTOR('',#8202,2.1E0); +#8204=CARTESIAN_POINT('',(-7.5E0,7.199986605899E0,-1.989295579619E1)); +#8205=LINE('',#8204,#8203); +#8206=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8207=VECTOR('',#8206,2.112913418632E0); +#8208=CARTESIAN_POINT('',(-7.5E0,9.114936490539E0,-1.9E1)); +#8209=LINE('',#8208,#8207); +#8210=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8211=VECTOR('',#8210,2.1E0); +#8212=CARTESIAN_POINT('',(-5.4E0,9.114936490539E0,-1.9E1)); +#8213=LINE('',#8212,#8211); +#8214=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8215=VECTOR('',#8214,2.112913418632E0); +#8216=CARTESIAN_POINT('',(-1.1E0,9.114936490539E0,-1.9E1)); +#8217=LINE('',#8216,#8215); +#8218=DIRECTION('',(1.E0,0.E0,0.E0)); +#8219=VECTOR('',#8218,2.1E0); +#8220=CARTESIAN_POINT('',(-3.2E0,7.199986605899E0,-1.989295579619E1)); +#8221=LINE('',#8220,#8219); +#8222=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8223=VECTOR('',#8222,2.112913418632E0); +#8224=CARTESIAN_POINT('',(-3.2E0,9.114936490539E0,-1.9E1)); +#8225=LINE('',#8224,#8223); +#8226=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8227=VECTOR('',#8226,2.1E0); +#8228=CARTESIAN_POINT('',(-1.1E0,9.114936490539E0,-1.9E1)); +#8229=LINE('',#8228,#8227); +#8230=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8231=VECTOR('',#8230,2.112913418632E0); +#8232=CARTESIAN_POINT('',(3.2E0,9.114936490539E0,-1.9E1)); +#8233=LINE('',#8232,#8231); +#8234=DIRECTION('',(1.E0,0.E0,0.E0)); +#8235=VECTOR('',#8234,2.1E0); +#8236=CARTESIAN_POINT('',(1.1E0,7.199986605899E0,-1.989295579619E1)); +#8237=LINE('',#8236,#8235); +#8238=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8239=VECTOR('',#8238,2.112913418632E0); +#8240=CARTESIAN_POINT('',(1.1E0,9.114936490539E0,-1.9E1)); +#8241=LINE('',#8240,#8239); +#8242=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8243=VECTOR('',#8242,2.1E0); +#8244=CARTESIAN_POINT('',(3.2E0,9.114936490539E0,-1.9E1)); +#8245=LINE('',#8244,#8243); +#8246=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8247=VECTOR('',#8246,2.112913418632E0); +#8248=CARTESIAN_POINT('',(7.5E0,9.114936490539E0,-1.9E1)); +#8249=LINE('',#8248,#8247); +#8250=DIRECTION('',(1.E0,0.E0,0.E0)); +#8251=VECTOR('',#8250,2.1E0); +#8252=CARTESIAN_POINT('',(5.4E0,7.199986605899E0,-1.989295579619E1)); +#8253=LINE('',#8252,#8251); +#8254=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8255=VECTOR('',#8254,2.112913418632E0); +#8256=CARTESIAN_POINT('',(5.4E0,9.114936490539E0,-1.9E1)); +#8257=LINE('',#8256,#8255); +#8258=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8259=VECTOR('',#8258,2.1E0); +#8260=CARTESIAN_POINT('',(7.5E0,9.114936490539E0,-1.9E1)); +#8261=LINE('',#8260,#8259); +#8262=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8263=VECTOR('',#8262,2.247891503995E0); +#8264=CARTESIAN_POINT('',(9.7E0,9.114936490539E0,-1.9E1)); +#8265=LINE('',#8264,#8263); +#8266=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8267=VECTOR('',#8266,1.65E0); +#8268=CARTESIAN_POINT('',(1.135E1,9.114936490539E0,-1.9E1)); +#8269=LINE('',#8268,#8267); +#8270=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8271=VECTOR('',#8270,2.112913418632E0); +#8272=CARTESIAN_POINT('',(2.53E1,9.114936490539E0,-1.9E1)); +#8273=LINE('',#8272,#8271); +#8274=DIRECTION('',(1.E0,0.E0,0.E0)); +#8275=VECTOR('',#8274,2.15E0); +#8276=CARTESIAN_POINT('',(2.315E1,7.199986605899E0,-1.989295579619E1)); +#8277=LINE('',#8276,#8275); +#8278=DIRECTION('',(0.E0,9.063077870367E-1,4.226182617407E-1)); +#8279=VECTOR('',#8278,1.349780853631E-1); +#8280=CARTESIAN_POINT('',(2.315E1,7.077654916055E0,-1.995E1)); +#8281=LINE('',#8280,#8279); +#8282=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8283=VECTOR('',#8282,3.8E0); +#8284=CARTESIAN_POINT('',(2.53E1,9.114936490539E0,-1.9E1)); +#8285=LINE('',#8284,#8283); +#8286=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8287=VECTOR('',#8286,2.112913418632E0); +#8288=CARTESIAN_POINT('',(2.96E1,9.114936490539E0,-1.9E1)); +#8289=LINE('',#8288,#8287); +#8290=DIRECTION('',(1.E0,0.E0,0.E0)); +#8291=VECTOR('',#8290,2.1E0); +#8292=CARTESIAN_POINT('',(2.75E1,7.199986605899E0,-1.989295579619E1)); +#8293=LINE('',#8292,#8291); +#8294=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8295=VECTOR('',#8294,2.112913418632E0); +#8296=CARTESIAN_POINT('',(2.75E1,9.114936490539E0,-1.9E1)); +#8297=LINE('',#8296,#8295); +#8298=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8299=VECTOR('',#8298,2.1E0); +#8300=CARTESIAN_POINT('',(2.96E1,9.114936490539E0,-1.9E1)); +#8301=LINE('',#8300,#8299); +#8302=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8303=VECTOR('',#8302,2.112913418632E0); +#8304=CARTESIAN_POINT('',(3.39E1,9.114936490539E0,-1.9E1)); +#8305=LINE('',#8304,#8303); +#8306=DIRECTION('',(1.E0,0.E0,0.E0)); +#8307=VECTOR('',#8306,2.1E0); +#8308=CARTESIAN_POINT('',(3.18E1,7.199986605899E0,-1.989295579619E1)); +#8309=LINE('',#8308,#8307); +#8310=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8311=VECTOR('',#8310,2.112913418632E0); +#8312=CARTESIAN_POINT('',(3.18E1,9.114936490539E0,-1.9E1)); +#8313=LINE('',#8312,#8311); +#8314=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8315=VECTOR('',#8314,2.1E0); +#8316=CARTESIAN_POINT('',(3.39E1,9.114936490539E0,-1.9E1)); +#8317=LINE('',#8316,#8315); +#8318=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8319=VECTOR('',#8318,2.112913418632E0); +#8320=CARTESIAN_POINT('',(3.82E1,9.114936490539E0,-1.9E1)); +#8321=LINE('',#8320,#8319); +#8322=DIRECTION('',(1.E0,0.E0,0.E0)); +#8323=VECTOR('',#8322,2.1E0); +#8324=CARTESIAN_POINT('',(3.61E1,7.199986605899E0,-1.989295579619E1)); +#8325=LINE('',#8324,#8323); +#8326=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8327=VECTOR('',#8326,2.112913418632E0); +#8328=CARTESIAN_POINT('',(3.61E1,9.114936490539E0,-1.9E1)); +#8329=LINE('',#8328,#8327); +#8330=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8331=VECTOR('',#8330,2.1E0); +#8332=CARTESIAN_POINT('',(3.82E1,9.114936490539E0,-1.9E1)); +#8333=LINE('',#8332,#8331); +#8334=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8335=VECTOR('',#8334,2.112913418632E0); +#8336=CARTESIAN_POINT('',(4.25E1,9.114936490539E0,-1.9E1)); +#8337=LINE('',#8336,#8335); +#8338=DIRECTION('',(1.E0,0.E0,0.E0)); +#8339=VECTOR('',#8338,2.1E0); +#8340=CARTESIAN_POINT('',(4.04E1,7.199986605899E0,-1.989295579619E1)); +#8341=LINE('',#8340,#8339); +#8342=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8343=VECTOR('',#8342,2.112913418632E0); +#8344=CARTESIAN_POINT('',(4.04E1,9.114936490539E0,-1.9E1)); +#8345=LINE('',#8344,#8343); +#8346=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8347=VECTOR('',#8346,2.1E0); +#8348=CARTESIAN_POINT('',(4.25E1,9.114936490539E0,-1.9E1)); +#8349=LINE('',#8348,#8347); +#8350=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8351=VECTOR('',#8350,2.112913418632E0); +#8352=CARTESIAN_POINT('',(4.68E1,9.114936490539E0,-1.9E1)); +#8353=LINE('',#8352,#8351); +#8354=DIRECTION('',(1.E0,0.E0,0.E0)); +#8355=VECTOR('',#8354,2.1E0); +#8356=CARTESIAN_POINT('',(4.47E1,7.199986605899E0,-1.989295579619E1)); +#8357=LINE('',#8356,#8355); +#8358=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8359=VECTOR('',#8358,2.112913418632E0); +#8360=CARTESIAN_POINT('',(4.47E1,9.114936490539E0,-1.9E1)); +#8361=LINE('',#8360,#8359); +#8362=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8363=VECTOR('',#8362,2.1E0); +#8364=CARTESIAN_POINT('',(4.68E1,9.114936490539E0,-1.9E1)); +#8365=LINE('',#8364,#8363); +#8366=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8367=VECTOR('',#8366,2.112913418632E0); +#8368=CARTESIAN_POINT('',(5.11E1,9.114936490539E0,-1.9E1)); +#8369=LINE('',#8368,#8367); +#8370=DIRECTION('',(1.E0,0.E0,0.E0)); +#8371=VECTOR('',#8370,2.1E0); +#8372=CARTESIAN_POINT('',(4.9E1,7.199986605899E0,-1.989295579619E1)); +#8373=LINE('',#8372,#8371); +#8374=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8375=VECTOR('',#8374,2.112913418632E0); +#8376=CARTESIAN_POINT('',(4.9E1,9.114936490539E0,-1.9E1)); +#8377=LINE('',#8376,#8375); +#8378=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8379=VECTOR('',#8378,2.1E0); +#8380=CARTESIAN_POINT('',(5.11E1,9.114936490539E0,-1.9E1)); +#8381=LINE('',#8380,#8379); +#8382=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#8383=VECTOR('',#8382,2.247891503995E0); +#8384=CARTESIAN_POINT('',(5.33E1,9.114936490539E0,-1.9E1)); +#8385=LINE('',#8384,#8383); +#8386=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8387=VECTOR('',#8386,1.95E0); +#8388=CARTESIAN_POINT('',(5.525E1,9.114936490539E0,-1.9E1)); +#8389=LINE('',#8388,#8387); +#8390=DIRECTION('',(0.E0,1.E0,0.E0)); +#8391=VECTOR('',#8390,1.4E0); +#8392=CARTESIAN_POINT('',(-3.98E1,9.63E0,-1.795E1)); +#8393=LINE('',#8392,#8391); +#8394=DIRECTION('',(3.338565844558E-14,0.E0,-1.E0)); +#8395=VECTOR('',#8394,2.128287321091E-1); +#8396=CARTESIAN_POINT('',(-3.98E1,9.63E0,-1.795E1)); +#8397=LINE('',#8396,#8395); +#8398=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#8399=VECTOR('',#8398,2.969378216362E0); +#8400=CARTESIAN_POINT('',(-3.98E1,9.63E0,-1.816282873211E1)); +#8401=LINE('',#8400,#8399); +#8402=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#8403=VECTOR('',#8402,1.066197942480E0); +#8404=CARTESIAN_POINT('',(-3.98E1,1.121514332974E1,-1.9E1)); +#8405=LINE('',#8404,#8403); +#8406=DIRECTION('',(0.E0,1.E0,0.E0)); +#8407=VECTOR('',#8406,1.4E0); +#8408=CARTESIAN_POINT('',(-3.98E1,8.3E-1,-1.795E1)); +#8409=LINE('',#8408,#8407); +#8410=DIRECTION('',(4.179663151530E-14,0.E0,-1.E0)); +#8411=VECTOR('',#8410,1.7E-1); +#8412=CARTESIAN_POINT('',(-3.98E1,8.3E-1,-1.795E1)); +#8413=LINE('',#8412,#8411); +#8414=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#8415=VECTOR('',#8414,2.973688447842E0); +#8416=CARTESIAN_POINT('',(-3.98E1,8.3E-1,-1.812E1)); +#8417=LINE('',#8416,#8415); +#8418=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#8419=VECTOR('',#8418,2.007639675087E0); +#8420=CARTESIAN_POINT('',(-3.98E1,2.404977327052E0,-1.995E1)); +#8421=LINE('',#8420,#8419); +#8422=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#8423=VECTOR('',#8422,5.196152422707E-1); +#8424=CARTESIAN_POINT('',(-3.79E1,1.073E1,-1.765E1)); +#8425=LINE('',#8424,#8423); +#8426=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#8427=VECTOR('',#8426,5.196152422707E-1); +#8428=CARTESIAN_POINT('',(-3.98E1,1.103E1,-1.795E1)); +#8429=LINE('',#8428,#8427); +#8430=DIRECTION('',(0.E0,1.E0,0.E0)); +#8431=VECTOR('',#8430,8.E-1); +#8432=CARTESIAN_POINT('',(-3.95E1,9.93E0,-1.765E1)); +#8433=LINE('',#8432,#8431); +#8434=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8435=VECTOR('',#8434,1.6E0); +#8436=CARTESIAN_POINT('',(-3.79E1,1.073E1,-1.765E1)); +#8437=LINE('',#8436,#8435); +#8438=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8439=VECTOR('',#8438,8.E-1); +#8440=CARTESIAN_POINT('',(-3.79E1,1.073E1,-1.765E1)); +#8441=LINE('',#8440,#8439); +#8442=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8443=VECTOR('',#8442,1.6E0); +#8444=CARTESIAN_POINT('',(-3.79E1,9.93E0,-1.765E1)); +#8445=LINE('',#8444,#8443); +#8446=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8447=VECTOR('',#8446,6.3E-1); +#8448=CARTESIAN_POINT('',(-3.9E1,1.0645E1,-1.765E1)); +#8449=LINE('',#8448,#8447); +#8450=DIRECTION('',(0.E0,0.E0,1.E0)); +#8451=VECTOR('',#8450,5.9E0); +#8452=CARTESIAN_POINT('',(-3.9E1,1.0645E1,-1.765E1)); +#8453=LINE('',#8452,#8451); +#8454=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8455=VECTOR('',#8454,6.E-1); +#8456=CARTESIAN_POINT('',(-3.84E1,1.0645E1,-1.765E1)); +#8457=LINE('',#8456,#8455); +#8458=DIRECTION('',(0.E0,0.E0,1.E0)); +#8459=VECTOR('',#8458,5.9E0); +#8460=CARTESIAN_POINT('',(-3.84E1,1.0645E1,-1.765E1)); +#8461=LINE('',#8460,#8459); +#8462=DIRECTION('',(-1.127845612318E-14,1.E0,0.E0)); +#8463=VECTOR('',#8462,6.3E-1); +#8464=CARTESIAN_POINT('',(-3.84E1,1.0015E1,-1.765E1)); +#8465=LINE('',#8464,#8463); +#8466=DIRECTION('',(0.E0,0.E0,1.E0)); +#8467=VECTOR('',#8466,5.9E0); +#8468=CARTESIAN_POINT('',(-3.84E1,1.0015E1,-1.765E1)); +#8469=LINE('',#8468,#8467); +#8470=DIRECTION('',(1.E0,0.E0,0.E0)); +#8471=VECTOR('',#8470,6.E-1); +#8472=CARTESIAN_POINT('',(-3.9E1,1.0015E1,-1.765E1)); +#8473=LINE('',#8472,#8471); +#8474=DIRECTION('',(0.E0,0.E0,1.E0)); +#8475=VECTOR('',#8474,5.9E0); +#8476=CARTESIAN_POINT('',(-3.9E1,1.0015E1,-1.765E1)); +#8477=LINE('',#8476,#8475); +#8478=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8479=VECTOR('',#8478,6.3E-1); +#8480=CARTESIAN_POINT('',(-3.9E1,1.0645E1,-1.175E1)); +#8481=LINE('',#8480,#8479); +#8482=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8483=VECTOR('',#8482,6.E-1); +#8484=CARTESIAN_POINT('',(-3.84E1,1.0645E1,-1.175E1)); +#8485=LINE('',#8484,#8483); +#8486=DIRECTION('',(-1.127845612318E-14,1.E0,0.E0)); +#8487=VECTOR('',#8486,6.3E-1); +#8488=CARTESIAN_POINT('',(-3.84E1,1.0015E1,-1.175E1)); +#8489=LINE('',#8488,#8487); +#8490=DIRECTION('',(1.E0,0.E0,0.E0)); +#8491=VECTOR('',#8490,6.E-1); +#8492=CARTESIAN_POINT('',(-3.9E1,1.0015E1,-1.175E1)); +#8493=LINE('',#8492,#8491); +#8494=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8495=VECTOR('',#8494,6.3E-1); +#8496=CARTESIAN_POINT('',(-3.9E1,1.845E0,-1.175E1)); +#8497=LINE('',#8496,#8495); +#8498=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8499=VECTOR('',#8498,6.E-1); +#8500=CARTESIAN_POINT('',(-3.84E1,1.845E0,-1.175E1)); +#8501=LINE('',#8500,#8499); +#8502=DIRECTION('',(-1.127845612318E-14,1.E0,0.E0)); +#8503=VECTOR('',#8502,6.3E-1); +#8504=CARTESIAN_POINT('',(-3.84E1,1.215E0,-1.175E1)); +#8505=LINE('',#8504,#8503); +#8506=DIRECTION('',(1.E0,0.E0,0.E0)); +#8507=VECTOR('',#8506,6.E-1); +#8508=CARTESIAN_POINT('',(-3.9E1,1.215E0,-1.175E1)); +#8509=LINE('',#8508,#8507); +#8510=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8511=VECTOR('',#8510,6.3E-1); +#8512=CARTESIAN_POINT('',(-3.9E1,1.845E0,-1.765E1)); +#8513=LINE('',#8512,#8511); +#8514=DIRECTION('',(0.E0,0.E0,1.E0)); +#8515=VECTOR('',#8514,5.9E0); +#8516=CARTESIAN_POINT('',(-3.9E1,1.845E0,-1.765E1)); +#8517=LINE('',#8516,#8515); +#8518=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8519=VECTOR('',#8518,8.E-1); +#8520=CARTESIAN_POINT('',(-3.79E1,1.93E0,-1.765E1)); +#8521=LINE('',#8520,#8519); +#8522=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8523=VECTOR('',#8522,1.6E0); +#8524=CARTESIAN_POINT('',(-3.79E1,1.13E0,-1.765E1)); +#8525=LINE('',#8524,#8523); +#8526=DIRECTION('',(0.E0,1.E0,0.E0)); +#8527=VECTOR('',#8526,8.E-1); +#8528=CARTESIAN_POINT('',(-3.95E1,1.13E0,-1.765E1)); +#8529=LINE('',#8528,#8527); +#8530=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8531=VECTOR('',#8530,1.6E0); +#8532=CARTESIAN_POINT('',(-3.79E1,1.93E0,-1.765E1)); +#8533=LINE('',#8532,#8531); +#8534=DIRECTION('',(1.E0,0.E0,0.E0)); +#8535=VECTOR('',#8534,6.E-1); +#8536=CARTESIAN_POINT('',(-3.9E1,1.215E0,-1.765E1)); +#8537=LINE('',#8536,#8535); +#8538=DIRECTION('',(0.E0,0.E0,1.E0)); +#8539=VECTOR('',#8538,5.9E0); +#8540=CARTESIAN_POINT('',(-3.9E1,1.215E0,-1.765E1)); +#8541=LINE('',#8540,#8539); +#8542=DIRECTION('',(-1.127845612318E-14,1.E0,0.E0)); +#8543=VECTOR('',#8542,6.3E-1); +#8544=CARTESIAN_POINT('',(-3.84E1,1.215E0,-1.765E1)); +#8545=LINE('',#8544,#8543); +#8546=DIRECTION('',(0.E0,0.E0,1.E0)); +#8547=VECTOR('',#8546,5.9E0); +#8548=CARTESIAN_POINT('',(-3.84E1,1.215E0,-1.765E1)); +#8549=LINE('',#8548,#8547); +#8550=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8551=VECTOR('',#8550,6.E-1); +#8552=CARTESIAN_POINT('',(-3.84E1,1.845E0,-1.765E1)); +#8553=LINE('',#8552,#8551); +#8554=DIRECTION('',(0.E0,0.E0,1.E0)); +#8555=VECTOR('',#8554,5.9E0); +#8556=CARTESIAN_POINT('',(-3.84E1,1.845E0,-1.765E1)); +#8557=LINE('',#8556,#8555); +#8558=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#8559=VECTOR('',#8558,5.196152422707E-1); +#8560=CARTESIAN_POINT('',(-3.79E1,1.13E0,-1.765E1)); +#8561=LINE('',#8560,#8559); +#8562=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#8563=VECTOR('',#8562,5.196152422707E-1); +#8564=CARTESIAN_POINT('',(-3.79E1,1.93E0,-1.765E1)); +#8565=LINE('',#8564,#8563); +#8566=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#8567=VECTOR('',#8566,5.196152422707E-1); +#8568=CARTESIAN_POINT('',(-3.95E1,1.93E0,-1.765E1)); +#8569=LINE('',#8568,#8567); +#8570=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#8571=VECTOR('',#8570,5.196152422707E-1); +#8572=CARTESIAN_POINT('',(-3.95E1,1.13E0,-1.765E1)); +#8573=LINE('',#8572,#8571); +#8574=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8575=VECTOR('',#8574,2.2E0); +#8576=CARTESIAN_POINT('',(-3.76E1,8.3E-1,-1.795E1)); +#8577=LINE('',#8576,#8575); +#8578=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8579=VECTOR('',#8578,1.4E0); +#8580=CARTESIAN_POINT('',(-3.76E1,1.103E1,-1.795E1)); +#8581=LINE('',#8580,#8579); +#8582=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#8583=VECTOR('',#8582,1.066197942480E0); +#8584=CARTESIAN_POINT('',(-3.76E1,1.121514332974E1,-1.9E1)); +#8585=LINE('',#8584,#8583); +#8586=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#8587=VECTOR('',#8586,2.969378216362E0); +#8588=CARTESIAN_POINT('',(-3.76E1,9.63E0,-1.816282873211E1)); +#8589=LINE('',#8588,#8587); +#8590=DIRECTION('',(-3.338565844558E-14,0.E0,-1.E0)); +#8591=VECTOR('',#8590,2.128287321091E-1); +#8592=CARTESIAN_POINT('',(-3.76E1,9.63E0,-1.795E1)); +#8593=LINE('',#8592,#8591); +#8594=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8595=VECTOR('',#8594,1.4E0); +#8596=CARTESIAN_POINT('',(-3.76E1,2.23E0,-1.795E1)); +#8597=LINE('',#8596,#8595); +#8598=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#8599=VECTOR('',#8598,2.007639675087E0); +#8600=CARTESIAN_POINT('',(-3.76E1,2.404977327052E0,-1.995E1)); +#8601=LINE('',#8600,#8599); +#8602=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#8603=VECTOR('',#8602,2.973688447842E0); +#8604=CARTESIAN_POINT('',(-3.76E1,8.3E-1,-1.812E1)); +#8605=LINE('',#8604,#8603); +#8606=DIRECTION('',(-4.179663151530E-14,0.E0,-1.E0)); +#8607=VECTOR('',#8606,1.7E-1); +#8608=CARTESIAN_POINT('',(-3.76E1,8.3E-1,-1.795E1)); +#8609=LINE('',#8608,#8607); +#8610=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8611=VECTOR('',#8610,2.2E0); +#8612=CARTESIAN_POINT('',(-3.76E1,1.103E1,-1.795E1)); +#8613=LINE('',#8612,#8611); +#8614=DIRECTION('',(-2.625350799130E-13,-8.715574274768E-2,9.961946980917E-1)); +#8615=VECTOR('',#8614,2.706467783260E-2); +#8616=CARTESIAN_POINT('',(-3.55E1,7.199986605899E0,-1.989295579619E1)); +#8617=LINE('',#8616,#8615); +#8618=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8619=VECTOR('',#8618,5.E-2); +#8620=CARTESIAN_POINT('',(-3.545E1,7.197627763800E0,-1.986599410763E1)); +#8621=LINE('',#8620,#8619); +#8622=DIRECTION('',(1.E0,0.E0,0.E0)); +#8623=VECTOR('',#8622,5.E-2); +#8624=CARTESIAN_POINT('',(-3.765E1,7.197627763800E0,-1.986599410763E1)); +#8625=LINE('',#8624,#8623); +#8626=DIRECTION('',(-2.625350799130E-13,-8.715574274768E-2,9.961946980917E-1)); +#8627=VECTOR('',#8626,2.706467783260E-2); +#8628=CARTESIAN_POINT('',(-3.76E1,7.199986605899E0,-1.989295579619E1)); +#8629=LINE('',#8628,#8627); +#8630=DIRECTION('',(0.E0,1.E0,0.E0)); +#8631=VECTOR('',#8630,1.4E0); +#8632=CARTESIAN_POINT('',(-3.55E1,9.63E0,-1.795E1)); +#8633=LINE('',#8632,#8631); +#8634=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8635=VECTOR('',#8634,2.128287321091E-1); +#8636=CARTESIAN_POINT('',(-3.55E1,9.63E0,-1.795E1)); +#8637=LINE('',#8636,#8635); +#8638=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#8639=VECTOR('',#8638,2.969378216362E0); +#8640=CARTESIAN_POINT('',(-3.55E1,9.63E0,-1.816282873211E1)); +#8641=LINE('',#8640,#8639); +#8642=DIRECTION('',(-1.332853323853E-14,-1.736481776669E-1,9.848077530122E-1)); +#8643=VECTOR('',#8642,1.066197942480E0); +#8644=CARTESIAN_POINT('',(-3.55E1,1.121514332974E1,-1.9E1)); +#8645=LINE('',#8644,#8643); +#8646=DIRECTION('',(0.E0,1.E0,0.E0)); +#8647=VECTOR('',#8646,1.4E0); +#8648=CARTESIAN_POINT('',(-3.55E1,8.3E-1,-1.795E1)); +#8649=LINE('',#8648,#8647); +#8650=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8651=VECTOR('',#8650,1.7E-1); +#8652=CARTESIAN_POINT('',(-3.55E1,8.3E-1,-1.795E1)); +#8653=LINE('',#8652,#8651); +#8654=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#8655=VECTOR('',#8654,2.973688447842E0); +#8656=CARTESIAN_POINT('',(-3.55E1,8.3E-1,-1.812E1)); +#8657=LINE('',#8656,#8655); +#8658=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#8659=VECTOR('',#8658,2.007639675087E0); +#8660=CARTESIAN_POINT('',(-3.55E1,2.404977327052E0,-1.995E1)); +#8661=LINE('',#8660,#8659); +#8662=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#8663=VECTOR('',#8662,5.196152422707E-1); +#8664=CARTESIAN_POINT('',(-3.36E1,1.073E1,-1.765E1)); +#8665=LINE('',#8664,#8663); +#8666=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#8667=VECTOR('',#8666,5.196152422707E-1); +#8668=CARTESIAN_POINT('',(-3.55E1,1.103E1,-1.795E1)); +#8669=LINE('',#8668,#8667); +#8670=DIRECTION('',(0.E0,1.E0,0.E0)); +#8671=VECTOR('',#8670,8.E-1); +#8672=CARTESIAN_POINT('',(-3.52E1,9.93E0,-1.765E1)); +#8673=LINE('',#8672,#8671); +#8674=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8675=VECTOR('',#8674,1.6E0); +#8676=CARTESIAN_POINT('',(-3.36E1,1.073E1,-1.765E1)); +#8677=LINE('',#8676,#8675); +#8678=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8679=VECTOR('',#8678,8.E-1); +#8680=CARTESIAN_POINT('',(-3.36E1,1.073E1,-1.765E1)); +#8681=LINE('',#8680,#8679); +#8682=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8683=VECTOR('',#8682,1.6E0); +#8684=CARTESIAN_POINT('',(-3.36E1,9.93E0,-1.765E1)); +#8685=LINE('',#8684,#8683); +#8686=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8687=VECTOR('',#8686,6.3E-1); +#8688=CARTESIAN_POINT('',(-3.47E1,1.0645E1,-1.765E1)); +#8689=LINE('',#8688,#8687); +#8690=DIRECTION('',(0.E0,0.E0,1.E0)); +#8691=VECTOR('',#8690,5.9E0); +#8692=CARTESIAN_POINT('',(-3.47E1,1.0645E1,-1.765E1)); +#8693=LINE('',#8692,#8691); +#8694=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8695=VECTOR('',#8694,6.E-1); +#8696=CARTESIAN_POINT('',(-3.41E1,1.0645E1,-1.765E1)); +#8697=LINE('',#8696,#8695); +#8698=DIRECTION('',(0.E0,0.E0,1.E0)); +#8699=VECTOR('',#8698,5.9E0); +#8700=CARTESIAN_POINT('',(-3.41E1,1.0645E1,-1.765E1)); +#8701=LINE('',#8700,#8699); +#8702=DIRECTION('',(0.E0,1.E0,0.E0)); +#8703=VECTOR('',#8702,6.3E-1); +#8704=CARTESIAN_POINT('',(-3.41E1,1.0015E1,-1.765E1)); +#8705=LINE('',#8704,#8703); +#8706=DIRECTION('',(0.E0,0.E0,1.E0)); +#8707=VECTOR('',#8706,5.9E0); +#8708=CARTESIAN_POINT('',(-3.41E1,1.0015E1,-1.765E1)); +#8709=LINE('',#8708,#8707); +#8710=DIRECTION('',(1.E0,0.E0,0.E0)); +#8711=VECTOR('',#8710,6.E-1); +#8712=CARTESIAN_POINT('',(-3.47E1,1.0015E1,-1.765E1)); +#8713=LINE('',#8712,#8711); +#8714=DIRECTION('',(0.E0,0.E0,1.E0)); +#8715=VECTOR('',#8714,5.9E0); +#8716=CARTESIAN_POINT('',(-3.47E1,1.0015E1,-1.765E1)); +#8717=LINE('',#8716,#8715); +#8718=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8719=VECTOR('',#8718,6.3E-1); +#8720=CARTESIAN_POINT('',(-3.47E1,1.0645E1,-1.175E1)); +#8721=LINE('',#8720,#8719); +#8722=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8723=VECTOR('',#8722,6.E-1); +#8724=CARTESIAN_POINT('',(-3.41E1,1.0645E1,-1.175E1)); +#8725=LINE('',#8724,#8723); +#8726=DIRECTION('',(0.E0,1.E0,0.E0)); +#8727=VECTOR('',#8726,6.3E-1); +#8728=CARTESIAN_POINT('',(-3.41E1,1.0015E1,-1.175E1)); +#8729=LINE('',#8728,#8727); +#8730=DIRECTION('',(1.E0,0.E0,0.E0)); +#8731=VECTOR('',#8730,6.E-1); +#8732=CARTESIAN_POINT('',(-3.47E1,1.0015E1,-1.175E1)); +#8733=LINE('',#8732,#8731); +#8734=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8735=VECTOR('',#8734,6.3E-1); +#8736=CARTESIAN_POINT('',(-3.47E1,1.845E0,-1.175E1)); +#8737=LINE('',#8736,#8735); +#8738=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8739=VECTOR('',#8738,6.E-1); +#8740=CARTESIAN_POINT('',(-3.41E1,1.845E0,-1.175E1)); +#8741=LINE('',#8740,#8739); +#8742=DIRECTION('',(0.E0,1.E0,0.E0)); +#8743=VECTOR('',#8742,6.3E-1); +#8744=CARTESIAN_POINT('',(-3.41E1,1.215E0,-1.175E1)); +#8745=LINE('',#8744,#8743); +#8746=DIRECTION('',(1.E0,0.E0,0.E0)); +#8747=VECTOR('',#8746,6.E-1); +#8748=CARTESIAN_POINT('',(-3.47E1,1.215E0,-1.175E1)); +#8749=LINE('',#8748,#8747); +#8750=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8751=VECTOR('',#8750,6.3E-1); +#8752=CARTESIAN_POINT('',(-3.47E1,1.845E0,-1.765E1)); +#8753=LINE('',#8752,#8751); +#8754=DIRECTION('',(0.E0,0.E0,1.E0)); +#8755=VECTOR('',#8754,5.9E0); +#8756=CARTESIAN_POINT('',(-3.47E1,1.845E0,-1.765E1)); +#8757=LINE('',#8756,#8755); +#8758=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8759=VECTOR('',#8758,8.E-1); +#8760=CARTESIAN_POINT('',(-3.36E1,1.93E0,-1.765E1)); +#8761=LINE('',#8760,#8759); +#8762=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8763=VECTOR('',#8762,1.6E0); +#8764=CARTESIAN_POINT('',(-3.36E1,1.13E0,-1.765E1)); +#8765=LINE('',#8764,#8763); +#8766=DIRECTION('',(0.E0,1.E0,0.E0)); +#8767=VECTOR('',#8766,8.E-1); +#8768=CARTESIAN_POINT('',(-3.52E1,1.13E0,-1.765E1)); +#8769=LINE('',#8768,#8767); +#8770=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8771=VECTOR('',#8770,1.6E0); +#8772=CARTESIAN_POINT('',(-3.36E1,1.93E0,-1.765E1)); +#8773=LINE('',#8772,#8771); +#8774=DIRECTION('',(1.E0,0.E0,0.E0)); +#8775=VECTOR('',#8774,6.E-1); +#8776=CARTESIAN_POINT('',(-3.47E1,1.215E0,-1.765E1)); +#8777=LINE('',#8776,#8775); +#8778=DIRECTION('',(0.E0,0.E0,1.E0)); +#8779=VECTOR('',#8778,5.9E0); +#8780=CARTESIAN_POINT('',(-3.47E1,1.215E0,-1.765E1)); +#8781=LINE('',#8780,#8779); +#8782=DIRECTION('',(0.E0,1.E0,0.E0)); +#8783=VECTOR('',#8782,6.3E-1); +#8784=CARTESIAN_POINT('',(-3.41E1,1.215E0,-1.765E1)); +#8785=LINE('',#8784,#8783); +#8786=DIRECTION('',(0.E0,0.E0,1.E0)); +#8787=VECTOR('',#8786,5.9E0); +#8788=CARTESIAN_POINT('',(-3.41E1,1.215E0,-1.765E1)); +#8789=LINE('',#8788,#8787); +#8790=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8791=VECTOR('',#8790,6.E-1); +#8792=CARTESIAN_POINT('',(-3.41E1,1.845E0,-1.765E1)); +#8793=LINE('',#8792,#8791); +#8794=DIRECTION('',(0.E0,0.E0,1.E0)); +#8795=VECTOR('',#8794,5.9E0); +#8796=CARTESIAN_POINT('',(-3.41E1,1.845E0,-1.765E1)); +#8797=LINE('',#8796,#8795); +#8798=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#8799=VECTOR('',#8798,5.196152422707E-1); +#8800=CARTESIAN_POINT('',(-3.36E1,1.13E0,-1.765E1)); +#8801=LINE('',#8800,#8799); +#8802=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#8803=VECTOR('',#8802,5.196152422707E-1); +#8804=CARTESIAN_POINT('',(-3.36E1,1.93E0,-1.765E1)); +#8805=LINE('',#8804,#8803); +#8806=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#8807=VECTOR('',#8806,5.196152422707E-1); +#8808=CARTESIAN_POINT('',(-3.52E1,1.93E0,-1.765E1)); +#8809=LINE('',#8808,#8807); +#8810=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#8811=VECTOR('',#8810,5.196152422707E-1); +#8812=CARTESIAN_POINT('',(-3.52E1,1.13E0,-1.765E1)); +#8813=LINE('',#8812,#8811); +#8814=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8815=VECTOR('',#8814,2.2E0); +#8816=CARTESIAN_POINT('',(-3.33E1,8.3E-1,-1.795E1)); +#8817=LINE('',#8816,#8815); +#8818=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8819=VECTOR('',#8818,1.4E0); +#8820=CARTESIAN_POINT('',(-3.33E1,1.103E1,-1.795E1)); +#8821=LINE('',#8820,#8819); +#8822=DIRECTION('',(-1.332853323853E-14,-1.736481776669E-1,9.848077530122E-1)); +#8823=VECTOR('',#8822,1.066197942480E0); +#8824=CARTESIAN_POINT('',(-3.33E1,1.121514332974E1,-1.9E1)); +#8825=LINE('',#8824,#8823); +#8826=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#8827=VECTOR('',#8826,2.969378216362E0); +#8828=CARTESIAN_POINT('',(-3.33E1,9.63E0,-1.816282873211E1)); +#8829=LINE('',#8828,#8827); +#8830=DIRECTION('',(3.338565844558E-14,0.E0,-1.E0)); +#8831=VECTOR('',#8830,2.128287321091E-1); +#8832=CARTESIAN_POINT('',(-3.33E1,9.63E0,-1.795E1)); +#8833=LINE('',#8832,#8831); +#8834=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8835=VECTOR('',#8834,1.4E0); +#8836=CARTESIAN_POINT('',(-3.33E1,2.23E0,-1.795E1)); +#8837=LINE('',#8836,#8835); +#8838=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#8839=VECTOR('',#8838,2.007639675087E0); +#8840=CARTESIAN_POINT('',(-3.33E1,2.404977327052E0,-1.995E1)); +#8841=LINE('',#8840,#8839); +#8842=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#8843=VECTOR('',#8842,2.973688447842E0); +#8844=CARTESIAN_POINT('',(-3.33E1,8.3E-1,-1.812E1)); +#8845=LINE('',#8844,#8843); +#8846=DIRECTION('',(4.179663151530E-14,0.E0,-1.E0)); +#8847=VECTOR('',#8846,1.7E-1); +#8848=CARTESIAN_POINT('',(-3.33E1,8.3E-1,-1.795E1)); +#8849=LINE('',#8848,#8847); +#8850=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8851=VECTOR('',#8850,2.2E0); +#8852=CARTESIAN_POINT('',(-3.33E1,1.103E1,-1.795E1)); +#8853=LINE('',#8852,#8851); +#8854=DIRECTION('',(0.E0,-8.715574274768E-2,9.961946980917E-1)); +#8855=VECTOR('',#8854,2.706467783260E-2); +#8856=CARTESIAN_POINT('',(-3.12E1,7.199986605899E0,-1.989295579619E1)); +#8857=LINE('',#8856,#8855); +#8858=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8859=VECTOR('',#8858,5.E-2); +#8860=CARTESIAN_POINT('',(-3.115E1,7.197627763800E0,-1.986599410763E1)); +#8861=LINE('',#8860,#8859); +#8862=DIRECTION('',(1.E0,0.E0,0.E0)); +#8863=VECTOR('',#8862,5.E-2); +#8864=CARTESIAN_POINT('',(-3.335E1,7.197627763800E0,-1.986599410763E1)); +#8865=LINE('',#8864,#8863); +#8866=DIRECTION('',(0.E0,-8.715574274768E-2,9.961946980917E-1)); +#8867=VECTOR('',#8866,2.706467783260E-2); +#8868=CARTESIAN_POINT('',(-3.33E1,7.199986605899E0,-1.989295579619E1)); +#8869=LINE('',#8868,#8867); +#8870=DIRECTION('',(0.E0,1.E0,0.E0)); +#8871=VECTOR('',#8870,1.4E0); +#8872=CARTESIAN_POINT('',(-3.12E1,9.63E0,-1.795E1)); +#8873=LINE('',#8872,#8871); +#8874=DIRECTION('',(-1.669282922279E-14,0.E0,-1.E0)); +#8875=VECTOR('',#8874,2.128287321091E-1); +#8876=CARTESIAN_POINT('',(-3.12E1,9.63E0,-1.795E1)); +#8877=LINE('',#8876,#8875); +#8878=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#8879=VECTOR('',#8878,2.969378216362E0); +#8880=CARTESIAN_POINT('',(-3.12E1,9.63E0,-1.816282873211E1)); +#8881=LINE('',#8880,#8879); +#8882=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#8883=VECTOR('',#8882,1.066197942480E0); +#8884=CARTESIAN_POINT('',(-3.12E1,1.121514332974E1,-1.9E1)); +#8885=LINE('',#8884,#8883); +#8886=DIRECTION('',(0.E0,1.E0,0.E0)); +#8887=VECTOR('',#8886,1.4E0); +#8888=CARTESIAN_POINT('',(-3.12E1,8.3E-1,-1.795E1)); +#8889=LINE('',#8888,#8887); +#8890=DIRECTION('',(-2.089831575765E-14,0.E0,-1.E0)); +#8891=VECTOR('',#8890,1.7E-1); +#8892=CARTESIAN_POINT('',(-3.12E1,8.3E-1,-1.795E1)); +#8893=LINE('',#8892,#8891); +#8894=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#8895=VECTOR('',#8894,2.973688447842E0); +#8896=CARTESIAN_POINT('',(-3.12E1,8.3E-1,-1.812E1)); +#8897=LINE('',#8896,#8895); +#8898=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#8899=VECTOR('',#8898,2.007639675087E0); +#8900=CARTESIAN_POINT('',(-3.12E1,2.404977327052E0,-1.995E1)); +#8901=LINE('',#8900,#8899); +#8902=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#8903=VECTOR('',#8902,5.196152422707E-1); +#8904=CARTESIAN_POINT('',(-2.93E1,1.073E1,-1.765E1)); +#8905=LINE('',#8904,#8903); +#8906=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#8907=VECTOR('',#8906,5.196152422707E-1); +#8908=CARTESIAN_POINT('',(-3.12E1,1.103E1,-1.795E1)); +#8909=LINE('',#8908,#8907); +#8910=DIRECTION('',(0.E0,1.E0,0.E0)); +#8911=VECTOR('',#8910,8.E-1); +#8912=CARTESIAN_POINT('',(-3.09E1,9.93E0,-1.765E1)); +#8913=LINE('',#8912,#8911); +#8914=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8915=VECTOR('',#8914,1.6E0); +#8916=CARTESIAN_POINT('',(-2.93E1,1.073E1,-1.765E1)); +#8917=LINE('',#8916,#8915); +#8918=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8919=VECTOR('',#8918,8.E-1); +#8920=CARTESIAN_POINT('',(-2.93E1,1.073E1,-1.765E1)); +#8921=LINE('',#8920,#8919); +#8922=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8923=VECTOR('',#8922,1.6E0); +#8924=CARTESIAN_POINT('',(-2.93E1,9.93E0,-1.765E1)); +#8925=LINE('',#8924,#8923); +#8926=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8927=VECTOR('',#8926,6.3E-1); +#8928=CARTESIAN_POINT('',(-3.04E1,1.0645E1,-1.765E1)); +#8929=LINE('',#8928,#8927); +#8930=DIRECTION('',(0.E0,0.E0,1.E0)); +#8931=VECTOR('',#8930,5.9E0); +#8932=CARTESIAN_POINT('',(-3.04E1,1.0645E1,-1.765E1)); +#8933=LINE('',#8932,#8931); +#8934=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8935=VECTOR('',#8934,6.E-1); +#8936=CARTESIAN_POINT('',(-2.98E1,1.0645E1,-1.765E1)); +#8937=LINE('',#8936,#8935); +#8938=DIRECTION('',(0.E0,0.E0,1.E0)); +#8939=VECTOR('',#8938,5.9E0); +#8940=CARTESIAN_POINT('',(-2.98E1,1.0645E1,-1.765E1)); +#8941=LINE('',#8940,#8939); +#8942=DIRECTION('',(0.E0,1.E0,0.E0)); +#8943=VECTOR('',#8942,6.3E-1); +#8944=CARTESIAN_POINT('',(-2.98E1,1.0015E1,-1.765E1)); +#8945=LINE('',#8944,#8943); +#8946=DIRECTION('',(0.E0,0.E0,1.E0)); +#8947=VECTOR('',#8946,5.9E0); +#8948=CARTESIAN_POINT('',(-2.98E1,1.0015E1,-1.765E1)); +#8949=LINE('',#8948,#8947); +#8950=DIRECTION('',(1.E0,0.E0,0.E0)); +#8951=VECTOR('',#8950,6.E-1); +#8952=CARTESIAN_POINT('',(-3.04E1,1.0015E1,-1.765E1)); +#8953=LINE('',#8952,#8951); +#8954=DIRECTION('',(0.E0,0.E0,1.E0)); +#8955=VECTOR('',#8954,5.9E0); +#8956=CARTESIAN_POINT('',(-3.04E1,1.0015E1,-1.765E1)); +#8957=LINE('',#8956,#8955); +#8958=DIRECTION('',(-1.691768418476E-14,-1.E0,0.E0)); +#8959=VECTOR('',#8958,6.3E-1); +#8960=CARTESIAN_POINT('',(-3.04E1,1.0645E1,-1.175E1)); +#8961=LINE('',#8960,#8959); +#8962=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8963=VECTOR('',#8962,6.E-1); +#8964=CARTESIAN_POINT('',(-2.98E1,1.0645E1,-1.175E1)); +#8965=LINE('',#8964,#8963); +#8966=DIRECTION('',(0.E0,1.E0,0.E0)); +#8967=VECTOR('',#8966,6.3E-1); +#8968=CARTESIAN_POINT('',(-2.98E1,1.0015E1,-1.175E1)); +#8969=LINE('',#8968,#8967); +#8970=DIRECTION('',(1.E0,0.E0,0.E0)); +#8971=VECTOR('',#8970,6.E-1); +#8972=CARTESIAN_POINT('',(-3.04E1,1.0015E1,-1.175E1)); +#8973=LINE('',#8972,#8971); +#8974=DIRECTION('',(-1.691768418476E-14,-1.E0,0.E0)); +#8975=VECTOR('',#8974,6.3E-1); +#8976=CARTESIAN_POINT('',(-3.04E1,1.845E0,-1.175E1)); +#8977=LINE('',#8976,#8975); +#8978=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8979=VECTOR('',#8978,6.E-1); +#8980=CARTESIAN_POINT('',(-2.98E1,1.845E0,-1.175E1)); +#8981=LINE('',#8980,#8979); +#8982=DIRECTION('',(0.E0,1.E0,0.E0)); +#8983=VECTOR('',#8982,6.3E-1); +#8984=CARTESIAN_POINT('',(-2.98E1,1.215E0,-1.175E1)); +#8985=LINE('',#8984,#8983); +#8986=DIRECTION('',(1.E0,0.E0,0.E0)); +#8987=VECTOR('',#8986,6.E-1); +#8988=CARTESIAN_POINT('',(-3.04E1,1.215E0,-1.175E1)); +#8989=LINE('',#8988,#8987); +#8990=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8991=VECTOR('',#8990,6.3E-1); +#8992=CARTESIAN_POINT('',(-3.04E1,1.845E0,-1.765E1)); +#8993=LINE('',#8992,#8991); +#8994=DIRECTION('',(0.E0,0.E0,1.E0)); +#8995=VECTOR('',#8994,5.9E0); +#8996=CARTESIAN_POINT('',(-3.04E1,1.845E0,-1.765E1)); +#8997=LINE('',#8996,#8995); +#8998=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8999=VECTOR('',#8998,8.E-1); +#9000=CARTESIAN_POINT('',(-2.93E1,1.93E0,-1.765E1)); +#9001=LINE('',#9000,#8999); +#9002=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9003=VECTOR('',#9002,1.6E0); +#9004=CARTESIAN_POINT('',(-2.93E1,1.13E0,-1.765E1)); +#9005=LINE('',#9004,#9003); +#9006=DIRECTION('',(0.E0,1.E0,0.E0)); +#9007=VECTOR('',#9006,8.E-1); +#9008=CARTESIAN_POINT('',(-3.09E1,1.13E0,-1.765E1)); +#9009=LINE('',#9008,#9007); +#9010=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9011=VECTOR('',#9010,1.6E0); +#9012=CARTESIAN_POINT('',(-2.93E1,1.93E0,-1.765E1)); +#9013=LINE('',#9012,#9011); +#9014=DIRECTION('',(1.E0,0.E0,0.E0)); +#9015=VECTOR('',#9014,6.E-1); +#9016=CARTESIAN_POINT('',(-3.04E1,1.215E0,-1.765E1)); +#9017=LINE('',#9016,#9015); +#9018=DIRECTION('',(0.E0,0.E0,1.E0)); +#9019=VECTOR('',#9018,5.9E0); +#9020=CARTESIAN_POINT('',(-3.04E1,1.215E0,-1.765E1)); +#9021=LINE('',#9020,#9019); +#9022=DIRECTION('',(0.E0,1.E0,0.E0)); +#9023=VECTOR('',#9022,6.3E-1); +#9024=CARTESIAN_POINT('',(-2.98E1,1.215E0,-1.765E1)); +#9025=LINE('',#9024,#9023); +#9026=DIRECTION('',(0.E0,0.E0,1.E0)); +#9027=VECTOR('',#9026,5.9E0); +#9028=CARTESIAN_POINT('',(-2.98E1,1.215E0,-1.765E1)); +#9029=LINE('',#9028,#9027); +#9030=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9031=VECTOR('',#9030,6.E-1); +#9032=CARTESIAN_POINT('',(-2.98E1,1.845E0,-1.765E1)); +#9033=LINE('',#9032,#9031); +#9034=DIRECTION('',(0.E0,0.E0,1.E0)); +#9035=VECTOR('',#9034,5.9E0); +#9036=CARTESIAN_POINT('',(-2.98E1,1.845E0,-1.765E1)); +#9037=LINE('',#9036,#9035); +#9038=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#9039=VECTOR('',#9038,5.196152422707E-1); +#9040=CARTESIAN_POINT('',(-2.93E1,1.13E0,-1.765E1)); +#9041=LINE('',#9040,#9039); +#9042=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#9043=VECTOR('',#9042,5.196152422707E-1); +#9044=CARTESIAN_POINT('',(-2.93E1,1.93E0,-1.765E1)); +#9045=LINE('',#9044,#9043); +#9046=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#9047=VECTOR('',#9046,5.196152422707E-1); +#9048=CARTESIAN_POINT('',(-3.09E1,1.93E0,-1.765E1)); +#9049=LINE('',#9048,#9047); +#9050=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#9051=VECTOR('',#9050,5.196152422707E-1); +#9052=CARTESIAN_POINT('',(-3.09E1,1.13E0,-1.765E1)); +#9053=LINE('',#9052,#9051); +#9054=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9055=VECTOR('',#9054,2.2E0); +#9056=CARTESIAN_POINT('',(-2.9E1,8.3E-1,-1.795E1)); +#9057=LINE('',#9056,#9055); +#9058=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9059=VECTOR('',#9058,1.4E0); +#9060=CARTESIAN_POINT('',(-2.9E1,1.103E1,-1.795E1)); +#9061=LINE('',#9060,#9059); +#9062=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#9063=VECTOR('',#9062,1.066197942480E0); +#9064=CARTESIAN_POINT('',(-2.9E1,1.121514332974E1,-1.9E1)); +#9065=LINE('',#9064,#9063); +#9066=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#9067=VECTOR('',#9066,2.969378216362E0); +#9068=CARTESIAN_POINT('',(-2.9E1,9.63E0,-1.816282873211E1)); +#9069=LINE('',#9068,#9067); +#9070=DIRECTION('',(-3.338565844558E-14,0.E0,-1.E0)); +#9071=VECTOR('',#9070,2.128287321091E-1); +#9072=CARTESIAN_POINT('',(-2.9E1,9.63E0,-1.795E1)); +#9073=LINE('',#9072,#9071); +#9074=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9075=VECTOR('',#9074,1.4E0); +#9076=CARTESIAN_POINT('',(-2.9E1,2.23E0,-1.795E1)); +#9077=LINE('',#9076,#9075); +#9078=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#9079=VECTOR('',#9078,2.007639675087E0); +#9080=CARTESIAN_POINT('',(-2.9E1,2.404977327052E0,-1.995E1)); +#9081=LINE('',#9080,#9079); +#9082=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#9083=VECTOR('',#9082,2.973688447842E0); +#9084=CARTESIAN_POINT('',(-2.9E1,8.3E-1,-1.812E1)); +#9085=LINE('',#9084,#9083); +#9086=DIRECTION('',(-4.179663151530E-14,0.E0,-1.E0)); +#9087=VECTOR('',#9086,1.7E-1); +#9088=CARTESIAN_POINT('',(-2.9E1,8.3E-1,-1.795E1)); +#9089=LINE('',#9088,#9087); +#9090=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9091=VECTOR('',#9090,2.2E0); +#9092=CARTESIAN_POINT('',(-2.9E1,1.103E1,-1.795E1)); +#9093=LINE('',#9092,#9091); +#9094=DIRECTION('',(0.E0,-8.715574274768E-2,9.961946980917E-1)); +#9095=VECTOR('',#9094,2.706467783260E-2); +#9096=CARTESIAN_POINT('',(-2.69E1,7.199986605899E0,-1.989295579619E1)); +#9097=LINE('',#9096,#9095); +#9098=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9099=VECTOR('',#9098,5.E-2); +#9100=CARTESIAN_POINT('',(-2.685E1,7.197627763800E0,-1.986599410763E1)); +#9101=LINE('',#9100,#9099); +#9102=DIRECTION('',(1.E0,0.E0,0.E0)); +#9103=VECTOR('',#9102,5.E-2); +#9104=CARTESIAN_POINT('',(-2.905E1,7.197627763800E0,-1.986599410763E1)); +#9105=LINE('',#9104,#9103); +#9106=DIRECTION('',(-1.312675399565E-13,-8.715574274768E-2,9.961946980917E-1)); +#9107=VECTOR('',#9106,2.706467783260E-2); +#9108=CARTESIAN_POINT('',(-2.9E1,7.199986605899E0,-1.989295579619E1)); +#9109=LINE('',#9108,#9107); +#9110=DIRECTION('',(0.E0,1.E0,0.E0)); +#9111=VECTOR('',#9110,1.4E0); +#9112=CARTESIAN_POINT('',(-2.69E1,9.63E0,-1.795E1)); +#9113=LINE('',#9112,#9111); +#9114=DIRECTION('',(3.338565844558E-14,0.E0,-1.E0)); +#9115=VECTOR('',#9114,2.128287321091E-1); +#9116=CARTESIAN_POINT('',(-2.69E1,9.63E0,-1.795E1)); +#9117=LINE('',#9116,#9115); +#9118=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#9119=VECTOR('',#9118,2.969378216362E0); +#9120=CARTESIAN_POINT('',(-2.69E1,9.63E0,-1.816282873211E1)); +#9121=LINE('',#9120,#9119); +#9122=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#9123=VECTOR('',#9122,1.066197942480E0); +#9124=CARTESIAN_POINT('',(-2.69E1,1.121514332974E1,-1.9E1)); +#9125=LINE('',#9124,#9123); +#9126=DIRECTION('',(0.E0,1.E0,0.E0)); +#9127=VECTOR('',#9126,1.4E0); +#9128=CARTESIAN_POINT('',(-2.69E1,8.3E-1,-1.795E1)); +#9129=LINE('',#9128,#9127); +#9130=DIRECTION('',(4.179663151530E-14,0.E0,-1.E0)); +#9131=VECTOR('',#9130,1.7E-1); +#9132=CARTESIAN_POINT('',(-2.69E1,8.3E-1,-1.795E1)); +#9133=LINE('',#9132,#9131); +#9134=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#9135=VECTOR('',#9134,2.973688447842E0); +#9136=CARTESIAN_POINT('',(-2.69E1,8.3E-1,-1.812E1)); +#9137=LINE('',#9136,#9135); +#9138=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#9139=VECTOR('',#9138,2.007639675087E0); +#9140=CARTESIAN_POINT('',(-2.69E1,2.404977327052E0,-1.995E1)); +#9141=LINE('',#9140,#9139); +#9142=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#9143=VECTOR('',#9142,5.196152422707E-1); +#9144=CARTESIAN_POINT('',(-2.5E1,1.073E1,-1.765E1)); +#9145=LINE('',#9144,#9143); +#9146=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#9147=VECTOR('',#9146,5.196152422707E-1); +#9148=CARTESIAN_POINT('',(-2.69E1,1.103E1,-1.795E1)); +#9149=LINE('',#9148,#9147); +#9150=DIRECTION('',(0.E0,1.E0,0.E0)); +#9151=VECTOR('',#9150,8.E-1); +#9152=CARTESIAN_POINT('',(-2.66E1,9.93E0,-1.765E1)); +#9153=LINE('',#9152,#9151); +#9154=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9155=VECTOR('',#9154,1.6E0); +#9156=CARTESIAN_POINT('',(-2.5E1,1.073E1,-1.765E1)); +#9157=LINE('',#9156,#9155); +#9158=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9159=VECTOR('',#9158,8.E-1); +#9160=CARTESIAN_POINT('',(-2.5E1,1.073E1,-1.765E1)); +#9161=LINE('',#9160,#9159); +#9162=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9163=VECTOR('',#9162,1.6E0); +#9164=CARTESIAN_POINT('',(-2.5E1,9.93E0,-1.765E1)); +#9165=LINE('',#9164,#9163); +#9166=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9167=VECTOR('',#9166,6.3E-1); +#9168=CARTESIAN_POINT('',(-2.61E1,1.0645E1,-1.765E1)); +#9169=LINE('',#9168,#9167); +#9170=DIRECTION('',(0.E0,0.E0,1.E0)); +#9171=VECTOR('',#9170,5.9E0); +#9172=CARTESIAN_POINT('',(-2.61E1,1.0645E1,-1.765E1)); +#9173=LINE('',#9172,#9171); +#9174=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9175=VECTOR('',#9174,6.E-1); +#9176=CARTESIAN_POINT('',(-2.55E1,1.0645E1,-1.765E1)); +#9177=LINE('',#9176,#9175); +#9178=DIRECTION('',(0.E0,0.E0,1.E0)); +#9179=VECTOR('',#9178,5.9E0); +#9180=CARTESIAN_POINT('',(-2.55E1,1.0645E1,-1.765E1)); +#9181=LINE('',#9180,#9179); +#9182=DIRECTION('',(0.E0,1.E0,0.E0)); +#9183=VECTOR('',#9182,6.3E-1); +#9184=CARTESIAN_POINT('',(-2.55E1,1.0015E1,-1.765E1)); +#9185=LINE('',#9184,#9183); +#9186=DIRECTION('',(0.E0,0.E0,1.E0)); +#9187=VECTOR('',#9186,5.9E0); +#9188=CARTESIAN_POINT('',(-2.55E1,1.0015E1,-1.765E1)); +#9189=LINE('',#9188,#9187); +#9190=DIRECTION('',(1.E0,0.E0,0.E0)); +#9191=VECTOR('',#9190,6.E-1); +#9192=CARTESIAN_POINT('',(-2.61E1,1.0015E1,-1.765E1)); +#9193=LINE('',#9192,#9191); +#9194=DIRECTION('',(0.E0,0.E0,1.E0)); +#9195=VECTOR('',#9194,5.9E0); +#9196=CARTESIAN_POINT('',(-2.61E1,1.0015E1,-1.765E1)); +#9197=LINE('',#9196,#9195); +#9198=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9199=VECTOR('',#9198,6.3E-1); +#9200=CARTESIAN_POINT('',(-2.61E1,1.0645E1,-1.175E1)); +#9201=LINE('',#9200,#9199); +#9202=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9203=VECTOR('',#9202,6.E-1); +#9204=CARTESIAN_POINT('',(-2.55E1,1.0645E1,-1.175E1)); +#9205=LINE('',#9204,#9203); +#9206=DIRECTION('',(1.127845612318E-14,1.E0,0.E0)); +#9207=VECTOR('',#9206,6.3E-1); +#9208=CARTESIAN_POINT('',(-2.55E1,1.0015E1,-1.175E1)); +#9209=LINE('',#9208,#9207); +#9210=DIRECTION('',(1.E0,0.E0,0.E0)); +#9211=VECTOR('',#9210,6.E-1); +#9212=CARTESIAN_POINT('',(-2.61E1,1.0015E1,-1.175E1)); +#9213=LINE('',#9212,#9211); +#9214=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9215=VECTOR('',#9214,6.3E-1); +#9216=CARTESIAN_POINT('',(-2.61E1,1.845E0,-1.175E1)); +#9217=LINE('',#9216,#9215); +#9218=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9219=VECTOR('',#9218,6.E-1); +#9220=CARTESIAN_POINT('',(-2.55E1,1.845E0,-1.175E1)); +#9221=LINE('',#9220,#9219); +#9222=DIRECTION('',(1.127845612318E-14,1.E0,0.E0)); +#9223=VECTOR('',#9222,6.3E-1); +#9224=CARTESIAN_POINT('',(-2.55E1,1.215E0,-1.175E1)); +#9225=LINE('',#9224,#9223); +#9226=DIRECTION('',(1.E0,0.E0,0.E0)); +#9227=VECTOR('',#9226,6.E-1); +#9228=CARTESIAN_POINT('',(-2.61E1,1.215E0,-1.175E1)); +#9229=LINE('',#9228,#9227); +#9230=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9231=VECTOR('',#9230,6.3E-1); +#9232=CARTESIAN_POINT('',(-2.61E1,1.845E0,-1.765E1)); +#9233=LINE('',#9232,#9231); +#9234=DIRECTION('',(0.E0,0.E0,1.E0)); +#9235=VECTOR('',#9234,5.9E0); +#9236=CARTESIAN_POINT('',(-2.61E1,1.845E0,-1.765E1)); +#9237=LINE('',#9236,#9235); +#9238=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9239=VECTOR('',#9238,8.E-1); +#9240=CARTESIAN_POINT('',(-2.5E1,1.93E0,-1.765E1)); +#9241=LINE('',#9240,#9239); +#9242=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9243=VECTOR('',#9242,1.6E0); +#9244=CARTESIAN_POINT('',(-2.5E1,1.13E0,-1.765E1)); +#9245=LINE('',#9244,#9243); +#9246=DIRECTION('',(0.E0,1.E0,0.E0)); +#9247=VECTOR('',#9246,8.E-1); +#9248=CARTESIAN_POINT('',(-2.66E1,1.13E0,-1.765E1)); +#9249=LINE('',#9248,#9247); +#9250=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9251=VECTOR('',#9250,1.6E0); +#9252=CARTESIAN_POINT('',(-2.5E1,1.93E0,-1.765E1)); +#9253=LINE('',#9252,#9251); +#9254=DIRECTION('',(1.E0,0.E0,0.E0)); +#9255=VECTOR('',#9254,6.E-1); +#9256=CARTESIAN_POINT('',(-2.61E1,1.215E0,-1.765E1)); +#9257=LINE('',#9256,#9255); +#9258=DIRECTION('',(0.E0,0.E0,1.E0)); +#9259=VECTOR('',#9258,5.9E0); +#9260=CARTESIAN_POINT('',(-2.61E1,1.215E0,-1.765E1)); +#9261=LINE('',#9260,#9259); +#9262=DIRECTION('',(0.E0,1.E0,0.E0)); +#9263=VECTOR('',#9262,6.3E-1); +#9264=CARTESIAN_POINT('',(-2.55E1,1.215E0,-1.765E1)); +#9265=LINE('',#9264,#9263); +#9266=DIRECTION('',(0.E0,0.E0,1.E0)); +#9267=VECTOR('',#9266,5.9E0); +#9268=CARTESIAN_POINT('',(-2.55E1,1.215E0,-1.765E1)); +#9269=LINE('',#9268,#9267); +#9270=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9271=VECTOR('',#9270,6.E-1); +#9272=CARTESIAN_POINT('',(-2.55E1,1.845E0,-1.765E1)); +#9273=LINE('',#9272,#9271); +#9274=DIRECTION('',(0.E0,0.E0,1.E0)); +#9275=VECTOR('',#9274,5.9E0); +#9276=CARTESIAN_POINT('',(-2.55E1,1.845E0,-1.765E1)); +#9277=LINE('',#9276,#9275); +#9278=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#9279=VECTOR('',#9278,5.196152422707E-1); +#9280=CARTESIAN_POINT('',(-2.5E1,1.13E0,-1.765E1)); +#9281=LINE('',#9280,#9279); +#9282=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#9283=VECTOR('',#9282,5.196152422707E-1); +#9284=CARTESIAN_POINT('',(-2.5E1,1.93E0,-1.765E1)); +#9285=LINE('',#9284,#9283); +#9286=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#9287=VECTOR('',#9286,5.196152422707E-1); +#9288=CARTESIAN_POINT('',(-2.66E1,1.93E0,-1.765E1)); +#9289=LINE('',#9288,#9287); +#9290=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#9291=VECTOR('',#9290,5.196152422707E-1); +#9292=CARTESIAN_POINT('',(-2.66E1,1.13E0,-1.765E1)); +#9293=LINE('',#9292,#9291); +#9294=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9295=VECTOR('',#9294,2.2E0); +#9296=CARTESIAN_POINT('',(-2.47E1,8.3E-1,-1.795E1)); +#9297=LINE('',#9296,#9295); +#9298=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9299=VECTOR('',#9298,1.4E0); +#9300=CARTESIAN_POINT('',(-2.47E1,1.103E1,-1.795E1)); +#9301=LINE('',#9300,#9299); +#9302=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#9303=VECTOR('',#9302,1.066197942480E0); +#9304=CARTESIAN_POINT('',(-2.47E1,1.121514332974E1,-1.9E1)); +#9305=LINE('',#9304,#9303); +#9306=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#9307=VECTOR('',#9306,2.969378216362E0); +#9308=CARTESIAN_POINT('',(-2.47E1,9.63E0,-1.816282873211E1)); +#9309=LINE('',#9308,#9307); +#9310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9311=VECTOR('',#9310,2.128287321091E-1); +#9312=CARTESIAN_POINT('',(-2.47E1,9.63E0,-1.795E1)); +#9313=LINE('',#9312,#9311); +#9314=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9315=VECTOR('',#9314,1.4E0); +#9316=CARTESIAN_POINT('',(-2.47E1,2.23E0,-1.795E1)); +#9317=LINE('',#9316,#9315); +#9318=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#9319=VECTOR('',#9318,2.007639675087E0); +#9320=CARTESIAN_POINT('',(-2.47E1,2.404977327052E0,-1.995E1)); +#9321=LINE('',#9320,#9319); +#9322=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#9323=VECTOR('',#9322,2.973688447842E0); +#9324=CARTESIAN_POINT('',(-2.47E1,8.3E-1,-1.812E1)); +#9325=LINE('',#9324,#9323); +#9326=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9327=VECTOR('',#9326,1.7E-1); +#9328=CARTESIAN_POINT('',(-2.47E1,8.3E-1,-1.795E1)); +#9329=LINE('',#9328,#9327); +#9330=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9331=VECTOR('',#9330,2.2E0); +#9332=CARTESIAN_POINT('',(-2.47E1,1.103E1,-1.795E1)); +#9333=LINE('',#9332,#9331); +#9334=DIRECTION('',(0.E0,-8.715574274768E-2,9.961946980917E-1)); +#9335=VECTOR('',#9334,2.706467783260E-2); +#9336=CARTESIAN_POINT('',(-2.26E1,7.199986605899E0,-1.989295579619E1)); +#9337=LINE('',#9336,#9335); +#9338=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9339=VECTOR('',#9338,5.E-2); +#9340=CARTESIAN_POINT('',(-2.255E1,7.197627763800E0,-1.986599410763E1)); +#9341=LINE('',#9340,#9339); +#9342=DIRECTION('',(1.E0,0.E0,0.E0)); +#9343=VECTOR('',#9342,5.E-2); +#9344=CARTESIAN_POINT('',(-2.475E1,7.197627763800E0,-1.986599410763E1)); +#9345=LINE('',#9344,#9343); +#9346=DIRECTION('',(0.E0,-8.715574274768E-2,9.961946980917E-1)); +#9347=VECTOR('',#9346,2.706467783260E-2); +#9348=CARTESIAN_POINT('',(-2.47E1,7.199986605899E0,-1.989295579619E1)); +#9349=LINE('',#9348,#9347); +#9350=DIRECTION('',(0.E0,1.E0,0.E0)); +#9351=VECTOR('',#9350,1.4E0); +#9352=CARTESIAN_POINT('',(-2.26E1,9.63E0,-1.795E1)); +#9353=LINE('',#9352,#9351); +#9354=DIRECTION('',(-1.669282922279E-14,0.E0,-1.E0)); +#9355=VECTOR('',#9354,2.128287321091E-1); +#9356=CARTESIAN_POINT('',(-2.26E1,9.63E0,-1.795E1)); +#9357=LINE('',#9356,#9355); +#9358=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#9359=VECTOR('',#9358,2.969378216362E0); +#9360=CARTESIAN_POINT('',(-2.26E1,9.63E0,-1.816282873211E1)); +#9361=LINE('',#9360,#9359); +#9362=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#9363=VECTOR('',#9362,1.066197942480E0); +#9364=CARTESIAN_POINT('',(-2.26E1,1.121514332974E1,-1.9E1)); +#9365=LINE('',#9364,#9363); +#9366=DIRECTION('',(0.E0,1.E0,0.E0)); +#9367=VECTOR('',#9366,1.4E0); +#9368=CARTESIAN_POINT('',(-2.26E1,8.3E-1,-1.795E1)); +#9369=LINE('',#9368,#9367); +#9370=DIRECTION('',(-2.089831575765E-14,0.E0,-1.E0)); +#9371=VECTOR('',#9370,1.7E-1); +#9372=CARTESIAN_POINT('',(-2.26E1,8.3E-1,-1.795E1)); +#9373=LINE('',#9372,#9371); +#9374=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#9375=VECTOR('',#9374,2.973688447842E0); +#9376=CARTESIAN_POINT('',(-2.26E1,8.3E-1,-1.812E1)); +#9377=LINE('',#9376,#9375); +#9378=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#9379=VECTOR('',#9378,2.007639675087E0); +#9380=CARTESIAN_POINT('',(-2.26E1,2.404977327052E0,-1.995E1)); +#9381=LINE('',#9380,#9379); +#9382=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#9383=VECTOR('',#9382,5.196152422707E-1); +#9384=CARTESIAN_POINT('',(-2.07E1,1.073E1,-1.765E1)); +#9385=LINE('',#9384,#9383); +#9386=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#9387=VECTOR('',#9386,5.196152422707E-1); +#9388=CARTESIAN_POINT('',(-2.26E1,1.103E1,-1.795E1)); +#9389=LINE('',#9388,#9387); +#9390=DIRECTION('',(0.E0,1.E0,0.E0)); +#9391=VECTOR('',#9390,8.E-1); +#9392=CARTESIAN_POINT('',(-2.23E1,9.93E0,-1.765E1)); +#9393=LINE('',#9392,#9391); +#9394=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9395=VECTOR('',#9394,1.6E0); +#9396=CARTESIAN_POINT('',(-2.07E1,1.073E1,-1.765E1)); +#9397=LINE('',#9396,#9395); +#9398=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9399=VECTOR('',#9398,8.E-1); +#9400=CARTESIAN_POINT('',(-2.07E1,1.073E1,-1.765E1)); +#9401=LINE('',#9400,#9399); +#9402=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9403=VECTOR('',#9402,1.6E0); +#9404=CARTESIAN_POINT('',(-2.07E1,9.93E0,-1.765E1)); +#9405=LINE('',#9404,#9403); +#9406=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9407=VECTOR('',#9406,6.3E-1); +#9408=CARTESIAN_POINT('',(-2.18E1,1.0645E1,-1.765E1)); +#9409=LINE('',#9408,#9407); +#9410=DIRECTION('',(0.E0,0.E0,1.E0)); +#9411=VECTOR('',#9410,5.9E0); +#9412=CARTESIAN_POINT('',(-2.18E1,1.0645E1,-1.765E1)); +#9413=LINE('',#9412,#9411); +#9414=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9415=VECTOR('',#9414,6.E-1); +#9416=CARTESIAN_POINT('',(-2.12E1,1.0645E1,-1.765E1)); +#9417=LINE('',#9416,#9415); +#9418=DIRECTION('',(0.E0,0.E0,1.E0)); +#9419=VECTOR('',#9418,5.9E0); +#9420=CARTESIAN_POINT('',(-2.12E1,1.0645E1,-1.765E1)); +#9421=LINE('',#9420,#9419); +#9422=DIRECTION('',(0.E0,1.E0,0.E0)); +#9423=VECTOR('',#9422,6.3E-1); +#9424=CARTESIAN_POINT('',(-2.12E1,1.0015E1,-1.765E1)); +#9425=LINE('',#9424,#9423); +#9426=DIRECTION('',(0.E0,0.E0,1.E0)); +#9427=VECTOR('',#9426,5.9E0); +#9428=CARTESIAN_POINT('',(-2.12E1,1.0015E1,-1.765E1)); +#9429=LINE('',#9428,#9427); +#9430=DIRECTION('',(1.E0,0.E0,0.E0)); +#9431=VECTOR('',#9430,6.E-1); +#9432=CARTESIAN_POINT('',(-2.18E1,1.0015E1,-1.765E1)); +#9433=LINE('',#9432,#9431); +#9434=DIRECTION('',(0.E0,0.E0,1.E0)); +#9435=VECTOR('',#9434,5.9E0); +#9436=CARTESIAN_POINT('',(-2.18E1,1.0015E1,-1.765E1)); +#9437=LINE('',#9436,#9435); +#9438=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9439=VECTOR('',#9438,6.3E-1); +#9440=CARTESIAN_POINT('',(-2.18E1,1.0645E1,-1.175E1)); +#9441=LINE('',#9440,#9439); +#9442=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9443=VECTOR('',#9442,6.E-1); +#9444=CARTESIAN_POINT('',(-2.12E1,1.0645E1,-1.175E1)); +#9445=LINE('',#9444,#9443); +#9446=DIRECTION('',(0.E0,1.E0,0.E0)); +#9447=VECTOR('',#9446,6.3E-1); +#9448=CARTESIAN_POINT('',(-2.12E1,1.0015E1,-1.175E1)); +#9449=LINE('',#9448,#9447); +#9450=DIRECTION('',(1.E0,0.E0,0.E0)); +#9451=VECTOR('',#9450,6.E-1); +#9452=CARTESIAN_POINT('',(-2.18E1,1.0015E1,-1.175E1)); +#9453=LINE('',#9452,#9451); +#9454=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9455=VECTOR('',#9454,6.3E-1); +#9456=CARTESIAN_POINT('',(-2.18E1,1.845E0,-1.175E1)); +#9457=LINE('',#9456,#9455); +#9458=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9459=VECTOR('',#9458,6.E-1); +#9460=CARTESIAN_POINT('',(-2.12E1,1.845E0,-1.175E1)); +#9461=LINE('',#9460,#9459); +#9462=DIRECTION('',(0.E0,1.E0,0.E0)); +#9463=VECTOR('',#9462,6.3E-1); +#9464=CARTESIAN_POINT('',(-2.12E1,1.215E0,-1.175E1)); +#9465=LINE('',#9464,#9463); +#9466=DIRECTION('',(1.E0,0.E0,0.E0)); +#9467=VECTOR('',#9466,6.E-1); +#9468=CARTESIAN_POINT('',(-2.18E1,1.215E0,-1.175E1)); +#9469=LINE('',#9468,#9467); +#9470=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9471=VECTOR('',#9470,6.3E-1); +#9472=CARTESIAN_POINT('',(-2.18E1,1.845E0,-1.765E1)); +#9473=LINE('',#9472,#9471); +#9474=DIRECTION('',(0.E0,0.E0,1.E0)); +#9475=VECTOR('',#9474,5.9E0); +#9476=CARTESIAN_POINT('',(-2.18E1,1.845E0,-1.765E1)); +#9477=LINE('',#9476,#9475); +#9478=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9479=VECTOR('',#9478,8.E-1); +#9480=CARTESIAN_POINT('',(-2.07E1,1.93E0,-1.765E1)); +#9481=LINE('',#9480,#9479); +#9482=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9483=VECTOR('',#9482,1.6E0); +#9484=CARTESIAN_POINT('',(-2.07E1,1.13E0,-1.765E1)); +#9485=LINE('',#9484,#9483); +#9486=DIRECTION('',(0.E0,1.E0,0.E0)); +#9487=VECTOR('',#9486,8.E-1); +#9488=CARTESIAN_POINT('',(-2.23E1,1.13E0,-1.765E1)); +#9489=LINE('',#9488,#9487); +#9490=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9491=VECTOR('',#9490,1.6E0); +#9492=CARTESIAN_POINT('',(-2.07E1,1.93E0,-1.765E1)); +#9493=LINE('',#9492,#9491); +#9494=DIRECTION('',(1.E0,0.E0,0.E0)); +#9495=VECTOR('',#9494,6.E-1); +#9496=CARTESIAN_POINT('',(-2.18E1,1.215E0,-1.765E1)); +#9497=LINE('',#9496,#9495); +#9498=DIRECTION('',(0.E0,0.E0,1.E0)); +#9499=VECTOR('',#9498,5.9E0); +#9500=CARTESIAN_POINT('',(-2.18E1,1.215E0,-1.765E1)); +#9501=LINE('',#9500,#9499); +#9502=DIRECTION('',(0.E0,1.E0,0.E0)); +#9503=VECTOR('',#9502,6.3E-1); +#9504=CARTESIAN_POINT('',(-2.12E1,1.215E0,-1.765E1)); +#9505=LINE('',#9504,#9503); +#9506=DIRECTION('',(0.E0,0.E0,1.E0)); +#9507=VECTOR('',#9506,5.9E0); +#9508=CARTESIAN_POINT('',(-2.12E1,1.215E0,-1.765E1)); +#9509=LINE('',#9508,#9507); +#9510=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9511=VECTOR('',#9510,6.E-1); +#9512=CARTESIAN_POINT('',(-2.12E1,1.845E0,-1.765E1)); +#9513=LINE('',#9512,#9511); +#9514=DIRECTION('',(0.E0,0.E0,1.E0)); +#9515=VECTOR('',#9514,5.9E0); +#9516=CARTESIAN_POINT('',(-2.12E1,1.845E0,-1.765E1)); +#9517=LINE('',#9516,#9515); +#9518=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#9519=VECTOR('',#9518,5.196152422707E-1); +#9520=CARTESIAN_POINT('',(-2.07E1,1.13E0,-1.765E1)); +#9521=LINE('',#9520,#9519); +#9522=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#9523=VECTOR('',#9522,5.196152422707E-1); +#9524=CARTESIAN_POINT('',(-2.07E1,1.93E0,-1.765E1)); +#9525=LINE('',#9524,#9523); +#9526=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#9527=VECTOR('',#9526,5.196152422707E-1); +#9528=CARTESIAN_POINT('',(-2.23E1,1.93E0,-1.765E1)); +#9529=LINE('',#9528,#9527); +#9530=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#9531=VECTOR('',#9530,5.196152422707E-1); +#9532=CARTESIAN_POINT('',(-2.23E1,1.13E0,-1.765E1)); +#9533=LINE('',#9532,#9531); +#9534=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9535=VECTOR('',#9534,2.2E0); +#9536=CARTESIAN_POINT('',(-2.04E1,8.3E-1,-1.795E1)); +#9537=LINE('',#9536,#9535); +#9538=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9539=VECTOR('',#9538,1.4E0); +#9540=CARTESIAN_POINT('',(-2.04E1,1.103E1,-1.795E1)); +#9541=LINE('',#9540,#9539); +#9542=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#9543=VECTOR('',#9542,1.066197942480E0); +#9544=CARTESIAN_POINT('',(-2.04E1,1.121514332974E1,-1.9E1)); +#9545=LINE('',#9544,#9543); +#9546=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#9547=VECTOR('',#9546,2.969378216362E0); +#9548=CARTESIAN_POINT('',(-2.04E1,9.63E0,-1.816282873211E1)); +#9549=LINE('',#9548,#9547); +#9550=DIRECTION('',(-3.338565844558E-14,0.E0,-1.E0)); +#9551=VECTOR('',#9550,2.128287321091E-1); +#9552=CARTESIAN_POINT('',(-2.04E1,9.63E0,-1.795E1)); +#9553=LINE('',#9552,#9551); +#9554=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9555=VECTOR('',#9554,1.4E0); +#9556=CARTESIAN_POINT('',(-2.04E1,2.23E0,-1.795E1)); +#9557=LINE('',#9556,#9555); +#9558=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#9559=VECTOR('',#9558,2.007639675087E0); +#9560=CARTESIAN_POINT('',(-2.04E1,2.404977327052E0,-1.995E1)); +#9561=LINE('',#9560,#9559); +#9562=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#9563=VECTOR('',#9562,2.973688447842E0); +#9564=CARTESIAN_POINT('',(-2.04E1,8.3E-1,-1.812E1)); +#9565=LINE('',#9564,#9563); +#9566=DIRECTION('',(-4.179663151530E-14,0.E0,-1.E0)); +#9567=VECTOR('',#9566,1.7E-1); +#9568=CARTESIAN_POINT('',(-2.04E1,8.3E-1,-1.795E1)); +#9569=LINE('',#9568,#9567); +#9570=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9571=VECTOR('',#9570,2.2E0); +#9572=CARTESIAN_POINT('',(-2.04E1,1.103E1,-1.795E1)); +#9573=LINE('',#9572,#9571); +#9574=DIRECTION('',(1.312675399565E-13,-8.715574274768E-2,9.961946980917E-1)); +#9575=VECTOR('',#9574,2.706467783260E-2); +#9576=CARTESIAN_POINT('',(-1.83E1,7.199986605899E0,-1.989295579619E1)); +#9577=LINE('',#9576,#9575); +#9578=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9579=VECTOR('',#9578,5.E-2); +#9580=CARTESIAN_POINT('',(-1.825E1,7.197627763800E0,-1.986599410763E1)); +#9581=LINE('',#9580,#9579); +#9582=DIRECTION('',(1.E0,0.E0,0.E0)); +#9583=VECTOR('',#9582,5.E-2); +#9584=CARTESIAN_POINT('',(-2.045E1,7.197627763800E0,-1.986599410763E1)); +#9585=LINE('',#9584,#9583); +#9586=DIRECTION('',(0.E0,-8.715574274768E-2,9.961946980917E-1)); +#9587=VECTOR('',#9586,2.706467783260E-2); +#9588=CARTESIAN_POINT('',(-2.04E1,7.199986605899E0,-1.989295579619E1)); +#9589=LINE('',#9588,#9587); +#9590=DIRECTION('',(0.E0,1.E0,0.E0)); +#9591=VECTOR('',#9590,1.4E0); +#9592=CARTESIAN_POINT('',(-1.83E1,9.63E0,-1.795E1)); +#9593=LINE('',#9592,#9591); +#9594=DIRECTION('',(1.669282922279E-14,0.E0,-1.E0)); +#9595=VECTOR('',#9594,2.128287321091E-1); +#9596=CARTESIAN_POINT('',(-1.83E1,9.63E0,-1.795E1)); +#9597=LINE('',#9596,#9595); +#9598=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#9599=VECTOR('',#9598,2.969378216362E0); +#9600=CARTESIAN_POINT('',(-1.83E1,9.63E0,-1.816282873211E1)); +#9601=LINE('',#9600,#9599); +#9602=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#9603=VECTOR('',#9602,1.066197942480E0); +#9604=CARTESIAN_POINT('',(-1.83E1,1.121514332974E1,-1.9E1)); +#9605=LINE('',#9604,#9603); +#9606=DIRECTION('',(0.E0,1.E0,0.E0)); +#9607=VECTOR('',#9606,1.4E0); +#9608=CARTESIAN_POINT('',(-1.83E1,8.3E-1,-1.795E1)); +#9609=LINE('',#9608,#9607); +#9610=DIRECTION('',(2.089831575765E-14,0.E0,-1.E0)); +#9611=VECTOR('',#9610,1.7E-1); +#9612=CARTESIAN_POINT('',(-1.83E1,8.3E-1,-1.795E1)); +#9613=LINE('',#9612,#9611); +#9614=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#9615=VECTOR('',#9614,2.973688447842E0); +#9616=CARTESIAN_POINT('',(-1.83E1,8.3E-1,-1.812E1)); +#9617=LINE('',#9616,#9615); +#9618=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#9619=VECTOR('',#9618,2.007639675087E0); +#9620=CARTESIAN_POINT('',(-1.83E1,2.404977327052E0,-1.995E1)); +#9621=LINE('',#9620,#9619); +#9622=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#9623=VECTOR('',#9622,5.196152422707E-1); +#9624=CARTESIAN_POINT('',(-1.64E1,1.073E1,-1.765E1)); +#9625=LINE('',#9624,#9623); +#9626=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#9627=VECTOR('',#9626,5.196152422707E-1); +#9628=CARTESIAN_POINT('',(-1.83E1,1.103E1,-1.795E1)); +#9629=LINE('',#9628,#9627); +#9630=DIRECTION('',(0.E0,1.E0,0.E0)); +#9631=VECTOR('',#9630,8.E-1); +#9632=CARTESIAN_POINT('',(-1.8E1,9.93E0,-1.765E1)); +#9633=LINE('',#9632,#9631); +#9634=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9635=VECTOR('',#9634,1.6E0); +#9636=CARTESIAN_POINT('',(-1.64E1,1.073E1,-1.765E1)); +#9637=LINE('',#9636,#9635); +#9638=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9639=VECTOR('',#9638,8.E-1); +#9640=CARTESIAN_POINT('',(-1.64E1,1.073E1,-1.765E1)); +#9641=LINE('',#9640,#9639); +#9642=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9643=VECTOR('',#9642,1.6E0); +#9644=CARTESIAN_POINT('',(-1.64E1,9.93E0,-1.765E1)); +#9645=LINE('',#9644,#9643); +#9646=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9647=VECTOR('',#9646,6.3E-1); +#9648=CARTESIAN_POINT('',(-1.75E1,1.0645E1,-1.765E1)); +#9649=LINE('',#9648,#9647); +#9650=DIRECTION('',(0.E0,0.E0,1.E0)); +#9651=VECTOR('',#9650,5.9E0); +#9652=CARTESIAN_POINT('',(-1.75E1,1.0645E1,-1.765E1)); +#9653=LINE('',#9652,#9651); +#9654=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9655=VECTOR('',#9654,6.E-1); +#9656=CARTESIAN_POINT('',(-1.69E1,1.0645E1,-1.765E1)); +#9657=LINE('',#9656,#9655); +#9658=DIRECTION('',(0.E0,0.E0,1.E0)); +#9659=VECTOR('',#9658,5.9E0); +#9660=CARTESIAN_POINT('',(-1.69E1,1.0645E1,-1.765E1)); +#9661=LINE('',#9660,#9659); +#9662=DIRECTION('',(0.E0,1.E0,0.E0)); +#9663=VECTOR('',#9662,6.3E-1); +#9664=CARTESIAN_POINT('',(-1.69E1,1.0015E1,-1.765E1)); +#9665=LINE('',#9664,#9663); +#9666=DIRECTION('',(0.E0,0.E0,1.E0)); +#9667=VECTOR('',#9666,5.9E0); +#9668=CARTESIAN_POINT('',(-1.69E1,1.0015E1,-1.765E1)); +#9669=LINE('',#9668,#9667); +#9670=DIRECTION('',(1.E0,0.E0,0.E0)); +#9671=VECTOR('',#9670,6.E-1); +#9672=CARTESIAN_POINT('',(-1.75E1,1.0015E1,-1.765E1)); +#9673=LINE('',#9672,#9671); +#9674=DIRECTION('',(0.E0,0.E0,1.E0)); +#9675=VECTOR('',#9674,5.9E0); +#9676=CARTESIAN_POINT('',(-1.75E1,1.0015E1,-1.765E1)); +#9677=LINE('',#9676,#9675); +#9678=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9679=VECTOR('',#9678,6.3E-1); +#9680=CARTESIAN_POINT('',(-1.75E1,1.0645E1,-1.175E1)); +#9681=LINE('',#9680,#9679); +#9682=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9683=VECTOR('',#9682,6.E-1); +#9684=CARTESIAN_POINT('',(-1.69E1,1.0645E1,-1.175E1)); +#9685=LINE('',#9684,#9683); +#9686=DIRECTION('',(0.E0,1.E0,0.E0)); +#9687=VECTOR('',#9686,6.3E-1); +#9688=CARTESIAN_POINT('',(-1.69E1,1.0015E1,-1.175E1)); +#9689=LINE('',#9688,#9687); +#9690=DIRECTION('',(1.E0,0.E0,0.E0)); +#9691=VECTOR('',#9690,6.E-1); +#9692=CARTESIAN_POINT('',(-1.75E1,1.0015E1,-1.175E1)); +#9693=LINE('',#9692,#9691); +#9694=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9695=VECTOR('',#9694,6.3E-1); +#9696=CARTESIAN_POINT('',(-1.75E1,1.845E0,-1.175E1)); +#9697=LINE('',#9696,#9695); +#9698=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9699=VECTOR('',#9698,6.E-1); +#9700=CARTESIAN_POINT('',(-1.69E1,1.845E0,-1.175E1)); +#9701=LINE('',#9700,#9699); +#9702=DIRECTION('',(0.E0,1.E0,0.E0)); +#9703=VECTOR('',#9702,6.3E-1); +#9704=CARTESIAN_POINT('',(-1.69E1,1.215E0,-1.175E1)); +#9705=LINE('',#9704,#9703); +#9706=DIRECTION('',(1.E0,0.E0,0.E0)); +#9707=VECTOR('',#9706,6.E-1); +#9708=CARTESIAN_POINT('',(-1.75E1,1.215E0,-1.175E1)); +#9709=LINE('',#9708,#9707); +#9710=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9711=VECTOR('',#9710,6.3E-1); +#9712=CARTESIAN_POINT('',(-1.75E1,1.845E0,-1.765E1)); +#9713=LINE('',#9712,#9711); +#9714=DIRECTION('',(0.E0,0.E0,1.E0)); +#9715=VECTOR('',#9714,5.9E0); +#9716=CARTESIAN_POINT('',(-1.75E1,1.845E0,-1.765E1)); +#9717=LINE('',#9716,#9715); +#9718=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9719=VECTOR('',#9718,8.E-1); +#9720=CARTESIAN_POINT('',(-1.64E1,1.93E0,-1.765E1)); +#9721=LINE('',#9720,#9719); +#9722=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9723=VECTOR('',#9722,1.6E0); +#9724=CARTESIAN_POINT('',(-1.64E1,1.13E0,-1.765E1)); +#9725=LINE('',#9724,#9723); +#9726=DIRECTION('',(0.E0,1.E0,0.E0)); +#9727=VECTOR('',#9726,8.E-1); +#9728=CARTESIAN_POINT('',(-1.8E1,1.13E0,-1.765E1)); +#9729=LINE('',#9728,#9727); +#9730=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9731=VECTOR('',#9730,1.6E0); +#9732=CARTESIAN_POINT('',(-1.64E1,1.93E0,-1.765E1)); +#9733=LINE('',#9732,#9731); +#9734=DIRECTION('',(1.E0,0.E0,0.E0)); +#9735=VECTOR('',#9734,6.E-1); +#9736=CARTESIAN_POINT('',(-1.75E1,1.215E0,-1.765E1)); +#9737=LINE('',#9736,#9735); +#9738=DIRECTION('',(0.E0,0.E0,1.E0)); +#9739=VECTOR('',#9738,5.9E0); +#9740=CARTESIAN_POINT('',(-1.75E1,1.215E0,-1.765E1)); +#9741=LINE('',#9740,#9739); +#9742=DIRECTION('',(0.E0,1.E0,0.E0)); +#9743=VECTOR('',#9742,6.3E-1); +#9744=CARTESIAN_POINT('',(-1.69E1,1.215E0,-1.765E1)); +#9745=LINE('',#9744,#9743); +#9746=DIRECTION('',(0.E0,0.E0,1.E0)); +#9747=VECTOR('',#9746,5.9E0); +#9748=CARTESIAN_POINT('',(-1.69E1,1.215E0,-1.765E1)); +#9749=LINE('',#9748,#9747); +#9750=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9751=VECTOR('',#9750,6.E-1); +#9752=CARTESIAN_POINT('',(-1.69E1,1.845E0,-1.765E1)); +#9753=LINE('',#9752,#9751); +#9754=DIRECTION('',(0.E0,0.E0,1.E0)); +#9755=VECTOR('',#9754,5.9E0); +#9756=CARTESIAN_POINT('',(-1.69E1,1.845E0,-1.765E1)); +#9757=LINE('',#9756,#9755); +#9758=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#9759=VECTOR('',#9758,5.196152422707E-1); +#9760=CARTESIAN_POINT('',(-1.64E1,1.13E0,-1.765E1)); +#9761=LINE('',#9760,#9759); +#9762=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#9763=VECTOR('',#9762,5.196152422707E-1); +#9764=CARTESIAN_POINT('',(-1.64E1,1.93E0,-1.765E1)); +#9765=LINE('',#9764,#9763); +#9766=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#9767=VECTOR('',#9766,5.196152422707E-1); +#9768=CARTESIAN_POINT('',(-1.8E1,1.93E0,-1.765E1)); +#9769=LINE('',#9768,#9767); +#9770=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#9771=VECTOR('',#9770,5.196152422707E-1); +#9772=CARTESIAN_POINT('',(-1.8E1,1.13E0,-1.765E1)); +#9773=LINE('',#9772,#9771); +#9774=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9775=VECTOR('',#9774,2.2E0); +#9776=CARTESIAN_POINT('',(-1.61E1,8.3E-1,-1.795E1)); +#9777=LINE('',#9776,#9775); +#9778=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9779=VECTOR('',#9778,1.4E0); +#9780=CARTESIAN_POINT('',(-1.61E1,1.103E1,-1.795E1)); +#9781=LINE('',#9780,#9779); +#9782=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#9783=VECTOR('',#9782,1.066197942480E0); +#9784=CARTESIAN_POINT('',(-1.61E1,1.121514332974E1,-1.9E1)); +#9785=LINE('',#9784,#9783); +#9786=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#9787=VECTOR('',#9786,2.969378216362E0); +#9788=CARTESIAN_POINT('',(-1.61E1,9.63E0,-1.816282873211E1)); +#9789=LINE('',#9788,#9787); +#9790=DIRECTION('',(1.669282922279E-14,0.E0,-1.E0)); +#9791=VECTOR('',#9790,2.128287321091E-1); +#9792=CARTESIAN_POINT('',(-1.61E1,9.63E0,-1.795E1)); +#9793=LINE('',#9792,#9791); +#9794=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9795=VECTOR('',#9794,1.4E0); +#9796=CARTESIAN_POINT('',(-1.61E1,2.23E0,-1.795E1)); +#9797=LINE('',#9796,#9795); +#9798=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#9799=VECTOR('',#9798,2.007639675087E0); +#9800=CARTESIAN_POINT('',(-1.61E1,2.404977327052E0,-1.995E1)); +#9801=LINE('',#9800,#9799); +#9802=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#9803=VECTOR('',#9802,2.973688447842E0); +#9804=CARTESIAN_POINT('',(-1.61E1,8.3E-1,-1.812E1)); +#9805=LINE('',#9804,#9803); +#9806=DIRECTION('',(2.089831575765E-14,0.E0,-1.E0)); +#9807=VECTOR('',#9806,1.7E-1); +#9808=CARTESIAN_POINT('',(-1.61E1,8.3E-1,-1.795E1)); +#9809=LINE('',#9808,#9807); +#9810=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9811=VECTOR('',#9810,2.2E0); +#9812=CARTESIAN_POINT('',(-1.61E1,1.103E1,-1.795E1)); +#9813=LINE('',#9812,#9811); +#9814=DIRECTION('',(-1.969013099348E-13,-8.715574274768E-2,9.961946980917E-1)); +#9815=VECTOR('',#9814,2.706467783260E-2); +#9816=CARTESIAN_POINT('',(-1.4E1,7.199986605899E0,-1.989295579619E1)); +#9817=LINE('',#9816,#9815); +#9818=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9819=VECTOR('',#9818,5.E-2); +#9820=CARTESIAN_POINT('',(-1.395E1,7.197627763800E0,-1.986599410763E1)); +#9821=LINE('',#9820,#9819); +#9822=DIRECTION('',(1.E0,0.E0,0.E0)); +#9823=VECTOR('',#9822,5.E-2); +#9824=CARTESIAN_POINT('',(-1.615E1,7.197627763800E0,-1.986599410763E1)); +#9825=LINE('',#9824,#9823); +#9826=DIRECTION('',(-1.312675399565E-13,-8.715574274768E-2,9.961946980917E-1)); +#9827=VECTOR('',#9826,2.706467783260E-2); +#9828=CARTESIAN_POINT('',(-1.61E1,7.199986605899E0,-1.989295579619E1)); +#9829=LINE('',#9828,#9827); +#9830=DIRECTION('',(0.E0,1.E0,0.E0)); +#9831=VECTOR('',#9830,1.4E0); +#9832=CARTESIAN_POINT('',(-1.4E1,9.63E0,-1.795E1)); +#9833=LINE('',#9832,#9831); +#9834=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9835=VECTOR('',#9834,2.128287321091E-1); +#9836=CARTESIAN_POINT('',(-1.4E1,9.63E0,-1.795E1)); +#9837=LINE('',#9836,#9835); +#9838=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#9839=VECTOR('',#9838,2.969378216362E0); +#9840=CARTESIAN_POINT('',(-1.4E1,9.63E0,-1.816282873211E1)); +#9841=LINE('',#9840,#9839); +#9842=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#9843=VECTOR('',#9842,1.066197942480E0); +#9844=CARTESIAN_POINT('',(-1.4E1,1.121514332974E1,-1.9E1)); +#9845=LINE('',#9844,#9843); +#9846=DIRECTION('',(0.E0,1.E0,0.E0)); +#9847=VECTOR('',#9846,1.4E0); +#9848=CARTESIAN_POINT('',(-1.4E1,8.3E-1,-1.795E1)); +#9849=LINE('',#9848,#9847); +#9850=DIRECTION('',(1.044915787882E-14,0.E0,-1.E0)); +#9851=VECTOR('',#9850,1.7E-1); +#9852=CARTESIAN_POINT('',(-1.4E1,8.3E-1,-1.795E1)); +#9853=LINE('',#9852,#9851); +#9854=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#9855=VECTOR('',#9854,2.973688447842E0); +#9856=CARTESIAN_POINT('',(-1.4E1,8.3E-1,-1.812E1)); +#9857=LINE('',#9856,#9855); +#9858=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#9859=VECTOR('',#9858,2.007639675087E0); +#9860=CARTESIAN_POINT('',(-1.4E1,2.404977327052E0,-1.995E1)); +#9861=LINE('',#9860,#9859); +#9862=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#9863=VECTOR('',#9862,5.196152422707E-1); +#9864=CARTESIAN_POINT('',(-1.21E1,1.073E1,-1.765E1)); +#9865=LINE('',#9864,#9863); +#9866=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#9867=VECTOR('',#9866,5.196152422707E-1); +#9868=CARTESIAN_POINT('',(-1.4E1,1.103E1,-1.795E1)); +#9869=LINE('',#9868,#9867); +#9870=DIRECTION('',(0.E0,1.E0,0.E0)); +#9871=VECTOR('',#9870,8.E-1); +#9872=CARTESIAN_POINT('',(-1.37E1,9.93E0,-1.765E1)); +#9873=LINE('',#9872,#9871); +#9874=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9875=VECTOR('',#9874,1.6E0); +#9876=CARTESIAN_POINT('',(-1.21E1,1.073E1,-1.765E1)); +#9877=LINE('',#9876,#9875); +#9878=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9879=VECTOR('',#9878,8.E-1); +#9880=CARTESIAN_POINT('',(-1.21E1,1.073E1,-1.765E1)); +#9881=LINE('',#9880,#9879); +#9882=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9883=VECTOR('',#9882,1.6E0); +#9884=CARTESIAN_POINT('',(-1.21E1,9.93E0,-1.765E1)); +#9885=LINE('',#9884,#9883); +#9886=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9887=VECTOR('',#9886,6.3E-1); +#9888=CARTESIAN_POINT('',(-1.32E1,1.0645E1,-1.765E1)); +#9889=LINE('',#9888,#9887); +#9890=DIRECTION('',(0.E0,0.E0,1.E0)); +#9891=VECTOR('',#9890,5.9E0); +#9892=CARTESIAN_POINT('',(-1.32E1,1.0645E1,-1.765E1)); +#9893=LINE('',#9892,#9891); +#9894=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9895=VECTOR('',#9894,6.E-1); +#9896=CARTESIAN_POINT('',(-1.26E1,1.0645E1,-1.765E1)); +#9897=LINE('',#9896,#9895); +#9898=DIRECTION('',(0.E0,0.E0,1.E0)); +#9899=VECTOR('',#9898,5.9E0); +#9900=CARTESIAN_POINT('',(-1.26E1,1.0645E1,-1.765E1)); +#9901=LINE('',#9900,#9899); +#9902=DIRECTION('',(0.E0,1.E0,0.E0)); +#9903=VECTOR('',#9902,6.3E-1); +#9904=CARTESIAN_POINT('',(-1.26E1,1.0015E1,-1.765E1)); +#9905=LINE('',#9904,#9903); +#9906=DIRECTION('',(0.E0,0.E0,1.E0)); +#9907=VECTOR('',#9906,5.9E0); +#9908=CARTESIAN_POINT('',(-1.26E1,1.0015E1,-1.765E1)); +#9909=LINE('',#9908,#9907); +#9910=DIRECTION('',(1.E0,0.E0,0.E0)); +#9911=VECTOR('',#9910,6.E-1); +#9912=CARTESIAN_POINT('',(-1.32E1,1.0015E1,-1.765E1)); +#9913=LINE('',#9912,#9911); +#9914=DIRECTION('',(0.E0,0.E0,1.E0)); +#9915=VECTOR('',#9914,5.9E0); +#9916=CARTESIAN_POINT('',(-1.32E1,1.0015E1,-1.765E1)); +#9917=LINE('',#9916,#9915); +#9918=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9919=VECTOR('',#9918,6.3E-1); +#9920=CARTESIAN_POINT('',(-1.32E1,1.0645E1,-1.175E1)); +#9921=LINE('',#9920,#9919); +#9922=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9923=VECTOR('',#9922,6.E-1); +#9924=CARTESIAN_POINT('',(-1.26E1,1.0645E1,-1.175E1)); +#9925=LINE('',#9924,#9923); +#9926=DIRECTION('',(0.E0,1.E0,0.E0)); +#9927=VECTOR('',#9926,6.3E-1); +#9928=CARTESIAN_POINT('',(-1.26E1,1.0015E1,-1.175E1)); +#9929=LINE('',#9928,#9927); +#9930=DIRECTION('',(1.E0,0.E0,0.E0)); +#9931=VECTOR('',#9930,6.E-1); +#9932=CARTESIAN_POINT('',(-1.32E1,1.0015E1,-1.175E1)); +#9933=LINE('',#9932,#9931); +#9934=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9935=VECTOR('',#9934,6.3E-1); +#9936=CARTESIAN_POINT('',(-1.32E1,1.845E0,-1.175E1)); +#9937=LINE('',#9936,#9935); +#9938=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9939=VECTOR('',#9938,6.E-1); +#9940=CARTESIAN_POINT('',(-1.26E1,1.845E0,-1.175E1)); +#9941=LINE('',#9940,#9939); +#9942=DIRECTION('',(0.E0,1.E0,0.E0)); +#9943=VECTOR('',#9942,6.3E-1); +#9944=CARTESIAN_POINT('',(-1.26E1,1.215E0,-1.175E1)); +#9945=LINE('',#9944,#9943); +#9946=DIRECTION('',(1.E0,0.E0,0.E0)); +#9947=VECTOR('',#9946,6.E-1); +#9948=CARTESIAN_POINT('',(-1.32E1,1.215E0,-1.175E1)); +#9949=LINE('',#9948,#9947); +#9950=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9951=VECTOR('',#9950,6.3E-1); +#9952=CARTESIAN_POINT('',(-1.32E1,1.845E0,-1.765E1)); +#9953=LINE('',#9952,#9951); +#9954=DIRECTION('',(0.E0,0.E0,1.E0)); +#9955=VECTOR('',#9954,5.9E0); +#9956=CARTESIAN_POINT('',(-1.32E1,1.845E0,-1.765E1)); +#9957=LINE('',#9956,#9955); +#9958=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9959=VECTOR('',#9958,8.E-1); +#9960=CARTESIAN_POINT('',(-1.21E1,1.93E0,-1.765E1)); +#9961=LINE('',#9960,#9959); +#9962=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9963=VECTOR('',#9962,1.6E0); +#9964=CARTESIAN_POINT('',(-1.21E1,1.13E0,-1.765E1)); +#9965=LINE('',#9964,#9963); +#9966=DIRECTION('',(0.E0,1.E0,0.E0)); +#9967=VECTOR('',#9966,8.E-1); +#9968=CARTESIAN_POINT('',(-1.37E1,1.13E0,-1.765E1)); +#9969=LINE('',#9968,#9967); +#9970=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9971=VECTOR('',#9970,1.6E0); +#9972=CARTESIAN_POINT('',(-1.21E1,1.93E0,-1.765E1)); +#9973=LINE('',#9972,#9971); +#9974=DIRECTION('',(1.E0,0.E0,0.E0)); +#9975=VECTOR('',#9974,6.E-1); +#9976=CARTESIAN_POINT('',(-1.32E1,1.215E0,-1.765E1)); +#9977=LINE('',#9976,#9975); +#9978=DIRECTION('',(0.E0,0.E0,1.E0)); +#9979=VECTOR('',#9978,5.9E0); +#9980=CARTESIAN_POINT('',(-1.32E1,1.215E0,-1.765E1)); +#9981=LINE('',#9980,#9979); +#9982=DIRECTION('',(0.E0,1.E0,0.E0)); +#9983=VECTOR('',#9982,6.3E-1); +#9984=CARTESIAN_POINT('',(-1.26E1,1.215E0,-1.765E1)); +#9985=LINE('',#9984,#9983); +#9986=DIRECTION('',(0.E0,0.E0,1.E0)); +#9987=VECTOR('',#9986,5.9E0); +#9988=CARTESIAN_POINT('',(-1.26E1,1.215E0,-1.765E1)); +#9989=LINE('',#9988,#9987); +#9990=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9991=VECTOR('',#9990,6.E-1); +#9992=CARTESIAN_POINT('',(-1.26E1,1.845E0,-1.765E1)); +#9993=LINE('',#9992,#9991); +#9994=DIRECTION('',(0.E0,0.E0,1.E0)); +#9995=VECTOR('',#9994,5.9E0); +#9996=CARTESIAN_POINT('',(-1.26E1,1.845E0,-1.765E1)); +#9997=LINE('',#9996,#9995); +#9998=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#9999=VECTOR('',#9998,5.196152422707E-1); +#10000=CARTESIAN_POINT('',(-1.21E1,1.13E0,-1.765E1)); +#10001=LINE('',#10000,#9999); +#10002=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#10003=VECTOR('',#10002,5.196152422707E-1); +#10004=CARTESIAN_POINT('',(-1.21E1,1.93E0,-1.765E1)); +#10005=LINE('',#10004,#10003); +#10006=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#10007=VECTOR('',#10006,5.196152422707E-1); +#10008=CARTESIAN_POINT('',(-1.37E1,1.93E0,-1.765E1)); +#10009=LINE('',#10008,#10007); +#10010=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#10011=VECTOR('',#10010,5.196152422707E-1); +#10012=CARTESIAN_POINT('',(-1.37E1,1.13E0,-1.765E1)); +#10013=LINE('',#10012,#10011); +#10014=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10015=VECTOR('',#10014,2.2E0); +#10016=CARTESIAN_POINT('',(-1.18E1,8.3E-1,-1.795E1)); +#10017=LINE('',#10016,#10015); +#10018=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10019=VECTOR('',#10018,1.4E0); +#10020=CARTESIAN_POINT('',(-1.18E1,1.103E1,-1.795E1)); +#10021=LINE('',#10020,#10019); +#10022=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#10023=VECTOR('',#10022,1.066197942480E0); +#10024=CARTESIAN_POINT('',(-1.18E1,1.121514332974E1,-1.9E1)); +#10025=LINE('',#10024,#10023); +#10026=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#10027=VECTOR('',#10026,2.969378216362E0); +#10028=CARTESIAN_POINT('',(-1.18E1,9.63E0,-1.816282873211E1)); +#10029=LINE('',#10028,#10027); +#10030=DIRECTION('',(-1.669282922279E-14,0.E0,-1.E0)); +#10031=VECTOR('',#10030,2.128287321091E-1); +#10032=CARTESIAN_POINT('',(-1.18E1,9.63E0,-1.795E1)); +#10033=LINE('',#10032,#10031); +#10034=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10035=VECTOR('',#10034,1.4E0); +#10036=CARTESIAN_POINT('',(-1.18E1,2.23E0,-1.795E1)); +#10037=LINE('',#10036,#10035); +#10038=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#10039=VECTOR('',#10038,2.007639675087E0); +#10040=CARTESIAN_POINT('',(-1.18E1,2.404977327052E0,-1.995E1)); +#10041=LINE('',#10040,#10039); +#10042=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#10043=VECTOR('',#10042,2.973688447842E0); +#10044=CARTESIAN_POINT('',(-1.18E1,8.3E-1,-1.812E1)); +#10045=LINE('',#10044,#10043); +#10046=DIRECTION('',(-2.089831575765E-14,0.E0,-1.E0)); +#10047=VECTOR('',#10046,1.7E-1); +#10048=CARTESIAN_POINT('',(-1.18E1,8.3E-1,-1.795E1)); +#10049=LINE('',#10048,#10047); +#10050=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10051=VECTOR('',#10050,2.2E0); +#10052=CARTESIAN_POINT('',(-1.18E1,1.103E1,-1.795E1)); +#10053=LINE('',#10052,#10051); +#10054=DIRECTION('',(-1.969013099348E-13,-8.715574274768E-2,9.961946980917E-1)); +#10055=VECTOR('',#10054,2.706467783260E-2); +#10056=CARTESIAN_POINT('',(-9.7E0,7.199986605899E0,-1.989295579619E1)); +#10057=LINE('',#10056,#10055); +#10058=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10059=VECTOR('',#10058,5.E-2); +#10060=CARTESIAN_POINT('',(-9.65E0,7.197627763800E0,-1.986599410763E1)); +#10061=LINE('',#10060,#10059); +#10062=DIRECTION('',(1.E0,0.E0,0.E0)); +#10063=VECTOR('',#10062,5.E-2); +#10064=CARTESIAN_POINT('',(-1.185E1,7.197627763800E0,-1.986599410763E1)); +#10065=LINE('',#10064,#10063); +#10066=DIRECTION('',(0.E0,-8.715574274768E-2,9.961946980917E-1)); +#10067=VECTOR('',#10066,2.706467783260E-2); +#10068=CARTESIAN_POINT('',(-1.18E1,7.199986605899E0,-1.989295579619E1)); +#10069=LINE('',#10068,#10067); +#10070=DIRECTION('',(0.E0,1.E0,0.E0)); +#10071=VECTOR('',#10070,1.4E0); +#10072=CARTESIAN_POINT('',(-9.7E0,9.63E0,-1.795E1)); +#10073=LINE('',#10072,#10071); +#10074=DIRECTION('',(-1.669282922279E-14,0.E0,-1.E0)); +#10075=VECTOR('',#10074,2.128287321091E-1); +#10076=CARTESIAN_POINT('',(-9.7E0,9.63E0,-1.795E1)); +#10077=LINE('',#10076,#10075); +#10078=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#10079=VECTOR('',#10078,2.969378216362E0); +#10080=CARTESIAN_POINT('',(-9.7E0,9.63E0,-1.816282873211E1)); +#10081=LINE('',#10080,#10079); +#10082=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#10083=VECTOR('',#10082,1.066197942480E0); +#10084=CARTESIAN_POINT('',(-9.7E0,1.121514332974E1,-1.9E1)); +#10085=LINE('',#10084,#10083); +#10086=DIRECTION('',(0.E0,1.E0,0.E0)); +#10087=VECTOR('',#10086,1.4E0); +#10088=CARTESIAN_POINT('',(-9.7E0,8.3E-1,-1.795E1)); +#10089=LINE('',#10088,#10087); +#10090=DIRECTION('',(-2.089831575765E-14,0.E0,-1.E0)); +#10091=VECTOR('',#10090,1.7E-1); +#10092=CARTESIAN_POINT('',(-9.7E0,8.3E-1,-1.795E1)); +#10093=LINE('',#10092,#10091); +#10094=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#10095=VECTOR('',#10094,2.973688447842E0); +#10096=CARTESIAN_POINT('',(-9.7E0,8.3E-1,-1.812E1)); +#10097=LINE('',#10096,#10095); +#10098=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#10099=VECTOR('',#10098,2.007639675087E0); +#10100=CARTESIAN_POINT('',(-9.7E0,2.404977327052E0,-1.995E1)); +#10101=LINE('',#10100,#10099); +#10102=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#10103=VECTOR('',#10102,5.196152422707E-1); +#10104=CARTESIAN_POINT('',(-7.8E0,1.073E1,-1.765E1)); +#10105=LINE('',#10104,#10103); +#10106=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#10107=VECTOR('',#10106,5.196152422707E-1); +#10108=CARTESIAN_POINT('',(-9.7E0,1.103E1,-1.795E1)); +#10109=LINE('',#10108,#10107); +#10110=DIRECTION('',(0.E0,1.E0,0.E0)); +#10111=VECTOR('',#10110,8.E-1); +#10112=CARTESIAN_POINT('',(-9.4E0,9.93E0,-1.765E1)); +#10113=LINE('',#10112,#10111); +#10114=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10115=VECTOR('',#10114,1.6E0); +#10116=CARTESIAN_POINT('',(-7.8E0,1.073E1,-1.765E1)); +#10117=LINE('',#10116,#10115); +#10118=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10119=VECTOR('',#10118,8.E-1); +#10120=CARTESIAN_POINT('',(-7.8E0,1.073E1,-1.765E1)); +#10121=LINE('',#10120,#10119); +#10122=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10123=VECTOR('',#10122,1.6E0); +#10124=CARTESIAN_POINT('',(-7.8E0,9.93E0,-1.765E1)); +#10125=LINE('',#10124,#10123); +#10126=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10127=VECTOR('',#10126,6.3E-1); +#10128=CARTESIAN_POINT('',(-8.9E0,1.0645E1,-1.765E1)); +#10129=LINE('',#10128,#10127); +#10130=DIRECTION('',(0.E0,0.E0,1.E0)); +#10131=VECTOR('',#10130,5.9E0); +#10132=CARTESIAN_POINT('',(-8.9E0,1.0645E1,-1.765E1)); +#10133=LINE('',#10132,#10131); +#10134=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10135=VECTOR('',#10134,6.E-1); +#10136=CARTESIAN_POINT('',(-8.3E0,1.0645E1,-1.765E1)); +#10137=LINE('',#10136,#10135); +#10138=DIRECTION('',(0.E0,0.E0,1.E0)); +#10139=VECTOR('',#10138,5.9E0); +#10140=CARTESIAN_POINT('',(-8.3E0,1.0645E1,-1.765E1)); +#10141=LINE('',#10140,#10139); +#10142=DIRECTION('',(0.E0,1.E0,0.E0)); +#10143=VECTOR('',#10142,6.3E-1); +#10144=CARTESIAN_POINT('',(-8.3E0,1.0015E1,-1.765E1)); +#10145=LINE('',#10144,#10143); +#10146=DIRECTION('',(0.E0,0.E0,1.E0)); +#10147=VECTOR('',#10146,5.9E0); +#10148=CARTESIAN_POINT('',(-8.3E0,1.0015E1,-1.765E1)); +#10149=LINE('',#10148,#10147); +#10150=DIRECTION('',(1.E0,0.E0,0.E0)); +#10151=VECTOR('',#10150,6.E-1); +#10152=CARTESIAN_POINT('',(-8.9E0,1.0015E1,-1.765E1)); +#10153=LINE('',#10152,#10151); +#10154=DIRECTION('',(0.E0,0.E0,1.E0)); +#10155=VECTOR('',#10154,5.9E0); +#10156=CARTESIAN_POINT('',(-8.9E0,1.0015E1,-1.765E1)); +#10157=LINE('',#10156,#10155); +#10158=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10159=VECTOR('',#10158,6.3E-1); +#10160=CARTESIAN_POINT('',(-8.9E0,1.0645E1,-1.175E1)); +#10161=LINE('',#10160,#10159); +#10162=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10163=VECTOR('',#10162,6.E-1); +#10164=CARTESIAN_POINT('',(-8.3E0,1.0645E1,-1.175E1)); +#10165=LINE('',#10164,#10163); +#10166=DIRECTION('',(0.E0,1.E0,0.E0)); +#10167=VECTOR('',#10166,6.3E-1); +#10168=CARTESIAN_POINT('',(-8.3E0,1.0015E1,-1.175E1)); +#10169=LINE('',#10168,#10167); +#10170=DIRECTION('',(1.E0,0.E0,0.E0)); +#10171=VECTOR('',#10170,6.E-1); +#10172=CARTESIAN_POINT('',(-8.9E0,1.0015E1,-1.175E1)); +#10173=LINE('',#10172,#10171); +#10174=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10175=VECTOR('',#10174,6.3E-1); +#10176=CARTESIAN_POINT('',(-8.9E0,1.845E0,-1.175E1)); +#10177=LINE('',#10176,#10175); +#10178=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10179=VECTOR('',#10178,6.E-1); +#10180=CARTESIAN_POINT('',(-8.3E0,1.845E0,-1.175E1)); +#10181=LINE('',#10180,#10179); +#10182=DIRECTION('',(0.E0,1.E0,0.E0)); +#10183=VECTOR('',#10182,6.3E-1); +#10184=CARTESIAN_POINT('',(-8.3E0,1.215E0,-1.175E1)); +#10185=LINE('',#10184,#10183); +#10186=DIRECTION('',(1.E0,0.E0,0.E0)); +#10187=VECTOR('',#10186,6.E-1); +#10188=CARTESIAN_POINT('',(-8.9E0,1.215E0,-1.175E1)); +#10189=LINE('',#10188,#10187); +#10190=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10191=VECTOR('',#10190,6.3E-1); +#10192=CARTESIAN_POINT('',(-8.9E0,1.845E0,-1.765E1)); +#10193=LINE('',#10192,#10191); +#10194=DIRECTION('',(0.E0,0.E0,1.E0)); +#10195=VECTOR('',#10194,5.9E0); +#10196=CARTESIAN_POINT('',(-8.9E0,1.845E0,-1.765E1)); +#10197=LINE('',#10196,#10195); +#10198=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10199=VECTOR('',#10198,8.E-1); +#10200=CARTESIAN_POINT('',(-7.8E0,1.93E0,-1.765E1)); +#10201=LINE('',#10200,#10199); +#10202=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10203=VECTOR('',#10202,1.6E0); +#10204=CARTESIAN_POINT('',(-7.8E0,1.13E0,-1.765E1)); +#10205=LINE('',#10204,#10203); +#10206=DIRECTION('',(0.E0,1.E0,0.E0)); +#10207=VECTOR('',#10206,8.E-1); +#10208=CARTESIAN_POINT('',(-9.4E0,1.13E0,-1.765E1)); +#10209=LINE('',#10208,#10207); +#10210=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10211=VECTOR('',#10210,1.6E0); +#10212=CARTESIAN_POINT('',(-7.8E0,1.93E0,-1.765E1)); +#10213=LINE('',#10212,#10211); +#10214=DIRECTION('',(1.E0,0.E0,0.E0)); +#10215=VECTOR('',#10214,6.E-1); +#10216=CARTESIAN_POINT('',(-8.9E0,1.215E0,-1.765E1)); +#10217=LINE('',#10216,#10215); +#10218=DIRECTION('',(0.E0,0.E0,1.E0)); +#10219=VECTOR('',#10218,5.9E0); +#10220=CARTESIAN_POINT('',(-8.9E0,1.215E0,-1.765E1)); +#10221=LINE('',#10220,#10219); +#10222=DIRECTION('',(0.E0,1.E0,0.E0)); +#10223=VECTOR('',#10222,6.3E-1); +#10224=CARTESIAN_POINT('',(-8.3E0,1.215E0,-1.765E1)); +#10225=LINE('',#10224,#10223); +#10226=DIRECTION('',(0.E0,0.E0,1.E0)); +#10227=VECTOR('',#10226,5.9E0); +#10228=CARTESIAN_POINT('',(-8.3E0,1.215E0,-1.765E1)); +#10229=LINE('',#10228,#10227); +#10230=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10231=VECTOR('',#10230,6.E-1); +#10232=CARTESIAN_POINT('',(-8.3E0,1.845E0,-1.765E1)); +#10233=LINE('',#10232,#10231); +#10234=DIRECTION('',(0.E0,0.E0,1.E0)); +#10235=VECTOR('',#10234,5.9E0); +#10236=CARTESIAN_POINT('',(-8.3E0,1.845E0,-1.765E1)); +#10237=LINE('',#10236,#10235); +#10238=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#10239=VECTOR('',#10238,5.196152422707E-1); +#10240=CARTESIAN_POINT('',(-7.8E0,1.13E0,-1.765E1)); +#10241=LINE('',#10240,#10239); +#10242=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#10243=VECTOR('',#10242,5.196152422707E-1); +#10244=CARTESIAN_POINT('',(-7.8E0,1.93E0,-1.765E1)); +#10245=LINE('',#10244,#10243); +#10246=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#10247=VECTOR('',#10246,5.196152422707E-1); +#10248=CARTESIAN_POINT('',(-9.4E0,1.93E0,-1.765E1)); +#10249=LINE('',#10248,#10247); +#10250=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#10251=VECTOR('',#10250,5.196152422707E-1); +#10252=CARTESIAN_POINT('',(-9.4E0,1.13E0,-1.765E1)); +#10253=LINE('',#10252,#10251); +#10254=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10255=VECTOR('',#10254,2.2E0); +#10256=CARTESIAN_POINT('',(-7.5E0,8.3E-1,-1.795E1)); +#10257=LINE('',#10256,#10255); +#10258=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10259=VECTOR('',#10258,1.4E0); +#10260=CARTESIAN_POINT('',(-7.5E0,1.103E1,-1.795E1)); +#10261=LINE('',#10260,#10259); +#10262=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#10263=VECTOR('',#10262,1.066197942480E0); +#10264=CARTESIAN_POINT('',(-7.5E0,1.121514332974E1,-1.9E1)); +#10265=LINE('',#10264,#10263); +#10266=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#10267=VECTOR('',#10266,2.969378216362E0); +#10268=CARTESIAN_POINT('',(-7.5E0,9.63E0,-1.816282873211E1)); +#10269=LINE('',#10268,#10267); +#10270=DIRECTION('',(-2.921245113989E-14,0.E0,-1.E0)); +#10271=VECTOR('',#10270,2.128287321091E-1); +#10272=CARTESIAN_POINT('',(-7.5E0,9.63E0,-1.795E1)); +#10273=LINE('',#10272,#10271); +#10274=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10275=VECTOR('',#10274,1.4E0); +#10276=CARTESIAN_POINT('',(-7.5E0,2.23E0,-1.795E1)); +#10277=LINE('',#10276,#10275); +#10278=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#10279=VECTOR('',#10278,2.007639675087E0); +#10280=CARTESIAN_POINT('',(-7.5E0,2.404977327052E0,-1.995E1)); +#10281=LINE('',#10280,#10279); +#10282=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#10283=VECTOR('',#10282,2.973688447842E0); +#10284=CARTESIAN_POINT('',(-7.5E0,8.3E-1,-1.812E1)); +#10285=LINE('',#10284,#10283); +#10286=DIRECTION('',(-3.657205257589E-14,0.E0,-1.E0)); +#10287=VECTOR('',#10286,1.7E-1); +#10288=CARTESIAN_POINT('',(-7.5E0,8.3E-1,-1.795E1)); +#10289=LINE('',#10288,#10287); +#10290=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10291=VECTOR('',#10290,2.2E0); +#10292=CARTESIAN_POINT('',(-7.5E0,1.103E1,-1.795E1)); +#10293=LINE('',#10292,#10291); +#10294=DIRECTION('',(-9.845065496738E-14,-8.715574274768E-2,9.961946980917E-1)); +#10295=VECTOR('',#10294,2.706467783260E-2); +#10296=CARTESIAN_POINT('',(-5.4E0,7.199986605899E0,-1.989295579619E1)); +#10297=LINE('',#10296,#10295); +#10298=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10299=VECTOR('',#10298,5.E-2); +#10300=CARTESIAN_POINT('',(-5.35E0,7.197627763800E0,-1.986599410763E1)); +#10301=LINE('',#10300,#10299); +#10302=DIRECTION('',(1.E0,0.E0,0.E0)); +#10303=VECTOR('',#10302,5.E-2); +#10304=CARTESIAN_POINT('',(-7.55E0,7.197627763800E0,-1.986599410763E1)); +#10305=LINE('',#10304,#10303); +#10306=DIRECTION('',(-1.312675399565E-13,-8.715574274768E-2,9.961946980917E-1)); +#10307=VECTOR('',#10306,2.706467783260E-2); +#10308=CARTESIAN_POINT('',(-7.5E0,7.199986605899E0,-1.989295579619E1)); +#10309=LINE('',#10308,#10307); +#10310=DIRECTION('',(0.E0,1.E0,0.E0)); +#10311=VECTOR('',#10310,1.4E0); +#10312=CARTESIAN_POINT('',(-5.4E0,9.63E0,-1.795E1)); +#10313=LINE('',#10312,#10311); +#10314=DIRECTION('',(-1.251962191709E-14,0.E0,-1.E0)); +#10315=VECTOR('',#10314,2.128287321091E-1); +#10316=CARTESIAN_POINT('',(-5.4E0,9.63E0,-1.795E1)); +#10317=LINE('',#10316,#10315); +#10318=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#10319=VECTOR('',#10318,2.969378216362E0); +#10320=CARTESIAN_POINT('',(-5.4E0,9.63E0,-1.816282873211E1)); +#10321=LINE('',#10320,#10319); +#10322=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#10323=VECTOR('',#10322,1.066197942480E0); +#10324=CARTESIAN_POINT('',(-5.4E0,1.121514332974E1,-1.9E1)); +#10325=LINE('',#10324,#10323); +#10326=DIRECTION('',(0.E0,1.E0,0.E0)); +#10327=VECTOR('',#10326,1.4E0); +#10328=CARTESIAN_POINT('',(-5.4E0,8.3E-1,-1.795E1)); +#10329=LINE('',#10328,#10327); +#10330=DIRECTION('',(-1.567373681824E-14,0.E0,-1.E0)); +#10331=VECTOR('',#10330,1.7E-1); +#10332=CARTESIAN_POINT('',(-5.4E0,8.3E-1,-1.795E1)); +#10333=LINE('',#10332,#10331); +#10334=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#10335=VECTOR('',#10334,2.973688447842E0); +#10336=CARTESIAN_POINT('',(-5.4E0,8.3E-1,-1.812E1)); +#10337=LINE('',#10336,#10335); +#10338=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#10339=VECTOR('',#10338,2.007639675087E0); +#10340=CARTESIAN_POINT('',(-5.4E0,2.404977327052E0,-1.995E1)); +#10341=LINE('',#10340,#10339); +#10342=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#10343=VECTOR('',#10342,5.196152422707E-1); +#10344=CARTESIAN_POINT('',(-3.5E0,1.073E1,-1.765E1)); +#10345=LINE('',#10344,#10343); +#10346=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#10347=VECTOR('',#10346,5.196152422707E-1); +#10348=CARTESIAN_POINT('',(-5.4E0,1.103E1,-1.795E1)); +#10349=LINE('',#10348,#10347); +#10350=DIRECTION('',(0.E0,1.E0,0.E0)); +#10351=VECTOR('',#10350,8.E-1); +#10352=CARTESIAN_POINT('',(-5.1E0,9.93E0,-1.765E1)); +#10353=LINE('',#10352,#10351); +#10354=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10355=VECTOR('',#10354,1.6E0); +#10356=CARTESIAN_POINT('',(-3.5E0,1.073E1,-1.765E1)); +#10357=LINE('',#10356,#10355); +#10358=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10359=VECTOR('',#10358,8.E-1); +#10360=CARTESIAN_POINT('',(-3.5E0,1.073E1,-1.765E1)); +#10361=LINE('',#10360,#10359); +#10362=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10363=VECTOR('',#10362,1.6E0); +#10364=CARTESIAN_POINT('',(-3.5E0,9.93E0,-1.765E1)); +#10365=LINE('',#10364,#10363); +#10366=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10367=VECTOR('',#10366,6.3E-1); +#10368=CARTESIAN_POINT('',(-4.6E0,1.0645E1,-1.765E1)); +#10369=LINE('',#10368,#10367); +#10370=DIRECTION('',(0.E0,0.E0,1.E0)); +#10371=VECTOR('',#10370,5.9E0); +#10372=CARTESIAN_POINT('',(-4.6E0,1.0645E1,-1.765E1)); +#10373=LINE('',#10372,#10371); +#10374=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10375=VECTOR('',#10374,6.E-1); +#10376=CARTESIAN_POINT('',(-4.E0,1.0645E1,-1.765E1)); +#10377=LINE('',#10376,#10375); +#10378=DIRECTION('',(0.E0,0.E0,1.E0)); +#10379=VECTOR('',#10378,5.9E0); +#10380=CARTESIAN_POINT('',(-4.E0,1.0645E1,-1.765E1)); +#10381=LINE('',#10380,#10379); +#10382=DIRECTION('',(0.E0,1.E0,0.E0)); +#10383=VECTOR('',#10382,6.3E-1); +#10384=CARTESIAN_POINT('',(-4.E0,1.0015E1,-1.765E1)); +#10385=LINE('',#10384,#10383); +#10386=DIRECTION('',(0.E0,0.E0,1.E0)); +#10387=VECTOR('',#10386,5.9E0); +#10388=CARTESIAN_POINT('',(-4.E0,1.0015E1,-1.765E1)); +#10389=LINE('',#10388,#10387); +#10390=DIRECTION('',(1.E0,0.E0,0.E0)); +#10391=VECTOR('',#10390,6.E-1); +#10392=CARTESIAN_POINT('',(-4.6E0,1.0015E1,-1.765E1)); +#10393=LINE('',#10392,#10391); +#10394=DIRECTION('',(0.E0,0.E0,1.E0)); +#10395=VECTOR('',#10394,5.9E0); +#10396=CARTESIAN_POINT('',(-4.6E0,1.0015E1,-1.765E1)); +#10397=LINE('',#10396,#10395); +#10398=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10399=VECTOR('',#10398,6.3E-1); +#10400=CARTESIAN_POINT('',(-4.6E0,1.0645E1,-1.175E1)); +#10401=LINE('',#10400,#10399); +#10402=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10403=VECTOR('',#10402,6.E-1); +#10404=CARTESIAN_POINT('',(-4.E0,1.0645E1,-1.175E1)); +#10405=LINE('',#10404,#10403); +#10406=DIRECTION('',(0.E0,1.E0,0.E0)); +#10407=VECTOR('',#10406,6.3E-1); +#10408=CARTESIAN_POINT('',(-4.E0,1.0015E1,-1.175E1)); +#10409=LINE('',#10408,#10407); +#10410=DIRECTION('',(1.E0,0.E0,0.E0)); +#10411=VECTOR('',#10410,6.E-1); +#10412=CARTESIAN_POINT('',(-4.6E0,1.0015E1,-1.175E1)); +#10413=LINE('',#10412,#10411); +#10414=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10415=VECTOR('',#10414,6.3E-1); +#10416=CARTESIAN_POINT('',(-4.6E0,1.845E0,-1.175E1)); +#10417=LINE('',#10416,#10415); +#10418=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10419=VECTOR('',#10418,6.E-1); +#10420=CARTESIAN_POINT('',(-4.E0,1.845E0,-1.175E1)); +#10421=LINE('',#10420,#10419); +#10422=DIRECTION('',(0.E0,1.E0,0.E0)); +#10423=VECTOR('',#10422,6.3E-1); +#10424=CARTESIAN_POINT('',(-4.E0,1.215E0,-1.175E1)); +#10425=LINE('',#10424,#10423); +#10426=DIRECTION('',(1.E0,0.E0,0.E0)); +#10427=VECTOR('',#10426,6.E-1); +#10428=CARTESIAN_POINT('',(-4.6E0,1.215E0,-1.175E1)); +#10429=LINE('',#10428,#10427); +#10430=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10431=VECTOR('',#10430,6.3E-1); +#10432=CARTESIAN_POINT('',(-4.6E0,1.845E0,-1.765E1)); +#10433=LINE('',#10432,#10431); +#10434=DIRECTION('',(0.E0,0.E0,1.E0)); +#10435=VECTOR('',#10434,5.9E0); +#10436=CARTESIAN_POINT('',(-4.6E0,1.845E0,-1.765E1)); +#10437=LINE('',#10436,#10435); +#10438=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10439=VECTOR('',#10438,8.E-1); +#10440=CARTESIAN_POINT('',(-3.5E0,1.93E0,-1.765E1)); +#10441=LINE('',#10440,#10439); +#10442=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10443=VECTOR('',#10442,1.6E0); +#10444=CARTESIAN_POINT('',(-3.5E0,1.13E0,-1.765E1)); +#10445=LINE('',#10444,#10443); +#10446=DIRECTION('',(0.E0,1.E0,0.E0)); +#10447=VECTOR('',#10446,8.E-1); +#10448=CARTESIAN_POINT('',(-5.1E0,1.13E0,-1.765E1)); +#10449=LINE('',#10448,#10447); +#10450=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10451=VECTOR('',#10450,1.6E0); +#10452=CARTESIAN_POINT('',(-3.5E0,1.93E0,-1.765E1)); +#10453=LINE('',#10452,#10451); +#10454=DIRECTION('',(1.E0,0.E0,0.E0)); +#10455=VECTOR('',#10454,6.E-1); +#10456=CARTESIAN_POINT('',(-4.6E0,1.215E0,-1.765E1)); +#10457=LINE('',#10456,#10455); +#10458=DIRECTION('',(0.E0,0.E0,1.E0)); +#10459=VECTOR('',#10458,5.9E0); +#10460=CARTESIAN_POINT('',(-4.6E0,1.215E0,-1.765E1)); +#10461=LINE('',#10460,#10459); +#10462=DIRECTION('',(0.E0,1.E0,0.E0)); +#10463=VECTOR('',#10462,6.3E-1); +#10464=CARTESIAN_POINT('',(-4.E0,1.215E0,-1.765E1)); +#10465=LINE('',#10464,#10463); +#10466=DIRECTION('',(0.E0,0.E0,1.E0)); +#10467=VECTOR('',#10466,5.9E0); +#10468=CARTESIAN_POINT('',(-4.E0,1.215E0,-1.765E1)); +#10469=LINE('',#10468,#10467); +#10470=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10471=VECTOR('',#10470,6.E-1); +#10472=CARTESIAN_POINT('',(-4.E0,1.845E0,-1.765E1)); +#10473=LINE('',#10472,#10471); +#10474=DIRECTION('',(0.E0,0.E0,1.E0)); +#10475=VECTOR('',#10474,5.9E0); +#10476=CARTESIAN_POINT('',(-4.E0,1.845E0,-1.765E1)); +#10477=LINE('',#10476,#10475); +#10478=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#10479=VECTOR('',#10478,5.196152422707E-1); +#10480=CARTESIAN_POINT('',(-3.5E0,1.13E0,-1.765E1)); +#10481=LINE('',#10480,#10479); +#10482=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#10483=VECTOR('',#10482,5.196152422707E-1); +#10484=CARTESIAN_POINT('',(-3.5E0,1.93E0,-1.765E1)); +#10485=LINE('',#10484,#10483); +#10486=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#10487=VECTOR('',#10486,5.196152422707E-1); +#10488=CARTESIAN_POINT('',(-5.1E0,1.93E0,-1.765E1)); +#10489=LINE('',#10488,#10487); +#10490=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#10491=VECTOR('',#10490,5.196152422707E-1); +#10492=CARTESIAN_POINT('',(-5.1E0,1.13E0,-1.765E1)); +#10493=LINE('',#10492,#10491); +#10494=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10495=VECTOR('',#10494,2.2E0); +#10496=CARTESIAN_POINT('',(-3.2E0,8.3E-1,-1.795E1)); +#10497=LINE('',#10496,#10495); +#10498=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10499=VECTOR('',#10498,1.4E0); +#10500=CARTESIAN_POINT('',(-3.2E0,1.103E1,-1.795E1)); +#10501=LINE('',#10500,#10499); +#10502=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#10503=VECTOR('',#10502,1.066197942480E0); +#10504=CARTESIAN_POINT('',(-3.2E0,1.121514332974E1,-1.9E1)); +#10505=LINE('',#10504,#10503); +#10506=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#10507=VECTOR('',#10506,2.969378216362E0); +#10508=CARTESIAN_POINT('',(-3.2E0,9.63E0,-1.816282873211E1)); +#10509=LINE('',#10508,#10507); +#10510=DIRECTION('',(-2.503924383419E-14,0.E0,-1.E0)); +#10511=VECTOR('',#10510,2.128287321091E-1); +#10512=CARTESIAN_POINT('',(-3.2E0,9.63E0,-1.795E1)); +#10513=LINE('',#10512,#10511); +#10514=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10515=VECTOR('',#10514,1.4E0); +#10516=CARTESIAN_POINT('',(-3.2E0,2.23E0,-1.795E1)); +#10517=LINE('',#10516,#10515); +#10518=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#10519=VECTOR('',#10518,2.007639675087E0); +#10520=CARTESIAN_POINT('',(-3.2E0,2.404977327052E0,-1.995E1)); +#10521=LINE('',#10520,#10519); +#10522=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#10523=VECTOR('',#10522,2.973688447842E0); +#10524=CARTESIAN_POINT('',(-3.2E0,8.3E-1,-1.812E1)); +#10525=LINE('',#10524,#10523); +#10526=DIRECTION('',(-3.134747363648E-14,0.E0,-1.E0)); +#10527=VECTOR('',#10526,1.7E-1); +#10528=CARTESIAN_POINT('',(-3.2E0,8.3E-1,-1.795E1)); +#10529=LINE('',#10528,#10527); +#10530=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10531=VECTOR('',#10530,2.2E0); +#10532=CARTESIAN_POINT('',(-3.2E0,1.103E1,-1.795E1)); +#10533=LINE('',#10532,#10531); +#10534=DIRECTION('',(0.E0,-8.715574274768E-2,9.961946980917E-1)); +#10535=VECTOR('',#10534,2.706467783260E-2); +#10536=CARTESIAN_POINT('',(-1.1E0,7.199986605899E0,-1.989295579619E1)); +#10537=LINE('',#10536,#10535); +#10538=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10539=VECTOR('',#10538,5.E-2); +#10540=CARTESIAN_POINT('',(-1.05E0,7.197627763800E0,-1.986599410763E1)); +#10541=LINE('',#10540,#10539); +#10542=DIRECTION('',(1.E0,0.E0,0.E0)); +#10543=VECTOR('',#10542,5.000000000001E-2); +#10544=CARTESIAN_POINT('',(-3.25E0,7.197627763800E0,-1.986599410763E1)); +#10545=LINE('',#10544,#10543); +#10546=DIRECTION('',(-9.845065496738E-14,-8.715574274768E-2,9.961946980917E-1)); +#10547=VECTOR('',#10546,2.706467783260E-2); +#10548=CARTESIAN_POINT('',(-3.2E0,7.199986605899E0,-1.989295579619E1)); +#10549=LINE('',#10548,#10547); +#10550=DIRECTION('',(0.E0,1.E0,0.E0)); +#10551=VECTOR('',#10550,1.4E0); +#10552=CARTESIAN_POINT('',(-1.1E0,9.63E0,-1.795E1)); +#10553=LINE('',#10552,#10551); +#10554=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10555=VECTOR('',#10554,2.128287321091E-1); +#10556=CARTESIAN_POINT('',(-1.1E0,9.63E0,-1.795E1)); +#10557=LINE('',#10556,#10555); +#10558=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#10559=VECTOR('',#10558,2.969378216362E0); +#10560=CARTESIAN_POINT('',(-1.1E0,9.63E0,-1.816282873211E1)); +#10561=LINE('',#10560,#10559); +#10562=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#10563=VECTOR('',#10562,1.066197942480E0); +#10564=CARTESIAN_POINT('',(-1.1E0,1.121514332974E1,-1.9E1)); +#10565=LINE('',#10564,#10563); +#10566=DIRECTION('',(0.E0,1.E0,0.E0)); +#10567=VECTOR('',#10566,1.4E0); +#10568=CARTESIAN_POINT('',(-1.1E0,8.3E-1,-1.795E1)); +#10569=LINE('',#10568,#10567); +#10570=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10571=VECTOR('',#10570,1.7E-1); +#10572=CARTESIAN_POINT('',(-1.1E0,8.3E-1,-1.795E1)); +#10573=LINE('',#10572,#10571); +#10574=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#10575=VECTOR('',#10574,2.973688447842E0); +#10576=CARTESIAN_POINT('',(-1.1E0,8.3E-1,-1.812E1)); +#10577=LINE('',#10576,#10575); +#10578=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#10579=VECTOR('',#10578,2.007639675087E0); +#10580=CARTESIAN_POINT('',(-1.1E0,2.404977327052E0,-1.995E1)); +#10581=LINE('',#10580,#10579); +#10582=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#10583=VECTOR('',#10582,5.196152422707E-1); +#10584=CARTESIAN_POINT('',(8.E-1,1.073E1,-1.765E1)); +#10585=LINE('',#10584,#10583); +#10586=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#10587=VECTOR('',#10586,5.196152422707E-1); +#10588=CARTESIAN_POINT('',(-1.1E0,1.103E1,-1.795E1)); +#10589=LINE('',#10588,#10587); +#10590=DIRECTION('',(0.E0,1.E0,0.E0)); +#10591=VECTOR('',#10590,8.E-1); +#10592=CARTESIAN_POINT('',(-8.E-1,9.93E0,-1.765E1)); +#10593=LINE('',#10592,#10591); +#10594=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10595=VECTOR('',#10594,1.6E0); +#10596=CARTESIAN_POINT('',(8.E-1,1.073E1,-1.765E1)); +#10597=LINE('',#10596,#10595); +#10598=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10599=VECTOR('',#10598,8.E-1); +#10600=CARTESIAN_POINT('',(8.E-1,1.073E1,-1.765E1)); +#10601=LINE('',#10600,#10599); +#10602=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10603=VECTOR('',#10602,1.6E0); +#10604=CARTESIAN_POINT('',(8.E-1,9.93E0,-1.765E1)); +#10605=LINE('',#10604,#10603); +#10606=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10607=VECTOR('',#10606,6.3E-1); +#10608=CARTESIAN_POINT('',(-3.E-1,1.0645E1,-1.765E1)); +#10609=LINE('',#10608,#10607); +#10610=DIRECTION('',(0.E0,0.E0,1.E0)); +#10611=VECTOR('',#10610,5.9E0); +#10612=CARTESIAN_POINT('',(-3.E-1,1.0645E1,-1.765E1)); +#10613=LINE('',#10612,#10611); +#10614=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10615=VECTOR('',#10614,6.E-1); +#10616=CARTESIAN_POINT('',(3.E-1,1.0645E1,-1.765E1)); +#10617=LINE('',#10616,#10615); +#10618=DIRECTION('',(0.E0,0.E0,1.E0)); +#10619=VECTOR('',#10618,5.9E0); +#10620=CARTESIAN_POINT('',(3.E-1,1.0645E1,-1.765E1)); +#10621=LINE('',#10620,#10619); +#10622=DIRECTION('',(0.E0,1.E0,0.E0)); +#10623=VECTOR('',#10622,6.3E-1); +#10624=CARTESIAN_POINT('',(3.E-1,1.0015E1,-1.765E1)); +#10625=LINE('',#10624,#10623); +#10626=DIRECTION('',(0.E0,0.E0,1.E0)); +#10627=VECTOR('',#10626,5.9E0); +#10628=CARTESIAN_POINT('',(3.E-1,1.0015E1,-1.765E1)); +#10629=LINE('',#10628,#10627); +#10630=DIRECTION('',(1.E0,0.E0,0.E0)); +#10631=VECTOR('',#10630,6.E-1); +#10632=CARTESIAN_POINT('',(-3.E-1,1.0015E1,-1.765E1)); +#10633=LINE('',#10632,#10631); +#10634=DIRECTION('',(0.E0,0.E0,1.E0)); +#10635=VECTOR('',#10634,5.9E0); +#10636=CARTESIAN_POINT('',(-3.E-1,1.0015E1,-1.765E1)); +#10637=LINE('',#10636,#10635); +#10638=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10639=VECTOR('',#10638,6.3E-1); +#10640=CARTESIAN_POINT('',(-3.E-1,1.0645E1,-1.175E1)); +#10641=LINE('',#10640,#10639); +#10642=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10643=VECTOR('',#10642,6.E-1); +#10644=CARTESIAN_POINT('',(3.E-1,1.0645E1,-1.175E1)); +#10645=LINE('',#10644,#10643); +#10646=DIRECTION('',(0.E0,1.E0,0.E0)); +#10647=VECTOR('',#10646,6.3E-1); +#10648=CARTESIAN_POINT('',(3.E-1,1.0015E1,-1.175E1)); +#10649=LINE('',#10648,#10647); +#10650=DIRECTION('',(1.E0,0.E0,0.E0)); +#10651=VECTOR('',#10650,6.E-1); +#10652=CARTESIAN_POINT('',(-3.E-1,1.0015E1,-1.175E1)); +#10653=LINE('',#10652,#10651); +#10654=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10655=VECTOR('',#10654,6.3E-1); +#10656=CARTESIAN_POINT('',(-3.E-1,1.845E0,-1.175E1)); +#10657=LINE('',#10656,#10655); +#10658=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10659=VECTOR('',#10658,6.E-1); +#10660=CARTESIAN_POINT('',(3.E-1,1.845E0,-1.175E1)); +#10661=LINE('',#10660,#10659); +#10662=DIRECTION('',(0.E0,1.E0,0.E0)); +#10663=VECTOR('',#10662,6.3E-1); +#10664=CARTESIAN_POINT('',(3.E-1,1.215E0,-1.175E1)); +#10665=LINE('',#10664,#10663); +#10666=DIRECTION('',(1.E0,0.E0,0.E0)); +#10667=VECTOR('',#10666,6.E-1); +#10668=CARTESIAN_POINT('',(-3.E-1,1.215E0,-1.175E1)); +#10669=LINE('',#10668,#10667); +#10670=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10671=VECTOR('',#10670,6.3E-1); +#10672=CARTESIAN_POINT('',(-3.E-1,1.845E0,-1.765E1)); +#10673=LINE('',#10672,#10671); +#10674=DIRECTION('',(0.E0,0.E0,1.E0)); +#10675=VECTOR('',#10674,5.9E0); +#10676=CARTESIAN_POINT('',(-3.E-1,1.845E0,-1.765E1)); +#10677=LINE('',#10676,#10675); +#10678=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10679=VECTOR('',#10678,8.E-1); +#10680=CARTESIAN_POINT('',(8.E-1,1.93E0,-1.765E1)); +#10681=LINE('',#10680,#10679); +#10682=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10683=VECTOR('',#10682,1.6E0); +#10684=CARTESIAN_POINT('',(8.E-1,1.13E0,-1.765E1)); +#10685=LINE('',#10684,#10683); +#10686=DIRECTION('',(0.E0,1.E0,0.E0)); +#10687=VECTOR('',#10686,8.E-1); +#10688=CARTESIAN_POINT('',(-8.E-1,1.13E0,-1.765E1)); +#10689=LINE('',#10688,#10687); +#10690=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10691=VECTOR('',#10690,1.6E0); +#10692=CARTESIAN_POINT('',(8.E-1,1.93E0,-1.765E1)); +#10693=LINE('',#10692,#10691); +#10694=DIRECTION('',(1.E0,0.E0,0.E0)); +#10695=VECTOR('',#10694,6.E-1); +#10696=CARTESIAN_POINT('',(-3.E-1,1.215E0,-1.765E1)); +#10697=LINE('',#10696,#10695); +#10698=DIRECTION('',(0.E0,0.E0,1.E0)); +#10699=VECTOR('',#10698,5.9E0); +#10700=CARTESIAN_POINT('',(-3.E-1,1.215E0,-1.765E1)); +#10701=LINE('',#10700,#10699); +#10702=DIRECTION('',(0.E0,1.E0,0.E0)); +#10703=VECTOR('',#10702,6.3E-1); +#10704=CARTESIAN_POINT('',(3.E-1,1.215E0,-1.765E1)); +#10705=LINE('',#10704,#10703); +#10706=DIRECTION('',(0.E0,0.E0,1.E0)); +#10707=VECTOR('',#10706,5.9E0); +#10708=CARTESIAN_POINT('',(3.E-1,1.215E0,-1.765E1)); +#10709=LINE('',#10708,#10707); +#10710=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10711=VECTOR('',#10710,6.E-1); +#10712=CARTESIAN_POINT('',(3.E-1,1.845E0,-1.765E1)); +#10713=LINE('',#10712,#10711); +#10714=DIRECTION('',(0.E0,0.E0,1.E0)); +#10715=VECTOR('',#10714,5.9E0); +#10716=CARTESIAN_POINT('',(3.E-1,1.845E0,-1.765E1)); +#10717=LINE('',#10716,#10715); +#10718=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#10719=VECTOR('',#10718,5.196152422707E-1); +#10720=CARTESIAN_POINT('',(8.E-1,1.13E0,-1.765E1)); +#10721=LINE('',#10720,#10719); +#10722=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#10723=VECTOR('',#10722,5.196152422707E-1); +#10724=CARTESIAN_POINT('',(8.E-1,1.93E0,-1.765E1)); +#10725=LINE('',#10724,#10723); +#10726=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#10727=VECTOR('',#10726,5.196152422707E-1); +#10728=CARTESIAN_POINT('',(-8.E-1,1.93E0,-1.765E1)); +#10729=LINE('',#10728,#10727); +#10730=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#10731=VECTOR('',#10730,5.196152422707E-1); +#10732=CARTESIAN_POINT('',(-8.E-1,1.13E0,-1.765E1)); +#10733=LINE('',#10732,#10731); +#10734=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10735=VECTOR('',#10734,2.2E0); +#10736=CARTESIAN_POINT('',(1.1E0,8.3E-1,-1.795E1)); +#10737=LINE('',#10736,#10735); +#10738=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10739=VECTOR('',#10738,1.4E0); +#10740=CARTESIAN_POINT('',(1.1E0,1.103E1,-1.795E1)); +#10741=LINE('',#10740,#10739); +#10742=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#10743=VECTOR('',#10742,1.066197942480E0); +#10744=CARTESIAN_POINT('',(1.1E0,1.121514332974E1,-1.9E1)); +#10745=LINE('',#10744,#10743); +#10746=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#10747=VECTOR('',#10746,2.969378216362E0); +#10748=CARTESIAN_POINT('',(1.1E0,9.63E0,-1.816282873211E1)); +#10749=LINE('',#10748,#10747); +#10750=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10751=VECTOR('',#10750,2.128287321091E-1); +#10752=CARTESIAN_POINT('',(1.1E0,9.63E0,-1.795E1)); +#10753=LINE('',#10752,#10751); +#10754=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10755=VECTOR('',#10754,1.4E0); +#10756=CARTESIAN_POINT('',(1.1E0,2.23E0,-1.795E1)); +#10757=LINE('',#10756,#10755); +#10758=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#10759=VECTOR('',#10758,2.007639675087E0); +#10760=CARTESIAN_POINT('',(1.1E0,2.404977327052E0,-1.995E1)); +#10761=LINE('',#10760,#10759); +#10762=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#10763=VECTOR('',#10762,2.973688447842E0); +#10764=CARTESIAN_POINT('',(1.1E0,8.3E-1,-1.812E1)); +#10765=LINE('',#10764,#10763); +#10766=DIRECTION('',(-1.175530261368E-14,0.E0,-1.E0)); +#10767=VECTOR('',#10766,1.7E-1); +#10768=CARTESIAN_POINT('',(1.1E0,8.3E-1,-1.795E1)); +#10769=LINE('',#10768,#10767); +#10770=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10771=VECTOR('',#10770,2.2E0); +#10772=CARTESIAN_POINT('',(1.1E0,1.103E1,-1.795E1)); +#10773=LINE('',#10772,#10771); +#10774=DIRECTION('',(9.845065496738E-14,-8.715574274768E-2,9.961946980917E-1)); +#10775=VECTOR('',#10774,2.706467783260E-2); +#10776=CARTESIAN_POINT('',(3.2E0,7.199986605899E0,-1.989295579619E1)); +#10777=LINE('',#10776,#10775); +#10778=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10779=VECTOR('',#10778,5.E-2); +#10780=CARTESIAN_POINT('',(3.25E0,7.197627763800E0,-1.986599410763E1)); +#10781=LINE('',#10780,#10779); +#10782=DIRECTION('',(1.E0,0.E0,0.E0)); +#10783=VECTOR('',#10782,5.E-2); +#10784=CARTESIAN_POINT('',(1.05E0,7.197627763800E0,-1.986599410763E1)); +#10785=LINE('',#10784,#10783); +#10786=DIRECTION('',(0.E0,-8.715574274768E-2,9.961946980917E-1)); +#10787=VECTOR('',#10786,2.706467783260E-2); +#10788=CARTESIAN_POINT('',(1.1E0,7.199986605899E0,-1.989295579619E1)); +#10789=LINE('',#10788,#10787); +#10790=DIRECTION('',(0.E0,1.E0,0.E0)); +#10791=VECTOR('',#10790,1.4E0); +#10792=CARTESIAN_POINT('',(3.2E0,9.63E0,-1.795E1)); +#10793=LINE('',#10792,#10791); +#10794=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10795=VECTOR('',#10794,2.128287321091E-1); +#10796=CARTESIAN_POINT('',(3.2E0,9.63E0,-1.795E1)); +#10797=LINE('',#10796,#10795); +#10798=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#10799=VECTOR('',#10798,2.969378216362E0); +#10800=CARTESIAN_POINT('',(3.2E0,9.63E0,-1.816282873211E1)); +#10801=LINE('',#10800,#10799); +#10802=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#10803=VECTOR('',#10802,1.066197942480E0); +#10804=CARTESIAN_POINT('',(3.2E0,1.121514332974E1,-1.9E1)); +#10805=LINE('',#10804,#10803); +#10806=DIRECTION('',(0.E0,1.E0,0.E0)); +#10807=VECTOR('',#10806,1.4E0); +#10808=CARTESIAN_POINT('',(3.2E0,8.3E-1,-1.795E1)); +#10809=LINE('',#10808,#10807); +#10810=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10811=VECTOR('',#10810,1.7E-1); +#10812=CARTESIAN_POINT('',(3.2E0,8.3E-1,-1.795E1)); +#10813=LINE('',#10812,#10811); +#10814=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#10815=VECTOR('',#10814,2.973688447842E0); +#10816=CARTESIAN_POINT('',(3.2E0,8.3E-1,-1.812E1)); +#10817=LINE('',#10816,#10815); +#10818=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#10819=VECTOR('',#10818,2.007639675087E0); +#10820=CARTESIAN_POINT('',(3.2E0,2.404977327052E0,-1.995E1)); +#10821=LINE('',#10820,#10819); +#10822=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#10823=VECTOR('',#10822,5.196152422707E-1); +#10824=CARTESIAN_POINT('',(5.1E0,1.073E1,-1.765E1)); +#10825=LINE('',#10824,#10823); +#10826=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#10827=VECTOR('',#10826,5.196152422707E-1); +#10828=CARTESIAN_POINT('',(3.2E0,1.103E1,-1.795E1)); +#10829=LINE('',#10828,#10827); +#10830=DIRECTION('',(0.E0,1.E0,0.E0)); +#10831=VECTOR('',#10830,8.E-1); +#10832=CARTESIAN_POINT('',(3.5E0,9.93E0,-1.765E1)); +#10833=LINE('',#10832,#10831); +#10834=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10835=VECTOR('',#10834,1.6E0); +#10836=CARTESIAN_POINT('',(5.1E0,1.073E1,-1.765E1)); +#10837=LINE('',#10836,#10835); +#10838=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10839=VECTOR('',#10838,8.E-1); +#10840=CARTESIAN_POINT('',(5.1E0,1.073E1,-1.765E1)); +#10841=LINE('',#10840,#10839); +#10842=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10843=VECTOR('',#10842,1.6E0); +#10844=CARTESIAN_POINT('',(5.1E0,9.93E0,-1.765E1)); +#10845=LINE('',#10844,#10843); +#10846=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10847=VECTOR('',#10846,6.3E-1); +#10848=CARTESIAN_POINT('',(4.E0,1.0645E1,-1.765E1)); +#10849=LINE('',#10848,#10847); +#10850=DIRECTION('',(0.E0,0.E0,1.E0)); +#10851=VECTOR('',#10850,5.9E0); +#10852=CARTESIAN_POINT('',(4.E0,1.0645E1,-1.765E1)); +#10853=LINE('',#10852,#10851); +#10854=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10855=VECTOR('',#10854,6.E-1); +#10856=CARTESIAN_POINT('',(4.6E0,1.0645E1,-1.765E1)); +#10857=LINE('',#10856,#10855); +#10858=DIRECTION('',(0.E0,0.E0,1.E0)); +#10859=VECTOR('',#10858,5.9E0); +#10860=CARTESIAN_POINT('',(4.6E0,1.0645E1,-1.765E1)); +#10861=LINE('',#10860,#10859); +#10862=DIRECTION('',(0.E0,1.E0,0.E0)); +#10863=VECTOR('',#10862,6.3E-1); +#10864=CARTESIAN_POINT('',(4.6E0,1.0015E1,-1.765E1)); +#10865=LINE('',#10864,#10863); +#10866=DIRECTION('',(0.E0,0.E0,1.E0)); +#10867=VECTOR('',#10866,5.9E0); +#10868=CARTESIAN_POINT('',(4.6E0,1.0015E1,-1.765E1)); +#10869=LINE('',#10868,#10867); +#10870=DIRECTION('',(1.E0,0.E0,0.E0)); +#10871=VECTOR('',#10870,6.E-1); +#10872=CARTESIAN_POINT('',(4.E0,1.0015E1,-1.765E1)); +#10873=LINE('',#10872,#10871); +#10874=DIRECTION('',(0.E0,0.E0,1.E0)); +#10875=VECTOR('',#10874,5.9E0); +#10876=CARTESIAN_POINT('',(4.E0,1.0015E1,-1.765E1)); +#10877=LINE('',#10876,#10875); +#10878=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10879=VECTOR('',#10878,6.3E-1); +#10880=CARTESIAN_POINT('',(4.E0,1.0645E1,-1.175E1)); +#10881=LINE('',#10880,#10879); +#10882=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10883=VECTOR('',#10882,6.E-1); +#10884=CARTESIAN_POINT('',(4.6E0,1.0645E1,-1.175E1)); +#10885=LINE('',#10884,#10883); +#10886=DIRECTION('',(0.E0,1.E0,0.E0)); +#10887=VECTOR('',#10886,6.3E-1); +#10888=CARTESIAN_POINT('',(4.6E0,1.0015E1,-1.175E1)); +#10889=LINE('',#10888,#10887); +#10890=DIRECTION('',(1.E0,0.E0,0.E0)); +#10891=VECTOR('',#10890,6.E-1); +#10892=CARTESIAN_POINT('',(4.E0,1.0015E1,-1.175E1)); +#10893=LINE('',#10892,#10891); +#10894=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10895=VECTOR('',#10894,6.3E-1); +#10896=CARTESIAN_POINT('',(4.E0,1.845E0,-1.175E1)); +#10897=LINE('',#10896,#10895); +#10898=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10899=VECTOR('',#10898,6.E-1); +#10900=CARTESIAN_POINT('',(4.6E0,1.845E0,-1.175E1)); +#10901=LINE('',#10900,#10899); +#10902=DIRECTION('',(0.E0,1.E0,0.E0)); +#10903=VECTOR('',#10902,6.3E-1); +#10904=CARTESIAN_POINT('',(4.6E0,1.215E0,-1.175E1)); +#10905=LINE('',#10904,#10903); +#10906=DIRECTION('',(1.E0,0.E0,0.E0)); +#10907=VECTOR('',#10906,6.E-1); +#10908=CARTESIAN_POINT('',(4.E0,1.215E0,-1.175E1)); +#10909=LINE('',#10908,#10907); +#10910=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10911=VECTOR('',#10910,6.3E-1); +#10912=CARTESIAN_POINT('',(4.E0,1.845E0,-1.765E1)); +#10913=LINE('',#10912,#10911); +#10914=DIRECTION('',(0.E0,0.E0,1.E0)); +#10915=VECTOR('',#10914,5.9E0); +#10916=CARTESIAN_POINT('',(4.E0,1.845E0,-1.765E1)); +#10917=LINE('',#10916,#10915); +#10918=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10919=VECTOR('',#10918,8.E-1); +#10920=CARTESIAN_POINT('',(5.1E0,1.93E0,-1.765E1)); +#10921=LINE('',#10920,#10919); +#10922=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10923=VECTOR('',#10922,1.6E0); +#10924=CARTESIAN_POINT('',(5.1E0,1.13E0,-1.765E1)); +#10925=LINE('',#10924,#10923); +#10926=DIRECTION('',(0.E0,1.E0,0.E0)); +#10927=VECTOR('',#10926,8.E-1); +#10928=CARTESIAN_POINT('',(3.5E0,1.13E0,-1.765E1)); +#10929=LINE('',#10928,#10927); +#10930=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10931=VECTOR('',#10930,1.6E0); +#10932=CARTESIAN_POINT('',(5.1E0,1.93E0,-1.765E1)); +#10933=LINE('',#10932,#10931); +#10934=DIRECTION('',(1.E0,0.E0,0.E0)); +#10935=VECTOR('',#10934,6.E-1); +#10936=CARTESIAN_POINT('',(4.E0,1.215E0,-1.765E1)); +#10937=LINE('',#10936,#10935); +#10938=DIRECTION('',(0.E0,0.E0,1.E0)); +#10939=VECTOR('',#10938,5.9E0); +#10940=CARTESIAN_POINT('',(4.E0,1.215E0,-1.765E1)); +#10941=LINE('',#10940,#10939); +#10942=DIRECTION('',(0.E0,1.E0,0.E0)); +#10943=VECTOR('',#10942,6.3E-1); +#10944=CARTESIAN_POINT('',(4.6E0,1.215E0,-1.765E1)); +#10945=LINE('',#10944,#10943); +#10946=DIRECTION('',(0.E0,0.E0,1.E0)); +#10947=VECTOR('',#10946,5.9E0); +#10948=CARTESIAN_POINT('',(4.6E0,1.215E0,-1.765E1)); +#10949=LINE('',#10948,#10947); +#10950=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10951=VECTOR('',#10950,6.E-1); +#10952=CARTESIAN_POINT('',(4.6E0,1.845E0,-1.765E1)); +#10953=LINE('',#10952,#10951); +#10954=DIRECTION('',(0.E0,0.E0,1.E0)); +#10955=VECTOR('',#10954,5.9E0); +#10956=CARTESIAN_POINT('',(4.6E0,1.845E0,-1.765E1)); +#10957=LINE('',#10956,#10955); +#10958=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#10959=VECTOR('',#10958,5.196152422707E-1); +#10960=CARTESIAN_POINT('',(5.1E0,1.13E0,-1.765E1)); +#10961=LINE('',#10960,#10959); +#10962=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#10963=VECTOR('',#10962,5.196152422707E-1); +#10964=CARTESIAN_POINT('',(5.1E0,1.93E0,-1.765E1)); +#10965=LINE('',#10964,#10963); +#10966=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#10967=VECTOR('',#10966,5.196152422707E-1); +#10968=CARTESIAN_POINT('',(3.5E0,1.93E0,-1.765E1)); +#10969=LINE('',#10968,#10967); +#10970=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#10971=VECTOR('',#10970,5.196152422707E-1); +#10972=CARTESIAN_POINT('',(3.5E0,1.13E0,-1.765E1)); +#10973=LINE('',#10972,#10971); +#10974=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10975=VECTOR('',#10974,2.2E0); +#10976=CARTESIAN_POINT('',(5.4E0,8.3E-1,-1.795E1)); +#10977=LINE('',#10976,#10975); +#10978=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10979=VECTOR('',#10978,1.4E0); +#10980=CARTESIAN_POINT('',(5.4E0,1.103E1,-1.795E1)); +#10981=LINE('',#10980,#10979); +#10982=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#10983=VECTOR('',#10982,1.066197942480E0); +#10984=CARTESIAN_POINT('',(5.4E0,1.121514332974E1,-1.9E1)); +#10985=LINE('',#10984,#10983); +#10986=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#10987=VECTOR('',#10986,2.969378216362E0); +#10988=CARTESIAN_POINT('',(5.4E0,9.63E0,-1.816282873211E1)); +#10989=LINE('',#10988,#10987); +#10990=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10991=VECTOR('',#10990,2.128287321091E-1); +#10992=CARTESIAN_POINT('',(5.4E0,9.63E0,-1.795E1)); +#10993=LINE('',#10992,#10991); +#10994=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10995=VECTOR('',#10994,1.4E0); +#10996=CARTESIAN_POINT('',(5.4E0,2.23E0,-1.795E1)); +#10997=LINE('',#10996,#10995); +#10998=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#10999=VECTOR('',#10998,2.007639675087E0); +#11000=CARTESIAN_POINT('',(5.4E0,2.404977327052E0,-1.995E1)); +#11001=LINE('',#11000,#10999); +#11002=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#11003=VECTOR('',#11002,2.973688447842E0); +#11004=CARTESIAN_POINT('',(5.4E0,8.3E-1,-1.812E1)); +#11005=LINE('',#11004,#11003); +#11006=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11007=VECTOR('',#11006,1.7E-1); +#11008=CARTESIAN_POINT('',(5.4E0,8.3E-1,-1.795E1)); +#11009=LINE('',#11008,#11007); +#11010=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11011=VECTOR('',#11010,2.2E0); +#11012=CARTESIAN_POINT('',(5.4E0,1.103E1,-1.795E1)); +#11013=LINE('',#11012,#11011); +#11014=DIRECTION('',(-1.640844249456E-13,-8.715574274768E-2,9.961946980917E-1)); +#11015=VECTOR('',#11014,2.706467783260E-2); +#11016=CARTESIAN_POINT('',(7.5E0,7.199986605899E0,-1.989295579619E1)); +#11017=LINE('',#11016,#11015); +#11018=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11019=VECTOR('',#11018,5.E-2); +#11020=CARTESIAN_POINT('',(7.55E0,7.197627763800E0,-1.986599410763E1)); +#11021=LINE('',#11020,#11019); +#11022=DIRECTION('',(1.E0,0.E0,0.E0)); +#11023=VECTOR('',#11022,5.000000000001E-2); +#11024=CARTESIAN_POINT('',(5.35E0,7.197627763800E0,-1.986599410763E1)); +#11025=LINE('',#11024,#11023); +#11026=DIRECTION('',(-9.845065496738E-14,-8.715574274768E-2,9.961946980917E-1)); +#11027=VECTOR('',#11026,2.706467783260E-2); +#11028=CARTESIAN_POINT('',(5.4E0,7.199986605899E0,-1.989295579619E1)); +#11029=LINE('',#11028,#11027); +#11030=DIRECTION('',(1.E0,0.E0,0.E0)); +#11031=VECTOR('',#11030,2.2E0); +#11032=CARTESIAN_POINT('',(7.5E0,9.63E0,-1.816282873211E1)); +#11033=LINE('',#11032,#11031); +#11034=DIRECTION('',(5.466225923193E-1,6.859407940038E-1,4.803009147270E-1)); +#11035=VECTOR('',#11034,1.219610670153E-1); +#11036=CARTESIAN_POINT('',(8.049999976158E0,8.331556874278E0, +-1.907200839668E1)); +#11037=LINE('',#11036,#11035); +#11038=DIRECTION('',(8.906052758868E-1,3.725316198706E-1,2.608494484548E-1)); +#11039=VECTOR('',#11038,7.485545448475E-2); +#11040=CARTESIAN_POINT('',(8.116666650772E0,8.415214945424E0, +-1.901343038463E1)); +#11041=LINE('',#11040,#11039); +#11042=DIRECTION('',(1.E0,0.E0,0.E0)); +#11043=VECTOR('',#11042,1.E-1); +#11044=CARTESIAN_POINT('',(8.183333313465E0,8.443100969140E0, +-1.899390438061E1)); +#11045=LINE('',#11044,#11043); +#11046=DIRECTION('',(8.906052429498E-1,-3.725316727072E-1,-2.608494854514E-1)); +#11047=VECTOR('',#11046,7.485544386791E-2); +#11048=CARTESIAN_POINT('',(8.283333313465E0,8.443100969140E0, +-1.899390438061E1)); +#11049=LINE('',#11048,#11047); +#11050=DIRECTION('',(5.919095487496E-1,-6.602401485509E-1,-4.623051290441E-1)); +#11051=VECTOR('',#11050,8.447236593095E-2); +#11052=CARTESIAN_POINT('',(8.349999964237E0,8.415214945424E0, +-1.901343038463E1)); +#11053=LINE('',#11052,#11051); +#11054=DIRECTION('',(3.102801986778E-1,-7.787229167621E-1,-5.452676564935E-1)); +#11055=VECTOR('',#11054,1.074298307463E-1); +#11056=CARTESIAN_POINT('',(8.399999964237E0,8.359442897993E0, +-1.905248239266E1)); +#11057=LINE('',#11056,#11055); +#11058=DIRECTION('',(1.610635736403E-1,-8.084572226341E-1,-5.660878416085E-1)); +#11059=VECTOR('',#11058,1.034787096511E-1); +#11060=CARTESIAN_POINT('',(8.433333313465E0,8.275784826847E0, +-1.911106040471E1)); +#11061=LINE('',#11060,#11059); +#11062=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#11063=VECTOR('',#11062,6.808509826660E-2); +#11064=CARTESIAN_POINT('',(8.449999964237E0,8.192126716641E0, +-1.916963844411E1)); +#11065=LINE('',#11064,#11063); +#11066=DIRECTION('',(-1.610636468906E-1,-8.084572128420E-1,-5.660878347519E-1)); +#11067=VECTOR('',#11066,1.034786625899E-1); +#11068=CARTESIAN_POINT('',(8.449999964237E0,8.136354669210E0, +-1.920869045214E1)); +#11069=LINE('',#11068,#11067); +#11070=DIRECTION('',(-3.102801332161E-1,-7.787229342641E-1,-5.452676687486E-1)); +#11071=VECTOR('',#11070,1.074298534115E-1); +#11072=CARTESIAN_POINT('',(8.433333313465E0,8.052696598064E0, +-1.926726846419E1)); +#11073=LINE('',#11072,#11071); +#11074=DIRECTION('',(-5.919095487496E-1,-6.602401485509E-1,-4.623051290441E-1)); +#11075=VECTOR('',#11074,8.447236593096E-2); +#11076=CARTESIAN_POINT('',(8.399999964237E0,7.969038507388E0, +-1.932584648991E1)); +#11077=LINE('',#11076,#11075); +#11078=DIRECTION('',(-8.906052429498E-1,-3.725316727072E-1,-2.608494854514E-1)); +#11079=VECTOR('',#11078,7.485544386790E-2); +#11080=CARTESIAN_POINT('',(8.349999964237E0,7.913266459958E0, +-1.936489849794E1)); +#11081=LINE('',#11080,#11079); +#11082=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11083=VECTOR('',#11082,1.E-1); +#11084=CARTESIAN_POINT('',(8.283333313465E0,7.885380436242E0, +-1.938442450196E1)); +#11085=LINE('',#11084,#11083); +#11086=DIRECTION('',(-8.906052758868E-1,3.725316198705E-1,2.608494484549E-1)); +#11087=VECTOR('',#11086,7.485545448475E-2); +#11088=CARTESIAN_POINT('',(8.183333313465E0,7.885380436242E0, +-1.938442450196E1)); +#11089=LINE('',#11088,#11087); +#11090=DIRECTION('',(-5.919095487496E-1,6.602401485510E-1,4.623051290441E-1)); +#11091=VECTOR('',#11090,8.447236593095E-2); +#11092=CARTESIAN_POINT('',(8.116666650772E0,7.913266459958E0, +-1.936489849794E1)); +#11093=LINE('',#11092,#11091); +#11094=DIRECTION('',(-2.377713399324E-1,7.956597113633E-1,5.571269277463E-1)); +#11095=VECTOR('',#11094,1.401907282705E-1); +#11096=CARTESIAN_POINT('',(8.066666650772E0,7.969038507388E0, +-1.932584648991E1)); +#11097=LINE('',#11096,#11095); +#11098=DIRECTION('',(-1.E0,0.E0,3.552713678800E-14)); +#11099=VECTOR('',#11098,1.E-1); +#11100=CARTESIAN_POINT('',(8.033333313465E0,8.080582621780E0, +-1.924774246017E1)); +#11101=LINE('',#11100,#11099); +#11102=DIRECTION('',(2.377713198758E-1,-7.956597153851E-1,-5.571269305624E-1)); +#11103=VECTOR('',#11102,1.401907275619E-1); +#11104=CARTESIAN_POINT('',(7.933333313465E0,8.080582621780E0, +-1.924774246017E1)); +#11105=LINE('',#11104,#11103); +#11106=DIRECTION('',(3.446855781085E-1,-7.689529295886E-1,-5.384266378264E-1)); +#11107=VECTOR('',#11106,1.450597303610E-1); +#11108=CARTESIAN_POINT('',(7.966666647792E0,7.969038507388E0, +-1.932584648991E1)); +#11109=LINE('',#11108,#11107); +#11110=DIRECTION('',(6.322117411753E-1,-6.346754485654E-1,-4.444045334021E-1)); +#11111=VECTOR('',#11110,1.318123753785E-1); +#11112=CARTESIAN_POINT('',(8.016666644812E0,7.857494402762E0, +-1.940395051281E1)); +#11113=LINE('',#11112,#11111); +#11114=DIRECTION('',(9.257351019692E-1,-3.097808644398E-1,-2.169108964738E-1)); +#11115=VECTOR('',#11114,9.001855620438E-2); +#11116=CARTESIAN_POINT('',(8.099999976158E0,7.773836324292E0, +-1.946252852999E1)); +#11117=LINE('',#11116,#11115); +#11118=DIRECTION('',(1.E0,0.E0,0.E0)); +#11119=VECTOR('',#11118,1.E-1); +#11120=CARTESIAN_POINT('',(8.183333313465E0,7.745950298135E0, +-1.948205453572E1)); +#11121=LINE('',#11120,#11119); +#11122=DIRECTION('',(9.257351209082E-1,3.097808264629E-1,2.169108698822E-1)); +#11123=VECTOR('',#11122,9.001856724E-2); +#11124=CARTESIAN_POINT('',(8.283333313465E0,7.745950298135E0, +-1.948205453572E1)); +#11125=LINE('',#11124,#11123); +#11126=DIRECTION('',(6.322116054476E-1,6.346755392865E-1,4.444045969257E-1)); +#11127=VECTOR('',#11126,1.318123565372E-1); +#11128=CARTESIAN_POINT('',(8.366666662693E0,7.773836324292E0, +-1.946252852999E1)); +#11129=LINE('',#11128,#11127); +#11130=DIRECTION('',(3.446855962125E-1,7.689529241433E-1,5.384266340135E-1)); +#11131=VECTOR('',#11130,1.450597313883E-1); +#11132=CARTESIAN_POINT('',(8.449999964237E0,7.857494402762E0, +-1.940395051281E1)); +#11133=LINE('',#11132,#11131); +#11134=DIRECTION('',(1.921829157452E-1,8.038823312029E-1,5.628844681419E-1)); +#11135=VECTOR('',#11134,1.734459543329E-1); +#11136=CARTESIAN_POINT('',(8.499999964237E0,7.969038507388E0, +-1.932584648991E1)); +#11137=LINE('',#11136,#11135); +#11138=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#11139=VECTOR('',#11138,1.361701965332E-1); +#11140=CARTESIAN_POINT('',(8.533333313465E0,8.108468645495E0, +-1.922821645616E1)); +#11141=LINE('',#11140,#11139); +#11142=DIRECTION('',(-1.921828898202E-1,8.038823353618E-1,5.628844710539E-1)); +#11143=VECTOR('',#11142,1.734459777303E-1); +#11144=CARTESIAN_POINT('',(8.533333313465E0,8.220012740356E0, +-1.915011244009E1)); +#11145=LINE('',#11144,#11143); +#11146=DIRECTION('',(-3.446856228027E-1,7.689529161454E-1,5.384266284133E-1)); +#11147=VECTOR('',#11146,1.450597201979E-1); +#11148=CARTESIAN_POINT('',(8.499999964237E0,8.359442897993E0, +-1.905248239266E1)); +#11149=LINE('',#11148,#11147); +#11150=DIRECTION('',(-6.322116386726E-1,6.346755170788E-1,4.444045813757E-1)); +#11151=VECTOR('',#11150,1.318123496099E-1); +#11152=CARTESIAN_POINT('',(8.449999964237E0,8.470986992855E0, +-1.897437837660E1)); +#11153=LINE('',#11152,#11151); +#11154=DIRECTION('',(-9.257351324985E-1,3.097808032219E-1,2.169108536086E-1)); +#11155=VECTOR('',#11154,9.001856611296E-2); +#11156=CARTESIAN_POINT('',(8.366666662693E0,8.554645064001E0, +-1.891580036455E1)); +#11157=LINE('',#11156,#11155); +#11158=DIRECTION('',(-1.E0,1.776356839400E-14,0.E0)); +#11159=VECTOR('',#11158,1.E-1); +#11160=CARTESIAN_POINT('',(8.283333313465E0,8.582531087716E0, +-1.889627436053E1)); +#11161=LINE('',#11160,#11159); +#11162=DIRECTION('',(-9.257351135594E-1,-3.097808411987E-1,-2.169108802003E-1)); +#11163=VECTOR('',#11162,9.001855507733E-2); +#11164=CARTESIAN_POINT('',(8.183333313465E0,8.582531087716E0, +-1.889627436053E1)); +#11165=LINE('',#11164,#11163); +#11166=DIRECTION('',(-6.322117744003E-1,-6.346754263576E-1,-4.444045178521E-1)); +#11167=VECTOR('',#11166,1.318123684513E-1); +#11168=CARTESIAN_POINT('',(8.099999976158E0,8.554645064001E0, +-1.891580036455E1)); +#11169=LINE('',#11168,#11167); +#11170=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363511E-1)); +#11171=VECTOR('',#11170,5.446808815002E-1); +#11172=CARTESIAN_POINT('',(8.016666644812E0,8.470986992855E0, +-1.897437837660E1)); +#11173=LINE('',#11172,#11171); +#11174=DIRECTION('',(1.E0,0.E0,0.E0)); +#11175=VECTOR('',#11174,4.833333194256E-1); +#11176=CARTESIAN_POINT('',(8.016666644812E0,8.917163450421E0, +-1.866196225764E1)); +#11177=LINE('',#11176,#11175); +#11178=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#11179=VECTOR('',#11178,1.702127456665E-1); +#11180=CARTESIAN_POINT('',(8.499999964237E0,8.917163450421E0, +-1.866196225764E1)); +#11181=LINE('',#11180,#11179); +#11182=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11183=VECTOR('',#11182,5.666666507721E-1); +#11184=CARTESIAN_POINT('',(8.499999964237E0,9.056593568998E0, +-1.856433223755E1)); +#11185=LINE('',#11184,#11183); +#11186=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#11187=VECTOR('',#11186,8.851063728333E-1); +#11188=CARTESIAN_POINT('',(7.933333313465E0,9.056593568998E0, +-1.856433223755E1)); +#11189=LINE('',#11188,#11187); +#11190=DIRECTION('',(1.E0,0.E0,0.E0)); +#11191=VECTOR('',#11190,1.166666626930E-1); +#11192=CARTESIAN_POINT('',(7.933333313465E0,8.331556874278E0, +-1.907200839668E1)); +#11193=LINE('',#11192,#11191); +#11194=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#11195=VECTOR('',#11194,1.702127695084E-1); +#11196=CARTESIAN_POINT('',(8.666666662693E0,7.885380436242E0, +-1.938442450196E1)); +#11197=LINE('',#11196,#11195); +#11198=DIRECTION('',(1.E0,0.E0,0.E0)); +#11199=VECTOR('',#11198,5.999999523163E-1); +#11200=CARTESIAN_POINT('',(8.666666662693E0,7.745950298135E0, +-1.948205453572E1)); +#11201=LINE('',#11200,#11199); +#11202=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#11203=VECTOR('',#11202,1.702127695084E-1); +#11204=CARTESIAN_POINT('',(9.266666615009E0,7.745950298135E0, +-1.948205453572E1)); +#11205=LINE('',#11204,#11203); +#11206=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11207=VECTOR('',#11206,4.666666030884E-1); +#11208=CARTESIAN_POINT('',(9.266666615009E0,7.885380436242E0, +-1.938442450196E1)); +#11209=LINE('',#11208,#11207); +#11210=DIRECTION('',(4.861697555359E-1,7.158277999495E-1,5.012280215847E-1)); +#11211=VECTOR('',#11210,8.570393331628E-1); +#11212=CARTESIAN_POINT('',(8.800000011921E0,7.885380436242E0, +-1.938442450196E1)); +#11213=LINE('',#11212,#11211); +#11214=DIRECTION('',(3.102805998049E-1,7.787228095158E-1,5.452675813988E-1)); +#11215=VECTOR('',#11214,1.074298455417E-1); +#11216=CARTESIAN_POINT('',(9.216666615009E0,8.498873016570E0, +-1.895485237258E1)); +#11217=LINE('',#11216,#11215); +#11218=DIRECTION('',(1.214887746345E-1,8.130844258162E-1,5.693278441574E-1)); +#11219=VECTOR('',#11218,1.371863625963E-1); +#11220=CARTESIAN_POINT('',(9.250000011921E0,8.582531087716E0, +-1.889627436053E1)); +#11221=LINE('',#11220,#11219); +#11222=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363511E-1)); +#11223=VECTOR('',#11222,6.808519363404E-2); +#11224=CARTESIAN_POINT('',(9.266666615009E0,8.694075182578E0, +-1.881817034447E1)); +#11225=LINE('',#11224,#11223); +#11226=DIRECTION('',(-1.214887746345E-1,8.130844258162E-1,5.693278441574E-1)); +#11227=VECTOR('',#11226,1.371863625963E-1); +#11228=CARTESIAN_POINT('',(9.266666615009E0,8.749847308129E0, +-1.877911828173E1)); +#11229=LINE('',#11228,#11227); +#11230=DIRECTION('',(-4.397136649566E-1,7.357115905221E-1,5.151508016318E-1)); +#11231=VECTOR('',#11230,1.516138882388E-1); +#11232=CARTESIAN_POINT('',(9.250000011921E0,8.861391402990E0, +-1.870101426567E1)); +#11233=LINE('',#11232,#11231); +#11234=DIRECTION('',(-6.996250055017E-1,5.852925057690E-1,4.098262245970E-1)); +#11235=VECTOR('',#11234,9.528918768135E-2); +#11236=CARTESIAN_POINT('',(9.183333313465E0,8.972935497852E0, +-1.862291024960E1)); +#11237=LINE('',#11236,#11235); +#11238=DIRECTION('',(-9.466499177700E-1,2.639830205707E-1,1.848429009630E-1)); +#11239=VECTOR('',#11238,1.056356717756E-1); +#11240=CARTESIAN_POINT('',(9.116666615009E0,9.028707545282E0, +-1.858385824157E1)); +#11241=LINE('',#11240,#11239); +#11242=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11243=VECTOR('',#11242,6.666660308838E-2); +#11244=CARTESIAN_POINT('',(9.016666615009E0,9.056593568998E0, +-1.856433223755E1)); +#11245=LINE('',#11244,#11243); +#11246=DIRECTION('',(-9.599673432257E-1,-2.294542697571E-1,-1.606656093584E-1)); +#11247=VECTOR('',#11246,1.215319451012E-1); +#11248=CARTESIAN_POINT('',(8.950000011921E0,9.056593568998E0, +-1.856433223755E1)); +#11249=LINE('',#11248,#11247); +#11250=DIRECTION('',(-6.996250055016E-1,-5.852925057690E-1,-4.098262245971E-1)); +#11251=VECTOR('',#11250,9.528918768134E-2); +#11252=CARTESIAN_POINT('',(8.833333313465E0,9.028707545282E0, +-1.858385824157E1)); +#11253=LINE('',#11252,#11251); +#11254=DIRECTION('',(-4.397134112585E-1,-7.357116922660E-1,-5.151508728737E-1)); +#11255=VECTOR('',#11254,1.516138672716E-1); +#11256=CARTESIAN_POINT('',(8.766666615009E0,8.972935497852E0, +-1.862291024960E1)); +#11257=LINE('',#11256,#11255); +#11258=DIRECTION('',(-1.214891170878E-1,-8.130843914817E-1,-5.693278201161E-1)); +#11259=VECTOR('',#11258,1.371863683893E-1); +#11260=CARTESIAN_POINT('',(8.699999964237E0,8.861391402990E0, +-1.870101426567E1)); +#11261=LINE('',#11260,#11259); +#11262=DIRECTION('',(1.E0,-2.131629020432E-14,0.E0)); +#11263=VECTOR('',#11262,8.333330154419E-2); +#11264=CARTESIAN_POINT('',(8.683333313465E0,8.749847308129E0, +-1.877911828173E1)); +#11265=LINE('',#11264,#11263); +#11266=DIRECTION('',(3.102805998049E-1,7.787228095158E-1,5.452675813988E-1)); +#11267=VECTOR('',#11266,1.074298455417E-1); +#11268=CARTESIAN_POINT('',(8.766666615009E0,8.749847308129E0, +-1.877911828173E1)); +#11269=LINE('',#11268,#11267); +#11270=DIRECTION('',(5.919095487496E-1,6.602401485509E-1,4.623051290441E-1)); +#11271=VECTOR('',#11270,8.447236593096E-2); +#11272=CARTESIAN_POINT('',(8.800000011921E0,8.833505379275E0, +-1.872054026968E1)); +#11273=LINE('',#11272,#11271); +#11274=DIRECTION('',(9.257350567423E-1,3.097809551294E-1,2.169109599755E-1)); +#11275=VECTOR('',#11274,9.001852197046E-2); +#11276=CARTESIAN_POINT('',(8.850000011921E0,8.889277426706E0, +-1.868148826165E1)); +#11277=LINE('',#11276,#11275); +#11278=DIRECTION('',(1.E0,0.E0,0.E0)); +#11279=VECTOR('',#11278,6.666669845581E-2); +#11280=CARTESIAN_POINT('',(8.933333313465E0,8.917163450421E0, +-1.866196225764E1)); +#11281=LINE('',#11280,#11279); +#11282=DIRECTION('',(9.257350567423E-1,-3.097809551294E-1,-2.169109599754E-1)); +#11283=VECTOR('',#11282,9.001852197047E-2); +#11284=CARTESIAN_POINT('',(9.000000011921E0,8.917163450421E0, +-1.866196225764E1)); +#11285=LINE('',#11284,#11283); +#11286=DIRECTION('',(5.919095487496E-1,-6.602401485510E-1,-4.623051290441E-1)); +#11287=VECTOR('',#11286,8.447236593096E-2); +#11288=CARTESIAN_POINT('',(9.083333313465E0,8.889277426706E0, +-1.868148826165E1)); +#11289=LINE('',#11288,#11287); +#11290=DIRECTION('',(3.102797975506E-1,-7.787230240084E-1,-5.452677315881E-1)); +#11291=VECTOR('',#11290,1.074298159510E-1); +#11292=CARTESIAN_POINT('',(9.133333313465E0,8.833505379275E0, +-1.872054026968E1)); +#11293=LINE('',#11292,#11291); +#11294=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#11295=VECTOR('',#11294,6.808519363403E-2); +#11296=CARTESIAN_POINT('',(9.166666615009E0,8.749847308129E0, +-1.877911828173E1)); +#11297=LINE('',#11296,#11295); +#11298=DIRECTION('',(-2.377711385310E-1,-7.956597517486E-1,-5.571269560244E-1)); +#11299=VECTOR('',#11298,1.401906966090E-1); +#11300=CARTESIAN_POINT('',(9.166666615009E0,8.694075182578E0, +-1.881817034447E1)); +#11301=LINE('',#11300,#11299); +#11302=DIRECTION('',(-4.807963688043E-1,-7.182589567601E-1,-5.029303359100E-1)); +#11303=VECTOR('',#11302,9.706118453696E-1); +#11304=CARTESIAN_POINT('',(9.133333313465E0,8.582531087716E0, +-1.889627436053E1)); +#11305=LINE('',#11304,#11303); +#11306=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11307=VECTOR('',#11306,1.41E1); +#11308=CARTESIAN_POINT('',(9.85E0,4.58E0,-1.995E1)); +#11309=LINE('',#11308,#11307); +#11310=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11311=VECTOR('',#11310,7.E-1); +#11312=CARTESIAN_POINT('',(-4.55E0,4.28E0,-1.995E1)); +#11313=LINE('',#11312,#11311); +#11314=DIRECTION('',(1.E0,0.E0,0.E0)); +#11315=VECTOR('',#11314,1.41E1); +#11316=CARTESIAN_POINT('',(-4.25E0,3.28E0,-1.995E1)); +#11317=LINE('',#11316,#11315); +#11318=DIRECTION('',(0.E0,1.E0,0.E0)); +#11319=VECTOR('',#11318,7.E-1); +#11320=CARTESIAN_POINT('',(1.015E1,3.58E0,-1.995E1)); +#11321=LINE('',#11320,#11319); +#11322=DIRECTION('',(0.E0,1.E0,0.E0)); +#11323=VECTOR('',#11322,7.E-1); +#11324=CARTESIAN_POINT('',(-8.15E0,3.58E0,-1.995E1)); +#11325=LINE('',#11324,#11323); +#11326=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11327=VECTOR('',#11326,1.31E1); +#11328=CARTESIAN_POINT('',(-8.45E0,4.58E0,-1.995E1)); +#11329=LINE('',#11328,#11327); +#11330=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11331=VECTOR('',#11330,7.E-1); +#11332=CARTESIAN_POINT('',(-2.185E1,4.28E0,-1.995E1)); +#11333=LINE('',#11332,#11331); +#11334=DIRECTION('',(1.E0,0.E0,0.E0)); +#11335=VECTOR('',#11334,1.31E1); +#11336=CARTESIAN_POINT('',(-2.155E1,3.28E0,-1.995E1)); +#11337=LINE('',#11336,#11335); +#11338=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11339=VECTOR('',#11338,8.2E0); +#11340=CARTESIAN_POINT('',(-2.575E1,4.58E0,-1.995E1)); +#11341=LINE('',#11340,#11339); +#11342=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11343=VECTOR('',#11342,7.E-1); +#11344=CARTESIAN_POINT('',(-3.425E1,4.28E0,-1.995E1)); +#11345=LINE('',#11344,#11343); +#11346=DIRECTION('',(1.E0,0.E0,0.E0)); +#11347=VECTOR('',#11346,8.2E0); +#11348=CARTESIAN_POINT('',(-3.395E1,3.28E0,-1.995E1)); +#11349=LINE('',#11348,#11347); +#11350=DIRECTION('',(0.E0,1.E0,0.E0)); +#11351=VECTOR('',#11350,7.E-1); +#11352=CARTESIAN_POINT('',(-2.545E1,3.58E0,-1.995E1)); +#11353=LINE('',#11352,#11351); +#11354=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11355=VECTOR('',#11354,3.2E0); +#11356=CARTESIAN_POINT('',(-3.815E1,4.58E0,-1.995E1)); +#11357=LINE('',#11356,#11355); +#11358=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11359=VECTOR('',#11358,7.E-1); +#11360=CARTESIAN_POINT('',(-4.165E1,4.28E0,-1.995E1)); +#11361=LINE('',#11360,#11359); +#11362=DIRECTION('',(1.E0,0.E0,0.E0)); +#11363=VECTOR('',#11362,3.2E0); +#11364=CARTESIAN_POINT('',(-4.135E1,3.28E0,-1.995E1)); +#11365=LINE('',#11364,#11363); +#11366=DIRECTION('',(0.E0,1.E0,0.E0)); +#11367=VECTOR('',#11366,7.E-1); +#11368=CARTESIAN_POINT('',(-3.785E1,3.58E0,-1.995E1)); +#11369=LINE('',#11368,#11367); +#11370=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11371=VECTOR('',#11370,3.E0); +#11372=CARTESIAN_POINT('',(5.3E1,4.58E0,-1.995E1)); +#11373=LINE('',#11372,#11371); +#11374=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11375=VECTOR('',#11374,7.E-1); +#11376=CARTESIAN_POINT('',(4.97E1,4.28E0,-1.995E1)); +#11377=LINE('',#11376,#11375); +#11378=DIRECTION('',(1.E0,0.E0,0.E0)); +#11379=VECTOR('',#11378,3.E0); +#11380=CARTESIAN_POINT('',(5.E1,3.28E0,-1.995E1)); +#11381=LINE('',#11380,#11379); +#11382=DIRECTION('',(0.E0,1.E0,0.E0)); +#11383=VECTOR('',#11382,7.E-1); +#11384=CARTESIAN_POINT('',(5.33E1,3.58E0,-1.995E1)); +#11385=LINE('',#11384,#11383); +#11386=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11387=VECTOR('',#11386,6.6E0); +#11388=CARTESIAN_POINT('',(4.57E1,4.58E0,-1.995E1)); +#11389=LINE('',#11388,#11387); +#11390=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11391=VECTOR('',#11390,7.E-1); +#11392=CARTESIAN_POINT('',(3.88E1,4.28E0,-1.995E1)); +#11393=LINE('',#11392,#11391); +#11394=DIRECTION('',(1.E0,0.E0,0.E0)); +#11395=VECTOR('',#11394,6.6E0); +#11396=CARTESIAN_POINT('',(3.91E1,3.28E0,-1.995E1)); +#11397=LINE('',#11396,#11395); +#11398=DIRECTION('',(0.E0,1.E0,0.E0)); +#11399=VECTOR('',#11398,7.E-1); +#11400=CARTESIAN_POINT('',(4.6E1,3.58E0,-1.995E1)); +#11401=LINE('',#11400,#11399); +#11402=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11403=VECTOR('',#11402,1.19E1); +#11404=CARTESIAN_POINT('',(3.49E1,4.58E0,-1.995E1)); +#11405=LINE('',#11404,#11403); +#11406=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11407=VECTOR('',#11406,7.E-1); +#11408=CARTESIAN_POINT('',(2.27E1,4.28E0,-1.995E1)); +#11409=LINE('',#11408,#11407); +#11410=DIRECTION('',(1.E0,0.E0,0.E0)); +#11411=VECTOR('',#11410,1.19E1); +#11412=CARTESIAN_POINT('',(2.3E1,3.28E0,-1.995E1)); +#11413=LINE('',#11412,#11411); +#11414=DIRECTION('',(0.E0,1.E0,0.E0)); +#11415=VECTOR('',#11414,7.E-1); +#11416=CARTESIAN_POINT('',(3.52E1,3.58E0,-1.995E1)); +#11417=LINE('',#11416,#11415); +#11418=DIRECTION('',(0.E0,1.E0,0.E0)); +#11419=VECTOR('',#11418,2.E0); +#11420=CARTESIAN_POINT('',(-5.60225E1,-7.E-2,-1.995E1)); +#11421=LINE('',#11420,#11419); +#11422=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11423=VECTOR('',#11422,2.3875E-1); +#11424=CARTESIAN_POINT('',(-5.60225E1,1.93E0,-1.995E1)); +#11425=LINE('',#11424,#11423); +#11426=DIRECTION('',(-6.254170025358E-1,-7.802906977141E-1,0.E0)); +#11427=VECTOR('',#11426,3.817452979883E-1); +#11428=CARTESIAN_POINT('',(-5.626125E1,1.93E0,-1.995E1)); +#11429=LINE('',#11428,#11427); +#11430=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11431=VECTOR('',#11430,3.404256105423E-1); +#11432=CARTESIAN_POINT('',(-5.65E1,1.632127695084E0,-1.995E1)); +#11433=LINE('',#11432,#11431); +#11434=DIRECTION('',(6.254168501437E-1,7.802908198591E-1,0.E0)); +#11435=VECTOR('',#11434,3.817453910062E-1); +#11436=CARTESIAN_POINT('',(-5.65E1,1.291702084541E0,-1.995E1)); +#11437=LINE('',#11436,#11435); +#11438=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11439=VECTOR('',#11438,1.659574508667E0); +#11440=CARTESIAN_POINT('',(-5.626125E1,1.589574508667E0,-1.995E1)); +#11441=LINE('',#11440,#11439); +#11442=DIRECTION('',(1.E0,0.E0,0.E0)); +#11443=VECTOR('',#11442,2.3875E-1); +#11444=CARTESIAN_POINT('',(-5.626125E1,-7.E-2,-1.995E1)); +#11445=LINE('',#11444,#11443); +#11446=DIRECTION('',(3.751613436293E-1,9.269595278362E-1,0.E0)); +#11447=VECTOR('',#11446,1.170608015818E-1); +#11448=CARTESIAN_POINT('',(-4.2405375E1,-3.139148932397E0,-1.995E1)); +#11449=LINE('',#11448,#11447); +#11450=DIRECTION('',(1.983406220693E-1,9.801331530140E-1,0.E0)); +#11451=VECTOR('',#11450,1.107101115401E-1); +#11452=CARTESIAN_POINT('',(-4.236145831239E1,-3.030638307035E0,-1.995E1)); +#11453=LINE('',#11452,#11451); +#11454=DIRECTION('',(0.E0,1.E0,0.E0)); +#11455=VECTOR('',#11454,1.446808338165E-1); +#11456=CARTESIAN_POINT('',(-4.23395E1,-2.922127656341E0,-1.995E1)); +#11457=LINE('',#11456,#11455); +#11458=DIRECTION('',(-1.500523150319E-1,9.886780581936E-1,0.E0)); +#11459=VECTOR('',#11458,1.463377115346E-1); +#11460=CARTESIAN_POINT('',(-4.23395E1,-2.777446822524E0,-1.995E1)); +#11461=LINE('',#11460,#11459); +#11462=DIRECTION('',(-3.751613436294E-1,9.269595278361E-1,0.E0)); +#11463=VECTOR('',#11462,1.170608015818E-1); +#11464=CARTESIAN_POINT('',(-4.236145831239E1,-2.632765938044E0,-1.995E1)); +#11465=LINE('',#11464,#11463); +#11466=DIRECTION('',(-8.350360802657E-1,5.501951877784E-1,0.E0)); +#11467=VECTOR('',#11466,1.314813697306E-1); +#11468=CARTESIAN_POINT('',(-4.2405375E1,-2.524255312681E0,-1.995E1)); +#11469=LINE('',#11468,#11467); +#11470=DIRECTION('',(6.291611878975E-1,7.772748546321E-1,0.E0)); +#11471=VECTOR('',#11470,1.396039312422E-1); +#11472=CARTESIAN_POINT('',(-4.251516668761E1,-2.451914895773E0,-1.995E1)); +#11473=LINE('',#11472,#11471); +#11474=DIRECTION('',(3.751608824914E-1,9.269597144689E-1,0.E0)); +#11475=VECTOR('',#11474,1.170607780130E-1); +#11476=CARTESIAN_POINT('',(-4.242733331239E1,-2.343404270411E0,-1.995E1)); +#11477=LINE('',#11476,#11475); +#11478=DIRECTION('',(1.500527860313E-1,9.886779867096E-1,0.E0)); +#11479=VECTOR('',#11478,1.463376708710E-1); +#11480=CARTESIAN_POINT('',(-4.238341668761E1,-2.234893645048E0,-1.995E1)); +#11481=LINE('',#11480,#11479); +#11482=DIRECTION('',(0.E0,1.E0,0.E0)); +#11483=VECTOR('',#11482,7.234051823618E-2); +#11484=CARTESIAN_POINT('',(-4.236145831239E1,-2.090212811232E0,-1.995E1)); +#11485=LINE('',#11484,#11483); +#11486=DIRECTION('',(-1.500527860313E-1,9.886779867096E-1,0.E0)); +#11487=VECTOR('',#11486,1.463376708710E-1); +#11488=CARTESIAN_POINT('',(-4.236145831239E1,-2.017872292995E0,-1.995E1)); +#11489=LINE('',#11488,#11487); +#11490=DIRECTION('',(-5.189409113463E-1,8.548101137277E-1,0.E0)); +#11491=VECTOR('',#11490,1.692549391883E-1); +#11492=CARTESIAN_POINT('',(-4.238341668761E1,-1.873191459179E0,-1.995E1)); +#11493=LINE('',#11492,#11491); +#11494=DIRECTION('',(-7.718996118919E-1,6.357444369879E-1,0.E0)); +#11495=VECTOR('',#11494,1.137885173656E-1); +#11496=CARTESIAN_POINT('',(-4.247125E1,-1.728510625362E0,-1.995E1)); +#11497=LINE('',#11496,#11495); +#11498=DIRECTION('',(-9.734121708792E-1,2.290605718673E-1,0.E0)); +#11499=VECTOR('',#11498,1.579067412574E-1); +#11500=CARTESIAN_POINT('',(-4.255908331239E1,-1.656170208454E0,-1.995E1)); +#11501=LINE('',#11500,#11499); +#11502=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11503=VECTOR('',#11502,4.391668760776E-2); +#11504=CARTESIAN_POINT('',(-4.271279165620E1,-1.62E0,-1.995E1)); +#11505=LINE('',#11504,#11503); +#11506=DIRECTION('',(-9.734121656606E-1,-2.290605940445E-1,0.E0)); +#11507=VECTOR('',#11506,1.579067259692E-1); +#11508=CARTESIAN_POINT('',(-4.275670834380E1,-1.62E0,-1.995E1)); +#11509=LINE('',#11508,#11507); +#11510=DIRECTION('',(-7.718996676782E-1,-6.357443692541E-1,0.E0)); +#11511=VECTOR('',#11510,1.137885294889E-1); +#11512=CARTESIAN_POINT('',(-4.291041667190E1,-1.656170208454E0,-1.995E1)); +#11513=LINE('',#11512,#11511); +#11514=DIRECTION('',(-5.189409961019E-1,-8.548100622739E-1,0.E0)); +#11515=VECTOR('',#11514,1.692549493763E-1); +#11516=CARTESIAN_POINT('',(-4.299825E1,-1.728510625362E0,-1.995E1)); +#11517=LINE('',#11516,#11515); +#11518=DIRECTION('',(-1.500525106441E-1,-9.886780285054E-1,0.E0)); +#11519=VECTOR('',#11518,1.463376646847E-1); +#11520=CARTESIAN_POINT('',(-4.308608333202E1,-1.873191459179E0,-1.995E1)); +#11521=LINE('',#11520,#11519); +#11522=DIRECTION('',(1.E0,0.E0,0.E0)); +#11523=VECTOR('',#11522,1.097916660122E-1); +#11524=CARTESIAN_POINT('',(-4.310804166601E1,-2.017872292995E0,-1.995E1)); +#11525=LINE('',#11524,#11523); +#11526=DIRECTION('',(3.751612283449E-1,9.269595744943E-1,0.E0)); +#11527=VECTOR('',#11526,1.170607956896E-1); +#11528=CARTESIAN_POINT('',(-4.299825E1,-2.017872292995E0,-1.995E1)); +#11529=LINE('',#11528,#11527); +#11530=DIRECTION('',(6.732936259771E-1,7.393752046279E-1,0.E0)); +#11531=VECTOR('',#11530,9.783992816567E-2); +#11532=CARTESIAN_POINT('',(-4.295433332810E1,-1.909361667633E0,-1.995E1)); +#11533=LINE('',#11532,#11531); +#11534=DIRECTION('',(9.497856801346E-1,3.129012013580E-1,0.E0)); +#11535=VECTOR('',#11534,1.155962594492E-1); +#11536=CARTESIAN_POINT('',(-4.288845832810E1,-1.837021250725E0,-1.995E1)); +#11537=LINE('',#11536,#11535); +#11538=DIRECTION('',(1.E0,1.516813602111E-14,0.E0)); +#11539=VECTOR('',#11538,8.783331239223E-2); +#11540=CARTESIAN_POINT('',(-4.277866665620E1,-1.800851042271E0,-1.995E1)); +#11541=LINE('',#11540,#11539); +#11542=DIRECTION('',(9.497856668322E-1,-3.129012417364E-1,0.E0)); +#11543=VECTOR('',#11542,1.155962445320E-1); +#11544=CARTESIAN_POINT('',(-4.269083334380E1,-1.800851042271E0,-1.995E1)); +#11545=LINE('',#11544,#11543); +#11546=DIRECTION('',(6.732936259771E-1,-7.393752046279E-1,0.E0)); +#11547=VECTOR('',#11546,9.783992816567E-2); +#11548=CARTESIAN_POINT('',(-4.258104168761E1,-1.837021250725E0,-1.995E1)); +#11549=LINE('',#11548,#11547); +#11550=DIRECTION('',(3.751613436294E-1,-9.269595278361E-1,0.E0)); +#11551=VECTOR('',#11550,1.170608015818E-1); +#11552=CARTESIAN_POINT('',(-4.251516668761E1,-1.909361667633E0,-1.995E1)); +#11553=LINE('',#11552,#11551); +#11554=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11555=VECTOR('',#11554,7.234051823617E-2); +#11556=CARTESIAN_POINT('',(-4.247125E1,-2.017872292995E0,-1.995E1)); +#11557=LINE('',#11556,#11555); +#11558=DIRECTION('',(-2.904556862418E-1,-9.568884440361E-1,0.E0)); +#11559=VECTOR('',#11558,1.511992695891E-1); +#11560=CARTESIAN_POINT('',(-4.247125E1,-2.090212811232E0,-1.995E1)); +#11561=LINE('',#11560,#11559); +#11562=DIRECTION('',(-5.189406401281E-1,-8.548102783796E-1,0.E0)); +#11563=VECTOR('',#11562,8.462745329340E-2); +#11564=CARTESIAN_POINT('',(-4.251516668761E1,-2.234893645048E0,-1.995E1)); +#11565=LINE('',#11564,#11563); +#11566=DIRECTION('',(-8.765587167940E-1,-4.812949366162E-1,0.E0)); +#11567=VECTOR('',#11566,1.503037148424E-1); +#11568=CARTESIAN_POINT('',(-4.255908331239E1,-2.307234061956E0,-1.995E1)); +#11569=LINE('',#11568,#11567); +#11570=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11571=VECTOR('',#11570,8.783331239223E-2); +#11572=CARTESIAN_POINT('',(-4.269083334380E1,-2.379574478865E0,-1.995E1)); +#11573=LINE('',#11572,#11571); +#11574=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11575=VECTOR('',#11574,1.446808338165E-1); +#11576=CARTESIAN_POINT('',(-4.277866665620E1,-2.379574478865E0,-1.995E1)); +#11577=LINE('',#11576,#11575); +#11578=DIRECTION('',(1.E0,0.E0,0.E0)); +#11579=VECTOR('',#11578,8.783331239223E-2); +#11580=CARTESIAN_POINT('',(-4.277866665620E1,-2.524255312681E0,-1.995E1)); +#11581=LINE('',#11580,#11579); +#11582=DIRECTION('',(9.643195461593E-1,-2.647410298673E-1,0.E0)); +#11583=VECTOR('',#11582,1.366248687340E-1); +#11584=CARTESIAN_POINT('',(-4.269083334380E1,-2.524255312681E0,-1.995E1)); +#11585=LINE('',#11584,#11583); +#11586=DIRECTION('',(6.732936259771E-1,-7.393752046279E-1,0.E0)); +#11587=VECTOR('',#11586,9.783992816566E-2); +#11588=CARTESIAN_POINT('',(-4.255908331239E1,-2.560425521135E0,-1.995E1)); +#11589=LINE('',#11588,#11587); +#11590=DIRECTION('',(2.904552126650E-1,-9.568885877863E-1,0.E0)); +#11591=VECTOR('',#11590,1.511992998215E-1); +#11592=CARTESIAN_POINT('',(-4.249320831239E1,-2.632765938044E0,-1.995E1)); +#11593=LINE('',#11592,#11591); +#11594=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11595=VECTOR('',#11594,1.446808338165E-1); +#11596=CARTESIAN_POINT('',(-4.244929168761E1,-2.777446822524E0,-1.995E1)); +#11597=LINE('',#11596,#11595); +#11598=DIRECTION('',(-2.904553057951E-1,-9.568885595175E-1,0.E0)); +#11599=VECTOR('',#11598,7.559962567088E-2); +#11600=CARTESIAN_POINT('',(-4.244929168761E1,-2.922127656341E0,-1.995E1)); +#11601=LINE('',#11600,#11599); +#11602=DIRECTION('',(-5.189410497805E-1,-8.548100296866E-1,0.E0)); +#11603=VECTOR('',#11602,8.462750754897E-2); +#11604=CARTESIAN_POINT('',(-4.247125E1,-2.994468073249E0,-1.995E1)); +#11605=LINE('',#11604,#11603); +#11606=DIRECTION('',(-7.718993887466E-1,-6.357447079234E-1,0.E0)); +#11607=VECTOR('',#11606,5.689423443614E-2); +#11608=CARTESIAN_POINT('',(-4.251516668761E1,-3.066808515489E0,-1.995E1)); +#11609=LINE('',#11608,#11607); +#11610=DIRECTION('',(-9.497856934370E-1,-3.129011609795E-1,0.E0)); +#11611=VECTOR('',#11610,1.155962743663E-1); +#11612=CARTESIAN_POINT('',(-4.255908331239E1,-3.102978723943E0,-1.995E1)); +#11613=LINE('',#11612,#11611); +#11614=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11615=VECTOR('',#11614,1.3175E-1); +#11616=CARTESIAN_POINT('',(-4.2668875E1,-3.139148932397E0,-1.995E1)); +#11617=LINE('',#11616,#11615); +#11618=DIRECTION('',(-9.497856801346E-1,3.129012013580E-1,0.E0)); +#11619=VECTOR('',#11618,1.155962594492E-1); +#11620=CARTESIAN_POINT('',(-4.2800625E1,-3.139148932397E0,-1.995E1)); +#11621=LINE('',#11620,#11619); +#11622=DIRECTION('',(-7.718996118919E-1,6.357444369879E-1,0.E0)); +#11623=VECTOR('',#11622,5.689425868278E-2); +#11624=CARTESIAN_POINT('',(-4.291041667190E1,-3.102978723943E0,-1.995E1)); +#11625=LINE('',#11624,#11623); +#11626=DIRECTION('',(-5.189409141715E-1,8.548101120125E-1,0.E0)); +#11627=VECTOR('',#11626,8.462749939859E-2); +#11628=CARTESIAN_POINT('',(-4.295433332810E1,-3.066808515489E0,-1.995E1)); +#11629=LINE('',#11628,#11627); +#11630=DIRECTION('',(-2.904555911301E-1,9.568884729065E-1,0.E0)); +#11631=VECTOR('',#11630,7.559963251362E-2); +#11632=CARTESIAN_POINT('',(-4.299825E1,-2.994468073249E0,-1.995E1)); +#11633=LINE('',#11632,#11631); +#11634=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11635=VECTOR('',#11634,1.097916640490E-1); +#11636=CARTESIAN_POINT('',(-4.302020833595E1,-2.922127656341E0,-1.995E1)); +#11637=LINE('',#11636,#11635); +#11638=DIRECTION('',(1.983408094593E-1,-9.801331150936E-1,0.E0)); +#11639=VECTOR('',#11638,1.107101158234E-1); +#11640=CARTESIAN_POINT('',(-4.313E1,-2.922127656341E0,-1.995E1)); +#11641=LINE('',#11640,#11639); +#11642=DIRECTION('',(3.751611851132E-1,-9.269595919912E-1,0.E0)); +#11643=VECTOR('',#11642,1.170607934800E-1); +#11644=CARTESIAN_POINT('',(-4.310804166601E1,-3.030638307035E0,-1.995E1)); +#11645=LINE('',#11644,#11643); +#11646=DIRECTION('',(6.732935615317E-1,-7.393752633135E-1,0.E0)); +#11647=VECTOR('',#11646,9.783993753059E-2); +#11648=CARTESIAN_POINT('',(-4.3064125E1,-3.139148932397E0,-1.995E1)); +#11649=LINE('',#11648,#11647); +#11650=DIRECTION('',(7.718996267101E-1,-6.357444189961E-1,0.E0)); +#11651=VECTOR('',#11650,1.137885355281E-1); +#11652=CARTESIAN_POINT('',(-4.299825E1,-3.211489361972E0,-1.995E1)); +#11653=LINE('',#11652,#11651); +#11654=DIRECTION('',(9.246649508244E-1,-3.807817336964E-1,0.E0)); +#11655=VECTOR('',#11654,9.498935589561E-2); +#11656=CARTESIAN_POINT('',(-4.291041667190E1,-3.283829788379E0,-1.995E1)); +#11657=LINE('',#11656,#11655); +#11658=DIRECTION('',(1.E0,0.E0,0.E0)); +#11659=VECTOR('',#11658,1.756666876078E-1); +#11660=CARTESIAN_POINT('',(-4.282258334380E1,-3.32E0,-1.995E1)); +#11661=LINE('',#11660,#11659); +#11662=DIRECTION('',(9.246649747983E-1,3.807816754798E-1,0.E0)); +#11663=VECTOR('',#11662,9.498937041823E-2); +#11664=CARTESIAN_POINT('',(-4.264691665620E1,-3.32E0,-1.995E1)); +#11665=LINE('',#11664,#11663); +#11666=DIRECTION('',(7.718995709238E-1,6.357444867300E-1,0.E0)); +#11667=VECTOR('',#11666,1.137885234048E-1); +#11668=CARTESIAN_POINT('',(-4.255908331239E1,-3.283829788379E0,-1.995E1)); +#11669=LINE('',#11668,#11667); +#11670=DIRECTION('',(6.732935615318E-1,7.393752633135E-1,0.E0)); +#11671=VECTOR('',#11670,9.783993753058E-2); +#11672=CARTESIAN_POINT('',(-4.247125E1,-3.211489361972E0,-1.995E1)); +#11673=LINE('',#11672,#11671); +#11674=DIRECTION('',(0.E0,1.E0,0.E0)); +#11675=VECTOR('',#11674,1.8E0); +#11676=CARTESIAN_POINT('',(-4.1093E1,4.3E-1,-1.995E1)); +#11677=LINE('',#11676,#11675); +#11678=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11679=VECTOR('',#11678,1.035E-1); +#11680=CARTESIAN_POINT('',(-4.1093E1,2.23E0,-1.995E1)); +#11681=LINE('',#11680,#11679); +#11682=DIRECTION('',(-3.601621143291E-1,-9.328897316414E-1,0.E0)); +#11683=VECTOR('',#11682,2.873705919702E-1); +#11684=CARTESIAN_POINT('',(-4.11965E1,2.23E0,-1.995E1)); +#11685=LINE('',#11684,#11683); +#11686=DIRECTION('',(-2.319132004683E-14,-1.E0,0.E0)); +#11687=VECTOR('',#11686,3.063830494881E-1); +#11688=CARTESIAN_POINT('',(-4.13E1,1.961914925575E0,-1.995E1)); +#11689=LINE('',#11688,#11687); +#11690=DIRECTION('',(3.601619888884E-1,9.328897800705E-1,0.E0)); +#11691=VECTOR('',#11690,2.873706920584E-1); +#11692=CARTESIAN_POINT('',(-4.13E1,1.655531876087E0,-1.995E1)); +#11693=LINE('',#11692,#11691); +#11694=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11695=VECTOR('',#11694,1.493617057800E0); +#11696=CARTESIAN_POINT('',(-4.11965E1,1.923617057800E0,-1.995E1)); +#11697=LINE('',#11696,#11695); +#11698=DIRECTION('',(1.E0,0.E0,0.E0)); +#11699=VECTOR('',#11698,1.035E-1); +#11700=CARTESIAN_POINT('',(-4.11965E1,4.3E-1,-1.995E1)); +#11701=LINE('',#11700,#11699); +#11702=DIRECTION('',(5.148458646116E-1,8.572827629740E-1,0.E0)); +#11703=VECTOR('',#11702,1.340206618949E-1); +#11704=CARTESIAN_POINT('',(-4.083425E1,1.234255330563E0,-1.995E1)); +#11705=LINE('',#11704,#11703); +#11706=DIRECTION('',(8.743480938124E-1,4.852993002742E-1,0.E0)); +#11707=VECTOR('',#11706,7.891597567384E-2); +#11708=CARTESIAN_POINT('',(-4.076525001645E1,1.349148933887E0,-1.995E1)); +#11709=LINE('',#11708,#11707); +#11710=DIRECTION('',(1.E0,0.E0,0.E0)); +#11711=VECTOR('',#11710,1.035E-1); +#11712=CARTESIAN_POINT('',(-4.069624998355E1,1.387446801662E0,-1.995E1)); +#11713=LINE('',#11712,#11711); +#11714=DIRECTION('',(8.743479465251E-1,-4.852995656368E-1,0.E0)); +#11715=VECTOR('',#11714,7.891593252243E-2); +#11716=CARTESIAN_POINT('',(-4.059274998355E1,1.387446801662E0,-1.995E1)); +#11717=LINE('',#11716,#11715); +#11718=DIRECTION('',(5.598286279181E-1,-8.286084161794E-1,0.E0)); +#11719=VECTOR('',#11718,9.243900261487E-2); +#11720=CARTESIAN_POINT('',(-4.052375E1,1.349148933887E0,-1.995E1)); +#11721=LINE('',#11720,#11719); +#11722=DIRECTION('',(2.875917317965E-1,-9.577530975164E-1,0.E0)); +#11723=VECTOR('',#11722,1.199616097539E-1); +#11724=CARTESIAN_POINT('',(-4.0472E1,1.272553198338E0,-1.995E1)); +#11725=LINE('',#11724,#11723); +#11726=DIRECTION('',(1.484750051377E-1,-9.889161606776E-1,0.E0)); +#11727=VECTOR('',#11726,1.161813928598E-1); +#11728=CARTESIAN_POINT('',(-4.043750003290E1,1.157659595013E0,-1.995E1)); +#11729=LINE('',#11728,#11727); +#11730=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11731=VECTOR('',#11730,7.659573554995E-2); +#11732=CARTESIAN_POINT('',(-4.042025E1,1.042765938044E0,-1.995E1)); +#11733=LINE('',#11732,#11731); +#11734=DIRECTION('',(-1.484750729329E-1,-9.889161504989E-1,0.E0)); +#11735=VECTOR('',#11734,1.161813398101E-1); +#11736=CARTESIAN_POINT('',(-4.042025E1,9.661702024936E-1,-1.995E1)); +#11737=LINE('',#11736,#11735); +#11738=DIRECTION('',(-2.875916702108E-1,-9.577531160092E-1,0.E0)); +#11739=VECTOR('',#11738,1.199616354429E-1); +#11740=CARTESIAN_POINT('',(-4.043750003290E1,8.512765991688E-1,-1.995E1)); +#11741=LINE('',#11740,#11739); +#11742=DIRECTION('',(-5.598286279181E-1,-8.286084161794E-1,0.E0)); +#11743=VECTOR('',#11742,9.243900261489E-2); +#11744=CARTESIAN_POINT('',(-4.0472E1,7.363829690218E-1,-1.995E1)); +#11745=LINE('',#11744,#11743); +#11746=DIRECTION('',(-8.743479465252E-1,-4.852995656368E-1,0.E0)); +#11747=VECTOR('',#11746,7.891593252245E-2); +#11748=CARTESIAN_POINT('',(-4.052375E1,6.597872334719E-1,-1.995E1)); +#11749=LINE('',#11748,#11747); +#11750=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11751=VECTOR('',#11750,1.035E-1); +#11752=CARTESIAN_POINT('',(-4.059274998355E1,6.214893656969E-1,-1.995E1)); +#11753=LINE('',#11752,#11751); +#11754=DIRECTION('',(-8.743480938124E-1,4.852993002742E-1,0.E0)); +#11755=VECTOR('',#11754,7.891597567383E-2); +#11756=CARTESIAN_POINT('',(-4.069624998355E1,6.214893656969E-1,-1.995E1)); +#11757=LINE('',#11756,#11755); +#11758=DIRECTION('',(-5.598286279180E-1,8.286084161795E-1,0.E0)); +#11759=VECTOR('',#11758,9.243900261487E-2); +#11760=CARTESIAN_POINT('',(-4.076525001645E1,6.597872334719E-1,-1.995E1)); +#11761=LINE('',#11760,#11759); +#11762=DIRECTION('',(-2.197054292845E-1,9.755662583049E-1,0.E0)); +#11763=VECTOR('',#11762,1.570282865135E-1); +#11764=CARTESIAN_POINT('',(-4.081700001645E1,7.363829690218E-1,-1.995E1)); +#11765=LINE('',#11764,#11763); +#11766=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11767=VECTOR('',#11766,1.035000246763E-1); +#11768=CARTESIAN_POINT('',(-4.085149998355E1,8.895744669437E-1,-1.995E1)); +#11769=LINE('',#11768,#11767); +#11770=DIRECTION('',(2.197057284048E-1,-9.755661909405E-1,0.E0)); +#11771=VECTOR('',#11770,1.570282973566E-1); +#11772=CARTESIAN_POINT('',(-4.095500000823E1,8.895744669437E-1,-1.995E1)); +#11773=LINE('',#11772,#11771); +#11774=DIRECTION('',(3.200442920351E-1,-9.474025813432E-1,0.E0)); +#11775=VECTOR('',#11774,1.616962920807E-1); +#11776=CARTESIAN_POINT('',(-4.092049999177E1,7.363829690217E-1,-1.995E1)); +#11777=LINE('',#11776,#11775); +#11778=DIRECTION('',(6.003554632169E-1,-7.997332791535E-1,0.E0)); +#11779=VECTOR('',#11778,1.436649147635E-1); +#11780=CARTESIAN_POINT('',(-4.086875001645E1,5.831914845109E-1,-1.995E1)); +#11781=LINE('',#11780,#11779); +#11782=DIRECTION('',(9.139509868696E-1,-4.058245847654E-1,0.E0)); +#11783=VECTOR('',#11782,9.437050530062E-2); +#11784=CARTESIAN_POINT('',(-4.07825E1,4.682978711277E-1,-1.995E1)); +#11785=LINE('',#11784,#11783); +#11786=DIRECTION('',(1.E0,1.930822651522E-14,0.E0)); +#11787=VECTOR('',#11786,1.035E-1); +#11788=CARTESIAN_POINT('',(-4.069624998355E1,4.3E-1,-1.995E1)); +#11789=LINE('',#11788,#11787); +#11790=DIRECTION('',(9.139509007403E-1,4.058247787359E-1,0.E0)); +#11791=VECTOR('',#11790,9.437046019471E-2); +#11792=CARTESIAN_POINT('',(-4.059274998355E1,4.3E-1,-1.995E1)); +#11793=LINE('',#11792,#11791); +#11794=DIRECTION('',(6.003554632168E-1,7.997332791535E-1,0.E0)); +#11795=VECTOR('',#11794,1.436649147635E-1); +#11796=CARTESIAN_POINT('',(-4.050650001645E1,4.682978711277E-1,-1.995E1)); +#11797=LINE('',#11796,#11795); +#11798=DIRECTION('',(3.200444290127E-1,9.474025350705E-1,0.E0)); +#11799=VECTOR('',#11798,1.616962999783E-1); +#11800=CARTESIAN_POINT('',(-4.042025E1,5.831914845109E-1,-1.995E1)); +#11801=LINE('',#11800,#11799); +#11802=DIRECTION('',(1.773117067767E-1,9.841547432391E-1,0.E0)); +#11803=VECTOR('',#11802,1.945724155803E-1); +#11804=CARTESIAN_POINT('',(-4.03685E1,7.363829690218E-1,-1.995E1)); +#11805=LINE('',#11804,#11803); +#11806=DIRECTION('',(0.E0,1.E0,0.E0)); +#11807=VECTOR('',#11806,1.531914710999E-1); +#11808=CARTESIAN_POINT('',(-4.033400003290E1,9.278723347187E-1,-1.995E1)); +#11809=LINE('',#11808,#11807); +#11810=DIRECTION('',(-1.773116827214E-1,9.841547475730E-1,0.E0)); +#11811=VECTOR('',#11810,1.945724419774E-1); +#11812=CARTESIAN_POINT('',(-4.033400003290E1,1.081063805819E0,-1.995E1)); +#11813=LINE('',#11812,#11811); +#11814=DIRECTION('',(-3.200444541609E-1,9.474025265751E-1,0.E0)); +#11815=VECTOR('',#11814,1.616962872726E-1); +#11816=CARTESIAN_POINT('',(-4.03685E1,1.272553198338E0,-1.995E1)); +#11817=LINE('',#11816,#11815); +#11818=DIRECTION('',(-6.003554968313E-1,7.997332539193E-1,0.E0)); +#11819=VECTOR('',#11818,1.436649067196E-1); +#11820=CARTESIAN_POINT('',(-4.042025E1,1.425744669437E0,-1.995E1)); +#11821=LINE('',#11820,#11819); +#11822=DIRECTION('',(-9.139509139176E-1,4.058247490595E-1,0.E0)); +#11823=VECTOR('',#11822,9.437045883407E-2); +#11824=CARTESIAN_POINT('',(-4.050650001645E1,1.540638272762E0,-1.995E1)); +#11825=LINE('',#11824,#11823); +#11826=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11827=VECTOR('',#11826,1.035E-1); +#11828=CARTESIAN_POINT('',(-4.059274998355E1,1.578936140537E0,-1.995E1)); +#11829=LINE('',#11828,#11827); +#11830=DIRECTION('',(-9.139510000470E-1,-4.058245550890E-1,0.E0)); +#11831=VECTOR('',#11830,9.437050393999E-2); +#11832=CARTESIAN_POINT('',(-4.069624998355E1,1.578936140537E0,-1.995E1)); +#11833=LINE('',#11832,#11831); +#11834=DIRECTION('',(-6.003554968314E-1,-7.997332539193E-1,0.E0)); +#11835=VECTOR('',#11834,1.436649067196E-1); +#11836=CARTESIAN_POINT('',(-4.07825E1,1.540638272762E0,-1.995E1)); +#11837=LINE('',#11836,#11835); +#11838=DIRECTION('',(0.E0,1.E0,0.E0)); +#11839=VECTOR('',#11838,6.127659916878E-1); +#11840=CARTESIAN_POINT('',(-4.086875001645E1,1.425744669437E0,-1.995E1)); +#11841=LINE('',#11840,#11839); +#11842=DIRECTION('',(1.E0,0.E0,0.E0)); +#11843=VECTOR('',#11842,5.002500164509E-1); +#11844=CARTESIAN_POINT('',(-4.086875001645E1,2.038510661125E0,-1.995E1)); +#11845=LINE('',#11844,#11843); +#11846=DIRECTION('',(0.E0,1.E0,0.E0)); +#11847=VECTOR('',#11846,1.914893388748E-1); +#11848=CARTESIAN_POINT('',(-4.03685E1,2.038510661125E0,-1.995E1)); +#11849=LINE('',#11848,#11847); +#11850=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11851=VECTOR('',#11850,5.865000082254E-1); +#11852=CARTESIAN_POINT('',(-4.03685E1,2.23E0,-1.995E1)); +#11853=LINE('',#11852,#11851); +#11854=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11855=VECTOR('',#11854,9.957446694374E-1); +#11856=CARTESIAN_POINT('',(-4.095500000823E1,2.23E0,-1.995E1)); +#11857=LINE('',#11856,#11855); +#11858=DIRECTION('',(1.E0,-1.103327154283E-14,0.E0)); +#11859=VECTOR('',#11858,1.207500082254E-1); +#11860=CARTESIAN_POINT('',(-4.095500000823E1,1.234255330563E0,-1.995E1)); +#11861=LINE('',#11860,#11859); +#11862=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11863=VECTOR('',#11862,2.127659618854E-1); +#11864=CARTESIAN_POINT('',(-5.345E1,1.742765961885E0,-1.995E1)); +#11865=LINE('',#11864,#11863); +#11866=DIRECTION('',(1.E0,0.E0,0.E0)); +#11867=VECTOR('',#11866,5.4E-1); +#11868=CARTESIAN_POINT('',(-5.345E1,1.53E0,-1.995E1)); +#11869=LINE('',#11868,#11867); +#11870=DIRECTION('',(0.E0,1.E0,0.E0)); +#11871=VECTOR('',#11870,2.127659618855E-1); +#11872=CARTESIAN_POINT('',(-5.291E1,1.53E0,-1.995E1)); +#11873=LINE('',#11872,#11871); +#11874=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11875=VECTOR('',#11874,4.199999964237E-1); +#11876=CARTESIAN_POINT('',(-5.291E1,1.742765961885E0,-1.995E1)); +#11877=LINE('',#11876,#11875); +#11878=DIRECTION('',(3.718453261646E-1,9.282947018105E-1,0.E0)); +#11879=VECTOR('',#11878,1.008483823884E0); +#11880=CARTESIAN_POINT('',(-5.332999999642E1,1.742765961885E0,-1.995E1)); +#11881=LINE('',#11880,#11879); +#11882=DIRECTION('',(2.287681560762E-1,9.734809349779E-1,0.E0)); +#11883=VECTOR('',#11882,1.311371950523E-1); +#11884=CARTESIAN_POINT('',(-5.2955E1,2.678936152458E0,-1.995E1)); +#11885=LINE('',#11884,#11883); +#11886=DIRECTION('',(8.778471752612E-2,9.961394698379E-1,0.E0)); +#11887=VECTOR('',#11886,1.708724037350E-1); +#11888=CARTESIAN_POINT('',(-5.292499998569E1,2.806595711708E0,-1.995E1)); +#11889=LINE('',#11888,#11887); +#11890=DIRECTION('',(8.348866446111E-14,1.E0,0.E0)); +#11891=VECTOR('',#11890,8.510649204253E-2); +#11892=CARTESIAN_POINT('',(-5.291E1,2.976808457375E0,-1.995E1)); +#11893=LINE('',#11892,#11891); +#11894=DIRECTION('',(-8.778471752614E-2,9.961394698379E-1,0.E0)); +#11895=VECTOR('',#11894,1.708724037350E-1); +#11896=CARTESIAN_POINT('',(-5.291E1,3.061914949417E0,-1.995E1)); +#11897=LINE('',#11896,#11895); +#11898=DIRECTION('',(-3.324502748085E-1,9.431207848307E-1,0.E0)); +#11899=VECTOR('',#11898,1.804782042812E-1); +#11900=CARTESIAN_POINT('',(-5.292499998569E1,3.232127695084E0,-1.995E1)); +#11901=LINE('',#11900,#11899); +#11902=DIRECTION('',(-5.762017273630E-1,8.173075121299E-1,0.E0)); +#11903=VECTOR('',#11902,1.041301732459E-1); +#11904=CARTESIAN_POINT('',(-5.298500001431E1,3.402340440750E0,-1.995E1)); +#11905=LINE('',#11904,#11903); +#11906=DIRECTION('',(-9.040422555352E-1,4.274430958700E-1,0.E0)); +#11907=VECTOR('',#11906,9.955286873922E-2); +#11908=CARTESIAN_POINT('',(-5.3045E1,3.487446813583E0,-1.995E1)); +#11909=LINE('',#11908,#11907); +#11910=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11911=VECTOR('',#11910,6.000000715255E-2); +#11912=CARTESIAN_POINT('',(-5.3135E1,3.53E0,-1.995E1)); +#11913=LINE('',#11912,#11911); +#11914=DIRECTION('',(-9.267834537978E-1,-3.755960992432E-1,0.E0)); +#11915=VECTOR('',#11914,1.132950701628E-1); +#11916=CARTESIAN_POINT('',(-5.319500000715E1,3.53E0,-1.995E1)); +#11917=LINE('',#11916,#11915); +#11918=DIRECTION('',(-5.762017961881E-1,-8.173074636082E-1,0.E0)); +#11919=VECTOR('',#11918,1.041301794278E-1); +#11920=CARTESIAN_POINT('',(-5.330000000358E1,3.487446813583E0,-1.995E1)); +#11921=LINE('',#11920,#11919); +#11922=DIRECTION('',(-3.324501293982E-1,-9.431208360879E-1,0.E0)); +#11923=VECTOR('',#11922,1.804781944725E-1); +#11924=CARTESIAN_POINT('',(-5.336E1,3.402340440750E0,-1.995E1)); +#11925=LINE('',#11924,#11923); +#11926=DIRECTION('',(-8.778480319518E-2,-9.961394622883E-1,0.E0)); +#11927=VECTOR('',#11926,1.708724050300E-1); +#11928=CARTESIAN_POINT('',(-5.341999999911E1,3.232127695084E0,-1.995E1)); +#11929=LINE('',#11928,#11927); +#11930=DIRECTION('',(1.E0,0.E0,0.E0)); +#11931=VECTOR('',#11930,7.499999955297E-2); +#11932=CARTESIAN_POINT('',(-5.343499999955E1,3.061914949417E0,-1.995E1)); +#11933=LINE('',#11932,#11931); +#11934=DIRECTION('',(2.287680785441E-1,9.734809531980E-1,0.E0)); +#11935=VECTOR('',#11934,1.311371925978E-1); +#11936=CARTESIAN_POINT('',(-5.336E1,3.061914949417E0,-1.995E1)); +#11937=LINE('',#11936,#11935); +#11938=DIRECTION('',(4.674307536374E-1,8.840296887288E-1,0.E0)); +#11939=VECTOR('',#11938,9.627094419832E-2); +#11940=CARTESIAN_POINT('',(-5.332999999642E1,3.189574508667E0,-1.995E1)); +#11941=LINE('',#11940,#11939); +#11942=DIRECTION('',(8.697575095912E-1,4.934793557077E-1,0.E0)); +#11943=VECTOR('',#11942,8.623093534603E-2); +#11944=CARTESIAN_POINT('',(-5.328499999642E1,3.274680881500E0,-1.995E1)); +#11945=LINE('',#11944,#11943); +#11946=DIRECTION('',(1.E0,0.E0,0.E0)); +#11947=VECTOR('',#11946,5.999998569487E-2); +#11948=CARTESIAN_POINT('',(-5.320999999285E1,3.317234067917E0,-1.995E1)); +#11949=LINE('',#11948,#11947); +#11950=DIRECTION('',(8.697574792923E-1,-4.934794091096E-1,0.E0)); +#11951=VECTOR('',#11950,8.623092601453E-2); +#11952=CARTESIAN_POINT('',(-5.315000000715E1,3.317234067917E0,-1.995E1)); +#11953=LINE('',#11952,#11951); +#11954=DIRECTION('',(4.674307536376E-1,-8.840296887287E-1,0.E0)); +#11955=VECTOR('',#11954,9.627094419829E-2); +#11956=CARTESIAN_POINT('',(-5.307500001431E1,3.274680881500E0,-1.995E1)); +#11957=LINE('',#11956,#11955); +#11958=DIRECTION('',(2.287681560762E-1,-9.734809349779E-1,0.E0)); +#11959=VECTOR('',#11958,1.311371950523E-1); +#11960=CARTESIAN_POINT('',(-5.303000001431E1,3.189574508667E0,-1.995E1)); +#11961=LINE('',#11960,#11959); +#11962=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11963=VECTOR('',#11962,8.510649204255E-2); +#11964=CARTESIAN_POINT('',(-5.3E1,3.061914949417E0,-1.995E1)); +#11965=LINE('',#11964,#11963); +#11966=DIRECTION('',(-1.735747513456E-1,-9.848206972314E-1,0.E0)); +#11967=VECTOR('',#11966,1.728362798883E-1); +#11968=CARTESIAN_POINT('',(-5.3E1,2.976808457375E0,-1.995E1)); +#11969=LINE('',#11968,#11967); +#11970=DIRECTION('',(-3.672173603792E-1,-9.301351569724E-1,0.E0)); +#11971=VECTOR('',#11970,1.143736737449E0); +#11972=CARTESIAN_POINT('',(-5.303000001431E1,2.806595711708E0,-1.995E1)); +#11973=LINE('',#11972,#11971); +#11974=DIRECTION('',(0.E0,1.E0,0.E0)); +#11975=VECTOR('',#11974,2.127659320831E-1); +#11976=CARTESIAN_POINT('',(-5.225000002861E1,3.317234067917E0,-1.995E1)); +#11977=LINE('',#11976,#11975); +#11978=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11979=VECTOR('',#11978,5.399999570847E-1); +#11980=CARTESIAN_POINT('',(-5.225000002861E1,3.53E0,-1.995E1)); +#11981=LINE('',#11980,#11979); +#11982=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11983=VECTOR('',#11982,2.127659320831E-1); +#11984=CARTESIAN_POINT('',(-5.278999998569E1,3.53E0,-1.995E1)); +#11985=LINE('',#11984,#11983); +#11986=DIRECTION('',(1.E0,0.E0,0.E0)); +#11987=VECTOR('',#11986,4.499999570846E-1); +#11988=CARTESIAN_POINT('',(-5.278999998569E1,3.317234067917E0,-1.995E1)); +#11989=LINE('',#11988,#11987); +#11990=DIRECTION('',(-1.655411793579E-1,-9.862028786902E-1,0.E0)); +#11991=VECTOR('',#11990,1.812237731744E0); +#11992=CARTESIAN_POINT('',(-5.234000002861E1,3.317234067917E0,-1.995E1)); +#11993=LINE('',#11992,#11991); +#11994=DIRECTION('',(1.E0,0.E0,0.E0)); +#11995=VECTOR('',#11994,8.999999999998E-2); +#11996=CARTESIAN_POINT('',(-5.264E1,1.53E0,-1.995E1)); +#11997=LINE('',#11996,#11995); +#11998=DIRECTION('',(1.655411793579E-1,9.862028786902E-1,0.E0)); +#11999=VECTOR('',#11998,1.812237731744E0); +#12000=CARTESIAN_POINT('',(-5.255E1,1.53E0,-1.995E1)); +#12001=LINE('',#12000,#11999); +#12002=DIRECTION('',(0.E0,1.E0,0.E0)); +#12003=VECTOR('',#12002,1.7E0); +#12004=CARTESIAN_POINT('',(6.198E0,-1.47E0,-1.995E1)); +#12005=LINE('',#12004,#12003); +#12006=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12007=VECTOR('',#12006,1.19E-1); +#12008=CARTESIAN_POINT('',(6.198E0,2.3E-1,-1.995E1)); +#12009=LINE('',#12008,#12007); +#12010=DIRECTION('',(-4.253611813549E-1,-9.050236822296E-1,0.E0)); +#12011=VECTOR('',#12010,2.797622472764E-1); +#12012=CARTESIAN_POINT('',(6.079E0,2.3E-1,-1.995E1)); +#12013=LINE('',#12012,#12011); +#12014=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12015=VECTOR('',#12014,2.893617689610E-1); +#12016=CARTESIAN_POINT('',(5.96E0,-2.319145917892E-2,-1.995E1)); +#12017=LINE('',#12016,#12015); +#12018=DIRECTION('',(4.253610419245E-1,9.050237477619E-1,0.E0)); +#12019=VECTOR('',#12018,2.797623389806E-1); +#12020=CARTESIAN_POINT('',(5.96E0,-3.125532281399E-1,-1.995E1)); +#12021=LINE('',#12020,#12019); +#12022=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12023=VECTOR('',#12022,1.410638332367E0); +#12024=CARTESIAN_POINT('',(6.079E0,-5.936166763306E-2,-1.995E1)); +#12025=LINE('',#12024,#12023); +#12026=DIRECTION('',(1.E0,0.E0,0.E0)); +#12027=VECTOR('',#12026,1.19E-1); +#12028=CARTESIAN_POINT('',(6.079E0,-1.47E0,-1.995E1)); +#12029=LINE('',#12028,#12027); +#12030=DIRECTION('',(-4.381350225783E-1,-8.989091733820E-1,0.E0)); +#12031=VECTOR('',#12030,1.086423143192E0); +#12032=CARTESIAN_POINT('',(6.832666685581E0,2.3E-1,-1.995E1)); +#12033=LINE('',#12032,#12031); +#12034=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12035=VECTOR('',#12034,2.170213013887E-1); +#12036=CARTESIAN_POINT('',(6.356666657209E0,-7.465957295895E-1,-1.995E1)); +#12037=LINE('',#12036,#12035); +#12038=DIRECTION('',(1.E0,0.E0,0.E0)); +#12039=VECTOR('',#12038,4.760000283718E-1); +#12040=CARTESIAN_POINT('',(6.356666657209E0,-9.636170309782E-1,-1.995E1)); +#12041=LINE('',#12040,#12039); +#12042=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12043=VECTOR('',#12042,5.063829690218E-1); +#12044=CARTESIAN_POINT('',(6.832666685581E0,-9.636170309782E-1,-1.995E1)); +#12045=LINE('',#12044,#12043); +#12046=DIRECTION('',(1.E0,0.E0,0.E0)); +#12047=VECTOR('',#12046,1.189999432564E-1); +#12048=CARTESIAN_POINT('',(6.832666685581E0,-1.47E0,-1.995E1)); +#12049=LINE('',#12048,#12047); +#12050=DIRECTION('',(0.E0,1.E0,0.E0)); +#12051=VECTOR('',#12050,5.063829690218E-1); +#12052=CARTESIAN_POINT('',(6.951666628838E0,-1.47E0,-1.995E1)); +#12053=LINE('',#12052,#12051); +#12054=DIRECTION('',(1.E0,0.E0,0.E0)); +#12055=VECTOR('',#12054,1.983333711624E-1); +#12056=CARTESIAN_POINT('',(6.951666628838E0,-9.636170309782E-1,-1.995E1)); +#12057=LINE('',#12056,#12055); +#12058=DIRECTION('',(1.227775910498E-14,1.E0,0.E0)); +#12059=VECTOR('',#12058,2.170213013887E-1); +#12060=CARTESIAN_POINT('',(7.15E0,-9.636170309782E-1,-1.995E1)); +#12061=LINE('',#12060,#12059); +#12062=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12063=VECTOR('',#12062,1.983333711624E-1); +#12064=CARTESIAN_POINT('',(7.15E0,-7.465957295895E-1,-1.995E1)); +#12065=LINE('',#12064,#12063); +#12066=DIRECTION('',(0.E0,1.E0,0.E0)); +#12067=VECTOR('',#12066,9.765957295895E-1); +#12068=CARTESIAN_POINT('',(6.951666628838E0,-7.465957295895E-1,-1.995E1)); +#12069=LINE('',#12068,#12067); +#12070=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12071=VECTOR('',#12070,1.189999432564E-1); +#12072=CARTESIAN_POINT('',(6.951666628838E0,2.3E-1,-1.995E1)); +#12073=LINE('',#12072,#12071); +#12074=DIRECTION('',(4.404597354316E-1,8.977723661728E-1,0.E0)); +#12075=VECTOR('',#12074,7.654880990446E-1); +#12076=CARTESIAN_POINT('',(6.4955E0,-7.465957295895E-1,-1.995E1)); +#12077=LINE('',#12076,#12075); +#12078=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12079=VECTOR('',#12078,6.872340619564E-1); +#12080=CARTESIAN_POINT('',(6.832666685581E0,-5.936166763306E-2,-1.995E1)); +#12081=LINE('',#12080,#12079); +#12082=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12083=VECTOR('',#12082,3.371666855812E-1); +#12084=CARTESIAN_POINT('',(6.832666685581E0,-7.465957295895E-1,-1.995E1)); +#12085=LINE('',#12084,#12083); +#12086=DIRECTION('',(1.669775394202E-14,-1.E0,0.E0)); +#12087=VECTOR('',#12086,2.127659618855E-1); +#12088=CARTESIAN_POINT('',(9.9E0,7.427659618855E-1,-1.995E1)); +#12089=LINE('',#12088,#12087); +#12090=DIRECTION('',(1.E0,0.E0,0.E0)); +#12091=VECTOR('',#12090,5.7E-1); +#12092=CARTESIAN_POINT('',(9.9E0,5.3E-1,-1.995E1)); +#12093=LINE('',#12092,#12091); +#12094=DIRECTION('',(-1.669775394202E-14,1.E0,0.E0)); +#12095=VECTOR('',#12094,2.127659618855E-1); +#12096=CARTESIAN_POINT('',(1.047E1,5.3E-1,-1.995E1)); +#12097=LINE('',#12096,#12095); +#12098=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12099=VECTOR('',#12098,4.433333295584E-1); +#12100=CARTESIAN_POINT('',(1.047E1,7.427659618855E-1,-1.995E1)); +#12101=LINE('',#12100,#12099); +#12102=DIRECTION('',(3.894408122157E-1,9.210514935555E-1,0.E0)); +#12103=VECTOR('',#12102,1.016414605614E0); +#12104=CARTESIAN_POINT('',(1.002666667044E1,7.427659618855E-1,-1.995E1)); +#12105=LINE('',#12104,#12103); +#12106=DIRECTION('',(2.407591198446E-1,9.705848990231E-1,0.E0)); +#12107=VECTOR('',#12106,1.315284828544E-1); +#12108=CARTESIAN_POINT('',(1.04225E1,1.678936152458E0,-1.995E1)); +#12109=LINE('',#12108,#12107); +#12110=DIRECTION('',(9.262090092918E-2,9.957014455704E-1,0.E0)); +#12111=VECTOR('',#12110,1.709475731141E-1); +#12112=CARTESIAN_POINT('',(1.045416668177E1,1.806595711708E0,-1.995E1)); +#12113=LINE('',#12112,#12111); +#12114=DIRECTION('',(0.E0,1.E0,0.E0)); +#12115=VECTOR('',#12114,8.510649204254E-2); +#12116=CARTESIAN_POINT('',(1.047E1,1.976808457375E0,-1.995E1)); +#12117=LINE('',#12116,#12115); +#12118=DIRECTION('',(-9.262090092919E-2,9.957014455704E-1,0.E0)); +#12119=VECTOR('',#12118,1.709475731141E-1); +#12120=CARTESIAN_POINT('',(1.047E1,2.061914949417E0,-1.995E1)); +#12121=LINE('',#12120,#12119); +#12122=DIRECTION('',(-3.487259174173E-1,9.372247513385E-1,0.E0)); +#12123=VECTOR('',#12122,1.816135835331E-1); +#12124=CARTESIAN_POINT('',(1.045416668177E1,2.232127695084E0,-1.995E1)); +#12125=LINE('',#12124,#12123); +#12126=DIRECTION('',(-5.970007175684E-1,8.022407015496E-1,0.E0)); +#12127=VECTOR('',#12126,1.060858326795E-1); +#12128=CARTESIAN_POINT('',(1.039083331823E1,2.402340440750E0,-1.995E1)); +#12129=LINE('',#12128,#12127); +#12130=DIRECTION('',(-9.126276922004E-1,4.087917506861E-1,0.E0)); +#12131=VECTOR('',#12130,1.040950223316E-1); +#12132=CARTESIAN_POINT('',(1.03275E1,2.487446813583E0,-1.995E1)); +#12133=LINE('',#12132,#12131); +#12134=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12135=VECTOR('',#12134,6.333334088325E-2); +#12136=CARTESIAN_POINT('',(1.02325E1,2.53E0,-1.995E1)); +#12137=LINE('',#12136,#12135); +#12138=DIRECTION('',(-9.335571548533E-1,-3.584285683678E-1,0.E0)); +#12139=VECTOR('',#12138,1.187215254922E-1); +#12140=CARTESIAN_POINT('',(1.016916665912E1,2.53E0,-1.995E1)); +#12141=LINE('',#12140,#12139); +#12142=DIRECTION('',(-5.970007862730E-1,-8.022406504219E-1,0.E0)); +#12143=VECTOR('',#12142,1.060858394404E-1); +#12144=CARTESIAN_POINT('',(1.005833332956E1,2.487446813583E0,-1.995E1)); +#12145=LINE('',#12144,#12143); +#12146=DIRECTION('',(-3.487257667893E-1,-9.372248073847E-1,0.E0)); +#12147=VECTOR('',#12146,1.816135726726E-1); +#12148=CARTESIAN_POINT('',(9.995E0,2.402340440750E0,-1.995E1)); +#12149=LINE('',#12148,#12147); +#12150=DIRECTION('',(-9.262099123834E-2,-9.957014371698E-1,0.E0)); +#12151=VECTOR('',#12150,1.709475745564E-1); +#12152=CARTESIAN_POINT('',(9.931666667610E0,2.232127695084E0,-1.995E1)); +#12153=LINE('',#12152,#12151); +#12154=DIRECTION('',(1.E0,0.E0,0.E0)); +#12155=VECTOR('',#12154,7.916666619480E-2); +#12156=CARTESIAN_POINT('',(9.915833333805E0,2.061914949417E0,-1.995E1)); +#12157=LINE('',#12156,#12155); +#12158=DIRECTION('',(2.407590387333E-1,9.705849191432E-1,0.E0)); +#12159=VECTOR('',#12158,1.315284801278E-1); +#12160=CARTESIAN_POINT('',(9.995E0,2.061914949417E0,-1.995E1)); +#12161=LINE('',#12160,#12159); +#12162=DIRECTION('',(4.873565262817E-1,8.732030784936E-1,0.E0)); +#12163=VECTOR('',#12162,9.746458175579E-2); +#12164=CARTESIAN_POINT('',(1.002666667044E1,2.189574508667E0,-1.995E1)); +#12165=LINE('',#12164,#12163); +#12166=DIRECTION('',(8.808192882656E-1,4.734526179242E-1,0.E0)); +#12167=VECTOR('',#12166,8.987844782272E-2); +#12168=CARTESIAN_POINT('',(1.007416667044E1,2.274680881500E0,-1.995E1)); +#12169=LINE('',#12168,#12167); +#12170=DIRECTION('',(1.E0,0.E0,0.E0)); +#12171=VECTOR('',#12170,6.333331823349E-2); +#12172=CARTESIAN_POINT('',(1.015333334088E1,2.317234067917E0,-1.995E1)); +#12173=LINE('',#12172,#12171); +#12174=DIRECTION('',(8.808192600213E-1,-4.734526704704E-1,0.E0)); +#12175=VECTOR('',#12174,8.987843784755E-2); +#12176=CARTESIAN_POINT('',(1.021666665912E1,2.317234067917E0,-1.995E1)); +#12177=LINE('',#12176,#12175); +#12178=DIRECTION('',(4.873565262817E-1,-8.732030784936E-1,0.E0)); +#12179=VECTOR('',#12178,9.746458175580E-2); +#12180=CARTESIAN_POINT('',(1.029583331823E1,2.274680881500E0,-1.995E1)); +#12181=LINE('',#12180,#12179); +#12182=DIRECTION('',(2.407591198446E-1,-9.705848990231E-1,0.E0)); +#12183=VECTOR('',#12182,1.315284828544E-1); +#12184=CARTESIAN_POINT('',(1.034333331823E1,2.189574508667E0,-1.995E1)); +#12185=LINE('',#12184,#12183); +#12186=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12187=VECTOR('',#12186,8.510649204255E-2); +#12188=CARTESIAN_POINT('',(1.0375E1,2.061914949417E0,-1.995E1)); +#12189=LINE('',#12188,#12187); +#12190=DIRECTION('',(-1.829034176770E-1,-9.831308864043E-1,0.E0)); +#12191=VECTOR('',#12190,1.731333518460E-1); +#12192=CARTESIAN_POINT('',(1.0375E1,1.976808457375E0,-1.995E1)); +#12193=LINE('',#12192,#12191); +#12194=DIRECTION('',(-3.846678182554E-1,-9.230550739791E-1,0.E0)); +#12195=VECTOR('',#12194,1.152509508709E0); +#12196=CARTESIAN_POINT('',(1.034333331823E1,1.806595711708E0,-1.995E1)); +#12197=LINE('',#12196,#12195); +#12198=DIRECTION('',(-3.487257713538E-1,9.372248056863E-1,0.E0)); +#12199=VECTOR('',#12198,1.362101797513E-1); +#12200=CARTESIAN_POINT('',(1.1135E1,1.508723406792E0,-1.995E1)); +#12201=LINE('',#12200,#12199); +#12202=DIRECTION('',(-5.270248269278E-1,8.498498878048E-1,0.E0)); +#12203=VECTOR('',#12202,1.502142449882E-1); +#12204=CARTESIAN_POINT('',(1.10875E1,1.636382966042E0,-1.995E1)); +#12205=LINE('',#12204,#12203); +#12206=DIRECTION('',(-8.808194294871E-1,4.734523551932E-1,0.E0)); +#12207=VECTOR('',#12206,8.987849769860E-2); +#12208=CARTESIAN_POINT('',(1.100833336353E1,1.764042525291E0,-1.995E1)); +#12209=LINE('',#12208,#12207); +#12210=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12211=VECTOR('',#12210,7.916663646698E-2); +#12212=CARTESIAN_POINT('',(1.092916663647E1,1.806595711708E0,-1.995E1)); +#12213=LINE('',#12212,#12211); +#12214=DIRECTION('',(-8.808192035326E-1,-4.734527755629E-1,0.E0)); +#12215=VECTOR('',#12214,8.987841789719E-2); +#12216=CARTESIAN_POINT('',(1.085E1,1.806595711708E0,-1.995E1)); +#12217=LINE('',#12216,#12215); +#12218=DIRECTION('',(-4.873565262817E-1,-8.732030784936E-1,0.E0)); +#12219=VECTOR('',#12218,1.949291635116E-1); +#12220=CARTESIAN_POINT('',(1.077083336353E1,1.764042525291E0,-1.995E1)); +#12221=LINE('',#12220,#12219); +#12222=DIRECTION('',(0.E0,1.E0,0.E0)); +#12223=VECTOR('',#12222,8.510637283325E-2); +#12224=CARTESIAN_POINT('',(1.067583336353E1,1.593829779625E0,-1.995E1)); +#12225=LINE('',#12224,#12223); +#12226=DIRECTION('',(6.189475976792E-2,9.980826813011E-1,0.E0)); +#12227=VECTOR('',#12226,2.558095870042E-1); +#12228=CARTESIAN_POINT('',(1.067583336353E1,1.678936152458E0,-1.995E1)); +#12229=LINE('',#12228,#12227); +#12230=DIRECTION('',(1.230848241314E-1,9.923961537957E-1,0.E0)); +#12231=VECTOR('',#12230,1.286378206636E-1); +#12232=CARTESIAN_POINT('',(1.069166663647E1,1.934255270958E0,-1.995E1)); +#12233=LINE('',#12232,#12231); +#12234=DIRECTION('',(2.407587953996E-1,9.705849795035E-1,0.E0)); +#12235=VECTOR('',#12234,1.315284719481E-1); +#12236=CARTESIAN_POINT('',(1.07075E1,2.061914949417E0,-1.995E1)); +#12237=LINE('',#12236,#12235); +#12238=DIRECTION('',(4.873565262817E-1,8.732030784936E-1,0.E0)); +#12239=VECTOR('',#12238,9.746458175580E-2); +#12240=CARTESIAN_POINT('',(1.073916663647E1,2.189574508667E0,-1.995E1)); +#12241=LINE('',#12240,#12239); +#12242=DIRECTION('',(7.448267392394E-1,6.672579175356E-1,0.E0)); +#12243=VECTOR('',#12242,6.377322066673E-2); +#12244=CARTESIAN_POINT('',(1.078666663647E1,2.274680881500E0,-1.995E1)); +#12245=LINE('',#12244,#12243); +#12246=DIRECTION('',(1.E0,0.E0,0.E0)); +#12247=VECTOR('',#12246,9.5E-2); +#12248=CARTESIAN_POINT('',(1.083416663647E1,2.317234067917E0,-1.995E1)); +#12249=LINE('',#12248,#12247); +#12250=DIRECTION('',(7.448267392394E-1,-6.672579175356E-1,0.E0)); +#12251=VECTOR('',#12250,6.377322066673E-2); +#12252=CARTESIAN_POINT('',(1.092916663647E1,2.317234067917E0,-1.995E1)); +#12253=LINE('',#12252,#12251); +#12254=DIRECTION('',(4.873565262816E-1,-8.732030784936E-1,0.E0)); +#12255=VECTOR('',#12254,9.746458175580E-2); +#12256=CARTESIAN_POINT('',(1.097666663647E1,2.274680881500E0,-1.995E1)); +#12257=LINE('',#12256,#12255); +#12258=DIRECTION('',(2.407594442894E-1,-9.705848185426E-1,0.E0)); +#12259=VECTOR('',#12258,1.315284937607E-1); +#12260=CARTESIAN_POINT('',(1.102416663647E1,2.189574508667E0,-1.995E1)); +#12261=LINE('',#12260,#12259); +#12262=DIRECTION('',(1.E0,0.E0,0.E0)); +#12263=VECTOR('',#12262,7.916663646698E-2); +#12264=CARTESIAN_POINT('',(1.105583336353E1,2.061914949417E0,-1.995E1)); +#12265=LINE('',#12264,#12263); +#12266=DIRECTION('',(-1.230849373275E-1,9.923961397562E-1,0.E0)); +#12267=VECTOR('',#12266,1.286377023607E-1); +#12268=CARTESIAN_POINT('',(1.1135E1,2.061914949417E0,-1.995E1)); +#12269=LINE('',#12268,#12267); +#12270=DIRECTION('',(-2.687925033182E-1,9.631981053552E-1,0.E0)); +#12271=VECTOR('',#12270,1.767162380409E-1); +#12272=CARTESIAN_POINT('',(1.111916663647E1,2.189574508667E0,-1.995E1)); +#12273=LINE('',#12272,#12271); +#12274=DIRECTION('',(-5.270248269278E-1,8.498498878047E-1,0.E0)); +#12275=VECTOR('',#12274,1.502142449882E-1); +#12276=CARTESIAN_POINT('',(1.107166663647E1,2.359787254333E0,-1.995E1)); +#12277=LINE('',#12276,#12275); +#12278=DIRECTION('',(-8.808192035326E-1,4.734527755629E-1,0.E0)); +#12279=VECTOR('',#12278,8.987841789720E-2); +#12280=CARTESIAN_POINT('',(1.09925E1,2.487446813583E0,-1.995E1)); +#12281=LINE('',#12280,#12279); +#12282=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12283=VECTOR('',#12282,6.333336353302E-2); +#12284=CARTESIAN_POINT('',(1.091333336353E1,2.53E0,-1.995E1)); +#12285=LINE('',#12284,#12283); +#12286=DIRECTION('',(-8.808192035326E-1,-4.734527755628E-1,0.E0)); +#12287=VECTOR('',#12286,8.987841789720E-2); +#12288=CARTESIAN_POINT('',(1.085E1,2.53E0,-1.995E1)); +#12289=LINE('',#12288,#12287); +#12290=DIRECTION('',(-5.270252625367E-1,-8.498496176666E-1,0.E0)); +#12291=VECTOR('',#12290,1.502142927361E-1); +#12292=CARTESIAN_POINT('',(1.077083336353E1,2.487446813583E0,-1.995E1)); +#12293=LINE('',#12292,#12291); +#12294=DIRECTION('',(-2.687922654982E-1,-9.631981717219E-1,0.E0)); +#12295=VECTOR('',#12294,1.767162258647E-1); +#12296=CARTESIAN_POINT('',(1.069166663647E1,2.359787254334E0,-1.995E1)); +#12297=LINE('',#12296,#12295); +#12298=DIRECTION('',(-1.472117983334E-1,-9.891049926228E-1,0.E0)); +#12299=VECTOR('',#12298,2.151096727641E-1); +#12300=CARTESIAN_POINT('',(1.064416668177E1,2.189574508667E0,-1.995E1)); +#12301=LINE('',#12300,#12299); +#12302=DIRECTION('',(-5.307978399366E-2,-9.985902746027E-1,0.E0)); +#12303=VECTOR('',#12302,2.982928158747E-1); +#12304=CARTESIAN_POINT('',(1.06125E1,1.976808457375E0,-1.995E1)); +#12305=LINE('',#12304,#12303); +#12306=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12307=VECTOR('',#12306,2.978723049164E-1); +#12308=CARTESIAN_POINT('',(1.059666668177E1,1.678936152458E0,-1.995E1)); +#12309=LINE('',#12308,#12307); +#12310=DIRECTION('',(5.307977340225E-2,-9.985902751657E-1,0.E0)); +#12311=VECTOR('',#12310,2.982928753954E-1); +#12312=CARTESIAN_POINT('',(1.059666668177E1,1.381063847542E0,-1.995E1)); +#12313=LINE('',#12312,#12311); +#12314=DIRECTION('',(1.472118588531E-1,-9.891049836155E-1,0.E0)); +#12315=VECTOR('',#12314,2.151095843312E-1); +#12316=CARTESIAN_POINT('',(1.06125E1,1.083191483021E0,-1.995E1)); +#12317=LINE('',#12316,#12315); +#12318=DIRECTION('',(2.687922436671E-1,-9.631981778141E-1,0.E0)); +#12319=VECTOR('',#12318,1.767162402175E-1); +#12320=CARTESIAN_POINT('',(1.064416668177E1,8.704255211353E-1,-1.995E1)); +#12321=LINE('',#12320,#12319); +#12322=DIRECTION('',(5.270252292138E-1,-8.498496383315E-1,0.E0)); +#12323=VECTOR('',#12322,1.502143022340E-1); +#12324=CARTESIAN_POINT('',(1.069166663647E1,7.002127605677E-1,-1.995E1)); +#12325=LINE('',#12324,#12323); +#12326=DIRECTION('',(8.808191862477E-1,-4.734528077201E-1,0.E0)); +#12327=VECTOR('',#12326,8.987841966095E-2); +#12328=CARTESIAN_POINT('',(1.077083336353E1,5.725531901419E-1,-1.995E1)); +#12329=LINE('',#12328,#12327); +#12330=DIRECTION('',(1.E0,0.E0,0.E0)); +#12331=VECTOR('',#12330,7.916663646698E-2); +#12332=CARTESIAN_POINT('',(1.085E1,5.3E-1,-1.995E1)); +#12333=LINE('',#12332,#12331); +#12334=DIRECTION('',(8.808194122022E-1,4.734523873504E-1,0.E0)); +#12335=VECTOR('',#12334,8.987849946235E-2); +#12336=CARTESIAN_POINT('',(1.092916663647E1,5.3E-1,-1.995E1)); +#12337=LINE('',#12336,#12335); +#12338=DIRECTION('',(5.270247936048E-1,8.498499084696E-1,0.E0)); +#12339=VECTOR('',#12338,1.502142544860E-1); +#12340=CARTESIAN_POINT('',(1.100833336353E1,5.725531901419E-1,-1.995E1)); +#12341=LINE('',#12340,#12339); +#12342=DIRECTION('',(2.687924814871E-1,9.631981114475E-1,0.E0)); +#12343=VECTOR('',#12342,1.767162523937E-1); +#12344=CARTESIAN_POINT('',(1.10875E1,7.002127605677E-1,-1.995E1)); +#12345=LINE('',#12344,#12343); +#12346=DIRECTION('',(1.472116528286E-1,9.891050142788E-1,0.E0)); +#12347=VECTOR('',#12346,2.151095776626E-1); +#12348=CARTESIAN_POINT('',(1.1135E1,8.704255211353E-1,-1.995E1)); +#12349=LINE('',#12348,#12347); +#12350=DIRECTION('',(0.E0,1.E0,0.E0)); +#12351=VECTOR('',#12350,2.127659916878E-1); +#12352=CARTESIAN_POINT('',(1.116666663647E1,1.083191483021E0,-1.995E1)); +#12353=LINE('',#12352,#12351); +#12354=DIRECTION('',(-1.472116730019E-1,9.891050112764E-1,0.E0)); +#12355=VECTOR('',#12354,2.151095481850E-1); +#12356=CARTESIAN_POINT('',(1.116666663647E1,1.295957474709E0,-1.995E1)); +#12357=LINE('',#12356,#12355); +#12358=DIRECTION('',(1.230848807294E-1,-9.923961467760E-1,0.E0)); +#12359=VECTOR('',#12358,1.286377615121E-1); +#12360=CARTESIAN_POINT('',(1.107166663647E1,1.381063847542E0,-1.995E1)); +#12361=LINE('',#12360,#12359); +#12362=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12363=VECTOR('',#12362,1.276595592499E-1); +#12364=CARTESIAN_POINT('',(1.10875E1,1.253404228687E0,-1.995E1)); +#12365=LINE('',#12364,#12363); +#12366=DIRECTION('',(-1.230849373275E-1,-9.923961397562E-1,0.E0)); +#12367=VECTOR('',#12366,1.286377023607E-1); +#12368=CARTESIAN_POINT('',(1.10875E1,1.125744669437E0,-1.995E1)); +#12369=LINE('',#12368,#12367); +#12370=DIRECTION('',(-2.407587424520E-1,-9.705849926374E-1,0.E0)); +#12371=VECTOR('',#12370,1.315285008738E-1); +#12372=CARTESIAN_POINT('',(1.107166663647E1,9.980851101875E-1,-1.995E1)); +#12373=LINE('',#12372,#12371); +#12374=DIRECTION('',(-4.873565262817E-1,-8.732030784936E-1,0.E0)); +#12375=VECTOR('',#12374,9.746458175579E-2); +#12376=CARTESIAN_POINT('',(1.104E1,8.704255211353E-1,-1.995E1)); +#12377=LINE('',#12376,#12375); +#12378=DIRECTION('',(-7.448267392394E-1,-6.672579175356E-1,0.E0)); +#12379=VECTOR('',#12378,6.377322066674E-2); +#12380=CARTESIAN_POINT('',(1.09925E1,7.853191483021E-1,-1.995E1)); +#12381=LINE('',#12380,#12379); +#12382=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12383=VECTOR('',#12382,1.108333635330E-1); +#12384=CARTESIAN_POINT('',(1.0945E1,7.427659618855E-1,-1.995E1)); +#12385=LINE('',#12384,#12383); +#12386=DIRECTION('',(-7.448267392394E-1,6.672579175356E-1,0.E0)); +#12387=VECTOR('',#12386,6.377322066673E-2); +#12388=CARTESIAN_POINT('',(1.083416663647E1,7.427659618855E-1,-1.995E1)); +#12389=LINE('',#12388,#12387); +#12390=DIRECTION('',(-4.873565262817E-1,8.732030784936E-1,0.E0)); +#12391=VECTOR('',#12390,9.746458175580E-2); +#12392=CARTESIAN_POINT('',(1.078666663647E1,7.853191483021E-1,-1.995E1)); +#12393=LINE('',#12392,#12391); +#12394=DIRECTION('',(-2.407587424521E-1,9.705849926374E-1,0.E0)); +#12395=VECTOR('',#12394,1.315285008738E-1); +#12396=CARTESIAN_POINT('',(1.073916663647E1,8.704255211353E-1,-1.995E1)); +#12397=LINE('',#12396,#12395); +#12398=DIRECTION('',(-1.230849373275E-1,9.923961397562E-1,0.E0)); +#12399=VECTOR('',#12398,1.286377023607E-1); +#12400=CARTESIAN_POINT('',(1.07075E1,9.980851101875E-1,-1.995E1)); +#12401=LINE('',#12400,#12399); +#12402=DIRECTION('',(0.E0,1.E0,0.E0)); +#12403=VECTOR('',#12402,1.276595592499E-1); +#12404=CARTESIAN_POINT('',(1.069166663647E1,1.125744669437E0,-1.995E1)); +#12405=LINE('',#12404,#12403); +#12406=DIRECTION('',(1.230848807294E-1,9.923961467760E-1,0.E0)); +#12407=VECTOR('',#12406,1.286377615121E-1); +#12408=CARTESIAN_POINT('',(1.069166663647E1,1.253404228687E0,-1.995E1)); +#12409=LINE('',#12408,#12407); +#12410=DIRECTION('',(3.487254792267E-1,9.372249143819E-1,0.E0)); +#12411=VECTOR('',#12410,9.080677596944E-2); +#12412=CARTESIAN_POINT('',(1.07075E1,1.381063847542E0,-1.995E1)); +#12413=LINE('',#12412,#12411); +#12414=DIRECTION('',(4.873565262817E-1,8.732030784936E-1,0.E0)); +#12415=VECTOR('',#12414,9.746458175580E-2); +#12416=CARTESIAN_POINT('',(1.073916663647E1,1.466170220375E0,-1.995E1)); +#12417=LINE('',#12416,#12415); +#12418=DIRECTION('',(7.448267392394E-1,6.672579175356E-1,0.E0)); +#12419=VECTOR('',#12418,6.377322066673E-2); +#12420=CARTESIAN_POINT('',(1.078666663647E1,1.551276593208E0,-1.995E1)); +#12421=LINE('',#12420,#12419); +#12422=DIRECTION('',(1.E0,0.E0,0.E0)); +#12423=VECTOR('',#12422,1.108333635330E-1); +#12424=CARTESIAN_POINT('',(1.083416663647E1,1.593829779625E0,-1.995E1)); +#12425=LINE('',#12424,#12423); +#12426=DIRECTION('',(7.448267392394E-1,-6.672579175356E-1,0.E0)); +#12427=VECTOR('',#12426,6.377322066674E-2); +#12428=CARTESIAN_POINT('',(1.0945E1,1.593829779625E0,-1.995E1)); +#12429=LINE('',#12428,#12427); +#12430=DIRECTION('',(4.873565262817E-1,-8.732030784936E-1,0.E0)); +#12431=VECTOR('',#12430,9.746458175580E-2); +#12432=CARTESIAN_POINT('',(1.09925E1,1.551276593208E0,-1.995E1)); +#12433=LINE('',#12432,#12431); +#12434=DIRECTION('',(3.487254792268E-1,-9.372249143819E-1,0.E0)); +#12435=VECTOR('',#12434,9.080677596944E-2); +#12436=CARTESIAN_POINT('',(1.104E1,1.466170220375E0,-1.995E1)); +#12437=LINE('',#12436,#12435); +#12438=DIRECTION('',(5.310142169335E-1,8.473629101008E-1,0.E0)); +#12439=VECTOR('',#12438,1.280568503399E-1); +#12440=CARTESIAN_POINT('',(2.371899999595E1,-8.054255211353E-1,-1.995E1)); +#12441=LINE('',#12440,#12439); +#12442=DIRECTION('',(8.828724008046E-1,4.696129511603E-1,0.E0)); +#12443=VECTOR('',#12442,7.702131801256E-2); +#12444=CARTESIAN_POINT('',(2.378700000405E1,-6.969148957729E-1,-1.995E1)); +#12445=LINE('',#12444,#12443); +#12446=DIRECTION('',(1.E0,0.E0,0.E0)); +#12447=VECTOR('',#12446,1.02E-1); +#12448=CARTESIAN_POINT('',(2.3855E1,-6.607446873188E-1,-1.995E1)); +#12449=LINE('',#12448,#12447); +#12450=DIRECTION('',(8.828723659886E-1,-4.696130166145E-1,0.E0)); +#12451=VECTOR('',#12450,7.702130727740E-2); +#12452=CARTESIAN_POINT('',(2.3957E1,-6.607446873188E-1,-1.995E1)); +#12453=LINE('',#12452,#12451); +#12454=DIRECTION('',(5.762018191298E-1,-8.173074474343E-1,0.E0)); +#12455=VECTOR('',#12454,8.851065426525E-2); +#12456=CARTESIAN_POINT('',(2.402499998379E1,-6.969148957729E-1,-1.995E1)); +#12457=LINE('',#12456,#12455); +#12458=DIRECTION('',(2.989995322752E-1,-9.542532576309E-1,0.E0)); +#12459=VECTOR('',#12458,1.137126066845E-1); +#12460=CARTESIAN_POINT('',(2.407599998379E1,-7.692553126812E-1,-1.995E1)); +#12461=LINE('',#12460,#12459); +#12462=DIRECTION('',(1.547785059663E-1,-9.879491961082E-1,0.E0)); +#12463=VECTOR('',#12462,1.098342672415E-1); +#12464=CARTESIAN_POINT('',(2.411E1,-8.777659380436E-1,-1.995E1)); +#12465=LINE('',#12464,#12463); +#12466=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12467=VECTOR('',#12466,7.234041690825E-2); +#12468=CARTESIAN_POINT('',(2.412699998379E1,-9.862766140699E-1,-1.995E1)); +#12469=LINE('',#12468,#12467); +#12470=DIRECTION('',(-1.547785765016E-1,-9.879491850577E-1,0.E0)); +#12471=VECTOR('',#12470,1.098342171881E-1); +#12472=CARTESIAN_POINT('',(2.412699998379E1,-1.058617030978E0,-1.995E1)); +#12473=LINE('',#12472,#12471); +#12474=DIRECTION('',(-2.989994687137E-1,-9.542532775469E-1,0.E0)); +#12475=VECTOR('',#12474,1.137126308576E-1); +#12476=CARTESIAN_POINT('',(2.411E1,-1.167127656341E0,-1.995E1)); +#12477=LINE('',#12476,#12475); +#12478=DIRECTION('',(-5.762018191298E-1,-8.173074474343E-1,0.E0)); +#12479=VECTOR('',#12478,8.851065426525E-2); +#12480=CARTESIAN_POINT('',(2.407599998379E1,-1.275638307035E0,-1.995E1)); +#12481=LINE('',#12480,#12479); +#12482=DIRECTION('',(-8.828723659886E-1,-4.696130166145E-1,0.E0)); +#12483=VECTOR('',#12482,7.702130727741E-2); +#12484=CARTESIAN_POINT('',(2.402499998379E1,-1.347978723943E0,-1.995E1)); +#12485=LINE('',#12484,#12483); +#12486=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12487=VECTOR('',#12486,1.02E-1); +#12488=CARTESIAN_POINT('',(2.3957E1,-1.384148932397E0,-1.995E1)); +#12489=LINE('',#12488,#12487); +#12490=DIRECTION('',(-8.828724008046E-1,4.696129511603E-1,0.E0)); +#12491=VECTOR('',#12490,7.702131801256E-2); +#12492=CARTESIAN_POINT('',(2.3855E1,-1.384148932397E0,-1.995E1)); +#12493=LINE('',#12492,#12491); +#12494=DIRECTION('',(-5.762018191297E-1,8.173074474343E-1,0.E0)); +#12495=VECTOR('',#12494,8.851065426524E-2); +#12496=CARTESIAN_POINT('',(2.378700000405E1,-1.347978723943E0,-1.995E1)); +#12497=LINE('',#12496,#12495); +#12498=DIRECTION('',(-2.287680405857E-1,9.734809621182E-1,0.E0)); +#12499=VECTOR('',#12498,1.486221762711E-1); +#12500=CARTESIAN_POINT('',(2.373600000405E1,-1.275638307035E0,-1.995E1)); +#12501=LINE('',#12500,#12499); +#12502=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12503=VECTOR('',#12502,1.02E-1); +#12504=CARTESIAN_POINT('',(2.3702E1,-1.130957447886E0,-1.995E1)); +#12505=LINE('',#12504,#12503); +#12506=DIRECTION('',(2.287680212026E-1,-9.734809666732E-1,0.E0)); +#12507=VECTOR('',#12506,1.486221755757E-1); +#12508=CARTESIAN_POINT('',(2.36E1,-1.130957447886E0,-1.995E1)); +#12509=LINE('',#12508,#12507); +#12510=DIRECTION('',(3.324500902916E-1,-9.431208498730E-1,0.E0)); +#12511=VECTOR('',#12510,1.534064764892E-1); +#12512=CARTESIAN_POINT('',(2.363400000101E1,-1.275638307035E0,-1.995E1)); +#12513=LINE('',#12512,#12511); +#12514=DIRECTION('',(6.166619782541E-1,-7.872280511870E-1,0.E0)); +#12515=VECTOR('',#12514,1.378388825173E-1); +#12516=CARTESIAN_POINT('',(2.368499999797E1,-1.420319153517E0,-1.995E1)); +#12517=LINE('',#12516,#12515); +#12518=DIRECTION('',(9.201546468226E-1,-3.915551377913E-1,0.E0)); +#12519=VECTOR('',#12518,9.237578090447E-2); +#12520=CARTESIAN_POINT('',(2.376999999595E1,-1.528829788379E0,-1.995E1)); +#12521=LINE('',#12520,#12519); +#12522=DIRECTION('',(1.E0,0.E0,0.E0)); +#12523=VECTOR('',#12522,1.02E-1); +#12524=CARTESIAN_POINT('',(2.3855E1,-1.565E0,-1.995E1)); +#12525=LINE('',#12524,#12523); +#12526=DIRECTION('',(9.201546670034E-1,3.915550903665E-1,0.E0)); +#12527=VECTOR('',#12526,9.237579209295E-2); +#12528=CARTESIAN_POINT('',(2.3957E1,-1.565E0,-1.995E1)); +#12529=LINE('',#12528,#12527); +#12530=DIRECTION('',(6.166618415820E-1,7.872281582468E-1,0.E0)); +#12531=VECTOR('',#12530,1.378388637718E-1); +#12532=CARTESIAN_POINT('',(2.404200001621E1,-1.528829788379E0,-1.995E1)); +#12533=LINE('',#12532,#12531); +#12534=DIRECTION('',(3.324501079172E-1,9.431208436600E-1,0.E0)); +#12535=VECTOR('',#12534,1.534064774998E-1); +#12536=CARTESIAN_POINT('',(2.412699998379E1,-1.420319153517E0,-1.995E1)); +#12537=LINE('',#12536,#12535); +#12538=DIRECTION('',(1.847632976914E-1,9.827830502335E-1,0.E0)); +#12539=VECTOR('',#12538,1.840193189735E-1); +#12540=CARTESIAN_POINT('',(2.417799998379E1,-1.275638307035E0,-1.995E1)); +#12541=LINE('',#12540,#12539); +#12542=DIRECTION('',(0.E0,1.E0,0.E0)); +#12543=VECTOR('',#12542,1.446808338165E-1); +#12544=CARTESIAN_POINT('',(2.4212E1,-1.094787239432E0,-1.995E1)); +#12545=LINE('',#12544,#12543); +#12546=DIRECTION('',(-1.847632726949E-1,9.827830549328E-1,0.E0)); +#12547=VECTOR('',#12546,1.840193438693E-1); +#12548=CARTESIAN_POINT('',(2.4212E1,-9.501064056158E-1,-1.995E1)); +#12549=LINE('',#12548,#12547); +#12550=DIRECTION('',(-3.324501338046E-1,9.431208345346E-1,0.E0)); +#12551=VECTOR('',#12550,1.534064655542E-1); +#12552=CARTESIAN_POINT('',(2.417799998379E1,-7.692553126812E-1,-1.995E1)); +#12553=LINE('',#12552,#12551); +#12554=DIRECTION('',(-6.166618750382E-1,7.872281320395E-1,0.E0)); +#12555=VECTOR('',#12554,1.378388562935E-1); +#12556=CARTESIAN_POINT('',(2.412699998379E1,-6.245744788647E-1,-1.995E1)); +#12557=LINE('',#12556,#12555); +#12558=DIRECTION('',(-9.201546793536E-1,3.915550613434E-1,0.E0)); +#12559=VECTOR('',#12558,9.237579085309E-2); +#12560=CARTESIAN_POINT('',(2.404200001621E1,-5.160638535023E-1,-1.995E1)); +#12561=LINE('',#12560,#12559); +#12562=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12563=VECTOR('',#12562,1.02E-1); +#12564=CARTESIAN_POINT('',(2.3957E1,-4.798936450481E-1,-1.995E1)); +#12565=LINE('',#12564,#12563); +#12566=DIRECTION('',(-9.201546591728E-1,-3.915551087683E-1,0.E0)); +#12567=VECTOR('',#12566,9.237577966461E-2); +#12568=CARTESIAN_POINT('',(2.3855E1,-4.798936450481E-1,-1.995E1)); +#12569=LINE('',#12568,#12567); +#12570=DIRECTION('',(-6.166620117103E-1,-7.872280249797E-1,0.E0)); +#12571=VECTOR('',#12570,1.378388750390E-1); +#12572=CARTESIAN_POINT('',(2.376999999595E1,-5.160638535023E-1,-1.995E1)); +#12573=LINE('',#12572,#12571); +#12574=DIRECTION('',(0.E0,1.E0,0.E0)); +#12575=VECTOR('',#12574,5.787234365940E-1); +#12576=CARTESIAN_POINT('',(2.368499999797E1,-6.245744788647E-1,-1.995E1)); +#12577=LINE('',#12576,#12575); +#12578=DIRECTION('',(1.E0,0.E0,0.E0)); +#12579=VECTOR('',#12578,4.929999858141E-1); +#12580=CARTESIAN_POINT('',(2.368499999797E1,-4.585104227066E-2,-1.995E1)); +#12581=LINE('',#12580,#12579); +#12582=DIRECTION('',(0.E0,1.E0,0.E0)); +#12583=VECTOR('',#12582,1.808510422707E-1); +#12584=CARTESIAN_POINT('',(2.417799998379E1,-4.585104227066E-2,-1.995E1)); +#12585=LINE('',#12584,#12583); +#12586=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12587=VECTOR('',#12586,5.779999837875E-1); +#12588=CARTESIAN_POINT('',(2.417799998379E1,1.35E-1,-1.995E1)); +#12589=LINE('',#12588,#12587); +#12590=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12591=VECTOR('',#12590,9.404255211353E-1); +#12592=CARTESIAN_POINT('',(2.36E1,1.35E-1,-1.995E1)); +#12593=LINE('',#12592,#12591); +#12594=DIRECTION('',(1.E0,0.E0,0.E0)); +#12595=VECTOR('',#12594,1.189999959469E-1); +#12596=CARTESIAN_POINT('',(2.36E1,-8.054255211353E-1,-1.995E1)); +#12597=LINE('',#12596,#12595); +#12598=DIRECTION('',(2.989999217585E-1,9.542531355926E-1,0.E0)); +#12599=VECTOR('',#12598,1.137126212271E-1); +#12600=CARTESIAN_POINT('',(2.490899996758E1,-1.384148932397E0,-1.995E1)); +#12601=LINE('',#12600,#12599); +#12602=DIRECTION('',(1.547781090170E-1,9.879492582968E-1,0.E0)); +#12603=VECTOR('',#12602,1.098342346868E-1); +#12604=CARTESIAN_POINT('',(2.494300003242E1,-1.275638307035E0,-1.995E1)); +#12605=LINE('',#12604,#12603); +#12606=DIRECTION('',(0.E0,1.E0,0.E0)); +#12607=VECTOR('',#12606,1.446808338165E-1); +#12608=CARTESIAN_POINT('',(2.495999996758E1,-1.167127656341E0,-1.995E1)); +#12609=LINE('',#12608,#12607); +#12610=DIRECTION('',(-1.166967205116E-1,9.931675968445E-1,0.E0)); +#12611=VECTOR('',#12610,1.456762030298E-1); +#12612=CARTESIAN_POINT('',(2.495999996758E1,-1.022446822524E0,-1.995E1)); +#12613=LINE('',#12612,#12611); +#12614=DIRECTION('',(-2.989999217585E-1,9.542531355927E-1,0.E0)); +#12615=VECTOR('',#12614,1.137126212271E-1); +#12616=CARTESIAN_POINT('',(2.494300003242E1,-8.777659380436E-1,-1.995E1)); +#12617=LINE('',#12616,#12615); +#12618=DIRECTION('',(-7.615393058012E-1,6.481187281046E-1,0.E0)); +#12619=VECTOR('',#12618,1.116159952974E-1); +#12620=CARTESIAN_POINT('',(2.490899996758E1,-7.692553126812E-1,-1.995E1)); +#12621=LINE('',#12620,#12619); +#12622=DIRECTION('',(5.310143532901E-1,8.473628246506E-1,0.E0)); +#12623=VECTOR('',#12622,1.280568632535E-1); +#12624=CARTESIAN_POINT('',(2.4824E1,-6.969148957729E-1,-1.995E1)); +#12625=LINE('',#12624,#12623); +#12626=DIRECTION('',(2.989991427918E-1,9.542533796690E-1,0.E0)); +#12627=VECTOR('',#12626,1.137125921419E-1); +#12628=CARTESIAN_POINT('',(2.489200003242E1,-5.884042704105E-1,-1.995E1)); +#12629=LINE('',#12628,#12627); +#12630=DIRECTION('',(1.166974194728E-1,9.931675147166E-1,0.E0)); +#12631=VECTOR('',#12630,1.456761640636E-1); +#12632=CARTESIAN_POINT('',(2.4926E1,-4.798936450482E-1,-1.995E1)); +#12633=LINE('',#12632,#12631); +#12634=DIRECTION('',(0.E0,1.E0,0.E0)); +#12635=VECTOR('',#12634,7.234051823617E-2); +#12636=CARTESIAN_POINT('',(2.494300003242E1,-3.352128112316E-1,-1.995E1)); +#12637=LINE('',#12636,#12635); +#12638=DIRECTION('',(-1.166974194728E-1,9.931675147166E-1,0.E0)); +#12639=VECTOR('',#12638,1.456761640636E-1); +#12640=CARTESIAN_POINT('',(2.494300003242E1,-2.628722929955E-1,-1.995E1)); +#12641=LINE('',#12640,#12639); +#12642=DIRECTION('',(-4.253613474848E-1,9.050236041485E-1,0.E0)); +#12643=VECTOR('',#12642,1.598641550931E-1); +#12644=CARTESIAN_POINT('',(2.4926E1,-1.181914591789E-1,-1.995E1)); +#12645=LINE('',#12644,#12643); +#12646=DIRECTION('',(-6.849097053416E-1,7.286279541226E-1,0.E0)); +#12647=VECTOR('',#12646,9.928306551918E-2); +#12648=CARTESIAN_POINT('',(2.485799996758E1,2.648937463761E-2,-1.995E1)); +#12649=LINE('',#12648,#12647); +#12650=DIRECTION('',(-9.567795083542E-1,2.908143263209E-1,0.E0)); +#12651=VECTOR('',#12650,1.243756073221E-1); +#12652=CARTESIAN_POINT('',(2.479000003242E1,9.882979154587E-2,-1.995E1)); +#12653=LINE('',#12652,#12651); +#12654=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12655=VECTOR('',#12654,3.399996757507E-2); +#12656=CARTESIAN_POINT('',(2.4671E1,1.35E-1,-1.995E1)); +#12657=LINE('',#12656,#12655); +#12658=DIRECTION('',(-9.567795083542E-1,-2.908143263208E-1,0.E0)); +#12659=VECTOR('',#12658,1.243756073221E-1); +#12660=CARTESIAN_POINT('',(2.463700003242E1,1.35E-1,-1.995E1)); +#12661=LINE('',#12660,#12659); +#12662=DIRECTION('',(-6.849102255008E-1,-7.286274651730E-1,0.E0)); +#12663=VECTOR('',#12662,9.928313214365E-2); +#12664=CARTESIAN_POINT('',(2.4518E1,9.882979154587E-2,-1.995E1)); +#12665=LINE('',#12664,#12663); +#12666=DIRECTION('',(-4.253610982900E-1,-9.050237212701E-1,0.E0)); +#12667=VECTOR('',#12666,1.598641344047E-1); +#12668=CARTESIAN_POINT('',(2.444999996758E1,2.648937463760E-2,-1.995E1)); +#12669=LINE('',#12668,#12667); +#12670=DIRECTION('',(-1.166970901462E-1,-9.931675534125E-1,0.E0)); +#12671=VECTOR('',#12670,1.456761583878E-1); +#12672=CARTESIAN_POINT('',(2.438199998379E1,-1.181914591789E-1,-1.995E1)); +#12673=LINE('',#12672,#12671); +#12674=DIRECTION('',(1.E0,0.E0,0.E0)); +#12675=VECTOR('',#12674,8.499996757507E-2); +#12676=CARTESIAN_POINT('',(2.4365E1,-2.628722929955E-1,-1.995E1)); +#12677=LINE('',#12676,#12675); +#12678=DIRECTION('',(2.989999217585E-1,9.542531355926E-1,0.E0)); +#12679=VECTOR('',#12678,1.137126212271E-1); +#12680=CARTESIAN_POINT('',(2.444999996758E1,-2.628722929955E-1,-1.995E1)); +#12681=LINE('',#12680,#12679); +#12682=DIRECTION('',(5.762018191298E-1,8.173074474343E-1,0.E0)); +#12683=VECTOR('',#12682,8.851065426524E-2); +#12684=CARTESIAN_POINT('',(2.448400003242E1,-1.543616676331E-1,-1.995E1)); +#12685=LINE('',#12684,#12683); +#12686=DIRECTION('',(9.201545986305E-1,3.915552510428E-1,0.E0)); +#12687=VECTOR('',#12686,9.237574609917E-2); +#12688=CARTESIAN_POINT('',(2.453500003242E1,-8.202125072479E-2,-1.995E1)); +#12689=LINE('',#12688,#12687); +#12690=DIRECTION('',(1.E0,0.E0,0.E0)); +#12691=VECTOR('',#12690,6.800003242492E-2); +#12692=CARTESIAN_POINT('',(2.462E1,-4.585104227066E-2,-1.995E1)); +#12693=LINE('',#12692,#12691); +#12694=DIRECTION('',(9.201545986305E-1,-3.915552510429E-1,0.E0)); +#12695=VECTOR('',#12694,9.237574609917E-2); +#12696=CARTESIAN_POINT('',(2.468800003242E1,-4.585104227066E-2,-1.995E1)); +#12697=LINE('',#12696,#12695); +#12698=DIRECTION('',(5.762018191298E-1,-8.173074474343E-1,0.E0)); +#12699=VECTOR('',#12698,8.851065426525E-2); +#12700=CARTESIAN_POINT('',(2.4773E1,-8.202125072479E-2,-1.995E1)); +#12701=LINE('',#12700,#12699); +#12702=DIRECTION('',(2.989991427918E-1,-9.542533796690E-1,0.E0)); +#12703=VECTOR('',#12702,1.137125921420E-1); +#12704=CARTESIAN_POINT('',(2.4824E1,-1.543616676331E-1,-1.995E1)); +#12705=LINE('',#12704,#12703); +#12706=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12707=VECTOR('',#12706,7.234051823616E-2); +#12708=CARTESIAN_POINT('',(2.485799996758E1,-2.628722929955E-1,-1.995E1)); +#12709=LINE('',#12708,#12707); +#12710=DIRECTION('',(-2.287678459477E-1,-9.734810078581E-1,0.E0)); +#12711=VECTOR('',#12710,1.486221432659E-1); +#12712=CARTESIAN_POINT('',(2.485799996758E1,-3.352128112316E-1,-1.995E1)); +#12713=LINE('',#12712,#12711); +#12714=DIRECTION('',(-4.253608490950E-1,-9.050238383917E-1,0.E0)); +#12715=VECTOR('',#12714,7.993205685810E-2); +#12716=CARTESIAN_POINT('',(2.4824E1,-4.798936450481E-1,-1.995E1)); +#12717=LINE('',#12716,#12715); +#12718=DIRECTION('',(-8.156832913756E-1,-5.784987192472E-1,0.E0)); +#12719=VECTOR('',#12718,1.250485342516E-1); +#12720=CARTESIAN_POINT('',(2.479000003242E1,-5.522340619564E-1,-1.995E1)); +#12721=LINE('',#12720,#12719); +#12722=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12723=VECTOR('',#12722,6.800003242493E-2); +#12724=CARTESIAN_POINT('',(2.468800003242E1,-6.245744788647E-1,-1.995E1)); +#12725=LINE('',#12724,#12723); +#12726=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12727=VECTOR('',#12726,1.446808338165E-1); +#12728=CARTESIAN_POINT('',(2.462E1,-6.245744788647E-1,-1.995E1)); +#12729=LINE('',#12728,#12727); +#12730=DIRECTION('',(1.E0,0.E0,0.E0)); +#12731=VECTOR('',#12730,6.800003242492E-2); +#12732=CARTESIAN_POINT('',(2.462E1,-7.692553126812E-1,-1.995E1)); +#12733=LINE('',#12732,#12731); +#12734=DIRECTION('',(9.424956942400E-1,-3.342182914489E-1,0.E0)); +#12735=VECTOR('',#12734,1.082233060834E-1); +#12736=CARTESIAN_POINT('',(2.468800003242E1,-7.692553126812E-1,-1.995E1)); +#12737=LINE('',#12736,#12735); +#12738=DIRECTION('',(5.762018191298E-1,-8.173074474343E-1,0.E0)); +#12739=VECTOR('',#12738,8.851065426524E-2); +#12740=CARTESIAN_POINT('',(2.479000003242E1,-8.054255211353E-1,-1.995E1)); +#12741=LINE('',#12740,#12739); +#12742=DIRECTION('',(2.287677700309E-1,-9.734810256985E-1,0.E0)); +#12743=VECTOR('',#12742,1.486221925863E-1); +#12744=CARTESIAN_POINT('',(2.484100003242E1,-8.777659380436E-1,-1.995E1)); +#12745=LINE('',#12744,#12743); +#12746=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12747=VECTOR('',#12746,1.446808338165E-1); +#12748=CARTESIAN_POINT('',(2.4875E1,-1.022446822524E0,-1.995E1)); +#12749=LINE('',#12748,#12747); +#12750=DIRECTION('',(-2.287684662047E-1,-9.734808620976E-1,0.E0)); +#12751=VECTOR('',#12750,7.431108275965E-2); +#12752=CARTESIAN_POINT('',(2.4875E1,-1.167127656341E0,-1.995E1)); +#12753=LINE('',#12752,#12751); +#12754=DIRECTION('',(-4.253607270934E-1,-9.050238957324E-1,0.E0)); +#12755=VECTOR('',#12754,7.993207978411E-2); +#12756=CARTESIAN_POINT('',(2.485799996758E1,-1.239468073249E0,-1.995E1)); +#12757=LINE('',#12756,#12755); +#12758=DIRECTION('',(-6.849097053415E-1,-7.286279541227E-1,0.E0)); +#12759=VECTOR('',#12758,4.964153275961E-2); +#12760=CARTESIAN_POINT('',(2.4824E1,-1.311808515489E0,-1.995E1)); +#12761=LINE('',#12760,#12759); +#12762=DIRECTION('',(-9.201547600766E-1,-3.915548716442E-1,0.E0)); +#12763=VECTOR('',#12762,9.237583560701E-2); +#12764=CARTESIAN_POINT('',(2.479000003242E1,-1.347978723943E0,-1.995E1)); +#12765=LINE('',#12764,#12763); +#12766=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12767=VECTOR('',#12766,1.02E-1); +#12768=CARTESIAN_POINT('',(2.470499996758E1,-1.384148932397E0,-1.995E1)); +#12769=LINE('',#12768,#12767); +#12770=DIRECTION('',(-9.201545986305E-1,3.915552510428E-1,0.E0)); +#12771=VECTOR('',#12770,9.237574609917E-2); +#12772=CARTESIAN_POINT('',(2.460299996758E1,-1.384148932397E0,-1.995E1)); +#12773=LINE('',#12772,#12771); +#12774=DIRECTION('',(-6.849097053415E-1,7.286279541227E-1,0.E0)); +#12775=VECTOR('',#12774,4.964153275960E-2); +#12776=CARTESIAN_POINT('',(2.4518E1,-1.347978723943E0,-1.995E1)); +#12777=LINE('',#12776,#12775); +#12778=DIRECTION('',(-4.253617238725E-1,9.050234272461E-1,0.E0)); +#12779=VECTOR('',#12778,7.993212116104E-2); +#12780=CARTESIAN_POINT('',(2.448400003242E1,-1.311808515489E0,-1.995E1)); +#12781=LINE('',#12780,#12779); +#12782=DIRECTION('',(-2.287672256905E-1,9.734811536182E-1,0.E0)); +#12783=VECTOR('',#12782,7.431106050632E-2); +#12784=CARTESIAN_POINT('',(2.444999996758E1,-1.239468073249E0,-1.995E1)); +#12785=LINE('',#12784,#12783); +#12786=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12787=VECTOR('',#12786,8.500001621247E-2); +#12788=CARTESIAN_POINT('',(2.443300003242E1,-1.167127656341E0,-1.995E1)); +#12789=LINE('',#12788,#12787); +#12790=DIRECTION('',(1.547785412340E-1,-9.879491905829E-1,0.E0)); +#12791=VECTOR('',#12790,1.098342422148E-1); +#12792=CARTESIAN_POINT('',(2.434800001621E1,-1.167127656341E0,-1.995E1)); +#12793=LINE('',#12792,#12791); +#12794=DIRECTION('',(2.989995322752E-1,-9.542532576309E-1,0.E0)); +#12795=VECTOR('',#12794,1.137126066845E-1); +#12796=CARTESIAN_POINT('',(2.4365E1,-1.275638307035E0,-1.995E1)); +#12797=LINE('',#12796,#12795); +#12798=DIRECTION('',(5.762013846711E-1,-8.173077537275E-1,0.E0)); +#12799=VECTOR('',#12798,8.851063659229E-2); +#12800=CARTESIAN_POINT('',(2.439900001621E1,-1.384148932397E0,-1.995E1)); +#12801=LINE('',#12800,#12799); +#12802=DIRECTION('',(6.849101777518E-1,-7.286275100571E-1,0.E0)); +#12803=VECTOR('',#12802,9.928313906525E-2); +#12804=CARTESIAN_POINT('',(2.444999996758E1,-1.456489361972E0,-1.995E1)); +#12805=LINE('',#12804,#12803); +#12806=DIRECTION('',(8.828724882074E-1,-4.696127868431E-1,0.E0)); +#12807=VECTOR('',#12806,7.702135170504E-2); +#12808=CARTESIAN_POINT('',(2.4518E1,-1.528829788379E0,-1.995E1)); +#12809=LINE('',#12808,#12807); +#12810=DIRECTION('',(1.E0,0.E0,0.E0)); +#12811=VECTOR('',#12810,1.359999675751E-1); +#12812=CARTESIAN_POINT('',(2.458600003242E1,-1.565E0,-1.995E1)); +#12813=LINE('',#12812,#12811); +#12814=DIRECTION('',(8.828724882074E-1,4.696127868431E-1,0.E0)); +#12815=VECTOR('',#12814,7.702135170504E-2); +#12816=CARTESIAN_POINT('',(2.4722E1,-1.565E0,-1.995E1)); +#12817=LINE('',#12816,#12815); +#12818=DIRECTION('',(6.849096575925E-1,7.286279990067E-1,0.E0)); +#12819=VECTOR('',#12818,9.928307244079E-2); +#12820=CARTESIAN_POINT('',(2.479000003242E1,-1.528829788379E0,-1.995E1)); +#12821=LINE('',#12820,#12819); +#12822=DIRECTION('',(5.762017517385E-1,8.173074949451E-1,0.E0)); +#12823=VECTOR('',#12822,8.851066461724E-2); +#12824=CARTESIAN_POINT('',(2.485799996758E1,-1.456489361972E0,-1.995E1)); +#12825=LINE('',#12824,#12823); +#12826=DIRECTION('',(5.107154045714E-1,8.597498331105E-1,0.E0)); +#12827=VECTOR('',#12826,1.336360868012E-1); +#12828=CARTESIAN_POINT('',(4.946943749593E1,-6.457446694374E-1,-1.995E1)); +#12829=LINE('',#12828,#12827); +#12830=DIRECTION('',(8.720815075282E-1,4.893606484254E-1,0.E0)); +#12831=VECTOR('',#12830,7.826102874883E-2); +#12832=CARTESIAN_POINT('',(4.953768750407E1,-5.308510661125E-1,-1.995E1)); +#12833=LINE('',#12832,#12831); +#12834=DIRECTION('',(1.E0,-2.114710523096E-14,0.E0)); +#12835=VECTOR('',#12834,1.02375E-1); +#12836=CARTESIAN_POINT('',(4.96059375E1,-4.925531983376E-1,-1.995E1)); +#12837=LINE('',#12836,#12835); +#12838=DIRECTION('',(8.720814701846E-1,-4.893607149748E-1,0.E0)); +#12839=VECTOR('',#12838,7.826101810590E-2); +#12840=CARTESIAN_POINT('',(4.97083125E1,-4.925531983376E-1,-1.995E1)); +#12841=LINE('',#12840,#12839); +#12842=DIRECTION('',(5.556292590776E-1,-8.314301693208E-1,0.E0)); +#12843=VECTOR('',#12842,9.212527807656E-2); +#12844=CARTESIAN_POINT('',(4.977656248373E1,-5.308510661125E-1,-1.995E1)); +#12845=LINE('',#12844,#12843); +#12846=DIRECTION('',(2.847207985487E-1,-9.586104875672E-1,0.E0)); +#12847=VECTOR('',#12846,1.198543149851E-1); +#12848=CARTESIAN_POINT('',(4.982774998373E1,-6.074468016624E-1,-1.995E1)); +#12849=LINE('',#12848,#12847); +#12850=DIRECTION('',(1.468957469740E-1,-9.891519799914E-1,0.E0)); +#12851=VECTOR('',#12850,1.161536945718E-1); +#12852=CARTESIAN_POINT('',(4.9861875E1,-7.223404049874E-1,-1.995E1)); +#12853=LINE('',#12852,#12851); +#12854=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12855=VECTOR('',#12854,7.659573554992E-2); +#12856=CARTESIAN_POINT('',(4.987893748373E1,-8.372340619564E-1,-1.995E1)); +#12857=LINE('',#12856,#12855); +#12858=DIRECTION('',(-1.468958140802E-1,-9.891519700257E-1,0.E0)); +#12859=VECTOR('',#12858,1.161536415096E-1); +#12860=CARTESIAN_POINT('',(4.987893748373E1,-9.138297975063E-1,-1.995E1)); +#12861=LINE('',#12860,#12859); +#12862=DIRECTION('',(-2.847207374686E-1,-9.586105057088E-1,0.E0)); +#12863=VECTOR('',#12862,1.198543406970E-1); +#12864=CARTESIAN_POINT('',(4.9861875E1,-1.028723400831E0,-1.995E1)); +#12865=LINE('',#12864,#12863); +#12866=DIRECTION('',(-5.556292590776E-1,-8.314301693208E-1,0.E0)); +#12867=VECTOR('',#12866,9.212527807656E-2); +#12868=CARTESIAN_POINT('',(4.982774998373E1,-1.143617030978E0,-1.995E1)); +#12869=LINE('',#12868,#12867); +#12870=DIRECTION('',(-8.720814701846E-1,-4.893607149748E-1,0.E0)); +#12871=VECTOR('',#12870,7.826101810591E-2); +#12872=CARTESIAN_POINT('',(4.977656248373E1,-1.220212766528E0,-1.995E1)); +#12873=LINE('',#12872,#12871); +#12874=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12875=VECTOR('',#12874,1.02375E-1); +#12876=CARTESIAN_POINT('',(4.97083125E1,-1.258510634303E0,-1.995E1)); +#12877=LINE('',#12876,#12875); +#12878=DIRECTION('',(-8.720815075282E-1,4.893606484254E-1,0.E0)); +#12879=VECTOR('',#12878,7.826102874882E-2); +#12880=CARTESIAN_POINT('',(4.96059375E1,-1.258510634303E0,-1.995E1)); +#12881=LINE('',#12880,#12879); +#12882=DIRECTION('',(-5.556292590776E-1,8.314301693208E-1,0.E0)); +#12883=VECTOR('',#12882,9.212527807655E-2); +#12884=CARTESIAN_POINT('',(4.953768750407E1,-1.220212766528E0,-1.995E1)); +#12885=LINE('',#12884,#12883); +#12886=DIRECTION('',(-2.174310400555E-1,9.760756849858E-1,0.E0)); +#12887=VECTOR('',#12886,1.569463313946E-1); +#12888=CARTESIAN_POINT('',(4.948650000407E1,-1.143617030978E0,-1.995E1)); +#12889=LINE('',#12888,#12887); +#12890=DIRECTION('',(-1.E0,1.192913628413E-14,0.E0)); +#12891=VECTOR('',#12890,1.02375E-1); +#12892=CARTESIAN_POINT('',(4.9452375E1,-9.904255330563E-1,-1.995E1)); +#12893=LINE('',#12892,#12891); +#12894=DIRECTION('',(2.174310215347E-1,-9.760756891115E-1,0.E0)); +#12895=VECTOR('',#12894,1.569463307311E-1); +#12896=CARTESIAN_POINT('',(4.935E1,-9.904255330563E-1,-1.995E1)); +#12897=LINE('',#12896,#12895); +#12898=DIRECTION('',(3.169167852577E-1,-9.484533468874E-1,0.E0)); +#12899=VECTOR('',#12898,1.615171531775E-1); +#12900=CARTESIAN_POINT('',(4.938412500102E1,-1.143617030978E0,-1.995E1)); +#12901=LINE('',#12900,#12899); +#12902=DIRECTION('',(5.961571819570E-1,-8.028677440283E-1,0.E0)); +#12903=VECTOR('',#12902,1.431040345533E-1); +#12904=CARTESIAN_POINT('',(4.943531249797E1,-1.296808515489E0,-1.995E1)); +#12905=LINE('',#12904,#12903); +#12906=DIRECTION('',(9.122923162364E-1,-4.095396558771E-1,0.E0)); +#12907=VECTOR('',#12906,9.351443890261E-2); +#12908=CARTESIAN_POINT('',(4.952062499593E1,-1.411702128872E0,-1.995E1)); +#12909=LINE('',#12908,#12907); +#12910=DIRECTION('',(1.E0,0.E0,0.E0)); +#12911=VECTOR('',#12910,1.02375E-1); +#12912=CARTESIAN_POINT('',(4.96059375E1,-1.45E0,-1.995E1)); +#12913=LINE('',#12912,#12911); +#12914=DIRECTION('',(9.122923381250E-1,4.095396071181E-1,0.E0)); +#12915=VECTOR('',#12914,9.351445003630E-2); +#12916=CARTESIAN_POINT('',(4.97083125E1,-1.45E0,-1.995E1)); +#12917=LINE('',#12916,#12915); +#12918=DIRECTION('',(5.961570445274E-1,8.028678460745E-1,0.E0)); +#12919=VECTOR('',#12918,1.431040163645E-1); +#12920=CARTESIAN_POINT('',(4.979362501627E1,-1.411702128872E0,-1.995E1)); +#12921=LINE('',#12920,#12919); +#12922=DIRECTION('',(3.169168022503E-1,9.484533412095E-1,0.E0)); +#12923=VECTOR('',#12922,1.615171541444E-1); +#12924=CARTESIAN_POINT('',(4.987893748373E1,-1.296808515489E0,-1.995E1)); +#12925=LINE('',#12924,#12923); +#12926=DIRECTION('',(1.754442881901E-1,9.844893609082E-1,0.E0)); +#12927=VECTOR('',#12926,1.945062824451E-1); +#12928=CARTESIAN_POINT('',(4.993012498373E1,-1.143617030978E0,-1.995E1)); +#12929=LINE('',#12928,#12927); +#12930=DIRECTION('',(0.E0,1.E0,0.E0)); +#12931=VECTOR('',#12930,1.531914710998E-1); +#12932=CARTESIAN_POINT('',(4.996425E1,-9.521276652813E-1,-1.995E1)); +#12933=LINE('',#12932,#12931); +#12934=DIRECTION('',(-1.754442643719E-1,9.844893651528E-1,0.E0)); +#12935=VECTOR('',#12934,1.945063088511E-1); +#12936=CARTESIAN_POINT('',(4.996425E1,-7.989361941814E-1,-1.995E1)); +#12937=LINE('',#12936,#12935); +#12938=DIRECTION('',(-3.169168272081E-1,9.484533328701E-1,0.E0)); +#12939=VECTOR('',#12938,1.615171414247E-1); +#12940=CARTESIAN_POINT('',(4.993012498373E1,-6.074468016624E-1,-1.995E1)); +#12941=LINE('',#12940,#12939); +#12942=DIRECTION('',(-5.961570781690E-1,8.028678210944E-1,0.E0)); +#12943=VECTOR('',#12942,1.431040082890E-1); +#12944=CARTESIAN_POINT('',(4.987893748373E1,-4.542553305626E-1,-1.995E1)); +#12945=LINE('',#12944,#12943); +#12946=DIRECTION('',(-9.122923515203E-1,4.095395772786E-1,0.E0)); +#12947=VECTOR('',#12946,9.351444866320E-2); +#12948=CARTESIAN_POINT('',(4.979362501627E1,-3.393617272377E-1,-1.995E1)); +#12949=LINE('',#12948,#12947); +#12950=DIRECTION('',(-1.E0,1.680923749127E-14,0.E0)); +#12951=VECTOR('',#12950,1.02375E-1); +#12952=CARTESIAN_POINT('',(4.97083125E1,-3.010638594627E-1,-1.995E1)); +#12953=LINE('',#12952,#12951); +#12954=DIRECTION('',(-9.122923296318E-1,-4.095396260377E-1,0.E0)); +#12955=VECTOR('',#12954,9.351443752954E-2); +#12956=CARTESIAN_POINT('',(4.96059375E1,-3.010638594627E-1,-1.995E1)); +#12957=LINE('',#12956,#12955); +#12958=DIRECTION('',(-5.961572155986E-1,-8.028677190482E-1,0.E0)); +#12959=VECTOR('',#12958,1.431040264778E-1); +#12960=CARTESIAN_POINT('',(4.952062499593E1,-3.393617272377E-1,-1.995E1)); +#12961=LINE('',#12960,#12959); +#12962=DIRECTION('',(0.E0,1.E0,0.E0)); +#12963=VECTOR('',#12962,6.127659916878E-1); +#12964=CARTESIAN_POINT('',(4.943531249797E1,-4.542553305626E-1,-1.995E1)); +#12965=LINE('',#12964,#12963); +#12966=DIRECTION('',(1.E0,0.E0,0.E0)); +#12967=VECTOR('',#12966,4.948124857619E-1); +#12968=CARTESIAN_POINT('',(4.943531249797E1,1.585106611252E-1,-1.995E1)); +#12969=LINE('',#12968,#12967); +#12970=DIRECTION('',(0.E0,1.E0,0.E0)); +#12971=VECTOR('',#12970,1.914893388748E-1); +#12972=CARTESIAN_POINT('',(4.993012498373E1,1.585106611252E-1,-1.995E1)); +#12973=LINE('',#12972,#12971); +#12974=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12975=VECTOR('',#12974,5.801249837279E-1); +#12976=CARTESIAN_POINT('',(4.993012498373E1,3.5E-1,-1.995E1)); +#12977=LINE('',#12976,#12975); +#12978=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12979=VECTOR('',#12978,9.957446694374E-1); +#12980=CARTESIAN_POINT('',(4.935E1,3.5E-1,-1.995E1)); +#12981=LINE('',#12980,#12979); +#12982=DIRECTION('',(1.E0,0.E0,0.E0)); +#12983=VECTOR('',#12982,1.194374959320E-1); +#12984=CARTESIAN_POINT('',(4.935E1,-6.457446694374E-1,-1.995E1)); +#12985=LINE('',#12984,#12983); +#12986=DIRECTION('',(1.468961917310E-1,-9.891519139419E-1,0.E0)); +#12987=VECTOR('',#12986,1.161536752116E-1); +#12988=CARTESIAN_POINT('',(5.013487498373E1,-1.028723400831E0,-1.995E1)); +#12989=LINE('',#12988,#12987); +#12990=DIRECTION('',(3.169165303701E-1,-9.484534320557E-1,0.E0)); +#12991=VECTOR('',#12990,1.615171386737E-1); +#12992=CARTESIAN_POINT('',(5.015193751627E1,-1.143617030978E0,-1.995E1)); +#12993=LINE('',#12992,#12991); +#12994=DIRECTION('',(5.961574843020E-1,-8.028675195266E-1,0.E0)); +#12995=VECTOR('',#12994,1.431040745688E-1); +#12996=CARTESIAN_POINT('',(5.020312496746E1,-1.296808515489E0,-1.995E1)); +#12997=LINE('',#12996,#12995); +#12998=DIRECTION('',(9.122922505707E-1,-4.095398021544E-1,0.E0)); +#12999=VECTOR('',#12998,9.351440550165E-2); +#13000=CARTESIAN_POINT('',(5.028843753254E1,-1.411702128872E0,-1.995E1)); +#13001=LINE('',#13000,#12999); +#13002=DIRECTION('',(1.E0,3.253399253421E-14,0.E0)); +#13003=VECTOR('',#13002,6.825003254413E-2); +#13004=CARTESIAN_POINT('',(5.037375E1,-1.45E0,-1.995E1)); +#13005=LINE('',#13004,#13003); +#13006=DIRECTION('',(9.122922505707E-1,4.095398021544E-1,0.E0)); +#13007=VECTOR('',#13006,9.351440550163E-2); +#13008=CARTESIAN_POINT('',(5.044200003254E1,-1.45E0,-1.995E1)); +#13009=LINE('',#13008,#13007); +#13010=DIRECTION('',(5.961570445274E-1,8.028678460745E-1,0.E0)); +#13011=VECTOR('',#13010,1.431040163645E-1); +#13012=CARTESIAN_POINT('',(5.05273125E1,-1.411702128872E0,-1.995E1)); +#13013=LINE('',#13012,#13011); +#13014=DIRECTION('',(3.169168022502E-1,9.484533412095E-1,0.E0)); +#13015=VECTOR('',#13014,1.615171541444E-1); +#13016=CARTESIAN_POINT('',(5.061262496746E1,-1.296808515489E0,-1.995E1)); +#13017=LINE('',#13016,#13015); +#13018=DIRECTION('',(1.754445314400E-1,9.844893175590E-1,0.E0)); +#13019=VECTOR('',#13018,1.945062910095E-1); +#13020=CARTESIAN_POINT('',(5.066381246746E1,-1.143617030978E0,-1.995E1)); +#13021=LINE('',#13020,#13019); +#13022=DIRECTION('',(6.351706919208E-2,9.979807522799E-1,0.E0)); +#13023=VECTOR('',#13022,2.686275536442E-1); +#13024=CARTESIAN_POINT('',(5.069793753254E1,-9.521276652814E-1,-1.995E1)); +#13025=LINE('',#13024,#13023); +#13026=DIRECTION('',(0.E0,1.E0,0.E0)); +#13027=VECTOR('',#13026,2.680850744247E-1); +#13028=CARTESIAN_POINT('',(5.071499996746E1,-6.840425372124E-1,-1.995E1)); +#13029=LINE('',#13028,#13027); +#13030=DIRECTION('',(-6.351708185068E-2,9.979807514743E-1,0.E0)); +#13031=VECTOR('',#13030,2.686275001083E-1); +#13032=CARTESIAN_POINT('',(5.071499996746E1,-4.159574627876E-1,-1.995E1)); +#13033=LINE('',#13032,#13031); +#13034=DIRECTION('',(-1.754444599852E-1,9.844893302929E-1,0.E0)); +#13035=VECTOR('',#13034,1.945063702277E-1); +#13036=CARTESIAN_POINT('',(5.069793753254E1,-1.478723883629E-1,-1.995E1)); +#13037=LINE('',#13036,#13035); +#13038=DIRECTION('',(-3.169168272080E-1,9.484533328701E-1,0.E0)); +#13039=VECTOR('',#13038,1.615171414247E-1); +#13040=CARTESIAN_POINT('',(5.066381246746E1,4.361705780023E-2,-1.995E1)); +#13041=LINE('',#13040,#13039); +#13042=DIRECTION('',(-5.961570781691E-1,8.028678210944E-1,0.E0)); +#13043=VECTOR('',#13042,1.431040082890E-1); +#13044=CARTESIAN_POINT('',(5.061262496746E1,1.968085289001E-1,-1.995E1)); +#13045=LINE('',#13044,#13043); +#13046=DIRECTION('',(-9.122922639661E-1,4.095397723148E-1,0.E0)); +#13047=VECTOR('',#13046,9.351440412855E-2); +#13048=CARTESIAN_POINT('',(5.05273125E1,3.117021322250E-1,-1.995E1)); +#13049=LINE('',#13048,#13047); +#13050=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13051=VECTOR('',#13050,8.531256508827E-2); +#13052=CARTESIAN_POINT('',(5.044200003254E1,3.5E-1,-1.995E1)); +#13053=LINE('',#13052,#13051); +#13054=DIRECTION('',(-9.122922639661E-1,-4.095397723148E-1,0.E0)); +#13055=VECTOR('',#13054,9.351440412855E-2); +#13056=CARTESIAN_POINT('',(5.035668746746E1,3.5E-1,-1.995E1)); +#13057=LINE('',#13056,#13055); +#13058=DIRECTION('',(-5.961570781690E-1,-8.028678210945E-1,0.E0)); +#13059=VECTOR('',#13058,1.431040082890E-1); +#13060=CARTESIAN_POINT('',(5.0271375E1,3.117021322250E-1,-1.995E1)); +#13061=LINE('',#13060,#13059); +#13062=DIRECTION('',(-3.169170990880E-1,-9.484532420239E-1,0.E0)); +#13063=VECTOR('',#13062,1.615171568953E-1); +#13064=CARTESIAN_POINT('',(5.018606253254E1,1.968085289001E-1,-1.995E1)); +#13065=LINE('',#13064,#13063); +#13066=DIRECTION('',(-1.754439734858E-1,-9.844894169911E-1,0.E0)); +#13067=VECTOR('',#13066,1.945063530987E-1); +#13068=CARTESIAN_POINT('',(5.013487498373E1,4.361705780031E-2,-1.995E1)); +#13069=LINE('',#13068,#13067); +#13070=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13071=VECTOR('',#13070,1.531914710998E-1); +#13072=CARTESIAN_POINT('',(5.010075001627E1,-1.478723883629E-1,-1.995E1)); +#13073=LINE('',#13072,#13071); +#13074=DIRECTION('',(1.754440687586E-1,-9.844894000127E-1,0.E0)); +#13075=VECTOR('',#13074,1.945062474745E-1); +#13076=CARTESIAN_POINT('',(5.010075001627E1,-3.010638594627E-1,-1.995E1)); +#13077=LINE('',#13076,#13075); +#13078=DIRECTION('',(3.169170990880E-1,-9.484532420239E-1,0.E0)); +#13079=VECTOR('',#13078,1.615171568953E-1); +#13080=CARTESIAN_POINT('',(5.013487498373E1,-4.925531983376E-1,-1.995E1)); +#13081=LINE('',#13080,#13079); +#13082=DIRECTION('',(5.961570781690E-1,-8.028678210944E-1,0.E0)); +#13083=VECTOR('',#13082,1.431040082890E-1); +#13084=CARTESIAN_POINT('',(5.018606253254E1,-6.457446694374E-1,-1.995E1)); +#13085=LINE('',#13084,#13083); +#13086=DIRECTION('',(9.122920496403E-1,-4.095402497473E-1,0.E0)); +#13087=VECTOR('',#13086,9.351442609799E-2); +#13088=CARTESIAN_POINT('',(5.0271375E1,-7.606382727623E-1,-1.995E1)); +#13089=LINE('',#13088,#13087); +#13090=DIRECTION('',(1.E0,2.472582725188E-14,0.E0)); +#13091=VECTOR('',#13090,8.531256508827E-2); +#13092=CARTESIAN_POINT('',(5.035668746746E1,-7.989361941814E-1,-1.995E1)); +#13093=LINE('',#13092,#13091); +#13094=DIRECTION('',(9.122920496403E-1,4.095402497473E-1,0.E0)); +#13095=VECTOR('',#13094,9.351442609798E-2); +#13096=CARTESIAN_POINT('',(5.044200003254E1,-7.989361941814E-1,-1.995E1)); +#13097=LINE('',#13096,#13095); +#13098=DIRECTION('',(5.556292590776E-1,8.314301693208E-1,0.E0)); +#13099=VECTOR('',#13098,1.842505561531E-1); +#13100=CARTESIAN_POINT('',(5.05273125E1,-7.606382727623E-1,-1.995E1)); +#13101=LINE('',#13100,#13099); +#13102=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13103=VECTOR('',#13102,7.659573554988E-2); +#13104=CARTESIAN_POINT('',(5.06296875E1,-6.074468016625E-1,-1.995E1)); +#13105=LINE('',#13104,#13103); +#13106=DIRECTION('',(-7.404974571875E-2,-9.972545488284E-1,0.E0)); +#13107=VECTOR('',#13106,2.304198667872E-1); +#13108=CARTESIAN_POINT('',(5.06296875E1,-6.840425372123E-1,-1.995E1)); +#13109=LINE('',#13108,#13107); +#13110=DIRECTION('',(-1.468954028761E-1,-9.891520310922E-1,0.E0)); +#13111=VECTOR('',#13110,1.161536343388E-1); +#13112=CARTESIAN_POINT('',(5.061262496746E1,-9.138297975063E-1,-1.995E1)); +#13113=LINE('',#13112,#13111); +#13114=DIRECTION('',(-2.847211117468E-1,-9.586103945429E-1,0.E0)); +#13115=VECTOR('',#13114,1.198543545960E-1); +#13116=CARTESIAN_POINT('',(5.059556253254E1,-1.028723400831E0,-1.995E1)); +#13117=LINE('',#13116,#13115); +#13118=DIRECTION('',(-5.556292590776E-1,-8.314301693208E-1,0.E0)); +#13119=VECTOR('',#13118,9.212527807656E-2); +#13120=CARTESIAN_POINT('',(5.056143746746E1,-1.143617030978E0,-1.995E1)); +#13121=LINE('',#13120,#13119); +#13122=DIRECTION('',(-8.006959154447E-1,-5.990709899420E-1,0.E0)); +#13123=VECTOR('',#13122,6.392876373244E-2); +#13124=CARTESIAN_POINT('',(5.051024996746E1,-1.220212766528E0,-1.995E1)); +#13125=LINE('',#13124,#13123); +#13126=DIRECTION('',(-1.E0,1.301360321905E-14,0.E0)); +#13127=VECTOR('',#13126,1.02375E-1); +#13128=CARTESIAN_POINT('',(5.045906246746E1,-1.258510634303E0,-1.995E1)); +#13129=LINE('',#13128,#13127); +#13130=DIRECTION('',(-8.006959154448E-1,5.990709899420E-1,0.E0)); +#13131=VECTOR('',#13130,6.392876373244E-2); +#13132=CARTESIAN_POINT('',(5.035668746746E1,-1.258510634303E0,-1.995E1)); +#13133=LINE('',#13132,#13131); +#13134=DIRECTION('',(-5.556292590776E-1,8.314301693208E-1,0.E0)); +#13135=VECTOR('',#13134,9.212527807658E-2); +#13136=CARTESIAN_POINT('',(5.030549996746E1,-1.220212766528E0,-1.995E1)); +#13137=LINE('',#13136,#13135); +#13138=DIRECTION('',(-2.847203631902E-1,9.586106168747E-1,0.E0)); +#13139=VECTOR('',#13138,1.198543267980E-1); +#13140=CARTESIAN_POINT('',(5.025431246746E1,-1.143617030978E0,-1.995E1)); +#13141=LINE('',#13140,#13139); +#13142=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13143=VECTOR('',#13142,8.531251627208E-2); +#13144=CARTESIAN_POINT('',(5.02201875E1,-1.028723400831E0,-1.995E1)); +#13145=LINE('',#13144,#13143); +#13146=DIRECTION('',(-1.468954028764E-1,-9.891520310922E-1,0.E0)); +#13147=VECTOR('',#13146,1.161536343387E-1); +#13148=CARTESIAN_POINT('',(5.061262496746E1,-2.627659916878E-1,-1.995E1)); +#13149=LINE('',#13148,#13147); +#13150=DIRECTION('',(-2.847211728269E-1,-9.586103764012E-1,0.E0)); +#13151=VECTOR('',#13150,1.198543288840E-1); +#13152=CARTESIAN_POINT('',(5.059556253254E1,-3.776595950127E-1,-1.995E1)); +#13153=LINE('',#13152,#13151); +#13154=DIRECTION('',(-5.556292590777E-1,-8.314301693208E-1,0.E0)); +#13155=VECTOR('',#13154,9.212527807661E-2); +#13156=CARTESIAN_POINT('',(5.056143746746E1,-4.925531983375E-1,-1.995E1)); +#13157=LINE('',#13156,#13155); +#13158=DIRECTION('',(-8.006959154447E-1,-5.990709899420E-1,0.E0)); +#13159=VECTOR('',#13158,6.392876373243E-2); +#13160=CARTESIAN_POINT('',(5.051024996746E1,-5.691489338875E-1,-1.995E1)); +#13161=LINE('',#13160,#13159); +#13162=DIRECTION('',(-1.E0,1.115452312363E-14,0.E0)); +#13163=VECTOR('',#13162,1.194374349117E-1); +#13164=CARTESIAN_POINT('',(5.045906246746E1,-6.074468016624E-1,-1.995E1)); +#13165=LINE('',#13164,#13163); +#13166=DIRECTION('',(-8.006959154447E-1,5.990709899420E-1,0.E0)); +#13167=VECTOR('',#13166,6.392876373244E-2); +#13168=CARTESIAN_POINT('',(5.033962503254E1,-6.074468016624E-1,-1.995E1)); +#13169=LINE('',#13168,#13167); +#13170=DIRECTION('',(-5.556292590775E-1,8.314301693209E-1,0.E0)); +#13171=VECTOR('',#13170,9.212527807656E-2); +#13172=CARTESIAN_POINT('',(5.028843753254E1,-5.691489338875E-1,-1.995E1)); +#13173=LINE('',#13172,#13171); +#13174=DIRECTION('',(-2.847211728271E-1,9.586103764012E-1,0.E0)); +#13175=VECTOR('',#13174,1.198543288841E-1); +#13176=CARTESIAN_POINT('',(5.023725003254E1,-4.925531983376E-1,-1.995E1)); +#13177=LINE('',#13176,#13175); +#13178=DIRECTION('',(-1.468954028762E-1,9.891520310922E-1,0.E0)); +#13179=VECTOR('',#13178,1.161536343387E-1); +#13180=CARTESIAN_POINT('',(5.020312496746E1,-3.776595950127E-1,-1.995E1)); +#13181=LINE('',#13180,#13179); +#13182=DIRECTION('',(0.E0,1.E0,0.E0)); +#13183=VECTOR('',#13182,7.659573554993E-2); +#13184=CARTESIAN_POINT('',(5.018606253254E1,-2.627659916878E-1,-1.995E1)); +#13185=LINE('',#13184,#13183); +#13186=DIRECTION('',(1.468952686644E-1,9.891520510235E-1,0.E0)); +#13187=VECTOR('',#13186,1.161537404632E-1); +#13188=CARTESIAN_POINT('',(5.018606253254E1,-1.861702561379E-1,-1.995E1)); +#13189=LINE('',#13188,#13187); +#13190=DIRECTION('',(2.847211728270E-1,9.586103764012E-1,0.E0)); +#13191=VECTOR('',#13190,1.198543288842E-1); +#13192=CARTESIAN_POINT('',(5.020312496746E1,-7.127654552466E-2,-1.995E1)); +#13193=LINE('',#13192,#13191); +#13194=DIRECTION('',(5.556292590776E-1,8.314301693208E-1,0.E0)); +#13195=VECTOR('',#13194,9.212527807656E-2); +#13196=CARTESIAN_POINT('',(5.023725003254E1,4.361705780029E-2,-1.995E1)); +#13197=LINE('',#13196,#13195); +#13198=DIRECTION('',(8.006959154447E-1,5.990709899420E-1,0.E0)); +#13199=VECTOR('',#13198,6.392876373244E-2); +#13200=CARTESIAN_POINT('',(5.028843753254E1,1.202127933502E-1,-1.995E1)); +#13201=LINE('',#13200,#13199); +#13202=DIRECTION('',(1.E0,-1.068975132681E-14,0.E0)); +#13203=VECTOR('',#13202,1.194374349117E-1); +#13204=CARTESIAN_POINT('',(5.033962503254E1,1.585106611252E-1,-1.995E1)); +#13205=LINE('',#13204,#13203); +#13206=DIRECTION('',(8.006959154447E-1,-5.990709899420E-1,0.E0)); +#13207=VECTOR('',#13206,6.392876373243E-2); +#13208=CARTESIAN_POINT('',(5.045906246746E1,1.585106611252E-1,-1.995E1)); +#13209=LINE('',#13208,#13207); +#13210=DIRECTION('',(5.556292590776E-1,-8.314301693208E-1,0.E0)); +#13211=VECTOR('',#13210,9.212527807659E-2); +#13212=CARTESIAN_POINT('',(5.051024996746E1,1.202127933502E-1,-1.995E1)); +#13213=LINE('',#13212,#13211); +#13214=DIRECTION('',(2.847211728270E-1,-9.586103764012E-1,0.E0)); +#13215=VECTOR('',#13214,1.198543288840E-1); +#13216=CARTESIAN_POINT('',(5.056143746746E1,4.361705780028E-2,-1.995E1)); +#13217=LINE('',#13216,#13215); +#13218=DIRECTION('',(1.468952686645E-1,-9.891520510235E-1,0.E0)); +#13219=VECTOR('',#13218,1.161537404633E-1); +#13220=CARTESIAN_POINT('',(5.059556253254E1,-7.127654552454E-2,-1.995E1)); +#13221=LINE('',#13220,#13219); +#13222=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13223=VECTOR('',#13222,7.659573554993E-2); +#13224=CARTESIAN_POINT('',(5.061262496746E1,-1.861702561379E-1,-1.995E1)); +#13225=LINE('',#13224,#13223); +#13226=DIRECTION('',(1.E0,0.E0,0.E0)); +#13227=VECTOR('',#13226,4.2E0); +#13228=CARTESIAN_POINT('',(-5.495E1,3.63E0,-1.995E1)); +#13229=LINE('',#13228,#13227); +#13230=DIRECTION('',(0.E0,1.E0,0.E0)); +#13231=VECTOR('',#13230,2.E0); +#13232=CARTESIAN_POINT('',(-5.075E1,3.63E0,-1.995E1)); +#13233=LINE('',#13232,#13231); +#13234=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13235=VECTOR('',#13234,4.2E0); +#13236=CARTESIAN_POINT('',(-5.075E1,5.63E0,-1.995E1)); +#13237=LINE('',#13236,#13235); +#13238=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13239=VECTOR('',#13238,2.E0); +#13240=CARTESIAN_POINT('',(-5.495E1,5.63E0,-1.995E1)); +#13241=LINE('',#13240,#13239); +#13242=DIRECTION('',(1.E0,0.E0,0.E0)); +#13243=VECTOR('',#13242,4.2E0); +#13244=CARTESIAN_POINT('',(-4.845E1,3.63E0,-1.995E1)); +#13245=LINE('',#13244,#13243); +#13246=DIRECTION('',(0.E0,1.E0,0.E0)); +#13247=VECTOR('',#13246,2.E0); +#13248=CARTESIAN_POINT('',(-4.425E1,3.63E0,-1.995E1)); +#13249=LINE('',#13248,#13247); +#13250=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13251=VECTOR('',#13250,4.2E0); +#13252=CARTESIAN_POINT('',(-4.425E1,5.63E0,-1.995E1)); +#13253=LINE('',#13252,#13251); +#13254=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13255=VECTOR('',#13254,2.E0); +#13256=CARTESIAN_POINT('',(-4.845E1,5.63E0,-1.995E1)); +#13257=LINE('',#13256,#13255); +#13258=DIRECTION('',(1.E0,0.E0,0.E0)); +#13259=VECTOR('',#13258,4.2E0); +#13260=CARTESIAN_POINT('',(-5.82E1,-2.37E0,-1.995E1)); +#13261=LINE('',#13260,#13259); +#13262=DIRECTION('',(0.E0,1.E0,0.E0)); +#13263=VECTOR('',#13262,2.E0); +#13264=CARTESIAN_POINT('',(-5.4E1,-2.37E0,-1.995E1)); +#13265=LINE('',#13264,#13263); +#13266=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13267=VECTOR('',#13266,4.2E0); +#13268=CARTESIAN_POINT('',(-5.4E1,-3.7E-1,-1.995E1)); +#13269=LINE('',#13268,#13267); +#13270=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13271=VECTOR('',#13270,2.E0); +#13272=CARTESIAN_POINT('',(-5.82E1,-3.7E-1,-1.995E1)); +#13273=LINE('',#13272,#13271); +#13274=DIRECTION('',(1.E0,0.E0,0.E0)); +#13275=VECTOR('',#13274,4.2E0); +#13276=CARTESIAN_POINT('',(-5.17E1,-2.37E0,-1.995E1)); +#13277=LINE('',#13276,#13275); +#13278=DIRECTION('',(0.E0,1.E0,0.E0)); +#13279=VECTOR('',#13278,2.E0); +#13280=CARTESIAN_POINT('',(-4.75E1,-2.37E0,-1.995E1)); +#13281=LINE('',#13280,#13279); +#13282=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13283=VECTOR('',#13282,4.2E0); +#13284=CARTESIAN_POINT('',(-4.75E1,-3.7E-1,-1.995E1)); +#13285=LINE('',#13284,#13283); +#13286=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13287=VECTOR('',#13286,2.E0); +#13288=CARTESIAN_POINT('',(-5.17E1,-3.7E-1,-1.995E1)); +#13289=LINE('',#13288,#13287); +#13290=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13291=VECTOR('',#13290,2.2E0); +#13292=CARTESIAN_POINT('',(2.535E1,-1.595022672948E0,-1.995E1)); +#13293=LINE('',#13292,#13291); +#13294=DIRECTION('',(1.E0,0.E0,0.E0)); +#13295=VECTOR('',#13294,2.2E0); +#13296=CARTESIAN_POINT('',(2.315E1,-3.344977327052E0,-1.995E1)); +#13297=LINE('',#13296,#13295); +#13298=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13299=VECTOR('',#13298,2.2E0); +#13300=CARTESIAN_POINT('',(2.965E1,-1.595022672948E0,-1.995E1)); +#13301=LINE('',#13300,#13299); +#13302=DIRECTION('',(1.E0,0.E0,0.E0)); +#13303=VECTOR('',#13302,2.2E0); +#13304=CARTESIAN_POINT('',(2.745E1,-3.344977327052E0,-1.995E1)); +#13305=LINE('',#13304,#13303); +#13306=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13307=VECTOR('',#13306,2.2E0); +#13308=CARTESIAN_POINT('',(3.395E1,-1.595022672948E0,-1.995E1)); +#13309=LINE('',#13308,#13307); +#13310=DIRECTION('',(1.E0,0.E0,0.E0)); +#13311=VECTOR('',#13310,2.2E0); +#13312=CARTESIAN_POINT('',(3.175E1,-3.344977327052E0,-1.995E1)); +#13313=LINE('',#13312,#13311); +#13314=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13315=VECTOR('',#13314,2.2E0); +#13316=CARTESIAN_POINT('',(3.825E1,-1.595022672948E0,-1.995E1)); +#13317=LINE('',#13316,#13315); +#13318=DIRECTION('',(1.E0,0.E0,0.E0)); +#13319=VECTOR('',#13318,2.2E0); +#13320=CARTESIAN_POINT('',(3.605E1,-3.344977327052E0,-1.995E1)); +#13321=LINE('',#13320,#13319); +#13322=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13323=VECTOR('',#13322,2.2E0); +#13324=CARTESIAN_POINT('',(4.255E1,-1.595022672948E0,-1.995E1)); +#13325=LINE('',#13324,#13323); +#13326=DIRECTION('',(1.E0,0.E0,0.E0)); +#13327=VECTOR('',#13326,2.2E0); +#13328=CARTESIAN_POINT('',(4.035E1,-3.344977327052E0,-1.995E1)); +#13329=LINE('',#13328,#13327); +#13330=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13331=VECTOR('',#13330,2.2E0); +#13332=CARTESIAN_POINT('',(4.685E1,-1.595022672948E0,-1.995E1)); +#13333=LINE('',#13332,#13331); +#13334=DIRECTION('',(1.E0,0.E0,0.E0)); +#13335=VECTOR('',#13334,2.2E0); +#13336=CARTESIAN_POINT('',(4.465E1,-3.344977327052E0,-1.995E1)); +#13337=LINE('',#13336,#13335); +#13338=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13339=VECTOR('',#13338,2.2E0); +#13340=CARTESIAN_POINT('',(5.115E1,-1.595022672948E0,-1.995E1)); +#13341=LINE('',#13340,#13339); +#13342=DIRECTION('',(1.E0,0.E0,0.E0)); +#13343=VECTOR('',#13342,2.2E0); +#13344=CARTESIAN_POINT('',(4.895E1,-3.344977327052E0,-1.995E1)); +#13345=LINE('',#13344,#13343); +#13346=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13347=VECTOR('',#13346,4.E0); +#13348=CARTESIAN_POINT('',(7.5E0,2.404977327052E0,-1.995E1)); +#13349=LINE('',#13348,#13347); +#13350=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13351=VECTOR('',#13350,2.1E0); +#13352=CARTESIAN_POINT('',(7.5E0,-1.595022672948E0,-1.995E1)); +#13353=LINE('',#13352,#13351); +#13354=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13355=VECTOR('',#13354,4.E0); +#13356=CARTESIAN_POINT('',(5.4E0,2.404977327052E0,-1.995E1)); +#13357=LINE('',#13356,#13355); +#13358=DIRECTION('',(1.E0,0.E0,0.E0)); +#13359=VECTOR('',#13358,2.2E0); +#13360=CARTESIAN_POINT('',(3.2E0,2.404977327052E0,-1.995E1)); +#13361=LINE('',#13360,#13359); +#13362=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13363=VECTOR('',#13362,4.E0); +#13364=CARTESIAN_POINT('',(3.2E0,2.404977327052E0,-1.995E1)); +#13365=LINE('',#13364,#13363); +#13366=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13367=VECTOR('',#13366,2.1E0); +#13368=CARTESIAN_POINT('',(3.2E0,-1.595022672948E0,-1.995E1)); +#13369=LINE('',#13368,#13367); +#13370=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13371=VECTOR('',#13370,4.E0); +#13372=CARTESIAN_POINT('',(1.1E0,2.404977327052E0,-1.995E1)); +#13373=LINE('',#13372,#13371); +#13374=DIRECTION('',(1.E0,0.E0,0.E0)); +#13375=VECTOR('',#13374,2.2E0); +#13376=CARTESIAN_POINT('',(-1.1E0,2.404977327052E0,-1.995E1)); +#13377=LINE('',#13376,#13375); +#13378=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13379=VECTOR('',#13378,4.E0); +#13380=CARTESIAN_POINT('',(-1.1E0,2.404977327052E0,-1.995E1)); +#13381=LINE('',#13380,#13379); +#13382=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13383=VECTOR('',#13382,2.1E0); +#13384=CARTESIAN_POINT('',(-1.1E0,-1.595022672948E0,-1.995E1)); +#13385=LINE('',#13384,#13383); +#13386=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13387=VECTOR('',#13386,4.E0); +#13388=CARTESIAN_POINT('',(-3.2E0,2.404977327052E0,-1.995E1)); +#13389=LINE('',#13388,#13387); +#13390=DIRECTION('',(1.E0,0.E0,0.E0)); +#13391=VECTOR('',#13390,2.2E0); +#13392=CARTESIAN_POINT('',(-5.4E0,2.404977327052E0,-1.995E1)); +#13393=LINE('',#13392,#13391); +#13394=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13395=VECTOR('',#13394,4.E0); +#13396=CARTESIAN_POINT('',(-5.4E0,2.404977327052E0,-1.995E1)); +#13397=LINE('',#13396,#13395); +#13398=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13399=VECTOR('',#13398,2.1E0); +#13400=CARTESIAN_POINT('',(-5.4E0,-1.595022672948E0,-1.995E1)); +#13401=LINE('',#13400,#13399); +#13402=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13403=VECTOR('',#13402,4.E0); +#13404=CARTESIAN_POINT('',(-7.5E0,2.404977327052E0,-1.995E1)); +#13405=LINE('',#13404,#13403); +#13406=DIRECTION('',(1.E0,0.E0,0.E0)); +#13407=VECTOR('',#13406,2.2E0); +#13408=CARTESIAN_POINT('',(-9.7E0,2.404977327052E0,-1.995E1)); +#13409=LINE('',#13408,#13407); +#13410=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13411=VECTOR('',#13410,4.E0); +#13412=CARTESIAN_POINT('',(-9.7E0,2.404977327052E0,-1.995E1)); +#13413=LINE('',#13412,#13411); +#13414=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13415=VECTOR('',#13414,2.1E0); +#13416=CARTESIAN_POINT('',(-9.7E0,-1.595022672948E0,-1.995E1)); +#13417=LINE('',#13416,#13415); +#13418=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13419=VECTOR('',#13418,4.E0); +#13420=CARTESIAN_POINT('',(-1.18E1,2.404977327052E0,-1.995E1)); +#13421=LINE('',#13420,#13419); +#13422=DIRECTION('',(1.E0,0.E0,0.E0)); +#13423=VECTOR('',#13422,2.2E0); +#13424=CARTESIAN_POINT('',(-1.4E1,2.404977327052E0,-1.995E1)); +#13425=LINE('',#13424,#13423); +#13426=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13427=VECTOR('',#13426,4.E0); +#13428=CARTESIAN_POINT('',(-1.4E1,2.404977327052E0,-1.995E1)); +#13429=LINE('',#13428,#13427); +#13430=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13431=VECTOR('',#13430,2.1E0); +#13432=CARTESIAN_POINT('',(-1.4E1,-1.595022672948E0,-1.995E1)); +#13433=LINE('',#13432,#13431); +#13434=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13435=VECTOR('',#13434,4.E0); +#13436=CARTESIAN_POINT('',(-1.61E1,2.404977327052E0,-1.995E1)); +#13437=LINE('',#13436,#13435); +#13438=DIRECTION('',(1.E0,0.E0,0.E0)); +#13439=VECTOR('',#13438,2.2E0); +#13440=CARTESIAN_POINT('',(-1.83E1,2.404977327052E0,-1.995E1)); +#13441=LINE('',#13440,#13439); +#13442=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13443=VECTOR('',#13442,4.E0); +#13444=CARTESIAN_POINT('',(-1.83E1,2.404977327052E0,-1.995E1)); +#13445=LINE('',#13444,#13443); +#13446=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13447=VECTOR('',#13446,2.1E0); +#13448=CARTESIAN_POINT('',(-1.83E1,-1.595022672948E0,-1.995E1)); +#13449=LINE('',#13448,#13447); +#13450=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13451=VECTOR('',#13450,4.E0); +#13452=CARTESIAN_POINT('',(-2.04E1,2.404977327052E0,-1.995E1)); +#13453=LINE('',#13452,#13451); +#13454=DIRECTION('',(1.E0,0.E0,0.E0)); +#13455=VECTOR('',#13454,2.2E0); +#13456=CARTESIAN_POINT('',(-2.26E1,2.404977327052E0,-1.995E1)); +#13457=LINE('',#13456,#13455); +#13458=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13459=VECTOR('',#13458,4.E0); +#13460=CARTESIAN_POINT('',(-2.26E1,2.404977327052E0,-1.995E1)); +#13461=LINE('',#13460,#13459); +#13462=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13463=VECTOR('',#13462,2.1E0); +#13464=CARTESIAN_POINT('',(-2.26E1,-1.595022672948E0,-1.995E1)); +#13465=LINE('',#13464,#13463); +#13466=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13467=VECTOR('',#13466,4.E0); +#13468=CARTESIAN_POINT('',(-2.47E1,2.404977327052E0,-1.995E1)); +#13469=LINE('',#13468,#13467); +#13470=DIRECTION('',(1.E0,0.E0,0.E0)); +#13471=VECTOR('',#13470,2.2E0); +#13472=CARTESIAN_POINT('',(-2.69E1,2.404977327052E0,-1.995E1)); +#13473=LINE('',#13472,#13471); +#13474=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13475=VECTOR('',#13474,4.E0); +#13476=CARTESIAN_POINT('',(-2.69E1,2.404977327052E0,-1.995E1)); +#13477=LINE('',#13476,#13475); +#13478=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13479=VECTOR('',#13478,2.1E0); +#13480=CARTESIAN_POINT('',(-2.69E1,-1.595022672948E0,-1.995E1)); +#13481=LINE('',#13480,#13479); +#13482=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13483=VECTOR('',#13482,4.E0); +#13484=CARTESIAN_POINT('',(-2.9E1,2.404977327052E0,-1.995E1)); +#13485=LINE('',#13484,#13483); +#13486=DIRECTION('',(1.E0,0.E0,0.E0)); +#13487=VECTOR('',#13486,2.2E0); +#13488=CARTESIAN_POINT('',(-3.12E1,2.404977327052E0,-1.995E1)); +#13489=LINE('',#13488,#13487); +#13490=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13491=VECTOR('',#13490,4.E0); +#13492=CARTESIAN_POINT('',(-3.12E1,2.404977327052E0,-1.995E1)); +#13493=LINE('',#13492,#13491); +#13494=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13495=VECTOR('',#13494,2.1E0); +#13496=CARTESIAN_POINT('',(-3.12E1,-1.595022672948E0,-1.995E1)); +#13497=LINE('',#13496,#13495); +#13498=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13499=VECTOR('',#13498,4.E0); +#13500=CARTESIAN_POINT('',(-3.33E1,2.404977327052E0,-1.995E1)); +#13501=LINE('',#13500,#13499); +#13502=DIRECTION('',(1.E0,0.E0,0.E0)); +#13503=VECTOR('',#13502,2.2E0); +#13504=CARTESIAN_POINT('',(-3.55E1,2.404977327052E0,-1.995E1)); +#13505=LINE('',#13504,#13503); +#13506=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13507=VECTOR('',#13506,4.E0); +#13508=CARTESIAN_POINT('',(-3.55E1,2.404977327052E0,-1.995E1)); +#13509=LINE('',#13508,#13507); +#13510=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13511=VECTOR('',#13510,2.1E0); +#13512=CARTESIAN_POINT('',(-3.55E1,-1.595022672948E0,-1.995E1)); +#13513=LINE('',#13512,#13511); +#13514=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13515=VECTOR('',#13514,4.E0); +#13516=CARTESIAN_POINT('',(-3.76E1,2.404977327052E0,-1.995E1)); +#13517=LINE('',#13516,#13515); +#13518=DIRECTION('',(1.E0,0.E0,0.E0)); +#13519=VECTOR('',#13518,2.2E0); +#13520=CARTESIAN_POINT('',(-3.98E1,2.404977327052E0,-1.995E1)); +#13521=LINE('',#13520,#13519); +#13522=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13523=VECTOR('',#13522,4.E0); +#13524=CARTESIAN_POINT('',(-3.98E1,2.404977327052E0,-1.995E1)); +#13525=LINE('',#13524,#13523); +#13526=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13527=VECTOR('',#13526,2.15E0); +#13528=CARTESIAN_POINT('',(-3.98E1,-1.595022672948E0,-1.995E1)); +#13529=LINE('',#13528,#13527); +#13530=DIRECTION('',(1.E0,0.E0,0.E0)); +#13531=VECTOR('',#13530,2.2E0); +#13532=CARTESIAN_POINT('',(-4.195E1,-3.344977327052E0,-1.995E1)); +#13533=LINE('',#13532,#13531); +#13534=DIRECTION('',(1.E0,0.E0,0.E0)); +#13535=VECTOR('',#13534,2.1E0); +#13536=CARTESIAN_POINT('',(-3.975E1,-1.783510852338E0,-1.995E1)); +#13537=LINE('',#13536,#13535); +#13538=DIRECTION('',(1.E0,0.E0,0.E0)); +#13539=VECTOR('',#13538,2.2E0); +#13540=CARTESIAN_POINT('',(-3.765E1,-3.344977327052E0,-1.995E1)); +#13541=LINE('',#13540,#13539); +#13542=DIRECTION('',(1.E0,0.E0,0.E0)); +#13543=VECTOR('',#13542,2.1E0); +#13544=CARTESIAN_POINT('',(-3.545E1,-1.783510852338E0,-1.995E1)); +#13545=LINE('',#13544,#13543); +#13546=DIRECTION('',(1.E0,0.E0,0.E0)); +#13547=VECTOR('',#13546,2.2E0); +#13548=CARTESIAN_POINT('',(-3.335E1,-3.344977327052E0,-1.995E1)); +#13549=LINE('',#13548,#13547); +#13550=DIRECTION('',(1.E0,0.E0,0.E0)); +#13551=VECTOR('',#13550,2.1E0); +#13552=CARTESIAN_POINT('',(-3.115E1,-1.783510852338E0,-1.995E1)); +#13553=LINE('',#13552,#13551); +#13554=DIRECTION('',(1.E0,0.E0,0.E0)); +#13555=VECTOR('',#13554,2.2E0); +#13556=CARTESIAN_POINT('',(-2.905E1,-3.344977327052E0,-1.995E1)); +#13557=LINE('',#13556,#13555); +#13558=DIRECTION('',(1.E0,0.E0,0.E0)); +#13559=VECTOR('',#13558,2.1E0); +#13560=CARTESIAN_POINT('',(-2.685E1,-1.783510852338E0,-1.995E1)); +#13561=LINE('',#13560,#13559); +#13562=DIRECTION('',(1.E0,0.E0,0.E0)); +#13563=VECTOR('',#13562,2.2E0); +#13564=CARTESIAN_POINT('',(-2.475E1,-3.344977327052E0,-1.995E1)); +#13565=LINE('',#13564,#13563); +#13566=DIRECTION('',(1.E0,0.E0,0.E0)); +#13567=VECTOR('',#13566,2.1E0); +#13568=CARTESIAN_POINT('',(-2.255E1,-1.783510852338E0,-1.995E1)); +#13569=LINE('',#13568,#13567); +#13570=DIRECTION('',(1.E0,0.E0,0.E0)); +#13571=VECTOR('',#13570,2.2E0); +#13572=CARTESIAN_POINT('',(-2.045E1,-3.344977327052E0,-1.995E1)); +#13573=LINE('',#13572,#13571); +#13574=DIRECTION('',(1.E0,0.E0,0.E0)); +#13575=VECTOR('',#13574,2.1E0); +#13576=CARTESIAN_POINT('',(-1.825E1,-1.783510852338E0,-1.995E1)); +#13577=LINE('',#13576,#13575); +#13578=DIRECTION('',(1.E0,0.E0,0.E0)); +#13579=VECTOR('',#13578,2.2E0); +#13580=CARTESIAN_POINT('',(-1.615E1,-3.344977327052E0,-1.995E1)); +#13581=LINE('',#13580,#13579); +#13582=DIRECTION('',(1.E0,0.E0,0.E0)); +#13583=VECTOR('',#13582,2.1E0); +#13584=CARTESIAN_POINT('',(-1.395E1,-1.783510852338E0,-1.995E1)); +#13585=LINE('',#13584,#13583); +#13586=DIRECTION('',(1.E0,0.E0,0.E0)); +#13587=VECTOR('',#13586,2.2E0); +#13588=CARTESIAN_POINT('',(-1.185E1,-3.344977327052E0,-1.995E1)); +#13589=LINE('',#13588,#13587); +#13590=DIRECTION('',(1.E0,0.E0,0.E0)); +#13591=VECTOR('',#13590,2.1E0); +#13592=CARTESIAN_POINT('',(-9.65E0,-1.783510852338E0,-1.995E1)); +#13593=LINE('',#13592,#13591); +#13594=DIRECTION('',(1.E0,0.E0,0.E0)); +#13595=VECTOR('',#13594,2.2E0); +#13596=CARTESIAN_POINT('',(-7.55E0,-3.344977327052E0,-1.995E1)); +#13597=LINE('',#13596,#13595); +#13598=DIRECTION('',(1.E0,0.E0,0.E0)); +#13599=VECTOR('',#13598,2.1E0); +#13600=CARTESIAN_POINT('',(-5.35E0,-1.783510852338E0,-1.995E1)); +#13601=LINE('',#13600,#13599); +#13602=DIRECTION('',(1.E0,0.E0,0.E0)); +#13603=VECTOR('',#13602,2.2E0); +#13604=CARTESIAN_POINT('',(-3.25E0,-3.344977327052E0,-1.995E1)); +#13605=LINE('',#13604,#13603); +#13606=DIRECTION('',(1.E0,0.E0,0.E0)); +#13607=VECTOR('',#13606,2.1E0); +#13608=CARTESIAN_POINT('',(-1.05E0,-1.783510852338E0,-1.995E1)); +#13609=LINE('',#13608,#13607); +#13610=DIRECTION('',(1.E0,0.E0,0.E0)); +#13611=VECTOR('',#13610,2.2E0); +#13612=CARTESIAN_POINT('',(1.05E0,-3.344977327052E0,-1.995E1)); +#13613=LINE('',#13612,#13611); +#13614=DIRECTION('',(1.E0,0.E0,0.E0)); +#13615=VECTOR('',#13614,2.1E0); +#13616=CARTESIAN_POINT('',(3.25E0,-1.783510852338E0,-1.995E1)); +#13617=LINE('',#13616,#13615); +#13618=DIRECTION('',(1.E0,0.E0,0.E0)); +#13619=VECTOR('',#13618,2.2E0); +#13620=CARTESIAN_POINT('',(5.35E0,-3.344977327052E0,-1.995E1)); +#13621=LINE('',#13620,#13619); +#13622=DIRECTION('',(1.E0,0.E0,0.E0)); +#13623=VECTOR('',#13622,2.15E0); +#13624=CARTESIAN_POINT('',(7.55E0,-1.783510852338E0,-1.995E1)); +#13625=LINE('',#13624,#13623); +#13626=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13627=VECTOR('',#13626,4.188488179390E0); +#13628=CARTESIAN_POINT('',(9.7E0,2.404977327052E0,-1.995E1)); +#13629=LINE('',#13628,#13627); +#13630=DIRECTION('',(1.E0,0.E0,0.E0)); +#13631=VECTOR('',#13630,2.2E0); +#13632=CARTESIAN_POINT('',(7.5E0,2.404977327052E0,-1.995E1)); +#13633=LINE('',#13632,#13631); +#13634=DIRECTION('',(1.E0,0.E0,0.E0)); +#13635=VECTOR('',#13634,1.65E0); +#13636=CARTESIAN_POINT('',(9.7E0,7.077654916055E0,-1.995E1)); +#13637=LINE('',#13636,#13635); +#13638=DIRECTION('',(0.E0,1.E0,0.E0)); +#13639=VECTOR('',#13638,1.049765491605E1); +#13640=CARTESIAN_POINT('',(1.135E1,-3.42E0,-1.995E1)); +#13641=LINE('',#13640,#13639); +#13642=CARTESIAN_POINT('',(8.35E0,-3.42E0,-1.995E1)); +#13643=DIRECTION('',(0.E0,0.E0,1.E0)); +#13644=DIRECTION('',(9.949874371066E-1,-1.E-1,0.E0)); +#13645=AXIS2_PLACEMENT_3D('',#13642,#13643,#13644); +#13647=DIRECTION('',(1.E0,0.E0,0.E0)); +#13648=VECTOR('',#13647,6.933049076365E1); +#13649=CARTESIAN_POINT('',(-5.799552845233E1,-3.72E0,-1.995E1)); +#13650=LINE('',#13649,#13648); +#13651=CARTESIAN_POINT('',(-5.298454052579E1,-4.2E-1,-1.995E1)); +#13652=DIRECTION('',(0.E0,0.E0,1.E0)); +#13653=DIRECTION('',(-8.800632982911E-1,4.748563898706E-1,0.E0)); +#13654=AXIS2_PLACEMENT_3D('',#13651,#13652,#13653); +#13656=DIRECTION('',(-4.748563898706E-1,-8.800632982911E-1,0.E0)); +#13657=VECTOR('',#13656,5.282025265521E0); +#13658=CARTESIAN_POINT('',(-5.575671686674E1,7.077654916055E0,-1.995E1)); +#13659=LINE('',#13658,#13657); +#13660=DIRECTION('',(1.E0,0.E0,0.E0)); +#13661=VECTOR('',#13660,1.380671686674E1); +#13662=CARTESIAN_POINT('',(-5.575671686674E1,7.077654916055E0,-1.995E1)); +#13663=LINE('',#13662,#13661); +#13664=DIRECTION('',(1.E0,0.E0,0.E0)); +#13665=VECTOR('',#13664,2.2E0); +#13666=CARTESIAN_POINT('',(-4.195E1,5.455022672948E0,-1.995E1)); +#13667=LINE('',#13666,#13665); +#13668=DIRECTION('',(1.E0,0.E0,0.E0)); +#13669=VECTOR('',#13668,2.1E0); +#13670=CARTESIAN_POINT('',(-3.975E1,7.077654916055E0,-1.995E1)); +#13671=LINE('',#13670,#13669); +#13672=DIRECTION('',(1.E0,0.E0,0.E0)); +#13673=VECTOR('',#13672,2.2E0); +#13674=CARTESIAN_POINT('',(-3.765E1,5.455022672948E0,-1.995E1)); +#13675=LINE('',#13674,#13673); +#13676=DIRECTION('',(1.E0,0.E0,0.E0)); +#13677=VECTOR('',#13676,2.1E0); +#13678=CARTESIAN_POINT('',(-3.545E1,7.077654916055E0,-1.995E1)); +#13679=LINE('',#13678,#13677); +#13680=DIRECTION('',(1.E0,0.E0,0.E0)); +#13681=VECTOR('',#13680,2.2E0); +#13682=CARTESIAN_POINT('',(-3.335E1,5.455022672948E0,-1.995E1)); +#13683=LINE('',#13682,#13681); +#13684=DIRECTION('',(1.E0,0.E0,0.E0)); +#13685=VECTOR('',#13684,2.1E0); +#13686=CARTESIAN_POINT('',(-3.115E1,7.077654916055E0,-1.995E1)); +#13687=LINE('',#13686,#13685); +#13688=DIRECTION('',(1.E0,0.E0,0.E0)); +#13689=VECTOR('',#13688,2.2E0); +#13690=CARTESIAN_POINT('',(-2.905E1,5.455022672948E0,-1.995E1)); +#13691=LINE('',#13690,#13689); +#13692=DIRECTION('',(1.E0,0.E0,0.E0)); +#13693=VECTOR('',#13692,2.1E0); +#13694=CARTESIAN_POINT('',(-2.685E1,7.077654916055E0,-1.995E1)); +#13695=LINE('',#13694,#13693); +#13696=DIRECTION('',(1.E0,0.E0,0.E0)); +#13697=VECTOR('',#13696,2.2E0); +#13698=CARTESIAN_POINT('',(-2.475E1,5.455022672948E0,-1.995E1)); +#13699=LINE('',#13698,#13697); +#13700=DIRECTION('',(1.E0,0.E0,0.E0)); +#13701=VECTOR('',#13700,2.1E0); +#13702=CARTESIAN_POINT('',(-2.255E1,7.077654916055E0,-1.995E1)); +#13703=LINE('',#13702,#13701); +#13704=DIRECTION('',(1.E0,0.E0,0.E0)); +#13705=VECTOR('',#13704,2.2E0); +#13706=CARTESIAN_POINT('',(-2.045E1,5.455022672948E0,-1.995E1)); +#13707=LINE('',#13706,#13705); +#13708=DIRECTION('',(1.E0,0.E0,0.E0)); +#13709=VECTOR('',#13708,2.1E0); +#13710=CARTESIAN_POINT('',(-1.825E1,7.077654916055E0,-1.995E1)); +#13711=LINE('',#13710,#13709); +#13712=DIRECTION('',(1.E0,0.E0,0.E0)); +#13713=VECTOR('',#13712,2.2E0); +#13714=CARTESIAN_POINT('',(-1.615E1,5.455022672948E0,-1.995E1)); +#13715=LINE('',#13714,#13713); +#13716=DIRECTION('',(1.E0,0.E0,0.E0)); +#13717=VECTOR('',#13716,2.1E0); +#13718=CARTESIAN_POINT('',(-1.395E1,7.077654916055E0,-1.995E1)); +#13719=LINE('',#13718,#13717); +#13720=DIRECTION('',(1.E0,0.E0,0.E0)); +#13721=VECTOR('',#13720,2.2E0); +#13722=CARTESIAN_POINT('',(-1.185E1,5.455022672948E0,-1.995E1)); +#13723=LINE('',#13722,#13721); +#13724=DIRECTION('',(1.E0,0.E0,0.E0)); +#13725=VECTOR('',#13724,2.1E0); +#13726=CARTESIAN_POINT('',(-9.65E0,7.077654916055E0,-1.995E1)); +#13727=LINE('',#13726,#13725); +#13728=DIRECTION('',(1.E0,0.E0,0.E0)); +#13729=VECTOR('',#13728,2.2E0); +#13730=CARTESIAN_POINT('',(-7.55E0,5.455022672948E0,-1.995E1)); +#13731=LINE('',#13730,#13729); +#13732=DIRECTION('',(1.E0,0.E0,0.E0)); +#13733=VECTOR('',#13732,2.1E0); +#13734=CARTESIAN_POINT('',(-5.35E0,7.077654916055E0,-1.995E1)); +#13735=LINE('',#13734,#13733); +#13736=DIRECTION('',(1.E0,0.E0,0.E0)); +#13737=VECTOR('',#13736,2.2E0); +#13738=CARTESIAN_POINT('',(-3.25E0,5.455022672948E0,-1.995E1)); +#13739=LINE('',#13738,#13737); +#13740=DIRECTION('',(1.E0,0.E0,0.E0)); +#13741=VECTOR('',#13740,2.1E0); +#13742=CARTESIAN_POINT('',(-1.05E0,7.077654916055E0,-1.995E1)); +#13743=LINE('',#13742,#13741); +#13744=DIRECTION('',(1.E0,0.E0,0.E0)); +#13745=VECTOR('',#13744,2.2E0); +#13746=CARTESIAN_POINT('',(1.05E0,5.455022672948E0,-1.995E1)); +#13747=LINE('',#13746,#13745); +#13748=DIRECTION('',(1.E0,0.E0,0.E0)); +#13749=VECTOR('',#13748,2.1E0); +#13750=CARTESIAN_POINT('',(3.25E0,7.077654916055E0,-1.995E1)); +#13751=LINE('',#13750,#13749); +#13752=DIRECTION('',(1.E0,0.E0,0.E0)); +#13753=VECTOR('',#13752,2.2E0); +#13754=CARTESIAN_POINT('',(5.35E0,5.455022672948E0,-1.995E1)); +#13755=LINE('',#13754,#13753); +#13756=DIRECTION('',(1.E0,0.E0,0.E0)); +#13757=VECTOR('',#13756,2.15E0); +#13758=CARTESIAN_POINT('',(7.55E0,7.077654916055E0,-1.995E1)); +#13759=LINE('',#13758,#13757); +#13760=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13761=VECTOR('',#13760,3.902858578042E0); +#13762=CARTESIAN_POINT('',(2.53E1,2.404977327052E0,-1.995E1)); +#13763=LINE('',#13762,#13761); +#13764=DIRECTION('',(1.E0,0.E0,0.E0)); +#13765=VECTOR('',#13764,2.2E0); +#13766=CARTESIAN_POINT('',(2.53E1,-1.497881250990E0,-1.995E1)); +#13767=LINE('',#13766,#13765); +#13768=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13769=VECTOR('',#13768,3.902858578042E0); +#13770=CARTESIAN_POINT('',(2.75E1,2.404977327052E0,-1.995E1)); +#13771=LINE('',#13770,#13769); +#13772=DIRECTION('',(1.E0,0.E0,0.E0)); +#13773=VECTOR('',#13772,2.2E0); +#13774=CARTESIAN_POINT('',(2.53E1,2.404977327052E0,-1.995E1)); +#13775=LINE('',#13774,#13773); +#13776=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13777=VECTOR('',#13776,3.902858578042E0); +#13778=CARTESIAN_POINT('',(2.96E1,2.404977327052E0,-1.995E1)); +#13779=LINE('',#13778,#13777); +#13780=DIRECTION('',(1.E0,0.E0,0.E0)); +#13781=VECTOR('',#13780,2.2E0); +#13782=CARTESIAN_POINT('',(2.96E1,-1.497881250990E0,-1.995E1)); +#13783=LINE('',#13782,#13781); +#13784=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13785=VECTOR('',#13784,3.902858578042E0); +#13786=CARTESIAN_POINT('',(3.18E1,2.404977327052E0,-1.995E1)); +#13787=LINE('',#13786,#13785); +#13788=DIRECTION('',(1.E0,0.E0,0.E0)); +#13789=VECTOR('',#13788,2.2E0); +#13790=CARTESIAN_POINT('',(2.96E1,2.404977327052E0,-1.995E1)); +#13791=LINE('',#13790,#13789); +#13792=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13793=VECTOR('',#13792,3.902858578042E0); +#13794=CARTESIAN_POINT('',(3.39E1,2.404977327052E0,-1.995E1)); +#13795=LINE('',#13794,#13793); +#13796=DIRECTION('',(1.E0,0.E0,0.E0)); +#13797=VECTOR('',#13796,2.2E0); +#13798=CARTESIAN_POINT('',(3.39E1,-1.497881250990E0,-1.995E1)); +#13799=LINE('',#13798,#13797); +#13800=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13801=VECTOR('',#13800,3.902858578042E0); +#13802=CARTESIAN_POINT('',(3.61E1,2.404977327052E0,-1.995E1)); +#13803=LINE('',#13802,#13801); +#13804=DIRECTION('',(1.E0,0.E0,0.E0)); +#13805=VECTOR('',#13804,2.2E0); +#13806=CARTESIAN_POINT('',(3.39E1,2.404977327052E0,-1.995E1)); +#13807=LINE('',#13806,#13805); +#13808=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13809=VECTOR('',#13808,3.902858578042E0); +#13810=CARTESIAN_POINT('',(3.82E1,2.404977327052E0,-1.995E1)); +#13811=LINE('',#13810,#13809); +#13812=DIRECTION('',(1.E0,0.E0,0.E0)); +#13813=VECTOR('',#13812,2.2E0); +#13814=CARTESIAN_POINT('',(3.82E1,-1.497881250990E0,-1.995E1)); +#13815=LINE('',#13814,#13813); +#13816=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13817=VECTOR('',#13816,3.902858578042E0); +#13818=CARTESIAN_POINT('',(4.04E1,2.404977327052E0,-1.995E1)); +#13819=LINE('',#13818,#13817); +#13820=DIRECTION('',(1.E0,0.E0,0.E0)); +#13821=VECTOR('',#13820,2.2E0); +#13822=CARTESIAN_POINT('',(3.82E1,2.404977327052E0,-1.995E1)); +#13823=LINE('',#13822,#13821); +#13824=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13825=VECTOR('',#13824,3.902858578042E0); +#13826=CARTESIAN_POINT('',(4.25E1,2.404977327052E0,-1.995E1)); +#13827=LINE('',#13826,#13825); +#13828=DIRECTION('',(1.E0,0.E0,0.E0)); +#13829=VECTOR('',#13828,2.2E0); +#13830=CARTESIAN_POINT('',(4.25E1,-1.497881250990E0,-1.995E1)); +#13831=LINE('',#13830,#13829); +#13832=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13833=VECTOR('',#13832,3.902858578042E0); +#13834=CARTESIAN_POINT('',(4.47E1,2.404977327052E0,-1.995E1)); +#13835=LINE('',#13834,#13833); +#13836=DIRECTION('',(1.E0,0.E0,0.E0)); +#13837=VECTOR('',#13836,2.2E0); +#13838=CARTESIAN_POINT('',(4.25E1,2.404977327052E0,-1.995E1)); +#13839=LINE('',#13838,#13837); +#13840=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13841=VECTOR('',#13840,3.902858578042E0); +#13842=CARTESIAN_POINT('',(4.68E1,2.404977327052E0,-1.995E1)); +#13843=LINE('',#13842,#13841); +#13844=DIRECTION('',(1.E0,0.E0,0.E0)); +#13845=VECTOR('',#13844,2.2E0); +#13846=CARTESIAN_POINT('',(4.68E1,-1.497881250990E0,-1.995E1)); +#13847=LINE('',#13846,#13845); +#13848=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13849=VECTOR('',#13848,3.902858578042E0); +#13850=CARTESIAN_POINT('',(4.9E1,2.404977327052E0,-1.995E1)); +#13851=LINE('',#13850,#13849); +#13852=DIRECTION('',(1.E0,0.E0,0.E0)); +#13853=VECTOR('',#13852,2.2E0); +#13854=CARTESIAN_POINT('',(4.68E1,2.404977327052E0,-1.995E1)); +#13855=LINE('',#13854,#13853); +#13856=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13857=VECTOR('',#13856,3.902858578042E0); +#13858=CARTESIAN_POINT('',(5.11E1,2.404977327052E0,-1.995E1)); +#13859=LINE('',#13858,#13857); +#13860=DIRECTION('',(1.E0,0.E0,0.E0)); +#13861=VECTOR('',#13860,2.2E0); +#13862=CARTESIAN_POINT('',(5.11E1,-1.497881250990E0,-1.995E1)); +#13863=LINE('',#13862,#13861); +#13864=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13865=VECTOR('',#13864,3.902858578042E0); +#13866=CARTESIAN_POINT('',(5.33E1,2.404977327052E0,-1.995E1)); +#13867=LINE('',#13866,#13865); +#13868=DIRECTION('',(1.E0,0.E0,0.E0)); +#13869=VECTOR('',#13868,2.2E0); +#13870=CARTESIAN_POINT('',(5.11E1,2.404977327052E0,-1.995E1)); +#13871=LINE('',#13870,#13869); +#13872=DIRECTION('',(1.E0,0.E0,0.E0)); +#13873=VECTOR('',#13872,1.95E0); +#13874=CARTESIAN_POINT('',(5.33E1,7.077654916055E0,-1.995E1)); +#13875=LINE('',#13874,#13873); +#13876=DIRECTION('',(0.E0,1.E0,0.E0)); +#13877=VECTOR('',#13876,1.049765491605E1); +#13878=CARTESIAN_POINT('',(5.525E1,-3.42E0,-1.995E1)); +#13879=LINE('',#13878,#13877); +#13880=CARTESIAN_POINT('',(5.225E1,-3.42E0,-1.995E1)); +#13881=DIRECTION('',(0.E0,0.E0,1.E0)); +#13882=DIRECTION('',(9.949874371066E-1,-1.E-1,0.E0)); +#13883=AXIS2_PLACEMENT_3D('',#13880,#13881,#13882); +#13885=DIRECTION('',(1.E0,0.E0,0.E0)); +#13886=VECTOR('',#13885,3.371992462264E1); +#13887=CARTESIAN_POINT('',(2.151503768868E1,-3.72E0,-1.995E1)); +#13888=LINE('',#13887,#13886); +#13889=CARTESIAN_POINT('',(2.45E1,-3.42E0,-1.995E1)); +#13890=DIRECTION('',(0.E0,0.E0,1.E0)); +#13891=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13892=AXIS2_PLACEMENT_3D('',#13889,#13890,#13891); +#13894=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13895=VECTOR('',#13894,1.049765491605E1); +#13896=CARTESIAN_POINT('',(2.15E1,7.077654916055E0,-1.995E1)); +#13897=LINE('',#13896,#13895); +#13898=DIRECTION('',(1.E0,0.E0,0.E0)); +#13899=VECTOR('',#13898,1.65E0); +#13900=CARTESIAN_POINT('',(2.15E1,7.077654916055E0,-1.995E1)); +#13901=LINE('',#13900,#13899); +#13902=DIRECTION('',(1.E0,0.E0,0.E0)); +#13903=VECTOR('',#13902,2.2E0); +#13904=CARTESIAN_POINT('',(2.315E1,5.455022672948E0,-1.995E1)); +#13905=LINE('',#13904,#13903); +#13906=DIRECTION('',(1.E0,0.E0,0.E0)); +#13907=VECTOR('',#13906,2.1E0); +#13908=CARTESIAN_POINT('',(2.535E1,7.077654916055E0,-1.995E1)); +#13909=LINE('',#13908,#13907); +#13910=DIRECTION('',(1.E0,0.E0,0.E0)); +#13911=VECTOR('',#13910,2.2E0); +#13912=CARTESIAN_POINT('',(2.745E1,5.455022672948E0,-1.995E1)); +#13913=LINE('',#13912,#13911); +#13914=DIRECTION('',(1.E0,0.E0,0.E0)); +#13915=VECTOR('',#13914,2.1E0); +#13916=CARTESIAN_POINT('',(2.965E1,7.077654916055E0,-1.995E1)); +#13917=LINE('',#13916,#13915); +#13918=DIRECTION('',(1.E0,0.E0,0.E0)); +#13919=VECTOR('',#13918,2.2E0); +#13920=CARTESIAN_POINT('',(3.175E1,5.455022672948E0,-1.995E1)); +#13921=LINE('',#13920,#13919); +#13922=DIRECTION('',(1.E0,0.E0,0.E0)); +#13923=VECTOR('',#13922,2.1E0); +#13924=CARTESIAN_POINT('',(3.395E1,7.077654916055E0,-1.995E1)); +#13925=LINE('',#13924,#13923); +#13926=DIRECTION('',(1.E0,0.E0,0.E0)); +#13927=VECTOR('',#13926,2.2E0); +#13928=CARTESIAN_POINT('',(3.605E1,5.455022672948E0,-1.995E1)); +#13929=LINE('',#13928,#13927); +#13930=DIRECTION('',(1.E0,0.E0,0.E0)); +#13931=VECTOR('',#13930,2.1E0); +#13932=CARTESIAN_POINT('',(3.825E1,7.077654916055E0,-1.995E1)); +#13933=LINE('',#13932,#13931); +#13934=DIRECTION('',(1.E0,0.E0,0.E0)); +#13935=VECTOR('',#13934,2.2E0); +#13936=CARTESIAN_POINT('',(4.035E1,5.455022672948E0,-1.995E1)); +#13937=LINE('',#13936,#13935); +#13938=DIRECTION('',(1.E0,0.E0,0.E0)); +#13939=VECTOR('',#13938,2.1E0); +#13940=CARTESIAN_POINT('',(4.255E1,7.077654916055E0,-1.995E1)); +#13941=LINE('',#13940,#13939); +#13942=DIRECTION('',(1.E0,0.E0,0.E0)); +#13943=VECTOR('',#13942,2.2E0); +#13944=CARTESIAN_POINT('',(4.465E1,5.455022672948E0,-1.995E1)); +#13945=LINE('',#13944,#13943); +#13946=DIRECTION('',(1.E0,0.E0,0.E0)); +#13947=VECTOR('',#13946,2.1E0); +#13948=CARTESIAN_POINT('',(4.685E1,7.077654916055E0,-1.995E1)); +#13949=LINE('',#13948,#13947); +#13950=DIRECTION('',(1.E0,0.E0,0.E0)); +#13951=VECTOR('',#13950,2.2E0); +#13952=CARTESIAN_POINT('',(4.895E1,5.455022672948E0,-1.995E1)); +#13953=LINE('',#13952,#13951); +#13954=DIRECTION('',(1.E0,0.E0,0.E0)); +#13955=VECTOR('',#13954,2.15E0); +#13956=CARTESIAN_POINT('',(5.115E1,7.077654916055E0,-1.995E1)); +#13957=LINE('',#13956,#13955); +#13958=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13959=VECTOR('',#13958,9.85E0); +#13960=CARTESIAN_POINT('',(-4.25E0,4.58E0,-1.01E1)); +#13961=LINE('',#13960,#13959); +#13962=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13963=VECTOR('',#13962,9.85E0); +#13964=CARTESIAN_POINT('',(9.85E0,4.58E0,-1.01E1)); +#13965=LINE('',#13964,#13963); +#13966=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13967=VECTOR('',#13966,1.41E1); +#13968=CARTESIAN_POINT('',(9.85E0,4.58E0,-1.01E1)); +#13969=LINE('',#13968,#13967); +#13970=CARTESIAN_POINT('',(-4.25E0,4.28E0,-1.995E1)); +#13971=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13972=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13973=AXIS2_PLACEMENT_3D('',#13970,#13971,#13972); +#13975=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#13976=VECTOR('',#13975,3.674234614175E-1); +#13977=CARTESIAN_POINT('',(-4.462132034356E0,4.492132034356E0, +-1.001213203436E1)); +#13978=LINE('',#13977,#13976); +#13979=CARTESIAN_POINT('',(-4.25E0,4.58E0,-1.01E1)); +#13980=CARTESIAN_POINT('',(-4.296075974928E0,4.58E0,-1.01E1)); +#13981=CARTESIAN_POINT('',(-4.374035355589E0,4.560612589936E0, +-1.008061258994E1)); +#13982=CARTESIAN_POINT('',(-4.435530057828E0,4.518734010884E0, +-1.003873401088E1)); +#13983=CARTESIAN_POINT('',(-4.462132034356E0,4.492132034356E0, +-1.001213203436E1)); +#13985=CARTESIAN_POINT('',(1.006213203436E1,4.492132034356E0, +-1.001213203436E1)); +#13986=CARTESIAN_POINT('',(1.003553005783E1,4.518734010884E0, +-1.003873401088E1)); +#13987=CARTESIAN_POINT('',(9.974035355589E0,4.560612589936E0, +-1.008061258994E1)); +#13988=CARTESIAN_POINT('',(9.896075974928E0,4.58E0,-1.01E1)); +#13989=CARTESIAN_POINT('',(9.85E0,4.58E0,-1.01E1)); +#13991=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#13992=VECTOR('',#13991,3.674234614175E-1); +#13993=CARTESIAN_POINT('',(1.006213203436E1,4.492132034356E0, +-1.001213203436E1)); +#13994=LINE('',#13993,#13992); +#13995=CARTESIAN_POINT('',(1.015E1,4.28E0,-1.01E1)); +#13996=CARTESIAN_POINT('',(1.015E1,4.326075974928E0,-1.01E1)); +#13997=CARTESIAN_POINT('',(1.013061258994E1,4.404035355589E0, +-1.008061258994E1)); +#13998=CARTESIAN_POINT('',(1.008873401088E1,4.465530057828E0, +-1.003873401088E1)); +#13999=CARTESIAN_POINT('',(1.006213203436E1,4.492132034356E0, +-1.001213203436E1)); +#14001=CARTESIAN_POINT('',(1.006213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14002=CARTESIAN_POINT('',(1.008873401088E1,3.394469942172E0, +-1.003873401088E1)); +#14003=CARTESIAN_POINT('',(1.013061258994E1,3.455964644411E0, +-1.008061258994E1)); +#14004=CARTESIAN_POINT('',(1.015E1,3.533924025072E0,-1.01E1)); +#14005=CARTESIAN_POINT('',(1.015E1,3.58E0,-1.01E1)); +#14007=CARTESIAN_POINT('',(9.85E0,4.28E0,-1.995E1)); +#14008=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14009=DIRECTION('',(0.E0,1.E0,0.E0)); +#14010=AXIS2_PLACEMENT_3D('',#14007,#14008,#14009); +#14012=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14013=VECTOR('',#14012,9.85E0); +#14014=CARTESIAN_POINT('',(1.015E1,3.58E0,-1.01E1)); +#14015=LINE('',#14014,#14013); +#14016=DIRECTION('',(0.E0,1.E0,0.E0)); +#14017=VECTOR('',#14016,7.E-1); +#14018=CARTESIAN_POINT('',(1.015E1,3.58E0,-1.01E1)); +#14019=LINE('',#14018,#14017); +#14020=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14021=VECTOR('',#14020,9.85E0); +#14022=CARTESIAN_POINT('',(1.015E1,4.28E0,-1.01E1)); +#14023=LINE('',#14022,#14021); +#14024=CARTESIAN_POINT('',(9.85E0,3.58E0,-1.995E1)); +#14025=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14026=DIRECTION('',(1.E0,0.E0,0.E0)); +#14027=AXIS2_PLACEMENT_3D('',#14024,#14025,#14026); +#14029=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14030=VECTOR('',#14029,9.85E0); +#14031=CARTESIAN_POINT('',(-4.25E0,3.28E0,-1.01E1)); +#14032=LINE('',#14031,#14030); +#14033=DIRECTION('',(1.E0,0.E0,0.E0)); +#14034=VECTOR('',#14033,1.41E1); +#14035=CARTESIAN_POINT('',(-4.25E0,3.28E0,-1.01E1)); +#14036=LINE('',#14035,#14034); +#14037=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14038=VECTOR('',#14037,9.85E0); +#14039=CARTESIAN_POINT('',(9.85E0,3.28E0,-1.01E1)); +#14040=LINE('',#14039,#14038); +#14041=CARTESIAN_POINT('',(-4.25E0,3.58E0,-1.995E1)); +#14042=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14043=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14044=AXIS2_PLACEMENT_3D('',#14041,#14042,#14043); +#14046=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14047=VECTOR('',#14046,9.85E0); +#14048=CARTESIAN_POINT('',(-4.55E0,4.28E0,-1.01E1)); +#14049=LINE('',#14048,#14047); +#14050=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14051=VECTOR('',#14050,7.E-1); +#14052=CARTESIAN_POINT('',(-4.55E0,4.28E0,-1.01E1)); +#14053=LINE('',#14052,#14051); +#14054=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14055=VECTOR('',#14054,9.85E0); +#14056=CARTESIAN_POINT('',(-4.55E0,3.58E0,-1.01E1)); +#14057=LINE('',#14056,#14055); +#14058=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#14059=VECTOR('',#14058,3.674234614175E-1); +#14060=CARTESIAN_POINT('',(-4.462132034356E0,3.367867965644E0, +-1.001213203436E1)); +#14061=LINE('',#14060,#14059); +#14062=CARTESIAN_POINT('',(-4.55E0,3.58E0,-1.01E1)); +#14063=CARTESIAN_POINT('',(-4.55E0,3.533924025072E0,-1.01E1)); +#14064=CARTESIAN_POINT('',(-4.530612589936E0,3.455964644411E0, +-1.008061258994E1)); +#14065=CARTESIAN_POINT('',(-4.488734010884E0,3.394469942172E0, +-1.003873401088E1)); +#14066=CARTESIAN_POINT('',(-4.462132034356E0,3.367867965644E0, +-1.001213203436E1)); +#14068=CARTESIAN_POINT('',(-4.462132034356E0,4.492132034356E0, +-1.001213203436E1)); +#14069=CARTESIAN_POINT('',(-4.488734010884E0,4.465530057828E0, +-1.003873401088E1)); +#14070=CARTESIAN_POINT('',(-4.530612589936E0,4.404035355589E0, +-1.008061258994E1)); +#14071=CARTESIAN_POINT('',(-4.55E0,4.326075974928E0,-1.01E1)); +#14072=CARTESIAN_POINT('',(-4.55E0,4.28E0,-1.01E1)); +#14074=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#14075=VECTOR('',#14074,3.674234614175E-1); +#14076=CARTESIAN_POINT('',(1.006213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14077=LINE('',#14076,#14075); +#14078=CARTESIAN_POINT('',(9.85E0,3.28E0,-1.01E1)); +#14079=CARTESIAN_POINT('',(9.896075974928E0,3.28E0,-1.01E1)); +#14080=CARTESIAN_POINT('',(9.974035355589E0,3.299387410064E0, +-1.008061258994E1)); +#14081=CARTESIAN_POINT('',(1.003553005783E1,3.341265989116E0, +-1.003873401088E1)); +#14082=CARTESIAN_POINT('',(1.006213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14084=CARTESIAN_POINT('',(-4.462132034356E0,3.367867965644E0, +-1.001213203436E1)); +#14085=CARTESIAN_POINT('',(-4.435530057828E0,3.341265989116E0, +-1.003873401088E1)); +#14086=CARTESIAN_POINT('',(-4.374035355589E0,3.299387410064E0, +-1.008061258994E1)); +#14087=CARTESIAN_POINT('',(-4.296075974928E0,3.28E0,-1.01E1)); +#14088=CARTESIAN_POINT('',(-4.25E0,3.28E0,-1.01E1)); +#14090=DIRECTION('',(1.E0,0.E0,0.E0)); +#14091=VECTOR('',#14090,3.2E0); +#14092=CARTESIAN_POINT('',(-4.135E1,3.58E0,-9.8E0)); +#14093=LINE('',#14092,#14091); +#14094=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14095=VECTOR('',#14094,7.E-1); +#14096=CARTESIAN_POINT('',(-4.135E1,4.28E0,-9.8E0)); +#14097=LINE('',#14096,#14095); +#14098=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14099=VECTOR('',#14098,3.2E0); +#14100=CARTESIAN_POINT('',(-3.815E1,4.28E0,-9.8E0)); +#14101=LINE('',#14100,#14099); +#14102=DIRECTION('',(0.E0,1.E0,0.E0)); +#14103=VECTOR('',#14102,7.E-1); +#14104=CARTESIAN_POINT('',(-3.815E1,3.58E0,-9.8E0)); +#14105=LINE('',#14104,#14103); +#14106=DIRECTION('',(1.E0,0.E0,0.E0)); +#14107=VECTOR('',#14106,8.2E0); +#14108=CARTESIAN_POINT('',(-3.395E1,3.58E0,-9.8E0)); +#14109=LINE('',#14108,#14107); +#14110=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14111=VECTOR('',#14110,7.E-1); +#14112=CARTESIAN_POINT('',(-3.395E1,4.28E0,-9.8E0)); +#14113=LINE('',#14112,#14111); +#14114=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14115=VECTOR('',#14114,8.2E0); +#14116=CARTESIAN_POINT('',(-2.575E1,4.28E0,-9.8E0)); +#14117=LINE('',#14116,#14115); +#14118=DIRECTION('',(0.E0,1.E0,0.E0)); +#14119=VECTOR('',#14118,7.E-1); +#14120=CARTESIAN_POINT('',(-2.575E1,3.58E0,-9.8E0)); +#14121=LINE('',#14120,#14119); +#14122=DIRECTION('',(1.E0,0.E0,0.E0)); +#14123=VECTOR('',#14122,1.31E1); +#14124=CARTESIAN_POINT('',(-2.155E1,3.58E0,-9.8E0)); +#14125=LINE('',#14124,#14123); +#14126=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14127=VECTOR('',#14126,7.E-1); +#14128=CARTESIAN_POINT('',(-2.155E1,4.28E0,-9.8E0)); +#14129=LINE('',#14128,#14127); +#14130=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14131=VECTOR('',#14130,1.31E1); +#14132=CARTESIAN_POINT('',(-8.45E0,4.28E0,-9.8E0)); +#14133=LINE('',#14132,#14131); +#14134=DIRECTION('',(0.E0,1.E0,0.E0)); +#14135=VECTOR('',#14134,7.E-1); +#14136=CARTESIAN_POINT('',(-8.45E0,3.58E0,-9.8E0)); +#14137=LINE('',#14136,#14135); +#14138=DIRECTION('',(1.E0,0.E0,0.E0)); +#14139=VECTOR('',#14138,1.41E1); +#14140=CARTESIAN_POINT('',(-4.25E0,3.58E0,-9.8E0)); +#14141=LINE('',#14140,#14139); +#14142=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14143=VECTOR('',#14142,7.E-1); +#14144=CARTESIAN_POINT('',(-4.25E0,4.28E0,-9.8E0)); +#14145=LINE('',#14144,#14143); +#14146=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14147=VECTOR('',#14146,1.41E1); +#14148=CARTESIAN_POINT('',(9.85E0,4.28E0,-9.8E0)); +#14149=LINE('',#14148,#14147); +#14150=DIRECTION('',(0.E0,1.E0,0.E0)); +#14151=VECTOR('',#14150,7.E-1); +#14152=CARTESIAN_POINT('',(9.85E0,3.58E0,-9.8E0)); +#14153=LINE('',#14152,#14151); +#14154=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14155=VECTOR('',#14154,1.19E1); +#14156=CARTESIAN_POINT('',(3.49E1,4.28E0,-9.8E0)); +#14157=LINE('',#14156,#14155); +#14158=DIRECTION('',(0.E0,1.E0,0.E0)); +#14159=VECTOR('',#14158,7.E-1); +#14160=CARTESIAN_POINT('',(3.49E1,3.58E0,-9.8E0)); +#14161=LINE('',#14160,#14159); +#14162=DIRECTION('',(1.E0,0.E0,0.E0)); +#14163=VECTOR('',#14162,1.19E1); +#14164=CARTESIAN_POINT('',(2.3E1,3.58E0,-9.8E0)); +#14165=LINE('',#14164,#14163); +#14166=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14167=VECTOR('',#14166,7.E-1); +#14168=CARTESIAN_POINT('',(2.3E1,4.28E0,-9.8E0)); +#14169=LINE('',#14168,#14167); +#14170=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14171=VECTOR('',#14170,6.6E0); +#14172=CARTESIAN_POINT('',(4.57E1,4.28E0,-9.8E0)); +#14173=LINE('',#14172,#14171); +#14174=DIRECTION('',(0.E0,1.E0,0.E0)); +#14175=VECTOR('',#14174,7.E-1); +#14176=CARTESIAN_POINT('',(4.57E1,3.58E0,-9.8E0)); +#14177=LINE('',#14176,#14175); +#14178=DIRECTION('',(1.E0,0.E0,0.E0)); +#14179=VECTOR('',#14178,6.6E0); +#14180=CARTESIAN_POINT('',(3.91E1,3.58E0,-9.8E0)); +#14181=LINE('',#14180,#14179); +#14182=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14183=VECTOR('',#14182,7.E-1); +#14184=CARTESIAN_POINT('',(3.91E1,4.28E0,-9.8E0)); +#14185=LINE('',#14184,#14183); +#14186=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14187=VECTOR('',#14186,3.E0); +#14188=CARTESIAN_POINT('',(5.3E1,4.28E0,-9.8E0)); +#14189=LINE('',#14188,#14187); +#14190=DIRECTION('',(0.E0,1.E0,0.E0)); +#14191=VECTOR('',#14190,7.E-1); +#14192=CARTESIAN_POINT('',(5.3E1,3.58E0,-9.8E0)); +#14193=LINE('',#14192,#14191); +#14194=DIRECTION('',(1.E0,0.E0,0.E0)); +#14195=VECTOR('',#14194,3.E0); +#14196=CARTESIAN_POINT('',(5.E1,3.58E0,-9.8E0)); +#14197=LINE('',#14196,#14195); +#14198=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14199=VECTOR('',#14198,7.E-1); +#14200=CARTESIAN_POINT('',(5.E1,4.28E0,-9.8E0)); +#14201=LINE('',#14200,#14199); +#14202=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#14203=VECTOR('',#14202,3.674234614175E-1); +#14204=CARTESIAN_POINT('',(-3.793786796564E1,3.367867965644E0, +-1.001213203436E1)); +#14205=LINE('',#14204,#14203); +#14206=CARTESIAN_POINT('',(-3.815E1,3.28E0,-1.01E1)); +#14207=CARTESIAN_POINT('',(-3.810392402507E1,3.28E0,-1.01E1)); +#14208=CARTESIAN_POINT('',(-3.802596464441E1,3.299387410064E0, +-1.008061258994E1)); +#14209=CARTESIAN_POINT('',(-3.796446994217E1,3.341265989116E0, +-1.003873401088E1)); +#14210=CARTESIAN_POINT('',(-3.793786796564E1,3.367867965644E0, +-1.001213203436E1)); +#14212=CARTESIAN_POINT('',(-4.156213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14213=CARTESIAN_POINT('',(-4.153553005783E1,3.341265989116E0, +-1.003873401088E1)); +#14214=CARTESIAN_POINT('',(-4.147403535559E1,3.299387410064E0, +-1.008061258994E1)); +#14215=CARTESIAN_POINT('',(-4.139607597493E1,3.28E0,-1.01E1)); +#14216=CARTESIAN_POINT('',(-4.135E1,3.28E0,-1.01E1)); +#14218=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#14219=VECTOR('',#14218,3.674234614175E-1); +#14220=CARTESIAN_POINT('',(-3.793786796564E1,4.492132034356E0, +-1.001213203436E1)); +#14221=LINE('',#14220,#14219); +#14222=CARTESIAN_POINT('',(-3.785E1,4.28E0,-1.01E1)); +#14223=CARTESIAN_POINT('',(-3.785E1,4.326075974928E0,-1.01E1)); +#14224=CARTESIAN_POINT('',(-3.786938741006E1,4.404035355589E0, +-1.008061258994E1)); +#14225=CARTESIAN_POINT('',(-3.791126598912E1,4.465530057828E0, +-1.003873401088E1)); +#14226=CARTESIAN_POINT('',(-3.793786796564E1,4.492132034356E0, +-1.001213203436E1)); +#14228=CARTESIAN_POINT('',(-3.793786796564E1,3.367867965644E0, +-1.001213203436E1)); +#14229=CARTESIAN_POINT('',(-3.791126598912E1,3.394469942172E0, +-1.003873401088E1)); +#14230=CARTESIAN_POINT('',(-3.786938741006E1,3.455964644411E0, +-1.008061258994E1)); +#14231=CARTESIAN_POINT('',(-3.785E1,3.533924025073E0,-1.01E1)); +#14232=CARTESIAN_POINT('',(-3.785E1,3.58E0,-1.01E1)); +#14234=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#14235=VECTOR('',#14234,3.674234614175E-1); +#14236=CARTESIAN_POINT('',(-4.156213203436E1,4.492132034356E0, +-1.001213203436E1)); +#14237=LINE('',#14236,#14235); +#14238=CARTESIAN_POINT('',(-4.135E1,4.58E0,-1.01E1)); +#14239=CARTESIAN_POINT('',(-4.139607597493E1,4.58E0,-1.01E1)); +#14240=CARTESIAN_POINT('',(-4.147403535559E1,4.560612589936E0, +-1.008061258994E1)); +#14241=CARTESIAN_POINT('',(-4.153553005783E1,4.518734010884E0, +-1.003873401088E1)); +#14242=CARTESIAN_POINT('',(-4.156213203436E1,4.492132034356E0, +-1.001213203436E1)); +#14244=CARTESIAN_POINT('',(-3.793786796564E1,4.492132034356E0, +-1.001213203436E1)); +#14245=CARTESIAN_POINT('',(-3.796446994217E1,4.518734010884E0, +-1.003873401088E1)); +#14246=CARTESIAN_POINT('',(-3.802596464441E1,4.560612589936E0, +-1.008061258994E1)); +#14247=CARTESIAN_POINT('',(-3.810392402507E1,4.58E0,-1.01E1)); +#14248=CARTESIAN_POINT('',(-3.815E1,4.58E0,-1.01E1)); +#14250=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#14251=VECTOR('',#14250,3.674234614175E-1); +#14252=CARTESIAN_POINT('',(-4.156213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14253=LINE('',#14252,#14251); +#14254=CARTESIAN_POINT('',(-4.165E1,3.58E0,-1.01E1)); +#14255=CARTESIAN_POINT('',(-4.165E1,3.533924025072E0,-1.01E1)); +#14256=CARTESIAN_POINT('',(-4.163061258994E1,3.455964644411E0, +-1.008061258994E1)); +#14257=CARTESIAN_POINT('',(-4.158873401088E1,3.394469942172E0, +-1.003873401088E1)); +#14258=CARTESIAN_POINT('',(-4.156213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14260=CARTESIAN_POINT('',(-4.156213203436E1,4.492132034356E0, +-1.001213203436E1)); +#14261=CARTESIAN_POINT('',(-4.158873401088E1,4.465530057828E0, +-1.003873401088E1)); +#14262=CARTESIAN_POINT('',(-4.163061258994E1,4.404035355589E0, +-1.008061258994E1)); +#14263=CARTESIAN_POINT('',(-4.165E1,4.326075974927E0,-1.01E1)); +#14264=CARTESIAN_POINT('',(-4.165E1,4.28E0,-1.01E1)); +#14266=CARTESIAN_POINT('',(-4.135E1,3.58E0,-1.995E1)); +#14267=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14268=DIRECTION('',(2.368475785867E-14,-1.E0,0.E0)); +#14269=AXIS2_PLACEMENT_3D('',#14266,#14267,#14268); +#14271=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14272=VECTOR('',#14271,9.85E0); +#14273=CARTESIAN_POINT('',(-4.165E1,3.58E0,-1.01E1)); +#14274=LINE('',#14273,#14272); +#14275=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14276=VECTOR('',#14275,9.85E0); +#14277=CARTESIAN_POINT('',(-4.165E1,4.28E0,-1.01E1)); +#14278=LINE('',#14277,#14276); +#14279=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14280=VECTOR('',#14279,7.E-1); +#14281=CARTESIAN_POINT('',(-4.165E1,4.28E0,-1.01E1)); +#14282=LINE('',#14281,#14280); +#14283=CARTESIAN_POINT('',(-4.135E1,4.28E0,-1.995E1)); +#14284=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14285=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14286=AXIS2_PLACEMENT_3D('',#14283,#14284,#14285); +#14288=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14289=VECTOR('',#14288,9.85E0); +#14290=CARTESIAN_POINT('',(-3.815E1,4.58E0,-1.01E1)); +#14291=LINE('',#14290,#14289); +#14292=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14293=VECTOR('',#14292,3.2E0); +#14294=CARTESIAN_POINT('',(-3.815E1,4.58E0,-1.01E1)); +#14295=LINE('',#14294,#14293); +#14296=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14297=VECTOR('',#14296,9.85E0); +#14298=CARTESIAN_POINT('',(-4.135E1,4.58E0,-1.01E1)); +#14299=LINE('',#14298,#14297); +#14300=CARTESIAN_POINT('',(-3.815E1,4.28E0,-1.995E1)); +#14301=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14302=DIRECTION('',(-2.368475785867E-14,1.E0,0.E0)); +#14303=AXIS2_PLACEMENT_3D('',#14300,#14301,#14302); +#14305=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14306=VECTOR('',#14305,9.85E0); +#14307=CARTESIAN_POINT('',(-3.785E1,4.28E0,-1.01E1)); +#14308=LINE('',#14307,#14306); +#14309=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14310=VECTOR('',#14309,9.85E0); +#14311=CARTESIAN_POINT('',(-3.785E1,3.58E0,-1.01E1)); +#14312=LINE('',#14311,#14310); +#14313=DIRECTION('',(0.E0,1.E0,0.E0)); +#14314=VECTOR('',#14313,7.E-1); +#14315=CARTESIAN_POINT('',(-3.785E1,3.58E0,-1.01E1)); +#14316=LINE('',#14315,#14314); +#14317=CARTESIAN_POINT('',(-3.815E1,3.58E0,-1.995E1)); +#14318=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14319=DIRECTION('',(1.E0,0.E0,0.E0)); +#14320=AXIS2_PLACEMENT_3D('',#14317,#14318,#14319); +#14322=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14323=VECTOR('',#14322,9.85E0); +#14324=CARTESIAN_POINT('',(-3.815E1,3.28E0,-1.01E1)); +#14325=LINE('',#14324,#14323); +#14326=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14327=VECTOR('',#14326,9.85E0); +#14328=CARTESIAN_POINT('',(-4.135E1,3.28E0,-1.01E1)); +#14329=LINE('',#14328,#14327); +#14330=DIRECTION('',(1.E0,0.E0,0.E0)); +#14331=VECTOR('',#14330,3.2E0); +#14332=CARTESIAN_POINT('',(-4.135E1,3.28E0,-1.01E1)); +#14333=LINE('',#14332,#14331); +#14334=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#14335=VECTOR('',#14334,3.674234614175E-1); +#14336=CARTESIAN_POINT('',(-2.553786796564E1,3.367867965644E0, +-1.001213203436E1)); +#14337=LINE('',#14336,#14335); +#14338=CARTESIAN_POINT('',(-2.575E1,3.28E0,-1.01E1)); +#14339=CARTESIAN_POINT('',(-2.570392402507E1,3.28E0,-1.01E1)); +#14340=CARTESIAN_POINT('',(-2.562596464441E1,3.299387410064E0, +-1.008061258994E1)); +#14341=CARTESIAN_POINT('',(-2.556446994217E1,3.341265989116E0, +-1.003873401088E1)); +#14342=CARTESIAN_POINT('',(-2.553786796564E1,3.367867965644E0, +-1.001213203436E1)); +#14344=CARTESIAN_POINT('',(-3.416213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14345=CARTESIAN_POINT('',(-3.413553005783E1,3.341265989116E0, +-1.003873401088E1)); +#14346=CARTESIAN_POINT('',(-3.407403535559E1,3.299387410064E0, +-1.008061258994E1)); +#14347=CARTESIAN_POINT('',(-3.399607597493E1,3.28E0,-1.01E1)); +#14348=CARTESIAN_POINT('',(-3.395E1,3.28E0,-1.01E1)); +#14350=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#14351=VECTOR('',#14350,3.674234614175E-1); +#14352=CARTESIAN_POINT('',(-2.553786796564E1,4.492132034356E0, +-1.001213203436E1)); +#14353=LINE('',#14352,#14351); +#14354=CARTESIAN_POINT('',(-2.545E1,4.28E0,-1.01E1)); +#14355=CARTESIAN_POINT('',(-2.545E1,4.326075974928E0,-1.01E1)); +#14356=CARTESIAN_POINT('',(-2.546938741006E1,4.404035355589E0, +-1.008061258994E1)); +#14357=CARTESIAN_POINT('',(-2.551126598912E1,4.465530057828E0, +-1.003873401088E1)); +#14358=CARTESIAN_POINT('',(-2.553786796564E1,4.492132034356E0, +-1.001213203436E1)); +#14360=CARTESIAN_POINT('',(-2.553786796564E1,3.367867965644E0, +-1.001213203436E1)); +#14361=CARTESIAN_POINT('',(-2.551126598912E1,3.394469942172E0, +-1.003873401088E1)); +#14362=CARTESIAN_POINT('',(-2.546938741006E1,3.455964644411E0, +-1.008061258994E1)); +#14363=CARTESIAN_POINT('',(-2.545E1,3.533924025072E0,-1.01E1)); +#14364=CARTESIAN_POINT('',(-2.545E1,3.58E0,-1.01E1)); +#14366=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#14367=VECTOR('',#14366,3.674234614175E-1); +#14368=CARTESIAN_POINT('',(-3.416213203436E1,4.492132034356E0, +-1.001213203436E1)); +#14369=LINE('',#14368,#14367); +#14370=CARTESIAN_POINT('',(-3.395E1,4.58E0,-1.01E1)); +#14371=CARTESIAN_POINT('',(-3.399607597493E1,4.58E0,-1.01E1)); +#14372=CARTESIAN_POINT('',(-3.407403535559E1,4.560612589936E0, +-1.008061258994E1)); +#14373=CARTESIAN_POINT('',(-3.413553005783E1,4.518734010884E0, +-1.003873401088E1)); +#14374=CARTESIAN_POINT('',(-3.416213203436E1,4.492132034356E0, +-1.001213203436E1)); +#14376=CARTESIAN_POINT('',(-2.553786796564E1,4.492132034356E0, +-1.001213203436E1)); +#14377=CARTESIAN_POINT('',(-2.556446994217E1,4.518734010884E0, +-1.003873401088E1)); +#14378=CARTESIAN_POINT('',(-2.562596464441E1,4.560612589936E0, +-1.008061258994E1)); +#14379=CARTESIAN_POINT('',(-2.570392402507E1,4.58E0,-1.01E1)); +#14380=CARTESIAN_POINT('',(-2.575E1,4.58E0,-1.01E1)); +#14382=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#14383=VECTOR('',#14382,3.674234614175E-1); +#14384=CARTESIAN_POINT('',(-3.416213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14385=LINE('',#14384,#14383); +#14386=CARTESIAN_POINT('',(-3.425E1,3.58E0,-1.01E1)); +#14387=CARTESIAN_POINT('',(-3.425E1,3.533924025072E0,-1.01E1)); +#14388=CARTESIAN_POINT('',(-3.423061258994E1,3.455964644411E0, +-1.008061258994E1)); +#14389=CARTESIAN_POINT('',(-3.418873401088E1,3.394469942172E0, +-1.003873401088E1)); +#14390=CARTESIAN_POINT('',(-3.416213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14392=CARTESIAN_POINT('',(-3.416213203436E1,4.492132034356E0, +-1.001213203436E1)); +#14393=CARTESIAN_POINT('',(-3.418873401088E1,4.465530057828E0, +-1.003873401088E1)); +#14394=CARTESIAN_POINT('',(-3.423061258994E1,4.404035355589E0, +-1.008061258994E1)); +#14395=CARTESIAN_POINT('',(-3.425E1,4.326075974928E0,-1.01E1)); +#14396=CARTESIAN_POINT('',(-3.425E1,4.28E0,-1.01E1)); +#14398=CARTESIAN_POINT('',(-3.395E1,3.58E0,-1.995E1)); +#14399=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14400=DIRECTION('',(2.368475785867E-14,-1.E0,0.E0)); +#14401=AXIS2_PLACEMENT_3D('',#14398,#14399,#14400); +#14403=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14404=VECTOR('',#14403,9.85E0); +#14405=CARTESIAN_POINT('',(-3.425E1,3.58E0,-1.01E1)); +#14406=LINE('',#14405,#14404); +#14407=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14408=VECTOR('',#14407,9.85E0); +#14409=CARTESIAN_POINT('',(-3.425E1,4.28E0,-1.01E1)); +#14410=LINE('',#14409,#14408); +#14411=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14412=VECTOR('',#14411,7.E-1); +#14413=CARTESIAN_POINT('',(-3.425E1,4.28E0,-1.01E1)); +#14414=LINE('',#14413,#14412); +#14415=CARTESIAN_POINT('',(-3.395E1,4.28E0,-1.995E1)); +#14416=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14417=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14418=AXIS2_PLACEMENT_3D('',#14415,#14416,#14417); +#14420=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14421=VECTOR('',#14420,9.85E0); +#14422=CARTESIAN_POINT('',(-2.575E1,4.58E0,-1.01E1)); +#14423=LINE('',#14422,#14421); +#14424=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14425=VECTOR('',#14424,8.2E0); +#14426=CARTESIAN_POINT('',(-2.575E1,4.58E0,-1.01E1)); +#14427=LINE('',#14426,#14425); +#14428=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14429=VECTOR('',#14428,9.85E0); +#14430=CARTESIAN_POINT('',(-3.395E1,4.58E0,-1.01E1)); +#14431=LINE('',#14430,#14429); +#14432=CARTESIAN_POINT('',(-2.575E1,4.28E0,-1.995E1)); +#14433=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14434=DIRECTION('',(0.E0,1.E0,0.E0)); +#14435=AXIS2_PLACEMENT_3D('',#14432,#14433,#14434); +#14437=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14438=VECTOR('',#14437,9.85E0); +#14439=CARTESIAN_POINT('',(-2.545E1,4.28E0,-1.01E1)); +#14440=LINE('',#14439,#14438); +#14441=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14442=VECTOR('',#14441,9.85E0); +#14443=CARTESIAN_POINT('',(-2.545E1,3.58E0,-1.01E1)); +#14444=LINE('',#14443,#14442); +#14445=DIRECTION('',(0.E0,1.E0,0.E0)); +#14446=VECTOR('',#14445,7.E-1); +#14447=CARTESIAN_POINT('',(-2.545E1,3.58E0,-1.01E1)); +#14448=LINE('',#14447,#14446); +#14449=CARTESIAN_POINT('',(-2.575E1,3.58E0,-1.995E1)); +#14450=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14451=DIRECTION('',(1.E0,0.E0,0.E0)); +#14452=AXIS2_PLACEMENT_3D('',#14449,#14450,#14451); +#14454=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14455=VECTOR('',#14454,9.85E0); +#14456=CARTESIAN_POINT('',(-2.575E1,3.28E0,-1.01E1)); +#14457=LINE('',#14456,#14455); +#14458=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14459=VECTOR('',#14458,9.85E0); +#14460=CARTESIAN_POINT('',(-3.395E1,3.28E0,-1.01E1)); +#14461=LINE('',#14460,#14459); +#14462=DIRECTION('',(1.E0,0.E0,0.E0)); +#14463=VECTOR('',#14462,8.2E0); +#14464=CARTESIAN_POINT('',(-3.395E1,3.28E0,-1.01E1)); +#14465=LINE('',#14464,#14463); +#14466=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#14467=VECTOR('',#14466,3.674234614175E-1); +#14468=CARTESIAN_POINT('',(-8.237867965644E0,3.367867965644E0, +-1.001213203436E1)); +#14469=LINE('',#14468,#14467); +#14470=CARTESIAN_POINT('',(-8.45E0,3.28E0,-1.01E1)); +#14471=CARTESIAN_POINT('',(-8.403924025072E0,3.28E0,-1.01E1)); +#14472=CARTESIAN_POINT('',(-8.325964644411E0,3.299387410064E0, +-1.008061258994E1)); +#14473=CARTESIAN_POINT('',(-8.264469942172E0,3.341265989116E0, +-1.003873401088E1)); +#14474=CARTESIAN_POINT('',(-8.237867965644E0,3.367867965644E0, +-1.001213203436E1)); +#14476=CARTESIAN_POINT('',(-2.176213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14477=CARTESIAN_POINT('',(-2.173553005783E1,3.341265989116E0, +-1.003873401088E1)); +#14478=CARTESIAN_POINT('',(-2.167403535559E1,3.299387410064E0, +-1.008061258994E1)); +#14479=CARTESIAN_POINT('',(-2.159607597493E1,3.28E0,-1.01E1)); +#14480=CARTESIAN_POINT('',(-2.155E1,3.28E0,-1.01E1)); +#14482=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#14483=VECTOR('',#14482,3.674234614175E-1); +#14484=CARTESIAN_POINT('',(-8.237867965644E0,4.492132034356E0, +-1.001213203436E1)); +#14485=LINE('',#14484,#14483); +#14486=CARTESIAN_POINT('',(-8.15E0,4.28E0,-1.01E1)); +#14487=CARTESIAN_POINT('',(-8.15E0,4.326075974928E0,-1.01E1)); +#14488=CARTESIAN_POINT('',(-8.169387410064E0,4.404035355589E0, +-1.008061258994E1)); +#14489=CARTESIAN_POINT('',(-8.211265989116E0,4.465530057828E0, +-1.003873401088E1)); +#14490=CARTESIAN_POINT('',(-8.237867965644E0,4.492132034356E0, +-1.001213203436E1)); +#14492=CARTESIAN_POINT('',(-8.237867965644E0,3.367867965644E0, +-1.001213203436E1)); +#14493=CARTESIAN_POINT('',(-8.211265989116E0,3.394469942172E0, +-1.003873401088E1)); +#14494=CARTESIAN_POINT('',(-8.169387410064E0,3.455964644411E0, +-1.008061258994E1)); +#14495=CARTESIAN_POINT('',(-8.15E0,3.533924025072E0,-1.01E1)); +#14496=CARTESIAN_POINT('',(-8.15E0,3.58E0,-1.01E1)); +#14498=CARTESIAN_POINT('',(-8.237867965644E0,4.492132034356E0, +-1.001213203436E1)); +#14499=CARTESIAN_POINT('',(-8.264469942172E0,4.518734010884E0, +-1.003873401088E1)); +#14500=CARTESIAN_POINT('',(-8.325964644411E0,4.560612589936E0, +-1.008061258994E1)); +#14501=CARTESIAN_POINT('',(-8.403924025072E0,4.58E0,-1.01E1)); +#14502=CARTESIAN_POINT('',(-8.45E0,4.58E0,-1.01E1)); +#14504=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#14505=VECTOR('',#14504,3.674234614175E-1); +#14506=CARTESIAN_POINT('',(-2.176213203436E1,4.492132034356E0, +-1.001213203436E1)); +#14507=LINE('',#14506,#14505); +#14508=CARTESIAN_POINT('',(-2.155E1,4.58E0,-1.01E1)); +#14509=CARTESIAN_POINT('',(-2.159607597493E1,4.58E0,-1.01E1)); +#14510=CARTESIAN_POINT('',(-2.167403535559E1,4.560612589936E0, +-1.008061258994E1)); +#14511=CARTESIAN_POINT('',(-2.173553005783E1,4.518734010884E0, +-1.003873401088E1)); +#14512=CARTESIAN_POINT('',(-2.176213203436E1,4.492132034356E0, +-1.001213203436E1)); +#14514=CARTESIAN_POINT('',(-8.45E0,4.28E0,-1.995E1)); +#14515=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14516=DIRECTION('',(0.E0,1.E0,0.E0)); +#14517=AXIS2_PLACEMENT_3D('',#14514,#14515,#14516); +#14519=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14520=VECTOR('',#14519,9.85E0); +#14521=CARTESIAN_POINT('',(-8.15E0,4.28E0,-1.01E1)); +#14522=LINE('',#14521,#14520); +#14523=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14524=VECTOR('',#14523,9.85E0); +#14525=CARTESIAN_POINT('',(-8.15E0,3.58E0,-1.01E1)); +#14526=LINE('',#14525,#14524); +#14527=DIRECTION('',(0.E0,1.E0,0.E0)); +#14528=VECTOR('',#14527,7.E-1); +#14529=CARTESIAN_POINT('',(-8.15E0,3.58E0,-1.01E1)); +#14530=LINE('',#14529,#14528); +#14531=CARTESIAN_POINT('',(-8.45E0,3.58E0,-1.995E1)); +#14532=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14533=DIRECTION('',(1.E0,0.E0,0.E0)); +#14534=AXIS2_PLACEMENT_3D('',#14531,#14532,#14533); +#14536=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14537=VECTOR('',#14536,9.85E0); +#14538=CARTESIAN_POINT('',(-8.45E0,3.28E0,-1.01E1)); +#14539=LINE('',#14538,#14537); +#14540=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14541=VECTOR('',#14540,9.85E0); +#14542=CARTESIAN_POINT('',(-2.155E1,3.28E0,-1.01E1)); +#14543=LINE('',#14542,#14541); +#14544=DIRECTION('',(1.E0,0.E0,0.E0)); +#14545=VECTOR('',#14544,1.31E1); +#14546=CARTESIAN_POINT('',(-2.155E1,3.28E0,-1.01E1)); +#14547=LINE('',#14546,#14545); +#14548=CARTESIAN_POINT('',(-2.155E1,3.58E0,-1.995E1)); +#14549=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14550=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14551=AXIS2_PLACEMENT_3D('',#14548,#14549,#14550); +#14553=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14554=VECTOR('',#14553,9.85E0); +#14555=CARTESIAN_POINT('',(-2.185E1,3.58E0,-1.01E1)); +#14556=LINE('',#14555,#14554); +#14557=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14558=VECTOR('',#14557,9.85E0); +#14559=CARTESIAN_POINT('',(-2.185E1,4.28E0,-1.01E1)); +#14560=LINE('',#14559,#14558); +#14561=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14562=VECTOR('',#14561,7.E-1); +#14563=CARTESIAN_POINT('',(-2.185E1,4.28E0,-1.01E1)); +#14564=LINE('',#14563,#14562); +#14565=CARTESIAN_POINT('',(-2.155E1,4.28E0,-1.995E1)); +#14566=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14567=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14568=AXIS2_PLACEMENT_3D('',#14565,#14566,#14567); +#14570=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14571=VECTOR('',#14570,9.85E0); +#14572=CARTESIAN_POINT('',(-8.45E0,4.58E0,-1.01E1)); +#14573=LINE('',#14572,#14571); +#14574=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14575=VECTOR('',#14574,1.31E1); +#14576=CARTESIAN_POINT('',(-8.45E0,4.58E0,-1.01E1)); +#14577=LINE('',#14576,#14575); +#14578=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14579=VECTOR('',#14578,9.85E0); +#14580=CARTESIAN_POINT('',(-2.155E1,4.58E0,-1.01E1)); +#14581=LINE('',#14580,#14579); +#14582=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#14583=VECTOR('',#14582,3.674234614175E-1); +#14584=CARTESIAN_POINT('',(-2.176213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14585=LINE('',#14584,#14583); +#14586=CARTESIAN_POINT('',(-2.185E1,3.58E0,-1.01E1)); +#14587=CARTESIAN_POINT('',(-2.185E1,3.533924025072E0,-1.01E1)); +#14588=CARTESIAN_POINT('',(-2.183061258994E1,3.455964644411E0, +-1.008061258994E1)); +#14589=CARTESIAN_POINT('',(-2.178873401088E1,3.394469942172E0, +-1.003873401088E1)); +#14590=CARTESIAN_POINT('',(-2.176213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14592=CARTESIAN_POINT('',(-2.176213203436E1,4.492132034356E0, +-1.001213203436E1)); +#14593=CARTESIAN_POINT('',(-2.178873401088E1,4.465530057828E0, +-1.003873401088E1)); +#14594=CARTESIAN_POINT('',(-2.183061258994E1,4.404035355589E0, +-1.008061258994E1)); +#14595=CARTESIAN_POINT('',(-2.185E1,4.326075974928E0,-1.01E1)); +#14596=CARTESIAN_POINT('',(-2.185E1,4.28E0,-1.01E1)); +#14598=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#14599=VECTOR('',#14598,3.674234614175E-1); +#14600=CARTESIAN_POINT('',(2.278786796564E1,4.492132034356E0, +-1.001213203436E1)); +#14601=LINE('',#14600,#14599); +#14602=CARTESIAN_POINT('',(2.3E1,4.58E0,-1.01E1)); +#14603=CARTESIAN_POINT('',(2.295392402507E1,4.58E0,-1.01E1)); +#14604=CARTESIAN_POINT('',(2.287596464441E1,4.560612589936E0, +-1.008061258994E1)); +#14605=CARTESIAN_POINT('',(2.281446994217E1,4.518734010884E0, +-1.003873401088E1)); +#14606=CARTESIAN_POINT('',(2.278786796564E1,4.492132034356E0, +-1.001213203436E1)); +#14608=CARTESIAN_POINT('',(3.511213203436E1,4.492132034356E0, +-1.001213203436E1)); +#14609=CARTESIAN_POINT('',(3.508553005783E1,4.518734010884E0, +-1.003873401088E1)); +#14610=CARTESIAN_POINT('',(3.502403535559E1,4.560612589936E0, +-1.008061258994E1)); +#14611=CARTESIAN_POINT('',(3.494607597493E1,4.58E0,-1.01E1)); +#14612=CARTESIAN_POINT('',(3.49E1,4.58E0,-1.01E1)); +#14614=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#14615=VECTOR('',#14614,3.674234614175E-1); +#14616=CARTESIAN_POINT('',(3.511213203436E1,4.492132034356E0, +-1.001213203436E1)); +#14617=LINE('',#14616,#14615); +#14618=CARTESIAN_POINT('',(3.52E1,4.28E0,-1.01E1)); +#14619=CARTESIAN_POINT('',(3.52E1,4.326075974928E0,-1.01E1)); +#14620=CARTESIAN_POINT('',(3.518061258994E1,4.404035355589E0, +-1.008061258994E1)); +#14621=CARTESIAN_POINT('',(3.513873401088E1,4.465530057828E0, +-1.003873401088E1)); +#14622=CARTESIAN_POINT('',(3.511213203436E1,4.492132034356E0, +-1.001213203436E1)); +#14624=CARTESIAN_POINT('',(3.511213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14625=CARTESIAN_POINT('',(3.513873401088E1,3.394469942172E0, +-1.003873401088E1)); +#14626=CARTESIAN_POINT('',(3.518061258994E1,3.455964644411E0, +-1.008061258994E1)); +#14627=CARTESIAN_POINT('',(3.52E1,3.533924025072E0,-1.01E1)); +#14628=CARTESIAN_POINT('',(3.52E1,3.58E0,-1.01E1)); +#14630=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#14631=VECTOR('',#14630,3.674234614175E-1); +#14632=CARTESIAN_POINT('',(3.511213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14633=LINE('',#14632,#14631); +#14634=CARTESIAN_POINT('',(3.49E1,3.28E0,-1.01E1)); +#14635=CARTESIAN_POINT('',(3.494607597493E1,3.28E0,-1.01E1)); +#14636=CARTESIAN_POINT('',(3.502403535559E1,3.299387410064E0, +-1.008061258994E1)); +#14637=CARTESIAN_POINT('',(3.508553005783E1,3.341265989116E0, +-1.003873401088E1)); +#14638=CARTESIAN_POINT('',(3.511213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14640=CARTESIAN_POINT('',(2.278786796564E1,3.367867965644E0, +-1.001213203436E1)); +#14641=CARTESIAN_POINT('',(2.281446994217E1,3.341265989116E0, +-1.003873401088E1)); +#14642=CARTESIAN_POINT('',(2.287596464441E1,3.299387410064E0, +-1.008061258994E1)); +#14643=CARTESIAN_POINT('',(2.295392402507E1,3.28E0,-1.01E1)); +#14644=CARTESIAN_POINT('',(2.3E1,3.28E0,-1.01E1)); +#14646=CARTESIAN_POINT('',(3.49E1,3.58E0,-1.995E1)); +#14647=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14648=DIRECTION('',(1.E0,0.E0,0.E0)); +#14649=AXIS2_PLACEMENT_3D('',#14646,#14647,#14648); +#14651=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14652=VECTOR('',#14651,9.85E0); +#14653=CARTESIAN_POINT('',(3.49E1,3.28E0,-1.01E1)); +#14654=LINE('',#14653,#14652); +#14655=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14656=VECTOR('',#14655,9.85E0); +#14657=CARTESIAN_POINT('',(2.3E1,3.28E0,-1.01E1)); +#14658=LINE('',#14657,#14656); +#14659=DIRECTION('',(1.E0,0.E0,0.E0)); +#14660=VECTOR('',#14659,1.19E1); +#14661=CARTESIAN_POINT('',(2.3E1,3.28E0,-1.01E1)); +#14662=LINE('',#14661,#14660); +#14663=CARTESIAN_POINT('',(2.3E1,3.58E0,-1.995E1)); +#14664=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14665=DIRECTION('',(-1.184237892934E-14,-1.E0,0.E0)); +#14666=AXIS2_PLACEMENT_3D('',#14663,#14664,#14665); +#14668=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14669=VECTOR('',#14668,9.85E0); +#14670=CARTESIAN_POINT('',(2.27E1,3.58E0,-1.01E1)); +#14671=LINE('',#14670,#14669); +#14672=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14673=VECTOR('',#14672,9.85E0); +#14674=CARTESIAN_POINT('',(2.27E1,4.28E0,-1.01E1)); +#14675=LINE('',#14674,#14673); +#14676=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14677=VECTOR('',#14676,7.E-1); +#14678=CARTESIAN_POINT('',(2.27E1,4.28E0,-1.01E1)); +#14679=LINE('',#14678,#14677); +#14680=CARTESIAN_POINT('',(2.3E1,4.28E0,-1.995E1)); +#14681=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14682=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14683=AXIS2_PLACEMENT_3D('',#14680,#14681,#14682); +#14685=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14686=VECTOR('',#14685,9.85E0); +#14687=CARTESIAN_POINT('',(3.49E1,4.58E0,-1.01E1)); +#14688=LINE('',#14687,#14686); +#14689=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14690=VECTOR('',#14689,1.19E1); +#14691=CARTESIAN_POINT('',(3.49E1,4.58E0,-1.01E1)); +#14692=LINE('',#14691,#14690); +#14693=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14694=VECTOR('',#14693,9.85E0); +#14695=CARTESIAN_POINT('',(2.3E1,4.58E0,-1.01E1)); +#14696=LINE('',#14695,#14694); +#14697=CARTESIAN_POINT('',(3.49E1,4.28E0,-1.995E1)); +#14698=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14699=DIRECTION('',(-2.368475785867E-14,1.E0,0.E0)); +#14700=AXIS2_PLACEMENT_3D('',#14697,#14698,#14699); +#14702=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14703=VECTOR('',#14702,9.85E0); +#14704=CARTESIAN_POINT('',(3.52E1,4.28E0,-1.01E1)); +#14705=LINE('',#14704,#14703); +#14706=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14707=VECTOR('',#14706,9.85E0); +#14708=CARTESIAN_POINT('',(3.52E1,3.58E0,-1.01E1)); +#14709=LINE('',#14708,#14707); +#14710=DIRECTION('',(0.E0,1.E0,0.E0)); +#14711=VECTOR('',#14710,7.E-1); +#14712=CARTESIAN_POINT('',(3.52E1,3.58E0,-1.01E1)); +#14713=LINE('',#14712,#14711); +#14714=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#14715=VECTOR('',#14714,3.674234614175E-1); +#14716=CARTESIAN_POINT('',(2.278786796564E1,3.367867965644E0, +-1.001213203436E1)); +#14717=LINE('',#14716,#14715); +#14718=CARTESIAN_POINT('',(2.27E1,3.58E0,-1.01E1)); +#14719=CARTESIAN_POINT('',(2.27E1,3.533924025072E0,-1.01E1)); +#14720=CARTESIAN_POINT('',(2.271938741006E1,3.455964644411E0, +-1.008061258994E1)); +#14721=CARTESIAN_POINT('',(2.276126598912E1,3.394469942172E0, +-1.003873401088E1)); +#14722=CARTESIAN_POINT('',(2.278786796564E1,3.367867965644E0, +-1.001213203436E1)); +#14724=CARTESIAN_POINT('',(2.278786796564E1,4.492132034356E0, +-1.001213203436E1)); +#14725=CARTESIAN_POINT('',(2.276126598912E1,4.465530057828E0, +-1.003873401088E1)); +#14726=CARTESIAN_POINT('',(2.271938741006E1,4.404035355589E0, +-1.008061258994E1)); +#14727=CARTESIAN_POINT('',(2.27E1,4.326075974928E0,-1.01E1)); +#14728=CARTESIAN_POINT('',(2.27E1,4.28E0,-1.01E1)); +#14730=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#14731=VECTOR('',#14730,3.674234614175E-1); +#14732=CARTESIAN_POINT('',(3.888786796564E1,4.492132034356E0, +-1.001213203436E1)); +#14733=LINE('',#14732,#14731); +#14734=CARTESIAN_POINT('',(3.91E1,4.58E0,-1.01E1)); +#14735=CARTESIAN_POINT('',(3.905392402507E1,4.58E0,-1.01E1)); +#14736=CARTESIAN_POINT('',(3.897596464441E1,4.560612589936E0, +-1.008061258994E1)); +#14737=CARTESIAN_POINT('',(3.891446994217E1,4.518734010884E0, +-1.003873401088E1)); +#14738=CARTESIAN_POINT('',(3.888786796564E1,4.492132034356E0, +-1.001213203436E1)); +#14740=CARTESIAN_POINT('',(4.591213203436E1,4.492132034356E0, +-1.001213203436E1)); +#14741=CARTESIAN_POINT('',(4.588553005783E1,4.518734010884E0, +-1.003873401088E1)); +#14742=CARTESIAN_POINT('',(4.582403535559E1,4.560612589936E0, +-1.008061258994E1)); +#14743=CARTESIAN_POINT('',(4.574607597493E1,4.58E0,-1.01E1)); +#14744=CARTESIAN_POINT('',(4.57E1,4.58E0,-1.01E1)); +#14746=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#14747=VECTOR('',#14746,3.674234614175E-1); +#14748=CARTESIAN_POINT('',(4.591213203436E1,4.492132034356E0, +-1.001213203436E1)); +#14749=LINE('',#14748,#14747); +#14750=CARTESIAN_POINT('',(4.6E1,4.28E0,-1.01E1)); +#14751=CARTESIAN_POINT('',(4.6E1,4.326075974928E0,-1.01E1)); +#14752=CARTESIAN_POINT('',(4.598061258994E1,4.404035355589E0, +-1.008061258994E1)); +#14753=CARTESIAN_POINT('',(4.593873401088E1,4.465530057828E0, +-1.003873401088E1)); +#14754=CARTESIAN_POINT('',(4.591213203436E1,4.492132034356E0, +-1.001213203436E1)); +#14756=CARTESIAN_POINT('',(4.591213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14757=CARTESIAN_POINT('',(4.593873401088E1,3.394469942172E0, +-1.003873401088E1)); +#14758=CARTESIAN_POINT('',(4.598061258994E1,3.455964644411E0, +-1.008061258994E1)); +#14759=CARTESIAN_POINT('',(4.6E1,3.533924025072E0,-1.01E1)); +#14760=CARTESIAN_POINT('',(4.6E1,3.58E0,-1.01E1)); +#14762=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#14763=VECTOR('',#14762,3.674234614175E-1); +#14764=CARTESIAN_POINT('',(4.591213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14765=LINE('',#14764,#14763); +#14766=CARTESIAN_POINT('',(4.57E1,3.28E0,-1.01E1)); +#14767=CARTESIAN_POINT('',(4.574607597493E1,3.28E0,-1.01E1)); +#14768=CARTESIAN_POINT('',(4.582403535559E1,3.299387410064E0, +-1.008061258994E1)); +#14769=CARTESIAN_POINT('',(4.588553005783E1,3.341265989116E0, +-1.003873401088E1)); +#14770=CARTESIAN_POINT('',(4.591213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14772=CARTESIAN_POINT('',(3.888786796564E1,3.367867965644E0, +-1.001213203436E1)); +#14773=CARTESIAN_POINT('',(3.891446994217E1,3.341265989116E0, +-1.003873401088E1)); +#14774=CARTESIAN_POINT('',(3.897596464441E1,3.299387410064E0, +-1.008061258994E1)); +#14775=CARTESIAN_POINT('',(3.905392402507E1,3.28E0,-1.01E1)); +#14776=CARTESIAN_POINT('',(3.91E1,3.28E0,-1.01E1)); +#14778=CARTESIAN_POINT('',(4.57E1,3.58E0,-1.995E1)); +#14779=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14780=DIRECTION('',(1.E0,0.E0,0.E0)); +#14781=AXIS2_PLACEMENT_3D('',#14778,#14779,#14780); +#14783=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14784=VECTOR('',#14783,9.85E0); +#14785=CARTESIAN_POINT('',(4.57E1,3.28E0,-1.01E1)); +#14786=LINE('',#14785,#14784); +#14787=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14788=VECTOR('',#14787,9.85E0); +#14789=CARTESIAN_POINT('',(3.91E1,3.28E0,-1.01E1)); +#14790=LINE('',#14789,#14788); +#14791=DIRECTION('',(1.E0,0.E0,0.E0)); +#14792=VECTOR('',#14791,6.6E0); +#14793=CARTESIAN_POINT('',(3.91E1,3.28E0,-1.01E1)); +#14794=LINE('',#14793,#14792); +#14795=CARTESIAN_POINT('',(3.91E1,3.58E0,-1.995E1)); +#14796=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14797=DIRECTION('',(2.368475785867E-14,-1.E0,0.E0)); +#14798=AXIS2_PLACEMENT_3D('',#14795,#14796,#14797); +#14800=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14801=VECTOR('',#14800,9.85E0); +#14802=CARTESIAN_POINT('',(3.88E1,3.58E0,-1.01E1)); +#14803=LINE('',#14802,#14801); +#14804=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14805=VECTOR('',#14804,9.85E0); +#14806=CARTESIAN_POINT('',(3.88E1,4.28E0,-1.01E1)); +#14807=LINE('',#14806,#14805); +#14808=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14809=VECTOR('',#14808,7.E-1); +#14810=CARTESIAN_POINT('',(3.88E1,4.28E0,-1.01E1)); +#14811=LINE('',#14810,#14809); +#14812=CARTESIAN_POINT('',(3.91E1,4.28E0,-1.995E1)); +#14813=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14814=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14815=AXIS2_PLACEMENT_3D('',#14812,#14813,#14814); +#14817=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14818=VECTOR('',#14817,9.85E0); +#14819=CARTESIAN_POINT('',(4.57E1,4.58E0,-1.01E1)); +#14820=LINE('',#14819,#14818); +#14821=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14822=VECTOR('',#14821,6.6E0); +#14823=CARTESIAN_POINT('',(4.57E1,4.58E0,-1.01E1)); +#14824=LINE('',#14823,#14822); +#14825=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14826=VECTOR('',#14825,9.85E0); +#14827=CARTESIAN_POINT('',(3.91E1,4.58E0,-1.01E1)); +#14828=LINE('',#14827,#14826); +#14829=CARTESIAN_POINT('',(4.57E1,4.28E0,-1.995E1)); +#14830=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14831=DIRECTION('',(-2.368475785867E-14,1.E0,0.E0)); +#14832=AXIS2_PLACEMENT_3D('',#14829,#14830,#14831); +#14834=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14835=VECTOR('',#14834,9.85E0); +#14836=CARTESIAN_POINT('',(4.6E1,4.28E0,-1.01E1)); +#14837=LINE('',#14836,#14835); +#14838=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14839=VECTOR('',#14838,9.85E0); +#14840=CARTESIAN_POINT('',(4.6E1,3.58E0,-1.01E1)); +#14841=LINE('',#14840,#14839); +#14842=DIRECTION('',(0.E0,1.E0,0.E0)); +#14843=VECTOR('',#14842,7.E-1); +#14844=CARTESIAN_POINT('',(4.6E1,3.58E0,-1.01E1)); +#14845=LINE('',#14844,#14843); +#14846=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#14847=VECTOR('',#14846,3.674234614175E-1); +#14848=CARTESIAN_POINT('',(3.888786796564E1,3.367867965644E0, +-1.001213203436E1)); +#14849=LINE('',#14848,#14847); +#14850=CARTESIAN_POINT('',(3.88E1,3.58E0,-1.01E1)); +#14851=CARTESIAN_POINT('',(3.88E1,3.533924025072E0,-1.01E1)); +#14852=CARTESIAN_POINT('',(3.881938741006E1,3.455964644411E0, +-1.008061258994E1)); +#14853=CARTESIAN_POINT('',(3.886126598912E1,3.394469942172E0, +-1.003873401088E1)); +#14854=CARTESIAN_POINT('',(3.888786796564E1,3.367867965644E0, +-1.001213203436E1)); +#14856=CARTESIAN_POINT('',(3.888786796564E1,4.492132034356E0, +-1.001213203436E1)); +#14857=CARTESIAN_POINT('',(3.886126598912E1,4.465530057828E0, +-1.003873401088E1)); +#14858=CARTESIAN_POINT('',(3.881938741006E1,4.404035355589E0, +-1.008061258994E1)); +#14859=CARTESIAN_POINT('',(3.88E1,4.326075974928E0,-1.01E1)); +#14860=CARTESIAN_POINT('',(3.88E1,4.28E0,-1.01E1)); +#14862=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#14863=VECTOR('',#14862,3.674234614175E-1); +#14864=CARTESIAN_POINT('',(4.978786796564E1,4.492132034356E0, +-1.001213203436E1)); +#14865=LINE('',#14864,#14863); +#14866=CARTESIAN_POINT('',(5.E1,4.58E0,-1.01E1)); +#14867=CARTESIAN_POINT('',(4.995392402507E1,4.58E0,-1.01E1)); +#14868=CARTESIAN_POINT('',(4.987596464441E1,4.560612589936E0, +-1.008061258994E1)); +#14869=CARTESIAN_POINT('',(4.981446994217E1,4.518734010884E0, +-1.003873401088E1)); +#14870=CARTESIAN_POINT('',(4.978786796564E1,4.492132034356E0, +-1.001213203436E1)); +#14872=CARTESIAN_POINT('',(5.321213203436E1,4.492132034356E0, +-1.001213203436E1)); +#14873=CARTESIAN_POINT('',(5.318553005783E1,4.518734010884E0, +-1.003873401088E1)); +#14874=CARTESIAN_POINT('',(5.312403535559E1,4.560612589936E0, +-1.008061258994E1)); +#14875=CARTESIAN_POINT('',(5.304607597493E1,4.58E0,-1.01E1)); +#14876=CARTESIAN_POINT('',(5.3E1,4.58E0,-1.01E1)); +#14878=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#14879=VECTOR('',#14878,3.674234614175E-1); +#14880=CARTESIAN_POINT('',(5.321213203436E1,4.492132034356E0, +-1.001213203436E1)); +#14881=LINE('',#14880,#14879); +#14882=CARTESIAN_POINT('',(5.33E1,4.28E0,-1.01E1)); +#14883=CARTESIAN_POINT('',(5.33E1,4.326075974928E0,-1.01E1)); +#14884=CARTESIAN_POINT('',(5.328061258994E1,4.404035355589E0, +-1.008061258994E1)); +#14885=CARTESIAN_POINT('',(5.323873401088E1,4.465530057828E0, +-1.003873401088E1)); +#14886=CARTESIAN_POINT('',(5.321213203436E1,4.492132034356E0, +-1.001213203436E1)); +#14888=CARTESIAN_POINT('',(5.321213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14889=CARTESIAN_POINT('',(5.323873401088E1,3.394469942172E0, +-1.003873401088E1)); +#14890=CARTESIAN_POINT('',(5.328061258994E1,3.455964644411E0, +-1.008061258994E1)); +#14891=CARTESIAN_POINT('',(5.33E1,3.533924025072E0,-1.01E1)); +#14892=CARTESIAN_POINT('',(5.33E1,3.58E0,-1.01E1)); +#14894=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#14895=VECTOR('',#14894,3.674234614175E-1); +#14896=CARTESIAN_POINT('',(5.321213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14897=LINE('',#14896,#14895); +#14898=CARTESIAN_POINT('',(5.3E1,3.28E0,-1.01E1)); +#14899=CARTESIAN_POINT('',(5.304607597493E1,3.28E0,-1.01E1)); +#14900=CARTESIAN_POINT('',(5.312403535559E1,3.299387410064E0, +-1.008061258994E1)); +#14901=CARTESIAN_POINT('',(5.318553005783E1,3.341265989116E0, +-1.003873401088E1)); +#14902=CARTESIAN_POINT('',(5.321213203436E1,3.367867965644E0, +-1.001213203436E1)); +#14904=CARTESIAN_POINT('',(4.978786796564E1,3.367867965644E0, +-1.001213203436E1)); +#14905=CARTESIAN_POINT('',(4.981446994217E1,3.341265989116E0, +-1.003873401088E1)); +#14906=CARTESIAN_POINT('',(4.987596464441E1,3.299387410064E0, +-1.008061258994E1)); +#14907=CARTESIAN_POINT('',(4.995392402507E1,3.28E0,-1.01E1)); +#14908=CARTESIAN_POINT('',(5.E1,3.28E0,-1.01E1)); +#14910=CARTESIAN_POINT('',(5.3E1,3.58E0,-1.995E1)); +#14911=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14912=DIRECTION('',(1.E0,0.E0,0.E0)); +#14913=AXIS2_PLACEMENT_3D('',#14910,#14911,#14912); +#14915=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14916=VECTOR('',#14915,9.85E0); +#14917=CARTESIAN_POINT('',(5.3E1,3.28E0,-1.01E1)); +#14918=LINE('',#14917,#14916); +#14919=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14920=VECTOR('',#14919,9.85E0); +#14921=CARTESIAN_POINT('',(5.E1,3.28E0,-1.01E1)); +#14922=LINE('',#14921,#14920); +#14923=DIRECTION('',(1.E0,0.E0,0.E0)); +#14924=VECTOR('',#14923,3.E0); +#14925=CARTESIAN_POINT('',(5.E1,3.28E0,-1.01E1)); +#14926=LINE('',#14925,#14924); +#14927=CARTESIAN_POINT('',(5.E1,3.58E0,-1.995E1)); +#14928=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14929=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14930=AXIS2_PLACEMENT_3D('',#14927,#14928,#14929); +#14932=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14933=VECTOR('',#14932,9.85E0); +#14934=CARTESIAN_POINT('',(4.97E1,3.58E0,-1.01E1)); +#14935=LINE('',#14934,#14933); +#14936=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14937=VECTOR('',#14936,9.85E0); +#14938=CARTESIAN_POINT('',(4.97E1,4.28E0,-1.01E1)); +#14939=LINE('',#14938,#14937); +#14940=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14941=VECTOR('',#14940,7.E-1); +#14942=CARTESIAN_POINT('',(4.97E1,4.28E0,-1.01E1)); +#14943=LINE('',#14942,#14941); +#14944=CARTESIAN_POINT('',(5.E1,4.28E0,-1.995E1)); +#14945=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14946=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14947=AXIS2_PLACEMENT_3D('',#14944,#14945,#14946); +#14949=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14950=VECTOR('',#14949,9.85E0); +#14951=CARTESIAN_POINT('',(5.3E1,4.58E0,-1.01E1)); +#14952=LINE('',#14951,#14950); +#14953=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14954=VECTOR('',#14953,3.E0); +#14955=CARTESIAN_POINT('',(5.3E1,4.58E0,-1.01E1)); +#14956=LINE('',#14955,#14954); +#14957=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14958=VECTOR('',#14957,9.85E0); +#14959=CARTESIAN_POINT('',(5.E1,4.58E0,-1.01E1)); +#14960=LINE('',#14959,#14958); +#14961=CARTESIAN_POINT('',(5.3E1,4.28E0,-1.995E1)); +#14962=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14963=DIRECTION('',(0.E0,1.E0,0.E0)); +#14964=AXIS2_PLACEMENT_3D('',#14961,#14962,#14963); +#14966=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14967=VECTOR('',#14966,9.85E0); +#14968=CARTESIAN_POINT('',(5.33E1,4.28E0,-1.01E1)); +#14969=LINE('',#14968,#14967); +#14970=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14971=VECTOR('',#14970,9.85E0); +#14972=CARTESIAN_POINT('',(5.33E1,3.58E0,-1.01E1)); +#14973=LINE('',#14972,#14971); +#14974=DIRECTION('',(0.E0,1.E0,0.E0)); +#14975=VECTOR('',#14974,7.E-1); +#14976=CARTESIAN_POINT('',(5.33E1,3.58E0,-1.01E1)); +#14977=LINE('',#14976,#14975); +#14978=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#14979=VECTOR('',#14978,3.674234614175E-1); +#14980=CARTESIAN_POINT('',(4.978786796564E1,3.367867965644E0, +-1.001213203436E1)); +#14981=LINE('',#14980,#14979); +#14982=CARTESIAN_POINT('',(4.97E1,3.58E0,-1.01E1)); +#14983=CARTESIAN_POINT('',(4.97E1,3.533924025072E0,-1.01E1)); +#14984=CARTESIAN_POINT('',(4.971938741006E1,3.455964644411E0, +-1.008061258994E1)); +#14985=CARTESIAN_POINT('',(4.976126598912E1,3.394469942172E0, +-1.003873401088E1)); +#14986=CARTESIAN_POINT('',(4.978786796564E1,3.367867965644E0, +-1.001213203436E1)); +#14988=CARTESIAN_POINT('',(4.978786796564E1,4.492132034356E0, +-1.001213203436E1)); +#14989=CARTESIAN_POINT('',(4.976126598912E1,4.465530057828E0, +-1.003873401088E1)); +#14990=CARTESIAN_POINT('',(4.971938741006E1,4.404035355589E0, +-1.008061258994E1)); +#14991=CARTESIAN_POINT('',(4.97E1,4.326075974927E0,-1.01E1)); +#14992=CARTESIAN_POINT('',(4.97E1,4.28E0,-1.01E1)); +#14994=DIRECTION('',(0.E0,0.E0,1.E0)); +#14995=VECTOR('',#14994,1.5E-1); +#14996=CARTESIAN_POINT('',(-5.60225E1,-7.E-2,-1.995E1)); +#14997=LINE('',#14996,#14995); +#14998=DIRECTION('',(0.E0,0.E0,1.E0)); +#14999=VECTOR('',#14998,1.5E-1); +#15000=CARTESIAN_POINT('',(-5.626125E1,-7.E-2,-1.995E1)); +#15001=LINE('',#15000,#14999); +#15002=DIRECTION('',(0.E0,-1.036208156317E-14,1.E0)); +#15003=VECTOR('',#15002,1.5E-1); +#15004=CARTESIAN_POINT('',(-5.626125E1,1.589574508667E0,-1.995E1)); +#15005=LINE('',#15004,#15003); +#15006=DIRECTION('',(0.E0,0.E0,1.E0)); +#15007=VECTOR('',#15006,1.5E-1); +#15008=CARTESIAN_POINT('',(-5.65E1,1.291702084541E0,-1.995E1)); +#15009=LINE('',#15008,#15007); +#15010=DIRECTION('',(0.E0,-3.404683942184E-14,1.E0)); +#15011=VECTOR('',#15010,1.5E-1); +#15012=CARTESIAN_POINT('',(-5.65E1,1.632127695084E0,-1.995E1)); +#15013=LINE('',#15012,#15011); +#15014=DIRECTION('',(4.736951571734E-14,0.E0,1.E0)); +#15015=VECTOR('',#15014,1.5E-1); +#15016=CARTESIAN_POINT('',(-5.626125E1,1.93E0,-1.995E1)); +#15017=LINE('',#15016,#15015); +#15018=DIRECTION('',(0.E0,0.E0,1.E0)); +#15019=VECTOR('',#15018,1.5E-1); +#15020=CARTESIAN_POINT('',(-5.60225E1,1.93E0,-1.995E1)); +#15021=LINE('',#15020,#15019); +#15022=DIRECTION('',(0.E0,1.E0,0.E0)); +#15023=VECTOR('',#15022,2.E0); +#15024=CARTESIAN_POINT('',(-5.60225E1,-7.E-2,-1.98E1)); +#15025=LINE('',#15024,#15023); +#15026=DIRECTION('',(1.E0,0.E0,0.E0)); +#15027=VECTOR('',#15026,2.3875E-1); +#15028=CARTESIAN_POINT('',(-5.626125E1,-7.E-2,-1.98E1)); +#15029=LINE('',#15028,#15027); +#15030=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15031=VECTOR('',#15030,1.659574508667E0); +#15032=CARTESIAN_POINT('',(-5.626125E1,1.589574508667E0,-1.98E1)); +#15033=LINE('',#15032,#15031); +#15034=DIRECTION('',(6.254168501437E-1,7.802908198591E-1,0.E0)); +#15035=VECTOR('',#15034,3.817453910062E-1); +#15036=CARTESIAN_POINT('',(-5.65E1,1.291702084541E0,-1.98E1)); +#15037=LINE('',#15036,#15035); +#15038=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15039=VECTOR('',#15038,3.404256105423E-1); +#15040=CARTESIAN_POINT('',(-5.65E1,1.632127695084E0,-1.98E1)); +#15041=LINE('',#15040,#15039); +#15042=DIRECTION('',(-6.254170025358E-1,-7.802906977141E-1,0.E0)); +#15043=VECTOR('',#15042,3.817452979883E-1); +#15044=CARTESIAN_POINT('',(-5.626125E1,1.93E0,-1.98E1)); +#15045=LINE('',#15044,#15043); +#15046=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15047=VECTOR('',#15046,2.3875E-1); +#15048=CARTESIAN_POINT('',(-5.60225E1,1.93E0,-1.98E1)); +#15049=LINE('',#15048,#15047); +#15050=DIRECTION('',(3.751613436293E-1,9.269595278362E-1,0.E0)); +#15051=VECTOR('',#15050,1.170608015818E-1); +#15052=CARTESIAN_POINT('',(-4.2405375E1,-3.139148932397E0,-1.98E1)); +#15053=LINE('',#15052,#15051); +#15054=DIRECTION('',(6.732935615317E-1,7.393752633135E-1,0.E0)); +#15055=VECTOR('',#15054,9.783993753058E-2); +#15056=CARTESIAN_POINT('',(-4.247125E1,-3.211489361972E0,-1.98E1)); +#15057=LINE('',#15056,#15055); +#15058=DIRECTION('',(7.718995709239E-1,6.357444867300E-1,0.E0)); +#15059=VECTOR('',#15058,1.137885234048E-1); +#15060=CARTESIAN_POINT('',(-4.255908331239E1,-3.283829788379E0,-1.98E1)); +#15061=LINE('',#15060,#15059); +#15062=DIRECTION('',(9.246649747983E-1,3.807816754798E-1,0.E0)); +#15063=VECTOR('',#15062,9.498937041823E-2); +#15064=CARTESIAN_POINT('',(-4.264691665620E1,-3.32E0,-1.98E1)); +#15065=LINE('',#15064,#15063); +#15066=DIRECTION('',(1.E0,0.E0,0.E0)); +#15067=VECTOR('',#15066,1.756666876078E-1); +#15068=CARTESIAN_POINT('',(-4.282258334380E1,-3.32E0,-1.98E1)); +#15069=LINE('',#15068,#15067); +#15070=DIRECTION('',(9.246649508245E-1,-3.807817336964E-1,0.E0)); +#15071=VECTOR('',#15070,9.498935589561E-2); +#15072=CARTESIAN_POINT('',(-4.291041667190E1,-3.283829788379E0,-1.98E1)); +#15073=LINE('',#15072,#15071); +#15074=DIRECTION('',(7.718996267101E-1,-6.357444189962E-1,0.E0)); +#15075=VECTOR('',#15074,1.137885355281E-1); +#15076=CARTESIAN_POINT('',(-4.299825E1,-3.211489361972E0,-1.98E1)); +#15077=LINE('',#15076,#15075); +#15078=DIRECTION('',(6.732935615317E-1,-7.393752633136E-1,0.E0)); +#15079=VECTOR('',#15078,9.783993753058E-2); +#15080=CARTESIAN_POINT('',(-4.3064125E1,-3.139148932397E0,-1.98E1)); +#15081=LINE('',#15080,#15079); +#15082=DIRECTION('',(3.751611851132E-1,-9.269595919912E-1,0.E0)); +#15083=VECTOR('',#15082,1.170607934800E-1); +#15084=CARTESIAN_POINT('',(-4.310804166601E1,-3.030638307035E0,-1.98E1)); +#15085=LINE('',#15084,#15083); +#15086=DIRECTION('',(1.983408094593E-1,-9.801331150936E-1,0.E0)); +#15087=VECTOR('',#15086,1.107101158234E-1); +#15088=CARTESIAN_POINT('',(-4.313E1,-2.922127656341E0,-1.98E1)); +#15089=LINE('',#15088,#15087); +#15090=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15091=VECTOR('',#15090,1.097916640490E-1); +#15092=CARTESIAN_POINT('',(-4.302020833595E1,-2.922127656341E0,-1.98E1)); +#15093=LINE('',#15092,#15091); +#15094=DIRECTION('',(-2.904555911302E-1,9.568884729064E-1,0.E0)); +#15095=VECTOR('',#15094,7.559963251364E-2); +#15096=CARTESIAN_POINT('',(-4.299825E1,-2.994468073249E0,-1.98E1)); +#15097=LINE('',#15096,#15095); +#15098=DIRECTION('',(-5.189409141714E-1,8.548101120125E-1,0.E0)); +#15099=VECTOR('',#15098,8.462749939857E-2); +#15100=CARTESIAN_POINT('',(-4.295433332810E1,-3.066808515489E0,-1.98E1)); +#15101=LINE('',#15100,#15099); +#15102=DIRECTION('',(-7.718996118919E-1,6.357444369880E-1,0.E0)); +#15103=VECTOR('',#15102,5.689425868278E-2); +#15104=CARTESIAN_POINT('',(-4.291041667190E1,-3.102978723943E0,-1.98E1)); +#15105=LINE('',#15104,#15103); +#15106=DIRECTION('',(-9.497856801346E-1,3.129012013580E-1,0.E0)); +#15107=VECTOR('',#15106,1.155962594492E-1); +#15108=CARTESIAN_POINT('',(-4.2800625E1,-3.139148932397E0,-1.98E1)); +#15109=LINE('',#15108,#15107); +#15110=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15111=VECTOR('',#15110,1.3175E-1); +#15112=CARTESIAN_POINT('',(-4.2668875E1,-3.139148932397E0,-1.98E1)); +#15113=LINE('',#15112,#15111); +#15114=DIRECTION('',(-9.497856934370E-1,-3.129011609795E-1,0.E0)); +#15115=VECTOR('',#15114,1.155962743663E-1); +#15116=CARTESIAN_POINT('',(-4.255908331239E1,-3.102978723943E0,-1.98E1)); +#15117=LINE('',#15116,#15115); +#15118=DIRECTION('',(-7.718993887466E-1,-6.357447079234E-1,0.E0)); +#15119=VECTOR('',#15118,5.689423443614E-2); +#15120=CARTESIAN_POINT('',(-4.251516668761E1,-3.066808515489E0,-1.98E1)); +#15121=LINE('',#15120,#15119); +#15122=DIRECTION('',(-5.189410497805E-1,-8.548100296866E-1,0.E0)); +#15123=VECTOR('',#15122,8.462750754897E-2); +#15124=CARTESIAN_POINT('',(-4.247125E1,-2.994468073249E0,-1.98E1)); +#15125=LINE('',#15124,#15123); +#15126=DIRECTION('',(-2.904553057951E-1,-9.568885595175E-1,0.E0)); +#15127=VECTOR('',#15126,7.559962567087E-2); +#15128=CARTESIAN_POINT('',(-4.244929168761E1,-2.922127656341E0,-1.98E1)); +#15129=LINE('',#15128,#15127); +#15130=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15131=VECTOR('',#15130,1.446808338165E-1); +#15132=CARTESIAN_POINT('',(-4.244929168761E1,-2.777446822524E0,-1.98E1)); +#15133=LINE('',#15132,#15131); +#15134=DIRECTION('',(2.904552126650E-1,-9.568885877863E-1,0.E0)); +#15135=VECTOR('',#15134,1.511992998215E-1); +#15136=CARTESIAN_POINT('',(-4.249320831239E1,-2.632765938044E0,-1.98E1)); +#15137=LINE('',#15136,#15135); +#15138=DIRECTION('',(6.732936259772E-1,-7.393752046279E-1,0.E0)); +#15139=VECTOR('',#15138,9.783992816566E-2); +#15140=CARTESIAN_POINT('',(-4.255908331239E1,-2.560425521135E0,-1.98E1)); +#15141=LINE('',#15140,#15139); +#15142=DIRECTION('',(9.643195461593E-1,-2.647410298673E-1,0.E0)); +#15143=VECTOR('',#15142,1.366248687340E-1); +#15144=CARTESIAN_POINT('',(-4.269083334380E1,-2.524255312681E0,-1.98E1)); +#15145=LINE('',#15144,#15143); +#15146=DIRECTION('',(1.E0,0.E0,0.E0)); +#15147=VECTOR('',#15146,8.783331239223E-2); +#15148=CARTESIAN_POINT('',(-4.277866665620E1,-2.524255312681E0,-1.98E1)); +#15149=LINE('',#15148,#15147); +#15150=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15151=VECTOR('',#15150,1.446808338165E-1); +#15152=CARTESIAN_POINT('',(-4.277866665620E1,-2.379574478865E0,-1.98E1)); +#15153=LINE('',#15152,#15151); +#15154=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15155=VECTOR('',#15154,8.783331239223E-2); +#15156=CARTESIAN_POINT('',(-4.269083334380E1,-2.379574478865E0,-1.98E1)); +#15157=LINE('',#15156,#15155); +#15158=DIRECTION('',(-8.765587167940E-1,-4.812949366162E-1,0.E0)); +#15159=VECTOR('',#15158,1.503037148424E-1); +#15160=CARTESIAN_POINT('',(-4.255908331239E1,-2.307234061956E0,-1.98E1)); +#15161=LINE('',#15160,#15159); +#15162=DIRECTION('',(-5.189406401280E-1,-8.548102783797E-1,0.E0)); +#15163=VECTOR('',#15162,8.462745329337E-2); +#15164=CARTESIAN_POINT('',(-4.251516668761E1,-2.234893645048E0,-1.98E1)); +#15165=LINE('',#15164,#15163); +#15166=DIRECTION('',(-2.904556862418E-1,-9.568884440361E-1,0.E0)); +#15167=VECTOR('',#15166,1.511992695891E-1); +#15168=CARTESIAN_POINT('',(-4.247125E1,-2.090212811232E0,-1.98E1)); +#15169=LINE('',#15168,#15167); +#15170=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15171=VECTOR('',#15170,7.234051823616E-2); +#15172=CARTESIAN_POINT('',(-4.247125E1,-2.017872292995E0,-1.98E1)); +#15173=LINE('',#15172,#15171); +#15174=DIRECTION('',(3.751613436294E-1,-9.269595278361E-1,0.E0)); +#15175=VECTOR('',#15174,1.170608015818E-1); +#15176=CARTESIAN_POINT('',(-4.251516668761E1,-1.909361667633E0,-1.98E1)); +#15177=LINE('',#15176,#15175); +#15178=DIRECTION('',(6.732936259771E-1,-7.393752046279E-1,0.E0)); +#15179=VECTOR('',#15178,9.783992816565E-2); +#15180=CARTESIAN_POINT('',(-4.258104168761E1,-1.837021250725E0,-1.98E1)); +#15181=LINE('',#15180,#15179); +#15182=DIRECTION('',(9.497856668322E-1,-3.129012417364E-1,0.E0)); +#15183=VECTOR('',#15182,1.155962445320E-1); +#15184=CARTESIAN_POINT('',(-4.269083334380E1,-1.800851042271E0,-1.98E1)); +#15185=LINE('',#15184,#15183); +#15186=DIRECTION('',(1.E0,0.E0,0.E0)); +#15187=VECTOR('',#15186,8.783331239223E-2); +#15188=CARTESIAN_POINT('',(-4.277866665620E1,-1.800851042271E0,-1.98E1)); +#15189=LINE('',#15188,#15187); +#15190=DIRECTION('',(9.497856801346E-1,3.129012013580E-1,0.E0)); +#15191=VECTOR('',#15190,1.155962594492E-1); +#15192=CARTESIAN_POINT('',(-4.288845832810E1,-1.837021250725E0,-1.98E1)); +#15193=LINE('',#15192,#15191); +#15194=DIRECTION('',(6.732936259772E-1,7.393752046279E-1,0.E0)); +#15195=VECTOR('',#15194,9.783992816566E-2); +#15196=CARTESIAN_POINT('',(-4.295433332810E1,-1.909361667633E0,-1.98E1)); +#15197=LINE('',#15196,#15195); +#15198=DIRECTION('',(3.751612283449E-1,9.269595744943E-1,0.E0)); +#15199=VECTOR('',#15198,1.170607956896E-1); +#15200=CARTESIAN_POINT('',(-4.299825E1,-2.017872292995E0,-1.98E1)); +#15201=LINE('',#15200,#15199); +#15202=DIRECTION('',(1.E0,0.E0,0.E0)); +#15203=VECTOR('',#15202,1.097916660123E-1); +#15204=CARTESIAN_POINT('',(-4.310804166601E1,-2.017872292995E0,-1.98E1)); +#15205=LINE('',#15204,#15203); +#15206=DIRECTION('',(-1.500525106442E-1,-9.886780285054E-1,0.E0)); +#15207=VECTOR('',#15206,1.463376646847E-1); +#15208=CARTESIAN_POINT('',(-4.308608333202E1,-1.873191459179E0,-1.98E1)); +#15209=LINE('',#15208,#15207); +#15210=DIRECTION('',(-5.189409961019E-1,-8.548100622739E-1,0.E0)); +#15211=VECTOR('',#15210,1.692549493763E-1); +#15212=CARTESIAN_POINT('',(-4.299825E1,-1.728510625362E0,-1.98E1)); +#15213=LINE('',#15212,#15211); +#15214=DIRECTION('',(-7.718996676782E-1,-6.357443692541E-1,0.E0)); +#15215=VECTOR('',#15214,1.137885294889E-1); +#15216=CARTESIAN_POINT('',(-4.291041667190E1,-1.656170208454E0,-1.98E1)); +#15217=LINE('',#15216,#15215); +#15218=DIRECTION('',(-9.734121656606E-1,-2.290605940445E-1,0.E0)); +#15219=VECTOR('',#15218,1.579067259692E-1); +#15220=CARTESIAN_POINT('',(-4.275670834380E1,-1.62E0,-1.98E1)); +#15221=LINE('',#15220,#15219); +#15222=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15223=VECTOR('',#15222,4.391668760776E-2); +#15224=CARTESIAN_POINT('',(-4.271279165620E1,-1.62E0,-1.98E1)); +#15225=LINE('',#15224,#15223); +#15226=DIRECTION('',(-9.734121708792E-1,2.290605718673E-1,0.E0)); +#15227=VECTOR('',#15226,1.579067412575E-1); +#15228=CARTESIAN_POINT('',(-4.255908331239E1,-1.656170208454E0,-1.98E1)); +#15229=LINE('',#15228,#15227); +#15230=DIRECTION('',(-7.718996118919E-1,6.357444369879E-1,0.E0)); +#15231=VECTOR('',#15230,1.137885173656E-1); +#15232=CARTESIAN_POINT('',(-4.247125E1,-1.728510625362E0,-1.98E1)); +#15233=LINE('',#15232,#15231); +#15234=DIRECTION('',(-5.189409113462E-1,8.548101137277E-1,0.E0)); +#15235=VECTOR('',#15234,1.692549391883E-1); +#15236=CARTESIAN_POINT('',(-4.238341668761E1,-1.873191459179E0,-1.98E1)); +#15237=LINE('',#15236,#15235); +#15238=DIRECTION('',(-1.500527860313E-1,9.886779867096E-1,0.E0)); +#15239=VECTOR('',#15238,1.463376708710E-1); +#15240=CARTESIAN_POINT('',(-4.236145831239E1,-2.017872292995E0,-1.98E1)); +#15241=LINE('',#15240,#15239); +#15242=DIRECTION('',(0.E0,1.E0,0.E0)); +#15243=VECTOR('',#15242,7.234051823616E-2); +#15244=CARTESIAN_POINT('',(-4.236145831239E1,-2.090212811232E0,-1.98E1)); +#15245=LINE('',#15244,#15243); +#15246=DIRECTION('',(1.500527860313E-1,9.886779867096E-1,0.E0)); +#15247=VECTOR('',#15246,1.463376708710E-1); +#15248=CARTESIAN_POINT('',(-4.238341668761E1,-2.234893645048E0,-1.98E1)); +#15249=LINE('',#15248,#15247); +#15250=DIRECTION('',(3.751608824914E-1,9.269597144689E-1,0.E0)); +#15251=VECTOR('',#15250,1.170607780130E-1); +#15252=CARTESIAN_POINT('',(-4.242733331239E1,-2.343404270411E0,-1.98E1)); +#15253=LINE('',#15252,#15251); +#15254=DIRECTION('',(6.291611878975E-1,7.772748546322E-1,0.E0)); +#15255=VECTOR('',#15254,1.396039312422E-1); +#15256=CARTESIAN_POINT('',(-4.251516668761E1,-2.451914895773E0,-1.98E1)); +#15257=LINE('',#15256,#15255); +#15258=DIRECTION('',(-8.350360802657E-1,5.501951877784E-1,0.E0)); +#15259=VECTOR('',#15258,1.314813697306E-1); +#15260=CARTESIAN_POINT('',(-4.2405375E1,-2.524255312681E0,-1.98E1)); +#15261=LINE('',#15260,#15259); +#15262=DIRECTION('',(-3.751613436293E-1,9.269595278362E-1,0.E0)); +#15263=VECTOR('',#15262,1.170608015818E-1); +#15264=CARTESIAN_POINT('',(-4.236145831239E1,-2.632765938044E0,-1.98E1)); +#15265=LINE('',#15264,#15263); +#15266=DIRECTION('',(-1.500523150320E-1,9.886780581936E-1,0.E0)); +#15267=VECTOR('',#15266,1.463377115345E-1); +#15268=CARTESIAN_POINT('',(-4.23395E1,-2.777446822524E0,-1.98E1)); +#15269=LINE('',#15268,#15267); +#15270=DIRECTION('',(0.E0,1.E0,0.E0)); +#15271=VECTOR('',#15270,1.446808338165E-1); +#15272=CARTESIAN_POINT('',(-4.23395E1,-2.922127656341E0,-1.98E1)); +#15273=LINE('',#15272,#15271); +#15274=DIRECTION('',(1.983406220694E-1,9.801331530140E-1,0.E0)); +#15275=VECTOR('',#15274,1.107101115401E-1); +#15276=CARTESIAN_POINT('',(-4.236145831239E1,-3.030638307035E0,-1.98E1)); +#15277=LINE('',#15276,#15275); +#15278=DIRECTION('',(0.E0,1.E0,0.E0)); +#15279=VECTOR('',#15278,1.8E0); +#15280=CARTESIAN_POINT('',(-4.1093E1,4.3E-1,-1.98E1)); +#15281=LINE('',#15280,#15279); +#15282=DIRECTION('',(1.E0,0.E0,0.E0)); +#15283=VECTOR('',#15282,1.035E-1); +#15284=CARTESIAN_POINT('',(-4.11965E1,4.3E-1,-1.98E1)); +#15285=LINE('',#15284,#15283); +#15286=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15287=VECTOR('',#15286,1.493617057800E0); +#15288=CARTESIAN_POINT('',(-4.11965E1,1.923617057800E0,-1.98E1)); +#15289=LINE('',#15288,#15287); +#15290=DIRECTION('',(3.601619888884E-1,9.328897800705E-1,0.E0)); +#15291=VECTOR('',#15290,2.873706920584E-1); +#15292=CARTESIAN_POINT('',(-4.13E1,1.655531876087E0,-1.98E1)); +#15293=LINE('',#15292,#15291); +#15294=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15295=VECTOR('',#15294,3.063830494881E-1); +#15296=CARTESIAN_POINT('',(-4.13E1,1.961914925575E0,-1.98E1)); +#15297=LINE('',#15296,#15295); +#15298=DIRECTION('',(-3.601621143291E-1,-9.328897316414E-1,0.E0)); +#15299=VECTOR('',#15298,2.873705919702E-1); +#15300=CARTESIAN_POINT('',(-4.11965E1,2.23E0,-1.98E1)); +#15301=LINE('',#15300,#15299); +#15302=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15303=VECTOR('',#15302,1.035E-1); +#15304=CARTESIAN_POINT('',(-4.1093E1,2.23E0,-1.98E1)); +#15305=LINE('',#15304,#15303); +#15306=DIRECTION('',(5.148458646116E-1,8.572827629740E-1,0.E0)); +#15307=VECTOR('',#15306,1.340206618949E-1); +#15308=CARTESIAN_POINT('',(-4.083425E1,1.234255330563E0,-1.98E1)); +#15309=LINE('',#15308,#15307); +#15310=DIRECTION('',(1.E0,0.E0,0.E0)); +#15311=VECTOR('',#15310,1.207500082254E-1); +#15312=CARTESIAN_POINT('',(-4.095500000823E1,1.234255330563E0,-1.98E1)); +#15313=LINE('',#15312,#15311); +#15314=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15315=VECTOR('',#15314,9.957446694374E-1); +#15316=CARTESIAN_POINT('',(-4.095500000823E1,2.23E0,-1.98E1)); +#15317=LINE('',#15316,#15315); +#15318=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15319=VECTOR('',#15318,5.865000082254E-1); +#15320=CARTESIAN_POINT('',(-4.03685E1,2.23E0,-1.98E1)); +#15321=LINE('',#15320,#15319); +#15322=DIRECTION('',(0.E0,1.E0,0.E0)); +#15323=VECTOR('',#15322,1.914893388748E-1); +#15324=CARTESIAN_POINT('',(-4.03685E1,2.038510661125E0,-1.98E1)); +#15325=LINE('',#15324,#15323); +#15326=DIRECTION('',(1.E0,0.E0,0.E0)); +#15327=VECTOR('',#15326,5.002500164509E-1); +#15328=CARTESIAN_POINT('',(-4.086875001645E1,2.038510661125E0,-1.98E1)); +#15329=LINE('',#15328,#15327); +#15330=DIRECTION('',(0.E0,1.E0,0.E0)); +#15331=VECTOR('',#15330,6.127659916878E-1); +#15332=CARTESIAN_POINT('',(-4.086875001645E1,1.425744669437E0,-1.98E1)); +#15333=LINE('',#15332,#15331); +#15334=DIRECTION('',(-6.003554968314E-1,-7.997332539193E-1,0.E0)); +#15335=VECTOR('',#15334,1.436649067196E-1); +#15336=CARTESIAN_POINT('',(-4.07825E1,1.540638272762E0,-1.98E1)); +#15337=LINE('',#15336,#15335); +#15338=DIRECTION('',(-9.139510000470E-1,-4.058245550890E-1,0.E0)); +#15339=VECTOR('',#15338,9.437050393998E-2); +#15340=CARTESIAN_POINT('',(-4.069624998355E1,1.578936140537E0,-1.98E1)); +#15341=LINE('',#15340,#15339); +#15342=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15343=VECTOR('',#15342,1.035E-1); +#15344=CARTESIAN_POINT('',(-4.059274998355E1,1.578936140537E0,-1.98E1)); +#15345=LINE('',#15344,#15343); +#15346=DIRECTION('',(-9.139509139176E-1,4.058247490595E-1,0.E0)); +#15347=VECTOR('',#15346,9.437045883407E-2); +#15348=CARTESIAN_POINT('',(-4.050650001645E1,1.540638272762E0,-1.98E1)); +#15349=LINE('',#15348,#15347); +#15350=DIRECTION('',(-6.003554968314E-1,7.997332539193E-1,0.E0)); +#15351=VECTOR('',#15350,1.436649067196E-1); +#15352=CARTESIAN_POINT('',(-4.042025E1,1.425744669437E0,-1.98E1)); +#15353=LINE('',#15352,#15351); +#15354=DIRECTION('',(-3.200444541609E-1,9.474025265751E-1,0.E0)); +#15355=VECTOR('',#15354,1.616962872726E-1); +#15356=CARTESIAN_POINT('',(-4.03685E1,1.272553198338E0,-1.98E1)); +#15357=LINE('',#15356,#15355); +#15358=DIRECTION('',(-1.773116827214E-1,9.841547475730E-1,0.E0)); +#15359=VECTOR('',#15358,1.945724419775E-1); +#15360=CARTESIAN_POINT('',(-4.033400003290E1,1.081063805819E0,-1.98E1)); +#15361=LINE('',#15360,#15359); +#15362=DIRECTION('',(0.E0,1.E0,0.E0)); +#15363=VECTOR('',#15362,1.531914710999E-1); +#15364=CARTESIAN_POINT('',(-4.033400003290E1,9.278723347187E-1,-1.98E1)); +#15365=LINE('',#15364,#15363); +#15366=DIRECTION('',(1.773117067768E-1,9.841547432390E-1,0.E0)); +#15367=VECTOR('',#15366,1.945724155804E-1); +#15368=CARTESIAN_POINT('',(-4.03685E1,7.363829690218E-1,-1.98E1)); +#15369=LINE('',#15368,#15367); +#15370=DIRECTION('',(3.200444290127E-1,9.474025350705E-1,0.E0)); +#15371=VECTOR('',#15370,1.616962999782E-1); +#15372=CARTESIAN_POINT('',(-4.042025E1,5.831914845109E-1,-1.98E1)); +#15373=LINE('',#15372,#15371); +#15374=DIRECTION('',(6.003554632168E-1,7.997332791535E-1,0.E0)); +#15375=VECTOR('',#15374,1.436649147636E-1); +#15376=CARTESIAN_POINT('',(-4.050650001645E1,4.682978711277E-1,-1.98E1)); +#15377=LINE('',#15376,#15375); +#15378=DIRECTION('',(9.139509007403E-1,4.058247787359E-1,0.E0)); +#15379=VECTOR('',#15378,9.437046019471E-2); +#15380=CARTESIAN_POINT('',(-4.059274998355E1,4.3E-1,-1.98E1)); +#15381=LINE('',#15380,#15379); +#15382=DIRECTION('',(1.E0,0.E0,0.E0)); +#15383=VECTOR('',#15382,1.035E-1); +#15384=CARTESIAN_POINT('',(-4.069624998355E1,4.3E-1,-1.98E1)); +#15385=LINE('',#15384,#15383); +#15386=DIRECTION('',(9.139509868696E-1,-4.058245847654E-1,0.E0)); +#15387=VECTOR('',#15386,9.437050530061E-2); +#15388=CARTESIAN_POINT('',(-4.07825E1,4.682978711277E-1,-1.98E1)); +#15389=LINE('',#15388,#15387); +#15390=DIRECTION('',(6.003554632169E-1,-7.997332791535E-1,0.E0)); +#15391=VECTOR('',#15390,1.436649147636E-1); +#15392=CARTESIAN_POINT('',(-4.086875001645E1,5.831914845109E-1,-1.98E1)); +#15393=LINE('',#15392,#15391); +#15394=DIRECTION('',(3.200442920351E-1,-9.474025813432E-1,0.E0)); +#15395=VECTOR('',#15394,1.616962920807E-1); +#15396=CARTESIAN_POINT('',(-4.092049999177E1,7.363829690218E-1,-1.98E1)); +#15397=LINE('',#15396,#15395); +#15398=DIRECTION('',(2.197057284049E-1,-9.755661909405E-1,0.E0)); +#15399=VECTOR('',#15398,1.570282973565E-1); +#15400=CARTESIAN_POINT('',(-4.095500000823E1,8.895744669437E-1,-1.98E1)); +#15401=LINE('',#15400,#15399); +#15402=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15403=VECTOR('',#15402,1.035000246763E-1); +#15404=CARTESIAN_POINT('',(-4.085149998355E1,8.895744669437E-1,-1.98E1)); +#15405=LINE('',#15404,#15403); +#15406=DIRECTION('',(-2.197054292845E-1,9.755662583049E-1,0.E0)); +#15407=VECTOR('',#15406,1.570282865134E-1); +#15408=CARTESIAN_POINT('',(-4.081700001645E1,7.363829690218E-1,-1.98E1)); +#15409=LINE('',#15408,#15407); +#15410=DIRECTION('',(-5.598286279180E-1,8.286084161795E-1,0.E0)); +#15411=VECTOR('',#15410,9.243900261488E-2); +#15412=CARTESIAN_POINT('',(-4.076525001645E1,6.597872334719E-1,-1.98E1)); +#15413=LINE('',#15412,#15411); +#15414=DIRECTION('',(-8.743480938124E-1,4.852993002742E-1,0.E0)); +#15415=VECTOR('',#15414,7.891597567383E-2); +#15416=CARTESIAN_POINT('',(-4.069624998355E1,6.214893656969E-1,-1.98E1)); +#15417=LINE('',#15416,#15415); +#15418=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15419=VECTOR('',#15418,1.035E-1); +#15420=CARTESIAN_POINT('',(-4.059274998355E1,6.214893656969E-1,-1.98E1)); +#15421=LINE('',#15420,#15419); +#15422=DIRECTION('',(-8.743479465251E-1,-4.852995656368E-1,0.E0)); +#15423=VECTOR('',#15422,7.891593252243E-2); +#15424=CARTESIAN_POINT('',(-4.052375E1,6.597872334719E-1,-1.98E1)); +#15425=LINE('',#15424,#15423); +#15426=DIRECTION('',(-5.598286279181E-1,-8.286084161794E-1,0.E0)); +#15427=VECTOR('',#15426,9.243900261489E-2); +#15428=CARTESIAN_POINT('',(-4.0472E1,7.363829690218E-1,-1.98E1)); +#15429=LINE('',#15428,#15427); +#15430=DIRECTION('',(-2.875916702108E-1,-9.577531160092E-1,0.E0)); +#15431=VECTOR('',#15430,1.199616354429E-1); +#15432=CARTESIAN_POINT('',(-4.043750003290E1,8.512765991688E-1,-1.98E1)); +#15433=LINE('',#15432,#15431); +#15434=DIRECTION('',(-1.484750729329E-1,-9.889161504989E-1,0.E0)); +#15435=VECTOR('',#15434,1.161813398102E-1); +#15436=CARTESIAN_POINT('',(-4.042025E1,9.661702024937E-1,-1.98E1)); +#15437=LINE('',#15436,#15435); +#15438=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15439=VECTOR('',#15438,7.659573554993E-2); +#15440=CARTESIAN_POINT('',(-4.042025E1,1.042765938044E0,-1.98E1)); +#15441=LINE('',#15440,#15439); +#15442=DIRECTION('',(1.484750051377E-1,-9.889161606776E-1,0.E0)); +#15443=VECTOR('',#15442,1.161813928598E-1); +#15444=CARTESIAN_POINT('',(-4.043750003290E1,1.157659595013E0,-1.98E1)); +#15445=LINE('',#15444,#15443); +#15446=DIRECTION('',(2.875917317965E-1,-9.577530975164E-1,0.E0)); +#15447=VECTOR('',#15446,1.199616097539E-1); +#15448=CARTESIAN_POINT('',(-4.0472E1,1.272553198338E0,-1.98E1)); +#15449=LINE('',#15448,#15447); +#15450=DIRECTION('',(5.598286279181E-1,-8.286084161794E-1,0.E0)); +#15451=VECTOR('',#15450,9.243900261489E-2); +#15452=CARTESIAN_POINT('',(-4.052375E1,1.349148933887E0,-1.98E1)); +#15453=LINE('',#15452,#15451); +#15454=DIRECTION('',(8.743479465251E-1,-4.852995656368E-1,0.E0)); +#15455=VECTOR('',#15454,7.891593252243E-2); +#15456=CARTESIAN_POINT('',(-4.059274998355E1,1.387446801662E0,-1.98E1)); +#15457=LINE('',#15456,#15455); +#15458=DIRECTION('',(1.E0,0.E0,0.E0)); +#15459=VECTOR('',#15458,1.035E-1); +#15460=CARTESIAN_POINT('',(-4.069624998355E1,1.387446801662E0,-1.98E1)); +#15461=LINE('',#15460,#15459); +#15462=DIRECTION('',(8.743480938124E-1,4.852993002742E-1,0.E0)); +#15463=VECTOR('',#15462,7.891597567383E-2); +#15464=CARTESIAN_POINT('',(-4.076525001645E1,1.349148933887E0,-1.98E1)); +#15465=LINE('',#15464,#15463); +#15466=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15467=VECTOR('',#15466,2.127659618855E-1); +#15468=CARTESIAN_POINT('',(-5.345E1,1.742765961885E0,-1.98E1)); +#15469=LINE('',#15468,#15467); +#15470=DIRECTION('',(-3.672173603792E-1,-9.301351569724E-1,0.E0)); +#15471=VECTOR('',#15470,1.143736737449E0); +#15472=CARTESIAN_POINT('',(-5.303000001431E1,2.806595711708E0,-1.98E1)); +#15473=LINE('',#15472,#15471); +#15474=DIRECTION('',(-1.735747513456E-1,-9.848206972314E-1,0.E0)); +#15475=VECTOR('',#15474,1.728362798883E-1); +#15476=CARTESIAN_POINT('',(-5.3E1,2.976808457375E0,-1.98E1)); +#15477=LINE('',#15476,#15475); +#15478=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15479=VECTOR('',#15478,8.510649204254E-2); +#15480=CARTESIAN_POINT('',(-5.3E1,3.061914949417E0,-1.98E1)); +#15481=LINE('',#15480,#15479); +#15482=DIRECTION('',(2.287681560762E-1,-9.734809349779E-1,0.E0)); +#15483=VECTOR('',#15482,1.311371950523E-1); +#15484=CARTESIAN_POINT('',(-5.303000001431E1,3.189574508667E0,-1.98E1)); +#15485=LINE('',#15484,#15483); +#15486=DIRECTION('',(4.674307536375E-1,-8.840296887288E-1,0.E0)); +#15487=VECTOR('',#15486,9.627094419831E-2); +#15488=CARTESIAN_POINT('',(-5.307500001431E1,3.274680881500E0,-1.98E1)); +#15489=LINE('',#15488,#15487); +#15490=DIRECTION('',(8.697574792923E-1,-4.934794091096E-1,0.E0)); +#15491=VECTOR('',#15490,8.623092601453E-2); +#15492=CARTESIAN_POINT('',(-5.315000000715E1,3.317234067917E0,-1.98E1)); +#15493=LINE('',#15492,#15491); +#15494=DIRECTION('',(1.E0,0.E0,0.E0)); +#15495=VECTOR('',#15494,5.999998569487E-2); +#15496=CARTESIAN_POINT('',(-5.320999999285E1,3.317234067917E0,-1.98E1)); +#15497=LINE('',#15496,#15495); +#15498=DIRECTION('',(8.697575095912E-1,4.934793557076E-1,0.E0)); +#15499=VECTOR('',#15498,8.623093534603E-2); +#15500=CARTESIAN_POINT('',(-5.328499999642E1,3.274680881500E0,-1.98E1)); +#15501=LINE('',#15500,#15499); +#15502=DIRECTION('',(4.674307536375E-1,8.840296887288E-1,0.E0)); +#15503=VECTOR('',#15502,9.627094419830E-2); +#15504=CARTESIAN_POINT('',(-5.332999999642E1,3.189574508667E0,-1.98E1)); +#15505=LINE('',#15504,#15503); +#15506=DIRECTION('',(2.287680785441E-1,9.734809531980E-1,0.E0)); +#15507=VECTOR('',#15506,1.311371925979E-1); +#15508=CARTESIAN_POINT('',(-5.336E1,3.061914949417E0,-1.98E1)); +#15509=LINE('',#15508,#15507); +#15510=DIRECTION('',(1.E0,0.E0,0.E0)); +#15511=VECTOR('',#15510,7.499999955297E-2); +#15512=CARTESIAN_POINT('',(-5.343499999955E1,3.061914949417E0,-1.98E1)); +#15513=LINE('',#15512,#15511); +#15514=DIRECTION('',(-8.778480319513E-2,-9.961394622883E-1,0.E0)); +#15515=VECTOR('',#15514,1.708724050300E-1); +#15516=CARTESIAN_POINT('',(-5.341999999911E1,3.232127695084E0,-1.98E1)); +#15517=LINE('',#15516,#15515); +#15518=DIRECTION('',(-3.324501293982E-1,-9.431208360879E-1,0.E0)); +#15519=VECTOR('',#15518,1.804781944725E-1); +#15520=CARTESIAN_POINT('',(-5.336E1,3.402340440750E0,-1.98E1)); +#15521=LINE('',#15520,#15519); +#15522=DIRECTION('',(-5.762017961881E-1,-8.173074636082E-1,0.E0)); +#15523=VECTOR('',#15522,1.041301794279E-1); +#15524=CARTESIAN_POINT('',(-5.330000000358E1,3.487446813583E0,-1.98E1)); +#15525=LINE('',#15524,#15523); +#15526=DIRECTION('',(-9.267834537978E-1,-3.755960992432E-1,0.E0)); +#15527=VECTOR('',#15526,1.132950701628E-1); +#15528=CARTESIAN_POINT('',(-5.319500000715E1,3.53E0,-1.98E1)); +#15529=LINE('',#15528,#15527); +#15530=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15531=VECTOR('',#15530,6.000000715255E-2); +#15532=CARTESIAN_POINT('',(-5.3135E1,3.53E0,-1.98E1)); +#15533=LINE('',#15532,#15531); +#15534=DIRECTION('',(-9.040422555352E-1,4.274430958700E-1,0.E0)); +#15535=VECTOR('',#15534,9.955286873922E-2); +#15536=CARTESIAN_POINT('',(-5.3045E1,3.487446813583E0,-1.98E1)); +#15537=LINE('',#15536,#15535); +#15538=DIRECTION('',(-5.762017273630E-1,8.173075121299E-1,0.E0)); +#15539=VECTOR('',#15538,1.041301732459E-1); +#15540=CARTESIAN_POINT('',(-5.298500001431E1,3.402340440750E0,-1.98E1)); +#15541=LINE('',#15540,#15539); +#15542=DIRECTION('',(-3.324502748085E-1,9.431207848307E-1,0.E0)); +#15543=VECTOR('',#15542,1.804782042812E-1); +#15544=CARTESIAN_POINT('',(-5.292499998569E1,3.232127695084E0,-1.98E1)); +#15545=LINE('',#15544,#15543); +#15546=DIRECTION('',(-8.778471752612E-2,9.961394698379E-1,0.E0)); +#15547=VECTOR('',#15546,1.708724037350E-1); +#15548=CARTESIAN_POINT('',(-5.291E1,3.061914949417E0,-1.98E1)); +#15549=LINE('',#15548,#15547); +#15550=DIRECTION('',(0.E0,1.E0,0.E0)); +#15551=VECTOR('',#15550,8.510649204254E-2); +#15552=CARTESIAN_POINT('',(-5.291E1,2.976808457375E0,-1.98E1)); +#15553=LINE('',#15552,#15551); +#15554=DIRECTION('',(8.778471752612E-2,9.961394698379E-1,0.E0)); +#15555=VECTOR('',#15554,1.708724037350E-1); +#15556=CARTESIAN_POINT('',(-5.292499998569E1,2.806595711708E0,-1.98E1)); +#15557=LINE('',#15556,#15555); +#15558=DIRECTION('',(2.287681560762E-1,9.734809349779E-1,0.E0)); +#15559=VECTOR('',#15558,1.311371950523E-1); +#15560=CARTESIAN_POINT('',(-5.2955E1,2.678936152458E0,-1.98E1)); +#15561=LINE('',#15560,#15559); +#15562=DIRECTION('',(3.718453261646E-1,9.282947018105E-1,0.E0)); +#15563=VECTOR('',#15562,1.008483823884E0); +#15564=CARTESIAN_POINT('',(-5.332999999642E1,1.742765961885E0,-1.98E1)); +#15565=LINE('',#15564,#15563); +#15566=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15567=VECTOR('',#15566,4.199999964237E-1); +#15568=CARTESIAN_POINT('',(-5.291E1,1.742765961885E0,-1.98E1)); +#15569=LINE('',#15568,#15567); +#15570=DIRECTION('',(0.E0,1.E0,0.E0)); +#15571=VECTOR('',#15570,2.127659618855E-1); +#15572=CARTESIAN_POINT('',(-5.291E1,1.53E0,-1.98E1)); +#15573=LINE('',#15572,#15571); +#15574=DIRECTION('',(1.E0,0.E0,0.E0)); +#15575=VECTOR('',#15574,5.4E-1); +#15576=CARTESIAN_POINT('',(-5.345E1,1.53E0,-1.98E1)); +#15577=LINE('',#15576,#15575); +#15578=DIRECTION('',(0.E0,1.E0,0.E0)); +#15579=VECTOR('',#15578,2.127659320831E-1); +#15580=CARTESIAN_POINT('',(-5.225000002861E1,3.317234067917E0,-1.98E1)); +#15581=LINE('',#15580,#15579); +#15582=DIRECTION('',(1.655411793579E-1,9.862028786902E-1,0.E0)); +#15583=VECTOR('',#15582,1.812237731744E0); +#15584=CARTESIAN_POINT('',(-5.255E1,1.53E0,-1.98E1)); +#15585=LINE('',#15584,#15583); +#15586=DIRECTION('',(1.E0,0.E0,0.E0)); +#15587=VECTOR('',#15586,9.E-2); +#15588=CARTESIAN_POINT('',(-5.264E1,1.53E0,-1.98E1)); +#15589=LINE('',#15588,#15587); +#15590=DIRECTION('',(-1.655411793579E-1,-9.862028786902E-1,0.E0)); +#15591=VECTOR('',#15590,1.812237731744E0); +#15592=CARTESIAN_POINT('',(-5.234000002861E1,3.317234067917E0,-1.98E1)); +#15593=LINE('',#15592,#15591); +#15594=DIRECTION('',(1.E0,0.E0,0.E0)); +#15595=VECTOR('',#15594,4.499999570846E-1); +#15596=CARTESIAN_POINT('',(-5.278999998569E1,3.317234067917E0,-1.98E1)); +#15597=LINE('',#15596,#15595); +#15598=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15599=VECTOR('',#15598,2.127659320831E-1); +#15600=CARTESIAN_POINT('',(-5.278999998569E1,3.53E0,-1.98E1)); +#15601=LINE('',#15600,#15599); +#15602=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15603=VECTOR('',#15602,5.399999570847E-1); +#15604=CARTESIAN_POINT('',(-5.225000002861E1,3.53E0,-1.98E1)); +#15605=LINE('',#15604,#15603); +#15606=DIRECTION('',(0.E0,1.E0,0.E0)); +#15607=VECTOR('',#15606,1.7E0); +#15608=CARTESIAN_POINT('',(6.198E0,-1.47E0,-1.98E1)); +#15609=LINE('',#15608,#15607); +#15610=DIRECTION('',(1.E0,0.E0,0.E0)); +#15611=VECTOR('',#15610,1.19E-1); +#15612=CARTESIAN_POINT('',(6.079E0,-1.47E0,-1.98E1)); +#15613=LINE('',#15612,#15611); +#15614=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15615=VECTOR('',#15614,1.410638332367E0); +#15616=CARTESIAN_POINT('',(6.079E0,-5.936166763306E-2,-1.98E1)); +#15617=LINE('',#15616,#15615); +#15618=DIRECTION('',(4.253610419245E-1,9.050237477619E-1,0.E0)); +#15619=VECTOR('',#15618,2.797623389806E-1); +#15620=CARTESIAN_POINT('',(5.96E0,-3.125532281399E-1,-1.98E1)); +#15621=LINE('',#15620,#15619); +#15622=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15623=VECTOR('',#15622,2.893617689610E-1); +#15624=CARTESIAN_POINT('',(5.96E0,-2.319145917892E-2,-1.98E1)); +#15625=LINE('',#15624,#15623); +#15626=DIRECTION('',(-4.253611813549E-1,-9.050236822296E-1,0.E0)); +#15627=VECTOR('',#15626,2.797622472764E-1); +#15628=CARTESIAN_POINT('',(6.079E0,2.3E-1,-1.98E1)); +#15629=LINE('',#15628,#15627); +#15630=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15631=VECTOR('',#15630,1.19E-1); +#15632=CARTESIAN_POINT('',(6.198E0,2.3E-1,-1.98E1)); +#15633=LINE('',#15632,#15631); +#15634=DIRECTION('',(-4.381350225783E-1,-8.989091733820E-1,0.E0)); +#15635=VECTOR('',#15634,1.086423143192E0); +#15636=CARTESIAN_POINT('',(6.832666685581E0,2.3E-1,-1.98E1)); +#15637=LINE('',#15636,#15635); +#15638=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15639=VECTOR('',#15638,1.189999432564E-1); +#15640=CARTESIAN_POINT('',(6.951666628838E0,2.3E-1,-1.98E1)); +#15641=LINE('',#15640,#15639); +#15642=DIRECTION('',(0.E0,1.E0,0.E0)); +#15643=VECTOR('',#15642,9.765957295895E-1); +#15644=CARTESIAN_POINT('',(6.951666628838E0,-7.465957295895E-1,-1.98E1)); +#15645=LINE('',#15644,#15643); +#15646=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15647=VECTOR('',#15646,1.983333711624E-1); +#15648=CARTESIAN_POINT('',(7.15E0,-7.465957295895E-1,-1.98E1)); +#15649=LINE('',#15648,#15647); +#15650=DIRECTION('',(1.227775910498E-14,1.E0,0.E0)); +#15651=VECTOR('',#15650,2.170213013887E-1); +#15652=CARTESIAN_POINT('',(7.15E0,-9.636170309782E-1,-1.98E1)); +#15653=LINE('',#15652,#15651); +#15654=DIRECTION('',(1.E0,0.E0,0.E0)); +#15655=VECTOR('',#15654,1.983333711624E-1); +#15656=CARTESIAN_POINT('',(6.951666628838E0,-9.636170309782E-1,-1.98E1)); +#15657=LINE('',#15656,#15655); +#15658=DIRECTION('',(0.E0,1.E0,0.E0)); +#15659=VECTOR('',#15658,5.063829690218E-1); +#15660=CARTESIAN_POINT('',(6.951666628838E0,-1.47E0,-1.98E1)); +#15661=LINE('',#15660,#15659); +#15662=DIRECTION('',(1.E0,0.E0,0.E0)); +#15663=VECTOR('',#15662,1.189999432564E-1); +#15664=CARTESIAN_POINT('',(6.832666685581E0,-1.47E0,-1.98E1)); +#15665=LINE('',#15664,#15663); +#15666=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15667=VECTOR('',#15666,5.063829690218E-1); +#15668=CARTESIAN_POINT('',(6.832666685581E0,-9.636170309782E-1,-1.98E1)); +#15669=LINE('',#15668,#15667); +#15670=DIRECTION('',(1.E0,0.E0,0.E0)); +#15671=VECTOR('',#15670,4.760000283718E-1); +#15672=CARTESIAN_POINT('',(6.356666657209E0,-9.636170309782E-1,-1.98E1)); +#15673=LINE('',#15672,#15671); +#15674=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15675=VECTOR('',#15674,2.170213013887E-1); +#15676=CARTESIAN_POINT('',(6.356666657209E0,-7.465957295895E-1,-1.98E1)); +#15677=LINE('',#15676,#15675); +#15678=DIRECTION('',(4.404597354316E-1,8.977723661728E-1,0.E0)); +#15679=VECTOR('',#15678,7.654880990446E-1); +#15680=CARTESIAN_POINT('',(6.4955E0,-7.465957295895E-1,-1.98E1)); +#15681=LINE('',#15680,#15679); +#15682=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15683=VECTOR('',#15682,3.371666855812E-1); +#15684=CARTESIAN_POINT('',(6.832666685581E0,-7.465957295895E-1,-1.98E1)); +#15685=LINE('',#15684,#15683); +#15686=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15687=VECTOR('',#15686,6.872340619564E-1); +#15688=CARTESIAN_POINT('',(6.832666685581E0,-5.936166763306E-2,-1.98E1)); +#15689=LINE('',#15688,#15687); +#15690=DIRECTION('',(1.669775394202E-14,-1.E0,0.E0)); +#15691=VECTOR('',#15690,2.127659618855E-1); +#15692=CARTESIAN_POINT('',(9.9E0,7.427659618855E-1,-1.98E1)); +#15693=LINE('',#15692,#15691); +#15694=DIRECTION('',(-3.846678182554E-1,-9.230550739791E-1,0.E0)); +#15695=VECTOR('',#15694,1.152509508709E0); +#15696=CARTESIAN_POINT('',(1.034333331823E1,1.806595711708E0,-1.98E1)); +#15697=LINE('',#15696,#15695); +#15698=DIRECTION('',(-1.829034176770E-1,-9.831308864043E-1,0.E0)); +#15699=VECTOR('',#15698,1.731333518460E-1); +#15700=CARTESIAN_POINT('',(1.0375E1,1.976808457375E0,-1.98E1)); +#15701=LINE('',#15700,#15699); +#15702=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15703=VECTOR('',#15702,8.510649204254E-2); +#15704=CARTESIAN_POINT('',(1.0375E1,2.061914949417E0,-1.98E1)); +#15705=LINE('',#15704,#15703); +#15706=DIRECTION('',(2.407591198446E-1,-9.705848990231E-1,0.E0)); +#15707=VECTOR('',#15706,1.315284828544E-1); +#15708=CARTESIAN_POINT('',(1.034333331823E1,2.189574508667E0,-1.98E1)); +#15709=LINE('',#15708,#15707); +#15710=DIRECTION('',(4.873565262817E-1,-8.732030784936E-1,0.E0)); +#15711=VECTOR('',#15710,9.746458175580E-2); +#15712=CARTESIAN_POINT('',(1.029583331823E1,2.274680881500E0,-1.98E1)); +#15713=LINE('',#15712,#15711); +#15714=DIRECTION('',(8.808192600213E-1,-4.734526704704E-1,0.E0)); +#15715=VECTOR('',#15714,8.987843784755E-2); +#15716=CARTESIAN_POINT('',(1.021666665912E1,2.317234067917E0,-1.98E1)); +#15717=LINE('',#15716,#15715); +#15718=DIRECTION('',(1.E0,0.E0,0.E0)); +#15719=VECTOR('',#15718,6.333331823349E-2); +#15720=CARTESIAN_POINT('',(1.015333334088E1,2.317234067917E0,-1.98E1)); +#15721=LINE('',#15720,#15719); +#15722=DIRECTION('',(8.808192882656E-1,4.734526179241E-1,0.E0)); +#15723=VECTOR('',#15722,8.987844782272E-2); +#15724=CARTESIAN_POINT('',(1.007416667044E1,2.274680881500E0,-1.98E1)); +#15725=LINE('',#15724,#15723); +#15726=DIRECTION('',(4.873565262817E-1,8.732030784936E-1,0.E0)); +#15727=VECTOR('',#15726,9.746458175580E-2); +#15728=CARTESIAN_POINT('',(1.002666667044E1,2.189574508667E0,-1.98E1)); +#15729=LINE('',#15728,#15727); +#15730=DIRECTION('',(2.407590387333E-1,9.705849191432E-1,0.E0)); +#15731=VECTOR('',#15730,1.315284801278E-1); +#15732=CARTESIAN_POINT('',(9.995E0,2.061914949417E0,-1.98E1)); +#15733=LINE('',#15732,#15731); +#15734=DIRECTION('',(1.E0,0.E0,0.E0)); +#15735=VECTOR('',#15734,7.916666619480E-2); +#15736=CARTESIAN_POINT('',(9.915833333805E0,2.061914949417E0,-1.98E1)); +#15737=LINE('',#15736,#15735); +#15738=DIRECTION('',(-9.262099123834E-2,-9.957014371698E-1,0.E0)); +#15739=VECTOR('',#15738,1.709475745564E-1); +#15740=CARTESIAN_POINT('',(9.931666667610E0,2.232127695084E0,-1.98E1)); +#15741=LINE('',#15740,#15739); +#15742=DIRECTION('',(-3.487257667893E-1,-9.372248073847E-1,0.E0)); +#15743=VECTOR('',#15742,1.816135726726E-1); +#15744=CARTESIAN_POINT('',(9.995E0,2.402340440750E0,-1.98E1)); +#15745=LINE('',#15744,#15743); +#15746=DIRECTION('',(-5.970007862730E-1,-8.022406504219E-1,0.E0)); +#15747=VECTOR('',#15746,1.060858394404E-1); +#15748=CARTESIAN_POINT('',(1.005833332956E1,2.487446813583E0,-1.98E1)); +#15749=LINE('',#15748,#15747); +#15750=DIRECTION('',(-9.335571548533E-1,-3.584285683678E-1,0.E0)); +#15751=VECTOR('',#15750,1.187215254922E-1); +#15752=CARTESIAN_POINT('',(1.016916665912E1,2.53E0,-1.98E1)); +#15753=LINE('',#15752,#15751); +#15754=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15755=VECTOR('',#15754,6.333334088325E-2); +#15756=CARTESIAN_POINT('',(1.02325E1,2.53E0,-1.98E1)); +#15757=LINE('',#15756,#15755); +#15758=DIRECTION('',(-9.126276922004E-1,4.087917506861E-1,0.E0)); +#15759=VECTOR('',#15758,1.040950223316E-1); +#15760=CARTESIAN_POINT('',(1.03275E1,2.487446813583E0,-1.98E1)); +#15761=LINE('',#15760,#15759); +#15762=DIRECTION('',(-5.970007175684E-1,8.022407015496E-1,0.E0)); +#15763=VECTOR('',#15762,1.060858326795E-1); +#15764=CARTESIAN_POINT('',(1.039083331823E1,2.402340440750E0,-1.98E1)); +#15765=LINE('',#15764,#15763); +#15766=DIRECTION('',(-3.487259174173E-1,9.372247513385E-1,0.E0)); +#15767=VECTOR('',#15766,1.816135835331E-1); +#15768=CARTESIAN_POINT('',(1.045416668177E1,2.232127695084E0,-1.98E1)); +#15769=LINE('',#15768,#15767); +#15770=DIRECTION('',(-9.262090092919E-2,9.957014455704E-1,0.E0)); +#15771=VECTOR('',#15770,1.709475731141E-1); +#15772=CARTESIAN_POINT('',(1.047E1,2.061914949417E0,-1.98E1)); +#15773=LINE('',#15772,#15771); +#15774=DIRECTION('',(0.E0,1.E0,0.E0)); +#15775=VECTOR('',#15774,8.510649204254E-2); +#15776=CARTESIAN_POINT('',(1.047E1,1.976808457375E0,-1.98E1)); +#15777=LINE('',#15776,#15775); +#15778=DIRECTION('',(9.262090092919E-2,9.957014455704E-1,0.E0)); +#15779=VECTOR('',#15778,1.709475731141E-1); +#15780=CARTESIAN_POINT('',(1.045416668177E1,1.806595711708E0,-1.98E1)); +#15781=LINE('',#15780,#15779); +#15782=DIRECTION('',(2.407591198446E-1,9.705848990231E-1,0.E0)); +#15783=VECTOR('',#15782,1.315284828544E-1); +#15784=CARTESIAN_POINT('',(1.04225E1,1.678936152458E0,-1.98E1)); +#15785=LINE('',#15784,#15783); +#15786=DIRECTION('',(3.894408122157E-1,9.210514935555E-1,0.E0)); +#15787=VECTOR('',#15786,1.016414605614E0); +#15788=CARTESIAN_POINT('',(1.002666667044E1,7.427659618855E-1,-1.98E1)); +#15789=LINE('',#15788,#15787); +#15790=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15791=VECTOR('',#15790,4.433333295584E-1); +#15792=CARTESIAN_POINT('',(1.047E1,7.427659618855E-1,-1.98E1)); +#15793=LINE('',#15792,#15791); +#15794=DIRECTION('',(-1.669775394202E-14,1.E0,0.E0)); +#15795=VECTOR('',#15794,2.127659618855E-1); +#15796=CARTESIAN_POINT('',(1.047E1,5.3E-1,-1.98E1)); +#15797=LINE('',#15796,#15795); +#15798=DIRECTION('',(1.E0,0.E0,0.E0)); +#15799=VECTOR('',#15798,5.7E-1); +#15800=CARTESIAN_POINT('',(9.9E0,5.3E-1,-1.98E1)); +#15801=LINE('',#15800,#15799); +#15802=DIRECTION('',(-3.487257713538E-1,9.372248056863E-1,0.E0)); +#15803=VECTOR('',#15802,1.362101797513E-1); +#15804=CARTESIAN_POINT('',(1.1135E1,1.508723406792E0,-1.98E1)); +#15805=LINE('',#15804,#15803); +#15806=DIRECTION('',(-1.472116730019E-1,9.891050112764E-1,0.E0)); +#15807=VECTOR('',#15806,2.151095481850E-1); +#15808=CARTESIAN_POINT('',(1.116666663647E1,1.295957474709E0,-1.98E1)); +#15809=LINE('',#15808,#15807); +#15810=DIRECTION('',(0.E0,1.E0,0.E0)); +#15811=VECTOR('',#15810,2.127659916878E-1); +#15812=CARTESIAN_POINT('',(1.116666663647E1,1.083191483021E0,-1.98E1)); +#15813=LINE('',#15812,#15811); +#15814=DIRECTION('',(1.472116528286E-1,9.891050142788E-1,0.E0)); +#15815=VECTOR('',#15814,2.151095776626E-1); +#15816=CARTESIAN_POINT('',(1.1135E1,8.704255211353E-1,-1.98E1)); +#15817=LINE('',#15816,#15815); +#15818=DIRECTION('',(2.687924814871E-1,9.631981114475E-1,0.E0)); +#15819=VECTOR('',#15818,1.767162523937E-1); +#15820=CARTESIAN_POINT('',(1.10875E1,7.002127605677E-1,-1.98E1)); +#15821=LINE('',#15820,#15819); +#15822=DIRECTION('',(5.270247936048E-1,8.498499084696E-1,0.E0)); +#15823=VECTOR('',#15822,1.502142544860E-1); +#15824=CARTESIAN_POINT('',(1.100833336353E1,5.725531901419E-1,-1.98E1)); +#15825=LINE('',#15824,#15823); +#15826=DIRECTION('',(8.808194122022E-1,4.734523873504E-1,0.E0)); +#15827=VECTOR('',#15826,8.987849946235E-2); +#15828=CARTESIAN_POINT('',(1.092916663647E1,5.3E-1,-1.98E1)); +#15829=LINE('',#15828,#15827); +#15830=DIRECTION('',(1.E0,0.E0,0.E0)); +#15831=VECTOR('',#15830,7.916663646698E-2); +#15832=CARTESIAN_POINT('',(1.085E1,5.3E-1,-1.98E1)); +#15833=LINE('',#15832,#15831); +#15834=DIRECTION('',(8.808191862477E-1,-4.734528077201E-1,0.E0)); +#15835=VECTOR('',#15834,8.987841966095E-2); +#15836=CARTESIAN_POINT('',(1.077083336353E1,5.725531901419E-1,-1.98E1)); +#15837=LINE('',#15836,#15835); +#15838=DIRECTION('',(5.270252292138E-1,-8.498496383315E-1,0.E0)); +#15839=VECTOR('',#15838,1.502143022340E-1); +#15840=CARTESIAN_POINT('',(1.069166663647E1,7.002127605677E-1,-1.98E1)); +#15841=LINE('',#15840,#15839); +#15842=DIRECTION('',(2.687922436671E-1,-9.631981778141E-1,0.E0)); +#15843=VECTOR('',#15842,1.767162402175E-1); +#15844=CARTESIAN_POINT('',(1.064416668177E1,8.704255211353E-1,-1.98E1)); +#15845=LINE('',#15844,#15843); +#15846=DIRECTION('',(1.472118588531E-1,-9.891049836155E-1,0.E0)); +#15847=VECTOR('',#15846,2.151095843312E-1); +#15848=CARTESIAN_POINT('',(1.06125E1,1.083191483021E0,-1.98E1)); +#15849=LINE('',#15848,#15847); +#15850=DIRECTION('',(5.307977340225E-2,-9.985902751657E-1,0.E0)); +#15851=VECTOR('',#15850,2.982928753954E-1); +#15852=CARTESIAN_POINT('',(1.059666668177E1,1.381063847542E0,-1.98E1)); +#15853=LINE('',#15852,#15851); +#15854=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15855=VECTOR('',#15854,2.978723049164E-1); +#15856=CARTESIAN_POINT('',(1.059666668177E1,1.678936152458E0,-1.98E1)); +#15857=LINE('',#15856,#15855); +#15858=DIRECTION('',(-5.307978399365E-2,-9.985902746027E-1,0.E0)); +#15859=VECTOR('',#15858,2.982928158747E-1); +#15860=CARTESIAN_POINT('',(1.06125E1,1.976808457375E0,-1.98E1)); +#15861=LINE('',#15860,#15859); +#15862=DIRECTION('',(-1.472117983334E-1,-9.891049926228E-1,0.E0)); +#15863=VECTOR('',#15862,2.151096727641E-1); +#15864=CARTESIAN_POINT('',(1.064416668177E1,2.189574508667E0,-1.98E1)); +#15865=LINE('',#15864,#15863); +#15866=DIRECTION('',(-2.687922654982E-1,-9.631981717219E-1,0.E0)); +#15867=VECTOR('',#15866,1.767162258647E-1); +#15868=CARTESIAN_POINT('',(1.069166663647E1,2.359787254333E0,-1.98E1)); +#15869=LINE('',#15868,#15867); +#15870=DIRECTION('',(-5.270252625367E-1,-8.498496176666E-1,0.E0)); +#15871=VECTOR('',#15870,1.502142927361E-1); +#15872=CARTESIAN_POINT('',(1.077083336353E1,2.487446813583E0,-1.98E1)); +#15873=LINE('',#15872,#15871); +#15874=DIRECTION('',(-8.808192035326E-1,-4.734527755629E-1,0.E0)); +#15875=VECTOR('',#15874,8.987841789720E-2); +#15876=CARTESIAN_POINT('',(1.085E1,2.53E0,-1.98E1)); +#15877=LINE('',#15876,#15875); +#15878=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15879=VECTOR('',#15878,6.333336353302E-2); +#15880=CARTESIAN_POINT('',(1.091333336353E1,2.53E0,-1.98E1)); +#15881=LINE('',#15880,#15879); +#15882=DIRECTION('',(-8.808192035326E-1,4.734527755629E-1,0.E0)); +#15883=VECTOR('',#15882,8.987841789720E-2); +#15884=CARTESIAN_POINT('',(1.09925E1,2.487446813583E0,-1.98E1)); +#15885=LINE('',#15884,#15883); +#15886=DIRECTION('',(-5.270248269278E-1,8.498498878047E-1,0.E0)); +#15887=VECTOR('',#15886,1.502142449882E-1); +#15888=CARTESIAN_POINT('',(1.107166663647E1,2.359787254333E0,-1.98E1)); +#15889=LINE('',#15888,#15887); +#15890=DIRECTION('',(-2.687925033183E-1,9.631981053552E-1,0.E0)); +#15891=VECTOR('',#15890,1.767162380409E-1); +#15892=CARTESIAN_POINT('',(1.111916663647E1,2.189574508667E0,-1.98E1)); +#15893=LINE('',#15892,#15891); +#15894=DIRECTION('',(-1.230849373275E-1,9.923961397562E-1,0.E0)); +#15895=VECTOR('',#15894,1.286377023607E-1); +#15896=CARTESIAN_POINT('',(1.1135E1,2.061914949417E0,-1.98E1)); +#15897=LINE('',#15896,#15895); +#15898=DIRECTION('',(1.E0,0.E0,0.E0)); +#15899=VECTOR('',#15898,7.916663646698E-2); +#15900=CARTESIAN_POINT('',(1.105583336353E1,2.061914949417E0,-1.98E1)); +#15901=LINE('',#15900,#15899); +#15902=DIRECTION('',(2.407594442894E-1,-9.705848185426E-1,0.E0)); +#15903=VECTOR('',#15902,1.315284937607E-1); +#15904=CARTESIAN_POINT('',(1.102416663647E1,2.189574508667E0,-1.98E1)); +#15905=LINE('',#15904,#15903); +#15906=DIRECTION('',(4.873565262817E-1,-8.732030784936E-1,0.E0)); +#15907=VECTOR('',#15906,9.746458175580E-2); +#15908=CARTESIAN_POINT('',(1.097666663647E1,2.274680881500E0,-1.98E1)); +#15909=LINE('',#15908,#15907); +#15910=DIRECTION('',(7.448267392394E-1,-6.672579175356E-1,0.E0)); +#15911=VECTOR('',#15910,6.377322066673E-2); +#15912=CARTESIAN_POINT('',(1.092916663647E1,2.317234067917E0,-1.98E1)); +#15913=LINE('',#15912,#15911); +#15914=DIRECTION('',(1.E0,0.E0,0.E0)); +#15915=VECTOR('',#15914,9.5E-2); +#15916=CARTESIAN_POINT('',(1.083416663647E1,2.317234067917E0,-1.98E1)); +#15917=LINE('',#15916,#15915); +#15918=DIRECTION('',(7.448267392394E-1,6.672579175356E-1,0.E0)); +#15919=VECTOR('',#15918,6.377322066673E-2); +#15920=CARTESIAN_POINT('',(1.078666663647E1,2.274680881500E0,-1.98E1)); +#15921=LINE('',#15920,#15919); +#15922=DIRECTION('',(4.873565262817E-1,8.732030784936E-1,0.E0)); +#15923=VECTOR('',#15922,9.746458175580E-2); +#15924=CARTESIAN_POINT('',(1.073916663647E1,2.189574508667E0,-1.98E1)); +#15925=LINE('',#15924,#15923); +#15926=DIRECTION('',(2.407587953996E-1,9.705849795035E-1,0.E0)); +#15927=VECTOR('',#15926,1.315284719481E-1); +#15928=CARTESIAN_POINT('',(1.07075E1,2.061914949417E0,-1.98E1)); +#15929=LINE('',#15928,#15927); +#15930=DIRECTION('',(1.230848241314E-1,9.923961537957E-1,0.E0)); +#15931=VECTOR('',#15930,1.286378206635E-1); +#15932=CARTESIAN_POINT('',(1.069166663647E1,1.934255270958E0,-1.98E1)); +#15933=LINE('',#15932,#15931); +#15934=DIRECTION('',(6.189475976792E-2,9.980826813011E-1,0.E0)); +#15935=VECTOR('',#15934,2.558095870043E-1); +#15936=CARTESIAN_POINT('',(1.067583336353E1,1.678936152458E0,-1.98E1)); +#15937=LINE('',#15936,#15935); +#15938=DIRECTION('',(0.E0,1.E0,0.E0)); +#15939=VECTOR('',#15938,8.510637283325E-2); +#15940=CARTESIAN_POINT('',(1.067583336353E1,1.593829779625E0,-1.98E1)); +#15941=LINE('',#15940,#15939); +#15942=DIRECTION('',(-4.873565262817E-1,-8.732030784936E-1,0.E0)); +#15943=VECTOR('',#15942,1.949291635116E-1); +#15944=CARTESIAN_POINT('',(1.077083336353E1,1.764042525291E0,-1.98E1)); +#15945=LINE('',#15944,#15943); +#15946=DIRECTION('',(-8.808192035326E-1,-4.734527755629E-1,0.E0)); +#15947=VECTOR('',#15946,8.987841789720E-2); +#15948=CARTESIAN_POINT('',(1.085E1,1.806595711708E0,-1.98E1)); +#15949=LINE('',#15948,#15947); +#15950=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15951=VECTOR('',#15950,7.916663646698E-2); +#15952=CARTESIAN_POINT('',(1.092916663647E1,1.806595711708E0,-1.98E1)); +#15953=LINE('',#15952,#15951); +#15954=DIRECTION('',(-8.808194294871E-1,4.734523551932E-1,0.E0)); +#15955=VECTOR('',#15954,8.987849769860E-2); +#15956=CARTESIAN_POINT('',(1.100833336353E1,1.764042525291E0,-1.98E1)); +#15957=LINE('',#15956,#15955); +#15958=DIRECTION('',(-5.270248269278E-1,8.498498878048E-1,0.E0)); +#15959=VECTOR('',#15958,1.502142449882E-1); +#15960=CARTESIAN_POINT('',(1.10875E1,1.636382966042E0,-1.98E1)); +#15961=LINE('',#15960,#15959); +#15962=DIRECTION('',(1.230848807294E-1,-9.923961467760E-1,0.E0)); +#15963=VECTOR('',#15962,1.286377615121E-1); +#15964=CARTESIAN_POINT('',(1.107166663647E1,1.381063847542E0,-1.98E1)); +#15965=LINE('',#15964,#15963); +#15966=DIRECTION('',(3.487254792268E-1,-9.372249143819E-1,0.E0)); +#15967=VECTOR('',#15966,9.080677596944E-2); +#15968=CARTESIAN_POINT('',(1.104E1,1.466170220375E0,-1.98E1)); +#15969=LINE('',#15968,#15967); +#15970=DIRECTION('',(4.873565262817E-1,-8.732030784936E-1,0.E0)); +#15971=VECTOR('',#15970,9.746458175580E-2); +#15972=CARTESIAN_POINT('',(1.09925E1,1.551276593208E0,-1.98E1)); +#15973=LINE('',#15972,#15971); +#15974=DIRECTION('',(7.448267392394E-1,-6.672579175356E-1,0.E0)); +#15975=VECTOR('',#15974,6.377322066673E-2); +#15976=CARTESIAN_POINT('',(1.0945E1,1.593829779625E0,-1.98E1)); +#15977=LINE('',#15976,#15975); +#15978=DIRECTION('',(1.E0,0.E0,0.E0)); +#15979=VECTOR('',#15978,1.108333635330E-1); +#15980=CARTESIAN_POINT('',(1.083416663647E1,1.593829779625E0,-1.98E1)); +#15981=LINE('',#15980,#15979); +#15982=DIRECTION('',(7.448267392394E-1,6.672579175356E-1,0.E0)); +#15983=VECTOR('',#15982,6.377322066673E-2); +#15984=CARTESIAN_POINT('',(1.078666663647E1,1.551276593208E0,-1.98E1)); +#15985=LINE('',#15984,#15983); +#15986=DIRECTION('',(4.873565262817E-1,8.732030784936E-1,0.E0)); +#15987=VECTOR('',#15986,9.746458175580E-2); +#15988=CARTESIAN_POINT('',(1.073916663647E1,1.466170220375E0,-1.98E1)); +#15989=LINE('',#15988,#15987); +#15990=DIRECTION('',(3.487254792268E-1,9.372249143819E-1,0.E0)); +#15991=VECTOR('',#15990,9.080677596944E-2); +#15992=CARTESIAN_POINT('',(1.07075E1,1.381063847542E0,-1.98E1)); +#15993=LINE('',#15992,#15991); +#15994=DIRECTION('',(1.230848807294E-1,9.923961467760E-1,0.E0)); +#15995=VECTOR('',#15994,1.286377615121E-1); +#15996=CARTESIAN_POINT('',(1.069166663647E1,1.253404228687E0,-1.98E1)); +#15997=LINE('',#15996,#15995); +#15998=DIRECTION('',(0.E0,1.E0,0.E0)); +#15999=VECTOR('',#15998,1.276595592499E-1); +#16000=CARTESIAN_POINT('',(1.069166663647E1,1.125744669437E0,-1.98E1)); +#16001=LINE('',#16000,#15999); +#16002=DIRECTION('',(-1.230849373275E-1,9.923961397562E-1,0.E0)); +#16003=VECTOR('',#16002,1.286377023607E-1); +#16004=CARTESIAN_POINT('',(1.07075E1,9.980851101875E-1,-1.98E1)); +#16005=LINE('',#16004,#16003); +#16006=DIRECTION('',(-2.407587424521E-1,9.705849926374E-1,0.E0)); +#16007=VECTOR('',#16006,1.315285008738E-1); +#16008=CARTESIAN_POINT('',(1.073916663647E1,8.704255211353E-1,-1.98E1)); +#16009=LINE('',#16008,#16007); +#16010=DIRECTION('',(-4.873565262817E-1,8.732030784936E-1,0.E0)); +#16011=VECTOR('',#16010,9.746458175580E-2); +#16012=CARTESIAN_POINT('',(1.078666663647E1,7.853191483021E-1,-1.98E1)); +#16013=LINE('',#16012,#16011); +#16014=DIRECTION('',(-7.448267392394E-1,6.672579175356E-1,0.E0)); +#16015=VECTOR('',#16014,6.377322066673E-2); +#16016=CARTESIAN_POINT('',(1.083416663647E1,7.427659618855E-1,-1.98E1)); +#16017=LINE('',#16016,#16015); +#16018=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16019=VECTOR('',#16018,1.108333635330E-1); +#16020=CARTESIAN_POINT('',(1.0945E1,7.427659618855E-1,-1.98E1)); +#16021=LINE('',#16020,#16019); +#16022=DIRECTION('',(-7.448267392394E-1,-6.672579175356E-1,0.E0)); +#16023=VECTOR('',#16022,6.377322066673E-2); +#16024=CARTESIAN_POINT('',(1.09925E1,7.853191483021E-1,-1.98E1)); +#16025=LINE('',#16024,#16023); +#16026=DIRECTION('',(-4.873565262817E-1,-8.732030784936E-1,0.E0)); +#16027=VECTOR('',#16026,9.746458175580E-2); +#16028=CARTESIAN_POINT('',(1.104E1,8.704255211353E-1,-1.98E1)); +#16029=LINE('',#16028,#16027); +#16030=DIRECTION('',(-2.407587424521E-1,-9.705849926374E-1,0.E0)); +#16031=VECTOR('',#16030,1.315285008738E-1); +#16032=CARTESIAN_POINT('',(1.107166663647E1,9.980851101875E-1,-1.98E1)); +#16033=LINE('',#16032,#16031); +#16034=DIRECTION('',(-1.230849373275E-1,-9.923961397562E-1,0.E0)); +#16035=VECTOR('',#16034,1.286377023607E-1); +#16036=CARTESIAN_POINT('',(1.10875E1,1.125744669437E0,-1.98E1)); +#16037=LINE('',#16036,#16035); +#16038=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16039=VECTOR('',#16038,1.276595592499E-1); +#16040=CARTESIAN_POINT('',(1.10875E1,1.253404228687E0,-1.98E1)); +#16041=LINE('',#16040,#16039); +#16042=DIRECTION('',(5.310142169335E-1,8.473629101008E-1,0.E0)); +#16043=VECTOR('',#16042,1.280568503399E-1); +#16044=CARTESIAN_POINT('',(2.371899999595E1,-8.054255211353E-1,-1.98E1)); +#16045=LINE('',#16044,#16043); +#16046=DIRECTION('',(1.E0,0.E0,0.E0)); +#16047=VECTOR('',#16046,1.189999959469E-1); +#16048=CARTESIAN_POINT('',(2.36E1,-8.054255211353E-1,-1.98E1)); +#16049=LINE('',#16048,#16047); +#16050=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16051=VECTOR('',#16050,9.404255211353E-1); +#16052=CARTESIAN_POINT('',(2.36E1,1.35E-1,-1.98E1)); +#16053=LINE('',#16052,#16051); +#16054=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16055=VECTOR('',#16054,5.779999837875E-1); +#16056=CARTESIAN_POINT('',(2.417799998379E1,1.35E-1,-1.98E1)); +#16057=LINE('',#16056,#16055); +#16058=DIRECTION('',(0.E0,1.E0,0.E0)); +#16059=VECTOR('',#16058,1.808510422707E-1); +#16060=CARTESIAN_POINT('',(2.417799998379E1,-4.585104227066E-2,-1.98E1)); +#16061=LINE('',#16060,#16059); +#16062=DIRECTION('',(1.E0,0.E0,0.E0)); +#16063=VECTOR('',#16062,4.929999858141E-1); +#16064=CARTESIAN_POINT('',(2.368499999797E1,-4.585104227066E-2,-1.98E1)); +#16065=LINE('',#16064,#16063); +#16066=DIRECTION('',(0.E0,1.E0,0.E0)); +#16067=VECTOR('',#16066,5.787234365940E-1); +#16068=CARTESIAN_POINT('',(2.368499999797E1,-6.245744788647E-1,-1.98E1)); +#16069=LINE('',#16068,#16067); +#16070=DIRECTION('',(-6.166620117103E-1,-7.872280249797E-1,0.E0)); +#16071=VECTOR('',#16070,1.378388750390E-1); +#16072=CARTESIAN_POINT('',(2.376999999595E1,-5.160638535023E-1,-1.98E1)); +#16073=LINE('',#16072,#16071); +#16074=DIRECTION('',(-9.201546591728E-1,-3.915551087683E-1,0.E0)); +#16075=VECTOR('',#16074,9.237577966461E-2); +#16076=CARTESIAN_POINT('',(2.3855E1,-4.798936450481E-1,-1.98E1)); +#16077=LINE('',#16076,#16075); +#16078=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16079=VECTOR('',#16078,1.02E-1); +#16080=CARTESIAN_POINT('',(2.3957E1,-4.798936450481E-1,-1.98E1)); +#16081=LINE('',#16080,#16079); +#16082=DIRECTION('',(-9.201546793536E-1,3.915550613434E-1,0.E0)); +#16083=VECTOR('',#16082,9.237579085309E-2); +#16084=CARTESIAN_POINT('',(2.404200001621E1,-5.160638535023E-1,-1.98E1)); +#16085=LINE('',#16084,#16083); +#16086=DIRECTION('',(-6.166618750382E-1,7.872281320395E-1,0.E0)); +#16087=VECTOR('',#16086,1.378388562935E-1); +#16088=CARTESIAN_POINT('',(2.412699998379E1,-6.245744788647E-1,-1.98E1)); +#16089=LINE('',#16088,#16087); +#16090=DIRECTION('',(-3.324501338046E-1,9.431208345346E-1,0.E0)); +#16091=VECTOR('',#16090,1.534064655542E-1); +#16092=CARTESIAN_POINT('',(2.417799998379E1,-7.692553126812E-1,-1.98E1)); +#16093=LINE('',#16092,#16091); +#16094=DIRECTION('',(-1.847632726949E-1,9.827830549328E-1,0.E0)); +#16095=VECTOR('',#16094,1.840193438693E-1); +#16096=CARTESIAN_POINT('',(2.4212E1,-9.501064056158E-1,-1.98E1)); +#16097=LINE('',#16096,#16095); +#16098=DIRECTION('',(0.E0,1.E0,0.E0)); +#16099=VECTOR('',#16098,1.446808338165E-1); +#16100=CARTESIAN_POINT('',(2.4212E1,-1.094787239432E0,-1.98E1)); +#16101=LINE('',#16100,#16099); +#16102=DIRECTION('',(1.847632976914E-1,9.827830502335E-1,0.E0)); +#16103=VECTOR('',#16102,1.840193189735E-1); +#16104=CARTESIAN_POINT('',(2.417799998379E1,-1.275638307035E0,-1.98E1)); +#16105=LINE('',#16104,#16103); +#16106=DIRECTION('',(3.324501079171E-1,9.431208436600E-1,0.E0)); +#16107=VECTOR('',#16106,1.534064774998E-1); +#16108=CARTESIAN_POINT('',(2.412699998379E1,-1.420319153517E0,-1.98E1)); +#16109=LINE('',#16108,#16107); +#16110=DIRECTION('',(6.166618415820E-1,7.872281582468E-1,0.E0)); +#16111=VECTOR('',#16110,1.378388637718E-1); +#16112=CARTESIAN_POINT('',(2.404200001621E1,-1.528829788379E0,-1.98E1)); +#16113=LINE('',#16112,#16111); +#16114=DIRECTION('',(9.201546670034E-1,3.915550903665E-1,0.E0)); +#16115=VECTOR('',#16114,9.237579209295E-2); +#16116=CARTESIAN_POINT('',(2.3957E1,-1.565E0,-1.98E1)); +#16117=LINE('',#16116,#16115); +#16118=DIRECTION('',(1.E0,0.E0,0.E0)); +#16119=VECTOR('',#16118,1.02E-1); +#16120=CARTESIAN_POINT('',(2.3855E1,-1.565E0,-1.98E1)); +#16121=LINE('',#16120,#16119); +#16122=DIRECTION('',(9.201546468226E-1,-3.915551377913E-1,0.E0)); +#16123=VECTOR('',#16122,9.237578090447E-2); +#16124=CARTESIAN_POINT('',(2.376999999595E1,-1.528829788379E0,-1.98E1)); +#16125=LINE('',#16124,#16123); +#16126=DIRECTION('',(6.166619782541E-1,-7.872280511870E-1,0.E0)); +#16127=VECTOR('',#16126,1.378388825173E-1); +#16128=CARTESIAN_POINT('',(2.368499999797E1,-1.420319153517E0,-1.98E1)); +#16129=LINE('',#16128,#16127); +#16130=DIRECTION('',(3.324500902916E-1,-9.431208498730E-1,0.E0)); +#16131=VECTOR('',#16130,1.534064764892E-1); +#16132=CARTESIAN_POINT('',(2.363400000101E1,-1.275638307035E0,-1.98E1)); +#16133=LINE('',#16132,#16131); +#16134=DIRECTION('',(2.287680212026E-1,-9.734809666732E-1,0.E0)); +#16135=VECTOR('',#16134,1.486221755757E-1); +#16136=CARTESIAN_POINT('',(2.36E1,-1.130957447886E0,-1.98E1)); +#16137=LINE('',#16136,#16135); +#16138=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16139=VECTOR('',#16138,1.02E-1); +#16140=CARTESIAN_POINT('',(2.3702E1,-1.130957447886E0,-1.98E1)); +#16141=LINE('',#16140,#16139); +#16142=DIRECTION('',(-2.287680405857E-1,9.734809621182E-1,0.E0)); +#16143=VECTOR('',#16142,1.486221762711E-1); +#16144=CARTESIAN_POINT('',(2.373600000405E1,-1.275638307035E0,-1.98E1)); +#16145=LINE('',#16144,#16143); +#16146=DIRECTION('',(-5.762018191297E-1,8.173074474343E-1,0.E0)); +#16147=VECTOR('',#16146,8.851065426524E-2); +#16148=CARTESIAN_POINT('',(2.378700000405E1,-1.347978723943E0,-1.98E1)); +#16149=LINE('',#16148,#16147); +#16150=DIRECTION('',(-8.828724008046E-1,4.696129511603E-1,0.E0)); +#16151=VECTOR('',#16150,7.702131801256E-2); +#16152=CARTESIAN_POINT('',(2.3855E1,-1.384148932397E0,-1.98E1)); +#16153=LINE('',#16152,#16151); +#16154=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16155=VECTOR('',#16154,1.02E-1); +#16156=CARTESIAN_POINT('',(2.3957E1,-1.384148932397E0,-1.98E1)); +#16157=LINE('',#16156,#16155); +#16158=DIRECTION('',(-8.828723659886E-1,-4.696130166145E-1,0.E0)); +#16159=VECTOR('',#16158,7.702130727740E-2); +#16160=CARTESIAN_POINT('',(2.402499998379E1,-1.347978723943E0,-1.98E1)); +#16161=LINE('',#16160,#16159); +#16162=DIRECTION('',(-5.762018191298E-1,-8.173074474342E-1,0.E0)); +#16163=VECTOR('',#16162,8.851065426525E-2); +#16164=CARTESIAN_POINT('',(2.407599998379E1,-1.275638307035E0,-1.98E1)); +#16165=LINE('',#16164,#16163); +#16166=DIRECTION('',(-2.989994687136E-1,-9.542532775469E-1,0.E0)); +#16167=VECTOR('',#16166,1.137126308576E-1); +#16168=CARTESIAN_POINT('',(2.411E1,-1.167127656341E0,-1.98E1)); +#16169=LINE('',#16168,#16167); +#16170=DIRECTION('',(-1.547785765016E-1,-9.879491850577E-1,0.E0)); +#16171=VECTOR('',#16170,1.098342171881E-1); +#16172=CARTESIAN_POINT('',(2.412699998379E1,-1.058617030978E0,-1.98E1)); +#16173=LINE('',#16172,#16171); +#16174=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16175=VECTOR('',#16174,7.234041690826E-2); +#16176=CARTESIAN_POINT('',(2.412699998379E1,-9.862766140699E-1,-1.98E1)); +#16177=LINE('',#16176,#16175); +#16178=DIRECTION('',(1.547785059663E-1,-9.879491961082E-1,0.E0)); +#16179=VECTOR('',#16178,1.098342672415E-1); +#16180=CARTESIAN_POINT('',(2.411E1,-8.777659380436E-1,-1.98E1)); +#16181=LINE('',#16180,#16179); +#16182=DIRECTION('',(2.989995322752E-1,-9.542532576309E-1,0.E0)); +#16183=VECTOR('',#16182,1.137126066845E-1); +#16184=CARTESIAN_POINT('',(2.407599998379E1,-7.692553126812E-1,-1.98E1)); +#16185=LINE('',#16184,#16183); +#16186=DIRECTION('',(5.762018191298E-1,-8.173074474342E-1,0.E0)); +#16187=VECTOR('',#16186,8.851065426525E-2); +#16188=CARTESIAN_POINT('',(2.402499998379E1,-6.969148957729E-1,-1.98E1)); +#16189=LINE('',#16188,#16187); +#16190=DIRECTION('',(8.828723659886E-1,-4.696130166145E-1,0.E0)); +#16191=VECTOR('',#16190,7.702130727740E-2); +#16192=CARTESIAN_POINT('',(2.3957E1,-6.607446873188E-1,-1.98E1)); +#16193=LINE('',#16192,#16191); +#16194=DIRECTION('',(1.E0,0.E0,0.E0)); +#16195=VECTOR('',#16194,1.02E-1); +#16196=CARTESIAN_POINT('',(2.3855E1,-6.607446873188E-1,-1.98E1)); +#16197=LINE('',#16196,#16195); +#16198=DIRECTION('',(8.828724008046E-1,4.696129511603E-1,0.E0)); +#16199=VECTOR('',#16198,7.702131801256E-2); +#16200=CARTESIAN_POINT('',(2.378700000405E1,-6.969148957729E-1,-1.98E1)); +#16201=LINE('',#16200,#16199); +#16202=DIRECTION('',(2.989999217586E-1,9.542531355926E-1,0.E0)); +#16203=VECTOR('',#16202,1.137126212271E-1); +#16204=CARTESIAN_POINT('',(2.490899996758E1,-1.384148932397E0,-1.98E1)); +#16205=LINE('',#16204,#16203); +#16206=DIRECTION('',(5.762017517385E-1,8.173074949451E-1,0.E0)); +#16207=VECTOR('',#16206,8.851066461725E-2); +#16208=CARTESIAN_POINT('',(2.485799996758E1,-1.456489361972E0,-1.98E1)); +#16209=LINE('',#16208,#16207); +#16210=DIRECTION('',(6.849096575926E-1,7.286279990067E-1,0.E0)); +#16211=VECTOR('',#16210,9.928307244077E-2); +#16212=CARTESIAN_POINT('',(2.479000003242E1,-1.528829788379E0,-1.98E1)); +#16213=LINE('',#16212,#16211); +#16214=DIRECTION('',(8.828724882074E-1,4.696127868431E-1,0.E0)); +#16215=VECTOR('',#16214,7.702135170504E-2); +#16216=CARTESIAN_POINT('',(2.4722E1,-1.565E0,-1.98E1)); +#16217=LINE('',#16216,#16215); +#16218=DIRECTION('',(1.E0,0.E0,0.E0)); +#16219=VECTOR('',#16218,1.359999675751E-1); +#16220=CARTESIAN_POINT('',(2.458600003242E1,-1.565E0,-1.98E1)); +#16221=LINE('',#16220,#16219); +#16222=DIRECTION('',(8.828724882074E-1,-4.696127868431E-1,0.E0)); +#16223=VECTOR('',#16222,7.702135170504E-2); +#16224=CARTESIAN_POINT('',(2.4518E1,-1.528829788379E0,-1.98E1)); +#16225=LINE('',#16224,#16223); +#16226=DIRECTION('',(6.849101777518E-1,-7.286275100571E-1,0.E0)); +#16227=VECTOR('',#16226,9.928313906523E-2); +#16228=CARTESIAN_POINT('',(2.444999996758E1,-1.456489361972E0,-1.98E1)); +#16229=LINE('',#16228,#16227); +#16230=DIRECTION('',(5.762013846711E-1,-8.173077537275E-1,0.E0)); +#16231=VECTOR('',#16230,8.851063659231E-2); +#16232=CARTESIAN_POINT('',(2.439900001621E1,-1.384148932397E0,-1.98E1)); +#16233=LINE('',#16232,#16231); +#16234=DIRECTION('',(2.989995322752E-1,-9.542532576309E-1,0.E0)); +#16235=VECTOR('',#16234,1.137126066845E-1); +#16236=CARTESIAN_POINT('',(2.4365E1,-1.275638307035E0,-1.98E1)); +#16237=LINE('',#16236,#16235); +#16238=DIRECTION('',(1.547785412340E-1,-9.879491905829E-1,0.E0)); +#16239=VECTOR('',#16238,1.098342422148E-1); +#16240=CARTESIAN_POINT('',(2.434800001621E1,-1.167127656341E0,-1.98E1)); +#16241=LINE('',#16240,#16239); +#16242=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16243=VECTOR('',#16242,8.500001621247E-2); +#16244=CARTESIAN_POINT('',(2.443300003242E1,-1.167127656341E0,-1.98E1)); +#16245=LINE('',#16244,#16243); +#16246=DIRECTION('',(-2.287672256905E-1,9.734811536182E-1,0.E0)); +#16247=VECTOR('',#16246,7.431106050630E-2); +#16248=CARTESIAN_POINT('',(2.444999996758E1,-1.239468073249E0,-1.98E1)); +#16249=LINE('',#16248,#16247); +#16250=DIRECTION('',(-4.253617238724E-1,9.050234272461E-1,0.E0)); +#16251=VECTOR('',#16250,7.993212116107E-2); +#16252=CARTESIAN_POINT('',(2.448400003242E1,-1.311808515489E0,-1.98E1)); +#16253=LINE('',#16252,#16251); +#16254=DIRECTION('',(-6.849097053416E-1,7.286279541226E-1,0.E0)); +#16255=VECTOR('',#16254,4.964153275959E-2); +#16256=CARTESIAN_POINT('',(2.4518E1,-1.347978723943E0,-1.98E1)); +#16257=LINE('',#16256,#16255); +#16258=DIRECTION('',(-9.201545986305E-1,3.915552510429E-1,0.E0)); +#16259=VECTOR('',#16258,9.237574609917E-2); +#16260=CARTESIAN_POINT('',(2.460299996758E1,-1.384148932397E0,-1.98E1)); +#16261=LINE('',#16260,#16259); +#16262=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16263=VECTOR('',#16262,1.02E-1); +#16264=CARTESIAN_POINT('',(2.470499996758E1,-1.384148932397E0,-1.98E1)); +#16265=LINE('',#16264,#16263); +#16266=DIRECTION('',(-9.201547600766E-1,-3.915548716442E-1,0.E0)); +#16267=VECTOR('',#16266,9.237583560702E-2); +#16268=CARTESIAN_POINT('',(2.479000003242E1,-1.347978723943E0,-1.98E1)); +#16269=LINE('',#16268,#16267); +#16270=DIRECTION('',(-6.849097053415E-1,-7.286279541227E-1,0.E0)); +#16271=VECTOR('',#16270,4.964153275959E-2); +#16272=CARTESIAN_POINT('',(2.4824E1,-1.311808515489E0,-1.98E1)); +#16273=LINE('',#16272,#16271); +#16274=DIRECTION('',(-4.253607270935E-1,-9.050238957323E-1,0.E0)); +#16275=VECTOR('',#16274,7.993207978415E-2); +#16276=CARTESIAN_POINT('',(2.485799996758E1,-1.239468073249E0,-1.98E1)); +#16277=LINE('',#16276,#16275); +#16278=DIRECTION('',(-2.287684662046E-1,-9.734808620977E-1,0.E0)); +#16279=VECTOR('',#16278,7.431108275964E-2); +#16280=CARTESIAN_POINT('',(2.4875E1,-1.167127656341E0,-1.98E1)); +#16281=LINE('',#16280,#16279); +#16282=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16283=VECTOR('',#16282,1.446808338165E-1); +#16284=CARTESIAN_POINT('',(2.4875E1,-1.022446822524E0,-1.98E1)); +#16285=LINE('',#16284,#16283); +#16286=DIRECTION('',(2.287677700309E-1,-9.734810256985E-1,0.E0)); +#16287=VECTOR('',#16286,1.486221925863E-1); +#16288=CARTESIAN_POINT('',(2.484100003242E1,-8.777659380436E-1,-1.98E1)); +#16289=LINE('',#16288,#16287); +#16290=DIRECTION('',(5.762018191298E-1,-8.173074474343E-1,0.E0)); +#16291=VECTOR('',#16290,8.851065426524E-2); +#16292=CARTESIAN_POINT('',(2.479000003242E1,-8.054255211353E-1,-1.98E1)); +#16293=LINE('',#16292,#16291); +#16294=DIRECTION('',(9.424956942400E-1,-3.342182914489E-1,0.E0)); +#16295=VECTOR('',#16294,1.082233060834E-1); +#16296=CARTESIAN_POINT('',(2.468800003242E1,-7.692553126812E-1,-1.98E1)); +#16297=LINE('',#16296,#16295); +#16298=DIRECTION('',(1.E0,0.E0,0.E0)); +#16299=VECTOR('',#16298,6.800003242492E-2); +#16300=CARTESIAN_POINT('',(2.462E1,-7.692553126812E-1,-1.98E1)); +#16301=LINE('',#16300,#16299); +#16302=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16303=VECTOR('',#16302,1.446808338165E-1); +#16304=CARTESIAN_POINT('',(2.462E1,-6.245744788647E-1,-1.98E1)); +#16305=LINE('',#16304,#16303); +#16306=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16307=VECTOR('',#16306,6.800003242492E-2); +#16308=CARTESIAN_POINT('',(2.468800003242E1,-6.245744788647E-1,-1.98E1)); +#16309=LINE('',#16308,#16307); +#16310=DIRECTION('',(-8.156832913756E-1,-5.784987192472E-1,0.E0)); +#16311=VECTOR('',#16310,1.250485342516E-1); +#16312=CARTESIAN_POINT('',(2.479000003242E1,-5.522340619564E-1,-1.98E1)); +#16313=LINE('',#16312,#16311); +#16314=DIRECTION('',(-4.253608490950E-1,-9.050238383917E-1,0.E0)); +#16315=VECTOR('',#16314,7.993205685810E-2); +#16316=CARTESIAN_POINT('',(2.4824E1,-4.798936450481E-1,-1.98E1)); +#16317=LINE('',#16316,#16315); +#16318=DIRECTION('',(-2.287678459477E-1,-9.734810078581E-1,0.E0)); +#16319=VECTOR('',#16318,1.486221432659E-1); +#16320=CARTESIAN_POINT('',(2.485799996758E1,-3.352128112316E-1,-1.98E1)); +#16321=LINE('',#16320,#16319); +#16322=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16323=VECTOR('',#16322,7.234051823616E-2); +#16324=CARTESIAN_POINT('',(2.485799996758E1,-2.628722929955E-1,-1.98E1)); +#16325=LINE('',#16324,#16323); +#16326=DIRECTION('',(2.989991427918E-1,-9.542533796690E-1,0.E0)); +#16327=VECTOR('',#16326,1.137125921420E-1); +#16328=CARTESIAN_POINT('',(2.4824E1,-1.543616676331E-1,-1.98E1)); +#16329=LINE('',#16328,#16327); +#16330=DIRECTION('',(5.762018191298E-1,-8.173074474343E-1,0.E0)); +#16331=VECTOR('',#16330,8.851065426524E-2); +#16332=CARTESIAN_POINT('',(2.4773E1,-8.202125072479E-2,-1.98E1)); +#16333=LINE('',#16332,#16331); +#16334=DIRECTION('',(9.201545986305E-1,-3.915552510429E-1,0.E0)); +#16335=VECTOR('',#16334,9.237574609917E-2); +#16336=CARTESIAN_POINT('',(2.468800003242E1,-4.585104227066E-2,-1.98E1)); +#16337=LINE('',#16336,#16335); +#16338=DIRECTION('',(1.E0,0.E0,0.E0)); +#16339=VECTOR('',#16338,6.800003242492E-2); +#16340=CARTESIAN_POINT('',(2.462E1,-4.585104227066E-2,-1.98E1)); +#16341=LINE('',#16340,#16339); +#16342=DIRECTION('',(9.201545986305E-1,3.915552510429E-1,0.E0)); +#16343=VECTOR('',#16342,9.237574609917E-2); +#16344=CARTESIAN_POINT('',(2.453500003242E1,-8.202125072479E-2,-1.98E1)); +#16345=LINE('',#16344,#16343); +#16346=DIRECTION('',(5.762018191298E-1,8.173074474343E-1,0.E0)); +#16347=VECTOR('',#16346,8.851065426524E-2); +#16348=CARTESIAN_POINT('',(2.448400003242E1,-1.543616676331E-1,-1.98E1)); +#16349=LINE('',#16348,#16347); +#16350=DIRECTION('',(2.989999217585E-1,9.542531355926E-1,0.E0)); +#16351=VECTOR('',#16350,1.137126212271E-1); +#16352=CARTESIAN_POINT('',(2.444999996758E1,-2.628722929955E-1,-1.98E1)); +#16353=LINE('',#16352,#16351); +#16354=DIRECTION('',(1.E0,0.E0,0.E0)); +#16355=VECTOR('',#16354,8.499996757507E-2); +#16356=CARTESIAN_POINT('',(2.4365E1,-2.628722929955E-1,-1.98E1)); +#16357=LINE('',#16356,#16355); +#16358=DIRECTION('',(-1.166970901462E-1,-9.931675534125E-1,0.E0)); +#16359=VECTOR('',#16358,1.456761583878E-1); +#16360=CARTESIAN_POINT('',(2.438199998379E1,-1.181914591789E-1,-1.98E1)); +#16361=LINE('',#16360,#16359); +#16362=DIRECTION('',(-4.253610982900E-1,-9.050237212701E-1,0.E0)); +#16363=VECTOR('',#16362,1.598641344047E-1); +#16364=CARTESIAN_POINT('',(2.444999996758E1,2.648937463760E-2,-1.98E1)); +#16365=LINE('',#16364,#16363); +#16366=DIRECTION('',(-6.849102255008E-1,-7.286274651730E-1,0.E0)); +#16367=VECTOR('',#16366,9.928313214365E-2); +#16368=CARTESIAN_POINT('',(2.4518E1,9.882979154587E-2,-1.98E1)); +#16369=LINE('',#16368,#16367); +#16370=DIRECTION('',(-9.567795083542E-1,-2.908143263208E-1,0.E0)); +#16371=VECTOR('',#16370,1.243756073221E-1); +#16372=CARTESIAN_POINT('',(2.463700003242E1,1.35E-1,-1.98E1)); +#16373=LINE('',#16372,#16371); +#16374=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16375=VECTOR('',#16374,3.399996757507E-2); +#16376=CARTESIAN_POINT('',(2.4671E1,1.35E-1,-1.98E1)); +#16377=LINE('',#16376,#16375); +#16378=DIRECTION('',(-9.567795083542E-1,2.908143263209E-1,0.E0)); +#16379=VECTOR('',#16378,1.243756073221E-1); +#16380=CARTESIAN_POINT('',(2.479000003242E1,9.882979154587E-2,-1.98E1)); +#16381=LINE('',#16380,#16379); +#16382=DIRECTION('',(-6.849097053416E-1,7.286279541226E-1,0.E0)); +#16383=VECTOR('',#16382,9.928306551918E-2); +#16384=CARTESIAN_POINT('',(2.485799996758E1,2.648937463760E-2,-1.98E1)); +#16385=LINE('',#16384,#16383); +#16386=DIRECTION('',(-4.253613474848E-1,9.050236041485E-1,0.E0)); +#16387=VECTOR('',#16386,1.598641550931E-1); +#16388=CARTESIAN_POINT('',(2.4926E1,-1.181914591789E-1,-1.98E1)); +#16389=LINE('',#16388,#16387); +#16390=DIRECTION('',(-1.166974194728E-1,9.931675147166E-1,0.E0)); +#16391=VECTOR('',#16390,1.456761640636E-1); +#16392=CARTESIAN_POINT('',(2.494300003242E1,-2.628722929955E-1,-1.98E1)); +#16393=LINE('',#16392,#16391); +#16394=DIRECTION('',(0.E0,1.E0,0.E0)); +#16395=VECTOR('',#16394,7.234051823616E-2); +#16396=CARTESIAN_POINT('',(2.494300003242E1,-3.352128112316E-1,-1.98E1)); +#16397=LINE('',#16396,#16395); +#16398=DIRECTION('',(1.166974194728E-1,9.931675147166E-1,0.E0)); +#16399=VECTOR('',#16398,1.456761640636E-1); +#16400=CARTESIAN_POINT('',(2.4926E1,-4.798936450481E-1,-1.98E1)); +#16401=LINE('',#16400,#16399); +#16402=DIRECTION('',(2.989991427917E-1,9.542533796691E-1,0.E0)); +#16403=VECTOR('',#16402,1.137125921420E-1); +#16404=CARTESIAN_POINT('',(2.489200003242E1,-5.884042704105E-1,-1.98E1)); +#16405=LINE('',#16404,#16403); +#16406=DIRECTION('',(5.310143532901E-1,8.473628246506E-1,0.E0)); +#16407=VECTOR('',#16406,1.280568632535E-1); +#16408=CARTESIAN_POINT('',(2.4824E1,-6.969148957729E-1,-1.98E1)); +#16409=LINE('',#16408,#16407); +#16410=DIRECTION('',(-7.615393058012E-1,6.481187281046E-1,0.E0)); +#16411=VECTOR('',#16410,1.116159952974E-1); +#16412=CARTESIAN_POINT('',(2.490899996758E1,-7.692553126812E-1,-1.98E1)); +#16413=LINE('',#16412,#16411); +#16414=DIRECTION('',(-2.989999217586E-1,9.542531355926E-1,0.E0)); +#16415=VECTOR('',#16414,1.137126212271E-1); +#16416=CARTESIAN_POINT('',(2.494300003242E1,-8.777659380436E-1,-1.98E1)); +#16417=LINE('',#16416,#16415); +#16418=DIRECTION('',(-1.166967205116E-1,9.931675968445E-1,0.E0)); +#16419=VECTOR('',#16418,1.456762030297E-1); +#16420=CARTESIAN_POINT('',(2.495999996758E1,-1.022446822524E0,-1.98E1)); +#16421=LINE('',#16420,#16419); +#16422=DIRECTION('',(0.E0,1.E0,0.E0)); +#16423=VECTOR('',#16422,1.446808338165E-1); +#16424=CARTESIAN_POINT('',(2.495999996758E1,-1.167127656341E0,-1.98E1)); +#16425=LINE('',#16424,#16423); +#16426=DIRECTION('',(1.547781090170E-1,9.879492582968E-1,0.E0)); +#16427=VECTOR('',#16426,1.098342346868E-1); +#16428=CARTESIAN_POINT('',(2.494300003242E1,-1.275638307035E0,-1.98E1)); +#16429=LINE('',#16428,#16427); +#16430=DIRECTION('',(5.107154045714E-1,8.597498331104E-1,0.E0)); +#16431=VECTOR('',#16430,1.336360868012E-1); +#16432=CARTESIAN_POINT('',(4.946943749593E1,-6.457446694374E-1,-1.98E1)); +#16433=LINE('',#16432,#16431); +#16434=DIRECTION('',(1.E0,0.E0,0.E0)); +#16435=VECTOR('',#16434,1.194374959320E-1); +#16436=CARTESIAN_POINT('',(4.935E1,-6.457446694374E-1,-1.98E1)); +#16437=LINE('',#16436,#16435); +#16438=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16439=VECTOR('',#16438,9.957446694374E-1); +#16440=CARTESIAN_POINT('',(4.935E1,3.5E-1,-1.98E1)); +#16441=LINE('',#16440,#16439); +#16442=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16443=VECTOR('',#16442,5.801249837279E-1); +#16444=CARTESIAN_POINT('',(4.993012498373E1,3.5E-1,-1.98E1)); +#16445=LINE('',#16444,#16443); +#16446=DIRECTION('',(0.E0,1.E0,0.E0)); +#16447=VECTOR('',#16446,1.914893388748E-1); +#16448=CARTESIAN_POINT('',(4.993012498373E1,1.585106611252E-1,-1.98E1)); +#16449=LINE('',#16448,#16447); +#16450=DIRECTION('',(1.E0,0.E0,0.E0)); +#16451=VECTOR('',#16450,4.948124857619E-1); +#16452=CARTESIAN_POINT('',(4.943531249797E1,1.585106611252E-1,-1.98E1)); +#16453=LINE('',#16452,#16451); +#16454=DIRECTION('',(0.E0,1.E0,0.E0)); +#16455=VECTOR('',#16454,6.127659916878E-1); +#16456=CARTESIAN_POINT('',(4.943531249797E1,-4.542553305626E-1,-1.98E1)); +#16457=LINE('',#16456,#16455); +#16458=DIRECTION('',(-5.961572155986E-1,-8.028677190482E-1,0.E0)); +#16459=VECTOR('',#16458,1.431040264779E-1); +#16460=CARTESIAN_POINT('',(4.952062499593E1,-3.393617272377E-1,-1.98E1)); +#16461=LINE('',#16460,#16459); +#16462=DIRECTION('',(-9.122923296318E-1,-4.095396260377E-1,0.E0)); +#16463=VECTOR('',#16462,9.351443752953E-2); +#16464=CARTESIAN_POINT('',(4.96059375E1,-3.010638594627E-1,-1.98E1)); +#16465=LINE('',#16464,#16463); +#16466=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16467=VECTOR('',#16466,1.02375E-1); +#16468=CARTESIAN_POINT('',(4.97083125E1,-3.010638594627E-1,-1.98E1)); +#16469=LINE('',#16468,#16467); +#16470=DIRECTION('',(-9.122923515203E-1,4.095395772786E-1,0.E0)); +#16471=VECTOR('',#16470,9.351444866320E-2); +#16472=CARTESIAN_POINT('',(4.979362501627E1,-3.393617272377E-1,-1.98E1)); +#16473=LINE('',#16472,#16471); +#16474=DIRECTION('',(-5.961570781691E-1,8.028678210944E-1,0.E0)); +#16475=VECTOR('',#16474,1.431040082890E-1); +#16476=CARTESIAN_POINT('',(4.987893748373E1,-4.542553305626E-1,-1.98E1)); +#16477=LINE('',#16476,#16475); +#16478=DIRECTION('',(-3.169168272080E-1,9.484533328701E-1,0.E0)); +#16479=VECTOR('',#16478,1.615171414246E-1); +#16480=CARTESIAN_POINT('',(4.993012498373E1,-6.074468016624E-1,-1.98E1)); +#16481=LINE('',#16480,#16479); +#16482=DIRECTION('',(-1.754442643719E-1,9.844893651528E-1,0.E0)); +#16483=VECTOR('',#16482,1.945063088511E-1); +#16484=CARTESIAN_POINT('',(4.996425E1,-7.989361941814E-1,-1.98E1)); +#16485=LINE('',#16484,#16483); +#16486=DIRECTION('',(0.E0,1.E0,0.E0)); +#16487=VECTOR('',#16486,1.531914710999E-1); +#16488=CARTESIAN_POINT('',(4.996425E1,-9.521276652813E-1,-1.98E1)); +#16489=LINE('',#16488,#16487); +#16490=DIRECTION('',(1.754442881901E-1,9.844893609082E-1,0.E0)); +#16491=VECTOR('',#16490,1.945062824450E-1); +#16492=CARTESIAN_POINT('',(4.993012498373E1,-1.143617030978E0,-1.98E1)); +#16493=LINE('',#16492,#16491); +#16494=DIRECTION('',(3.169168022503E-1,9.484533412095E-1,0.E0)); +#16495=VECTOR('',#16494,1.615171541444E-1); +#16496=CARTESIAN_POINT('',(4.987893748373E1,-1.296808515489E0,-1.98E1)); +#16497=LINE('',#16496,#16495); +#16498=DIRECTION('',(5.961570445274E-1,8.028678460745E-1,0.E0)); +#16499=VECTOR('',#16498,1.431040163645E-1); +#16500=CARTESIAN_POINT('',(4.979362501627E1,-1.411702128872E0,-1.98E1)); +#16501=LINE('',#16500,#16499); +#16502=DIRECTION('',(9.122923381250E-1,4.095396071181E-1,0.E0)); +#16503=VECTOR('',#16502,9.351445003629E-2); +#16504=CARTESIAN_POINT('',(4.97083125E1,-1.45E0,-1.98E1)); +#16505=LINE('',#16504,#16503); +#16506=DIRECTION('',(1.E0,0.E0,0.E0)); +#16507=VECTOR('',#16506,1.02375E-1); +#16508=CARTESIAN_POINT('',(4.96059375E1,-1.45E0,-1.98E1)); +#16509=LINE('',#16508,#16507); +#16510=DIRECTION('',(9.122923162364E-1,-4.095396558772E-1,0.E0)); +#16511=VECTOR('',#16510,9.351443890261E-2); +#16512=CARTESIAN_POINT('',(4.952062499593E1,-1.411702128872E0,-1.98E1)); +#16513=LINE('',#16512,#16511); +#16514=DIRECTION('',(5.961571819570E-1,-8.028677440283E-1,0.E0)); +#16515=VECTOR('',#16514,1.431040345533E-1); +#16516=CARTESIAN_POINT('',(4.943531249797E1,-1.296808515489E0,-1.98E1)); +#16517=LINE('',#16516,#16515); +#16518=DIRECTION('',(3.169167852578E-1,-9.484533468874E-1,0.E0)); +#16519=VECTOR('',#16518,1.615171531775E-1); +#16520=CARTESIAN_POINT('',(4.938412500102E1,-1.143617030978E0,-1.98E1)); +#16521=LINE('',#16520,#16519); +#16522=DIRECTION('',(2.174310215347E-1,-9.760756891114E-1,0.E0)); +#16523=VECTOR('',#16522,1.569463307312E-1); +#16524=CARTESIAN_POINT('',(4.935E1,-9.904255330563E-1,-1.98E1)); +#16525=LINE('',#16524,#16523); +#16526=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16527=VECTOR('',#16526,1.02375E-1); +#16528=CARTESIAN_POINT('',(4.9452375E1,-9.904255330563E-1,-1.98E1)); +#16529=LINE('',#16528,#16527); +#16530=DIRECTION('',(-2.174310400555E-1,9.760756849857E-1,0.E0)); +#16531=VECTOR('',#16530,1.569463313946E-1); +#16532=CARTESIAN_POINT('',(4.948650000407E1,-1.143617030978E0,-1.98E1)); +#16533=LINE('',#16532,#16531); +#16534=DIRECTION('',(-5.556292590776E-1,8.314301693208E-1,0.E0)); +#16535=VECTOR('',#16534,9.212527807656E-2); +#16536=CARTESIAN_POINT('',(4.953768750407E1,-1.220212766528E0,-1.98E1)); +#16537=LINE('',#16536,#16535); +#16538=DIRECTION('',(-8.720815075282E-1,4.893606484254E-1,0.E0)); +#16539=VECTOR('',#16538,7.826102874882E-2); +#16540=CARTESIAN_POINT('',(4.96059375E1,-1.258510634303E0,-1.98E1)); +#16541=LINE('',#16540,#16539); +#16542=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16543=VECTOR('',#16542,1.02375E-1); +#16544=CARTESIAN_POINT('',(4.97083125E1,-1.258510634303E0,-1.98E1)); +#16545=LINE('',#16544,#16543); +#16546=DIRECTION('',(-8.720814701846E-1,-4.893607149748E-1,0.E0)); +#16547=VECTOR('',#16546,7.826101810591E-2); +#16548=CARTESIAN_POINT('',(4.977656248373E1,-1.220212766528E0,-1.98E1)); +#16549=LINE('',#16548,#16547); +#16550=DIRECTION('',(-5.556292590776E-1,-8.314301693208E-1,0.E0)); +#16551=VECTOR('',#16550,9.212527807656E-2); +#16552=CARTESIAN_POINT('',(4.982774998373E1,-1.143617030978E0,-1.98E1)); +#16553=LINE('',#16552,#16551); +#16554=DIRECTION('',(-2.847207374686E-1,-9.586105057088E-1,0.E0)); +#16555=VECTOR('',#16554,1.198543406970E-1); +#16556=CARTESIAN_POINT('',(4.9861875E1,-1.028723400831E0,-1.98E1)); +#16557=LINE('',#16556,#16555); +#16558=DIRECTION('',(-1.468958140801E-1,-9.891519700257E-1,0.E0)); +#16559=VECTOR('',#16558,1.161536415096E-1); +#16560=CARTESIAN_POINT('',(4.987893748373E1,-9.138297975063E-1,-1.98E1)); +#16561=LINE('',#16560,#16559); +#16562=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16563=VECTOR('',#16562,7.659573554993E-2); +#16564=CARTESIAN_POINT('',(4.987893748373E1,-8.372340619564E-1,-1.98E1)); +#16565=LINE('',#16564,#16563); +#16566=DIRECTION('',(1.468957469740E-1,-9.891519799914E-1,0.E0)); +#16567=VECTOR('',#16566,1.161536945719E-1); +#16568=CARTESIAN_POINT('',(4.9861875E1,-7.223404049873E-1,-1.98E1)); +#16569=LINE('',#16568,#16567); +#16570=DIRECTION('',(2.847207985488E-1,-9.586104875672E-1,0.E0)); +#16571=VECTOR('',#16570,1.198543149851E-1); +#16572=CARTESIAN_POINT('',(4.982774998373E1,-6.074468016624E-1,-1.98E1)); +#16573=LINE('',#16572,#16571); +#16574=DIRECTION('',(5.556292590776E-1,-8.314301693208E-1,0.E0)); +#16575=VECTOR('',#16574,9.212527807656E-2); +#16576=CARTESIAN_POINT('',(4.977656248373E1,-5.308510661125E-1,-1.98E1)); +#16577=LINE('',#16576,#16575); +#16578=DIRECTION('',(8.720814701846E-1,-4.893607149748E-1,0.E0)); +#16579=VECTOR('',#16578,7.826101810591E-2); +#16580=CARTESIAN_POINT('',(4.97083125E1,-4.925531983376E-1,-1.98E1)); +#16581=LINE('',#16580,#16579); +#16582=DIRECTION('',(1.E0,0.E0,0.E0)); +#16583=VECTOR('',#16582,1.02375E-1); +#16584=CARTESIAN_POINT('',(4.96059375E1,-4.925531983376E-1,-1.98E1)); +#16585=LINE('',#16584,#16583); +#16586=DIRECTION('',(8.720815075282E-1,4.893606484254E-1,0.E0)); +#16587=VECTOR('',#16586,7.826102874882E-2); +#16588=CARTESIAN_POINT('',(4.953768750407E1,-5.308510661125E-1,-1.98E1)); +#16589=LINE('',#16588,#16587); +#16590=DIRECTION('',(1.468961917310E-1,-9.891519139419E-1,0.E0)); +#16591=VECTOR('',#16590,1.161536752116E-1); +#16592=CARTESIAN_POINT('',(5.013487498373E1,-1.028723400831E0,-1.98E1)); +#16593=LINE('',#16592,#16591); +#16594=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16595=VECTOR('',#16594,8.531251627208E-2); +#16596=CARTESIAN_POINT('',(5.02201875E1,-1.028723400831E0,-1.98E1)); +#16597=LINE('',#16596,#16595); +#16598=DIRECTION('',(-2.847203631903E-1,9.586106168747E-1,0.E0)); +#16599=VECTOR('',#16598,1.198543267981E-1); +#16600=CARTESIAN_POINT('',(5.025431246746E1,-1.143617030978E0,-1.98E1)); +#16601=LINE('',#16600,#16599); +#16602=DIRECTION('',(-5.556292590776E-1,8.314301693208E-1,0.E0)); +#16603=VECTOR('',#16602,9.212527807656E-2); +#16604=CARTESIAN_POINT('',(5.030549996746E1,-1.220212766528E0,-1.98E1)); +#16605=LINE('',#16604,#16603); +#16606=DIRECTION('',(-8.006959154447E-1,5.990709899420E-1,0.E0)); +#16607=VECTOR('',#16606,6.392876373244E-2); +#16608=CARTESIAN_POINT('',(5.035668746746E1,-1.258510634303E0,-1.98E1)); +#16609=LINE('',#16608,#16607); +#16610=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16611=VECTOR('',#16610,1.02375E-1); +#16612=CARTESIAN_POINT('',(5.045906246746E1,-1.258510634303E0,-1.98E1)); +#16613=LINE('',#16612,#16611); +#16614=DIRECTION('',(-8.006959154447E-1,-5.990709899420E-1,0.E0)); +#16615=VECTOR('',#16614,6.392876373244E-2); +#16616=CARTESIAN_POINT('',(5.051024996746E1,-1.220212766528E0,-1.98E1)); +#16617=LINE('',#16616,#16615); +#16618=DIRECTION('',(-5.556292590776E-1,-8.314301693208E-1,0.E0)); +#16619=VECTOR('',#16618,9.212527807656E-2); +#16620=CARTESIAN_POINT('',(5.056143746746E1,-1.143617030978E0,-1.98E1)); +#16621=LINE('',#16620,#16619); +#16622=DIRECTION('',(-2.847211117467E-1,-9.586103945429E-1,0.E0)); +#16623=VECTOR('',#16622,1.198543545960E-1); +#16624=CARTESIAN_POINT('',(5.059556253254E1,-1.028723400831E0,-1.98E1)); +#16625=LINE('',#16624,#16623); +#16626=DIRECTION('',(-1.468954028763E-1,-9.891520310922E-1,0.E0)); +#16627=VECTOR('',#16626,1.161536343387E-1); +#16628=CARTESIAN_POINT('',(5.061262496746E1,-9.138297975063E-1,-1.98E1)); +#16629=LINE('',#16628,#16627); +#16630=DIRECTION('',(-7.404974571874E-2,-9.972545488284E-1,0.E0)); +#16631=VECTOR('',#16630,2.304198667872E-1); +#16632=CARTESIAN_POINT('',(5.06296875E1,-6.840425372124E-1,-1.98E1)); +#16633=LINE('',#16632,#16631); +#16634=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16635=VECTOR('',#16634,7.659573554993E-2); +#16636=CARTESIAN_POINT('',(5.06296875E1,-6.074468016624E-1,-1.98E1)); +#16637=LINE('',#16636,#16635); +#16638=DIRECTION('',(5.556292590776E-1,8.314301693208E-1,0.E0)); +#16639=VECTOR('',#16638,1.842505561531E-1); +#16640=CARTESIAN_POINT('',(5.05273125E1,-7.606382727623E-1,-1.98E1)); +#16641=LINE('',#16640,#16639); +#16642=DIRECTION('',(9.122920496403E-1,4.095402497473E-1,0.E0)); +#16643=VECTOR('',#16642,9.351442609799E-2); +#16644=CARTESIAN_POINT('',(5.044200003254E1,-7.989361941814E-1,-1.98E1)); +#16645=LINE('',#16644,#16643); +#16646=DIRECTION('',(1.E0,0.E0,0.E0)); +#16647=VECTOR('',#16646,8.531256508827E-2); +#16648=CARTESIAN_POINT('',(5.035668746746E1,-7.989361941814E-1,-1.98E1)); +#16649=LINE('',#16648,#16647); +#16650=DIRECTION('',(9.122920496403E-1,-4.095402497473E-1,0.E0)); +#16651=VECTOR('',#16650,9.351442609799E-2); +#16652=CARTESIAN_POINT('',(5.0271375E1,-7.606382727623E-1,-1.98E1)); +#16653=LINE('',#16652,#16651); +#16654=DIRECTION('',(5.961570781690E-1,-8.028678210945E-1,0.E0)); +#16655=VECTOR('',#16654,1.431040082890E-1); +#16656=CARTESIAN_POINT('',(5.018606253254E1,-6.457446694374E-1,-1.98E1)); +#16657=LINE('',#16656,#16655); +#16658=DIRECTION('',(3.169170990880E-1,-9.484532420239E-1,0.E0)); +#16659=VECTOR('',#16658,1.615171568953E-1); +#16660=CARTESIAN_POINT('',(5.013487498373E1,-4.925531983376E-1,-1.98E1)); +#16661=LINE('',#16660,#16659); +#16662=DIRECTION('',(1.754440687585E-1,-9.844894000127E-1,0.E0)); +#16663=VECTOR('',#16662,1.945062474744E-1); +#16664=CARTESIAN_POINT('',(5.010075001627E1,-3.010638594627E-1,-1.98E1)); +#16665=LINE('',#16664,#16663); +#16666=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16667=VECTOR('',#16666,1.531914710999E-1); +#16668=CARTESIAN_POINT('',(5.010075001627E1,-1.478723883629E-1,-1.98E1)); +#16669=LINE('',#16668,#16667); +#16670=DIRECTION('',(-1.754439734858E-1,-9.844894169911E-1,0.E0)); +#16671=VECTOR('',#16670,1.945063530987E-1); +#16672=CARTESIAN_POINT('',(5.013487498373E1,4.361705780029E-2,-1.98E1)); +#16673=LINE('',#16672,#16671); +#16674=DIRECTION('',(-3.169170990880E-1,-9.484532420239E-1,0.E0)); +#16675=VECTOR('',#16674,1.615171568953E-1); +#16676=CARTESIAN_POINT('',(5.018606253254E1,1.968085289001E-1,-1.98E1)); +#16677=LINE('',#16676,#16675); +#16678=DIRECTION('',(-5.961570781690E-1,-8.028678210945E-1,0.E0)); +#16679=VECTOR('',#16678,1.431040082890E-1); +#16680=CARTESIAN_POINT('',(5.0271375E1,3.117021322250E-1,-1.98E1)); +#16681=LINE('',#16680,#16679); +#16682=DIRECTION('',(-9.122922639661E-1,-4.095397723148E-1,0.E0)); +#16683=VECTOR('',#16682,9.351440412855E-2); +#16684=CARTESIAN_POINT('',(5.035668746746E1,3.5E-1,-1.98E1)); +#16685=LINE('',#16684,#16683); +#16686=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16687=VECTOR('',#16686,8.531256508827E-2); +#16688=CARTESIAN_POINT('',(5.044200003254E1,3.5E-1,-1.98E1)); +#16689=LINE('',#16688,#16687); +#16690=DIRECTION('',(-9.122922639661E-1,4.095397723148E-1,0.E0)); +#16691=VECTOR('',#16690,9.351440412855E-2); +#16692=CARTESIAN_POINT('',(5.05273125E1,3.117021322250E-1,-1.98E1)); +#16693=LINE('',#16692,#16691); +#16694=DIRECTION('',(-5.961570781691E-1,8.028678210944E-1,0.E0)); +#16695=VECTOR('',#16694,1.431040082890E-1); +#16696=CARTESIAN_POINT('',(5.061262496746E1,1.968085289001E-1,-1.98E1)); +#16697=LINE('',#16696,#16695); +#16698=DIRECTION('',(-3.169168272079E-1,9.484533328701E-1,0.E0)); +#16699=VECTOR('',#16698,1.615171414246E-1); +#16700=CARTESIAN_POINT('',(5.066381246746E1,4.361705780029E-2,-1.98E1)); +#16701=LINE('',#16700,#16699); +#16702=DIRECTION('',(-1.754444599852E-1,9.844893302929E-1,0.E0)); +#16703=VECTOR('',#16702,1.945063702277E-1); +#16704=CARTESIAN_POINT('',(5.069793753254E1,-1.478723883629E-1,-1.98E1)); +#16705=LINE('',#16704,#16703); +#16706=DIRECTION('',(-6.351708185068E-2,9.979807514743E-1,0.E0)); +#16707=VECTOR('',#16706,2.686275001083E-1); +#16708=CARTESIAN_POINT('',(5.071499996746E1,-4.159574627876E-1,-1.98E1)); +#16709=LINE('',#16708,#16707); +#16710=DIRECTION('',(0.E0,1.E0,0.E0)); +#16711=VECTOR('',#16710,2.680850744247E-1); +#16712=CARTESIAN_POINT('',(5.071499996746E1,-6.840425372124E-1,-1.98E1)); +#16713=LINE('',#16712,#16711); +#16714=DIRECTION('',(6.351706919210E-2,9.979807522799E-1,0.E0)); +#16715=VECTOR('',#16714,2.686275536442E-1); +#16716=CARTESIAN_POINT('',(5.069793753254E1,-9.521276652813E-1,-1.98E1)); +#16717=LINE('',#16716,#16715); +#16718=DIRECTION('',(1.754445314399E-1,9.844893175590E-1,0.E0)); +#16719=VECTOR('',#16718,1.945062910095E-1); +#16720=CARTESIAN_POINT('',(5.066381246746E1,-1.143617030978E0,-1.98E1)); +#16721=LINE('',#16720,#16719); +#16722=DIRECTION('',(3.169168022502E-1,9.484533412095E-1,0.E0)); +#16723=VECTOR('',#16722,1.615171541444E-1); +#16724=CARTESIAN_POINT('',(5.061262496746E1,-1.296808515489E0,-1.98E1)); +#16725=LINE('',#16724,#16723); +#16726=DIRECTION('',(5.961570445274E-1,8.028678460745E-1,0.E0)); +#16727=VECTOR('',#16726,1.431040163645E-1); +#16728=CARTESIAN_POINT('',(5.05273125E1,-1.411702128872E0,-1.98E1)); +#16729=LINE('',#16728,#16727); +#16730=DIRECTION('',(9.122922505707E-1,4.095398021544E-1,0.E0)); +#16731=VECTOR('',#16730,9.351440550164E-2); +#16732=CARTESIAN_POINT('',(5.044200003254E1,-1.45E0,-1.98E1)); +#16733=LINE('',#16732,#16731); +#16734=DIRECTION('',(1.E0,0.E0,0.E0)); +#16735=VECTOR('',#16734,6.825003254414E-2); +#16736=CARTESIAN_POINT('',(5.037375E1,-1.45E0,-1.98E1)); +#16737=LINE('',#16736,#16735); +#16738=DIRECTION('',(9.122922505707E-1,-4.095398021544E-1,0.E0)); +#16739=VECTOR('',#16738,9.351440550163E-2); +#16740=CARTESIAN_POINT('',(5.028843753254E1,-1.411702128872E0,-1.98E1)); +#16741=LINE('',#16740,#16739); +#16742=DIRECTION('',(5.961574843020E-1,-8.028675195266E-1,0.E0)); +#16743=VECTOR('',#16742,1.431040745688E-1); +#16744=CARTESIAN_POINT('',(5.020312496746E1,-1.296808515489E0,-1.98E1)); +#16745=LINE('',#16744,#16743); +#16746=DIRECTION('',(3.169165303701E-1,-9.484534320557E-1,0.E0)); +#16747=VECTOR('',#16746,1.615171386737E-1); +#16748=CARTESIAN_POINT('',(5.015193751627E1,-1.143617030978E0,-1.98E1)); +#16749=LINE('',#16748,#16747); +#16750=DIRECTION('',(-1.468954028763E-1,-9.891520310922E-1,0.E0)); +#16751=VECTOR('',#16750,1.161536343387E-1); +#16752=CARTESIAN_POINT('',(5.061262496746E1,-2.627659916878E-1,-1.98E1)); +#16753=LINE('',#16752,#16751); +#16754=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16755=VECTOR('',#16754,7.659573554993E-2); +#16756=CARTESIAN_POINT('',(5.061262496746E1,-1.861702561378E-1,-1.98E1)); +#16757=LINE('',#16756,#16755); +#16758=DIRECTION('',(1.468952686645E-1,-9.891520510235E-1,0.E0)); +#16759=VECTOR('',#16758,1.161537404632E-1); +#16760=CARTESIAN_POINT('',(5.059556253254E1,-7.127654552460E-2,-1.98E1)); +#16761=LINE('',#16760,#16759); +#16762=DIRECTION('',(2.847211728270E-1,-9.586103764012E-1,0.E0)); +#16763=VECTOR('',#16762,1.198543288841E-1); +#16764=CARTESIAN_POINT('',(5.056143746746E1,4.361705780029E-2,-1.98E1)); +#16765=LINE('',#16764,#16763); +#16766=DIRECTION('',(5.556292590776E-1,-8.314301693208E-1,0.E0)); +#16767=VECTOR('',#16766,9.212527807656E-2); +#16768=CARTESIAN_POINT('',(5.051024996746E1,1.202127933502E-1,-1.98E1)); +#16769=LINE('',#16768,#16767); +#16770=DIRECTION('',(8.006959154447E-1,-5.990709899420E-1,0.E0)); +#16771=VECTOR('',#16770,6.392876373244E-2); +#16772=CARTESIAN_POINT('',(5.045906246746E1,1.585106611252E-1,-1.98E1)); +#16773=LINE('',#16772,#16771); +#16774=DIRECTION('',(1.E0,0.E0,0.E0)); +#16775=VECTOR('',#16774,1.194374349117E-1); +#16776=CARTESIAN_POINT('',(5.033962503254E1,1.585106611252E-1,-1.98E1)); +#16777=LINE('',#16776,#16775); +#16778=DIRECTION('',(8.006959154447E-1,5.990709899420E-1,0.E0)); +#16779=VECTOR('',#16778,6.392876373244E-2); +#16780=CARTESIAN_POINT('',(5.028843753254E1,1.202127933502E-1,-1.98E1)); +#16781=LINE('',#16780,#16779); +#16782=DIRECTION('',(5.556292590776E-1,8.314301693208E-1,0.E0)); +#16783=VECTOR('',#16782,9.212527807656E-2); +#16784=CARTESIAN_POINT('',(5.023725003254E1,4.361705780029E-2,-1.98E1)); +#16785=LINE('',#16784,#16783); +#16786=DIRECTION('',(2.847211728271E-1,9.586103764012E-1,0.E0)); +#16787=VECTOR('',#16786,1.198543288841E-1); +#16788=CARTESIAN_POINT('',(5.020312496746E1,-7.127654552460E-2,-1.98E1)); +#16789=LINE('',#16788,#16787); +#16790=DIRECTION('',(1.468952686644E-1,9.891520510235E-1,0.E0)); +#16791=VECTOR('',#16790,1.161537404632E-1); +#16792=CARTESIAN_POINT('',(5.018606253254E1,-1.861702561378E-1,-1.98E1)); +#16793=LINE('',#16792,#16791); +#16794=DIRECTION('',(0.E0,1.E0,0.E0)); +#16795=VECTOR('',#16794,7.659573554993E-2); +#16796=CARTESIAN_POINT('',(5.018606253254E1,-2.627659916878E-1,-1.98E1)); +#16797=LINE('',#16796,#16795); +#16798=DIRECTION('',(-1.468954028762E-1,9.891520310922E-1,0.E0)); +#16799=VECTOR('',#16798,1.161536343387E-1); +#16800=CARTESIAN_POINT('',(5.020312496746E1,-3.776595950127E-1,-1.98E1)); +#16801=LINE('',#16800,#16799); +#16802=DIRECTION('',(-2.847211728271E-1,9.586103764012E-1,0.E0)); +#16803=VECTOR('',#16802,1.198543288841E-1); +#16804=CARTESIAN_POINT('',(5.023725003254E1,-4.925531983376E-1,-1.98E1)); +#16805=LINE('',#16804,#16803); +#16806=DIRECTION('',(-5.556292590776E-1,8.314301693208E-1,0.E0)); +#16807=VECTOR('',#16806,9.212527807656E-2); +#16808=CARTESIAN_POINT('',(5.028843753254E1,-5.691489338875E-1,-1.98E1)); +#16809=LINE('',#16808,#16807); +#16810=DIRECTION('',(-8.006959154447E-1,5.990709899420E-1,0.E0)); +#16811=VECTOR('',#16810,6.392876373244E-2); +#16812=CARTESIAN_POINT('',(5.033962503254E1,-6.074468016624E-1,-1.98E1)); +#16813=LINE('',#16812,#16811); +#16814=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16815=VECTOR('',#16814,1.194374349117E-1); +#16816=CARTESIAN_POINT('',(5.045906246746E1,-6.074468016624E-1,-1.98E1)); +#16817=LINE('',#16816,#16815); +#16818=DIRECTION('',(-8.006959154447E-1,-5.990709899420E-1,0.E0)); +#16819=VECTOR('',#16818,6.392876373244E-2); +#16820=CARTESIAN_POINT('',(5.051024996746E1,-5.691489338875E-1,-1.98E1)); +#16821=LINE('',#16820,#16819); +#16822=DIRECTION('',(-5.556292590776E-1,-8.314301693208E-1,0.E0)); +#16823=VECTOR('',#16822,9.212527807656E-2); +#16824=CARTESIAN_POINT('',(5.056143746746E1,-4.925531983376E-1,-1.98E1)); +#16825=LINE('',#16824,#16823); +#16826=DIRECTION('',(-2.847211728270E-1,-9.586103764012E-1,0.E0)); +#16827=VECTOR('',#16826,1.198543288841E-1); +#16828=CARTESIAN_POINT('',(5.059556253254E1,-3.776595950127E-1,-1.98E1)); +#16829=LINE('',#16828,#16827); +#16830=DIRECTION('',(0.E0,0.E0,1.E0)); +#16831=VECTOR('',#16830,1.5E-1); +#16832=CARTESIAN_POINT('',(-4.2405375E1,-3.139148932397E0,-1.995E1)); +#16833=LINE('',#16832,#16831); +#16834=DIRECTION('',(4.736951571734E-14,0.E0,1.E0)); +#16835=VECTOR('',#16834,1.5E-1); +#16836=CARTESIAN_POINT('',(-4.247125E1,-3.211489361972E0,-1.995E1)); +#16837=LINE('',#16836,#16835); +#16838=DIRECTION('',(0.E0,0.E0,1.E0)); +#16839=VECTOR('',#16838,1.5E-1); +#16840=CARTESIAN_POINT('',(-4.255908331239E1,-3.283829788379E0,-1.995E1)); +#16841=LINE('',#16840,#16839); +#16842=DIRECTION('',(0.E0,0.E0,1.E0)); +#16843=VECTOR('',#16842,1.5E-1); +#16844=CARTESIAN_POINT('',(-4.264691665620E1,-3.32E0,-1.995E1)); +#16845=LINE('',#16844,#16843); +#16846=DIRECTION('',(-4.736951571734E-14,0.E0,1.E0)); +#16847=VECTOR('',#16846,1.5E-1); +#16848=CARTESIAN_POINT('',(-4.282258334380E1,-3.32E0,-1.995E1)); +#16849=LINE('',#16848,#16847); +#16850=DIRECTION('',(0.E0,-1.184237892933E-14,1.E0)); +#16851=VECTOR('',#16850,1.5E-1); +#16852=CARTESIAN_POINT('',(-4.291041667190E1,-3.283829788379E0,-1.995E1)); +#16853=LINE('',#16852,#16851); +#16854=DIRECTION('',(0.E0,0.E0,1.E0)); +#16855=VECTOR('',#16854,1.5E-1); +#16856=CARTESIAN_POINT('',(-4.299825E1,-3.211489361972E0,-1.995E1)); +#16857=LINE('',#16856,#16855); +#16858=DIRECTION('',(4.736951571734E-14,-5.625129991434E-14,1.E0)); +#16859=VECTOR('',#16858,1.5E-1); +#16860=CARTESIAN_POINT('',(-4.3064125E1,-3.139148932397E0,-1.995E1)); +#16861=LINE('',#16860,#16859); +#16862=DIRECTION('',(-4.736951571734E-14,1.243449787580E-13,1.E0)); +#16863=VECTOR('',#16862,1.5E-1); +#16864=CARTESIAN_POINT('',(-4.310804166601E1,-3.030638307035E0,-1.995E1)); +#16865=LINE('',#16864,#16863); +#16866=DIRECTION('',(0.E0,0.E0,1.E0)); +#16867=VECTOR('',#16866,1.5E-1); +#16868=CARTESIAN_POINT('',(-4.313E1,-2.922127656341E0,-1.995E1)); +#16869=LINE('',#16868,#16867); +#16870=DIRECTION('',(0.E0,0.E0,1.E0)); +#16871=VECTOR('',#16870,1.5E-1); +#16872=CARTESIAN_POINT('',(-4.302020833595E1,-2.922127656341E0,-1.995E1)); +#16873=LINE('',#16872,#16871); +#16874=DIRECTION('',(9.473903143468E-14,-7.993605777301E-14,1.E0)); +#16875=VECTOR('',#16874,1.5E-1); +#16876=CARTESIAN_POINT('',(-4.299825E1,-2.994468073249E0,-1.995E1)); +#16877=LINE('',#16876,#16875); +#16878=DIRECTION('',(0.E0,0.E0,1.E0)); +#16879=VECTOR('',#16878,1.5E-1); +#16880=CARTESIAN_POINT('',(-4.295433332810E1,-3.066808515489E0,-1.995E1)); +#16881=LINE('',#16880,#16879); +#16882=DIRECTION('',(4.736951571734E-14,-2.368475785867E-14,1.E0)); +#16883=VECTOR('',#16882,1.5E-1); +#16884=CARTESIAN_POINT('',(-4.291041667190E1,-3.102978723943E0,-1.995E1)); +#16885=LINE('',#16884,#16883); +#16886=DIRECTION('',(0.E0,0.E0,1.E0)); +#16887=VECTOR('',#16886,1.5E-1); +#16888=CARTESIAN_POINT('',(-4.2800625E1,-3.139148932397E0,-1.995E1)); +#16889=LINE('',#16888,#16887); +#16890=DIRECTION('',(0.E0,0.E0,1.E0)); +#16891=VECTOR('',#16890,1.5E-1); +#16892=CARTESIAN_POINT('',(-4.2668875E1,-3.139148932397E0,-1.995E1)); +#16893=LINE('',#16892,#16891); +#16894=DIRECTION('',(0.E0,-1.184237892933E-14,1.E0)); +#16895=VECTOR('',#16894,1.5E-1); +#16896=CARTESIAN_POINT('',(-4.255908331239E1,-3.102978723943E0,-1.995E1)); +#16897=LINE('',#16896,#16895); +#16898=DIRECTION('',(0.E0,0.E0,1.E0)); +#16899=VECTOR('',#16898,1.5E-1); +#16900=CARTESIAN_POINT('',(-4.251516668761E1,-3.066808515489E0,-1.995E1)); +#16901=LINE('',#16900,#16899); +#16902=DIRECTION('',(4.736951571734E-14,2.368475785867E-14,1.E0)); +#16903=VECTOR('',#16902,1.5E-1); +#16904=CARTESIAN_POINT('',(-4.247125E1,-2.994468073249E0,-1.995E1)); +#16905=LINE('',#16904,#16903); +#16906=DIRECTION('',(0.E0,-1.776356839400E-14,1.E0)); +#16907=VECTOR('',#16906,1.5E-1); +#16908=CARTESIAN_POINT('',(-4.244929168761E1,-2.922127656341E0,-1.995E1)); +#16909=LINE('',#16908,#16907); +#16910=DIRECTION('',(0.E0,5.921189464667E-14,1.E0)); +#16911=VECTOR('',#16910,1.5E-1); +#16912=CARTESIAN_POINT('',(-4.244929168761E1,-2.777446822524E0,-1.995E1)); +#16913=LINE('',#16912,#16911); +#16914=DIRECTION('',(4.736951571734E-14,-1.184237892933E-14,1.E0)); +#16915=VECTOR('',#16914,1.5E-1); +#16916=CARTESIAN_POINT('',(-4.249320831239E1,-2.632765938044E0,-1.995E1)); +#16917=LINE('',#16916,#16915); +#16918=DIRECTION('',(0.E0,0.E0,1.E0)); +#16919=VECTOR('',#16918,1.5E-1); +#16920=CARTESIAN_POINT('',(-4.255908331239E1,-2.560425521135E0,-1.995E1)); +#16921=LINE('',#16920,#16919); +#16922=DIRECTION('',(0.E0,0.E0,1.E0)); +#16923=VECTOR('',#16922,1.5E-1); +#16924=CARTESIAN_POINT('',(-4.269083334380E1,-2.524255312681E0,-1.995E1)); +#16925=LINE('',#16924,#16923); +#16926=DIRECTION('',(0.E0,0.E0,1.E0)); +#16927=VECTOR('',#16926,1.5E-1); +#16928=CARTESIAN_POINT('',(-4.277866665620E1,-2.524255312681E0,-1.995E1)); +#16929=LINE('',#16928,#16927); +#16930=DIRECTION('',(0.E0,0.E0,1.E0)); +#16931=VECTOR('',#16930,1.5E-1); +#16932=CARTESIAN_POINT('',(-4.277866665620E1,-2.379574478865E0,-1.995E1)); +#16933=LINE('',#16932,#16931); +#16934=DIRECTION('',(0.E0,0.E0,1.E0)); +#16935=VECTOR('',#16934,1.5E-1); +#16936=CARTESIAN_POINT('',(-4.269083334380E1,-2.379574478865E0,-1.995E1)); +#16937=LINE('',#16936,#16935); +#16938=DIRECTION('',(0.E0,0.E0,1.E0)); +#16939=VECTOR('',#16938,1.5E-1); +#16940=CARTESIAN_POINT('',(-4.255908331239E1,-2.307234061956E0,-1.995E1)); +#16941=LINE('',#16940,#16939); +#16942=DIRECTION('',(-9.473903143468E-14,-2.013204417987E-13,1.E0)); +#16943=VECTOR('',#16942,1.5E-1); +#16944=CARTESIAN_POINT('',(-4.251516668761E1,-2.234893645048E0,-1.995E1)); +#16945=LINE('',#16944,#16943); +#16946=DIRECTION('',(0.E0,0.E0,1.E0)); +#16947=VECTOR('',#16946,1.5E-1); +#16948=CARTESIAN_POINT('',(-4.247125E1,-2.090212811232E0,-1.995E1)); +#16949=LINE('',#16948,#16947); +#16950=DIRECTION('',(0.E0,-3.552713678800E-14,1.E0)); +#16951=VECTOR('',#16950,1.5E-1); +#16952=CARTESIAN_POINT('',(-4.247125E1,-2.017872292995E0,-1.995E1)); +#16953=LINE('',#16952,#16951); +#16954=DIRECTION('',(-4.736951571734E-14,8.585724723768E-14,1.E0)); +#16955=VECTOR('',#16954,1.5E-1); +#16956=CARTESIAN_POINT('',(-4.251516668761E1,-1.909361667633E0,-1.995E1)); +#16957=LINE('',#16956,#16955); +#16958=DIRECTION('',(0.E0,0.E0,1.E0)); +#16959=VECTOR('',#16958,1.5E-1); +#16960=CARTESIAN_POINT('',(-4.258104168761E1,-1.837021250725E0,-1.995E1)); +#16961=LINE('',#16960,#16959); +#16962=DIRECTION('',(0.E0,0.E0,1.E0)); +#16963=VECTOR('',#16962,1.5E-1); +#16964=CARTESIAN_POINT('',(-4.269083334380E1,-1.800851042271E0,-1.995E1)); +#16965=LINE('',#16964,#16963); +#16966=DIRECTION('',(0.E0,0.E0,1.E0)); +#16967=VECTOR('',#16966,1.5E-1); +#16968=CARTESIAN_POINT('',(-4.277866665620E1,-1.800851042271E0,-1.995E1)); +#16969=LINE('',#16968,#16967); +#16970=DIRECTION('',(0.E0,0.E0,1.E0)); +#16971=VECTOR('',#16970,1.5E-1); +#16972=CARTESIAN_POINT('',(-4.288845832810E1,-1.837021250725E0,-1.995E1)); +#16973=LINE('',#16972,#16971); +#16974=DIRECTION('',(0.E0,5.181040781584E-14,1.E0)); +#16975=VECTOR('',#16974,1.5E-1); +#16976=CARTESIAN_POINT('',(-4.295433332810E1,-1.909361667633E0,-1.995E1)); +#16977=LINE('',#16976,#16975); +#16978=DIRECTION('',(9.473903143468E-14,0.E0,1.E0)); +#16979=VECTOR('',#16978,1.5E-1); +#16980=CARTESIAN_POINT('',(-4.299825E1,-2.017872292995E0,-1.995E1)); +#16981=LINE('',#16980,#16979); +#16982=DIRECTION('',(0.E0,0.E0,1.E0)); +#16983=VECTOR('',#16982,1.5E-1); +#16984=CARTESIAN_POINT('',(-4.310804166601E1,-2.017872292995E0,-1.995E1)); +#16985=LINE('',#16984,#16983); +#16986=DIRECTION('',(0.E0,-6.365278674517E-14,1.E0)); +#16987=VECTOR('',#16986,1.5E-1); +#16988=CARTESIAN_POINT('',(-4.308608333202E1,-1.873191459179E0,-1.995E1)); +#16989=LINE('',#16988,#16987); +#16990=DIRECTION('',(0.E0,0.E0,1.E0)); +#16991=VECTOR('',#16990,1.5E-1); +#16992=CARTESIAN_POINT('',(-4.299825E1,-1.728510625362E0,-1.995E1)); +#16993=LINE('',#16992,#16991); +#16994=DIRECTION('',(0.E0,0.E0,1.E0)); +#16995=VECTOR('',#16994,1.5E-1); +#16996=CARTESIAN_POINT('',(-4.291041667190E1,-1.656170208454E0,-1.995E1)); +#16997=LINE('',#16996,#16995); +#16998=DIRECTION('',(0.E0,0.E0,1.E0)); +#16999=VECTOR('',#16998,1.5E-1); +#17000=CARTESIAN_POINT('',(-4.275670834380E1,-1.62E0,-1.995E1)); +#17001=LINE('',#17000,#16999); +#17002=DIRECTION('',(0.E0,0.E0,1.E0)); +#17003=VECTOR('',#17002,1.5E-1); +#17004=CARTESIAN_POINT('',(-4.271279165620E1,-1.62E0,-1.995E1)); +#17005=LINE('',#17004,#17003); +#17006=DIRECTION('',(4.736951571734E-14,-1.332267629550E-14,1.E0)); +#17007=VECTOR('',#17006,1.5E-1); +#17008=CARTESIAN_POINT('',(-4.255908331239E1,-1.656170208454E0,-1.995E1)); +#17009=LINE('',#17008,#17007); +#17010=DIRECTION('',(0.E0,4.588921835117E-14,1.E0)); +#17011=VECTOR('',#17010,1.5E-1); +#17012=CARTESIAN_POINT('',(-4.247125E1,-1.728510625362E0,-1.995E1)); +#17013=LINE('',#17012,#17011); +#17014=DIRECTION('',(0.E0,2.368475785867E-14,1.E0)); +#17015=VECTOR('',#17014,1.5E-1); +#17016=CARTESIAN_POINT('',(-4.238341668761E1,-1.873191459179E0,-1.995E1)); +#17017=LINE('',#17016,#17015); +#17018=DIRECTION('',(0.E0,-2.072416312634E-14,1.E0)); +#17019=VECTOR('',#17018,1.5E-1); +#17020=CARTESIAN_POINT('',(-4.236145831239E1,-2.017872292995E0,-1.995E1)); +#17021=LINE('',#17020,#17019); +#17022=DIRECTION('',(0.E0,9.769962616701E-14,1.E0)); +#17023=VECTOR('',#17022,1.5E-1); +#17024=CARTESIAN_POINT('',(-4.236145831239E1,-2.090212811232E0,-1.995E1)); +#17025=LINE('',#17024,#17023); +#17026=DIRECTION('',(0.E0,-2.072416312634E-14,1.E0)); +#17027=VECTOR('',#17026,1.5E-1); +#17028=CARTESIAN_POINT('',(-4.238341668761E1,-2.234893645048E0,-1.995E1)); +#17029=LINE('',#17028,#17027); +#17030=DIRECTION('',(0.E0,0.E0,1.E0)); +#17031=VECTOR('',#17030,1.5E-1); +#17032=CARTESIAN_POINT('',(-4.242733331239E1,-2.343404270411E0,-1.995E1)); +#17033=LINE('',#17032,#17031); +#17034=DIRECTION('',(0.E0,0.E0,1.E0)); +#17035=VECTOR('',#17034,1.5E-1); +#17036=CARTESIAN_POINT('',(-4.251516668761E1,-2.451914895773E0,-1.995E1)); +#17037=LINE('',#17036,#17035); +#17038=DIRECTION('',(0.E0,0.E0,1.E0)); +#17039=VECTOR('',#17038,1.5E-1); +#17040=CARTESIAN_POINT('',(-4.2405375E1,-2.524255312681E0,-1.995E1)); +#17041=LINE('',#17040,#17039); +#17042=DIRECTION('',(-4.736951571734E-14,-7.697546304068E-14,1.E0)); +#17043=VECTOR('',#17042,1.5E-1); +#17044=CARTESIAN_POINT('',(-4.236145831239E1,-2.632765938044E0,-1.995E1)); +#17045=LINE('',#17044,#17043); +#17046=DIRECTION('',(0.E0,1.391479524197E-13,1.E0)); +#17047=VECTOR('',#17046,1.5E-1); +#17048=CARTESIAN_POINT('',(-4.23395E1,-2.777446822524E0,-1.995E1)); +#17049=LINE('',#17048,#17047); +#17050=DIRECTION('',(0.E0,-9.473903143468E-14,1.E0)); +#17051=VECTOR('',#17050,1.5E-1); +#17052=CARTESIAN_POINT('',(-4.23395E1,-2.922127656341E0,-1.995E1)); +#17053=LINE('',#17052,#17051); +#17054=DIRECTION('',(-4.736951571734E-14,-1.539509260814E-13,1.E0)); +#17055=VECTOR('',#17054,1.5E-1); +#17056=CARTESIAN_POINT('',(-4.236145831239E1,-3.030638307035E0,-1.995E1)); +#17057=LINE('',#17056,#17055); +#17058=DIRECTION('',(0.E0,0.E0,1.E0)); +#17059=VECTOR('',#17058,1.5E-1); +#17060=CARTESIAN_POINT('',(-4.1093E1,4.3E-1,-1.995E1)); +#17061=LINE('',#17060,#17059); +#17062=DIRECTION('',(0.E0,0.E0,1.E0)); +#17063=VECTOR('',#17062,1.5E-1); +#17064=CARTESIAN_POINT('',(-4.11965E1,4.3E-1,-1.995E1)); +#17065=LINE('',#17064,#17063); +#17066=DIRECTION('',(-4.736951571734E-14,-2.960594732334E-14,1.E0)); +#17067=VECTOR('',#17066,1.5E-1); +#17068=CARTESIAN_POINT('',(-4.11965E1,1.923617057800E0,-1.995E1)); +#17069=LINE('',#17068,#17067); +#17070=DIRECTION('',(0.E0,1.332267629550E-14,1.E0)); +#17071=VECTOR('',#17070,1.5E-1); +#17072=CARTESIAN_POINT('',(-4.13E1,1.655531876087E0,-1.995E1)); +#17073=LINE('',#17072,#17071); +#17074=DIRECTION('',(-4.736951571734E-14,-4.440892098501E-14,1.E0)); +#17075=VECTOR('',#17074,1.5E-1); +#17076=CARTESIAN_POINT('',(-4.13E1,1.961914925575E0,-1.995E1)); +#17077=LINE('',#17076,#17075); +#17078=DIRECTION('',(0.E0,0.E0,1.E0)); +#17079=VECTOR('',#17078,1.5E-1); +#17080=CARTESIAN_POINT('',(-4.11965E1,2.23E0,-1.995E1)); +#17081=LINE('',#17080,#17079); +#17082=DIRECTION('',(0.E0,0.E0,1.E0)); +#17083=VECTOR('',#17082,1.5E-1); +#17084=CARTESIAN_POINT('',(-4.1093E1,2.23E0,-1.995E1)); +#17085=LINE('',#17084,#17083); +#17086=DIRECTION('',(4.736951571734E-14,0.E0,1.E0)); +#17087=VECTOR('',#17086,1.5E-1); +#17088=CARTESIAN_POINT('',(-4.083425E1,1.234255330563E0,-1.995E1)); +#17089=LINE('',#17088,#17087); +#17090=DIRECTION('',(0.E0,0.E0,1.E0)); +#17091=VECTOR('',#17090,1.5E-1); +#17092=CARTESIAN_POINT('',(-4.095500000823E1,1.234255330563E0,-1.995E1)); +#17093=LINE('',#17092,#17091); +#17094=DIRECTION('',(0.E0,0.E0,1.E0)); +#17095=VECTOR('',#17094,1.5E-1); +#17096=CARTESIAN_POINT('',(-4.095500000823E1,2.23E0,-1.995E1)); +#17097=LINE('',#17096,#17095); +#17098=DIRECTION('',(0.E0,0.E0,1.E0)); +#17099=VECTOR('',#17098,1.5E-1); +#17100=CARTESIAN_POINT('',(-4.03685E1,2.23E0,-1.995E1)); +#17101=LINE('',#17100,#17099); +#17102=DIRECTION('',(0.E0,0.E0,1.E0)); +#17103=VECTOR('',#17102,1.5E-1); +#17104=CARTESIAN_POINT('',(-4.03685E1,2.038510661125E0,-1.995E1)); +#17105=LINE('',#17104,#17103); +#17106=DIRECTION('',(0.E0,0.E0,1.E0)); +#17107=VECTOR('',#17106,1.5E-1); +#17108=CARTESIAN_POINT('',(-4.086875001645E1,2.038510661125E0,-1.995E1)); +#17109=LINE('',#17108,#17107); +#17110=DIRECTION('',(0.E0,-4.292862361884E-14,1.E0)); +#17111=VECTOR('',#17110,1.5E-1); +#17112=CARTESIAN_POINT('',(-4.086875001645E1,1.425744669437E0,-1.995E1)); +#17113=LINE('',#17112,#17111); +#17114=DIRECTION('',(9.473903143468E-14,2.812564995717E-14,1.E0)); +#17115=VECTOR('',#17114,1.5E-1); +#17116=CARTESIAN_POINT('',(-4.07825E1,1.540638272762E0,-1.995E1)); +#17117=LINE('',#17116,#17115); +#17118=DIRECTION('',(0.E0,0.E0,1.E0)); +#17119=VECTOR('',#17118,1.5E-1); +#17120=CARTESIAN_POINT('',(-4.069624998355E1,1.578936140537E0,-1.995E1)); +#17121=LINE('',#17120,#17119); +#17122=DIRECTION('',(0.E0,0.E0,1.E0)); +#17123=VECTOR('',#17122,1.5E-1); +#17124=CARTESIAN_POINT('',(-4.059274998355E1,1.578936140537E0,-1.995E1)); +#17125=LINE('',#17124,#17123); +#17126=DIRECTION('',(0.E0,1.036208156317E-14,1.E0)); +#17127=VECTOR('',#17126,1.5E-1); +#17128=CARTESIAN_POINT('',(-4.050650001645E1,1.540638272762E0,-1.995E1)); +#17129=LINE('',#17128,#17127); +#17130=DIRECTION('',(0.E0,-1.480297366167E-14,1.E0)); +#17131=VECTOR('',#17130,1.5E-1); +#17132=CARTESIAN_POINT('',(-4.042025E1,1.425744669437E0,-1.995E1)); +#17133=LINE('',#17132,#17131); +#17134=DIRECTION('',(-4.736951571734E-14,2.102022259957E-13,1.E0)); +#17135=VECTOR('',#17134,1.5E-1); +#17136=CARTESIAN_POINT('',(-4.03685E1,1.272553198338E0,-1.995E1)); +#17137=LINE('',#17136,#17135); +#17138=DIRECTION('',(0.E0,-1.332267629550E-14,1.E0)); +#17139=VECTOR('',#17138,1.5E-1); +#17140=CARTESIAN_POINT('',(-4.033400003290E1,1.081063805819E0,-1.995E1)); +#17141=LINE('',#17140,#17139); +#17142=DIRECTION('',(0.E0,6.439293542826E-14,1.E0)); +#17143=VECTOR('',#17142,1.5E-1); +#17144=CARTESIAN_POINT('',(-4.033400003290E1,9.278723347187E-1,-1.995E1)); +#17145=LINE('',#17144,#17143); +#17146=DIRECTION('',(-9.473903143468E-14,-1.872576168201E-13,1.E0)); +#17147=VECTOR('',#17146,1.5E-1); +#17148=CARTESIAN_POINT('',(-4.03685E1,7.363829690218E-1,-1.995E1)); +#17149=LINE('',#17148,#17147); +#17150=DIRECTION('',(4.736951571734E-14,7.993605777301E-14,1.E0)); +#17151=VECTOR('',#17150,1.5E-1); +#17152=CARTESIAN_POINT('',(-4.042025E1,5.831914845109E-1,-1.995E1)); +#17153=LINE('',#17152,#17151); +#17154=DIRECTION('',(0.E0,0.E0,1.E0)); +#17155=VECTOR('',#17154,1.5E-1); +#17156=CARTESIAN_POINT('',(-4.050650001645E1,4.682978711277E-1,-1.995E1)); +#17157=LINE('',#17156,#17155); +#17158=DIRECTION('',(0.E0,0.E0,1.E0)); +#17159=VECTOR('',#17158,1.5E-1); +#17160=CARTESIAN_POINT('',(-4.059274998355E1,4.3E-1,-1.995E1)); +#17161=LINE('',#17160,#17159); +#17162=DIRECTION('',(-9.473903143468E-14,0.E0,1.E0)); +#17163=VECTOR('',#17162,1.5E-1); +#17164=CARTESIAN_POINT('',(-4.069624998355E1,4.3E-1,-1.995E1)); +#17165=LINE('',#17164,#17163); +#17166=DIRECTION('',(0.E0,0.E0,1.E0)); +#17167=VECTOR('',#17166,1.5E-1); +#17168=CARTESIAN_POINT('',(-4.07825E1,4.682978711277E-1,-1.995E1)); +#17169=LINE('',#17168,#17167); +#17170=DIRECTION('',(-9.473903143468E-14,9.177843670234E-14,1.E0)); +#17171=VECTOR('',#17170,1.5E-1); +#17172=CARTESIAN_POINT('',(-4.086875001645E1,5.831914845109E-1,-1.995E1)); +#17173=LINE('',#17172,#17171); +#17174=DIRECTION('',(-1.421085471520E-13,3.789561257387E-13,1.E0)); +#17175=VECTOR('',#17174,1.5E-1); +#17176=CARTESIAN_POINT('',(-4.092049999177E1,7.363829690217E-1,-1.995E1)); +#17177=LINE('',#17176,#17175); +#17178=DIRECTION('',(-4.736951571734E-14,0.E0,1.E0)); +#17179=VECTOR('',#17178,1.5E-1); +#17180=CARTESIAN_POINT('',(-4.095500000823E1,8.895744669437E-1,-1.995E1)); +#17181=LINE('',#17180,#17179); +#17182=DIRECTION('',(-4.736951571734E-14,0.E0,1.E0)); +#17183=VECTOR('',#17182,1.5E-1); +#17184=CARTESIAN_POINT('',(-4.085149998355E1,8.895744669437E-1,-1.995E1)); +#17185=LINE('',#17184,#17183); +#17186=DIRECTION('',(-4.736951571734E-14,4.070817756959E-14,1.E0)); +#17187=VECTOR('',#17186,1.5E-1); +#17188=CARTESIAN_POINT('',(-4.081700001645E1,7.363829690218E-1,-1.995E1)); +#17189=LINE('',#17188,#17187); +#17190=DIRECTION('',(0.E0,0.E0,1.E0)); +#17191=VECTOR('',#17190,1.5E-1); +#17192=CARTESIAN_POINT('',(-4.076525001645E1,6.597872334719E-1,-1.995E1)); +#17193=LINE('',#17192,#17191); +#17194=DIRECTION('',(0.E0,0.E0,1.E0)); +#17195=VECTOR('',#17194,1.5E-1); +#17196=CARTESIAN_POINT('',(-4.069624998355E1,6.214893656969E-1,-1.995E1)); +#17197=LINE('',#17196,#17195); +#17198=DIRECTION('',(4.736951571734E-14,0.E0,1.E0)); +#17199=VECTOR('',#17198,1.5E-1); +#17200=CARTESIAN_POINT('',(-4.059274998355E1,6.214893656969E-1,-1.995E1)); +#17201=LINE('',#17200,#17199); +#17202=DIRECTION('',(0.E0,0.E0,1.E0)); +#17203=VECTOR('',#17202,1.5E-1); +#17204=CARTESIAN_POINT('',(-4.052375E1,6.597872334719E-1,-1.995E1)); +#17205=LINE('',#17204,#17203); +#17206=DIRECTION('',(0.E0,0.E0,1.E0)); +#17207=VECTOR('',#17206,1.5E-1); +#17208=CARTESIAN_POINT('',(-4.0472E1,7.363829690218E-1,-1.995E1)); +#17209=LINE('',#17208,#17207); +#17210=DIRECTION('',(-9.473903143468E-14,-3.930189507173E-13,1.E0)); +#17211=VECTOR('',#17210,1.5E-1); +#17212=CARTESIAN_POINT('',(-4.043750003290E1,8.512765991688E-1,-1.995E1)); +#17213=LINE('',#17212,#17211); +#17214=DIRECTION('',(0.E0,1.413683984689E-13,1.E0)); +#17215=VECTOR('',#17214,1.5E-1); +#17216=CARTESIAN_POINT('',(-4.042025E1,9.661702024936E-1,-1.995E1)); +#17217=LINE('',#17216,#17215); +#17218=DIRECTION('',(0.E0,0.E0,1.E0)); +#17219=VECTOR('',#17218,1.5E-1); +#17220=CARTESIAN_POINT('',(-4.042025E1,1.042765938044E0,-1.995E1)); +#17221=LINE('',#17220,#17219); +#17222=DIRECTION('',(4.736951571734E-14,-1.435888445182E-13,1.E0)); +#17223=VECTOR('',#17222,1.5E-1); +#17224=CARTESIAN_POINT('',(-4.043750003290E1,1.157659595013E0,-1.995E1)); +#17225=LINE('',#17224,#17223); +#17226=DIRECTION('',(4.736951571734E-14,-8.585724723768E-14,1.E0)); +#17227=VECTOR('',#17226,1.5E-1); +#17228=CARTESIAN_POINT('',(-4.0472E1,1.272553198338E0,-1.995E1)); +#17229=LINE('',#17228,#17227); +#17230=DIRECTION('',(0.E0,0.E0,1.E0)); +#17231=VECTOR('',#17230,1.5E-1); +#17232=CARTESIAN_POINT('',(-4.052375E1,1.349148933887E0,-1.995E1)); +#17233=LINE('',#17232,#17231); +#17234=DIRECTION('',(0.E0,0.E0,1.E0)); +#17235=VECTOR('',#17234,1.5E-1); +#17236=CARTESIAN_POINT('',(-4.059274998355E1,1.387446801662E0,-1.995E1)); +#17237=LINE('',#17236,#17235); +#17238=DIRECTION('',(0.E0,0.E0,1.E0)); +#17239=VECTOR('',#17238,1.5E-1); +#17240=CARTESIAN_POINT('',(-4.069624998355E1,1.387446801662E0,-1.995E1)); +#17241=LINE('',#17240,#17239); +#17242=DIRECTION('',(4.736951571734E-14,3.700743415417E-14,1.E0)); +#17243=VECTOR('',#17242,1.5E-1); +#17244=CARTESIAN_POINT('',(-4.076525001645E1,1.349148933887E0,-1.995E1)); +#17245=LINE('',#17244,#17243); +#17246=DIRECTION('',(0.E0,3.108624468950E-14,1.E0)); +#17247=VECTOR('',#17246,1.5E-1); +#17248=CARTESIAN_POINT('',(-5.345E1,1.742765961885E0,-1.995E1)); +#17249=LINE('',#17248,#17247); +#17250=DIRECTION('',(0.E0,-2.072416312634E-14,1.E0)); +#17251=VECTOR('',#17250,1.5E-1); +#17252=CARTESIAN_POINT('',(-5.303000001431E1,2.806595711708E0,-1.995E1)); +#17253=LINE('',#17252,#17251); +#17254=DIRECTION('',(0.E0,8.585724723768E-14,1.E0)); +#17255=VECTOR('',#17254,1.5E-1); +#17256=CARTESIAN_POINT('',(-5.3E1,2.976808457375E0,-1.995E1)); +#17257=LINE('',#17256,#17255); +#17258=DIRECTION('',(0.E0,5.329070518201E-14,1.E0)); +#17259=VECTOR('',#17258,1.5E-1); +#17260=CARTESIAN_POINT('',(-5.3E1,3.061914949417E0,-1.995E1)); +#17261=LINE('',#17260,#17259); +#17262=DIRECTION('',(0.E0,-1.243449787580E-13,1.E0)); +#17263=VECTOR('',#17262,1.5E-1); +#17264=CARTESIAN_POINT('',(-5.303000001431E1,3.189574508667E0,-1.995E1)); +#17265=LINE('',#17264,#17263); +#17266=DIRECTION('',(0.E0,0.E0,1.E0)); +#17267=VECTOR('',#17266,1.5E-1); +#17268=CARTESIAN_POINT('',(-5.307500001431E1,3.274680881500E0,-1.995E1)); +#17269=LINE('',#17268,#17267); +#17270=DIRECTION('',(0.E0,0.E0,1.E0)); +#17271=VECTOR('',#17270,1.5E-1); +#17272=CARTESIAN_POINT('',(-5.315000000715E1,3.317234067917E0,-1.995E1)); +#17273=LINE('',#17272,#17271); +#17274=DIRECTION('',(0.E0,0.E0,1.E0)); +#17275=VECTOR('',#17274,1.5E-1); +#17276=CARTESIAN_POINT('',(-5.320999999285E1,3.317234067917E0,-1.995E1)); +#17277=LINE('',#17276,#17275); +#17278=DIRECTION('',(0.E0,0.E0,1.E0)); +#17279=VECTOR('',#17278,1.5E-1); +#17280=CARTESIAN_POINT('',(-5.328499999642E1,3.274680881500E0,-1.995E1)); +#17281=LINE('',#17280,#17279); +#17282=DIRECTION('',(0.E0,1.243449787580E-13,1.E0)); +#17283=VECTOR('',#17282,1.5E-1); +#17284=CARTESIAN_POINT('',(-5.332999999642E1,3.189574508667E0,-1.995E1)); +#17285=LINE('',#17284,#17283); +#17286=DIRECTION('',(0.E0,0.E0,1.E0)); +#17287=VECTOR('',#17286,1.5E-1); +#17288=CARTESIAN_POINT('',(-5.336E1,3.061914949417E0,-1.995E1)); +#17289=LINE('',#17288,#17287); +#17290=DIRECTION('',(0.E0,0.E0,1.E0)); +#17291=VECTOR('',#17290,1.5E-1); +#17292=CARTESIAN_POINT('',(-5.343499999955E1,3.061914949417E0,-1.995E1)); +#17293=LINE('',#17292,#17291); +#17294=DIRECTION('',(0.E0,9.769962616701E-14,1.E0)); +#17295=VECTOR('',#17294,1.5E-1); +#17296=CARTESIAN_POINT('',(-5.341999999911E1,3.232127695084E0,-1.995E1)); +#17297=LINE('',#17296,#17295); +#17298=DIRECTION('',(-1.894780628694E-13,-2.427687680514E-13,1.E0)); +#17299=VECTOR('',#17298,1.5E-1); +#17300=CARTESIAN_POINT('',(-5.336E1,3.402340440750E0,-1.995E1)); +#17301=LINE('',#17300,#17299); +#17302=DIRECTION('',(0.E0,0.E0,1.E0)); +#17303=VECTOR('',#17302,1.5E-1); +#17304=CARTESIAN_POINT('',(-5.330000000358E1,3.487446813583E0,-1.995E1)); +#17305=LINE('',#17304,#17303); +#17306=DIRECTION('',(0.E0,0.E0,1.E0)); +#17307=VECTOR('',#17306,1.5E-1); +#17308=CARTESIAN_POINT('',(-5.319500000715E1,3.53E0,-1.995E1)); +#17309=LINE('',#17308,#17307); +#17310=DIRECTION('',(0.E0,0.E0,1.E0)); +#17311=VECTOR('',#17310,1.5E-1); +#17312=CARTESIAN_POINT('',(-5.3135E1,3.53E0,-1.995E1)); +#17313=LINE('',#17312,#17311); +#17314=DIRECTION('',(0.E0,1.184237892933E-14,1.E0)); +#17315=VECTOR('',#17314,1.5E-1); +#17316=CARTESIAN_POINT('',(-5.3045E1,3.487446813583E0,-1.995E1)); +#17317=LINE('',#17316,#17315); +#17318=DIRECTION('',(0.E0,-2.960594732334E-14,1.E0)); +#17319=VECTOR('',#17318,1.5E-1); +#17320=CARTESIAN_POINT('',(-5.298500001431E1,3.402340440750E0,-1.995E1)); +#17321=LINE('',#17320,#17319); +#17322=DIRECTION('',(0.E0,-2.960594732334E-14,1.E0)); +#17323=VECTOR('',#17322,1.5E-1); +#17324=CARTESIAN_POINT('',(-5.292499998569E1,3.232127695084E0,-1.995E1)); +#17325=LINE('',#17324,#17323); +#17326=DIRECTION('',(0.E0,1.894780628694E-13,1.E0)); +#17327=VECTOR('',#17326,1.5E-1); +#17328=CARTESIAN_POINT('',(-5.291E1,3.061914949417E0,-1.995E1)); +#17329=LINE('',#17328,#17327); +#17330=DIRECTION('',(4.736951571734E-14,1.421085471520E-13,1.E0)); +#17331=VECTOR('',#17330,1.5E-1); +#17332=CARTESIAN_POINT('',(-5.291E1,2.976808457375E0,-1.995E1)); +#17333=LINE('',#17332,#17331); +#17334=DIRECTION('',(0.E0,5.329070518201E-14,1.E0)); +#17335=VECTOR('',#17334,1.5E-1); +#17336=CARTESIAN_POINT('',(-5.292499998569E1,2.806595711708E0,-1.995E1)); +#17337=LINE('',#17336,#17335); +#17338=DIRECTION('',(0.E0,1.539509260814E-13,1.E0)); +#17339=VECTOR('',#17338,1.5E-1); +#17340=CARTESIAN_POINT('',(-5.2955E1,2.678936152458E0,-1.995E1)); +#17341=LINE('',#17340,#17339); +#17342=DIRECTION('',(0.E0,0.E0,1.E0)); +#17343=VECTOR('',#17342,1.5E-1); +#17344=CARTESIAN_POINT('',(-5.332999999642E1,1.742765961885E0,-1.995E1)); +#17345=LINE('',#17344,#17343); +#17346=DIRECTION('',(0.E0,0.E0,1.E0)); +#17347=VECTOR('',#17346,1.5E-1); +#17348=CARTESIAN_POINT('',(-5.291E1,1.742765961885E0,-1.995E1)); +#17349=LINE('',#17348,#17347); +#17350=DIRECTION('',(0.E0,0.E0,1.E0)); +#17351=VECTOR('',#17350,1.5E-1); +#17352=CARTESIAN_POINT('',(-5.291E1,1.53E0,-1.995E1)); +#17353=LINE('',#17352,#17351); +#17354=DIRECTION('',(0.E0,0.E0,1.E0)); +#17355=VECTOR('',#17354,1.5E-1); +#17356=CARTESIAN_POINT('',(-5.345E1,1.53E0,-1.995E1)); +#17357=LINE('',#17356,#17355); +#17358=DIRECTION('',(0.E0,-2.102022259957E-13,1.E0)); +#17359=VECTOR('',#17358,1.5E-1); +#17360=CARTESIAN_POINT('',(-5.225000002861E1,3.317234067917E0,-1.995E1)); +#17361=LINE('',#17360,#17359); +#17362=DIRECTION('',(9.473903143468E-14,0.E0,1.E0)); +#17363=VECTOR('',#17362,1.5E-1); +#17364=CARTESIAN_POINT('',(-5.255E1,1.53E0,-1.995E1)); +#17365=LINE('',#17364,#17363); +#17366=DIRECTION('',(0.E0,0.E0,1.E0)); +#17367=VECTOR('',#17366,1.5E-1); +#17368=CARTESIAN_POINT('',(-5.264E1,1.53E0,-1.995E1)); +#17369=LINE('',#17368,#17367); +#17370=DIRECTION('',(0.E0,0.E0,1.E0)); +#17371=VECTOR('',#17370,1.5E-1); +#17372=CARTESIAN_POINT('',(-5.234000002861E1,3.317234067917E0,-1.995E1)); +#17373=LINE('',#17372,#17371); +#17374=DIRECTION('',(0.E0,0.E0,1.E0)); +#17375=VECTOR('',#17374,1.5E-1); +#17376=CARTESIAN_POINT('',(-5.278999998569E1,3.317234067917E0,-1.995E1)); +#17377=LINE('',#17376,#17375); +#17378=DIRECTION('',(0.E0,0.E0,1.E0)); +#17379=VECTOR('',#17378,1.5E-1); +#17380=CARTESIAN_POINT('',(-5.278999998569E1,3.53E0,-1.995E1)); +#17381=LINE('',#17380,#17379); +#17382=DIRECTION('',(0.E0,0.E0,1.E0)); +#17383=VECTOR('',#17382,1.5E-1); +#17384=CARTESIAN_POINT('',(-5.225000002861E1,3.53E0,-1.995E1)); +#17385=LINE('',#17384,#17383); +#17386=DIRECTION('',(0.E0,0.E0,1.E0)); +#17387=VECTOR('',#17386,1.5E-1); +#17388=CARTESIAN_POINT('',(6.198E0,-1.47E0,-1.995E1)); +#17389=LINE('',#17388,#17387); +#17390=DIRECTION('',(0.E0,0.E0,1.E0)); +#17391=VECTOR('',#17390,1.5E-1); +#17392=CARTESIAN_POINT('',(6.079E0,-1.47E0,-1.995E1)); +#17393=LINE('',#17392,#17391); +#17394=DIRECTION('',(0.E0,0.E0,1.E0)); +#17395=VECTOR('',#17394,1.5E-1); +#17396=CARTESIAN_POINT('',(6.079E0,-5.936166763306E-2,-1.995E1)); +#17397=LINE('',#17396,#17395); +#17398=DIRECTION('',(0.E0,0.E0,1.E0)); +#17399=VECTOR('',#17398,1.5E-1); +#17400=CARTESIAN_POINT('',(5.96E0,-3.125532281399E-1,-1.995E1)); +#17401=LINE('',#17400,#17399); +#17402=DIRECTION('',(0.E0,0.E0,1.E0)); +#17403=VECTOR('',#17402,1.5E-1); +#17404=CARTESIAN_POINT('',(5.96E0,-2.319145917892E-2,-1.995E1)); +#17405=LINE('',#17404,#17403); +#17406=DIRECTION('',(0.E0,0.E0,1.E0)); +#17407=VECTOR('',#17406,1.5E-1); +#17408=CARTESIAN_POINT('',(6.079E0,2.3E-1,-1.995E1)); +#17409=LINE('',#17408,#17407); +#17410=DIRECTION('',(0.E0,0.E0,1.E0)); +#17411=VECTOR('',#17410,1.5E-1); +#17412=CARTESIAN_POINT('',(6.198E0,2.3E-1,-1.995E1)); +#17413=LINE('',#17412,#17411); +#17414=DIRECTION('',(-1.184237892933E-14,0.E0,1.E0)); +#17415=VECTOR('',#17414,1.5E-1); +#17416=CARTESIAN_POINT('',(6.832666685581E0,2.3E-1,-1.995E1)); +#17417=LINE('',#17416,#17415); +#17418=DIRECTION('',(0.E0,0.E0,1.E0)); +#17419=VECTOR('',#17418,1.5E-1); +#17420=CARTESIAN_POINT('',(6.951666628838E0,2.3E-1,-1.995E1)); +#17421=LINE('',#17420,#17419); +#17422=DIRECTION('',(0.E0,0.E0,1.E0)); +#17423=VECTOR('',#17422,1.5E-1); +#17424=CARTESIAN_POINT('',(6.951666628838E0,-7.465957295895E-1,-1.995E1)); +#17425=LINE('',#17424,#17423); +#17426=DIRECTION('',(0.E0,0.E0,1.E0)); +#17427=VECTOR('',#17426,1.5E-1); +#17428=CARTESIAN_POINT('',(7.15E0,-7.465957295895E-1,-1.995E1)); +#17429=LINE('',#17428,#17427); +#17430=DIRECTION('',(0.E0,0.E0,1.E0)); +#17431=VECTOR('',#17430,1.5E-1); +#17432=CARTESIAN_POINT('',(7.15E0,-9.636170309782E-1,-1.995E1)); +#17433=LINE('',#17432,#17431); +#17434=DIRECTION('',(0.E0,0.E0,1.E0)); +#17435=VECTOR('',#17434,1.5E-1); +#17436=CARTESIAN_POINT('',(6.951666628838E0,-9.636170309782E-1,-1.995E1)); +#17437=LINE('',#17436,#17435); +#17438=DIRECTION('',(0.E0,0.E0,1.E0)); +#17439=VECTOR('',#17438,1.5E-1); +#17440=CARTESIAN_POINT('',(6.951666628838E0,-1.47E0,-1.995E1)); +#17441=LINE('',#17440,#17439); +#17442=DIRECTION('',(0.E0,0.E0,1.E0)); +#17443=VECTOR('',#17442,1.5E-1); +#17444=CARTESIAN_POINT('',(6.832666685581E0,-1.47E0,-1.995E1)); +#17445=LINE('',#17444,#17443); +#17446=DIRECTION('',(0.E0,0.E0,1.E0)); +#17447=VECTOR('',#17446,1.5E-1); +#17448=CARTESIAN_POINT('',(6.832666685581E0,-9.636170309782E-1,-1.995E1)); +#17449=LINE('',#17448,#17447); +#17450=DIRECTION('',(0.E0,0.E0,1.E0)); +#17451=VECTOR('',#17450,1.5E-1); +#17452=CARTESIAN_POINT('',(6.356666657209E0,-9.636170309782E-1,-1.995E1)); +#17453=LINE('',#17452,#17451); +#17454=DIRECTION('',(0.E0,0.E0,1.E0)); +#17455=VECTOR('',#17454,1.5E-1); +#17456=CARTESIAN_POINT('',(6.356666657209E0,-7.465957295895E-1,-1.995E1)); +#17457=LINE('',#17456,#17455); +#17458=DIRECTION('',(0.E0,0.E0,1.E0)); +#17459=VECTOR('',#17458,1.5E-1); +#17460=CARTESIAN_POINT('',(6.4955E0,-7.465957295895E-1,-1.995E1)); +#17461=LINE('',#17460,#17459); +#17462=DIRECTION('',(0.E0,0.E0,1.E0)); +#17463=VECTOR('',#17462,1.5E-1); +#17464=CARTESIAN_POINT('',(6.832666685581E0,-7.465957295895E-1,-1.995E1)); +#17465=LINE('',#17464,#17463); +#17466=DIRECTION('',(0.E0,0.E0,1.E0)); +#17467=VECTOR('',#17466,1.5E-1); +#17468=CARTESIAN_POINT('',(6.832666685581E0,-5.936166763306E-2,-1.995E1)); +#17469=LINE('',#17468,#17467); +#17470=DIRECTION('',(0.E0,0.E0,1.E0)); +#17471=VECTOR('',#17470,1.5E-1); +#17472=CARTESIAN_POINT('',(9.9E0,7.427659618855E-1,-1.995E1)); +#17473=LINE('',#17472,#17471); +#17474=DIRECTION('',(0.E0,-2.812564995717E-14,1.E0)); +#17475=VECTOR('',#17474,1.5E-1); +#17476=CARTESIAN_POINT('',(1.034333331823E1,1.806595711708E0,-1.995E1)); +#17477=LINE('',#17476,#17475); +#17478=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#17479=VECTOR('',#17478,1.5E-1); +#17480=CARTESIAN_POINT('',(1.0375E1,1.976808457375E0,-1.995E1)); +#17481=LINE('',#17480,#17479); +#17482=DIRECTION('',(0.E0,-1.776356839400E-14,1.E0)); +#17483=VECTOR('',#17482,1.5E-1); +#17484=CARTESIAN_POINT('',(1.0375E1,2.061914949417E0,-1.995E1)); +#17485=LINE('',#17484,#17483); +#17486=DIRECTION('',(0.E0,0.E0,1.E0)); +#17487=VECTOR('',#17486,1.5E-1); +#17488=CARTESIAN_POINT('',(1.034333331823E1,2.189574508667E0,-1.995E1)); +#17489=LINE('',#17488,#17487); +#17490=DIRECTION('',(-1.184237892933E-14,1.184237892933E-14,1.E0)); +#17491=VECTOR('',#17490,1.5E-1); +#17492=CARTESIAN_POINT('',(1.029583331823E1,2.274680881500E0,-1.995E1)); +#17493=LINE('',#17492,#17491); +#17494=DIRECTION('',(1.184237892933E-14,0.E0,1.E0)); +#17495=VECTOR('',#17494,1.5E-1); +#17496=CARTESIAN_POINT('',(1.021666665912E1,2.317234067917E0,-1.995E1)); +#17497=LINE('',#17496,#17495); +#17498=DIRECTION('',(1.184237892933E-14,0.E0,1.E0)); +#17499=VECTOR('',#17498,1.5E-1); +#17500=CARTESIAN_POINT('',(1.015333334088E1,2.317234067917E0,-1.995E1)); +#17501=LINE('',#17500,#17499); +#17502=DIRECTION('',(0.E0,0.E0,1.E0)); +#17503=VECTOR('',#17502,1.5E-1); +#17504=CARTESIAN_POINT('',(1.007416667044E1,2.274680881500E0,-1.995E1)); +#17505=LINE('',#17504,#17503); +#17506=DIRECTION('',(0.E0,-2.664535259100E-14,1.E0)); +#17507=VECTOR('',#17506,1.5E-1); +#17508=CARTESIAN_POINT('',(1.002666667044E1,2.189574508667E0,-1.995E1)); +#17509=LINE('',#17508,#17507); +#17510=DIRECTION('',(0.E0,0.E0,1.E0)); +#17511=VECTOR('',#17510,1.5E-1); +#17512=CARTESIAN_POINT('',(9.995E0,2.061914949417E0,-1.995E1)); +#17513=LINE('',#17512,#17511); +#17514=DIRECTION('',(0.E0,0.E0,1.E0)); +#17515=VECTOR('',#17514,1.5E-1); +#17516=CARTESIAN_POINT('',(9.915833333805E0,2.061914949417E0,-1.995E1)); +#17517=LINE('',#17516,#17515); +#17518=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#17519=VECTOR('',#17518,1.5E-1); +#17520=CARTESIAN_POINT('',(9.931666667610E0,2.232127695084E0,-1.995E1)); +#17521=LINE('',#17520,#17519); +#17522=DIRECTION('',(-2.368475785867E-14,-1.776356839400E-14,1.E0)); +#17523=VECTOR('',#17522,1.5E-1); +#17524=CARTESIAN_POINT('',(9.995E0,2.402340440750E0,-1.995E1)); +#17525=LINE('',#17524,#17523); +#17526=DIRECTION('',(2.368475785867E-14,0.E0,1.E0)); +#17527=VECTOR('',#17526,1.5E-1); +#17528=CARTESIAN_POINT('',(1.005833332956E1,2.487446813583E0,-1.995E1)); +#17529=LINE('',#17528,#17527); +#17530=DIRECTION('',(0.E0,0.E0,1.E0)); +#17531=VECTOR('',#17530,1.5E-1); +#17532=CARTESIAN_POINT('',(1.016916665912E1,2.53E0,-1.995E1)); +#17533=LINE('',#17532,#17531); +#17534=DIRECTION('',(1.184237892933E-14,0.E0,1.E0)); +#17535=VECTOR('',#17534,1.5E-1); +#17536=CARTESIAN_POINT('',(1.02325E1,2.53E0,-1.995E1)); +#17537=LINE('',#17536,#17535); +#17538=DIRECTION('',(0.E0,0.E0,1.E0)); +#17539=VECTOR('',#17538,1.5E-1); +#17540=CARTESIAN_POINT('',(1.03275E1,2.487446813583E0,-1.995E1)); +#17541=LINE('',#17540,#17539); +#17542=DIRECTION('',(0.E0,0.E0,1.E0)); +#17543=VECTOR('',#17542,1.5E-1); +#17544=CARTESIAN_POINT('',(1.039083331823E1,2.402340440750E0,-1.995E1)); +#17545=LINE('',#17544,#17543); +#17546=DIRECTION('',(0.E0,-2.072416312634E-14,1.E0)); +#17547=VECTOR('',#17546,1.5E-1); +#17548=CARTESIAN_POINT('',(1.045416668177E1,2.232127695084E0,-1.995E1)); +#17549=LINE('',#17548,#17547); +#17550=DIRECTION('',(0.E0,0.E0,1.E0)); +#17551=VECTOR('',#17550,1.5E-1); +#17552=CARTESIAN_POINT('',(1.047E1,2.061914949417E0,-1.995E1)); +#17553=LINE('',#17552,#17551); +#17554=DIRECTION('',(0.E0,0.E0,1.E0)); +#17555=VECTOR('',#17554,1.5E-1); +#17556=CARTESIAN_POINT('',(1.047E1,1.976808457375E0,-1.995E1)); +#17557=LINE('',#17556,#17555); +#17558=DIRECTION('',(0.E0,6.661338147751E-14,1.E0)); +#17559=VECTOR('',#17558,1.5E-1); +#17560=CARTESIAN_POINT('',(1.045416668177E1,1.806595711708E0,-1.995E1)); +#17561=LINE('',#17560,#17559); +#17562=DIRECTION('',(0.E0,0.E0,1.E0)); +#17563=VECTOR('',#17562,1.5E-1); +#17564=CARTESIAN_POINT('',(1.04225E1,1.678936152458E0,-1.995E1)); +#17565=LINE('',#17564,#17563); +#17566=DIRECTION('',(0.E0,0.E0,1.E0)); +#17567=VECTOR('',#17566,1.5E-1); +#17568=CARTESIAN_POINT('',(1.002666667044E1,7.427659618855E-1,-1.995E1)); +#17569=LINE('',#17568,#17567); +#17570=DIRECTION('',(0.E0,0.E0,1.E0)); +#17571=VECTOR('',#17570,1.5E-1); +#17572=CARTESIAN_POINT('',(1.047E1,7.427659618855E-1,-1.995E1)); +#17573=LINE('',#17572,#17571); +#17574=DIRECTION('',(0.E0,0.E0,1.E0)); +#17575=VECTOR('',#17574,1.5E-1); +#17576=CARTESIAN_POINT('',(1.047E1,5.3E-1,-1.995E1)); +#17577=LINE('',#17576,#17575); +#17578=DIRECTION('',(0.E0,0.E0,1.E0)); +#17579=VECTOR('',#17578,1.5E-1); +#17580=CARTESIAN_POINT('',(9.9E0,5.3E-1,-1.995E1)); +#17581=LINE('',#17580,#17579); +#17582=DIRECTION('',(0.E0,0.E0,1.E0)); +#17583=VECTOR('',#17582,1.5E-1); +#17584=CARTESIAN_POINT('',(1.1135E1,1.508723406792E0,-1.995E1)); +#17585=LINE('',#17584,#17583); +#17586=DIRECTION('',(0.E0,1.184237892933E-14,1.E0)); +#17587=VECTOR('',#17586,1.5E-1); +#17588=CARTESIAN_POINT('',(1.116666663647E1,1.295957474709E0,-1.995E1)); +#17589=LINE('',#17588,#17587); +#17590=DIRECTION('',(0.E0,1.480297366167E-14,1.E0)); +#17591=VECTOR('',#17590,1.5E-1); +#17592=CARTESIAN_POINT('',(1.116666663647E1,1.083191483021E0,-1.995E1)); +#17593=LINE('',#17592,#17591); +#17594=DIRECTION('',(0.E0,0.E0,1.E0)); +#17595=VECTOR('',#17594,1.5E-1); +#17596=CARTESIAN_POINT('',(1.1135E1,8.704255211353E-1,-1.995E1)); +#17597=LINE('',#17596,#17595); +#17598=DIRECTION('',(0.E0,-2.886579864025E-14,1.E0)); +#17599=VECTOR('',#17598,1.5E-1); +#17600=CARTESIAN_POINT('',(1.10875E1,7.002127605677E-1,-1.995E1)); +#17601=LINE('',#17600,#17599); +#17602=DIRECTION('',(0.E0,0.E0,1.E0)); +#17603=VECTOR('',#17602,1.5E-1); +#17604=CARTESIAN_POINT('',(1.100833336353E1,5.725531901419E-1,-1.995E1)); +#17605=LINE('',#17604,#17603); +#17606=DIRECTION('',(0.E0,0.E0,1.E0)); +#17607=VECTOR('',#17606,1.5E-1); +#17608=CARTESIAN_POINT('',(1.092916663647E1,5.3E-1,-1.995E1)); +#17609=LINE('',#17608,#17607); +#17610=DIRECTION('',(0.E0,0.E0,1.E0)); +#17611=VECTOR('',#17610,1.5E-1); +#17612=CARTESIAN_POINT('',(1.085E1,5.3E-1,-1.995E1)); +#17613=LINE('',#17612,#17611); +#17614=DIRECTION('',(0.E0,0.E0,1.E0)); +#17615=VECTOR('',#17614,1.5E-1); +#17616=CARTESIAN_POINT('',(1.077083336353E1,5.725531901419E-1,-1.995E1)); +#17617=LINE('',#17616,#17615); +#17618=DIRECTION('',(0.E0,0.E0,1.E0)); +#17619=VECTOR('',#17618,1.5E-1); +#17620=CARTESIAN_POINT('',(1.069166663647E1,7.002127605677E-1,-1.995E1)); +#17621=LINE('',#17620,#17619); +#17622=DIRECTION('',(0.E0,1.184237892933E-14,1.E0)); +#17623=VECTOR('',#17622,1.5E-1); +#17624=CARTESIAN_POINT('',(1.064416668177E1,8.704255211353E-1,-1.995E1)); +#17625=LINE('',#17624,#17623); +#17626=DIRECTION('',(0.E0,0.E0,1.E0)); +#17627=VECTOR('',#17626,1.5E-1); +#17628=CARTESIAN_POINT('',(1.06125E1,1.083191483021E0,-1.995E1)); +#17629=LINE('',#17628,#17627); +#17630=DIRECTION('',(0.E0,4.736951571734E-14,1.E0)); +#17631=VECTOR('',#17630,1.5E-1); +#17632=CARTESIAN_POINT('',(1.059666668177E1,1.381063847542E0,-1.995E1)); +#17633=LINE('',#17632,#17631); +#17634=DIRECTION('',(0.E0,-6.957397620984E-14,1.E0)); +#17635=VECTOR('',#17634,1.5E-1); +#17636=CARTESIAN_POINT('',(1.059666668177E1,1.678936152458E0,-1.995E1)); +#17637=LINE('',#17636,#17635); +#17638=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#17639=VECTOR('',#17638,1.5E-1); +#17640=CARTESIAN_POINT('',(1.06125E1,1.976808457375E0,-1.995E1)); +#17641=LINE('',#17640,#17639); +#17642=DIRECTION('',(0.E0,0.E0,1.E0)); +#17643=VECTOR('',#17642,1.5E-1); +#17644=CARTESIAN_POINT('',(1.064416668177E1,2.189574508667E0,-1.995E1)); +#17645=LINE('',#17644,#17643); +#17646=DIRECTION('',(0.E0,-2.368475785867E-14,1.E0)); +#17647=VECTOR('',#17646,1.5E-1); +#17648=CARTESIAN_POINT('',(1.069166663647E1,2.359787254333E0,-1.995E1)); +#17649=LINE('',#17648,#17647); +#17650=DIRECTION('',(0.E0,0.E0,1.E0)); +#17651=VECTOR('',#17650,1.5E-1); +#17652=CARTESIAN_POINT('',(1.077083336353E1,2.487446813583E0,-1.995E1)); +#17653=LINE('',#17652,#17651); +#17654=DIRECTION('',(-2.368475785867E-14,0.E0,1.E0)); +#17655=VECTOR('',#17654,1.5E-1); +#17656=CARTESIAN_POINT('',(1.085E1,2.53E0,-1.995E1)); +#17657=LINE('',#17656,#17655); +#17658=DIRECTION('',(0.E0,0.E0,1.E0)); +#17659=VECTOR('',#17658,1.5E-1); +#17660=CARTESIAN_POINT('',(1.091333336353E1,2.53E0,-1.995E1)); +#17661=LINE('',#17660,#17659); +#17662=DIRECTION('',(0.E0,0.E0,1.E0)); +#17663=VECTOR('',#17662,1.5E-1); +#17664=CARTESIAN_POINT('',(1.09925E1,2.487446813583E0,-1.995E1)); +#17665=LINE('',#17664,#17663); +#17666=DIRECTION('',(1.184237892933E-14,-2.072416312634E-14,1.E0)); +#17667=VECTOR('',#17666,1.5E-1); +#17668=CARTESIAN_POINT('',(1.107166663647E1,2.359787254333E0,-1.995E1)); +#17669=LINE('',#17668,#17667); +#17670=DIRECTION('',(0.E0,5.625129991434E-14,1.E0)); +#17671=VECTOR('',#17670,1.5E-1); +#17672=CARTESIAN_POINT('',(1.111916663647E1,2.189574508667E0,-1.995E1)); +#17673=LINE('',#17672,#17671); +#17674=DIRECTION('',(0.E0,0.E0,1.E0)); +#17675=VECTOR('',#17674,1.5E-1); +#17676=CARTESIAN_POINT('',(1.1135E1,2.061914949417E0,-1.995E1)); +#17677=LINE('',#17676,#17675); +#17678=DIRECTION('',(0.E0,0.E0,1.E0)); +#17679=VECTOR('',#17678,1.5E-1); +#17680=CARTESIAN_POINT('',(1.105583336353E1,2.061914949417E0,-1.995E1)); +#17681=LINE('',#17680,#17679); +#17682=DIRECTION('',(-1.184237892933E-14,2.664535259100E-14,1.E0)); +#17683=VECTOR('',#17682,1.5E-1); +#17684=CARTESIAN_POINT('',(1.102416663647E1,2.189574508667E0,-1.995E1)); +#17685=LINE('',#17684,#17683); +#17686=DIRECTION('',(0.E0,0.E0,1.E0)); +#17687=VECTOR('',#17686,1.5E-1); +#17688=CARTESIAN_POINT('',(1.097666663647E1,2.274680881500E0,-1.995E1)); +#17689=LINE('',#17688,#17687); +#17690=DIRECTION('',(0.E0,0.E0,1.E0)); +#17691=VECTOR('',#17690,1.5E-1); +#17692=CARTESIAN_POINT('',(1.092916663647E1,2.317234067917E0,-1.995E1)); +#17693=LINE('',#17692,#17691); +#17694=DIRECTION('',(-2.368475785867E-14,0.E0,1.E0)); +#17695=VECTOR('',#17694,1.5E-1); +#17696=CARTESIAN_POINT('',(1.083416663647E1,2.317234067917E0,-1.995E1)); +#17697=LINE('',#17696,#17695); +#17698=DIRECTION('',(-2.368475785867E-14,-1.184237892933E-14,1.E0)); +#17699=VECTOR('',#17698,1.5E-1); +#17700=CARTESIAN_POINT('',(1.078666663647E1,2.274680881500E0,-1.995E1)); +#17701=LINE('',#17700,#17699); +#17702=DIRECTION('',(0.E0,0.E0,1.E0)); +#17703=VECTOR('',#17702,1.5E-1); +#17704=CARTESIAN_POINT('',(1.073916663647E1,2.189574508667E0,-1.995E1)); +#17705=LINE('',#17704,#17703); +#17706=DIRECTION('',(1.184237892933E-14,0.E0,1.E0)); +#17707=VECTOR('',#17706,1.5E-1); +#17708=CARTESIAN_POINT('',(1.07075E1,2.061914949417E0,-1.995E1)); +#17709=LINE('',#17708,#17707); +#17710=DIRECTION('',(1.184237892933E-14,1.243449787580E-13,1.E0)); +#17711=VECTOR('',#17710,1.5E-1); +#17712=CARTESIAN_POINT('',(1.069166663647E1,1.934255270958E0,-1.995E1)); +#17713=LINE('',#17712,#17711); +#17714=DIRECTION('',(0.E0,-2.960594732334E-14,1.E0)); +#17715=VECTOR('',#17714,1.5E-1); +#17716=CARTESIAN_POINT('',(1.067583336353E1,1.678936152458E0,-1.995E1)); +#17717=LINE('',#17716,#17715); +#17718=DIRECTION('',(0.E0,-1.628327102784E-14,1.E0)); +#17719=VECTOR('',#17718,1.5E-1); +#17720=CARTESIAN_POINT('',(1.067583336353E1,1.593829779625E0,-1.995E1)); +#17721=LINE('',#17720,#17719); +#17722=DIRECTION('',(0.E0,0.E0,1.E0)); +#17723=VECTOR('',#17722,1.5E-1); +#17724=CARTESIAN_POINT('',(1.077083336353E1,1.764042525291E0,-1.995E1)); +#17725=LINE('',#17724,#17723); +#17726=DIRECTION('',(0.E0,0.E0,1.E0)); +#17727=VECTOR('',#17726,1.5E-1); +#17728=CARTESIAN_POINT('',(1.085E1,1.806595711708E0,-1.995E1)); +#17729=LINE('',#17728,#17727); +#17730=DIRECTION('',(0.E0,0.E0,1.E0)); +#17731=VECTOR('',#17730,1.5E-1); +#17732=CARTESIAN_POINT('',(1.092916663647E1,1.806595711708E0,-1.995E1)); +#17733=LINE('',#17732,#17731); +#17734=DIRECTION('',(1.184237892933E-14,-1.036208156317E-14,1.E0)); +#17735=VECTOR('',#17734,1.5E-1); +#17736=CARTESIAN_POINT('',(1.100833336353E1,1.764042525291E0,-1.995E1)); +#17737=LINE('',#17736,#17735); +#17738=DIRECTION('',(1.184237892933E-14,0.E0,1.E0)); +#17739=VECTOR('',#17738,1.5E-1); +#17740=CARTESIAN_POINT('',(1.10875E1,1.636382966042E0,-1.995E1)); +#17741=LINE('',#17740,#17739); +#17742=DIRECTION('',(1.184237892933E-14,-1.480297366167E-14,1.E0)); +#17743=VECTOR('',#17742,1.5E-1); +#17744=CARTESIAN_POINT('',(1.107166663647E1,1.381063847542E0,-1.995E1)); +#17745=LINE('',#17744,#17743); +#17746=DIRECTION('',(0.E0,1.332267629550E-14,1.E0)); +#17747=VECTOR('',#17746,1.5E-1); +#17748=CARTESIAN_POINT('',(1.104E1,1.466170220375E0,-1.995E1)); +#17749=LINE('',#17748,#17747); +#17750=DIRECTION('',(0.E0,0.E0,1.E0)); +#17751=VECTOR('',#17750,1.5E-1); +#17752=CARTESIAN_POINT('',(1.09925E1,1.551276593208E0,-1.995E1)); +#17753=LINE('',#17752,#17751); +#17754=DIRECTION('',(0.E0,0.E0,1.E0)); +#17755=VECTOR('',#17754,1.5E-1); +#17756=CARTESIAN_POINT('',(1.0945E1,1.593829779625E0,-1.995E1)); +#17757=LINE('',#17756,#17755); +#17758=DIRECTION('',(0.E0,0.E0,1.E0)); +#17759=VECTOR('',#17758,1.5E-1); +#17760=CARTESIAN_POINT('',(1.083416663647E1,1.593829779625E0,-1.995E1)); +#17761=LINE('',#17760,#17759); +#17762=DIRECTION('',(-3.552713678800E-14,-2.368475785867E-14,1.E0)); +#17763=VECTOR('',#17762,1.5E-1); +#17764=CARTESIAN_POINT('',(1.078666663647E1,1.551276593208E0,-1.995E1)); +#17765=LINE('',#17764,#17763); +#17766=DIRECTION('',(0.E0,-1.184237892933E-14,1.E0)); +#17767=VECTOR('',#17766,1.5E-1); +#17768=CARTESIAN_POINT('',(1.073916663647E1,1.466170220375E0,-1.995E1)); +#17769=LINE('',#17768,#17767); +#17770=DIRECTION('',(0.E0,0.E0,1.E0)); +#17771=VECTOR('',#17770,1.5E-1); +#17772=CARTESIAN_POINT('',(1.07075E1,1.381063847542E0,-1.995E1)); +#17773=LINE('',#17772,#17771); +#17774=DIRECTION('',(0.E0,2.220446049250E-14,1.E0)); +#17775=VECTOR('',#17774,1.5E-1); +#17776=CARTESIAN_POINT('',(1.069166663647E1,1.253404228687E0,-1.995E1)); +#17777=LINE('',#17776,#17775); +#17778=DIRECTION('',(0.E0,0.E0,1.E0)); +#17779=VECTOR('',#17778,1.5E-1); +#17780=CARTESIAN_POINT('',(1.069166663647E1,1.125744669437E0,-1.995E1)); +#17781=LINE('',#17780,#17779); +#17782=DIRECTION('',(0.E0,0.E0,1.E0)); +#17783=VECTOR('',#17782,1.5E-1); +#17784=CARTESIAN_POINT('',(1.07075E1,9.980851101875E-1,-1.995E1)); +#17785=LINE('',#17784,#17783); +#17786=DIRECTION('',(1.184237892933E-14,-2.368475785867E-14,1.E0)); +#17787=VECTOR('',#17786,1.5E-1); +#17788=CARTESIAN_POINT('',(1.073916663647E1,8.704255211353E-1,-1.995E1)); +#17789=LINE('',#17788,#17787); +#17790=DIRECTION('',(-2.368475785867E-14,1.036208156317E-14,1.E0)); +#17791=VECTOR('',#17790,1.5E-1); +#17792=CARTESIAN_POINT('',(1.078666663647E1,7.853191483021E-1,-1.995E1)); +#17793=LINE('',#17792,#17791); +#17794=DIRECTION('',(0.E0,0.E0,1.E0)); +#17795=VECTOR('',#17794,1.5E-1); +#17796=CARTESIAN_POINT('',(1.083416663647E1,7.427659618855E-1,-1.995E1)); +#17797=LINE('',#17796,#17795); +#17798=DIRECTION('',(0.E0,0.E0,1.E0)); +#17799=VECTOR('',#17798,1.5E-1); +#17800=CARTESIAN_POINT('',(1.0945E1,7.427659618855E-1,-1.995E1)); +#17801=LINE('',#17800,#17799); +#17802=DIRECTION('',(-1.184237892933E-14,-1.480297366167E-14,1.E0)); +#17803=VECTOR('',#17802,1.5E-1); +#17804=CARTESIAN_POINT('',(1.09925E1,7.853191483021E-1,-1.995E1)); +#17805=LINE('',#17804,#17803); +#17806=DIRECTION('',(1.184237892933E-14,0.E0,1.E0)); +#17807=VECTOR('',#17806,1.5E-1); +#17808=CARTESIAN_POINT('',(1.104E1,8.704255211353E-1,-1.995E1)); +#17809=LINE('',#17808,#17807); +#17810=DIRECTION('',(0.E0,-6.809367884368E-14,1.E0)); +#17811=VECTOR('',#17810,1.5E-1); +#17812=CARTESIAN_POINT('',(1.107166663647E1,9.980851101875E-1,-1.995E1)); +#17813=LINE('',#17812,#17811); +#17814=DIRECTION('',(0.E0,0.E0,1.E0)); +#17815=VECTOR('',#17814,1.5E-1); +#17816=CARTESIAN_POINT('',(1.10875E1,1.125744669437E0,-1.995E1)); +#17817=LINE('',#17816,#17815); +#17818=DIRECTION('',(0.E0,1.924386576017E-14,1.E0)); +#17819=VECTOR('',#17818,1.5E-1); +#17820=CARTESIAN_POINT('',(1.10875E1,1.253404228687E0,-1.995E1)); +#17821=LINE('',#17820,#17819); +#17822=DIRECTION('',(0.E0,0.E0,1.E0)); +#17823=VECTOR('',#17822,1.5E-1); +#17824=CARTESIAN_POINT('',(2.371899999595E1,-8.054255211353E-1,-1.995E1)); +#17825=LINE('',#17824,#17823); +#17826=DIRECTION('',(0.E0,0.E0,1.E0)); +#17827=VECTOR('',#17826,1.5E-1); +#17828=CARTESIAN_POINT('',(2.36E1,-8.054255211353E-1,-1.995E1)); +#17829=LINE('',#17828,#17827); +#17830=DIRECTION('',(0.E0,0.E0,1.E0)); +#17831=VECTOR('',#17830,1.5E-1); +#17832=CARTESIAN_POINT('',(2.36E1,1.35E-1,-1.995E1)); +#17833=LINE('',#17832,#17831); +#17834=DIRECTION('',(0.E0,0.E0,1.E0)); +#17835=VECTOR('',#17834,1.5E-1); +#17836=CARTESIAN_POINT('',(2.417799998379E1,1.35E-1,-1.995E1)); +#17837=LINE('',#17836,#17835); +#17838=DIRECTION('',(0.E0,0.E0,1.E0)); +#17839=VECTOR('',#17838,1.5E-1); +#17840=CARTESIAN_POINT('',(2.417799998379E1,-4.585104227066E-2,-1.995E1)); +#17841=LINE('',#17840,#17839); +#17842=DIRECTION('',(0.E0,0.E0,1.E0)); +#17843=VECTOR('',#17842,1.5E-1); +#17844=CARTESIAN_POINT('',(2.368499999797E1,-4.585104227066E-2,-1.995E1)); +#17845=LINE('',#17844,#17843); +#17846=DIRECTION('',(0.E0,-1.776356839400E-14,1.E0)); +#17847=VECTOR('',#17846,1.5E-1); +#17848=CARTESIAN_POINT('',(2.368499999797E1,-6.245744788647E-1,-1.995E1)); +#17849=LINE('',#17848,#17847); +#17850=DIRECTION('',(0.E0,0.E0,1.E0)); +#17851=VECTOR('',#17850,1.5E-1); +#17852=CARTESIAN_POINT('',(2.376999999595E1,-5.160638535023E-1,-1.995E1)); +#17853=LINE('',#17852,#17851); +#17854=DIRECTION('',(2.368475785867E-14,0.E0,1.E0)); +#17855=VECTOR('',#17854,1.5E-1); +#17856=CARTESIAN_POINT('',(2.3855E1,-4.798936450481E-1,-1.995E1)); +#17857=LINE('',#17856,#17855); +#17858=DIRECTION('',(-2.368475785867E-14,0.E0,1.E0)); +#17859=VECTOR('',#17858,1.5E-1); +#17860=CARTESIAN_POINT('',(2.3957E1,-4.798936450481E-1,-1.995E1)); +#17861=LINE('',#17860,#17859); +#17862=DIRECTION('',(2.368475785867E-14,-1.924386576017E-14,1.E0)); +#17863=VECTOR('',#17862,1.5E-1); +#17864=CARTESIAN_POINT('',(2.404200001621E1,-5.160638535023E-1,-1.995E1)); +#17865=LINE('',#17864,#17863); +#17866=DIRECTION('',(0.E0,0.E0,1.E0)); +#17867=VECTOR('',#17866,1.5E-1); +#17868=CARTESIAN_POINT('',(2.412699998379E1,-6.245744788647E-1,-1.995E1)); +#17869=LINE('',#17868,#17867); +#17870=DIRECTION('',(7.105427357601E-14,-2.161234154604E-13,1.E0)); +#17871=VECTOR('',#17870,1.5E-1); +#17872=CARTESIAN_POINT('',(2.417799998379E1,-7.692553126812E-1,-1.995E1)); +#17873=LINE('',#17872,#17871); +#17874=DIRECTION('',(0.E0,6.069219201284E-14,1.E0)); +#17875=VECTOR('',#17874,1.5E-1); +#17876=CARTESIAN_POINT('',(2.4212E1,-9.501064056158E-1,-1.995E1)); +#17877=LINE('',#17876,#17875); +#17878=DIRECTION('',(0.E0,-5.921189464667E-14,1.E0)); +#17879=VECTOR('',#17878,1.5E-1); +#17880=CARTESIAN_POINT('',(2.4212E1,-1.094787239432E0,-1.995E1)); +#17881=LINE('',#17880,#17879); +#17882=DIRECTION('',(4.736951571734E-14,1.110223024625E-13,1.E0)); +#17883=VECTOR('',#17882,1.5E-1); +#17884=CARTESIAN_POINT('',(2.417799998379E1,-1.275638307035E0,-1.995E1)); +#17885=LINE('',#17884,#17883); +#17886=DIRECTION('',(2.368475785867E-14,3.404683942184E-14,1.E0)); +#17887=VECTOR('',#17886,1.5E-1); +#17888=CARTESIAN_POINT('',(2.412699998379E1,-1.420319153517E0,-1.995E1)); +#17889=LINE('',#17888,#17887); +#17890=DIRECTION('',(0.E0,0.E0,1.E0)); +#17891=VECTOR('',#17890,1.5E-1); +#17892=CARTESIAN_POINT('',(2.404200001621E1,-1.528829788379E0,-1.995E1)); +#17893=LINE('',#17892,#17891); +#17894=DIRECTION('',(0.E0,0.E0,1.E0)); +#17895=VECTOR('',#17894,1.5E-1); +#17896=CARTESIAN_POINT('',(2.3957E1,-1.565E0,-1.995E1)); +#17897=LINE('',#17896,#17895); +#17898=DIRECTION('',(0.E0,0.E0,1.E0)); +#17899=VECTOR('',#17898,1.5E-1); +#17900=CARTESIAN_POINT('',(2.3855E1,-1.565E0,-1.995E1)); +#17901=LINE('',#17900,#17899); +#17902=DIRECTION('',(0.E0,1.628327102784E-14,1.E0)); +#17903=VECTOR('',#17902,1.5E-1); +#17904=CARTESIAN_POINT('',(2.376999999595E1,-1.528829788379E0,-1.995E1)); +#17905=LINE('',#17904,#17903); +#17906=DIRECTION('',(0.E0,0.E0,1.E0)); +#17907=VECTOR('',#17906,1.5E-1); +#17908=CARTESIAN_POINT('',(2.368499999797E1,-1.420319153517E0,-1.995E1)); +#17909=LINE('',#17908,#17907); +#17910=DIRECTION('',(0.E0,0.E0,1.E0)); +#17911=VECTOR('',#17910,1.5E-1); +#17912=CARTESIAN_POINT('',(2.363400000101E1,-1.275638307035E0,-1.995E1)); +#17913=LINE('',#17912,#17911); +#17914=DIRECTION('',(0.E0,0.E0,1.E0)); +#17915=VECTOR('',#17914,1.5E-1); +#17916=CARTESIAN_POINT('',(2.36E1,-1.130957447886E0,-1.995E1)); +#17917=LINE('',#17916,#17915); +#17918=DIRECTION('',(0.E0,0.E0,1.E0)); +#17919=VECTOR('',#17918,1.5E-1); +#17920=CARTESIAN_POINT('',(2.3702E1,-1.130957447886E0,-1.995E1)); +#17921=LINE('',#17920,#17919); +#17922=DIRECTION('',(0.E0,0.E0,1.E0)); +#17923=VECTOR('',#17922,1.5E-1); +#17924=CARTESIAN_POINT('',(2.373600000405E1,-1.275638307035E0,-1.995E1)); +#17925=LINE('',#17924,#17923); +#17926=DIRECTION('',(0.E0,-1.332267629550E-14,1.E0)); +#17927=VECTOR('',#17926,1.5E-1); +#17928=CARTESIAN_POINT('',(2.378700000405E1,-1.347978723943E0,-1.995E1)); +#17929=LINE('',#17928,#17927); +#17930=DIRECTION('',(0.E0,0.E0,1.E0)); +#17931=VECTOR('',#17930,1.5E-1); +#17932=CARTESIAN_POINT('',(2.3855E1,-1.384148932397E0,-1.995E1)); +#17933=LINE('',#17932,#17931); +#17934=DIRECTION('',(0.E0,0.E0,1.E0)); +#17935=VECTOR('',#17934,1.5E-1); +#17936=CARTESIAN_POINT('',(2.3957E1,-1.384148932397E0,-1.995E1)); +#17937=LINE('',#17936,#17935); +#17938=DIRECTION('',(-2.368475785867E-14,-1.480297366167E-14,1.E0)); +#17939=VECTOR('',#17938,1.5E-1); +#17940=CARTESIAN_POINT('',(2.402499998379E1,-1.347978723943E0,-1.995E1)); +#17941=LINE('',#17940,#17939); +#17942=DIRECTION('',(0.E0,-3.848773152034E-14,1.E0)); +#17943=VECTOR('',#17942,1.5E-1); +#17944=CARTESIAN_POINT('',(2.407599998379E1,-1.275638307035E0,-1.995E1)); +#17945=LINE('',#17944,#17943); +#17946=DIRECTION('',(0.E0,9.769962616701E-14,1.E0)); +#17947=VECTOR('',#17946,1.5E-1); +#17948=CARTESIAN_POINT('',(2.411E1,-1.167127656341E0,-1.995E1)); +#17949=LINE('',#17948,#17947); +#17950=DIRECTION('',(0.E0,-6.809367884368E-14,1.E0)); +#17951=VECTOR('',#17950,1.5E-1); +#17952=CARTESIAN_POINT('',(2.412699998379E1,-1.058617030978E0,-1.995E1)); +#17953=LINE('',#17952,#17951); +#17954=DIRECTION('',(0.E0,6.069219201284E-14,1.E0)); +#17955=VECTOR('',#17954,1.5E-1); +#17956=CARTESIAN_POINT('',(2.412699998379E1,-9.862766140699E-1,-1.995E1)); +#17957=LINE('',#17956,#17955); +#17958=DIRECTION('',(2.368475785867E-14,-1.065814103640E-13,1.E0)); +#17959=VECTOR('',#17958,1.5E-1); +#17960=CARTESIAN_POINT('',(2.411E1,-8.777659380436E-1,-1.995E1)); +#17961=LINE('',#17960,#17959); +#17962=DIRECTION('',(2.368475785867E-14,1.332267629550E-14,1.E0)); +#17963=VECTOR('',#17962,1.5E-1); +#17964=CARTESIAN_POINT('',(2.407599998379E1,-7.692553126812E-1,-1.995E1)); +#17965=LINE('',#17964,#17963); +#17966=DIRECTION('',(0.E0,0.E0,1.E0)); +#17967=VECTOR('',#17966,1.5E-1); +#17968=CARTESIAN_POINT('',(2.402499998379E1,-6.969148957729E-1,-1.995E1)); +#17969=LINE('',#17968,#17967); +#17970=DIRECTION('',(0.E0,0.E0,1.E0)); +#17971=VECTOR('',#17970,1.5E-1); +#17972=CARTESIAN_POINT('',(2.3957E1,-6.607446873188E-1,-1.995E1)); +#17973=LINE('',#17972,#17971); +#17974=DIRECTION('',(0.E0,0.E0,1.E0)); +#17975=VECTOR('',#17974,1.5E-1); +#17976=CARTESIAN_POINT('',(2.3855E1,-6.607446873188E-1,-1.995E1)); +#17977=LINE('',#17976,#17975); +#17978=DIRECTION('',(-4.736951571734E-14,0.E0,1.E0)); +#17979=VECTOR('',#17978,1.5E-1); +#17980=CARTESIAN_POINT('',(2.378700000405E1,-6.969148957729E-1,-1.995E1)); +#17981=LINE('',#17980,#17979); +#17982=DIRECTION('',(0.E0,-1.628327102784E-14,1.E0)); +#17983=VECTOR('',#17982,1.5E-1); +#17984=CARTESIAN_POINT('',(2.490899996758E1,-1.384148932397E0,-1.995E1)); +#17985=LINE('',#17984,#17983); +#17986=DIRECTION('',(-4.736951571734E-14,-6.957397620984E-14,1.E0)); +#17987=VECTOR('',#17986,1.5E-1); +#17988=CARTESIAN_POINT('',(2.485799996758E1,-1.456489361972E0,-1.995E1)); +#17989=LINE('',#17988,#17987); +#17990=DIRECTION('',(2.368475785867E-14,2.368475785867E-14,1.E0)); +#17991=VECTOR('',#17990,1.5E-1); +#17992=CARTESIAN_POINT('',(2.479000003242E1,-1.528829788379E0,-1.995E1)); +#17993=LINE('',#17992,#17991); +#17994=DIRECTION('',(0.E0,0.E0,1.E0)); +#17995=VECTOR('',#17994,1.5E-1); +#17996=CARTESIAN_POINT('',(2.4722E1,-1.565E0,-1.995E1)); +#17997=LINE('',#17996,#17995); +#17998=DIRECTION('',(0.E0,0.E0,1.E0)); +#17999=VECTOR('',#17998,1.5E-1); +#18000=CARTESIAN_POINT('',(2.458600003242E1,-1.565E0,-1.995E1)); +#18001=LINE('',#18000,#17999); +#18002=DIRECTION('',(0.E0,1.332267629550E-14,1.E0)); +#18003=VECTOR('',#18002,1.5E-1); +#18004=CARTESIAN_POINT('',(2.4518E1,-1.528829788379E0,-1.995E1)); +#18005=LINE('',#18004,#18003); +#18006=DIRECTION('',(7.105427357601E-14,-8.141635513918E-14,1.E0)); +#18007=VECTOR('',#18006,1.5E-1); +#18008=CARTESIAN_POINT('',(2.444999996758E1,-1.456489361972E0,-1.995E1)); +#18009=LINE('',#18008,#18007); +#18010=DIRECTION('',(0.E0,0.E0,1.E0)); +#18011=VECTOR('',#18010,1.5E-1); +#18012=CARTESIAN_POINT('',(2.439900001621E1,-1.384148932397E0,-1.995E1)); +#18013=LINE('',#18012,#18011); +#18014=DIRECTION('',(-2.368475785867E-14,1.761553865739E-13,1.E0)); +#18015=VECTOR('',#18014,1.5E-1); +#18016=CARTESIAN_POINT('',(2.4365E1,-1.275638307035E0,-1.995E1)); +#18017=LINE('',#18016,#18015); +#18018=DIRECTION('',(0.E0,0.E0,1.E0)); +#18019=VECTOR('',#18018,1.5E-1); +#18020=CARTESIAN_POINT('',(2.434800001621E1,-1.167127656341E0,-1.995E1)); +#18021=LINE('',#18020,#18019); +#18022=DIRECTION('',(0.E0,0.E0,1.E0)); +#18023=VECTOR('',#18022,1.5E-1); +#18024=CARTESIAN_POINT('',(2.443300003242E1,-1.167127656341E0,-1.995E1)); +#18025=LINE('',#18024,#18023); +#18026=DIRECTION('',(-2.368475785867E-14,1.243449787580E-13,1.E0)); +#18027=VECTOR('',#18026,1.5E-1); +#18028=CARTESIAN_POINT('',(2.444999996758E1,-1.239468073249E0,-1.995E1)); +#18029=LINE('',#18028,#18027); +#18030=DIRECTION('',(7.105427357601E-14,-7.105427357601E-14,1.E0)); +#18031=VECTOR('',#18030,1.5E-1); +#18032=CARTESIAN_POINT('',(2.448400003242E1,-1.311808515489E0,-1.995E1)); +#18033=LINE('',#18032,#18031); +#18034=DIRECTION('',(0.E0,1.480297366167E-14,1.E0)); +#18035=VECTOR('',#18034,1.5E-1); +#18036=CARTESIAN_POINT('',(2.4518E1,-1.347978723943E0,-1.995E1)); +#18037=LINE('',#18036,#18035); +#18038=DIRECTION('',(0.E0,0.E0,1.E0)); +#18039=VECTOR('',#18038,1.5E-1); +#18040=CARTESIAN_POINT('',(2.460299996758E1,-1.384148932397E0,-1.995E1)); +#18041=LINE('',#18040,#18039); +#18042=DIRECTION('',(0.E0,0.E0,1.E0)); +#18043=VECTOR('',#18042,1.5E-1); +#18044=CARTESIAN_POINT('',(2.470499996758E1,-1.384148932397E0,-1.995E1)); +#18045=LINE('',#18044,#18043); +#18046=DIRECTION('',(2.368475785867E-14,1.036208156317E-14,1.E0)); +#18047=VECTOR('',#18046,1.5E-1); +#18048=CARTESIAN_POINT('',(2.479000003242E1,-1.347978723943E0,-1.995E1)); +#18049=LINE('',#18048,#18047); +#18050=DIRECTION('',(-7.105427357601E-14,-8.585724723768E-14,1.E0)); +#18051=VECTOR('',#18050,1.5E-1); +#18052=CARTESIAN_POINT('',(2.4824E1,-1.311808515489E0,-1.995E1)); +#18053=LINE('',#18052,#18051); +#18054=DIRECTION('',(4.736951571734E-14,1.051011129978E-13,1.E0)); +#18055=VECTOR('',#18054,1.5E-1); +#18056=CARTESIAN_POINT('',(2.485799996758E1,-1.239468073249E0,-1.995E1)); +#18057=LINE('',#18056,#18055); +#18058=DIRECTION('',(0.E0,2.812564995717E-14,1.E0)); +#18059=VECTOR('',#18058,1.5E-1); +#18060=CARTESIAN_POINT('',(2.4875E1,-1.167127656341E0,-1.995E1)); +#18061=LINE('',#18060,#18059); +#18062=DIRECTION('',(0.E0,-3.404683942184E-14,1.E0)); +#18063=VECTOR('',#18062,1.5E-1); +#18064=CARTESIAN_POINT('',(2.4875E1,-1.022446822524E0,-1.995E1)); +#18065=LINE('',#18064,#18063); +#18066=DIRECTION('',(0.E0,1.628327102784E-14,1.E0)); +#18067=VECTOR('',#18066,1.5E-1); +#18068=CARTESIAN_POINT('',(2.484100003242E1,-8.777659380436E-1,-1.995E1)); +#18069=LINE('',#18068,#18067); +#18070=DIRECTION('',(0.E0,0.E0,1.E0)); +#18071=VECTOR('',#18070,1.5E-1); +#18072=CARTESIAN_POINT('',(2.479000003242E1,-8.054255211353E-1,-1.995E1)); +#18073=LINE('',#18072,#18071); +#18074=DIRECTION('',(0.E0,0.E0,1.E0)); +#18075=VECTOR('',#18074,1.5E-1); +#18076=CARTESIAN_POINT('',(2.468800003242E1,-7.692553126812E-1,-1.995E1)); +#18077=LINE('',#18076,#18075); +#18078=DIRECTION('',(0.E0,0.E0,1.E0)); +#18079=VECTOR('',#18078,1.5E-1); +#18080=CARTESIAN_POINT('',(2.462E1,-7.692553126812E-1,-1.995E1)); +#18081=LINE('',#18080,#18079); +#18082=DIRECTION('',(0.E0,0.E0,1.E0)); +#18083=VECTOR('',#18082,1.5E-1); +#18084=CARTESIAN_POINT('',(2.462E1,-6.245744788647E-1,-1.995E1)); +#18085=LINE('',#18084,#18083); +#18086=DIRECTION('',(-4.736951571734E-14,0.E0,1.E0)); +#18087=VECTOR('',#18086,1.5E-1); +#18088=CARTESIAN_POINT('',(2.468800003242E1,-6.245744788647E-1,-1.995E1)); +#18089=LINE('',#18088,#18087); +#18090=DIRECTION('',(0.E0,0.E0,1.E0)); +#18091=VECTOR('',#18090,1.5E-1); +#18092=CARTESIAN_POINT('',(2.479000003242E1,-5.522340619564E-1,-1.995E1)); +#18093=LINE('',#18092,#18091); +#18094=DIRECTION('',(0.E0,0.E0,1.E0)); +#18095=VECTOR('',#18094,1.5E-1); +#18096=CARTESIAN_POINT('',(2.4824E1,-4.798936450481E-1,-1.995E1)); +#18097=LINE('',#18096,#18095); +#18098=DIRECTION('',(0.E0,0.E0,1.E0)); +#18099=VECTOR('',#18098,1.5E-1); +#18100=CARTESIAN_POINT('',(2.485799996758E1,-3.352128112316E-1,-1.995E1)); +#18101=LINE('',#18100,#18099); +#18102=DIRECTION('',(0.E0,0.E0,1.E0)); +#18103=VECTOR('',#18102,1.5E-1); +#18104=CARTESIAN_POINT('',(2.485799996758E1,-2.628722929955E-1,-1.995E1)); +#18105=LINE('',#18104,#18103); +#18106=DIRECTION('',(0.E0,0.E0,1.E0)); +#18107=VECTOR('',#18106,1.5E-1); +#18108=CARTESIAN_POINT('',(2.4824E1,-1.543616676331E-1,-1.995E1)); +#18109=LINE('',#18108,#18107); +#18110=DIRECTION('',(0.E0,0.E0,1.E0)); +#18111=VECTOR('',#18110,1.5E-1); +#18112=CARTESIAN_POINT('',(2.4773E1,-8.202125072479E-2,-1.995E1)); +#18113=LINE('',#18112,#18111); +#18114=DIRECTION('',(0.E0,0.E0,1.E0)); +#18115=VECTOR('',#18114,1.5E-1); +#18116=CARTESIAN_POINT('',(2.468800003242E1,-4.585104227066E-2,-1.995E1)); +#18117=LINE('',#18116,#18115); +#18118=DIRECTION('',(0.E0,0.E0,1.E0)); +#18119=VECTOR('',#18118,1.5E-1); +#18120=CARTESIAN_POINT('',(2.462E1,-4.585104227066E-2,-1.995E1)); +#18121=LINE('',#18120,#18119); +#18122=DIRECTION('',(0.E0,0.E0,1.E0)); +#18123=VECTOR('',#18122,1.5E-1); +#18124=CARTESIAN_POINT('',(2.453500003242E1,-8.202125072479E-2,-1.995E1)); +#18125=LINE('',#18124,#18123); +#18126=DIRECTION('',(0.E0,0.E0,1.E0)); +#18127=VECTOR('',#18126,1.5E-1); +#18128=CARTESIAN_POINT('',(2.448400003242E1,-1.543616676331E-1,-1.995E1)); +#18129=LINE('',#18128,#18127); +#18130=DIRECTION('',(0.E0,0.E0,1.E0)); +#18131=VECTOR('',#18130,1.5E-1); +#18132=CARTESIAN_POINT('',(2.444999996758E1,-2.628722929955E-1,-1.995E1)); +#18133=LINE('',#18132,#18131); +#18134=DIRECTION('',(0.E0,0.E0,1.E0)); +#18135=VECTOR('',#18134,1.5E-1); +#18136=CARTESIAN_POINT('',(2.4365E1,-2.628722929955E-1,-1.995E1)); +#18137=LINE('',#18136,#18135); +#18138=DIRECTION('',(-2.368475785867E-14,0.E0,1.E0)); +#18139=VECTOR('',#18138,1.5E-1); +#18140=CARTESIAN_POINT('',(2.438199998379E1,-1.181914591789E-1,-1.995E1)); +#18141=LINE('',#18140,#18139); +#18142=DIRECTION('',(0.E0,0.E0,1.E0)); +#18143=VECTOR('',#18142,1.5E-1); +#18144=CARTESIAN_POINT('',(2.444999996758E1,2.648937463760E-2,-1.995E1)); +#18145=LINE('',#18144,#18143); +#18146=DIRECTION('',(0.E0,-1.313763912473E-14,1.E0)); +#18147=VECTOR('',#18146,1.5E-1); +#18148=CARTESIAN_POINT('',(2.4518E1,9.882979154587E-2,-1.995E1)); +#18149=LINE('',#18148,#18147); +#18150=DIRECTION('',(0.E0,0.E0,1.E0)); +#18151=VECTOR('',#18150,1.5E-1); +#18152=CARTESIAN_POINT('',(2.463700003242E1,1.35E-1,-1.995E1)); +#18153=LINE('',#18152,#18151); +#18154=DIRECTION('',(2.368475785867E-14,0.E0,1.E0)); +#18155=VECTOR('',#18154,1.5E-1); +#18156=CARTESIAN_POINT('',(2.4671E1,1.35E-1,-1.995E1)); +#18157=LINE('',#18156,#18155); +#18158=DIRECTION('',(0.E0,0.E0,1.E0)); +#18159=VECTOR('',#18158,1.5E-1); +#18160=CARTESIAN_POINT('',(2.479000003242E1,9.882979154587E-2,-1.995E1)); +#18161=LINE('',#18160,#18159); +#18162=DIRECTION('',(0.E0,-1.762479051592E-14,1.E0)); +#18163=VECTOR('',#18162,1.5E-1); +#18164=CARTESIAN_POINT('',(2.485799996758E1,2.648937463761E-2,-1.995E1)); +#18165=LINE('',#18164,#18163); +#18166=DIRECTION('',(0.E0,-5.329070518201E-14,1.E0)); +#18167=VECTOR('',#18166,1.5E-1); +#18168=CARTESIAN_POINT('',(2.4926E1,-1.181914591789E-1,-1.995E1)); +#18169=LINE('',#18168,#18167); +#18170=DIRECTION('',(0.E0,-1.776356839400E-14,1.E0)); +#18171=VECTOR('',#18170,1.5E-1); +#18172=CARTESIAN_POINT('',(2.494300003242E1,-2.628722929955E-1,-1.995E1)); +#18173=LINE('',#18172,#18171); +#18174=DIRECTION('',(0.E0,2.886579864025E-14,1.E0)); +#18175=VECTOR('',#18174,1.5E-1); +#18176=CARTESIAN_POINT('',(2.494300003242E1,-3.352128112316E-1,-1.995E1)); +#18177=LINE('',#18176,#18175); +#18178=DIRECTION('',(2.368475785867E-14,1.113923768041E-13,1.E0)); +#18179=VECTOR('',#18178,1.5E-1); +#18180=CARTESIAN_POINT('',(2.4926E1,-4.798936450482E-1,-1.995E1)); +#18181=LINE('',#18180,#18179); +#18182=DIRECTION('',(0.E0,-5.847174596359E-14,1.E0)); +#18183=VECTOR('',#18182,1.5E-1); +#18184=CARTESIAN_POINT('',(2.489200003242E1,-5.884042704105E-1,-1.995E1)); +#18185=LINE('',#18184,#18183); +#18186=DIRECTION('',(0.E0,0.E0,1.E0)); +#18187=VECTOR('',#18186,1.5E-1); +#18188=CARTESIAN_POINT('',(2.4824E1,-6.969148957729E-1,-1.995E1)); +#18189=LINE('',#18188,#18187); +#18190=DIRECTION('',(-4.736951571734E-14,3.552713678800E-14,1.E0)); +#18191=VECTOR('',#18190,1.5E-1); +#18192=CARTESIAN_POINT('',(2.490899996758E1,-7.692553126812E-1,-1.995E1)); +#18193=LINE('',#18192,#18191); +#18194=DIRECTION('',(4.736951571734E-14,-1.139828971948E-13,1.E0)); +#18195=VECTOR('',#18194,1.5E-1); +#18196=CARTESIAN_POINT('',(2.494300003242E1,-8.777659380436E-1,-1.995E1)); +#18197=LINE('',#18196,#18195); +#18198=DIRECTION('',(0.E0,0.E0,1.E0)); +#18199=VECTOR('',#18198,1.5E-1); +#18200=CARTESIAN_POINT('',(2.495999996758E1,-1.022446822524E0,-1.995E1)); +#18201=LINE('',#18200,#18199); +#18202=DIRECTION('',(0.E0,-1.332267629550E-14,1.E0)); +#18203=VECTOR('',#18202,1.5E-1); +#18204=CARTESIAN_POINT('',(2.495999996758E1,-1.167127656341E0,-1.995E1)); +#18205=LINE('',#18204,#18203); +#18206=DIRECTION('',(0.E0,-2.368475785867E-14,1.E0)); +#18207=VECTOR('',#18206,1.5E-1); +#18208=CARTESIAN_POINT('',(2.494300003242E1,-1.275638307035E0,-1.995E1)); +#18209=LINE('',#18208,#18207); +#18210=DIRECTION('',(0.E0,0.E0,1.E0)); +#18211=VECTOR('',#18210,1.5E-1); +#18212=CARTESIAN_POINT('',(4.946943749593E1,-6.457446694374E-1,-1.995E1)); +#18213=LINE('',#18212,#18211); +#18214=DIRECTION('',(0.E0,0.E0,1.E0)); +#18215=VECTOR('',#18214,1.5E-1); +#18216=CARTESIAN_POINT('',(4.935E1,-6.457446694374E-1,-1.995E1)); +#18217=LINE('',#18216,#18215); +#18218=DIRECTION('',(0.E0,0.E0,1.E0)); +#18219=VECTOR('',#18218,1.5E-1); +#18220=CARTESIAN_POINT('',(4.935E1,3.5E-1,-1.995E1)); +#18221=LINE('',#18220,#18219); +#18222=DIRECTION('',(0.E0,0.E0,1.E0)); +#18223=VECTOR('',#18222,1.5E-1); +#18224=CARTESIAN_POINT('',(4.993012498373E1,3.5E-1,-1.995E1)); +#18225=LINE('',#18224,#18223); +#18226=DIRECTION('',(0.E0,0.E0,1.E0)); +#18227=VECTOR('',#18226,1.5E-1); +#18228=CARTESIAN_POINT('',(4.993012498373E1,1.585106611252E-1,-1.995E1)); +#18229=LINE('',#18228,#18227); +#18230=DIRECTION('',(0.E0,0.E0,1.E0)); +#18231=VECTOR('',#18230,1.5E-1); +#18232=CARTESIAN_POINT('',(4.943531249797E1,1.585106611252E-1,-1.995E1)); +#18233=LINE('',#18232,#18231); +#18234=DIRECTION('',(0.E0,-2.997602166488E-14,1.E0)); +#18235=VECTOR('',#18234,1.5E-1); +#18236=CARTESIAN_POINT('',(4.943531249797E1,-4.542553305626E-1,-1.995E1)); +#18237=LINE('',#18236,#18235); +#18238=DIRECTION('',(4.736951571734E-14,2.997602166488E-14,1.E0)); +#18239=VECTOR('',#18238,1.5E-1); +#18240=CARTESIAN_POINT('',(4.952062499593E1,-3.393617272377E-1,-1.995E1)); +#18241=LINE('',#18240,#18239); +#18242=DIRECTION('',(-4.736951571734E-14,-1.258252761242E-14,1.E0)); +#18243=VECTOR('',#18242,1.5E-1); +#18244=CARTESIAN_POINT('',(4.96059375E1,-3.010638594627E-1,-1.995E1)); +#18245=LINE('',#18244,#18243); +#18246=DIRECTION('',(0.E0,0.E0,1.E0)); +#18247=VECTOR('',#18246,1.5E-1); +#18248=CARTESIAN_POINT('',(4.97083125E1,-3.010638594627E-1,-1.995E1)); +#18249=LINE('',#18248,#18247); +#18250=DIRECTION('',(0.E0,2.294460917559E-14,1.E0)); +#18251=VECTOR('',#18250,1.5E-1); +#18252=CARTESIAN_POINT('',(4.979362501627E1,-3.393617272377E-1,-1.995E1)); +#18253=LINE('',#18252,#18251); +#18254=DIRECTION('',(9.473903143468E-14,-9.362880841005E-14,1.E0)); +#18255=VECTOR('',#18254,1.5E-1); +#18256=CARTESIAN_POINT('',(4.987893748373E1,-4.542553305626E-1,-1.995E1)); +#18257=LINE('',#18256,#18255); +#18258=DIRECTION('',(4.736951571734E-14,-3.330669073875E-14,1.E0)); +#18259=VECTOR('',#18258,1.5E-1); +#18260=CARTESIAN_POINT('',(4.993012498373E1,-6.074468016624E-1,-1.995E1)); +#18261=LINE('',#18260,#18259); +#18262=DIRECTION('',(0.E0,4.736951571734E-14,1.E0)); +#18263=VECTOR('',#18262,1.5E-1); +#18264=CARTESIAN_POINT('',(4.996425E1,-7.989361941814E-1,-1.995E1)); +#18265=LINE('',#18264,#18263); +#18266=DIRECTION('',(0.E0,-1.465494392505E-13,1.E0)); +#18267=VECTOR('',#18266,1.5E-1); +#18268=CARTESIAN_POINT('',(4.996425E1,-9.521276652813E-1,-1.995E1)); +#18269=LINE('',#18268,#18267); +#18270=DIRECTION('',(4.736951571734E-14,2.353672812205E-13,1.E0)); +#18271=VECTOR('',#18270,1.5E-1); +#18272=CARTESIAN_POINT('',(4.993012498373E1,-1.143617030978E0,-1.995E1)); +#18273=LINE('',#18272,#18271); +#18274=DIRECTION('',(9.473903143468E-14,7.549516567451E-14,1.E0)); +#18275=VECTOR('',#18274,1.5E-1); +#18276=CARTESIAN_POINT('',(4.987893748373E1,-1.296808515489E0,-1.995E1)); +#18277=LINE('',#18276,#18275); +#18278=DIRECTION('',(-9.473903143468E-14,-3.256654205567E-14,1.E0)); +#18279=VECTOR('',#18278,1.5E-1); +#18280=CARTESIAN_POINT('',(4.979362501627E1,-1.411702128872E0,-1.995E1)); +#18281=LINE('',#18280,#18279); +#18282=DIRECTION('',(0.E0,0.E0,1.E0)); +#18283=VECTOR('',#18282,1.5E-1); +#18284=CARTESIAN_POINT('',(4.97083125E1,-1.45E0,-1.995E1)); +#18285=LINE('',#18284,#18283); +#18286=DIRECTION('',(0.E0,0.E0,1.E0)); +#18287=VECTOR('',#18286,1.5E-1); +#18288=CARTESIAN_POINT('',(4.96059375E1,-1.45E0,-1.995E1)); +#18289=LINE('',#18288,#18287); +#18290=DIRECTION('',(0.E0,3.256654205567E-14,1.E0)); +#18291=VECTOR('',#18290,1.5E-1); +#18292=CARTESIAN_POINT('',(4.952062499593E1,-1.411702128872E0,-1.995E1)); +#18293=LINE('',#18292,#18291); +#18294=DIRECTION('',(0.E0,0.E0,1.E0)); +#18295=VECTOR('',#18294,1.5E-1); +#18296=CARTESIAN_POINT('',(4.943531249797E1,-1.296808515489E0,-1.995E1)); +#18297=LINE('',#18296,#18295); +#18298=DIRECTION('',(1.421085471520E-13,-4.352074256531E-13,1.E0)); +#18299=VECTOR('',#18298,1.5E-1); +#18300=CARTESIAN_POINT('',(4.938412500102E1,-1.143617030978E0,-1.995E1)); +#18301=LINE('',#18300,#18299); +#18302=DIRECTION('',(0.E0,0.E0,1.E0)); +#18303=VECTOR('',#18302,1.5E-1); +#18304=CARTESIAN_POINT('',(4.935E1,-9.904255330563E-1,-1.995E1)); +#18305=LINE('',#18304,#18303); +#18306=DIRECTION('',(0.E0,0.E0,1.E0)); +#18307=VECTOR('',#18306,1.5E-1); +#18308=CARTESIAN_POINT('',(4.9452375E1,-9.904255330563E-1,-1.995E1)); +#18309=LINE('',#18308,#18307); +#18310=DIRECTION('',(0.E0,9.029813933618E-14,1.E0)); +#18311=VECTOR('',#18310,1.5E-1); +#18312=CARTESIAN_POINT('',(4.948650000407E1,-1.143617030978E0,-1.995E1)); +#18313=LINE('',#18312,#18311); +#18314=DIRECTION('',(0.E0,0.E0,1.E0)); +#18315=VECTOR('',#18314,1.5E-1); +#18316=CARTESIAN_POINT('',(4.953768750407E1,-1.220212766528E0,-1.995E1)); +#18317=LINE('',#18316,#18315); +#18318=DIRECTION('',(0.E0,0.E0,1.E0)); +#18319=VECTOR('',#18318,1.5E-1); +#18320=CARTESIAN_POINT('',(4.96059375E1,-1.258510634303E0,-1.995E1)); +#18321=LINE('',#18320,#18319); +#18322=DIRECTION('',(0.E0,0.E0,1.E0)); +#18323=VECTOR('',#18322,1.5E-1); +#18324=CARTESIAN_POINT('',(4.97083125E1,-1.258510634303E0,-1.995E1)); +#18325=LINE('',#18324,#18323); +#18326=DIRECTION('',(-4.736951571734E-14,-3.552713678800E-14,1.E0)); +#18327=VECTOR('',#18326,1.5E-1); +#18328=CARTESIAN_POINT('',(4.977656248373E1,-1.220212766528E0,-1.995E1)); +#18329=LINE('',#18328,#18327); +#18330=DIRECTION('',(0.E0,-3.404683942184E-14,1.E0)); +#18331=VECTOR('',#18330,1.5E-1); +#18332=CARTESIAN_POINT('',(4.982774998373E1,-1.143617030978E0,-1.995E1)); +#18333=LINE('',#18332,#18331); +#18334=DIRECTION('',(9.473903143468E-14,1.702341971092E-13,1.E0)); +#18335=VECTOR('',#18334,1.5E-1); +#18336=CARTESIAN_POINT('',(4.9861875E1,-1.028723400831E0,-1.995E1)); +#18337=LINE('',#18336,#18335); +#18338=DIRECTION('',(0.E0,-4.514906966809E-14,1.E0)); +#18339=VECTOR('',#18338,1.5E-1); +#18340=CARTESIAN_POINT('',(4.987893748373E1,-9.138297975063E-1,-1.995E1)); +#18341=LINE('',#18340,#18339); +#18342=DIRECTION('',(0.E0,-3.404683942184E-14,1.E0)); +#18343=VECTOR('',#18342,1.5E-1); +#18344=CARTESIAN_POINT('',(4.987893748373E1,-8.372340619564E-1,-1.995E1)); +#18345=LINE('',#18344,#18343); +#18346=DIRECTION('',(-4.736951571734E-14,2.324066864882E-13,1.E0)); +#18347=VECTOR('',#18346,1.5E-1); +#18348=CARTESIAN_POINT('',(4.9861875E1,-7.223404049874E-1,-1.995E1)); +#18349=LINE('',#18348,#18347); +#18350=DIRECTION('',(0.E0,-2.442490654175E-14,1.E0)); +#18351=VECTOR('',#18350,1.5E-1); +#18352=CARTESIAN_POINT('',(4.982774998373E1,-6.074468016624E-1,-1.995E1)); +#18353=LINE('',#18352,#18351); +#18354=DIRECTION('',(0.E0,0.E0,1.E0)); +#18355=VECTOR('',#18354,1.5E-1); +#18356=CARTESIAN_POINT('',(4.977656248373E1,-5.308510661125E-1,-1.995E1)); +#18357=LINE('',#18356,#18355); +#18358=DIRECTION('',(-4.736951571734E-14,0.E0,1.E0)); +#18359=VECTOR('',#18358,1.5E-1); +#18360=CARTESIAN_POINT('',(4.97083125E1,-4.925531983376E-1,-1.995E1)); +#18361=LINE('',#18360,#18359); +#18362=DIRECTION('',(-4.736951571734E-14,0.E0,1.E0)); +#18363=VECTOR('',#18362,1.5E-1); +#18364=CARTESIAN_POINT('',(4.96059375E1,-4.925531983376E-1,-1.995E1)); +#18365=LINE('',#18364,#18363); +#18366=DIRECTION('',(0.E0,0.E0,1.E0)); +#18367=VECTOR('',#18366,1.5E-1); +#18368=CARTESIAN_POINT('',(4.953768750407E1,-5.308510661125E-1,-1.995E1)); +#18369=LINE('',#18368,#18367); +#18370=DIRECTION('',(0.E0,0.E0,1.E0)); +#18371=VECTOR('',#18370,1.5E-1); +#18372=CARTESIAN_POINT('',(5.013487498373E1,-1.028723400831E0,-1.995E1)); +#18373=LINE('',#18372,#18371); +#18374=DIRECTION('',(0.E0,0.E0,1.E0)); +#18375=VECTOR('',#18374,1.5E-1); +#18376=CARTESIAN_POINT('',(5.02201875E1,-1.028723400831E0,-1.995E1)); +#18377=LINE('',#18376,#18375); +#18378=DIRECTION('',(9.473903143468E-14,-9.325873406851E-14,1.E0)); +#18379=VECTOR('',#18378,1.5E-1); +#18380=CARTESIAN_POINT('',(5.025431246746E1,-1.143617030978E0,-1.995E1)); +#18381=LINE('',#18380,#18379); +#18382=DIRECTION('',(0.E0,0.E0,1.E0)); +#18383=VECTOR('',#18382,1.5E-1); +#18384=CARTESIAN_POINT('',(5.030549996746E1,-1.220212766528E0,-1.995E1)); +#18385=LINE('',#18384,#18383); +#18386=DIRECTION('',(0.E0,0.E0,1.E0)); +#18387=VECTOR('',#18386,1.5E-1); +#18388=CARTESIAN_POINT('',(5.035668746746E1,-1.258510634303E0,-1.995E1)); +#18389=LINE('',#18388,#18387); +#18390=DIRECTION('',(0.E0,0.E0,1.E0)); +#18391=VECTOR('',#18390,1.5E-1); +#18392=CARTESIAN_POINT('',(5.045906246746E1,-1.258510634303E0,-1.995E1)); +#18393=LINE('',#18392,#18391); +#18394=DIRECTION('',(0.E0,0.E0,1.E0)); +#18395=VECTOR('',#18394,1.5E-1); +#18396=CARTESIAN_POINT('',(5.051024996746E1,-1.220212766528E0,-1.995E1)); +#18397=LINE('',#18396,#18395); +#18398=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#18399=VECTOR('',#18398,1.5E-1); +#18400=CARTESIAN_POINT('',(5.056143746746E1,-1.143617030978E0,-1.995E1)); +#18401=LINE('',#18400,#18399); +#18402=DIRECTION('',(0.E0,3.996802888651E-14,1.E0)); +#18403=VECTOR('',#18402,1.5E-1); +#18404=CARTESIAN_POINT('',(5.059556253254E1,-1.028723400831E0,-1.995E1)); +#18405=LINE('',#18404,#18403); +#18406=DIRECTION('',(0.E0,-3.167836363597E-13,1.E0)); +#18407=VECTOR('',#18406,1.5E-1); +#18408=CARTESIAN_POINT('',(5.061262496746E1,-9.138297975063E-1,-1.995E1)); +#18409=LINE('',#18408,#18407); +#18410=DIRECTION('',(0.E0,-2.464695114668E-13,1.E0)); +#18411=VECTOR('',#18410,1.5E-1); +#18412=CARTESIAN_POINT('',(5.06296875E1,-6.840425372123E-1,-1.995E1)); +#18413=LINE('',#18412,#18411); +#18414=DIRECTION('',(0.E0,3.626728547109E-14,1.E0)); +#18415=VECTOR('',#18414,1.5E-1); +#18416=CARTESIAN_POINT('',(5.06296875E1,-6.074468016625E-1,-1.995E1)); +#18417=LINE('',#18416,#18415); +#18418=DIRECTION('',(0.E0,0.E0,1.E0)); +#18419=VECTOR('',#18418,1.5E-1); +#18420=CARTESIAN_POINT('',(5.05273125E1,-7.606382727623E-1,-1.995E1)); +#18421=LINE('',#18420,#18419); +#18422=DIRECTION('',(-4.736951571734E-14,0.E0,1.E0)); +#18423=VECTOR('',#18422,1.5E-1); +#18424=CARTESIAN_POINT('',(5.044200003254E1,-7.989361941814E-1,-1.995E1)); +#18425=LINE('',#18424,#18423); +#18426=DIRECTION('',(0.E0,0.E0,1.E0)); +#18427=VECTOR('',#18426,1.5E-1); +#18428=CARTESIAN_POINT('',(5.035668746746E1,-7.989361941814E-1,-1.995E1)); +#18429=LINE('',#18428,#18427); +#18430=DIRECTION('',(0.E0,0.E0,1.E0)); +#18431=VECTOR('',#18430,1.5E-1); +#18432=CARTESIAN_POINT('',(5.0271375E1,-7.606382727623E-1,-1.995E1)); +#18433=LINE('',#18432,#18431); +#18434=DIRECTION('',(0.E0,0.E0,1.E0)); +#18435=VECTOR('',#18434,1.5E-1); +#18436=CARTESIAN_POINT('',(5.018606253254E1,-6.457446694374E-1,-1.995E1)); +#18437=LINE('',#18436,#18435); +#18438=DIRECTION('',(-1.421085471520E-13,3.252953462152E-13,1.E0)); +#18439=VECTOR('',#18438,1.5E-1); +#18440=CARTESIAN_POINT('',(5.013487498373E1,-4.925531983376E-1,-1.995E1)); +#18441=LINE('',#18440,#18439); +#18442=DIRECTION('',(0.E0,-8.067620645609E-14,1.E0)); +#18443=VECTOR('',#18442,1.5E-1); +#18444=CARTESIAN_POINT('',(5.010075001627E1,-3.010638594627E-1,-1.995E1)); +#18445=LINE('',#18444,#18443); +#18446=DIRECTION('',(0.E0,2.146431180942E-14,1.E0)); +#18447=VECTOR('',#18446,1.5E-1); +#18448=CARTESIAN_POINT('',(5.010075001627E1,-1.478723883629E-1,-1.995E1)); +#18449=LINE('',#18448,#18447); +#18450=DIRECTION('',(-4.736951571734E-14,-1.319315027596E-13,1.E0)); +#18451=VECTOR('',#18450,1.5E-1); +#18452=CARTESIAN_POINT('',(5.013487498373E1,4.361705780031E-2,-1.995E1)); +#18453=LINE('',#18452,#18451); +#18454=DIRECTION('',(0.E0,0.E0,1.E0)); +#18455=VECTOR('',#18454,1.5E-1); +#18456=CARTESIAN_POINT('',(5.018606253254E1,1.968085289001E-1,-1.995E1)); +#18457=LINE('',#18456,#18455); +#18458=DIRECTION('',(0.E0,0.E0,1.E0)); +#18459=VECTOR('',#18458,1.5E-1); +#18460=CARTESIAN_POINT('',(5.0271375E1,3.117021322250E-1,-1.995E1)); +#18461=LINE('',#18460,#18459); +#18462=DIRECTION('',(0.E0,0.E0,1.E0)); +#18463=VECTOR('',#18462,1.5E-1); +#18464=CARTESIAN_POINT('',(5.035668746746E1,3.5E-1,-1.995E1)); +#18465=LINE('',#18464,#18463); +#18466=DIRECTION('',(0.E0,0.E0,1.E0)); +#18467=VECTOR('',#18466,1.5E-1); +#18468=CARTESIAN_POINT('',(5.044200003254E1,3.5E-1,-1.995E1)); +#18469=LINE('',#18468,#18467); +#18470=DIRECTION('',(0.E0,0.E0,1.E0)); +#18471=VECTOR('',#18470,1.5E-1); +#18472=CARTESIAN_POINT('',(5.05273125E1,3.117021322250E-1,-1.995E1)); +#18473=LINE('',#18472,#18471); +#18474=DIRECTION('',(0.E0,-1.646830819861E-14,1.E0)); +#18475=VECTOR('',#18474,1.5E-1); +#18476=CARTESIAN_POINT('',(5.061262496746E1,1.968085289001E-1,-1.995E1)); +#18477=LINE('',#18476,#18475); +#18478=DIRECTION('',(-1.421085471520E-13,3.990326587674E-13,1.E0)); +#18479=VECTOR('',#18478,1.5E-1); +#18480=CARTESIAN_POINT('',(5.066381246746E1,4.361705780023E-2,-1.995E1)); +#18481=LINE('',#18480,#18479); +#18482=DIRECTION('',(0.E0,3.626728547109E-14,1.E0)); +#18483=VECTOR('',#18482,1.5E-1); +#18484=CARTESIAN_POINT('',(5.069793753254E1,-1.478723883629E-1,-1.995E1)); +#18485=LINE('',#18484,#18483); +#18486=DIRECTION('',(0.E0,-8.696747026230E-14,1.E0)); +#18487=VECTOR('',#18486,1.5E-1); +#18488=CARTESIAN_POINT('',(5.071499996746E1,-4.159574627876E-1,-1.995E1)); +#18489=LINE('',#18488,#18487); +#18490=DIRECTION('',(0.E0,-1.095420050963E-13,1.E0)); +#18491=VECTOR('',#18490,1.5E-1); +#18492=CARTESIAN_POINT('',(5.071499996746E1,-6.840425372124E-1,-1.995E1)); +#18493=LINE('',#18492,#18491); +#18494=DIRECTION('',(9.473903143468E-14,4.603724808779E-13,1.E0)); +#18495=VECTOR('',#18494,1.5E-1); +#18496=CARTESIAN_POINT('',(5.069793753254E1,-9.521276652814E-1,-1.995E1)); +#18497=LINE('',#18496,#18495); +#18498=DIRECTION('',(0.E0,1.687538997430E-13,1.E0)); +#18499=VECTOR('',#18498,1.5E-1); +#18500=CARTESIAN_POINT('',(5.066381246746E1,-1.143617030978E0,-1.995E1)); +#18501=LINE('',#18500,#18499); +#18502=DIRECTION('',(0.E0,0.E0,1.E0)); +#18503=VECTOR('',#18502,1.5E-1); +#18504=CARTESIAN_POINT('',(5.061262496746E1,-1.296808515489E0,-1.995E1)); +#18505=LINE('',#18504,#18503); +#18506=DIRECTION('',(0.E0,-1.776356839400E-14,1.E0)); +#18507=VECTOR('',#18506,1.5E-1); +#18508=CARTESIAN_POINT('',(5.05273125E1,-1.411702128872E0,-1.995E1)); +#18509=LINE('',#18508,#18507); +#18510=DIRECTION('',(-4.736951571734E-14,0.E0,1.E0)); +#18511=VECTOR('',#18510,1.5E-1); +#18512=CARTESIAN_POINT('',(5.044200003254E1,-1.45E0,-1.995E1)); +#18513=LINE('',#18512,#18511); +#18514=DIRECTION('',(-9.473903143468E-14,1.036208156317E-14,1.E0)); +#18515=VECTOR('',#18514,1.5E-1); +#18516=CARTESIAN_POINT('',(5.037375E1,-1.45E0,-1.995E1)); +#18517=LINE('',#18516,#18515); +#18518=DIRECTION('',(4.736951571734E-14,-3.108624468950E-14,1.E0)); +#18519=VECTOR('',#18518,1.5E-1); +#18520=CARTESIAN_POINT('',(5.028843753254E1,-1.411702128872E0,-1.995E1)); +#18521=LINE('',#18520,#18519); +#18522=DIRECTION('',(0.E0,3.256654205567E-14,1.E0)); +#18523=VECTOR('',#18522,1.5E-1); +#18524=CARTESIAN_POINT('',(5.020312496746E1,-1.296808515489E0,-1.995E1)); +#18525=LINE('',#18524,#18523); +#18526=DIRECTION('',(0.E0,-2.220446049250E-14,1.E0)); +#18527=VECTOR('',#18526,1.5E-1); +#18528=CARTESIAN_POINT('',(5.015193751627E1,-1.143617030978E0,-1.995E1)); +#18529=LINE('',#18528,#18527); +#18530=DIRECTION('',(0.E0,1.469195135921E-13,1.E0)); +#18531=VECTOR('',#18530,1.5E-1); +#18532=CARTESIAN_POINT('',(5.061262496746E1,-2.627659916878E-1,-1.995E1)); +#18533=LINE('',#18532,#18531); +#18534=DIRECTION('',(0.E0,1.569115208137E-13,1.E0)); +#18535=VECTOR('',#18534,1.5E-1); +#18536=CARTESIAN_POINT('',(5.061262496746E1,-1.861702561379E-1,-1.995E1)); +#18537=LINE('',#18536,#18535); +#18538=DIRECTION('',(4.736951571734E-14,-3.689641185171E-13,1.E0)); +#18539=VECTOR('',#18538,1.5E-1); +#18540=CARTESIAN_POINT('',(5.059556253254E1,-7.127654552454E-2,-1.995E1)); +#18541=LINE('',#18540,#18539); +#18542=DIRECTION('',(-4.736951571734E-14,8.641235874999E-14,1.E0)); +#18543=VECTOR('',#18542,1.5E-1); +#18544=CARTESIAN_POINT('',(5.056143746746E1,4.361705780028E-2,-1.995E1)); +#18545=LINE('',#18544,#18543); +#18546=DIRECTION('',(0.E0,-3.016105883565E-14,1.E0)); +#18547=VECTOR('',#18546,1.5E-1); +#18548=CARTESIAN_POINT('',(5.051024996746E1,1.202127933502E-1,-1.995E1)); +#18549=LINE('',#18548,#18547); +#18550=DIRECTION('',(0.E0,0.E0,1.E0)); +#18551=VECTOR('',#18550,1.5E-1); +#18552=CARTESIAN_POINT('',(5.045906246746E1,1.585106611252E-1,-1.995E1)); +#18553=LINE('',#18552,#18551); +#18554=DIRECTION('',(0.E0,0.E0,1.E0)); +#18555=VECTOR('',#18554,1.5E-1); +#18556=CARTESIAN_POINT('',(5.033962503254E1,1.585106611252E-1,-1.995E1)); +#18557=LINE('',#18556,#18555); +#18558=DIRECTION('',(0.E0,0.E0,1.E0)); +#18559=VECTOR('',#18558,1.5E-1); +#18560=CARTESIAN_POINT('',(5.028843753254E1,1.202127933502E-1,-1.995E1)); +#18561=LINE('',#18560,#18559); +#18562=DIRECTION('',(0.E0,0.E0,1.E0)); +#18563=VECTOR('',#18562,1.5E-1); +#18564=CARTESIAN_POINT('',(5.023725003254E1,4.361705780029E-2,-1.995E1)); +#18565=LINE('',#18564,#18563); +#18566=DIRECTION('',(9.473903143468E-14,4.255854927730E-13,1.E0)); +#18567=VECTOR('',#18566,1.5E-1); +#18568=CARTESIAN_POINT('',(5.020312496746E1,-7.127654552466E-2,-1.995E1)); +#18569=LINE('',#18568,#18567); +#18570=DIRECTION('',(0.E0,1.539509260814E-13,1.E0)); +#18571=VECTOR('',#18570,1.5E-1); +#18572=CARTESIAN_POINT('',(5.018606253254E1,-1.861702561379E-1,-1.995E1)); +#18573=LINE('',#18572,#18571); +#18574=DIRECTION('',(0.E0,1.450691418844E-13,1.E0)); +#18575=VECTOR('',#18574,1.5E-1); +#18576=CARTESIAN_POINT('',(5.018606253254E1,-2.627659916878E-1,-1.995E1)); +#18577=LINE('',#18576,#18575); +#18578=DIRECTION('',(0.E0,5.033011044967E-14,1.E0)); +#18579=VECTOR('',#18578,1.5E-1); +#18580=CARTESIAN_POINT('',(5.020312496746E1,-3.776595950127E-1,-1.995E1)); +#18581=LINE('',#18580,#18579); +#18582=DIRECTION('',(0.E0,0.E0,1.E0)); +#18583=VECTOR('',#18582,1.5E-1); +#18584=CARTESIAN_POINT('',(5.023725003254E1,-4.925531983376E-1,-1.995E1)); +#18585=LINE('',#18584,#18583); +#18586=DIRECTION('',(0.E0,0.E0,1.E0)); +#18587=VECTOR('',#18586,1.5E-1); +#18588=CARTESIAN_POINT('',(5.028843753254E1,-5.691489338875E-1,-1.995E1)); +#18589=LINE('',#18588,#18587); +#18590=DIRECTION('',(4.736951571734E-14,0.E0,1.E0)); +#18591=VECTOR('',#18590,1.5E-1); +#18592=CARTESIAN_POINT('',(5.033962503254E1,-6.074468016624E-1,-1.995E1)); +#18593=LINE('',#18592,#18591); +#18594=DIRECTION('',(0.E0,0.E0,1.E0)); +#18595=VECTOR('',#18594,1.5E-1); +#18596=CARTESIAN_POINT('',(5.045906246746E1,-6.074468016624E-1,-1.995E1)); +#18597=LINE('',#18596,#18595); +#18598=DIRECTION('',(4.736951571734E-14,2.664535259100E-14,1.E0)); +#18599=VECTOR('',#18598,1.5E-1); +#18600=CARTESIAN_POINT('',(5.051024996746E1,-5.691489338875E-1,-1.995E1)); +#18601=LINE('',#18600,#18599); +#18602=DIRECTION('',(-1.421085471520E-13,-1.891079885278E-13,1.E0)); +#18603=VECTOR('',#18602,1.5E-1); +#18604=CARTESIAN_POINT('',(5.056143746746E1,-4.925531983375E-1,-1.995E1)); +#18605=LINE('',#18604,#18603); +#18606=DIRECTION('',(0.E0,2.771856818147E-13,1.E0)); +#18607=VECTOR('',#18606,1.5E-1); +#18608=CARTESIAN_POINT('',(5.059556253254E1,-3.776595950127E-1,-1.995E1)); +#18609=LINE('',#18608,#18607); +#18610=DIRECTION('',(1.E0,0.E0,0.E0)); +#18611=VECTOR('',#18610,4.2E0); +#18612=CARTESIAN_POINT('',(-5.495E1,3.63E0,-1.885E1)); +#18613=LINE('',#18612,#18611); +#18614=DIRECTION('',(0.E0,0.E0,1.E0)); +#18615=VECTOR('',#18614,1.1E0); +#18616=CARTESIAN_POINT('',(-5.495E1,3.63E0,-1.995E1)); +#18617=LINE('',#18616,#18615); +#18618=DIRECTION('',(-4.472135955E-1,4.472135955E-1,7.745966692415E-1)); +#18619=VECTOR('',#18618,1.549193338483E0); +#18620=CARTESIAN_POINT('',(-5.075E1,3.63E0,-1.885E1)); +#18621=LINE('',#18620,#18619); +#18622=DIRECTION('',(4.472135955E-1,4.472135955E-1,7.745966692415E-1)); +#18623=VECTOR('',#18622,1.549193338483E0); +#18624=CARTESIAN_POINT('',(-5.495E1,3.63E0,-1.885E1)); +#18625=LINE('',#18624,#18623); +#18626=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18627=VECTOR('',#18626,2.E0); +#18628=CARTESIAN_POINT('',(-5.495E1,5.63E0,-1.885E1)); +#18629=LINE('',#18628,#18627); +#18630=DIRECTION('',(0.E0,0.E0,1.E0)); +#18631=VECTOR('',#18630,1.1E0); +#18632=CARTESIAN_POINT('',(-5.495E1,5.63E0,-1.995E1)); +#18633=LINE('',#18632,#18631); +#18634=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18635=VECTOR('',#18634,4.2E0); +#18636=CARTESIAN_POINT('',(-5.075E1,5.63E0,-1.885E1)); +#18637=LINE('',#18636,#18635); +#18638=DIRECTION('',(0.E0,0.E0,1.E0)); +#18639=VECTOR('',#18638,1.1E0); +#18640=CARTESIAN_POINT('',(-5.075E1,5.63E0,-1.995E1)); +#18641=LINE('',#18640,#18639); +#18642=DIRECTION('',(4.472135955E-1,-4.472135955E-1,7.745966692415E-1)); +#18643=VECTOR('',#18642,1.549193338483E0); +#18644=CARTESIAN_POINT('',(-5.495E1,5.63E0,-1.885E1)); +#18645=LINE('',#18644,#18643); +#18646=DIRECTION('',(-4.472135955E-1,-4.472135955E-1,7.745966692415E-1)); +#18647=VECTOR('',#18646,1.549193338483E0); +#18648=CARTESIAN_POINT('',(-5.075E1,5.63E0,-1.885E1)); +#18649=LINE('',#18648,#18647); +#18650=DIRECTION('',(0.E0,1.E0,0.E0)); +#18651=VECTOR('',#18650,2.E0); +#18652=CARTESIAN_POINT('',(-5.075E1,3.63E0,-1.885E1)); +#18653=LINE('',#18652,#18651); +#18654=DIRECTION('',(0.E0,0.E0,1.E0)); +#18655=VECTOR('',#18654,1.1E0); +#18656=CARTESIAN_POINT('',(-5.075E1,3.63E0,-1.995E1)); +#18657=LINE('',#18656,#18655); +#18658=DIRECTION('',(-9.991923308628E-1,2.786200494747E-2,-2.895504490169E-2)); +#18659=VECTOR('',#18658,7.185480463255E-3); +#18660=CARTESIAN_POINT('',(-5.144282032303E1,4.937179676972E0,-1.765E1)); +#18661=LINE('',#18660,#18659); +#18662=DIRECTION('',(0.E0,1.E0,0.E0)); +#18663=VECTOR('',#18662,6.143593539449E-1); +#18664=CARTESIAN_POINT('',(-5.144282032303E1,4.322820323028E0,-1.765E1)); +#18665=LINE('',#18664,#18663); +#18666=DIRECTION('',(9.991923308628E-1,2.786200494772E-2,2.895504490267E-2)); +#18667=VECTOR('',#18666,7.185480463255E-3); +#18668=CARTESIAN_POINT('',(-5.145E1,4.322620121135E0,-1.765020805591E1)); +#18669=LINE('',#18668,#18667); +#18670=DIRECTION('',(-9.991923308628E-1,2.786200494747E-2,-2.895504490218E-2)); +#18671=VECTOR('',#18670,7.185480463255E-3); +#18672=CARTESIAN_POINT('',(-4.494282032303E1,4.937179676972E0,-1.765E1)); +#18673=LINE('',#18672,#18671); +#18674=DIRECTION('',(0.E0,1.E0,0.E0)); +#18675=VECTOR('',#18674,6.143593539449E-1); +#18676=CARTESIAN_POINT('',(-4.494282032303E1,4.322820323028E0,-1.765E1)); +#18677=LINE('',#18676,#18675); +#18678=DIRECTION('',(9.991923308628E-1,2.786200494772E-2,2.895504490267E-2)); +#18679=VECTOR('',#18678,7.185480463255E-3); +#18680=CARTESIAN_POINT('',(-4.495E1,4.322620121135E0,-1.765020805591E1)); +#18681=LINE('',#18680,#18679); +#18682=DIRECTION('',(-9.991923308628E-1,2.786200494755E-2,-2.895504490201E-2)); +#18683=VECTOR('',#18682,7.185480463297E-3); +#18684=CARTESIAN_POINT('',(-5.469282032303E1,-1.062820323028E0,-1.765E1)); +#18685=LINE('',#18684,#18683); +#18686=DIRECTION('',(0.E0,1.E0,0.E0)); +#18687=VECTOR('',#18686,6.143593539449E-1); +#18688=CARTESIAN_POINT('',(-5.469282032303E1,-1.677179676972E0,-1.765E1)); +#18689=LINE('',#18688,#18687); +#18690=DIRECTION('',(9.991923308628E-1,2.786200494764E-2,2.895504490250E-2)); +#18691=VECTOR('',#18690,7.185480463298E-3); +#18692=CARTESIAN_POINT('',(-5.47E1,-1.677379878865E0,-1.765020805591E1)); +#18693=LINE('',#18692,#18691); +#18694=DIRECTION('',(-9.991923308628E-1,2.786200494755E-2,-2.895504490201E-2)); +#18695=VECTOR('',#18694,7.185480463297E-3); +#18696=CARTESIAN_POINT('',(-4.819282032303E1,-1.062820323028E0,-1.765E1)); +#18697=LINE('',#18696,#18695); +#18698=DIRECTION('',(0.E0,1.E0,0.E0)); +#18699=VECTOR('',#18698,6.143593539449E-1); +#18700=CARTESIAN_POINT('',(-4.819282032303E1,-1.677179676972E0,-1.765E1)); +#18701=LINE('',#18700,#18699); +#18702=DIRECTION('',(9.991923308628E-1,2.786200494764E-2,2.895504490250E-2)); +#18703=VECTOR('',#18702,7.185480463298E-3); +#18704=CARTESIAN_POINT('',(-4.82E1,-1.677379878865E0,-1.765020805591E1)); +#18705=LINE('',#18704,#18703); +#18706=DIRECTION('',(9.991923308628E-1,-2.786200494764E-2,-2.895504490201E-2)); +#18707=VECTOR('',#18706,7.185480463297E-3); +#18708=CARTESIAN_POINT('',(-5.750717967697E1,-1.677179676972E0,-1.765E1)); +#18709=LINE('',#18708,#18707); +#18710=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18711=VECTOR('',#18710,6.143593539449E-1); +#18712=CARTESIAN_POINT('',(-5.750717967697E1,-1.062820323028E0,-1.765E1)); +#18713=LINE('',#18712,#18711); +#18714=DIRECTION('',(-9.991923308628E-1,-2.786200494755E-2,2.895504490250E-2)); +#18715=VECTOR('',#18714,7.185480463298E-3); +#18716=CARTESIAN_POINT('',(-5.75E1,-1.062620121135E0,-1.765020805591E1)); +#18717=LINE('',#18716,#18715); +#18718=DIRECTION('',(9.991923308628E-1,-2.786200494770E-2,-2.895504490206E-2)); +#18719=VECTOR('',#18718,7.185480463283E-3); +#18720=CARTESIAN_POINT('',(-5.100717967697E1,-1.677179676972E0,-1.765E1)); +#18721=LINE('',#18720,#18719); +#18722=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18723=VECTOR('',#18722,6.143593539449E-1); +#18724=CARTESIAN_POINT('',(-5.100717967697E1,-1.062820323028E0,-1.765E1)); +#18725=LINE('',#18724,#18723); +#18726=DIRECTION('',(-9.991923308628E-1,-2.786200494761E-2,2.895504490256E-2)); +#18727=VECTOR('',#18726,7.185480463283E-3); +#18728=CARTESIAN_POINT('',(-5.1E1,-1.062620121135E0,-1.765020805591E1)); +#18729=LINE('',#18728,#18727); +#18730=DIRECTION('',(9.991923308628E-1,-2.786200494774E-2,-2.895504490270E-2)); +#18731=VECTOR('',#18730,7.185480463248E-3); +#18732=CARTESIAN_POINT('',(-5.425717967697E1,4.322820323028E0,-1.765E1)); +#18733=LINE('',#18732,#18731); +#18734=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18735=VECTOR('',#18734,6.143593539449E-1); +#18736=CARTESIAN_POINT('',(-5.425717967697E1,4.937179676972E0,-1.765E1)); +#18737=LINE('',#18736,#18735); +#18738=DIRECTION('',(-9.991923308628E-1,-2.786200494737E-2,2.895504490122E-2)); +#18739=VECTOR('',#18738,7.185480463247E-3); +#18740=CARTESIAN_POINT('',(-5.425E1,4.937379878865E0,-1.765020805591E1)); +#18741=LINE('',#18740,#18739); +#18742=DIRECTION('',(9.991923308628E-1,-2.786200494772E-2,-2.895504490267E-2)); +#18743=VECTOR('',#18742,7.185480463255E-3); +#18744=CARTESIAN_POINT('',(-4.775717967697E1,4.322820323028E0,-1.765E1)); +#18745=LINE('',#18744,#18743); +#18746=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18747=VECTOR('',#18746,6.143593539449E-1); +#18748=CARTESIAN_POINT('',(-4.775717967697E1,4.937179676972E0,-1.765E1)); +#18749=LINE('',#18748,#18747); +#18750=DIRECTION('',(-9.991923308628E-1,-2.786200494735E-2,2.895504490169E-2)); +#18751=VECTOR('',#18750,7.185480463255E-3); +#18752=CARTESIAN_POINT('',(-4.775E1,4.937379878865E0,-1.765020805591E1)); +#18753=LINE('',#18752,#18751); +#18754=DIRECTION('',(0.E0,1.E0,0.E0)); +#18755=VECTOR('',#18754,6.147597577293E-1); +#18756=CARTESIAN_POINT('',(-5.145E1,4.322620121135E0,-1.765020805591E1)); +#18757=LINE('',#18756,#18755); +#18758=DIRECTION('',(0.E0,-1.447206317121E-5,9.999999998953E-1)); +#18759=VECTOR('',#18758,8.300208056779E0); +#18760=CARTESIAN_POINT('',(-5.145E1,4.322620121135E0,-1.765020805591E1)); +#18761=LINE('',#18760,#18759); +#18762=DIRECTION('',(1.E0,0.E0,0.E0)); +#18763=VECTOR('',#18762,2.8E0); +#18764=CARTESIAN_POINT('',(-5.425E1,4.322620121135E0,-1.765020805591E1)); +#18765=LINE('',#18764,#18763); +#18766=DIRECTION('',(0.E0,-1.447206317121E-5,9.999999998953E-1)); +#18767=VECTOR('',#18766,8.300208056779E0); +#18768=CARTESIAN_POINT('',(-5.425E1,4.322620121135E0,-1.765020805591E1)); +#18769=LINE('',#18768,#18767); +#18770=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18771=VECTOR('',#18770,6.147597577293E-1); +#18772=CARTESIAN_POINT('',(-5.425E1,4.937379878865E0,-1.765020805591E1)); +#18773=LINE('',#18772,#18771); +#18774=DIRECTION('',(0.E0,1.447206317089E-5,9.999999998953E-1)); +#18775=VECTOR('',#18774,8.300208056779E0); +#18776=CARTESIAN_POINT('',(-5.425E1,4.937379878865E0,-1.765020805591E1)); +#18777=LINE('',#18776,#18775); +#18778=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18779=VECTOR('',#18778,2.8E0); +#18780=CARTESIAN_POINT('',(-5.145E1,4.937379878865E0,-1.765020805591E1)); +#18781=LINE('',#18780,#18779); +#18782=DIRECTION('',(0.E0,1.447206317100E-5,9.999999998953E-1)); +#18783=VECTOR('',#18782,8.300208056779E0); +#18784=CARTESIAN_POINT('',(-5.145E1,4.937379878865E0,-1.765020805591E1)); +#18785=LINE('',#18784,#18783); +#18786=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18787=VECTOR('',#18786,6.15E-1); +#18788=CARTESIAN_POINT('',(-5.425E1,4.9375E0,-9.35E0)); +#18789=LINE('',#18788,#18787); +#18790=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18791=VECTOR('',#18790,2.8E0); +#18792=CARTESIAN_POINT('',(-5.145E1,4.9375E0,-9.35E0)); +#18793=LINE('',#18792,#18791); +#18794=DIRECTION('',(0.E0,1.E0,0.E0)); +#18795=VECTOR('',#18794,6.15E-1); +#18796=CARTESIAN_POINT('',(-5.145E1,4.3225E0,-9.35E0)); +#18797=LINE('',#18796,#18795); +#18798=DIRECTION('',(1.E0,0.E0,0.E0)); +#18799=VECTOR('',#18798,2.8E0); +#18800=CARTESIAN_POINT('',(-5.425E1,4.3225E0,-9.35E0)); +#18801=LINE('',#18800,#18799); +#18802=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18803=VECTOR('',#18802,6.15E-1); +#18804=CARTESIAN_POINT('',(-4.775E1,4.9375E0,-9.35E0)); +#18805=LINE('',#18804,#18803); +#18806=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18807=VECTOR('',#18806,2.8E0); +#18808=CARTESIAN_POINT('',(-4.495E1,4.9375E0,-9.35E0)); +#18809=LINE('',#18808,#18807); +#18810=DIRECTION('',(0.E0,1.E0,0.E0)); +#18811=VECTOR('',#18810,6.15E-1); +#18812=CARTESIAN_POINT('',(-4.495E1,4.3225E0,-9.35E0)); +#18813=LINE('',#18812,#18811); +#18814=DIRECTION('',(1.E0,0.E0,0.E0)); +#18815=VECTOR('',#18814,2.8E0); +#18816=CARTESIAN_POINT('',(-4.775E1,4.3225E0,-9.35E0)); +#18817=LINE('',#18816,#18815); +#18818=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18819=VECTOR('',#18818,6.147597577293E-1); +#18820=CARTESIAN_POINT('',(-4.775E1,4.937379878865E0,-1.765020805591E1)); +#18821=LINE('',#18820,#18819); +#18822=DIRECTION('',(0.E0,1.447206317089E-5,9.999999998953E-1)); +#18823=VECTOR('',#18822,8.300208056779E0); +#18824=CARTESIAN_POINT('',(-4.775E1,4.937379878865E0,-1.765020805591E1)); +#18825=LINE('',#18824,#18823); +#18826=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18827=VECTOR('',#18826,2.8E0); +#18828=CARTESIAN_POINT('',(-4.495E1,4.937379878865E0,-1.765020805591E1)); +#18829=LINE('',#18828,#18827); +#18830=DIRECTION('',(0.E0,1.447206317100E-5,9.999999998953E-1)); +#18831=VECTOR('',#18830,8.300208056779E0); +#18832=CARTESIAN_POINT('',(-4.495E1,4.937379878865E0,-1.765020805591E1)); +#18833=LINE('',#18832,#18831); +#18834=DIRECTION('',(4.472135955E-1,-4.472135955E-1,7.745966692415E-1)); +#18835=VECTOR('',#18834,1.549193338483E0); +#18836=CARTESIAN_POINT('',(-4.845E1,5.63E0,-1.885E1)); +#18837=LINE('',#18836,#18835); +#18838=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18839=VECTOR('',#18838,4.2E0); +#18840=CARTESIAN_POINT('',(-4.425E1,5.63E0,-1.885E1)); +#18841=LINE('',#18840,#18839); +#18842=DIRECTION('',(0.E0,0.E0,1.E0)); +#18843=VECTOR('',#18842,1.1E0); +#18844=CARTESIAN_POINT('',(-4.425E1,5.63E0,-1.995E1)); +#18845=LINE('',#18844,#18843); +#18846=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18847=VECTOR('',#18846,2.E0); +#18848=CARTESIAN_POINT('',(-4.845E1,5.63E0,-1.885E1)); +#18849=LINE('',#18848,#18847); +#18850=DIRECTION('',(0.E0,0.E0,1.E0)); +#18851=VECTOR('',#18850,1.1E0); +#18852=CARTESIAN_POINT('',(-4.845E1,5.63E0,-1.995E1)); +#18853=LINE('',#18852,#18851); +#18854=DIRECTION('',(4.472135955E-1,4.472135955E-1,7.745966692415E-1)); +#18855=VECTOR('',#18854,1.549193338483E0); +#18856=CARTESIAN_POINT('',(-4.845E1,3.63E0,-1.885E1)); +#18857=LINE('',#18856,#18855); +#18858=DIRECTION('',(-4.472135955E-1,4.472135955E-1,7.745966692415E-1)); +#18859=VECTOR('',#18858,1.549193338483E0); +#18860=CARTESIAN_POINT('',(-4.425E1,3.63E0,-1.885E1)); +#18861=LINE('',#18860,#18859); +#18862=DIRECTION('',(1.E0,0.E0,0.E0)); +#18863=VECTOR('',#18862,4.2E0); +#18864=CARTESIAN_POINT('',(-4.845E1,3.63E0,-1.885E1)); +#18865=LINE('',#18864,#18863); +#18866=DIRECTION('',(0.E0,0.E0,1.E0)); +#18867=VECTOR('',#18866,1.1E0); +#18868=CARTESIAN_POINT('',(-4.845E1,3.63E0,-1.995E1)); +#18869=LINE('',#18868,#18867); +#18870=DIRECTION('',(0.E0,1.E0,0.E0)); +#18871=VECTOR('',#18870,2.E0); +#18872=CARTESIAN_POINT('',(-4.425E1,3.63E0,-1.885E1)); +#18873=LINE('',#18872,#18871); +#18874=DIRECTION('',(0.E0,0.E0,1.E0)); +#18875=VECTOR('',#18874,1.1E0); +#18876=CARTESIAN_POINT('',(-4.425E1,3.63E0,-1.995E1)); +#18877=LINE('',#18876,#18875); +#18878=DIRECTION('',(-4.472135955E-1,-4.472135955E-1,7.745966692415E-1)); +#18879=VECTOR('',#18878,1.549193338483E0); +#18880=CARTESIAN_POINT('',(-4.425E1,5.63E0,-1.885E1)); +#18881=LINE('',#18880,#18879); +#18882=DIRECTION('',(1.E0,0.E0,0.E0)); +#18883=VECTOR('',#18882,2.8E0); +#18884=CARTESIAN_POINT('',(-4.775E1,4.322620121135E0,-1.765020805591E1)); +#18885=LINE('',#18884,#18883); +#18886=DIRECTION('',(0.E0,-1.447206317121E-5,9.999999998953E-1)); +#18887=VECTOR('',#18886,8.300208056779E0); +#18888=CARTESIAN_POINT('',(-4.775E1,4.322620121135E0,-1.765020805591E1)); +#18889=LINE('',#18888,#18887); +#18890=DIRECTION('',(0.E0,1.E0,0.E0)); +#18891=VECTOR('',#18890,6.147597577293E-1); +#18892=CARTESIAN_POINT('',(-4.495E1,4.322620121135E0,-1.765020805591E1)); +#18893=LINE('',#18892,#18891); +#18894=DIRECTION('',(0.E0,-1.447206317121E-5,9.999999998953E-1)); +#18895=VECTOR('',#18894,8.300208056779E0); +#18896=CARTESIAN_POINT('',(-4.495E1,4.322620121135E0,-1.765020805591E1)); +#18897=LINE('',#18896,#18895); +#18898=DIRECTION('',(4.472135955E-1,-4.472135955E-1,7.745966692415E-1)); +#18899=VECTOR('',#18898,1.549193338483E0); +#18900=CARTESIAN_POINT('',(-5.82E1,-3.7E-1,-1.885E1)); +#18901=LINE('',#18900,#18899); +#18902=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18903=VECTOR('',#18902,4.2E0); +#18904=CARTESIAN_POINT('',(-5.4E1,-3.7E-1,-1.885E1)); +#18905=LINE('',#18904,#18903); +#18906=DIRECTION('',(0.E0,0.E0,1.E0)); +#18907=VECTOR('',#18906,1.1E0); +#18908=CARTESIAN_POINT('',(-5.4E1,-3.7E-1,-1.995E1)); +#18909=LINE('',#18908,#18907); +#18910=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18911=VECTOR('',#18910,2.E0); +#18912=CARTESIAN_POINT('',(-5.82E1,-3.7E-1,-1.885E1)); +#18913=LINE('',#18912,#18911); +#18914=DIRECTION('',(0.E0,0.E0,1.E0)); +#18915=VECTOR('',#18914,1.1E0); +#18916=CARTESIAN_POINT('',(-5.82E1,-3.7E-1,-1.995E1)); +#18917=LINE('',#18916,#18915); +#18918=DIRECTION('',(4.472135955E-1,4.472135955E-1,7.745966692415E-1)); +#18919=VECTOR('',#18918,1.549193338483E0); +#18920=CARTESIAN_POINT('',(-5.82E1,-2.37E0,-1.885E1)); +#18921=LINE('',#18920,#18919); +#18922=DIRECTION('',(-4.472135955E-1,4.472135955E-1,7.745966692415E-1)); +#18923=VECTOR('',#18922,1.549193338483E0); +#18924=CARTESIAN_POINT('',(-5.4E1,-2.37E0,-1.885E1)); +#18925=LINE('',#18924,#18923); +#18926=DIRECTION('',(1.E0,0.E0,0.E0)); +#18927=VECTOR('',#18926,4.2E0); +#18928=CARTESIAN_POINT('',(-5.82E1,-2.37E0,-1.885E1)); +#18929=LINE('',#18928,#18927); +#18930=DIRECTION('',(0.E0,0.E0,1.E0)); +#18931=VECTOR('',#18930,1.1E0); +#18932=CARTESIAN_POINT('',(-5.82E1,-2.37E0,-1.995E1)); +#18933=LINE('',#18932,#18931); +#18934=DIRECTION('',(0.E0,1.E0,0.E0)); +#18935=VECTOR('',#18934,2.E0); +#18936=CARTESIAN_POINT('',(-5.4E1,-2.37E0,-1.885E1)); +#18937=LINE('',#18936,#18935); +#18938=DIRECTION('',(0.E0,0.E0,1.E0)); +#18939=VECTOR('',#18938,1.1E0); +#18940=CARTESIAN_POINT('',(-5.4E1,-2.37E0,-1.995E1)); +#18941=LINE('',#18940,#18939); +#18942=DIRECTION('',(-4.472135955E-1,-4.472135955E-1,7.745966692415E-1)); +#18943=VECTOR('',#18942,1.549193338483E0); +#18944=CARTESIAN_POINT('',(-5.4E1,-3.7E-1,-1.885E1)); +#18945=LINE('',#18944,#18943); +#18946=DIRECTION('',(1.E0,0.E0,0.E0)); +#18947=VECTOR('',#18946,2.8E0); +#18948=CARTESIAN_POINT('',(-5.75E1,-1.677379878865E0,-1.765020805591E1)); +#18949=LINE('',#18948,#18947); +#18950=DIRECTION('',(0.E0,-1.766433237414E-5,9.999999998440E-1)); +#18951=VECTOR('',#18950,6.800208056970E0); +#18952=CARTESIAN_POINT('',(-5.75E1,-1.677379878865E0,-1.765020805591E1)); +#18953=LINE('',#18952,#18951); +#18954=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18955=VECTOR('',#18954,6.147597577293E-1); +#18956=CARTESIAN_POINT('',(-5.75E1,-1.062620121135E0,-1.765020805591E1)); +#18957=LINE('',#18956,#18955); +#18958=DIRECTION('',(0.E0,1.766433237408E-5,9.999999998440E-1)); +#18959=VECTOR('',#18958,6.800208056970E0); +#18960=CARTESIAN_POINT('',(-5.75E1,-1.062620121135E0,-1.765020805591E1)); +#18961=LINE('',#18960,#18959); +#18962=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18963=VECTOR('',#18962,2.8E0); +#18964=CARTESIAN_POINT('',(-5.47E1,-1.062620121135E0,-1.765020805591E1)); +#18965=LINE('',#18964,#18963); +#18966=DIRECTION('',(0.E0,1.766433237411E-5,9.999999998440E-1)); +#18967=VECTOR('',#18966,6.800208056970E0); +#18968=CARTESIAN_POINT('',(-5.47E1,-1.062620121135E0,-1.765020805591E1)); +#18969=LINE('',#18968,#18967); +#18970=DIRECTION('',(0.E0,1.E0,0.E0)); +#18971=VECTOR('',#18970,6.147597577293E-1); +#18972=CARTESIAN_POINT('',(-5.47E1,-1.677379878865E0,-1.765020805591E1)); +#18973=LINE('',#18972,#18971); +#18974=DIRECTION('',(0.E0,-1.766433237414E-5,9.999999998440E-1)); +#18975=VECTOR('',#18974,6.800208056970E0); +#18976=CARTESIAN_POINT('',(-5.47E1,-1.677379878865E0,-1.765020805591E1)); +#18977=LINE('',#18976,#18975); +#18978=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18979=VECTOR('',#18978,6.15E-1); +#18980=CARTESIAN_POINT('',(-5.75E1,-1.0625E0,-1.085E1)); +#18981=LINE('',#18980,#18979); +#18982=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18983=VECTOR('',#18982,2.8E0); +#18984=CARTESIAN_POINT('',(-5.47E1,-1.0625E0,-1.085E1)); +#18985=LINE('',#18984,#18983); +#18986=DIRECTION('',(0.E0,1.E0,0.E0)); +#18987=VECTOR('',#18986,6.15E-1); +#18988=CARTESIAN_POINT('',(-5.47E1,-1.6775E0,-1.085E1)); +#18989=LINE('',#18988,#18987); +#18990=DIRECTION('',(1.E0,0.E0,0.E0)); +#18991=VECTOR('',#18990,2.8E0); +#18992=CARTESIAN_POINT('',(-5.75E1,-1.6775E0,-1.085E1)); +#18993=LINE('',#18992,#18991); +#18994=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18995=VECTOR('',#18994,6.15E-1); +#18996=CARTESIAN_POINT('',(-5.1E1,-1.0625E0,-1.085E1)); +#18997=LINE('',#18996,#18995); +#18998=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18999=VECTOR('',#18998,2.8E0); +#19000=CARTESIAN_POINT('',(-4.82E1,-1.0625E0,-1.085E1)); +#19001=LINE('',#19000,#18999); +#19002=DIRECTION('',(0.E0,1.E0,0.E0)); +#19003=VECTOR('',#19002,6.15E-1); +#19004=CARTESIAN_POINT('',(-4.82E1,-1.6775E0,-1.085E1)); +#19005=LINE('',#19004,#19003); +#19006=DIRECTION('',(1.E0,0.E0,0.E0)); +#19007=VECTOR('',#19006,2.8E0); +#19008=CARTESIAN_POINT('',(-5.1E1,-1.6775E0,-1.085E1)); +#19009=LINE('',#19008,#19007); +#19010=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19011=VECTOR('',#19010,6.147597577293E-1); +#19012=CARTESIAN_POINT('',(-5.1E1,-1.062620121135E0,-1.765020805591E1)); +#19013=LINE('',#19012,#19011); +#19014=DIRECTION('',(0.E0,1.766433237408E-5,9.999999998440E-1)); +#19015=VECTOR('',#19014,6.800208056970E0); +#19016=CARTESIAN_POINT('',(-5.1E1,-1.062620121135E0,-1.765020805591E1)); +#19017=LINE('',#19016,#19015); +#19018=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19019=VECTOR('',#19018,2.8E0); +#19020=CARTESIAN_POINT('',(-4.82E1,-1.062620121135E0,-1.765020805591E1)); +#19021=LINE('',#19020,#19019); +#19022=DIRECTION('',(0.E0,1.766433237411E-5,9.999999998440E-1)); +#19023=VECTOR('',#19022,6.800208056970E0); +#19024=CARTESIAN_POINT('',(-4.82E1,-1.062620121135E0,-1.765020805591E1)); +#19025=LINE('',#19024,#19023); +#19026=DIRECTION('',(4.472135955E-1,-4.472135955E-1,7.745966692415E-1)); +#19027=VECTOR('',#19026,1.549193338483E0); +#19028=CARTESIAN_POINT('',(-5.17E1,-3.7E-1,-1.885E1)); +#19029=LINE('',#19028,#19027); +#19030=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19031=VECTOR('',#19030,4.2E0); +#19032=CARTESIAN_POINT('',(-4.75E1,-3.7E-1,-1.885E1)); +#19033=LINE('',#19032,#19031); +#19034=DIRECTION('',(0.E0,0.E0,1.E0)); +#19035=VECTOR('',#19034,1.1E0); +#19036=CARTESIAN_POINT('',(-4.75E1,-3.7E-1,-1.995E1)); +#19037=LINE('',#19036,#19035); +#19038=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19039=VECTOR('',#19038,2.E0); +#19040=CARTESIAN_POINT('',(-5.17E1,-3.7E-1,-1.885E1)); +#19041=LINE('',#19040,#19039); +#19042=DIRECTION('',(0.E0,0.E0,1.E0)); +#19043=VECTOR('',#19042,1.1E0); +#19044=CARTESIAN_POINT('',(-5.17E1,-3.7E-1,-1.995E1)); +#19045=LINE('',#19044,#19043); +#19046=DIRECTION('',(4.472135955E-1,4.472135955E-1,7.745966692415E-1)); +#19047=VECTOR('',#19046,1.549193338483E0); +#19048=CARTESIAN_POINT('',(-5.17E1,-2.37E0,-1.885E1)); +#19049=LINE('',#19048,#19047); +#19050=DIRECTION('',(-4.472135955E-1,4.472135955E-1,7.745966692415E-1)); +#19051=VECTOR('',#19050,1.549193338483E0); +#19052=CARTESIAN_POINT('',(-4.75E1,-2.37E0,-1.885E1)); +#19053=LINE('',#19052,#19051); +#19054=DIRECTION('',(1.E0,0.E0,0.E0)); +#19055=VECTOR('',#19054,4.2E0); +#19056=CARTESIAN_POINT('',(-5.17E1,-2.37E0,-1.885E1)); +#19057=LINE('',#19056,#19055); +#19058=DIRECTION('',(0.E0,0.E0,1.E0)); +#19059=VECTOR('',#19058,1.1E0); +#19060=CARTESIAN_POINT('',(-5.17E1,-2.37E0,-1.995E1)); +#19061=LINE('',#19060,#19059); +#19062=DIRECTION('',(0.E0,1.E0,0.E0)); +#19063=VECTOR('',#19062,2.E0); +#19064=CARTESIAN_POINT('',(-4.75E1,-2.37E0,-1.885E1)); +#19065=LINE('',#19064,#19063); +#19066=DIRECTION('',(0.E0,0.E0,1.E0)); +#19067=VECTOR('',#19066,1.1E0); +#19068=CARTESIAN_POINT('',(-4.75E1,-2.37E0,-1.995E1)); +#19069=LINE('',#19068,#19067); +#19070=DIRECTION('',(-4.472135955E-1,-4.472135955E-1,7.745966692415E-1)); +#19071=VECTOR('',#19070,1.549193338483E0); +#19072=CARTESIAN_POINT('',(-4.75E1,-3.7E-1,-1.885E1)); +#19073=LINE('',#19072,#19071); +#19074=DIRECTION('',(1.E0,0.E0,0.E0)); +#19075=VECTOR('',#19074,2.8E0); +#19076=CARTESIAN_POINT('',(-5.1E1,-1.677379878865E0,-1.765020805591E1)); +#19077=LINE('',#19076,#19075); +#19078=DIRECTION('',(0.E0,-1.766433237414E-5,9.999999998440E-1)); +#19079=VECTOR('',#19078,6.800208056970E0); +#19080=CARTESIAN_POINT('',(-5.1E1,-1.677379878865E0,-1.765020805591E1)); +#19081=LINE('',#19080,#19079); +#19082=DIRECTION('',(0.E0,1.E0,0.E0)); +#19083=VECTOR('',#19082,6.147597577293E-1); +#19084=CARTESIAN_POINT('',(-4.82E1,-1.677379878865E0,-1.765020805591E1)); +#19085=LINE('',#19084,#19083); +#19086=DIRECTION('',(0.E0,-1.766433237414E-5,9.999999998440E-1)); +#19087=VECTOR('',#19086,6.800208056970E0); +#19088=CARTESIAN_POINT('',(-4.82E1,-1.677379878865E0,-1.765020805591E1)); +#19089=LINE('',#19088,#19087); +#19090=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#19091=VECTOR('',#19090,2.007639675087E0); +#19092=CARTESIAN_POINT('',(2.535E1,-1.595022672948E0,-1.995E1)); +#19093=LINE('',#19092,#19091); +#19094=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#19095=VECTOR('',#19094,2.007639675087E0); +#19096=CARTESIAN_POINT('',(2.315E1,-1.77E0,-1.795E1)); +#19097=LINE('',#19096,#19095); +#19098=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19099=VECTOR('',#19098,1.4E0); +#19100=CARTESIAN_POINT('',(2.535E1,-1.77E0,-1.795E1)); +#19101=LINE('',#19100,#19099); +#19102=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19103=VECTOR('',#19102,1.749954654104E0); +#19104=CARTESIAN_POINT('',(2.535E1,-1.595022672948E0,-1.995E1)); +#19105=LINE('',#19104,#19103); +#19106=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#19107=VECTOR('',#19106,1.464598038686E-1); +#19108=CARTESIAN_POINT('',(2.535E1,7.197627763800E0,-1.986599410763E1)); +#19109=LINE('',#19108,#19107); +#19110=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19111=VECTOR('',#19110,1.622632243107E0); +#19112=CARTESIAN_POINT('',(2.535E1,7.077654916055E0,-1.995E1)); +#19113=LINE('',#19112,#19111); +#19114=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19115=VECTOR('',#19114,1.4E0); +#19116=CARTESIAN_POINT('',(2.535E1,7.03E0,-1.795E1)); +#19117=LINE('',#19116,#19115); +#19118=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#19119=VECTOR('',#19118,1.923312893854E0); +#19120=CARTESIAN_POINT('',(2.535E1,7.197627763800E0,-1.986599410763E1)); +#19121=LINE('',#19120,#19119); +#19122=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19123=VECTOR('',#19122,8.E-1); +#19124=CARTESIAN_POINT('',(2.505E1,-2.07E0,-1.765E1)); +#19125=LINE('',#19124,#19123); +#19126=DIRECTION('',(0.E0,1.E0,0.E0)); +#19127=VECTOR('',#19126,8.E-1); +#19128=CARTESIAN_POINT('',(2.345E1,-2.87E0,-1.765E1)); +#19129=LINE('',#19128,#19127); +#19130=DIRECTION('',(1.E0,0.E0,0.E0)); +#19131=VECTOR('',#19130,1.6E0); +#19132=CARTESIAN_POINT('',(2.345E1,-2.07E0,-1.765E1)); +#19133=LINE('',#19132,#19131); +#19134=DIRECTION('',(0.E0,0.E0,1.E0)); +#19135=VECTOR('',#19134,7.E0); +#19136=CARTESIAN_POINT('',(2.455E1,-2.785E0,-1.765E1)); +#19137=LINE('',#19136,#19135); +#19138=DIRECTION('',(0.E0,1.E0,0.E0)); +#19139=VECTOR('',#19138,6.3E-1); +#19140=CARTESIAN_POINT('',(2.455E1,-2.785E0,-1.765E1)); +#19141=LINE('',#19140,#19139); +#19142=DIRECTION('',(0.E0,0.E0,1.E0)); +#19143=VECTOR('',#19142,7.E0); +#19144=CARTESIAN_POINT('',(2.395E1,-2.785E0,-1.765E1)); +#19145=LINE('',#19144,#19143); +#19146=DIRECTION('',(1.E0,0.E0,0.E0)); +#19147=VECTOR('',#19146,6.E-1); +#19148=CARTESIAN_POINT('',(2.395E1,-2.785E0,-1.765E1)); +#19149=LINE('',#19148,#19147); +#19150=DIRECTION('',(0.E0,0.E0,1.E0)); +#19151=VECTOR('',#19150,7.E0); +#19152=CARTESIAN_POINT('',(2.395E1,-2.155E0,-1.765E1)); +#19153=LINE('',#19152,#19151); +#19154=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19155=VECTOR('',#19154,6.3E-1); +#19156=CARTESIAN_POINT('',(2.395E1,-2.155E0,-1.765E1)); +#19157=LINE('',#19156,#19155); +#19158=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19159=VECTOR('',#19158,6.E-1); +#19160=CARTESIAN_POINT('',(2.455E1,-2.155E0,-1.765E1)); +#19161=LINE('',#19160,#19159); +#19162=DIRECTION('',(0.E0,0.E0,1.E0)); +#19163=VECTOR('',#19162,7.E0); +#19164=CARTESIAN_POINT('',(2.455E1,-2.155E0,-1.765E1)); +#19165=LINE('',#19164,#19163); +#19166=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19167=VECTOR('',#19166,6.3E-1); +#19168=CARTESIAN_POINT('',(2.395E1,6.645E0,-1.065E1)); +#19169=LINE('',#19168,#19167); +#19170=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19171=VECTOR('',#19170,6.E-1); +#19172=CARTESIAN_POINT('',(2.455E1,6.645E0,-1.065E1)); +#19173=LINE('',#19172,#19171); +#19174=DIRECTION('',(0.E0,1.E0,0.E0)); +#19175=VECTOR('',#19174,6.3E-1); +#19176=CARTESIAN_POINT('',(2.455E1,6.015E0,-1.065E1)); +#19177=LINE('',#19176,#19175); +#19178=DIRECTION('',(1.E0,0.E0,0.E0)); +#19179=VECTOR('',#19178,6.E-1); +#19180=CARTESIAN_POINT('',(2.395E1,6.015E0,-1.065E1)); +#19181=LINE('',#19180,#19179); +#19182=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19183=VECTOR('',#19182,6.3E-1); +#19184=CARTESIAN_POINT('',(2.395E1,-2.155E0,-1.065E1)); +#19185=LINE('',#19184,#19183); +#19186=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19187=VECTOR('',#19186,6.E-1); +#19188=CARTESIAN_POINT('',(2.455E1,-2.155E0,-1.065E1)); +#19189=LINE('',#19188,#19187); +#19190=DIRECTION('',(0.E0,1.E0,0.E0)); +#19191=VECTOR('',#19190,6.3E-1); +#19192=CARTESIAN_POINT('',(2.455E1,-2.785E0,-1.065E1)); +#19193=LINE('',#19192,#19191); +#19194=DIRECTION('',(1.E0,0.E0,0.E0)); +#19195=VECTOR('',#19194,6.E-1); +#19196=CARTESIAN_POINT('',(2.395E1,-2.785E0,-1.065E1)); +#19197=LINE('',#19196,#19195); +#19198=DIRECTION('',(0.E0,0.E0,1.E0)); +#19199=VECTOR('',#19198,7.E0); +#19200=CARTESIAN_POINT('',(2.395E1,6.645E0,-1.765E1)); +#19201=LINE('',#19200,#19199); +#19202=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19203=VECTOR('',#19202,6.3E-1); +#19204=CARTESIAN_POINT('',(2.395E1,6.645E0,-1.765E1)); +#19205=LINE('',#19204,#19203); +#19206=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19207=VECTOR('',#19206,6.E-1); +#19208=CARTESIAN_POINT('',(2.455E1,6.645E0,-1.765E1)); +#19209=LINE('',#19208,#19207); +#19210=DIRECTION('',(0.E0,0.E0,1.E0)); +#19211=VECTOR('',#19210,7.E0); +#19212=CARTESIAN_POINT('',(2.455E1,6.645E0,-1.765E1)); +#19213=LINE('',#19212,#19211); +#19214=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19215=VECTOR('',#19214,8.E-1); +#19216=CARTESIAN_POINT('',(2.505E1,6.73E0,-1.765E1)); +#19217=LINE('',#19216,#19215); +#19218=DIRECTION('',(0.E0,1.E0,0.E0)); +#19219=VECTOR('',#19218,8.E-1); +#19220=CARTESIAN_POINT('',(2.345E1,5.93E0,-1.765E1)); +#19221=LINE('',#19220,#19219); +#19222=DIRECTION('',(1.E0,0.E0,0.E0)); +#19223=VECTOR('',#19222,1.6E0); +#19224=CARTESIAN_POINT('',(2.345E1,6.73E0,-1.765E1)); +#19225=LINE('',#19224,#19223); +#19226=DIRECTION('',(0.E0,0.E0,1.E0)); +#19227=VECTOR('',#19226,7.E0); +#19228=CARTESIAN_POINT('',(2.455E1,6.015E0,-1.765E1)); +#19229=LINE('',#19228,#19227); +#19230=DIRECTION('',(0.E0,1.E0,0.E0)); +#19231=VECTOR('',#19230,6.3E-1); +#19232=CARTESIAN_POINT('',(2.455E1,6.015E0,-1.765E1)); +#19233=LINE('',#19232,#19231); +#19234=DIRECTION('',(0.E0,0.E0,1.E0)); +#19235=VECTOR('',#19234,7.E0); +#19236=CARTESIAN_POINT('',(2.395E1,6.015E0,-1.765E1)); +#19237=LINE('',#19236,#19235); +#19238=DIRECTION('',(1.E0,0.E0,0.E0)); +#19239=VECTOR('',#19238,6.E-1); +#19240=CARTESIAN_POINT('',(2.395E1,6.015E0,-1.765E1)); +#19241=LINE('',#19240,#19239); +#19242=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#19243=VECTOR('',#19242,5.196152422707E-1); +#19244=CARTESIAN_POINT('',(2.535E1,5.63E0,-1.795E1)); +#19245=LINE('',#19244,#19243); +#19246=DIRECTION('',(1.E0,0.E0,0.E0)); +#19247=VECTOR('',#19246,2.2E0); +#19248=CARTESIAN_POINT('',(2.315E1,5.63E0,-1.795E1)); +#19249=LINE('',#19248,#19247); +#19250=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#19251=VECTOR('',#19250,5.196152422707E-1); +#19252=CARTESIAN_POINT('',(2.345E1,5.93E0,-1.765E1)); +#19253=LINE('',#19252,#19251); +#19254=DIRECTION('',(1.E0,0.E0,0.E0)); +#19255=VECTOR('',#19254,1.6E0); +#19256=CARTESIAN_POINT('',(2.345E1,5.93E0,-1.765E1)); +#19257=LINE('',#19256,#19255); +#19258=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#19259=VECTOR('',#19258,2.007639675087E0); +#19260=CARTESIAN_POINT('',(2.535E1,5.63E0,-1.795E1)); +#19261=LINE('',#19260,#19259); +#19262=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#19263=VECTOR('',#19262,2.007639675087E0); +#19264=CARTESIAN_POINT('',(2.315E1,5.455022672948E0,-1.995E1)); +#19265=LINE('',#19264,#19263); +#19266=DIRECTION('',(0.E0,1.E0,0.E0)); +#19267=VECTOR('',#19266,1.4E0); +#19268=CARTESIAN_POINT('',(2.315E1,5.63E0,-1.795E1)); +#19269=LINE('',#19268,#19267); +#19270=DIRECTION('',(0.E0,1.E0,0.E0)); +#19271=VECTOR('',#19270,1.622632243107E0); +#19272=CARTESIAN_POINT('',(2.315E1,5.455022672948E0,-1.995E1)); +#19273=LINE('',#19272,#19271); +#19274=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#19275=VECTOR('',#19274,1.950377571686E0); +#19276=CARTESIAN_POINT('',(2.315E1,7.199986605899E0,-1.989295579619E1)); +#19277=LINE('',#19276,#19275); +#19278=DIRECTION('',(0.E0,1.E0,0.E0)); +#19279=VECTOR('',#19278,1.4E0); +#19280=CARTESIAN_POINT('',(2.315E1,-3.17E0,-1.795E1)); +#19281=LINE('',#19280,#19279); +#19282=DIRECTION('',(0.E0,1.E0,0.E0)); +#19283=VECTOR('',#19282,1.749954654104E0); +#19284=CARTESIAN_POINT('',(2.315E1,-3.344977327052E0,-1.995E1)); +#19285=LINE('',#19284,#19283); +#19286=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#19287=VECTOR('',#19286,5.196152422707E-1); +#19288=CARTESIAN_POINT('',(2.505E1,6.73E0,-1.765E1)); +#19289=LINE('',#19288,#19287); +#19290=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#19291=VECTOR('',#19290,5.196152422707E-1); +#19292=CARTESIAN_POINT('',(2.315E1,7.03E0,-1.795E1)); +#19293=LINE('',#19292,#19291); +#19294=DIRECTION('',(1.E0,0.E0,0.E0)); +#19295=VECTOR('',#19294,2.2E0); +#19296=CARTESIAN_POINT('',(2.315E1,7.03E0,-1.795E1)); +#19297=LINE('',#19296,#19295); +#19298=DIRECTION('',(0.E0,-8.715574274759E-2,9.961946980918E-1)); +#19299=VECTOR('',#19298,2.706467783260E-2); +#19300=CARTESIAN_POINT('',(2.53E1,7.199986605899E0,-1.989295579619E1)); +#19301=LINE('',#19300,#19299); +#19302=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19303=VECTOR('',#19302,5.E-2); +#19304=CARTESIAN_POINT('',(2.535E1,7.197627763800E0,-1.986599410763E1)); +#19305=LINE('',#19304,#19303); +#19306=DIRECTION('',(0.E0,1.E0,0.E0)); +#19307=VECTOR('',#19306,1.4E0); +#19308=CARTESIAN_POINT('',(2.53E1,9.63E0,-1.795E1)); +#19309=LINE('',#19308,#19307); +#19310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19311=VECTOR('',#19310,2.128287321091E-1); +#19312=CARTESIAN_POINT('',(2.53E1,9.63E0,-1.795E1)); +#19313=LINE('',#19312,#19311); +#19314=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#19315=VECTOR('',#19314,2.969378216362E0); +#19316=CARTESIAN_POINT('',(2.53E1,9.63E0,-1.816282873211E1)); +#19317=LINE('',#19316,#19315); +#19318=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#19319=VECTOR('',#19318,1.066197942480E0); +#19320=CARTESIAN_POINT('',(2.53E1,1.121514332974E1,-1.9E1)); +#19321=LINE('',#19320,#19319); +#19322=DIRECTION('',(0.E0,1.E0,0.E0)); +#19323=VECTOR('',#19322,1.4E0); +#19324=CARTESIAN_POINT('',(2.53E1,8.3E-1,-1.795E1)); +#19325=LINE('',#19324,#19323); +#19326=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19327=VECTOR('',#19326,3.7E-1); +#19328=CARTESIAN_POINT('',(2.53E1,8.3E-1,-1.795E1)); +#19329=LINE('',#19328,#19327); +#19330=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#19331=VECTOR('',#19330,2.841818276862E0); +#19332=CARTESIAN_POINT('',(2.53E1,8.3E-1,-1.832E1)); +#19333=LINE('',#19332,#19331); +#19334=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#19335=VECTOR('',#19334,2.007639675087E0); +#19336=CARTESIAN_POINT('',(2.53E1,2.404977327052E0,-1.995E1)); +#19337=LINE('',#19336,#19335); +#19338=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#19339=VECTOR('',#19338,5.196152422707E-1); +#19340=CARTESIAN_POINT('',(2.56E1,1.073E1,-1.765E1)); +#19341=LINE('',#19340,#19339); +#19342=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#19343=VECTOR('',#19342,5.196152422707E-1); +#19344=CARTESIAN_POINT('',(2.72E1,1.073E1,-1.765E1)); +#19345=LINE('',#19344,#19343); +#19346=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19347=VECTOR('',#19346,8.E-1); +#19348=CARTESIAN_POINT('',(2.72E1,1.073E1,-1.765E1)); +#19349=LINE('',#19348,#19347); +#19350=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19351=VECTOR('',#19350,1.6E0); +#19352=CARTESIAN_POINT('',(2.72E1,9.93E0,-1.765E1)); +#19353=LINE('',#19352,#19351); +#19354=DIRECTION('',(0.E0,1.E0,0.E0)); +#19355=VECTOR('',#19354,8.E-1); +#19356=CARTESIAN_POINT('',(2.56E1,9.93E0,-1.765E1)); +#19357=LINE('',#19356,#19355); +#19358=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19359=VECTOR('',#19358,1.6E0); +#19360=CARTESIAN_POINT('',(2.72E1,1.073E1,-1.765E1)); +#19361=LINE('',#19360,#19359); +#19362=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19363=VECTOR('',#19362,6.3E-1); +#19364=CARTESIAN_POINT('',(2.61E1,1.0645E1,-1.765E1)); +#19365=LINE('',#19364,#19363); +#19366=DIRECTION('',(0.E0,0.E0,1.E0)); +#19367=VECTOR('',#19366,5.9E0); +#19368=CARTESIAN_POINT('',(2.61E1,1.0645E1,-1.765E1)); +#19369=LINE('',#19368,#19367); +#19370=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19371=VECTOR('',#19370,6.E-1); +#19372=CARTESIAN_POINT('',(2.67E1,1.0645E1,-1.765E1)); +#19373=LINE('',#19372,#19371); +#19374=DIRECTION('',(0.E0,0.E0,1.E0)); +#19375=VECTOR('',#19374,5.9E0); +#19376=CARTESIAN_POINT('',(2.67E1,1.0645E1,-1.765E1)); +#19377=LINE('',#19376,#19375); +#19378=DIRECTION('',(0.E0,1.E0,0.E0)); +#19379=VECTOR('',#19378,6.3E-1); +#19380=CARTESIAN_POINT('',(2.67E1,1.0015E1,-1.765E1)); +#19381=LINE('',#19380,#19379); +#19382=DIRECTION('',(0.E0,0.E0,1.E0)); +#19383=VECTOR('',#19382,5.9E0); +#19384=CARTESIAN_POINT('',(2.67E1,1.0015E1,-1.765E1)); +#19385=LINE('',#19384,#19383); +#19386=DIRECTION('',(1.E0,0.E0,0.E0)); +#19387=VECTOR('',#19386,6.E-1); +#19388=CARTESIAN_POINT('',(2.61E1,1.0015E1,-1.765E1)); +#19389=LINE('',#19388,#19387); +#19390=DIRECTION('',(0.E0,0.E0,1.E0)); +#19391=VECTOR('',#19390,5.9E0); +#19392=CARTESIAN_POINT('',(2.61E1,1.0015E1,-1.765E1)); +#19393=LINE('',#19392,#19391); +#19394=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19395=VECTOR('',#19394,6.3E-1); +#19396=CARTESIAN_POINT('',(2.61E1,1.0645E1,-1.175E1)); +#19397=LINE('',#19396,#19395); +#19398=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19399=VECTOR('',#19398,6.E-1); +#19400=CARTESIAN_POINT('',(2.67E1,1.0645E1,-1.175E1)); +#19401=LINE('',#19400,#19399); +#19402=DIRECTION('',(0.E0,1.E0,0.E0)); +#19403=VECTOR('',#19402,6.3E-1); +#19404=CARTESIAN_POINT('',(2.67E1,1.0015E1,-1.175E1)); +#19405=LINE('',#19404,#19403); +#19406=DIRECTION('',(1.E0,0.E0,0.E0)); +#19407=VECTOR('',#19406,6.E-1); +#19408=CARTESIAN_POINT('',(2.61E1,1.0015E1,-1.175E1)); +#19409=LINE('',#19408,#19407); +#19410=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19411=VECTOR('',#19410,6.3E-1); +#19412=CARTESIAN_POINT('',(2.61E1,1.845E0,-1.175E1)); +#19413=LINE('',#19412,#19411); +#19414=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19415=VECTOR('',#19414,6.E-1); +#19416=CARTESIAN_POINT('',(2.67E1,1.845E0,-1.175E1)); +#19417=LINE('',#19416,#19415); +#19418=DIRECTION('',(0.E0,1.E0,0.E0)); +#19419=VECTOR('',#19418,6.3E-1); +#19420=CARTESIAN_POINT('',(2.67E1,1.215E0,-1.175E1)); +#19421=LINE('',#19420,#19419); +#19422=DIRECTION('',(1.E0,0.E0,0.E0)); +#19423=VECTOR('',#19422,6.E-1); +#19424=CARTESIAN_POINT('',(2.61E1,1.215E0,-1.175E1)); +#19425=LINE('',#19424,#19423); +#19426=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19427=VECTOR('',#19426,6.3E-1); +#19428=CARTESIAN_POINT('',(2.61E1,1.845E0,-1.765E1)); +#19429=LINE('',#19428,#19427); +#19430=DIRECTION('',(0.E0,0.E0,1.E0)); +#19431=VECTOR('',#19430,5.9E0); +#19432=CARTESIAN_POINT('',(2.61E1,1.845E0,-1.765E1)); +#19433=LINE('',#19432,#19431); +#19434=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19435=VECTOR('',#19434,8.E-1); +#19436=CARTESIAN_POINT('',(2.72E1,1.93E0,-1.765E1)); +#19437=LINE('',#19436,#19435); +#19438=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19439=VECTOR('',#19438,1.6E0); +#19440=CARTESIAN_POINT('',(2.72E1,1.13E0,-1.765E1)); +#19441=LINE('',#19440,#19439); +#19442=DIRECTION('',(0.E0,1.E0,0.E0)); +#19443=VECTOR('',#19442,8.E-1); +#19444=CARTESIAN_POINT('',(2.56E1,1.13E0,-1.765E1)); +#19445=LINE('',#19444,#19443); +#19446=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19447=VECTOR('',#19446,1.6E0); +#19448=CARTESIAN_POINT('',(2.72E1,1.93E0,-1.765E1)); +#19449=LINE('',#19448,#19447); +#19450=DIRECTION('',(1.E0,0.E0,0.E0)); +#19451=VECTOR('',#19450,6.E-1); +#19452=CARTESIAN_POINT('',(2.61E1,1.215E0,-1.765E1)); +#19453=LINE('',#19452,#19451); +#19454=DIRECTION('',(0.E0,0.E0,1.E0)); +#19455=VECTOR('',#19454,5.9E0); +#19456=CARTESIAN_POINT('',(2.61E1,1.215E0,-1.765E1)); +#19457=LINE('',#19456,#19455); +#19458=DIRECTION('',(0.E0,1.E0,0.E0)); +#19459=VECTOR('',#19458,6.3E-1); +#19460=CARTESIAN_POINT('',(2.67E1,1.215E0,-1.765E1)); +#19461=LINE('',#19460,#19459); +#19462=DIRECTION('',(0.E0,0.E0,1.E0)); +#19463=VECTOR('',#19462,5.9E0); +#19464=CARTESIAN_POINT('',(2.67E1,1.215E0,-1.765E1)); +#19465=LINE('',#19464,#19463); +#19466=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19467=VECTOR('',#19466,6.E-1); +#19468=CARTESIAN_POINT('',(2.67E1,1.845E0,-1.765E1)); +#19469=LINE('',#19468,#19467); +#19470=DIRECTION('',(0.E0,0.E0,1.E0)); +#19471=VECTOR('',#19470,5.9E0); +#19472=CARTESIAN_POINT('',(2.67E1,1.845E0,-1.765E1)); +#19473=LINE('',#19472,#19471); +#19474=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#19475=VECTOR('',#19474,5.196152422707E-1); +#19476=CARTESIAN_POINT('',(2.72E1,1.93E0,-1.765E1)); +#19477=LINE('',#19476,#19475); +#19478=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#19479=VECTOR('',#19478,5.196152422707E-1); +#19480=CARTESIAN_POINT('',(2.56E1,1.93E0,-1.765E1)); +#19481=LINE('',#19480,#19479); +#19482=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#19483=VECTOR('',#19482,5.196152422707E-1); +#19484=CARTESIAN_POINT('',(2.56E1,1.13E0,-1.765E1)); +#19485=LINE('',#19484,#19483); +#19486=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#19487=VECTOR('',#19486,5.196152422707E-1); +#19488=CARTESIAN_POINT('',(2.75E1,8.3E-1,-1.795E1)); +#19489=LINE('',#19488,#19487); +#19490=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19491=VECTOR('',#19490,2.2E0); +#19492=CARTESIAN_POINT('',(2.75E1,8.3E-1,-1.795E1)); +#19493=LINE('',#19492,#19491); +#19494=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19495=VECTOR('',#19494,1.4E0); +#19496=CARTESIAN_POINT('',(2.75E1,1.103E1,-1.795E1)); +#19497=LINE('',#19496,#19495); +#19498=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#19499=VECTOR('',#19498,1.066197942480E0); +#19500=CARTESIAN_POINT('',(2.75E1,1.121514332974E1,-1.9E1)); +#19501=LINE('',#19500,#19499); +#19502=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#19503=VECTOR('',#19502,2.969378216362E0); +#19504=CARTESIAN_POINT('',(2.75E1,9.63E0,-1.816282873211E1)); +#19505=LINE('',#19504,#19503); +#19506=DIRECTION('',(-1.669282922279E-14,0.E0,-1.E0)); +#19507=VECTOR('',#19506,2.128287321091E-1); +#19508=CARTESIAN_POINT('',(2.75E1,9.63E0,-1.795E1)); +#19509=LINE('',#19508,#19507); +#19510=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19511=VECTOR('',#19510,1.4E0); +#19512=CARTESIAN_POINT('',(2.75E1,2.23E0,-1.795E1)); +#19513=LINE('',#19512,#19511); +#19514=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#19515=VECTOR('',#19514,2.007639675087E0); +#19516=CARTESIAN_POINT('',(2.75E1,2.404977327052E0,-1.995E1)); +#19517=LINE('',#19516,#19515); +#19518=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#19519=VECTOR('',#19518,2.841818276862E0); +#19520=CARTESIAN_POINT('',(2.75E1,8.3E-1,-1.832E1)); +#19521=LINE('',#19520,#19519); +#19522=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19523=VECTOR('',#19522,3.7E-1); +#19524=CARTESIAN_POINT('',(2.75E1,8.3E-1,-1.795E1)); +#19525=LINE('',#19524,#19523); +#19526=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#19527=VECTOR('',#19526,5.196152422707E-1); +#19528=CARTESIAN_POINT('',(2.56E1,9.93E0,-1.765E1)); +#19529=LINE('',#19528,#19527); +#19530=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#19531=VECTOR('',#19530,5.196152422707E-1); +#19532=CARTESIAN_POINT('',(2.75E1,9.63E0,-1.795E1)); +#19533=LINE('',#19532,#19531); +#19534=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19535=VECTOR('',#19534,2.2E0); +#19536=CARTESIAN_POINT('',(2.75E1,9.63E0,-1.795E1)); +#19537=LINE('',#19536,#19535); +#19538=DIRECTION('',(1.E0,0.E0,0.E0)); +#19539=VECTOR('',#19538,2.2E0); +#19540=CARTESIAN_POINT('',(2.53E1,9.63E0,-1.816282873211E1)); +#19541=LINE('',#19540,#19539); +#19542=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#19543=VECTOR('',#19542,1.702127456665E-1); +#19544=CARTESIAN_POINT('',(2.630000001192E1,8.917163450421E0, +-1.866196225764E1)); +#19545=LINE('',#19544,#19543); +#19546=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19547=VECTOR('',#19546,6.E-1); +#19548=CARTESIAN_POINT('',(2.630000001192E1,9.056593568998E0, +-1.856433223755E1)); +#19549=LINE('',#19548,#19547); +#19550=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#19551=VECTOR('',#19550,1.702127456665E-1); +#19552=CARTESIAN_POINT('',(2.570000001192E1,9.056593568998E0, +-1.856433223755E1)); +#19553=LINE('',#19552,#19551); +#19554=DIRECTION('',(1.E0,0.E0,0.E0)); +#19555=VECTOR('',#19554,5.E-1); +#19556=CARTESIAN_POINT('',(2.570000001192E1,8.917163450421E0, +-1.866196225764E1)); +#19557=LINE('',#19556,#19555); +#19558=DIRECTION('',(-2.270463573024E-1,-7.977590300433E-1,-5.585968865112E-1)); +#19559=VECTOR('',#19558,1.468128981532E0); +#19560=CARTESIAN_POINT('',(2.620000001192E1,8.917163450421E0, +-1.866196225764E1)); +#19561=LINE('',#19560,#19559); +#19562=DIRECTION('',(1.E0,0.E0,0.E0)); +#19563=VECTOR('',#19562,1.000000119209E-1); +#19564=CARTESIAN_POINT('',(2.586666667461E1,7.745950298135E0, +-1.948205453572E1)); +#19565=LINE('',#19564,#19563); +#19566=DIRECTION('',(2.270463496012E-1,7.977590315141E-1,5.585968875410E-1)); +#19567=VECTOR('',#19566,1.468128978826E0); +#19568=CARTESIAN_POINT('',(2.596666668653E1,7.745950298135E0, +-1.948205453572E1)); +#19569=LINE('',#19568,#19567); +#19570=DIRECTION('',(-3.990365039960E-1,-7.511092128977E-1,-5.259323328897E-1)); +#19571=VECTOR('',#19570,1.002414436551E0); +#19572=CARTESIAN_POINT('',(2.683333331347E1,9.056593568998E0, +-1.856433223755E1)); +#19573=LINE('',#19572,#19571); +#19574=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#19575=VECTOR('',#19574,2.042553424835E-1); +#19576=CARTESIAN_POINT('',(2.643333336115E1,8.303670850563E0, +-1.909153440069E1)); +#19577=LINE('',#19576,#19575); +#19578=DIRECTION('',(1.E0,0.E0,0.E0)); +#19579=VECTOR('',#19578,3.999999523163E-1); +#19580=CARTESIAN_POINT('',(2.643333336115E1,8.136354669210E0, +-1.920869045214E1)); +#19581=LINE('',#19580,#19579); +#19582=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#19583=VECTOR('',#19582,4.765957355499E-1); +#19584=CARTESIAN_POINT('',(2.683333331347E1,8.136354669210E0, +-1.920869045214E1)); +#19585=LINE('',#19584,#19583); +#19586=DIRECTION('',(1.E0,0.E0,0.E0)); +#19587=VECTOR('',#19586,1.E-1); +#19588=CARTESIAN_POINT('',(2.683333331347E1,7.745950298135E0, +-1.948205453572E1)); +#19589=LINE('',#19588,#19587); +#19590=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#19591=VECTOR('',#19590,4.765957355499E-1); +#19592=CARTESIAN_POINT('',(2.693333331347E1,7.745950298135E0, +-1.948205453572E1)); +#19593=LINE('',#19592,#19591); +#19594=DIRECTION('',(1.E0,1.065813900352E-14,2.131627800704E-14)); +#19595=VECTOR('',#19594,1.666666984558E-1); +#19596=CARTESIAN_POINT('',(2.693333331347E1,8.136354669210E0, +-1.920869045214E1)); +#19597=LINE('',#19596,#19595); +#19598=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#19599=VECTOR('',#19598,2.042553424835E-1); +#19600=CARTESIAN_POINT('',(2.710000001192E1,8.136354669210E0, +-1.920869045214E1)); +#19601=LINE('',#19600,#19599); +#19602=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19603=VECTOR('',#19602,1.666666984558E-1); +#19604=CARTESIAN_POINT('',(2.710000001192E1,8.303670850563E0, +-1.909153440069E1)); +#19605=LINE('',#19604,#19603); +#19606=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#19607=VECTOR('',#19606,9.191489219666E-1); +#19608=CARTESIAN_POINT('',(2.693333331347E1,8.303670850563E0, +-1.909153440069E1)); +#19609=LINE('',#19608,#19607); +#19610=DIRECTION('',(-1.E0,1.776356839400E-14,0.E0)); +#19611=VECTOR('',#19610,1.E-1); +#19612=CARTESIAN_POINT('',(2.693333331347E1,9.056593568998E0, +-1.856433223755E1)); +#19613=LINE('',#19612,#19611); +#19614=DIRECTION('',(4.012402446207E-1,7.503210344507E-1,5.253804443997E-1)); +#19615=VECTOR('',#19614,7.061437763104E-1); +#19616=CARTESIAN_POINT('',(2.655000001192E1,8.303670850563E0, +-1.909153440069E1)); +#19617=LINE('',#19616,#19615); +#19618=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#19619=VECTOR('',#19618,6.468085289001E-1); +#19620=CARTESIAN_POINT('',(2.683333331347E1,8.833505379275E0, +-1.872054026968E1)); +#19621=LINE('',#19620,#19619); +#19622=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19623=VECTOR('',#19622,2.833333015442E-1); +#19624=CARTESIAN_POINT('',(2.683333331347E1,8.303670850563E0, +-1.909153440069E1)); +#19625=LINE('',#19624,#19623); +#19626=DIRECTION('',(1.312675399565E-13,-8.715574274759E-2,9.961946980918E-1)); +#19627=VECTOR('',#19626,2.706467783260E-2); +#19628=CARTESIAN_POINT('',(2.96E1,7.199986605899E0,-1.989295579619E1)); +#19629=LINE('',#19628,#19627); +#19630=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19631=VECTOR('',#19630,5.E-2); +#19632=CARTESIAN_POINT('',(2.965E1,7.197627763800E0,-1.986599410763E1)); +#19633=LINE('',#19632,#19631); +#19634=DIRECTION('',(1.E0,0.E0,0.E0)); +#19635=VECTOR('',#19634,5.E-2); +#19636=CARTESIAN_POINT('',(2.745E1,7.197627763800E0,-1.986599410763E1)); +#19637=LINE('',#19636,#19635); +#19638=DIRECTION('',(-2.625350799130E-13,-8.715574274759E-2,9.961946980918E-1)); +#19639=VECTOR('',#19638,2.706467783260E-2); +#19640=CARTESIAN_POINT('',(2.75E1,7.199986605899E0,-1.989295579619E1)); +#19641=LINE('',#19640,#19639); +#19642=DIRECTION('',(0.E0,1.E0,0.E0)); +#19643=VECTOR('',#19642,1.4E0); +#19644=CARTESIAN_POINT('',(2.96E1,9.63E0,-1.795E1)); +#19645=LINE('',#19644,#19643); +#19646=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19647=VECTOR('',#19646,2.128287321091E-1); +#19648=CARTESIAN_POINT('',(2.96E1,9.63E0,-1.795E1)); +#19649=LINE('',#19648,#19647); +#19650=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#19651=VECTOR('',#19650,2.969378216362E0); +#19652=CARTESIAN_POINT('',(2.96E1,9.63E0,-1.816282873211E1)); +#19653=LINE('',#19652,#19651); +#19654=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#19655=VECTOR('',#19654,1.066197942480E0); +#19656=CARTESIAN_POINT('',(2.96E1,1.121514332974E1,-1.9E1)); +#19657=LINE('',#19656,#19655); +#19658=DIRECTION('',(0.E0,1.E0,0.E0)); +#19659=VECTOR('',#19658,1.4E0); +#19660=CARTESIAN_POINT('',(2.96E1,8.3E-1,-1.795E1)); +#19661=LINE('',#19660,#19659); +#19662=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19663=VECTOR('',#19662,3.7E-1); +#19664=CARTESIAN_POINT('',(2.96E1,8.3E-1,-1.795E1)); +#19665=LINE('',#19664,#19663); +#19666=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#19667=VECTOR('',#19666,2.841818276862E0); +#19668=CARTESIAN_POINT('',(2.96E1,8.3E-1,-1.832E1)); +#19669=LINE('',#19668,#19667); +#19670=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#19671=VECTOR('',#19670,2.007639675087E0); +#19672=CARTESIAN_POINT('',(2.96E1,2.404977327052E0,-1.995E1)); +#19673=LINE('',#19672,#19671); +#19674=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#19675=VECTOR('',#19674,5.196152422707E-1); +#19676=CARTESIAN_POINT('',(2.99E1,1.073E1,-1.765E1)); +#19677=LINE('',#19676,#19675); +#19678=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#19679=VECTOR('',#19678,5.196152422707E-1); +#19680=CARTESIAN_POINT('',(2.99E1,9.93E0,-1.765E1)); +#19681=LINE('',#19680,#19679); +#19682=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#19683=VECTOR('',#19682,5.196152422707E-1); +#19684=CARTESIAN_POINT('',(3.18E1,9.63E0,-1.795E1)); +#19685=LINE('',#19684,#19683); +#19686=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19687=VECTOR('',#19686,8.E-1); +#19688=CARTESIAN_POINT('',(3.15E1,1.073E1,-1.765E1)); +#19689=LINE('',#19688,#19687); +#19690=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19691=VECTOR('',#19690,1.6E0); +#19692=CARTESIAN_POINT('',(3.15E1,9.93E0,-1.765E1)); +#19693=LINE('',#19692,#19691); +#19694=DIRECTION('',(0.E0,1.E0,0.E0)); +#19695=VECTOR('',#19694,8.E-1); +#19696=CARTESIAN_POINT('',(2.99E1,9.93E0,-1.765E1)); +#19697=LINE('',#19696,#19695); +#19698=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19699=VECTOR('',#19698,1.6E0); +#19700=CARTESIAN_POINT('',(3.15E1,1.073E1,-1.765E1)); +#19701=LINE('',#19700,#19699); +#19702=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19703=VECTOR('',#19702,6.3E-1); +#19704=CARTESIAN_POINT('',(3.04E1,1.0645E1,-1.765E1)); +#19705=LINE('',#19704,#19703); +#19706=DIRECTION('',(0.E0,0.E0,1.E0)); +#19707=VECTOR('',#19706,5.9E0); +#19708=CARTESIAN_POINT('',(3.04E1,1.0645E1,-1.765E1)); +#19709=LINE('',#19708,#19707); +#19710=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19711=VECTOR('',#19710,6.E-1); +#19712=CARTESIAN_POINT('',(3.1E1,1.0645E1,-1.765E1)); +#19713=LINE('',#19712,#19711); +#19714=DIRECTION('',(0.E0,0.E0,1.E0)); +#19715=VECTOR('',#19714,5.9E0); +#19716=CARTESIAN_POINT('',(3.1E1,1.0645E1,-1.765E1)); +#19717=LINE('',#19716,#19715); +#19718=DIRECTION('',(0.E0,1.E0,0.E0)); +#19719=VECTOR('',#19718,6.3E-1); +#19720=CARTESIAN_POINT('',(3.1E1,1.0015E1,-1.765E1)); +#19721=LINE('',#19720,#19719); +#19722=DIRECTION('',(0.E0,0.E0,1.E0)); +#19723=VECTOR('',#19722,5.9E0); +#19724=CARTESIAN_POINT('',(3.1E1,1.0015E1,-1.765E1)); +#19725=LINE('',#19724,#19723); +#19726=DIRECTION('',(1.E0,0.E0,0.E0)); +#19727=VECTOR('',#19726,6.E-1); +#19728=CARTESIAN_POINT('',(3.04E1,1.0015E1,-1.765E1)); +#19729=LINE('',#19728,#19727); +#19730=DIRECTION('',(0.E0,0.E0,1.E0)); +#19731=VECTOR('',#19730,5.9E0); +#19732=CARTESIAN_POINT('',(3.04E1,1.0015E1,-1.765E1)); +#19733=LINE('',#19732,#19731); +#19734=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19735=VECTOR('',#19734,6.3E-1); +#19736=CARTESIAN_POINT('',(3.04E1,1.0645E1,-1.175E1)); +#19737=LINE('',#19736,#19735); +#19738=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19739=VECTOR('',#19738,6.E-1); +#19740=CARTESIAN_POINT('',(3.1E1,1.0645E1,-1.175E1)); +#19741=LINE('',#19740,#19739); +#19742=DIRECTION('',(0.E0,1.E0,0.E0)); +#19743=VECTOR('',#19742,6.3E-1); +#19744=CARTESIAN_POINT('',(3.1E1,1.0015E1,-1.175E1)); +#19745=LINE('',#19744,#19743); +#19746=DIRECTION('',(1.E0,0.E0,0.E0)); +#19747=VECTOR('',#19746,6.E-1); +#19748=CARTESIAN_POINT('',(3.04E1,1.0015E1,-1.175E1)); +#19749=LINE('',#19748,#19747); +#19750=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19751=VECTOR('',#19750,6.3E-1); +#19752=CARTESIAN_POINT('',(3.04E1,1.845E0,-1.175E1)); +#19753=LINE('',#19752,#19751); +#19754=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19755=VECTOR('',#19754,6.E-1); +#19756=CARTESIAN_POINT('',(3.1E1,1.845E0,-1.175E1)); +#19757=LINE('',#19756,#19755); +#19758=DIRECTION('',(0.E0,1.E0,0.E0)); +#19759=VECTOR('',#19758,6.3E-1); +#19760=CARTESIAN_POINT('',(3.1E1,1.215E0,-1.175E1)); +#19761=LINE('',#19760,#19759); +#19762=DIRECTION('',(1.E0,0.E0,0.E0)); +#19763=VECTOR('',#19762,6.E-1); +#19764=CARTESIAN_POINT('',(3.04E1,1.215E0,-1.175E1)); +#19765=LINE('',#19764,#19763); +#19766=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19767=VECTOR('',#19766,6.3E-1); +#19768=CARTESIAN_POINT('',(3.04E1,1.845E0,-1.765E1)); +#19769=LINE('',#19768,#19767); +#19770=DIRECTION('',(0.E0,0.E0,1.E0)); +#19771=VECTOR('',#19770,5.9E0); +#19772=CARTESIAN_POINT('',(3.04E1,1.845E0,-1.765E1)); +#19773=LINE('',#19772,#19771); +#19774=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19775=VECTOR('',#19774,8.E-1); +#19776=CARTESIAN_POINT('',(3.15E1,1.93E0,-1.765E1)); +#19777=LINE('',#19776,#19775); +#19778=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19779=VECTOR('',#19778,1.6E0); +#19780=CARTESIAN_POINT('',(3.15E1,1.13E0,-1.765E1)); +#19781=LINE('',#19780,#19779); +#19782=DIRECTION('',(0.E0,1.E0,0.E0)); +#19783=VECTOR('',#19782,8.E-1); +#19784=CARTESIAN_POINT('',(2.99E1,1.13E0,-1.765E1)); +#19785=LINE('',#19784,#19783); +#19786=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19787=VECTOR('',#19786,1.6E0); +#19788=CARTESIAN_POINT('',(3.15E1,1.93E0,-1.765E1)); +#19789=LINE('',#19788,#19787); +#19790=DIRECTION('',(1.E0,0.E0,0.E0)); +#19791=VECTOR('',#19790,6.E-1); +#19792=CARTESIAN_POINT('',(3.04E1,1.215E0,-1.765E1)); +#19793=LINE('',#19792,#19791); +#19794=DIRECTION('',(0.E0,0.E0,1.E0)); +#19795=VECTOR('',#19794,5.9E0); +#19796=CARTESIAN_POINT('',(3.04E1,1.215E0,-1.765E1)); +#19797=LINE('',#19796,#19795); +#19798=DIRECTION('',(0.E0,1.E0,0.E0)); +#19799=VECTOR('',#19798,6.3E-1); +#19800=CARTESIAN_POINT('',(3.1E1,1.215E0,-1.765E1)); +#19801=LINE('',#19800,#19799); +#19802=DIRECTION('',(0.E0,0.E0,1.E0)); +#19803=VECTOR('',#19802,5.9E0); +#19804=CARTESIAN_POINT('',(3.1E1,1.215E0,-1.765E1)); +#19805=LINE('',#19804,#19803); +#19806=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19807=VECTOR('',#19806,6.E-1); +#19808=CARTESIAN_POINT('',(3.1E1,1.845E0,-1.765E1)); +#19809=LINE('',#19808,#19807); +#19810=DIRECTION('',(0.E0,0.E0,1.E0)); +#19811=VECTOR('',#19810,5.9E0); +#19812=CARTESIAN_POINT('',(3.1E1,1.845E0,-1.765E1)); +#19813=LINE('',#19812,#19811); +#19814=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#19815=VECTOR('',#19814,5.196152422707E-1); +#19816=CARTESIAN_POINT('',(3.15E1,1.93E0,-1.765E1)); +#19817=LINE('',#19816,#19815); +#19818=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#19819=VECTOR('',#19818,5.196152422707E-1); +#19820=CARTESIAN_POINT('',(2.99E1,1.93E0,-1.765E1)); +#19821=LINE('',#19820,#19819); +#19822=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#19823=VECTOR('',#19822,5.196152422707E-1); +#19824=CARTESIAN_POINT('',(2.99E1,1.13E0,-1.765E1)); +#19825=LINE('',#19824,#19823); +#19826=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#19827=VECTOR('',#19826,5.196152422707E-1); +#19828=CARTESIAN_POINT('',(3.18E1,8.3E-1,-1.795E1)); +#19829=LINE('',#19828,#19827); +#19830=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19831=VECTOR('',#19830,2.2E0); +#19832=CARTESIAN_POINT('',(3.18E1,8.3E-1,-1.795E1)); +#19833=LINE('',#19832,#19831); +#19834=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19835=VECTOR('',#19834,1.4E0); +#19836=CARTESIAN_POINT('',(3.18E1,1.103E1,-1.795E1)); +#19837=LINE('',#19836,#19835); +#19838=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#19839=VECTOR('',#19838,1.066197942480E0); +#19840=CARTESIAN_POINT('',(3.18E1,1.121514332974E1,-1.9E1)); +#19841=LINE('',#19840,#19839); +#19842=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#19843=VECTOR('',#19842,2.969378216362E0); +#19844=CARTESIAN_POINT('',(3.18E1,9.63E0,-1.816282873211E1)); +#19845=LINE('',#19844,#19843); +#19846=DIRECTION('',(-1.669282922279E-14,0.E0,-1.E0)); +#19847=VECTOR('',#19846,2.128287321091E-1); +#19848=CARTESIAN_POINT('',(3.18E1,9.63E0,-1.795E1)); +#19849=LINE('',#19848,#19847); +#19850=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19851=VECTOR('',#19850,1.4E0); +#19852=CARTESIAN_POINT('',(3.18E1,2.23E0,-1.795E1)); +#19853=LINE('',#19852,#19851); +#19854=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#19855=VECTOR('',#19854,2.007639675087E0); +#19856=CARTESIAN_POINT('',(3.18E1,2.404977327052E0,-1.995E1)); +#19857=LINE('',#19856,#19855); +#19858=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#19859=VECTOR('',#19858,2.841818276862E0); +#19860=CARTESIAN_POINT('',(3.18E1,8.3E-1,-1.832E1)); +#19861=LINE('',#19860,#19859); +#19862=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19863=VECTOR('',#19862,3.7E-1); +#19864=CARTESIAN_POINT('',(3.18E1,8.3E-1,-1.795E1)); +#19865=LINE('',#19864,#19863); +#19866=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#19867=VECTOR('',#19866,5.196152422707E-1); +#19868=CARTESIAN_POINT('',(3.15E1,1.073E1,-1.765E1)); +#19869=LINE('',#19868,#19867); +#19870=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19871=VECTOR('',#19870,2.2E0); +#19872=CARTESIAN_POINT('',(3.18E1,1.103E1,-1.795E1)); +#19873=LINE('',#19872,#19871); +#19874=DIRECTION('',(0.E0,-8.715574274759E-2,9.961946980918E-1)); +#19875=VECTOR('',#19874,2.706467783260E-2); +#19876=CARTESIAN_POINT('',(3.39E1,7.199986605899E0,-1.989295579619E1)); +#19877=LINE('',#19876,#19875); +#19878=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19879=VECTOR('',#19878,5.E-2); +#19880=CARTESIAN_POINT('',(3.395E1,7.197627763800E0,-1.986599410763E1)); +#19881=LINE('',#19880,#19879); +#19882=DIRECTION('',(1.E0,0.E0,0.E0)); +#19883=VECTOR('',#19882,4.999999999999E-2); +#19884=CARTESIAN_POINT('',(3.175E1,7.197627763800E0,-1.986599410763E1)); +#19885=LINE('',#19884,#19883); +#19886=DIRECTION('',(0.E0,-8.715574274759E-2,9.961946980918E-1)); +#19887=VECTOR('',#19886,2.706467783260E-2); +#19888=CARTESIAN_POINT('',(3.18E1,7.199986605899E0,-1.989295579619E1)); +#19889=LINE('',#19888,#19887); +#19890=DIRECTION('',(0.E0,1.E0,0.E0)); +#19891=VECTOR('',#19890,1.4E0); +#19892=CARTESIAN_POINT('',(3.39E1,9.63E0,-1.795E1)); +#19893=LINE('',#19892,#19891); +#19894=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19895=VECTOR('',#19894,2.128287321091E-1); +#19896=CARTESIAN_POINT('',(3.39E1,9.63E0,-1.795E1)); +#19897=LINE('',#19896,#19895); +#19898=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#19899=VECTOR('',#19898,2.969378216362E0); +#19900=CARTESIAN_POINT('',(3.39E1,9.63E0,-1.816282873211E1)); +#19901=LINE('',#19900,#19899); +#19902=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#19903=VECTOR('',#19902,1.066197942480E0); +#19904=CARTESIAN_POINT('',(3.39E1,1.121514332974E1,-1.9E1)); +#19905=LINE('',#19904,#19903); +#19906=DIRECTION('',(0.E0,1.E0,0.E0)); +#19907=VECTOR('',#19906,1.4E0); +#19908=CARTESIAN_POINT('',(3.39E1,8.3E-1,-1.795E1)); +#19909=LINE('',#19908,#19907); +#19910=DIRECTION('',(0.E0,0.E0,-1.E0)); +#19911=VECTOR('',#19910,3.7E-1); +#19912=CARTESIAN_POINT('',(3.39E1,8.3E-1,-1.795E1)); +#19913=LINE('',#19912,#19911); +#19914=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#19915=VECTOR('',#19914,2.841818276862E0); +#19916=CARTESIAN_POINT('',(3.39E1,8.3E-1,-1.832E1)); +#19917=LINE('',#19916,#19915); +#19918=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#19919=VECTOR('',#19918,2.007639675087E0); +#19920=CARTESIAN_POINT('',(3.39E1,2.404977327052E0,-1.995E1)); +#19921=LINE('',#19920,#19919); +#19922=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#19923=VECTOR('',#19922,5.196152422707E-1); +#19924=CARTESIAN_POINT('',(3.42E1,1.073E1,-1.765E1)); +#19925=LINE('',#19924,#19923); +#19926=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#19927=VECTOR('',#19926,5.196152422707E-1); +#19928=CARTESIAN_POINT('',(3.42E1,9.93E0,-1.765E1)); +#19929=LINE('',#19928,#19927); +#19930=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#19931=VECTOR('',#19930,5.196152422707E-1); +#19932=CARTESIAN_POINT('',(3.61E1,9.63E0,-1.795E1)); +#19933=LINE('',#19932,#19931); +#19934=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19935=VECTOR('',#19934,8.E-1); +#19936=CARTESIAN_POINT('',(3.58E1,1.073E1,-1.765E1)); +#19937=LINE('',#19936,#19935); +#19938=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19939=VECTOR('',#19938,1.6E0); +#19940=CARTESIAN_POINT('',(3.58E1,9.93E0,-1.765E1)); +#19941=LINE('',#19940,#19939); +#19942=DIRECTION('',(0.E0,1.E0,0.E0)); +#19943=VECTOR('',#19942,8.E-1); +#19944=CARTESIAN_POINT('',(3.42E1,9.93E0,-1.765E1)); +#19945=LINE('',#19944,#19943); +#19946=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19947=VECTOR('',#19946,1.6E0); +#19948=CARTESIAN_POINT('',(3.58E1,1.073E1,-1.765E1)); +#19949=LINE('',#19948,#19947); +#19950=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19951=VECTOR('',#19950,6.3E-1); +#19952=CARTESIAN_POINT('',(3.47E1,1.0645E1,-1.765E1)); +#19953=LINE('',#19952,#19951); +#19954=DIRECTION('',(0.E0,0.E0,1.E0)); +#19955=VECTOR('',#19954,5.9E0); +#19956=CARTESIAN_POINT('',(3.47E1,1.0645E1,-1.765E1)); +#19957=LINE('',#19956,#19955); +#19958=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19959=VECTOR('',#19958,6.E-1); +#19960=CARTESIAN_POINT('',(3.53E1,1.0645E1,-1.765E1)); +#19961=LINE('',#19960,#19959); +#19962=DIRECTION('',(0.E0,0.E0,1.E0)); +#19963=VECTOR('',#19962,5.9E0); +#19964=CARTESIAN_POINT('',(3.53E1,1.0645E1,-1.765E1)); +#19965=LINE('',#19964,#19963); +#19966=DIRECTION('',(0.E0,1.E0,0.E0)); +#19967=VECTOR('',#19966,6.3E-1); +#19968=CARTESIAN_POINT('',(3.53E1,1.0015E1,-1.765E1)); +#19969=LINE('',#19968,#19967); +#19970=DIRECTION('',(0.E0,0.E0,1.E0)); +#19971=VECTOR('',#19970,5.9E0); +#19972=CARTESIAN_POINT('',(3.53E1,1.0015E1,-1.765E1)); +#19973=LINE('',#19972,#19971); +#19974=DIRECTION('',(1.E0,0.E0,0.E0)); +#19975=VECTOR('',#19974,6.E-1); +#19976=CARTESIAN_POINT('',(3.47E1,1.0015E1,-1.765E1)); +#19977=LINE('',#19976,#19975); +#19978=DIRECTION('',(0.E0,0.E0,1.E0)); +#19979=VECTOR('',#19978,5.9E0); +#19980=CARTESIAN_POINT('',(3.47E1,1.0015E1,-1.765E1)); +#19981=LINE('',#19980,#19979); +#19982=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19983=VECTOR('',#19982,6.3E-1); +#19984=CARTESIAN_POINT('',(3.47E1,1.0645E1,-1.175E1)); +#19985=LINE('',#19984,#19983); +#19986=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19987=VECTOR('',#19986,6.E-1); +#19988=CARTESIAN_POINT('',(3.53E1,1.0645E1,-1.175E1)); +#19989=LINE('',#19988,#19987); +#19990=DIRECTION('',(0.E0,1.E0,0.E0)); +#19991=VECTOR('',#19990,6.3E-1); +#19992=CARTESIAN_POINT('',(3.53E1,1.0015E1,-1.175E1)); +#19993=LINE('',#19992,#19991); +#19994=DIRECTION('',(1.E0,0.E0,0.E0)); +#19995=VECTOR('',#19994,6.E-1); +#19996=CARTESIAN_POINT('',(3.47E1,1.0015E1,-1.175E1)); +#19997=LINE('',#19996,#19995); +#19998=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19999=VECTOR('',#19998,6.3E-1); +#20000=CARTESIAN_POINT('',(3.47E1,1.845E0,-1.175E1)); +#20001=LINE('',#20000,#19999); +#20002=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20003=VECTOR('',#20002,6.E-1); +#20004=CARTESIAN_POINT('',(3.53E1,1.845E0,-1.175E1)); +#20005=LINE('',#20004,#20003); +#20006=DIRECTION('',(0.E0,1.E0,0.E0)); +#20007=VECTOR('',#20006,6.3E-1); +#20008=CARTESIAN_POINT('',(3.53E1,1.215E0,-1.175E1)); +#20009=LINE('',#20008,#20007); +#20010=DIRECTION('',(1.E0,0.E0,0.E0)); +#20011=VECTOR('',#20010,6.E-1); +#20012=CARTESIAN_POINT('',(3.47E1,1.215E0,-1.175E1)); +#20013=LINE('',#20012,#20011); +#20014=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20015=VECTOR('',#20014,6.3E-1); +#20016=CARTESIAN_POINT('',(3.47E1,1.845E0,-1.765E1)); +#20017=LINE('',#20016,#20015); +#20018=DIRECTION('',(0.E0,0.E0,1.E0)); +#20019=VECTOR('',#20018,5.9E0); +#20020=CARTESIAN_POINT('',(3.47E1,1.845E0,-1.765E1)); +#20021=LINE('',#20020,#20019); +#20022=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20023=VECTOR('',#20022,8.E-1); +#20024=CARTESIAN_POINT('',(3.58E1,1.93E0,-1.765E1)); +#20025=LINE('',#20024,#20023); +#20026=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20027=VECTOR('',#20026,1.6E0); +#20028=CARTESIAN_POINT('',(3.58E1,1.13E0,-1.765E1)); +#20029=LINE('',#20028,#20027); +#20030=DIRECTION('',(0.E0,1.E0,0.E0)); +#20031=VECTOR('',#20030,8.E-1); +#20032=CARTESIAN_POINT('',(3.42E1,1.13E0,-1.765E1)); +#20033=LINE('',#20032,#20031); +#20034=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20035=VECTOR('',#20034,1.6E0); +#20036=CARTESIAN_POINT('',(3.58E1,1.93E0,-1.765E1)); +#20037=LINE('',#20036,#20035); +#20038=DIRECTION('',(1.E0,0.E0,0.E0)); +#20039=VECTOR('',#20038,6.E-1); +#20040=CARTESIAN_POINT('',(3.47E1,1.215E0,-1.765E1)); +#20041=LINE('',#20040,#20039); +#20042=DIRECTION('',(0.E0,0.E0,1.E0)); +#20043=VECTOR('',#20042,5.9E0); +#20044=CARTESIAN_POINT('',(3.47E1,1.215E0,-1.765E1)); +#20045=LINE('',#20044,#20043); +#20046=DIRECTION('',(0.E0,1.E0,0.E0)); +#20047=VECTOR('',#20046,6.3E-1); +#20048=CARTESIAN_POINT('',(3.53E1,1.215E0,-1.765E1)); +#20049=LINE('',#20048,#20047); +#20050=DIRECTION('',(0.E0,0.E0,1.E0)); +#20051=VECTOR('',#20050,5.9E0); +#20052=CARTESIAN_POINT('',(3.53E1,1.215E0,-1.765E1)); +#20053=LINE('',#20052,#20051); +#20054=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20055=VECTOR('',#20054,6.E-1); +#20056=CARTESIAN_POINT('',(3.53E1,1.845E0,-1.765E1)); +#20057=LINE('',#20056,#20055); +#20058=DIRECTION('',(0.E0,0.E0,1.E0)); +#20059=VECTOR('',#20058,5.9E0); +#20060=CARTESIAN_POINT('',(3.53E1,1.845E0,-1.765E1)); +#20061=LINE('',#20060,#20059); +#20062=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#20063=VECTOR('',#20062,5.196152422707E-1); +#20064=CARTESIAN_POINT('',(3.58E1,1.93E0,-1.765E1)); +#20065=LINE('',#20064,#20063); +#20066=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#20067=VECTOR('',#20066,5.196152422707E-1); +#20068=CARTESIAN_POINT('',(3.42E1,1.93E0,-1.765E1)); +#20069=LINE('',#20068,#20067); +#20070=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#20071=VECTOR('',#20070,5.196152422707E-1); +#20072=CARTESIAN_POINT('',(3.42E1,1.13E0,-1.765E1)); +#20073=LINE('',#20072,#20071); +#20074=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#20075=VECTOR('',#20074,5.196152422707E-1); +#20076=CARTESIAN_POINT('',(3.61E1,8.3E-1,-1.795E1)); +#20077=LINE('',#20076,#20075); +#20078=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20079=VECTOR('',#20078,2.2E0); +#20080=CARTESIAN_POINT('',(3.61E1,8.3E-1,-1.795E1)); +#20081=LINE('',#20080,#20079); +#20082=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20083=VECTOR('',#20082,1.4E0); +#20084=CARTESIAN_POINT('',(3.61E1,1.103E1,-1.795E1)); +#20085=LINE('',#20084,#20083); +#20086=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#20087=VECTOR('',#20086,1.066197942480E0); +#20088=CARTESIAN_POINT('',(3.61E1,1.121514332974E1,-1.9E1)); +#20089=LINE('',#20088,#20087); +#20090=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#20091=VECTOR('',#20090,2.969378216362E0); +#20092=CARTESIAN_POINT('',(3.61E1,9.63E0,-1.816282873211E1)); +#20093=LINE('',#20092,#20091); +#20094=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20095=VECTOR('',#20094,2.128287321091E-1); +#20096=CARTESIAN_POINT('',(3.61E1,9.63E0,-1.795E1)); +#20097=LINE('',#20096,#20095); +#20098=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20099=VECTOR('',#20098,1.4E0); +#20100=CARTESIAN_POINT('',(3.61E1,2.23E0,-1.795E1)); +#20101=LINE('',#20100,#20099); +#20102=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#20103=VECTOR('',#20102,2.007639675087E0); +#20104=CARTESIAN_POINT('',(3.61E1,2.404977327052E0,-1.995E1)); +#20105=LINE('',#20104,#20103); +#20106=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#20107=VECTOR('',#20106,2.841818276862E0); +#20108=CARTESIAN_POINT('',(3.61E1,8.3E-1,-1.832E1)); +#20109=LINE('',#20108,#20107); +#20110=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20111=VECTOR('',#20110,3.7E-1); +#20112=CARTESIAN_POINT('',(3.61E1,8.3E-1,-1.795E1)); +#20113=LINE('',#20112,#20111); +#20114=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#20115=VECTOR('',#20114,5.196152422707E-1); +#20116=CARTESIAN_POINT('',(3.58E1,1.073E1,-1.765E1)); +#20117=LINE('',#20116,#20115); +#20118=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20119=VECTOR('',#20118,2.2E0); +#20120=CARTESIAN_POINT('',(3.61E1,1.103E1,-1.795E1)); +#20121=LINE('',#20120,#20119); +#20122=DIRECTION('',(0.E0,-8.715574274759E-2,9.961946980918E-1)); +#20123=VECTOR('',#20122,2.706467783260E-2); +#20124=CARTESIAN_POINT('',(3.82E1,7.199986605899E0,-1.989295579619E1)); +#20125=LINE('',#20124,#20123); +#20126=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20127=VECTOR('',#20126,5.000000000001E-2); +#20128=CARTESIAN_POINT('',(3.825E1,7.197627763800E0,-1.986599410763E1)); +#20129=LINE('',#20128,#20127); +#20130=DIRECTION('',(1.E0,0.E0,0.E0)); +#20131=VECTOR('',#20130,4.999999999999E-2); +#20132=CARTESIAN_POINT('',(3.605E1,7.197627763800E0,-1.986599410763E1)); +#20133=LINE('',#20132,#20131); +#20134=DIRECTION('',(0.E0,-8.715574274759E-2,9.961946980918E-1)); +#20135=VECTOR('',#20134,2.706467783260E-2); +#20136=CARTESIAN_POINT('',(3.61E1,7.199986605899E0,-1.989295579619E1)); +#20137=LINE('',#20136,#20135); +#20138=DIRECTION('',(0.E0,1.E0,0.E0)); +#20139=VECTOR('',#20138,1.4E0); +#20140=CARTESIAN_POINT('',(3.82E1,9.63E0,-1.795E1)); +#20141=LINE('',#20140,#20139); +#20142=DIRECTION('',(-3.338565844558E-14,0.E0,-1.E0)); +#20143=VECTOR('',#20142,2.128287321091E-1); +#20144=CARTESIAN_POINT('',(3.82E1,9.63E0,-1.795E1)); +#20145=LINE('',#20144,#20143); +#20146=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#20147=VECTOR('',#20146,2.969378216362E0); +#20148=CARTESIAN_POINT('',(3.82E1,9.63E0,-1.816282873211E1)); +#20149=LINE('',#20148,#20147); +#20150=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#20151=VECTOR('',#20150,1.066197942480E0); +#20152=CARTESIAN_POINT('',(3.82E1,1.121514332974E1,-1.9E1)); +#20153=LINE('',#20152,#20151); +#20154=DIRECTION('',(0.E0,1.E0,0.E0)); +#20155=VECTOR('',#20154,1.4E0); +#20156=CARTESIAN_POINT('',(3.82E1,8.3E-1,-1.795E1)); +#20157=LINE('',#20156,#20155); +#20158=DIRECTION('',(-1.920385772325E-14,0.E0,-1.E0)); +#20159=VECTOR('',#20158,3.7E-1); +#20160=CARTESIAN_POINT('',(3.82E1,8.3E-1,-1.795E1)); +#20161=LINE('',#20160,#20159); +#20162=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#20163=VECTOR('',#20162,2.841818276862E0); +#20164=CARTESIAN_POINT('',(3.82E1,8.3E-1,-1.832E1)); +#20165=LINE('',#20164,#20163); +#20166=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#20167=VECTOR('',#20166,2.007639675087E0); +#20168=CARTESIAN_POINT('',(3.82E1,2.404977327052E0,-1.995E1)); +#20169=LINE('',#20168,#20167); +#20170=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#20171=VECTOR('',#20170,5.196152422707E-1); +#20172=CARTESIAN_POINT('',(3.85E1,1.073E1,-1.765E1)); +#20173=LINE('',#20172,#20171); +#20174=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#20175=VECTOR('',#20174,5.196152422707E-1); +#20176=CARTESIAN_POINT('',(3.85E1,9.93E0,-1.765E1)); +#20177=LINE('',#20176,#20175); +#20178=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#20179=VECTOR('',#20178,5.196152422707E-1); +#20180=CARTESIAN_POINT('',(4.04E1,9.63E0,-1.795E1)); +#20181=LINE('',#20180,#20179); +#20182=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20183=VECTOR('',#20182,8.E-1); +#20184=CARTESIAN_POINT('',(4.01E1,1.073E1,-1.765E1)); +#20185=LINE('',#20184,#20183); +#20186=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20187=VECTOR('',#20186,1.6E0); +#20188=CARTESIAN_POINT('',(4.01E1,9.93E0,-1.765E1)); +#20189=LINE('',#20188,#20187); +#20190=DIRECTION('',(0.E0,1.E0,0.E0)); +#20191=VECTOR('',#20190,8.E-1); +#20192=CARTESIAN_POINT('',(3.85E1,9.93E0,-1.765E1)); +#20193=LINE('',#20192,#20191); +#20194=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20195=VECTOR('',#20194,1.6E0); +#20196=CARTESIAN_POINT('',(4.01E1,1.073E1,-1.765E1)); +#20197=LINE('',#20196,#20195); +#20198=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20199=VECTOR('',#20198,6.3E-1); +#20200=CARTESIAN_POINT('',(3.9E1,1.0645E1,-1.765E1)); +#20201=LINE('',#20200,#20199); +#20202=DIRECTION('',(0.E0,0.E0,1.E0)); +#20203=VECTOR('',#20202,5.9E0); +#20204=CARTESIAN_POINT('',(3.9E1,1.0645E1,-1.765E1)); +#20205=LINE('',#20204,#20203); +#20206=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20207=VECTOR('',#20206,6.E-1); +#20208=CARTESIAN_POINT('',(3.96E1,1.0645E1,-1.765E1)); +#20209=LINE('',#20208,#20207); +#20210=DIRECTION('',(0.E0,0.E0,1.E0)); +#20211=VECTOR('',#20210,5.9E0); +#20212=CARTESIAN_POINT('',(3.96E1,1.0645E1,-1.765E1)); +#20213=LINE('',#20212,#20211); +#20214=DIRECTION('',(0.E0,1.E0,0.E0)); +#20215=VECTOR('',#20214,6.3E-1); +#20216=CARTESIAN_POINT('',(3.96E1,1.0015E1,-1.765E1)); +#20217=LINE('',#20216,#20215); +#20218=DIRECTION('',(0.E0,0.E0,1.E0)); +#20219=VECTOR('',#20218,5.9E0); +#20220=CARTESIAN_POINT('',(3.96E1,1.0015E1,-1.765E1)); +#20221=LINE('',#20220,#20219); +#20222=DIRECTION('',(1.E0,0.E0,0.E0)); +#20223=VECTOR('',#20222,6.E-1); +#20224=CARTESIAN_POINT('',(3.9E1,1.0015E1,-1.765E1)); +#20225=LINE('',#20224,#20223); +#20226=DIRECTION('',(0.E0,0.E0,1.E0)); +#20227=VECTOR('',#20226,5.9E0); +#20228=CARTESIAN_POINT('',(3.9E1,1.0015E1,-1.765E1)); +#20229=LINE('',#20228,#20227); +#20230=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20231=VECTOR('',#20230,6.3E-1); +#20232=CARTESIAN_POINT('',(3.9E1,1.0645E1,-1.175E1)); +#20233=LINE('',#20232,#20231); +#20234=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20235=VECTOR('',#20234,6.E-1); +#20236=CARTESIAN_POINT('',(3.96E1,1.0645E1,-1.175E1)); +#20237=LINE('',#20236,#20235); +#20238=DIRECTION('',(0.E0,1.E0,0.E0)); +#20239=VECTOR('',#20238,6.3E-1); +#20240=CARTESIAN_POINT('',(3.96E1,1.0015E1,-1.175E1)); +#20241=LINE('',#20240,#20239); +#20242=DIRECTION('',(1.E0,0.E0,0.E0)); +#20243=VECTOR('',#20242,6.E-1); +#20244=CARTESIAN_POINT('',(3.9E1,1.0015E1,-1.175E1)); +#20245=LINE('',#20244,#20243); +#20246=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20247=VECTOR('',#20246,6.3E-1); +#20248=CARTESIAN_POINT('',(3.9E1,1.845E0,-1.175E1)); +#20249=LINE('',#20248,#20247); +#20250=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20251=VECTOR('',#20250,6.E-1); +#20252=CARTESIAN_POINT('',(3.96E1,1.845E0,-1.175E1)); +#20253=LINE('',#20252,#20251); +#20254=DIRECTION('',(0.E0,1.E0,0.E0)); +#20255=VECTOR('',#20254,6.3E-1); +#20256=CARTESIAN_POINT('',(3.96E1,1.215E0,-1.175E1)); +#20257=LINE('',#20256,#20255); +#20258=DIRECTION('',(1.E0,0.E0,0.E0)); +#20259=VECTOR('',#20258,6.E-1); +#20260=CARTESIAN_POINT('',(3.9E1,1.215E0,-1.175E1)); +#20261=LINE('',#20260,#20259); +#20262=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20263=VECTOR('',#20262,6.3E-1); +#20264=CARTESIAN_POINT('',(3.9E1,1.845E0,-1.765E1)); +#20265=LINE('',#20264,#20263); +#20266=DIRECTION('',(0.E0,0.E0,1.E0)); +#20267=VECTOR('',#20266,5.9E0); +#20268=CARTESIAN_POINT('',(3.9E1,1.845E0,-1.765E1)); +#20269=LINE('',#20268,#20267); +#20270=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20271=VECTOR('',#20270,8.E-1); +#20272=CARTESIAN_POINT('',(4.01E1,1.93E0,-1.765E1)); +#20273=LINE('',#20272,#20271); +#20274=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20275=VECTOR('',#20274,1.6E0); +#20276=CARTESIAN_POINT('',(4.01E1,1.13E0,-1.765E1)); +#20277=LINE('',#20276,#20275); +#20278=DIRECTION('',(0.E0,1.E0,0.E0)); +#20279=VECTOR('',#20278,8.E-1); +#20280=CARTESIAN_POINT('',(3.85E1,1.13E0,-1.765E1)); +#20281=LINE('',#20280,#20279); +#20282=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20283=VECTOR('',#20282,1.6E0); +#20284=CARTESIAN_POINT('',(4.01E1,1.93E0,-1.765E1)); +#20285=LINE('',#20284,#20283); +#20286=DIRECTION('',(1.E0,0.E0,0.E0)); +#20287=VECTOR('',#20286,6.E-1); +#20288=CARTESIAN_POINT('',(3.9E1,1.215E0,-1.765E1)); +#20289=LINE('',#20288,#20287); +#20290=DIRECTION('',(0.E0,0.E0,1.E0)); +#20291=VECTOR('',#20290,5.9E0); +#20292=CARTESIAN_POINT('',(3.9E1,1.215E0,-1.765E1)); +#20293=LINE('',#20292,#20291); +#20294=DIRECTION('',(0.E0,1.E0,0.E0)); +#20295=VECTOR('',#20294,6.3E-1); +#20296=CARTESIAN_POINT('',(3.96E1,1.215E0,-1.765E1)); +#20297=LINE('',#20296,#20295); +#20298=DIRECTION('',(0.E0,0.E0,1.E0)); +#20299=VECTOR('',#20298,5.9E0); +#20300=CARTESIAN_POINT('',(3.96E1,1.215E0,-1.765E1)); +#20301=LINE('',#20300,#20299); +#20302=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20303=VECTOR('',#20302,6.E-1); +#20304=CARTESIAN_POINT('',(3.96E1,1.845E0,-1.765E1)); +#20305=LINE('',#20304,#20303); +#20306=DIRECTION('',(0.E0,0.E0,1.E0)); +#20307=VECTOR('',#20306,5.9E0); +#20308=CARTESIAN_POINT('',(3.96E1,1.845E0,-1.765E1)); +#20309=LINE('',#20308,#20307); +#20310=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#20311=VECTOR('',#20310,5.196152422707E-1); +#20312=CARTESIAN_POINT('',(4.01E1,1.93E0,-1.765E1)); +#20313=LINE('',#20312,#20311); +#20314=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#20315=VECTOR('',#20314,5.196152422707E-1); +#20316=CARTESIAN_POINT('',(3.85E1,1.93E0,-1.765E1)); +#20317=LINE('',#20316,#20315); +#20318=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#20319=VECTOR('',#20318,5.196152422707E-1); +#20320=CARTESIAN_POINT('',(3.85E1,1.13E0,-1.765E1)); +#20321=LINE('',#20320,#20319); +#20322=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#20323=VECTOR('',#20322,5.196152422707E-1); +#20324=CARTESIAN_POINT('',(4.04E1,8.3E-1,-1.795E1)); +#20325=LINE('',#20324,#20323); +#20326=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20327=VECTOR('',#20326,2.2E0); +#20328=CARTESIAN_POINT('',(4.04E1,8.3E-1,-1.795E1)); +#20329=LINE('',#20328,#20327); +#20330=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20331=VECTOR('',#20330,1.4E0); +#20332=CARTESIAN_POINT('',(4.04E1,1.103E1,-1.795E1)); +#20333=LINE('',#20332,#20331); +#20334=DIRECTION('',(-1.332853323853E-14,-1.736481776669E-1,9.848077530122E-1)); +#20335=VECTOR('',#20334,1.066197942480E0); +#20336=CARTESIAN_POINT('',(4.04E1,1.121514332974E1,-1.9E1)); +#20337=LINE('',#20336,#20335); +#20338=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#20339=VECTOR('',#20338,2.969378216362E0); +#20340=CARTESIAN_POINT('',(4.04E1,9.63E0,-1.816282873211E1)); +#20341=LINE('',#20340,#20339); +#20342=DIRECTION('',(6.677131689117E-14,0.E0,-1.E0)); +#20343=VECTOR('',#20342,2.128287321091E-1); +#20344=CARTESIAN_POINT('',(4.04E1,9.63E0,-1.795E1)); +#20345=LINE('',#20344,#20343); +#20346=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20347=VECTOR('',#20346,1.4E0); +#20348=CARTESIAN_POINT('',(4.04E1,2.23E0,-1.795E1)); +#20349=LINE('',#20348,#20347); +#20350=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#20351=VECTOR('',#20350,2.007639675087E0); +#20352=CARTESIAN_POINT('',(4.04E1,2.404977327052E0,-1.995E1)); +#20353=LINE('',#20352,#20351); +#20354=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#20355=VECTOR('',#20354,2.841818276862E0); +#20356=CARTESIAN_POINT('',(4.04E1,8.3E-1,-1.832E1)); +#20357=LINE('',#20356,#20355); +#20358=DIRECTION('',(3.840771544649E-14,0.E0,-1.E0)); +#20359=VECTOR('',#20358,3.7E-1); +#20360=CARTESIAN_POINT('',(4.04E1,8.3E-1,-1.795E1)); +#20361=LINE('',#20360,#20359); +#20362=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#20363=VECTOR('',#20362,5.196152422707E-1); +#20364=CARTESIAN_POINT('',(4.01E1,1.073E1,-1.765E1)); +#20365=LINE('',#20364,#20363); +#20366=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20367=VECTOR('',#20366,2.2E0); +#20368=CARTESIAN_POINT('',(4.04E1,1.103E1,-1.795E1)); +#20369=LINE('',#20368,#20367); +#20370=DIRECTION('',(0.E0,-8.715574274759E-2,9.961946980918E-1)); +#20371=VECTOR('',#20370,2.706467783260E-2); +#20372=CARTESIAN_POINT('',(4.25E1,7.199986605899E0,-1.989295579619E1)); +#20373=LINE('',#20372,#20371); +#20374=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20375=VECTOR('',#20374,5.000000000001E-2); +#20376=CARTESIAN_POINT('',(4.255E1,7.197627763800E0,-1.986599410763E1)); +#20377=LINE('',#20376,#20375); +#20378=DIRECTION('',(1.E0,0.E0,0.E0)); +#20379=VECTOR('',#20378,5.E-2); +#20380=CARTESIAN_POINT('',(4.035E1,7.197627763800E0,-1.986599410763E1)); +#20381=LINE('',#20380,#20379); +#20382=DIRECTION('',(2.625350799130E-13,-8.715574274759E-2,9.961946980918E-1)); +#20383=VECTOR('',#20382,2.706467783260E-2); +#20384=CARTESIAN_POINT('',(4.04E1,7.199986605899E0,-1.989295579619E1)); +#20385=LINE('',#20384,#20383); +#20386=DIRECTION('',(0.E0,1.E0,0.E0)); +#20387=VECTOR('',#20386,1.4E0); +#20388=CARTESIAN_POINT('',(4.25E1,9.63E0,-1.795E1)); +#20389=LINE('',#20388,#20387); +#20390=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20391=VECTOR('',#20390,2.128287321091E-1); +#20392=CARTESIAN_POINT('',(4.25E1,9.63E0,-1.795E1)); +#20393=LINE('',#20392,#20391); +#20394=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#20395=VECTOR('',#20394,2.969378216362E0); +#20396=CARTESIAN_POINT('',(4.25E1,9.63E0,-1.816282873211E1)); +#20397=LINE('',#20396,#20395); +#20398=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#20399=VECTOR('',#20398,1.066197942480E0); +#20400=CARTESIAN_POINT('',(4.25E1,1.121514332974E1,-1.9E1)); +#20401=LINE('',#20400,#20399); +#20402=DIRECTION('',(0.E0,1.E0,0.E0)); +#20403=VECTOR('',#20402,1.4E0); +#20404=CARTESIAN_POINT('',(4.25E1,8.3E-1,-1.795E1)); +#20405=LINE('',#20404,#20403); +#20406=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20407=VECTOR('',#20406,3.7E-1); +#20408=CARTESIAN_POINT('',(4.25E1,8.3E-1,-1.795E1)); +#20409=LINE('',#20408,#20407); +#20410=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#20411=VECTOR('',#20410,2.841818276862E0); +#20412=CARTESIAN_POINT('',(4.25E1,8.3E-1,-1.832E1)); +#20413=LINE('',#20412,#20411); +#20414=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#20415=VECTOR('',#20414,2.007639675087E0); +#20416=CARTESIAN_POINT('',(4.25E1,2.404977327052E0,-1.995E1)); +#20417=LINE('',#20416,#20415); +#20418=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#20419=VECTOR('',#20418,5.196152422707E-1); +#20420=CARTESIAN_POINT('',(4.28E1,1.073E1,-1.765E1)); +#20421=LINE('',#20420,#20419); +#20422=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#20423=VECTOR('',#20422,5.196152422707E-1); +#20424=CARTESIAN_POINT('',(4.28E1,9.93E0,-1.765E1)); +#20425=LINE('',#20424,#20423); +#20426=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#20427=VECTOR('',#20426,5.196152422707E-1); +#20428=CARTESIAN_POINT('',(4.47E1,9.63E0,-1.795E1)); +#20429=LINE('',#20428,#20427); +#20430=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20431=VECTOR('',#20430,8.E-1); +#20432=CARTESIAN_POINT('',(4.44E1,1.073E1,-1.765E1)); +#20433=LINE('',#20432,#20431); +#20434=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20435=VECTOR('',#20434,1.6E0); +#20436=CARTESIAN_POINT('',(4.44E1,9.93E0,-1.765E1)); +#20437=LINE('',#20436,#20435); +#20438=DIRECTION('',(0.E0,1.E0,0.E0)); +#20439=VECTOR('',#20438,8.E-1); +#20440=CARTESIAN_POINT('',(4.28E1,9.93E0,-1.765E1)); +#20441=LINE('',#20440,#20439); +#20442=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20443=VECTOR('',#20442,1.6E0); +#20444=CARTESIAN_POINT('',(4.44E1,1.073E1,-1.765E1)); +#20445=LINE('',#20444,#20443); +#20446=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20447=VECTOR('',#20446,6.3E-1); +#20448=CARTESIAN_POINT('',(4.33E1,1.0645E1,-1.765E1)); +#20449=LINE('',#20448,#20447); +#20450=DIRECTION('',(0.E0,0.E0,1.E0)); +#20451=VECTOR('',#20450,5.9E0); +#20452=CARTESIAN_POINT('',(4.33E1,1.0645E1,-1.765E1)); +#20453=LINE('',#20452,#20451); +#20454=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20455=VECTOR('',#20454,6.E-1); +#20456=CARTESIAN_POINT('',(4.39E1,1.0645E1,-1.765E1)); +#20457=LINE('',#20456,#20455); +#20458=DIRECTION('',(0.E0,0.E0,1.E0)); +#20459=VECTOR('',#20458,5.9E0); +#20460=CARTESIAN_POINT('',(4.39E1,1.0645E1,-1.765E1)); +#20461=LINE('',#20460,#20459); +#20462=DIRECTION('',(0.E0,1.E0,0.E0)); +#20463=VECTOR('',#20462,6.3E-1); +#20464=CARTESIAN_POINT('',(4.39E1,1.0015E1,-1.765E1)); +#20465=LINE('',#20464,#20463); +#20466=DIRECTION('',(0.E0,0.E0,1.E0)); +#20467=VECTOR('',#20466,5.9E0); +#20468=CARTESIAN_POINT('',(4.39E1,1.0015E1,-1.765E1)); +#20469=LINE('',#20468,#20467); +#20470=DIRECTION('',(1.E0,0.E0,0.E0)); +#20471=VECTOR('',#20470,6.E-1); +#20472=CARTESIAN_POINT('',(4.33E1,1.0015E1,-1.765E1)); +#20473=LINE('',#20472,#20471); +#20474=DIRECTION('',(0.E0,0.E0,1.E0)); +#20475=VECTOR('',#20474,5.9E0); +#20476=CARTESIAN_POINT('',(4.33E1,1.0015E1,-1.765E1)); +#20477=LINE('',#20476,#20475); +#20478=DIRECTION('',(1.127845612318E-14,-1.E0,0.E0)); +#20479=VECTOR('',#20478,6.3E-1); +#20480=CARTESIAN_POINT('',(4.33E1,1.0645E1,-1.175E1)); +#20481=LINE('',#20480,#20479); +#20482=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20483=VECTOR('',#20482,6.E-1); +#20484=CARTESIAN_POINT('',(4.39E1,1.0645E1,-1.175E1)); +#20485=LINE('',#20484,#20483); +#20486=DIRECTION('',(0.E0,1.E0,0.E0)); +#20487=VECTOR('',#20486,6.3E-1); +#20488=CARTESIAN_POINT('',(4.39E1,1.0015E1,-1.175E1)); +#20489=LINE('',#20488,#20487); +#20490=DIRECTION('',(1.E0,0.E0,0.E0)); +#20491=VECTOR('',#20490,6.E-1); +#20492=CARTESIAN_POINT('',(4.33E1,1.0015E1,-1.175E1)); +#20493=LINE('',#20492,#20491); +#20494=DIRECTION('',(1.127845612318E-14,-1.E0,0.E0)); +#20495=VECTOR('',#20494,6.3E-1); +#20496=CARTESIAN_POINT('',(4.33E1,1.845E0,-1.175E1)); +#20497=LINE('',#20496,#20495); +#20498=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20499=VECTOR('',#20498,6.E-1); +#20500=CARTESIAN_POINT('',(4.39E1,1.845E0,-1.175E1)); +#20501=LINE('',#20500,#20499); +#20502=DIRECTION('',(0.E0,1.E0,0.E0)); +#20503=VECTOR('',#20502,6.3E-1); +#20504=CARTESIAN_POINT('',(4.39E1,1.215E0,-1.175E1)); +#20505=LINE('',#20504,#20503); +#20506=DIRECTION('',(1.E0,0.E0,0.E0)); +#20507=VECTOR('',#20506,6.E-1); +#20508=CARTESIAN_POINT('',(4.33E1,1.215E0,-1.175E1)); +#20509=LINE('',#20508,#20507); +#20510=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20511=VECTOR('',#20510,6.3E-1); +#20512=CARTESIAN_POINT('',(4.33E1,1.845E0,-1.765E1)); +#20513=LINE('',#20512,#20511); +#20514=DIRECTION('',(0.E0,0.E0,1.E0)); +#20515=VECTOR('',#20514,5.9E0); +#20516=CARTESIAN_POINT('',(4.33E1,1.845E0,-1.765E1)); +#20517=LINE('',#20516,#20515); +#20518=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20519=VECTOR('',#20518,8.E-1); +#20520=CARTESIAN_POINT('',(4.44E1,1.93E0,-1.765E1)); +#20521=LINE('',#20520,#20519); +#20522=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20523=VECTOR('',#20522,1.6E0); +#20524=CARTESIAN_POINT('',(4.44E1,1.13E0,-1.765E1)); +#20525=LINE('',#20524,#20523); +#20526=DIRECTION('',(0.E0,1.E0,0.E0)); +#20527=VECTOR('',#20526,8.E-1); +#20528=CARTESIAN_POINT('',(4.28E1,1.13E0,-1.765E1)); +#20529=LINE('',#20528,#20527); +#20530=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20531=VECTOR('',#20530,1.6E0); +#20532=CARTESIAN_POINT('',(4.44E1,1.93E0,-1.765E1)); +#20533=LINE('',#20532,#20531); +#20534=DIRECTION('',(1.E0,0.E0,0.E0)); +#20535=VECTOR('',#20534,6.E-1); +#20536=CARTESIAN_POINT('',(4.33E1,1.215E0,-1.765E1)); +#20537=LINE('',#20536,#20535); +#20538=DIRECTION('',(0.E0,0.E0,1.E0)); +#20539=VECTOR('',#20538,5.9E0); +#20540=CARTESIAN_POINT('',(4.33E1,1.215E0,-1.765E1)); +#20541=LINE('',#20540,#20539); +#20542=DIRECTION('',(0.E0,1.E0,0.E0)); +#20543=VECTOR('',#20542,6.3E-1); +#20544=CARTESIAN_POINT('',(4.39E1,1.215E0,-1.765E1)); +#20545=LINE('',#20544,#20543); +#20546=DIRECTION('',(0.E0,0.E0,1.E0)); +#20547=VECTOR('',#20546,5.9E0); +#20548=CARTESIAN_POINT('',(4.39E1,1.215E0,-1.765E1)); +#20549=LINE('',#20548,#20547); +#20550=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20551=VECTOR('',#20550,6.E-1); +#20552=CARTESIAN_POINT('',(4.39E1,1.845E0,-1.765E1)); +#20553=LINE('',#20552,#20551); +#20554=DIRECTION('',(0.E0,0.E0,1.E0)); +#20555=VECTOR('',#20554,5.9E0); +#20556=CARTESIAN_POINT('',(4.39E1,1.845E0,-1.765E1)); +#20557=LINE('',#20556,#20555); +#20558=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#20559=VECTOR('',#20558,5.196152422707E-1); +#20560=CARTESIAN_POINT('',(4.44E1,1.93E0,-1.765E1)); +#20561=LINE('',#20560,#20559); +#20562=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#20563=VECTOR('',#20562,5.196152422707E-1); +#20564=CARTESIAN_POINT('',(4.28E1,1.93E0,-1.765E1)); +#20565=LINE('',#20564,#20563); +#20566=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#20567=VECTOR('',#20566,5.196152422707E-1); +#20568=CARTESIAN_POINT('',(4.28E1,1.13E0,-1.765E1)); +#20569=LINE('',#20568,#20567); +#20570=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#20571=VECTOR('',#20570,5.196152422707E-1); +#20572=CARTESIAN_POINT('',(4.47E1,8.3E-1,-1.795E1)); +#20573=LINE('',#20572,#20571); +#20574=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20575=VECTOR('',#20574,2.2E0); +#20576=CARTESIAN_POINT('',(4.47E1,8.3E-1,-1.795E1)); +#20577=LINE('',#20576,#20575); +#20578=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20579=VECTOR('',#20578,1.4E0); +#20580=CARTESIAN_POINT('',(4.47E1,1.103E1,-1.795E1)); +#20581=LINE('',#20580,#20579); +#20582=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#20583=VECTOR('',#20582,1.066197942480E0); +#20584=CARTESIAN_POINT('',(4.47E1,1.121514332974E1,-1.9E1)); +#20585=LINE('',#20584,#20583); +#20586=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#20587=VECTOR('',#20586,2.969378216362E0); +#20588=CARTESIAN_POINT('',(4.47E1,9.63E0,-1.816282873211E1)); +#20589=LINE('',#20588,#20587); +#20590=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20591=VECTOR('',#20590,2.128287321091E-1); +#20592=CARTESIAN_POINT('',(4.47E1,9.63E0,-1.795E1)); +#20593=LINE('',#20592,#20591); +#20594=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20595=VECTOR('',#20594,1.4E0); +#20596=CARTESIAN_POINT('',(4.47E1,2.23E0,-1.795E1)); +#20597=LINE('',#20596,#20595); +#20598=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#20599=VECTOR('',#20598,2.007639675087E0); +#20600=CARTESIAN_POINT('',(4.47E1,2.404977327052E0,-1.995E1)); +#20601=LINE('',#20600,#20599); +#20602=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#20603=VECTOR('',#20602,2.841818276862E0); +#20604=CARTESIAN_POINT('',(4.47E1,8.3E-1,-1.832E1)); +#20605=LINE('',#20604,#20603); +#20606=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20607=VECTOR('',#20606,3.7E-1); +#20608=CARTESIAN_POINT('',(4.47E1,8.3E-1,-1.795E1)); +#20609=LINE('',#20608,#20607); +#20610=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#20611=VECTOR('',#20610,5.196152422707E-1); +#20612=CARTESIAN_POINT('',(4.44E1,1.073E1,-1.765E1)); +#20613=LINE('',#20612,#20611); +#20614=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20615=VECTOR('',#20614,2.2E0); +#20616=CARTESIAN_POINT('',(4.47E1,1.103E1,-1.795E1)); +#20617=LINE('',#20616,#20615); +#20618=DIRECTION('',(0.E0,-8.715574274759E-2,9.961946980918E-1)); +#20619=VECTOR('',#20618,2.706467783260E-2); +#20620=CARTESIAN_POINT('',(4.68E1,7.199986605899E0,-1.989295579619E1)); +#20621=LINE('',#20620,#20619); +#20622=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20623=VECTOR('',#20622,5.E-2); +#20624=CARTESIAN_POINT('',(4.685E1,7.197627763800E0,-1.986599410763E1)); +#20625=LINE('',#20624,#20623); +#20626=DIRECTION('',(1.E0,0.E0,0.E0)); +#20627=VECTOR('',#20626,5.E-2); +#20628=CARTESIAN_POINT('',(4.465E1,7.197627763800E0,-1.986599410763E1)); +#20629=LINE('',#20628,#20627); +#20630=DIRECTION('',(0.E0,-8.715574274759E-2,9.961946980918E-1)); +#20631=VECTOR('',#20630,2.706467783260E-2); +#20632=CARTESIAN_POINT('',(4.47E1,7.199986605899E0,-1.989295579619E1)); +#20633=LINE('',#20632,#20631); +#20634=DIRECTION('',(0.E0,1.E0,0.E0)); +#20635=VECTOR('',#20634,1.4E0); +#20636=CARTESIAN_POINT('',(4.68E1,9.63E0,-1.795E1)); +#20637=LINE('',#20636,#20635); +#20638=DIRECTION('',(-3.338565844558E-14,0.E0,-1.E0)); +#20639=VECTOR('',#20638,2.128287321091E-1); +#20640=CARTESIAN_POINT('',(4.68E1,9.63E0,-1.795E1)); +#20641=LINE('',#20640,#20639); +#20642=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#20643=VECTOR('',#20642,2.969378216362E0); +#20644=CARTESIAN_POINT('',(4.68E1,9.63E0,-1.816282873211E1)); +#20645=LINE('',#20644,#20643); +#20646=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#20647=VECTOR('',#20646,1.066197942480E0); +#20648=CARTESIAN_POINT('',(4.68E1,1.121514332974E1,-1.9E1)); +#20649=LINE('',#20648,#20647); +#20650=DIRECTION('',(0.E0,1.E0,0.E0)); +#20651=VECTOR('',#20650,1.4E0); +#20652=CARTESIAN_POINT('',(4.68E1,8.3E-1,-1.795E1)); +#20653=LINE('',#20652,#20651); +#20654=DIRECTION('',(-1.920385772325E-14,0.E0,-1.E0)); +#20655=VECTOR('',#20654,3.7E-1); +#20656=CARTESIAN_POINT('',(4.68E1,8.3E-1,-1.795E1)); +#20657=LINE('',#20656,#20655); +#20658=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#20659=VECTOR('',#20658,2.841818276862E0); +#20660=CARTESIAN_POINT('',(4.68E1,8.3E-1,-1.832E1)); +#20661=LINE('',#20660,#20659); +#20662=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#20663=VECTOR('',#20662,2.007639675087E0); +#20664=CARTESIAN_POINT('',(4.68E1,2.404977327052E0,-1.995E1)); +#20665=LINE('',#20664,#20663); +#20666=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#20667=VECTOR('',#20666,5.196152422707E-1); +#20668=CARTESIAN_POINT('',(4.71E1,1.073E1,-1.765E1)); +#20669=LINE('',#20668,#20667); +#20670=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#20671=VECTOR('',#20670,5.196152422707E-1); +#20672=CARTESIAN_POINT('',(4.71E1,9.93E0,-1.765E1)); +#20673=LINE('',#20672,#20671); +#20674=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#20675=VECTOR('',#20674,5.196152422707E-1); +#20676=CARTESIAN_POINT('',(4.9E1,9.63E0,-1.795E1)); +#20677=LINE('',#20676,#20675); +#20678=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20679=VECTOR('',#20678,8.E-1); +#20680=CARTESIAN_POINT('',(4.87E1,1.073E1,-1.765E1)); +#20681=LINE('',#20680,#20679); +#20682=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20683=VECTOR('',#20682,1.6E0); +#20684=CARTESIAN_POINT('',(4.87E1,9.93E0,-1.765E1)); +#20685=LINE('',#20684,#20683); +#20686=DIRECTION('',(0.E0,1.E0,0.E0)); +#20687=VECTOR('',#20686,8.E-1); +#20688=CARTESIAN_POINT('',(4.71E1,9.93E0,-1.765E1)); +#20689=LINE('',#20688,#20687); +#20690=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20691=VECTOR('',#20690,1.6E0); +#20692=CARTESIAN_POINT('',(4.87E1,1.073E1,-1.765E1)); +#20693=LINE('',#20692,#20691); +#20694=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20695=VECTOR('',#20694,6.3E-1); +#20696=CARTESIAN_POINT('',(4.76E1,1.0645E1,-1.765E1)); +#20697=LINE('',#20696,#20695); +#20698=DIRECTION('',(0.E0,0.E0,1.E0)); +#20699=VECTOR('',#20698,5.9E0); +#20700=CARTESIAN_POINT('',(4.76E1,1.0645E1,-1.765E1)); +#20701=LINE('',#20700,#20699); +#20702=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20703=VECTOR('',#20702,6.E-1); +#20704=CARTESIAN_POINT('',(4.82E1,1.0645E1,-1.765E1)); +#20705=LINE('',#20704,#20703); +#20706=DIRECTION('',(0.E0,0.E0,1.E0)); +#20707=VECTOR('',#20706,5.9E0); +#20708=CARTESIAN_POINT('',(4.82E1,1.0645E1,-1.765E1)); +#20709=LINE('',#20708,#20707); +#20710=DIRECTION('',(0.E0,1.E0,0.E0)); +#20711=VECTOR('',#20710,6.3E-1); +#20712=CARTESIAN_POINT('',(4.82E1,1.0015E1,-1.765E1)); +#20713=LINE('',#20712,#20711); +#20714=DIRECTION('',(0.E0,0.E0,1.E0)); +#20715=VECTOR('',#20714,5.9E0); +#20716=CARTESIAN_POINT('',(4.82E1,1.0015E1,-1.765E1)); +#20717=LINE('',#20716,#20715); +#20718=DIRECTION('',(1.E0,0.E0,0.E0)); +#20719=VECTOR('',#20718,6.E-1); +#20720=CARTESIAN_POINT('',(4.76E1,1.0015E1,-1.765E1)); +#20721=LINE('',#20720,#20719); +#20722=DIRECTION('',(0.E0,0.E0,1.E0)); +#20723=VECTOR('',#20722,5.9E0); +#20724=CARTESIAN_POINT('',(4.76E1,1.0015E1,-1.765E1)); +#20725=LINE('',#20724,#20723); +#20726=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20727=VECTOR('',#20726,6.3E-1); +#20728=CARTESIAN_POINT('',(4.76E1,1.0645E1,-1.175E1)); +#20729=LINE('',#20728,#20727); +#20730=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20731=VECTOR('',#20730,6.E-1); +#20732=CARTESIAN_POINT('',(4.82E1,1.0645E1,-1.175E1)); +#20733=LINE('',#20732,#20731); +#20734=DIRECTION('',(0.E0,1.E0,0.E0)); +#20735=VECTOR('',#20734,6.3E-1); +#20736=CARTESIAN_POINT('',(4.82E1,1.0015E1,-1.175E1)); +#20737=LINE('',#20736,#20735); +#20738=DIRECTION('',(1.E0,0.E0,0.E0)); +#20739=VECTOR('',#20738,6.E-1); +#20740=CARTESIAN_POINT('',(4.76E1,1.0015E1,-1.175E1)); +#20741=LINE('',#20740,#20739); +#20742=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20743=VECTOR('',#20742,6.3E-1); +#20744=CARTESIAN_POINT('',(4.76E1,1.845E0,-1.175E1)); +#20745=LINE('',#20744,#20743); +#20746=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20747=VECTOR('',#20746,6.E-1); +#20748=CARTESIAN_POINT('',(4.82E1,1.845E0,-1.175E1)); +#20749=LINE('',#20748,#20747); +#20750=DIRECTION('',(0.E0,1.E0,0.E0)); +#20751=VECTOR('',#20750,6.3E-1); +#20752=CARTESIAN_POINT('',(4.82E1,1.215E0,-1.175E1)); +#20753=LINE('',#20752,#20751); +#20754=DIRECTION('',(1.E0,0.E0,0.E0)); +#20755=VECTOR('',#20754,6.E-1); +#20756=CARTESIAN_POINT('',(4.76E1,1.215E0,-1.175E1)); +#20757=LINE('',#20756,#20755); +#20758=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20759=VECTOR('',#20758,6.3E-1); +#20760=CARTESIAN_POINT('',(4.76E1,1.845E0,-1.765E1)); +#20761=LINE('',#20760,#20759); +#20762=DIRECTION('',(0.E0,0.E0,1.E0)); +#20763=VECTOR('',#20762,5.9E0); +#20764=CARTESIAN_POINT('',(4.76E1,1.845E0,-1.765E1)); +#20765=LINE('',#20764,#20763); +#20766=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20767=VECTOR('',#20766,8.E-1); +#20768=CARTESIAN_POINT('',(4.87E1,1.93E0,-1.765E1)); +#20769=LINE('',#20768,#20767); +#20770=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20771=VECTOR('',#20770,1.6E0); +#20772=CARTESIAN_POINT('',(4.87E1,1.13E0,-1.765E1)); +#20773=LINE('',#20772,#20771); +#20774=DIRECTION('',(0.E0,1.E0,0.E0)); +#20775=VECTOR('',#20774,8.E-1); +#20776=CARTESIAN_POINT('',(4.71E1,1.13E0,-1.765E1)); +#20777=LINE('',#20776,#20775); +#20778=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20779=VECTOR('',#20778,1.6E0); +#20780=CARTESIAN_POINT('',(4.87E1,1.93E0,-1.765E1)); +#20781=LINE('',#20780,#20779); +#20782=DIRECTION('',(1.E0,0.E0,0.E0)); +#20783=VECTOR('',#20782,6.E-1); +#20784=CARTESIAN_POINT('',(4.76E1,1.215E0,-1.765E1)); +#20785=LINE('',#20784,#20783); +#20786=DIRECTION('',(0.E0,0.E0,1.E0)); +#20787=VECTOR('',#20786,5.9E0); +#20788=CARTESIAN_POINT('',(4.76E1,1.215E0,-1.765E1)); +#20789=LINE('',#20788,#20787); +#20790=DIRECTION('',(0.E0,1.E0,0.E0)); +#20791=VECTOR('',#20790,6.3E-1); +#20792=CARTESIAN_POINT('',(4.82E1,1.215E0,-1.765E1)); +#20793=LINE('',#20792,#20791); +#20794=DIRECTION('',(0.E0,0.E0,1.E0)); +#20795=VECTOR('',#20794,5.9E0); +#20796=CARTESIAN_POINT('',(4.82E1,1.215E0,-1.765E1)); +#20797=LINE('',#20796,#20795); +#20798=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20799=VECTOR('',#20798,6.E-1); +#20800=CARTESIAN_POINT('',(4.82E1,1.845E0,-1.765E1)); +#20801=LINE('',#20800,#20799); +#20802=DIRECTION('',(0.E0,0.E0,1.E0)); +#20803=VECTOR('',#20802,5.9E0); +#20804=CARTESIAN_POINT('',(4.82E1,1.845E0,-1.765E1)); +#20805=LINE('',#20804,#20803); +#20806=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#20807=VECTOR('',#20806,5.196152422707E-1); +#20808=CARTESIAN_POINT('',(4.87E1,1.93E0,-1.765E1)); +#20809=LINE('',#20808,#20807); +#20810=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#20811=VECTOR('',#20810,5.196152422707E-1); +#20812=CARTESIAN_POINT('',(4.71E1,1.93E0,-1.765E1)); +#20813=LINE('',#20812,#20811); +#20814=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#20815=VECTOR('',#20814,5.196152422707E-1); +#20816=CARTESIAN_POINT('',(4.71E1,1.13E0,-1.765E1)); +#20817=LINE('',#20816,#20815); +#20818=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#20819=VECTOR('',#20818,5.196152422707E-1); +#20820=CARTESIAN_POINT('',(4.9E1,8.3E-1,-1.795E1)); +#20821=LINE('',#20820,#20819); +#20822=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20823=VECTOR('',#20822,2.2E0); +#20824=CARTESIAN_POINT('',(4.9E1,8.3E-1,-1.795E1)); +#20825=LINE('',#20824,#20823); +#20826=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20827=VECTOR('',#20826,1.4E0); +#20828=CARTESIAN_POINT('',(4.9E1,1.103E1,-1.795E1)); +#20829=LINE('',#20828,#20827); +#20830=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#20831=VECTOR('',#20830,1.066197942480E0); +#20832=CARTESIAN_POINT('',(4.9E1,1.121514332974E1,-1.9E1)); +#20833=LINE('',#20832,#20831); +#20834=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#20835=VECTOR('',#20834,2.969378216362E0); +#20836=CARTESIAN_POINT('',(4.9E1,9.63E0,-1.816282873211E1)); +#20837=LINE('',#20836,#20835); +#20838=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20839=VECTOR('',#20838,2.128287321091E-1); +#20840=CARTESIAN_POINT('',(4.9E1,9.63E0,-1.795E1)); +#20841=LINE('',#20840,#20839); +#20842=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20843=VECTOR('',#20842,1.4E0); +#20844=CARTESIAN_POINT('',(4.9E1,2.23E0,-1.795E1)); +#20845=LINE('',#20844,#20843); +#20846=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#20847=VECTOR('',#20846,2.007639675087E0); +#20848=CARTESIAN_POINT('',(4.9E1,2.404977327052E0,-1.995E1)); +#20849=LINE('',#20848,#20847); +#20850=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#20851=VECTOR('',#20850,2.841818276862E0); +#20852=CARTESIAN_POINT('',(4.9E1,8.3E-1,-1.832E1)); +#20853=LINE('',#20852,#20851); +#20854=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20855=VECTOR('',#20854,3.7E-1); +#20856=CARTESIAN_POINT('',(4.9E1,8.3E-1,-1.795E1)); +#20857=LINE('',#20856,#20855); +#20858=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#20859=VECTOR('',#20858,5.196152422707E-1); +#20860=CARTESIAN_POINT('',(4.87E1,1.073E1,-1.765E1)); +#20861=LINE('',#20860,#20859); +#20862=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20863=VECTOR('',#20862,2.2E0); +#20864=CARTESIAN_POINT('',(4.9E1,1.103E1,-1.795E1)); +#20865=LINE('',#20864,#20863); +#20866=DIRECTION('',(0.E0,-8.715574274759E-2,9.961946980918E-1)); +#20867=VECTOR('',#20866,2.706467783260E-2); +#20868=CARTESIAN_POINT('',(5.11E1,7.199986605899E0,-1.989295579619E1)); +#20869=LINE('',#20868,#20867); +#20870=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20871=VECTOR('',#20870,5.E-2); +#20872=CARTESIAN_POINT('',(5.115E1,7.197627763800E0,-1.986599410763E1)); +#20873=LINE('',#20872,#20871); +#20874=DIRECTION('',(1.E0,0.E0,0.E0)); +#20875=VECTOR('',#20874,5.E-2); +#20876=CARTESIAN_POINT('',(4.895E1,7.197627763800E0,-1.986599410763E1)); +#20877=LINE('',#20876,#20875); +#20878=DIRECTION('',(0.E0,-8.715574274759E-2,9.961946980918E-1)); +#20879=VECTOR('',#20878,2.706467783260E-2); +#20880=CARTESIAN_POINT('',(4.9E1,7.199986605899E0,-1.989295579619E1)); +#20881=LINE('',#20880,#20879); +#20882=DIRECTION('',(0.E0,1.E0,0.E0)); +#20883=VECTOR('',#20882,1.4E0); +#20884=CARTESIAN_POINT('',(5.11E1,9.63E0,-1.795E1)); +#20885=LINE('',#20884,#20883); +#20886=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20887=VECTOR('',#20886,2.128287321091E-1); +#20888=CARTESIAN_POINT('',(5.11E1,9.63E0,-1.795E1)); +#20889=LINE('',#20888,#20887); +#20890=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#20891=VECTOR('',#20890,2.969378216362E0); +#20892=CARTESIAN_POINT('',(5.11E1,9.63E0,-1.816282873211E1)); +#20893=LINE('',#20892,#20891); +#20894=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#20895=VECTOR('',#20894,1.066197942480E0); +#20896=CARTESIAN_POINT('',(5.11E1,1.121514332974E1,-1.9E1)); +#20897=LINE('',#20896,#20895); +#20898=DIRECTION('',(0.E0,1.E0,0.E0)); +#20899=VECTOR('',#20898,1.4E0); +#20900=CARTESIAN_POINT('',(5.11E1,8.3E-1,-1.795E1)); +#20901=LINE('',#20900,#20899); +#20902=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20903=VECTOR('',#20902,3.7E-1); +#20904=CARTESIAN_POINT('',(5.11E1,8.3E-1,-1.795E1)); +#20905=LINE('',#20904,#20903); +#20906=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#20907=VECTOR('',#20906,2.841818276862E0); +#20908=CARTESIAN_POINT('',(5.11E1,8.3E-1,-1.832E1)); +#20909=LINE('',#20908,#20907); +#20910=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#20911=VECTOR('',#20910,2.007639675087E0); +#20912=CARTESIAN_POINT('',(5.11E1,2.404977327052E0,-1.995E1)); +#20913=LINE('',#20912,#20911); +#20914=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#20915=VECTOR('',#20914,5.196152422707E-1); +#20916=CARTESIAN_POINT('',(5.14E1,1.073E1,-1.765E1)); +#20917=LINE('',#20916,#20915); +#20918=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#20919=VECTOR('',#20918,5.196152422707E-1); +#20920=CARTESIAN_POINT('',(5.14E1,9.93E0,-1.765E1)); +#20921=LINE('',#20920,#20919); +#20922=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#20923=VECTOR('',#20922,5.196152422707E-1); +#20924=CARTESIAN_POINT('',(5.33E1,9.63E0,-1.795E1)); +#20925=LINE('',#20924,#20923); +#20926=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20927=VECTOR('',#20926,8.E-1); +#20928=CARTESIAN_POINT('',(5.3E1,1.073E1,-1.765E1)); +#20929=LINE('',#20928,#20927); +#20930=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20931=VECTOR('',#20930,1.6E0); +#20932=CARTESIAN_POINT('',(5.3E1,9.93E0,-1.765E1)); +#20933=LINE('',#20932,#20931); +#20934=DIRECTION('',(0.E0,1.E0,0.E0)); +#20935=VECTOR('',#20934,8.E-1); +#20936=CARTESIAN_POINT('',(5.14E1,9.93E0,-1.765E1)); +#20937=LINE('',#20936,#20935); +#20938=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20939=VECTOR('',#20938,1.6E0); +#20940=CARTESIAN_POINT('',(5.3E1,1.073E1,-1.765E1)); +#20941=LINE('',#20940,#20939); +#20942=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20943=VECTOR('',#20942,6.3E-1); +#20944=CARTESIAN_POINT('',(5.19E1,1.0645E1,-1.765E1)); +#20945=LINE('',#20944,#20943); +#20946=DIRECTION('',(0.E0,0.E0,1.E0)); +#20947=VECTOR('',#20946,5.9E0); +#20948=CARTESIAN_POINT('',(5.19E1,1.0645E1,-1.765E1)); +#20949=LINE('',#20948,#20947); +#20950=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20951=VECTOR('',#20950,6.E-1); +#20952=CARTESIAN_POINT('',(5.25E1,1.0645E1,-1.765E1)); +#20953=LINE('',#20952,#20951); +#20954=DIRECTION('',(0.E0,0.E0,1.E0)); +#20955=VECTOR('',#20954,5.9E0); +#20956=CARTESIAN_POINT('',(5.25E1,1.0645E1,-1.765E1)); +#20957=LINE('',#20956,#20955); +#20958=DIRECTION('',(0.E0,1.E0,0.E0)); +#20959=VECTOR('',#20958,6.3E-1); +#20960=CARTESIAN_POINT('',(5.25E1,1.0015E1,-1.765E1)); +#20961=LINE('',#20960,#20959); +#20962=DIRECTION('',(0.E0,0.E0,1.E0)); +#20963=VECTOR('',#20962,5.9E0); +#20964=CARTESIAN_POINT('',(5.25E1,1.0015E1,-1.765E1)); +#20965=LINE('',#20964,#20963); +#20966=DIRECTION('',(1.E0,0.E0,0.E0)); +#20967=VECTOR('',#20966,6.E-1); +#20968=CARTESIAN_POINT('',(5.19E1,1.0015E1,-1.765E1)); +#20969=LINE('',#20968,#20967); +#20970=DIRECTION('',(0.E0,0.E0,1.E0)); +#20971=VECTOR('',#20970,5.9E0); +#20972=CARTESIAN_POINT('',(5.19E1,1.0015E1,-1.765E1)); +#20973=LINE('',#20972,#20971); +#20974=DIRECTION('',(-1.127845612318E-14,-1.E0,0.E0)); +#20975=VECTOR('',#20974,6.3E-1); +#20976=CARTESIAN_POINT('',(5.19E1,1.0645E1,-1.175E1)); +#20977=LINE('',#20976,#20975); +#20978=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20979=VECTOR('',#20978,6.E-1); +#20980=CARTESIAN_POINT('',(5.25E1,1.0645E1,-1.175E1)); +#20981=LINE('',#20980,#20979); +#20982=DIRECTION('',(0.E0,1.E0,0.E0)); +#20983=VECTOR('',#20982,6.3E-1); +#20984=CARTESIAN_POINT('',(5.25E1,1.0015E1,-1.175E1)); +#20985=LINE('',#20984,#20983); +#20986=DIRECTION('',(1.E0,0.E0,0.E0)); +#20987=VECTOR('',#20986,6.E-1); +#20988=CARTESIAN_POINT('',(5.19E1,1.0015E1,-1.175E1)); +#20989=LINE('',#20988,#20987); +#20990=DIRECTION('',(-1.127845612318E-14,-1.E0,0.E0)); +#20991=VECTOR('',#20990,6.3E-1); +#20992=CARTESIAN_POINT('',(5.19E1,1.845E0,-1.175E1)); +#20993=LINE('',#20992,#20991); +#20994=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20995=VECTOR('',#20994,6.E-1); +#20996=CARTESIAN_POINT('',(5.25E1,1.845E0,-1.175E1)); +#20997=LINE('',#20996,#20995); +#20998=DIRECTION('',(0.E0,1.E0,0.E0)); +#20999=VECTOR('',#20998,6.3E-1); +#21000=CARTESIAN_POINT('',(5.25E1,1.215E0,-1.175E1)); +#21001=LINE('',#21000,#20999); +#21002=DIRECTION('',(1.E0,0.E0,0.E0)); +#21003=VECTOR('',#21002,6.E-1); +#21004=CARTESIAN_POINT('',(5.19E1,1.215E0,-1.175E1)); +#21005=LINE('',#21004,#21003); +#21006=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21007=VECTOR('',#21006,6.3E-1); +#21008=CARTESIAN_POINT('',(5.19E1,1.845E0,-1.765E1)); +#21009=LINE('',#21008,#21007); +#21010=DIRECTION('',(0.E0,0.E0,1.E0)); +#21011=VECTOR('',#21010,5.9E0); +#21012=CARTESIAN_POINT('',(5.19E1,1.845E0,-1.765E1)); +#21013=LINE('',#21012,#21011); +#21014=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21015=VECTOR('',#21014,8.E-1); +#21016=CARTESIAN_POINT('',(5.3E1,1.93E0,-1.765E1)); +#21017=LINE('',#21016,#21015); +#21018=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21019=VECTOR('',#21018,1.6E0); +#21020=CARTESIAN_POINT('',(5.3E1,1.13E0,-1.765E1)); +#21021=LINE('',#21020,#21019); +#21022=DIRECTION('',(0.E0,1.E0,0.E0)); +#21023=VECTOR('',#21022,8.E-1); +#21024=CARTESIAN_POINT('',(5.14E1,1.13E0,-1.765E1)); +#21025=LINE('',#21024,#21023); +#21026=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21027=VECTOR('',#21026,1.6E0); +#21028=CARTESIAN_POINT('',(5.3E1,1.93E0,-1.765E1)); +#21029=LINE('',#21028,#21027); +#21030=DIRECTION('',(1.E0,0.E0,0.E0)); +#21031=VECTOR('',#21030,6.E-1); +#21032=CARTESIAN_POINT('',(5.19E1,1.215E0,-1.765E1)); +#21033=LINE('',#21032,#21031); +#21034=DIRECTION('',(0.E0,0.E0,1.E0)); +#21035=VECTOR('',#21034,5.9E0); +#21036=CARTESIAN_POINT('',(5.19E1,1.215E0,-1.765E1)); +#21037=LINE('',#21036,#21035); +#21038=DIRECTION('',(0.E0,1.E0,0.E0)); +#21039=VECTOR('',#21038,6.3E-1); +#21040=CARTESIAN_POINT('',(5.25E1,1.215E0,-1.765E1)); +#21041=LINE('',#21040,#21039); +#21042=DIRECTION('',(0.E0,0.E0,1.E0)); +#21043=VECTOR('',#21042,5.9E0); +#21044=CARTESIAN_POINT('',(5.25E1,1.215E0,-1.765E1)); +#21045=LINE('',#21044,#21043); +#21046=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21047=VECTOR('',#21046,6.E-1); +#21048=CARTESIAN_POINT('',(5.25E1,1.845E0,-1.765E1)); +#21049=LINE('',#21048,#21047); +#21050=DIRECTION('',(0.E0,0.E0,1.E0)); +#21051=VECTOR('',#21050,5.9E0); +#21052=CARTESIAN_POINT('',(5.25E1,1.845E0,-1.765E1)); +#21053=LINE('',#21052,#21051); +#21054=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#21055=VECTOR('',#21054,5.196152422707E-1); +#21056=CARTESIAN_POINT('',(5.3E1,1.93E0,-1.765E1)); +#21057=LINE('',#21056,#21055); +#21058=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#21059=VECTOR('',#21058,5.196152422707E-1); +#21060=CARTESIAN_POINT('',(5.14E1,1.93E0,-1.765E1)); +#21061=LINE('',#21060,#21059); +#21062=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#21063=VECTOR('',#21062,5.196152422707E-1); +#21064=CARTESIAN_POINT('',(5.14E1,1.13E0,-1.765E1)); +#21065=LINE('',#21064,#21063); +#21066=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#21067=VECTOR('',#21066,5.196152422707E-1); +#21068=CARTESIAN_POINT('',(5.33E1,8.3E-1,-1.795E1)); +#21069=LINE('',#21068,#21067); +#21070=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21071=VECTOR('',#21070,2.2E0); +#21072=CARTESIAN_POINT('',(5.33E1,8.3E-1,-1.795E1)); +#21073=LINE('',#21072,#21071); +#21074=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21075=VECTOR('',#21074,1.4E0); +#21076=CARTESIAN_POINT('',(5.33E1,1.103E1,-1.795E1)); +#21077=LINE('',#21076,#21075); +#21078=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#21079=VECTOR('',#21078,1.066197942480E0); +#21080=CARTESIAN_POINT('',(5.33E1,1.121514332974E1,-1.9E1)); +#21081=LINE('',#21080,#21079); +#21082=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#21083=VECTOR('',#21082,3.115838020231E0); +#21084=CARTESIAN_POINT('',(5.33E1,9.63E0,-1.816282873211E1)); +#21085=LINE('',#21084,#21083); +#21086=DIRECTION('',(-3.338565844558E-14,0.E0,-1.E0)); +#21087=VECTOR('',#21086,2.128287321091E-1); +#21088=CARTESIAN_POINT('',(5.33E1,9.63E0,-1.795E1)); +#21089=LINE('',#21088,#21087); +#21090=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21091=VECTOR('',#21090,1.4E0); +#21092=CARTESIAN_POINT('',(5.33E1,2.23E0,-1.795E1)); +#21093=LINE('',#21092,#21091); +#21094=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#21095=VECTOR('',#21094,2.007639675087E0); +#21096=CARTESIAN_POINT('',(5.33E1,2.404977327052E0,-1.995E1)); +#21097=LINE('',#21096,#21095); +#21098=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#21099=VECTOR('',#21098,2.841818276862E0); +#21100=CARTESIAN_POINT('',(5.33E1,8.3E-1,-1.832E1)); +#21101=LINE('',#21100,#21099); +#21102=DIRECTION('',(-1.920385772325E-14,0.E0,-1.E0)); +#21103=VECTOR('',#21102,3.7E-1); +#21104=CARTESIAN_POINT('',(5.33E1,8.3E-1,-1.795E1)); +#21105=LINE('',#21104,#21103); +#21106=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#21107=VECTOR('',#21106,5.196152422707E-1); +#21108=CARTESIAN_POINT('',(5.3E1,1.073E1,-1.765E1)); +#21109=LINE('',#21108,#21107); +#21110=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21111=VECTOR('',#21110,2.2E0); +#21112=CARTESIAN_POINT('',(5.33E1,1.103E1,-1.795E1)); +#21113=LINE('',#21112,#21111); +#21114=DIRECTION('',(1.E0,0.E0,0.E0)); +#21115=VECTOR('',#21114,2.2E0); +#21116=CARTESIAN_POINT('',(5.11E1,9.63E0,-1.816282873211E1)); +#21117=LINE('',#21116,#21115); +#21118=DIRECTION('',(-6.996248777656E-1,-5.852926082243E-1,-4.098262963371E-1)); +#21119=VECTOR('',#21118,4.764458550049E-2); +#21120=CARTESIAN_POINT('',(5.166666665077E1,8.415214945424E0, +-1.901343038463E1)); +#21121=LINE('',#21120,#21119); +#21122=DIRECTION('',(-5.466225409156E-1,-6.859408214906E-1,-4.803009339735E-1)); +#21123=VECTOR('',#21122,1.219610621282E-1); +#21124=CARTESIAN_POINT('',(5.163333331347E1,8.387328921709E0, +-1.903295638864E1)); +#21125=LINE('',#21124,#21123); +#21126=DIRECTION('',(-1.921828070808E-1,-8.038823486346E-1,-5.628844803477E-1)); +#21127=VECTOR('',#21126,1.734459748666E-1); +#21128=CARTESIAN_POINT('',(5.156666664779E1,8.303670850563E0, +-1.909153440069E1)); +#21129=LINE('',#21128,#21127); +#21130=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#21131=VECTOR('',#21130,1.021276473999E-1); +#21132=CARTESIAN_POINT('',(5.153333331347E1,8.164240692926E0, +-1.918916444812E1)); +#21133=LINE('',#21132,#21131); +#21134=DIRECTION('',(1.921828330058E-1,-8.038823444758E-1,-5.628844774356E-1)); +#21135=VECTOR('',#21134,1.734459514692E-1); +#21136=CARTESIAN_POINT('',(5.153333331347E1,8.080582621780E0, +-1.924774246017E1)); +#21137=LINE('',#21136,#21135); +#21138=DIRECTION('',(4.397134332810E-1,-7.357116834340E-1,-5.151508666895E-1)); +#21139=VECTOR('',#21138,1.137104150917E-1); +#21140=CARTESIAN_POINT('',(5.156666664779E1,7.941152483673E0, +-1.934537249393E1)); +#21141=LINE('',#21140,#21139); +#21142=DIRECTION('',(6.322117411753E-1,-6.346754485655E-1,-4.444045334021E-1)); +#21143=VECTOR('',#21142,1.318123753785E-1); +#21144=CARTESIAN_POINT('',(5.161666664481E1,7.857494402762E0, +-1.940395051281E1)); +#21145=LINE('',#21144,#21143); +#21146=DIRECTION('',(9.257351019692E-1,-3.097808644398E-1,-2.169108964738E-1)); +#21147=VECTOR('',#21146,9.001855620438E-2); +#21148=CARTESIAN_POINT('',(5.169999997616E1,7.773836324292E0, +-1.946252852999E1)); +#21149=LINE('',#21148,#21147); +#21150=DIRECTION('',(1.E0,0.E0,0.E0)); +#21151=VECTOR('',#21150,9.999999999999E-2); +#21152=CARTESIAN_POINT('',(5.178333331347E1,7.745950298135E0, +-1.948205453572E1)); +#21153=LINE('',#21152,#21151); +#21154=DIRECTION('',(9.257351209082E-1,3.097808264629E-1,2.169108698822E-1)); +#21155=VECTOR('',#21154,9.001856724E-2); +#21156=CARTESIAN_POINT('',(5.188333331347E1,7.745950298135E0, +-1.948205453572E1)); +#21157=LINE('',#21156,#21155); +#21158=DIRECTION('',(6.322116054476E-1,6.346755392865E-1,4.444045969257E-1)); +#21159=VECTOR('',#21158,1.318123565372E-1); +#21160=CARTESIAN_POINT('',(5.196666666269E1,7.773836324292E0, +-1.946252852999E1)); +#21161=LINE('',#21160,#21159); +#21162=DIRECTION('',(4.397134544223E-1,7.357116749554E-1,5.151508607527E-1)); +#21163=VECTOR('',#21162,1.137104164022E-1); +#21164=CARTESIAN_POINT('',(5.204999996424E1,7.857494402762E0, +-1.940395051281E1)); +#21165=LINE('',#21164,#21163); +#21166=DIRECTION('',(1.921829157452E-1,8.038823312029E-1,5.628844681419E-1)); +#21167=VECTOR('',#21166,1.734459543329E-1); +#21168=CARTESIAN_POINT('',(5.209999996424E1,7.941152483673E0, +-1.934537249393E1)); +#21169=LINE('',#21168,#21167); +#21170=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#21171=VECTOR('',#21170,1.021276473999E-1); +#21172=CARTESIAN_POINT('',(5.213333331347E1,8.080582621780E0, +-1.924774246017E1)); +#21173=LINE('',#21172,#21171); +#21174=DIRECTION('',(-1.921828898202E-1,8.038823353618E-1,5.628844710539E-1)); +#21175=VECTOR('',#21174,1.734459777303E-1); +#21176=CARTESIAN_POINT('',(5.213333331347E1,8.164240692926E0, +-1.918916444812E1)); +#21177=LINE('',#21176,#21175); +#21178=DIRECTION('',(-5.466224552427E-1,6.859408673020E-1,4.803009660509E-1)); +#21179=VECTOR('',#21178,1.219610539828E-1); +#21180=CARTESIAN_POINT('',(5.209999996424E1,8.303670850563E0, +-1.909153440069E1)); +#21181=LINE('',#21180,#21179); +#21182=DIRECTION('',(-6.996250055018E-1,5.852925057688E-1,4.098262245970E-1)); +#21183=VECTOR('',#21182,4.764459384068E-2); +#21184=CARTESIAN_POINT('',(5.203333331347E1,8.387328921709E0, +-1.903295638864E1)); +#21185=LINE('',#21184,#21183); +#21186=DIRECTION('',(6.996250055017E-1,5.852925057690E-1,4.098262245970E-1)); +#21187=VECTOR('',#21186,4.764459384068E-2); +#21188=CARTESIAN_POINT('',(5.199999996424E1,8.415214945424E0, +-1.901343038463E1)); +#21189=LINE('',#21188,#21187); +#21190=DIRECTION('',(4.397134958245E-1,7.357116583514E-1,5.151508491264E-1)); +#21191=VECTOR('',#21190,1.137104056955E-1); +#21192=CARTESIAN_POINT('',(5.203333331347E1,8.443100969140E0, +-1.899390438061E1)); +#21193=LINE('',#21192,#21191); +#21194=DIRECTION('',(2.377714594361E-1,7.956596874002E-1,5.571269109672E-1)); +#21195=VECTOR('',#21194,1.401907079469E-1); +#21196=CARTESIAN_POINT('',(5.208333331347E1,8.526759040286E0, +-1.893532636856E1)); +#21197=LINE('',#21196,#21195); +#21198=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#21199=VECTOR('',#21198,1.021276473999E-1); +#21200=CARTESIAN_POINT('',(5.211666666269E1,8.638303135147E0, +-1.885722235250E1)); +#21201=LINE('',#21200,#21199); +#21202=DIRECTION('',(-1.921828379703E-1,8.038823436794E-1,5.628844768780E-1)); +#21203=VECTOR('',#21202,1.734460245252E-1); +#21204=CARTESIAN_POINT('',(5.211666666269E1,8.721961206293E0, +-1.879864434045E1)); +#21205=LINE('',#21204,#21203); +#21206=DIRECTION('',(-4.397134958245E-1,7.357116583514E-1,5.151508491264E-1)); +#21207=VECTOR('',#21206,1.137104056955E-1); +#21208=CARTESIAN_POINT('',(5.208333331347E1,8.861391402990E0, +-1.870101426567E1)); +#21209=LINE('',#21208,#21207); +#21210=DIRECTION('',(-6.322118558368E-1,6.346753719251E-1,4.444044797380E-1)); +#21211=VECTOR('',#21210,1.318123797561E-1); +#21212=CARTESIAN_POINT('',(5.203333331347E1,8.945049474136E0, +-1.864243625362E1)); +#21213=LINE('',#21212,#21211); +#21214=DIRECTION('',(-9.257350946204E-1,3.097808791756E-1,2.169109067920E-1)); +#21215=VECTOR('',#21214,9.001854404172E-2); +#21216=CARTESIAN_POINT('',(5.194999996424E1,9.028707545282E0, +-1.858385824157E1)); +#21217=LINE('',#21216,#21215); +#21218=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21219=VECTOR('',#21218,6.666665077208E-2); +#21220=CARTESIAN_POINT('',(5.186666663885E1,9.056593568998E0, +-1.856433223755E1)); +#21221=LINE('',#21220,#21219); +#21222=DIRECTION('',(-9.257351135595E-1,-3.097808411987E-1,-2.169108802003E-1)); +#21223=VECTOR('',#21222,9.001855507734E-2); +#21224=CARTESIAN_POINT('',(5.179999998808E1,9.056593568998E0, +-1.856433223755E1)); +#21225=LINE('',#21224,#21223); +#21226=DIRECTION('',(-6.322118015458E-1,-6.346754082134E-1,-4.444045051474E-1)); +#21227=VECTOR('',#21226,1.318123722196E-1); +#21228=CARTESIAN_POINT('',(5.171666665077E1,9.028707545282E0, +-1.858385824157E1)); +#21229=LINE('',#21228,#21227); +#21230=DIRECTION('',(-4.397134958246E-1,-7.357116583514E-1,-5.151508491264E-1)); +#21231=VECTOR('',#21230,1.137104056955E-1); +#21232=CARTESIAN_POINT('',(5.163333331347E1,8.945049474136E0, +-1.864243625362E1)); +#21233=LINE('',#21232,#21231); +#21234=DIRECTION('',(-1.921827469569E-1,-8.038823582795E-1,-5.628844871011E-1)); +#21235=VECTOR('',#21234,1.734460213751E-1); +#21236=CARTESIAN_POINT('',(5.158333331347E1,8.861391402990E0, +-1.870101426567E1)); +#21237=LINE('',#21236,#21235); +#21238=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363511E-1)); +#21239=VECTOR('',#21238,1.021276473999E-1); +#21240=CARTESIAN_POINT('',(5.154999998063E1,8.721961206293E0, +-1.879864434045E1)); +#21241=LINE('',#21240,#21239); +#21242=DIRECTION('',(2.377713491249E-1,-7.956597095200E-1,-5.571269264556E-1)); +#21243=VECTOR('',#21242,1.401907040495E-1); +#21244=CARTESIAN_POINT('',(5.154999998063E1,8.638303135147E0, +-1.885722235250E1)); +#21245=LINE('',#21244,#21243); +#21246=DIRECTION('',(4.397134958246E-1,-7.357116583514E-1,-5.151508491264E-1)); +#21247=VECTOR('',#21246,1.137104056955E-1); +#21248=CARTESIAN_POINT('',(5.158333331347E1,8.526759040286E0, +-1.893532636856E1)); +#21249=LINE('',#21248,#21247); +#21250=DIRECTION('',(6.996248777656E-1,-5.852926082243E-1,-4.098262963371E-1)); +#21251=VECTOR('',#21250,4.764458550049E-2); +#21252=CARTESIAN_POINT('',(5.163333331347E1,8.443100969140E0, +-1.899390438061E1)); +#21253=LINE('',#21252,#21251); +#21254=DIRECTION('',(-8.906053088238E-1,3.725315670339E-1,2.608494114582E-1)); +#21255=VECTOR('',#21254,7.485546510160E-2); +#21256=CARTESIAN_POINT('',(5.179999998808E1,7.885380436242E0, +-1.938442450196E1)); +#21257=LINE('',#21256,#21255); +#21258=DIRECTION('',(-6.996248138976E-1,5.852926594521E-1,4.098263322071E-1)); +#21259=VECTOR('',#21258,9.528916266081E-2); +#21260=CARTESIAN_POINT('',(5.173333331347E1,7.913266459958E0, +-1.936489849794E1)); +#21261=LINE('',#21260,#21259); +#21262=DIRECTION('',(-3.446856058303E-1,7.689529212504E-1,5.384266319879E-1)); +#21263=VECTOR('',#21262,1.450597446332E-1); +#21264=CARTESIAN_POINT('',(5.166666665077E1,7.969038507388E0, +-1.932584648991E1)); +#21265=LINE('',#21264,#21263); +#21266=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#21267=VECTOR('',#21266,1.021276473999E-1); +#21268=CARTESIAN_POINT('',(5.161666664481E1,8.080582621780E0, +-1.924774246017E1)); +#21269=LINE('',#21268,#21267); +#21270=DIRECTION('',(3.446855526499E-1,7.689529372461E-1,5.384266431882E-1)); +#21271=VECTOR('',#21270,1.450597670140E-1); +#21272=CARTESIAN_POINT('',(5.161666664481E1,8.164240692926E0, +-1.918916444812E1)); +#21273=LINE('',#21272,#21271); +#21274=DIRECTION('',(6.996248138975E-1,5.852926594521E-1,4.098263322072E-1)); +#21275=VECTOR('',#21274,9.528916266081E-2); +#21276=CARTESIAN_POINT('',(5.166666665077E1,8.275784826847E0, +-1.911106040471E1)); +#21277=LINE('',#21276,#21275); +#21278=DIRECTION('',(8.906053088238E-1,3.725315670339E-1,2.608494114582E-1)); +#21279=VECTOR('',#21278,7.485546510160E-2); +#21280=CARTESIAN_POINT('',(5.173333331347E1,8.331556874278E0, +-1.907200839668E1)); +#21281=LINE('',#21280,#21279); +#21282=DIRECTION('',(1.E0,0.E0,0.E0)); +#21283=VECTOR('',#21282,6.666665077208E-2); +#21284=CARTESIAN_POINT('',(5.179999998808E1,8.359442897993E0, +-1.905248239266E1)); +#21285=LINE('',#21284,#21283); +#21286=DIRECTION('',(8.906053088238E-1,-3.725315670339E-1,-2.608494114582E-1)); +#21287=VECTOR('',#21286,7.485546510160E-2); +#21288=CARTESIAN_POINT('',(5.186666663885E1,8.359442897993E0, +-1.905248239266E1)); +#21289=LINE('',#21288,#21287); +#21290=DIRECTION('',(6.996247500294E-1,-5.852927106798E-1,-4.098263680772E-1)); +#21291=VECTOR('',#21290,9.528915432063E-2); +#21292=CARTESIAN_POINT('',(5.193333331347E1,8.331556874278E0, +-1.907200839668E1)); +#21293=LINE('',#21292,#21291); +#21294=DIRECTION('',(3.446855164420E-1,-7.689529481369E-1,-5.384266508140E-1)); +#21295=VECTOR('',#21294,1.450597649595E-1); +#21296=CARTESIAN_POINT('',(5.199999996424E1,8.275784826847E0, +-1.911106040471E1)); +#21297=LINE('',#21296,#21295); +#21298=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#21299=VECTOR('',#21298,1.021276473999E-1); +#21300=CARTESIAN_POINT('',(5.204999996424E1,8.164240692926E0, +-1.918916444812E1)); +#21301=LINE('',#21300,#21299); +#21302=DIRECTION('',(-3.446855696224E-1,-7.689529321411E-1,-5.384266396137E-1)); +#21303=VECTOR('',#21302,1.450597425787E-1); +#21304=CARTESIAN_POINT('',(5.204999996424E1,8.080582621780E0, +-1.924774246017E1)); +#21305=LINE('',#21304,#21303); +#21306=DIRECTION('',(-6.996247500294E-1,-5.852927106798E-1,-4.098263680772E-1)); +#21307=VECTOR('',#21306,9.528915432063E-2); +#21308=CARTESIAN_POINT('',(5.199999996424E1,7.969038507388E0, +-1.932584648991E1)); +#21309=LINE('',#21308,#21307); +#21310=DIRECTION('',(-8.906053088238E-1,-3.725315670339E-1,-2.608494114582E-1)); +#21311=VECTOR('',#21310,7.485546510160E-2); +#21312=CARTESIAN_POINT('',(5.193333331347E1,7.913266459958E0, +-1.936489849794E1)); +#21313=LINE('',#21312,#21311); +#21314=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21315=VECTOR('',#21314,6.666665077208E-2); +#21316=CARTESIAN_POINT('',(5.186666663885E1,7.885380436242E0, +-1.938442450196E1)); +#21317=LINE('',#21316,#21315); +#21318=DIRECTION('',(8.906053088238E-1,-3.725315670339E-1,-2.608494114582E-1)); +#21319=VECTOR('',#21318,7.485546510160E-2); +#21320=CARTESIAN_POINT('',(5.186666663885E1,8.917163450421E0, +-1.866196225764E1)); +#21321=LINE('',#21320,#21319); +#21322=DIRECTION('',(5.466224552427E-1,-6.859408673020E-1,-4.803009660510E-1)); +#21323=VECTOR('',#21322,1.219610539828E-1); +#21324=CARTESIAN_POINT('',(5.193333331347E1,8.889277426706E0, +-1.868148826165E1)); +#21325=LINE('',#21324,#21323); +#21326=DIRECTION('',(3.102799368311E-1,-7.787229867701E-1,-5.452677055136E-1)); +#21327=VECTOR('',#21326,1.074299214069E-1); +#21328=CARTESIAN_POINT('',(5.199999996424E1,8.805619355560E0, +-1.874006627370E1)); +#21329=LINE('',#21328,#21327); +#21330=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#21331=VECTOR('',#21330,6.808509826660E-2); +#21332=CARTESIAN_POINT('',(5.203333331347E1,8.721961206293E0, +-1.879864434045E1)); +#21333=LINE('',#21332,#21331); +#21334=DIRECTION('',(-3.102801986779E-1,-7.787229167621E-1,-5.452676564935E-1)); +#21335=VECTOR('',#21334,1.074298307463E-1); +#21336=CARTESIAN_POINT('',(5.203333331347E1,8.666189158862E0, +-1.883769634848E1)); +#21337=LINE('',#21336,#21335); +#21338=DIRECTION('',(-5.466224552426E-1,-6.859408673020E-1,-4.803009660510E-1)); +#21339=VECTOR('',#21338,1.219610539828E-1); +#21340=CARTESIAN_POINT('',(5.199999996424E1,8.582531087716E0, +-1.889627436053E1)); +#21341=LINE('',#21340,#21339); +#21342=DIRECTION('',(-8.906053088238E-1,-3.725315670339E-1,-2.608494114582E-1)); +#21343=VECTOR('',#21342,7.485546510160E-2); +#21344=CARTESIAN_POINT('',(5.193333331347E1,8.498873016570E0, +-1.895485237258E1)); +#21345=LINE('',#21344,#21343); +#21346=DIRECTION('',(-1.E0,-2.664535894376E-14,0.E0)); +#21347=VECTOR('',#21346,6.666665077208E-2); +#21348=CARTESIAN_POINT('',(5.186666663885E1,8.470986992855E0, +-1.897437837660E1)); +#21349=LINE('',#21348,#21347); +#21350=DIRECTION('',(-8.906053088238E-1,3.725315670339E-1,2.608494114582E-1)); +#21351=VECTOR('',#21350,7.485546510160E-2); +#21352=CARTESIAN_POINT('',(5.179999998808E1,8.470986992855E0, +-1.897437837660E1)); +#21353=LINE('',#21352,#21351); +#21354=DIRECTION('',(-5.466225237810E-1,6.859408306529E-1,4.803009403890E-1)); +#21355=VECTOR('',#21354,1.219610604991E-1); +#21356=CARTESIAN_POINT('',(5.173333331347E1,8.498873016570E0, +-1.895485237258E1)); +#21357=LINE('',#21356,#21355); +#21358=DIRECTION('',(-3.102800983961E-1,7.787229435737E-1,5.452676752671E-1)); +#21359=VECTOR('',#21358,1.074298270475E-1); +#21360=CARTESIAN_POINT('',(5.166666665077E1,8.582531087716E0, +-1.889627436053E1)); +#21361=LINE('',#21360,#21359); +#21362=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#21363=VECTOR('',#21362,6.808509826659E-2); +#21364=CARTESIAN_POINT('',(5.163333331347E1,8.666189158862E0, +-1.883769634848E1)); +#21365=LINE('',#21364,#21363); +#21366=DIRECTION('',(3.102798365493E-1,7.787230135816E-1,5.452677242872E-1)); +#21367=VECTOR('',#21366,1.074299177081E-1); +#21368=CARTESIAN_POINT('',(5.163333331347E1,8.721961206293E0, +-1.879864434045E1)); +#21369=LINE('',#21368,#21367); +#21370=DIRECTION('',(5.466225237810E-1,6.859408306529E-1,4.803009403890E-1)); +#21371=VECTOR('',#21370,1.219610604991E-1); +#21372=CARTESIAN_POINT('',(5.166666665077E1,8.805619355560E0, +-1.874006627370E1)); +#21373=LINE('',#21372,#21371); +#21374=DIRECTION('',(8.906053088238E-1,3.725315670339E-1,2.608494114582E-1)); +#21375=VECTOR('',#21374,7.485546510160E-2); +#21376=CARTESIAN_POINT('',(5.173333331347E1,8.889277426706E0, +-1.868148826165E1)); +#21377=LINE('',#21376,#21375); +#21378=DIRECTION('',(1.E0,0.E0,0.E0)); +#21379=VECTOR('',#21378,6.666665077208E-2); +#21380=CARTESIAN_POINT('',(5.179999998808E1,8.917163450421E0, +-1.866196225764E1)); +#21381=LINE('',#21380,#21379); +#21382=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#21383=VECTOR('',#21382,3.063829421997E-1); +#21384=CARTESIAN_POINT('',(5.226666666269E1,8.526759040286E0, +-1.893532636856E1)); +#21385=LINE('',#21384,#21383); +#21386=DIRECTION('',(9.745051113045E-2,-8.152531852094E-1,-5.708464258331E-1)); +#21387=VECTOR('',#21386,1.710268173944E-1); +#21388=CARTESIAN_POINT('',(5.226666666269E1,8.275784826847E0, +-1.911106040471E1)); +#21389=LINE('',#21388,#21387); +#21390=DIRECTION('',(1.610636468906E-1,-8.084572128420E-1,-5.660878347519E-1)); +#21391=VECTOR('',#21390,1.034786625899E-1); +#21392=CARTESIAN_POINT('',(5.228333331347E1,8.136354669210E0, +-1.920869045214E1)); +#21393=LINE('',#21392,#21391); +#21394=DIRECTION('',(2.377714201586E-1,-7.956596952762E-1,-5.571269164820E-1)); +#21395=VECTOR('',#21394,1.401907311050E-1); +#21396=CARTESIAN_POINT('',(5.229999996424E1,8.052696598064E0, +-1.926726846419E1)); +#21397=LINE('',#21396,#21395); +#21398=DIRECTION('',(4.397134544224E-1,-7.357116749554E-1,-5.151508607527E-1)); +#21399=VECTOR('',#21398,1.137104164022E-1); +#21400=CARTESIAN_POINT('',(5.233333331347E1,7.941152483673E0, +-1.934537249393E1)); +#21401=LINE('',#21400,#21399); +#21402=DIRECTION('',(6.322116054476E-1,-6.346755392865E-1,-4.444045969257E-1)); +#21403=VECTOR('',#21402,1.318123565371E-1); +#21404=CARTESIAN_POINT('',(5.238333331347E1,7.857494402762E0, +-1.940395051281E1)); +#21405=LINE('',#21404,#21403); +#21406=DIRECTION('',(9.466499091632E-1,-2.639830412809E-1,-1.848429154644E-1)); +#21407=VECTOR('',#21406,1.056356727361E-1); +#21408=CARTESIAN_POINT('',(5.246666661501E1,7.773836324292E0, +-1.946252852999E1)); +#21409=LINE('',#21408,#21407); +#21410=DIRECTION('',(9.466499091632E-1,2.639830412808E-1,1.848429154643E-1)); +#21411=VECTOR('',#21410,1.056356727361E-1); +#21412=CARTESIAN_POINT('',(5.256666661501E1,7.745950298135E0, +-1.948205453572E1)); +#21413=LINE('',#21412,#21411); +#21414=DIRECTION('',(6.322120397760E-1,6.346752489791E-1,4.444043936502E-1)); +#21415=VECTOR('',#21414,1.318124168296E-1); +#21416=CARTESIAN_POINT('',(5.266666661501E1,7.773836324292E0, +-1.946252852999E1)); +#21417=LINE('',#21416,#21415); +#21418=DIRECTION('',(4.397134544223E-1,7.357116749554E-1,5.151508607527E-1)); +#21419=VECTOR('',#21418,1.137104164022E-1); +#21420=CARTESIAN_POINT('',(5.275000001192E1,7.857494402762E0, +-1.940395051281E1)); +#21421=LINE('',#21420,#21419); +#21422=DIRECTION('',(2.377710992536E-1,7.956597596246E-1,5.571269615392E-1)); +#21423=VECTOR('',#21422,1.401907197671E-1); +#21424=CARTESIAN_POINT('',(5.280000001192E1,7.941152483673E0, +-1.934537249393E1)); +#21425=LINE('',#21424,#21423); +#21426=DIRECTION('',(1.610640957438E-1,8.084571528387E-1,5.660877927372E-1)); +#21427=VECTOR('',#21426,1.034786702700E-1); +#21428=CARTESIAN_POINT('',(5.283333331347E1,8.052696598064E0, +-1.926726846419E1)); +#21429=LINE('',#21428,#21427); +#21430=DIRECTION('',(9.745023496974E-2,8.152532073598E-1,5.708464413430E-1)); +#21431=VECTOR('',#21430,1.710268127476E-1); +#21432=CARTESIAN_POINT('',(5.285000001192E1,8.136354669210E0, +-1.920869045214E1)); +#21433=LINE('',#21432,#21431); +#21434=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#21435=VECTOR('',#21434,3.063829421997E-1); +#21436=CARTESIAN_POINT('',(5.286666661501E1,8.275784826847E0, +-1.911106040471E1)); +#21437=LINE('',#21436,#21435); +#21438=DIRECTION('',(-9.745026201037E-2,8.152532051909E-1,5.708464398243E-1)); +#21439=VECTOR('',#21438,1.710267652908E-1); +#21440=CARTESIAN_POINT('',(5.286666661501E1,8.526759040286E0, +-1.893532636856E1)); +#21441=LINE('',#21440,#21439); +#21442=DIRECTION('',(-1.610639492430E-1,8.084571724232E-1,5.660878064504E-1)); +#21443=VECTOR('',#21442,1.034787643924E-1); +#21444=CARTESIAN_POINT('',(5.285000001192E1,8.666189158862E0, +-1.883769634848E1)); +#21445=LINE('',#21444,#21443); +#21446=DIRECTION('',(-2.377711385310E-1,7.956597517486E-1,5.571269560244E-1)); +#21447=VECTOR('',#21446,1.401906966090E-1); +#21448=CARTESIAN_POINT('',(5.283333331347E1,8.749847308129E0, +-1.877911828173E1)); +#21449=LINE('',#21448,#21447); +#21450=DIRECTION('',(-4.397134958245E-1,7.357116583514E-1,5.151508491264E-1)); +#21451=VECTOR('',#21450,1.137104056955E-1); +#21452=CARTESIAN_POINT('',(5.280000001192E1,8.861391402990E0, +-1.870101426567E1)); +#21453=LINE('',#21452,#21451); +#21454=DIRECTION('',(-6.322120730010E-1,6.346752267713E-1,4.444043781002E-1)); +#21455=VECTOR('',#21454,1.318124099024E-1); +#21456=CARTESIAN_POINT('',(5.275000001192E1,8.945049474136E0, +-1.864243625362E1)); +#21457=LINE('',#21456,#21455); +#21458=DIRECTION('',(-9.466499177700E-1,2.639830205707E-1,1.848429009629E-1)); +#21459=VECTOR('',#21458,1.056356717756E-1); +#21460=CARTESIAN_POINT('',(5.266666661501E1,9.028707545282E0, +-1.858385824157E1)); +#21461=LINE('',#21460,#21459); +#21462=DIRECTION('',(-9.466499177700E-1,-2.639830205707E-1,-1.848429009629E-1)); +#21463=VECTOR('',#21462,1.056356717756E-1); +#21464=CARTESIAN_POINT('',(5.256666661501E1,9.056593568998E0, +-1.856433223755E1)); +#21465=LINE('',#21464,#21463); +#21466=DIRECTION('',(-6.322116386727E-1,-6.346755170787E-1,-4.444045813757E-1)); +#21467=VECTOR('',#21466,1.318123496100E-1); +#21468=CARTESIAN_POINT('',(5.246666661501E1,9.028707545282E0, +-1.858385824157E1)); +#21469=LINE('',#21468,#21467); +#21470=DIRECTION('',(-4.397134958246E-1,-7.357116583514E-1,-5.151508491264E-1)); +#21471=VECTOR('',#21470,1.137104056955E-1); +#21472=CARTESIAN_POINT('',(5.238333331347E1,8.945049474136E0, +-1.864243625362E1)); +#21473=LINE('',#21472,#21471); +#21474=DIRECTION('',(-2.377714594360E-1,-7.956596874002E-1,-5.571269109672E-1)); +#21475=VECTOR('',#21474,1.401907079469E-1); +#21476=CARTESIAN_POINT('',(5.233333331347E1,8.861391402990E0, +-1.870101426567E1)); +#21477=LINE('',#21476,#21475); +#21478=DIRECTION('',(-1.610635003902E-1,-8.084572324263E-1,-5.660878484650E-1)); +#21479=VECTOR('',#21478,1.034787567122E-1); +#21480=CARTESIAN_POINT('',(5.229999996424E1,8.749847308129E0, +-1.877911828173E1)); +#21481=LINE('',#21480,#21479); +#21482=DIRECTION('',(-9.745053817116E-2,-8.152531830405E-1,-5.708464243144E-1)); +#21483=VECTOR('',#21482,1.710267699376E-1); +#21484=CARTESIAN_POINT('',(5.228333331347E1,8.666189158862E0, +-1.883769634848E1)); +#21485=LINE('',#21484,#21483); +#21486=DIRECTION('',(8.132663114327E-2,8.164386057767E-1,5.716764662502E-1)); +#21487=VECTOR('',#21486,2.049341384744E-1); +#21488=CARTESIAN_POINT('',(5.235000001192E1,8.498873016570E0, +-1.895485237258E1)); +#21489=LINE('',#21488,#21487); +#21490=DIRECTION('',(3.102800677545E-1,7.787229517661E-1,5.452676810035E-1)); +#21491=VECTOR('',#21490,2.148597521533E-1); +#21492=CARTESIAN_POINT('',(5.236666661501E1,8.666189158862E0, +-1.883769634848E1)); +#21493=LINE('',#21492,#21491); +#21494=DIRECTION('',(5.919095487495E-1,6.602401485510E-1,4.623051290442E-1)); +#21495=VECTOR('',#21494,8.447236593095E-2); +#21496=CARTESIAN_POINT('',(5.243333331347E1,8.833505379275E0, +-1.872054026968E1)); +#21497=LINE('',#21496,#21495); +#21498=DIRECTION('',(9.257350567423E-1,3.097809551294E-1,2.169109599754E-1)); +#21499=VECTOR('',#21498,9.001852197047E-2); +#21500=CARTESIAN_POINT('',(5.248333331347E1,8.889277426706E0, +-1.868148826165E1)); +#21501=LINE('',#21500,#21499); +#21502=DIRECTION('',(9.257352082546E-1,-3.097806513144E-1,-2.169107472418E-1)); +#21503=VECTOR('',#21502,9.001861025546E-2); +#21504=CARTESIAN_POINT('',(5.256666661501E1,8.917163450421E0, +-1.866196225764E1)); +#21505=LINE('',#21504,#21503); +#21506=DIRECTION('',(5.919095487495E-1,-6.602401485510E-1,-4.623051290442E-1)); +#21507=VECTOR('',#21506,8.447236593095E-2); +#21508=CARTESIAN_POINT('',(5.265000001192E1,8.889277426706E0, +-1.868148826165E1)); +#21509=LINE('',#21508,#21507); +#21510=DIRECTION('',(3.102796666273E-1,-7.787230590123E-1,-5.452677560981E-1)); +#21511=VECTOR('',#21510,2.148597225627E-1); +#21512=CARTESIAN_POINT('',(5.270000001192E1,8.833505379275E0, +-1.872054026968E1)); +#21513=LINE('',#21512,#21511); +#21514=DIRECTION('',(8.132709342190E-2,-8.164385748777E-1,-5.716764446146E-1)); +#21515=VECTOR('',#21514,2.049341462304E-1); +#21516=CARTESIAN_POINT('',(5.276666661501E1,8.666189158862E0, +-1.883769634848E1)); +#21517=LINE('',#21516,#21515); +#21518=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#21519=VECTOR('',#21518,2.382978439331E-1); +#21520=CARTESIAN_POINT('',(5.278333331347E1,8.498873016570E0, +-1.895485237258E1)); +#21521=LINE('',#21520,#21519); +#21522=DIRECTION('',(-8.132707456154E-2,-8.164385761384E-1,-5.716764454973E-1)); +#21523=VECTOR('',#21522,2.049341937561E-1); +#21524=CARTESIAN_POINT('',(5.278333331347E1,8.303670850563E0, +-1.909153440069E1)); +#21525=LINE('',#21524,#21523); +#21526=DIRECTION('',(-3.102797648198E-1,-7.787230327593E-1,-5.452677377156E-1)); +#21527=VECTOR('',#21526,2.148596545672E-1); +#21528=CARTESIAN_POINT('',(5.276666661501E1,8.136354669210E0, +-1.920869045214E1)); +#21529=LINE('',#21528,#21527); +#21530=DIRECTION('',(-5.919095487495E-1,-6.602401485510E-1,-4.623051290441E-1)); +#21531=VECTOR('',#21530,8.447236593094E-2); +#21532=CARTESIAN_POINT('',(5.270000001192E1,7.969038507388E0, +-1.932584648991E1)); +#21533=LINE('',#21532,#21531); +#21534=DIRECTION('',(-9.257352082546E-1,-3.097806513144E-1,-2.169107472418E-1)); +#21535=VECTOR('',#21534,9.001861025547E-2); +#21536=CARTESIAN_POINT('',(5.265000001192E1,7.913266459958E0, +-1.936489849794E1)); +#21537=LINE('',#21536,#21535); +#21538=DIRECTION('',(-9.257350567423E-1,3.097809551294E-1,2.169109599754E-1)); +#21539=VECTOR('',#21538,9.001852197047E-2); +#21540=CARTESIAN_POINT('',(5.256666661501E1,7.885380436242E0, +-1.938442450196E1)); +#21541=LINE('',#21540,#21539); +#21542=DIRECTION('',(-5.919095487495E-1,6.602401485510E-1,4.623051290441E-1)); +#21543=VECTOR('',#21542,8.447236593095E-2); +#21544=CARTESIAN_POINT('',(5.248333331347E1,7.913266459958E0, +-1.936489849794E1)); +#21545=LINE('',#21544,#21543); +#21546=DIRECTION('',(-3.102801659471E-1,7.787229255131E-1,5.452676626210E-1)); +#21547=VECTOR('',#21546,2.148596841578E-1); +#21548=CARTESIAN_POINT('',(5.243333331347E1,7.969038507388E0, +-1.932584648991E1)); +#21549=LINE('',#21548,#21547); +#21550=DIRECTION('',(-8.132661228302E-2,8.164386070373E-1,5.716764671329E-1)); +#21551=VECTOR('',#21550,2.049341860002E-1); +#21552=CARTESIAN_POINT('',(5.236666661501E1,8.136354669210E0, +-1.920869045214E1)); +#21553=LINE('',#21552,#21551); +#21554=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#21555=VECTOR('',#21554,2.382978439331E-1); +#21556=CARTESIAN_POINT('',(5.235000001192E1,8.303670850563E0, +-1.909153440069E1)); +#21557=LINE('',#21556,#21555); +#21558=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21559=VECTOR('',#21558,2.2E0); +#21560=CARTESIAN_POINT('',(5.33E1,9.63E0,-1.795E1)); +#21561=LINE('',#21560,#21559); +#21562=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21563=VECTOR('',#21562,1.4E0); +#21564=CARTESIAN_POINT('',(5.115E1,-1.77E0,-1.795E1)); +#21565=LINE('',#21564,#21563); +#21566=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21567=VECTOR('',#21566,1.749954654104E0); +#21568=CARTESIAN_POINT('',(5.115E1,-1.595022672948E0,-1.995E1)); +#21569=LINE('',#21568,#21567); +#21570=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#21571=VECTOR('',#21570,1.464598038686E-1); +#21572=CARTESIAN_POINT('',(5.115E1,7.197627763800E0,-1.986599410763E1)); +#21573=LINE('',#21572,#21571); +#21574=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21575=VECTOR('',#21574,1.622632243107E0); +#21576=CARTESIAN_POINT('',(5.115E1,7.077654916055E0,-1.995E1)); +#21577=LINE('',#21576,#21575); +#21578=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21579=VECTOR('',#21578,1.4E0); +#21580=CARTESIAN_POINT('',(5.115E1,7.03E0,-1.795E1)); +#21581=LINE('',#21580,#21579); +#21582=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#21583=VECTOR('',#21582,1.923312893854E0); +#21584=CARTESIAN_POINT('',(5.115E1,7.197627763800E0,-1.986599410763E1)); +#21585=LINE('',#21584,#21583); +#21586=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21587=VECTOR('',#21586,8.E-1); +#21588=CARTESIAN_POINT('',(5.085E1,-2.07E0,-1.765E1)); +#21589=LINE('',#21588,#21587); +#21590=DIRECTION('',(0.E0,1.E0,0.E0)); +#21591=VECTOR('',#21590,8.E-1); +#21592=CARTESIAN_POINT('',(4.925E1,-2.87E0,-1.765E1)); +#21593=LINE('',#21592,#21591); +#21594=DIRECTION('',(1.E0,0.E0,0.E0)); +#21595=VECTOR('',#21594,1.6E0); +#21596=CARTESIAN_POINT('',(4.925E1,-2.07E0,-1.765E1)); +#21597=LINE('',#21596,#21595); +#21598=DIRECTION('',(0.E0,0.E0,1.E0)); +#21599=VECTOR('',#21598,7.E0); +#21600=CARTESIAN_POINT('',(5.035E1,-2.785E0,-1.765E1)); +#21601=LINE('',#21600,#21599); +#21602=DIRECTION('',(0.E0,1.E0,0.E0)); +#21603=VECTOR('',#21602,6.3E-1); +#21604=CARTESIAN_POINT('',(5.035E1,-2.785E0,-1.765E1)); +#21605=LINE('',#21604,#21603); +#21606=DIRECTION('',(0.E0,0.E0,1.E0)); +#21607=VECTOR('',#21606,7.E0); +#21608=CARTESIAN_POINT('',(4.975E1,-2.785E0,-1.765E1)); +#21609=LINE('',#21608,#21607); +#21610=DIRECTION('',(1.E0,0.E0,0.E0)); +#21611=VECTOR('',#21610,6.E-1); +#21612=CARTESIAN_POINT('',(4.975E1,-2.785E0,-1.765E1)); +#21613=LINE('',#21612,#21611); +#21614=DIRECTION('',(0.E0,0.E0,1.E0)); +#21615=VECTOR('',#21614,7.E0); +#21616=CARTESIAN_POINT('',(4.975E1,-2.155E0,-1.765E1)); +#21617=LINE('',#21616,#21615); +#21618=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21619=VECTOR('',#21618,6.3E-1); +#21620=CARTESIAN_POINT('',(4.975E1,-2.155E0,-1.765E1)); +#21621=LINE('',#21620,#21619); +#21622=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21623=VECTOR('',#21622,6.E-1); +#21624=CARTESIAN_POINT('',(5.035E1,-2.155E0,-1.765E1)); +#21625=LINE('',#21624,#21623); +#21626=DIRECTION('',(0.E0,0.E0,1.E0)); +#21627=VECTOR('',#21626,7.E0); +#21628=CARTESIAN_POINT('',(5.035E1,-2.155E0,-1.765E1)); +#21629=LINE('',#21628,#21627); +#21630=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21631=VECTOR('',#21630,6.3E-1); +#21632=CARTESIAN_POINT('',(4.975E1,6.645E0,-1.065E1)); +#21633=LINE('',#21632,#21631); +#21634=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21635=VECTOR('',#21634,6.E-1); +#21636=CARTESIAN_POINT('',(5.035E1,6.645E0,-1.065E1)); +#21637=LINE('',#21636,#21635); +#21638=DIRECTION('',(0.E0,1.E0,0.E0)); +#21639=VECTOR('',#21638,6.3E-1); +#21640=CARTESIAN_POINT('',(5.035E1,6.015E0,-1.065E1)); +#21641=LINE('',#21640,#21639); +#21642=DIRECTION('',(1.E0,0.E0,0.E0)); +#21643=VECTOR('',#21642,6.E-1); +#21644=CARTESIAN_POINT('',(4.975E1,6.015E0,-1.065E1)); +#21645=LINE('',#21644,#21643); +#21646=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21647=VECTOR('',#21646,6.3E-1); +#21648=CARTESIAN_POINT('',(4.975E1,-2.155E0,-1.065E1)); +#21649=LINE('',#21648,#21647); +#21650=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21651=VECTOR('',#21650,6.E-1); +#21652=CARTESIAN_POINT('',(5.035E1,-2.155E0,-1.065E1)); +#21653=LINE('',#21652,#21651); +#21654=DIRECTION('',(0.E0,1.E0,0.E0)); +#21655=VECTOR('',#21654,6.3E-1); +#21656=CARTESIAN_POINT('',(5.035E1,-2.785E0,-1.065E1)); +#21657=LINE('',#21656,#21655); +#21658=DIRECTION('',(1.E0,0.E0,0.E0)); +#21659=VECTOR('',#21658,6.E-1); +#21660=CARTESIAN_POINT('',(4.975E1,-2.785E0,-1.065E1)); +#21661=LINE('',#21660,#21659); +#21662=DIRECTION('',(0.E0,0.E0,1.E0)); +#21663=VECTOR('',#21662,7.E0); +#21664=CARTESIAN_POINT('',(4.975E1,6.645E0,-1.765E1)); +#21665=LINE('',#21664,#21663); +#21666=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21667=VECTOR('',#21666,6.3E-1); +#21668=CARTESIAN_POINT('',(4.975E1,6.645E0,-1.765E1)); +#21669=LINE('',#21668,#21667); +#21670=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21671=VECTOR('',#21670,6.E-1); +#21672=CARTESIAN_POINT('',(5.035E1,6.645E0,-1.765E1)); +#21673=LINE('',#21672,#21671); +#21674=DIRECTION('',(0.E0,0.E0,1.E0)); +#21675=VECTOR('',#21674,7.E0); +#21676=CARTESIAN_POINT('',(5.035E1,6.645E0,-1.765E1)); +#21677=LINE('',#21676,#21675); +#21678=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21679=VECTOR('',#21678,8.E-1); +#21680=CARTESIAN_POINT('',(5.085E1,6.73E0,-1.765E1)); +#21681=LINE('',#21680,#21679); +#21682=DIRECTION('',(0.E0,1.E0,0.E0)); +#21683=VECTOR('',#21682,8.E-1); +#21684=CARTESIAN_POINT('',(4.925E1,5.93E0,-1.765E1)); +#21685=LINE('',#21684,#21683); +#21686=DIRECTION('',(1.E0,0.E0,0.E0)); +#21687=VECTOR('',#21686,1.6E0); +#21688=CARTESIAN_POINT('',(4.925E1,6.73E0,-1.765E1)); +#21689=LINE('',#21688,#21687); +#21690=DIRECTION('',(0.E0,0.E0,1.E0)); +#21691=VECTOR('',#21690,7.E0); +#21692=CARTESIAN_POINT('',(5.035E1,6.015E0,-1.765E1)); +#21693=LINE('',#21692,#21691); +#21694=DIRECTION('',(0.E0,1.E0,0.E0)); +#21695=VECTOR('',#21694,6.3E-1); +#21696=CARTESIAN_POINT('',(5.035E1,6.015E0,-1.765E1)); +#21697=LINE('',#21696,#21695); +#21698=DIRECTION('',(0.E0,0.E0,1.E0)); +#21699=VECTOR('',#21698,7.E0); +#21700=CARTESIAN_POINT('',(4.975E1,6.015E0,-1.765E1)); +#21701=LINE('',#21700,#21699); +#21702=DIRECTION('',(1.E0,0.E0,0.E0)); +#21703=VECTOR('',#21702,6.E-1); +#21704=CARTESIAN_POINT('',(4.975E1,6.015E0,-1.765E1)); +#21705=LINE('',#21704,#21703); +#21706=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#21707=VECTOR('',#21706,5.196152422707E-1); +#21708=CARTESIAN_POINT('',(5.115E1,5.63E0,-1.795E1)); +#21709=LINE('',#21708,#21707); +#21710=DIRECTION('',(1.E0,0.E0,0.E0)); +#21711=VECTOR('',#21710,2.2E0); +#21712=CARTESIAN_POINT('',(4.895E1,5.63E0,-1.795E1)); +#21713=LINE('',#21712,#21711); +#21714=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#21715=VECTOR('',#21714,5.196152422707E-1); +#21716=CARTESIAN_POINT('',(4.925E1,5.93E0,-1.765E1)); +#21717=LINE('',#21716,#21715); +#21718=DIRECTION('',(1.E0,0.E0,0.E0)); +#21719=VECTOR('',#21718,1.6E0); +#21720=CARTESIAN_POINT('',(4.925E1,5.93E0,-1.765E1)); +#21721=LINE('',#21720,#21719); +#21722=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#21723=VECTOR('',#21722,2.007639675087E0); +#21724=CARTESIAN_POINT('',(5.115E1,5.63E0,-1.795E1)); +#21725=LINE('',#21724,#21723); +#21726=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#21727=VECTOR('',#21726,2.007639675087E0); +#21728=CARTESIAN_POINT('',(4.895E1,5.455022672948E0,-1.995E1)); +#21729=LINE('',#21728,#21727); +#21730=DIRECTION('',(0.E0,1.E0,0.E0)); +#21731=VECTOR('',#21730,1.4E0); +#21732=CARTESIAN_POINT('',(4.895E1,-3.17E0,-1.795E1)); +#21733=LINE('',#21732,#21731); +#21734=DIRECTION('',(0.E0,1.E0,0.E0)); +#21735=VECTOR('',#21734,1.749954654104E0); +#21736=CARTESIAN_POINT('',(4.895E1,-3.344977327052E0,-1.995E1)); +#21737=LINE('',#21736,#21735); +#21738=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#21739=VECTOR('',#21738,1.464598038686E-1); +#21740=CARTESIAN_POINT('',(4.895E1,7.197627763800E0,-1.986599410763E1)); +#21741=LINE('',#21740,#21739); +#21742=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#21743=VECTOR('',#21742,1.923312893854E0); +#21744=CARTESIAN_POINT('',(4.895E1,7.197627763800E0,-1.986599410763E1)); +#21745=LINE('',#21744,#21743); +#21746=DIRECTION('',(0.E0,1.E0,0.E0)); +#21747=VECTOR('',#21746,1.4E0); +#21748=CARTESIAN_POINT('',(4.895E1,5.63E0,-1.795E1)); +#21749=LINE('',#21748,#21747); +#21750=DIRECTION('',(0.E0,1.E0,0.E0)); +#21751=VECTOR('',#21750,1.622632243107E0); +#21752=CARTESIAN_POINT('',(4.895E1,5.455022672948E0,-1.995E1)); +#21753=LINE('',#21752,#21751); +#21754=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#21755=VECTOR('',#21754,5.196152422707E-1); +#21756=CARTESIAN_POINT('',(5.085E1,-2.07E0,-1.765E1)); +#21757=LINE('',#21756,#21755); +#21758=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#21759=VECTOR('',#21758,5.196152422707E-1); +#21760=CARTESIAN_POINT('',(4.895E1,-1.77E0,-1.795E1)); +#21761=LINE('',#21760,#21759); +#21762=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21763=VECTOR('',#21762,2.2E0); +#21764=CARTESIAN_POINT('',(5.115E1,-1.77E0,-1.795E1)); +#21765=LINE('',#21764,#21763); +#21766=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#21767=VECTOR('',#21766,2.007639675087E0); +#21768=CARTESIAN_POINT('',(5.115E1,-1.595022672948E0,-1.995E1)); +#21769=LINE('',#21768,#21767); +#21770=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#21771=VECTOR('',#21770,2.007639675087E0); +#21772=CARTESIAN_POINT('',(4.895E1,-1.77E0,-1.795E1)); +#21773=LINE('',#21772,#21771); +#21774=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#21775=VECTOR('',#21774,5.196152422707E-1); +#21776=CARTESIAN_POINT('',(5.115E1,-3.17E0,-1.795E1)); +#21777=LINE('',#21776,#21775); +#21778=DIRECTION('',(1.E0,0.E0,0.E0)); +#21779=VECTOR('',#21778,2.2E0); +#21780=CARTESIAN_POINT('',(4.895E1,-3.17E0,-1.795E1)); +#21781=LINE('',#21780,#21779); +#21782=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#21783=VECTOR('',#21782,5.196152422707E-1); +#21784=CARTESIAN_POINT('',(4.925E1,-2.87E0,-1.765E1)); +#21785=LINE('',#21784,#21783); +#21786=DIRECTION('',(1.E0,0.E0,0.E0)); +#21787=VECTOR('',#21786,1.6E0); +#21788=CARTESIAN_POINT('',(4.925E1,-2.87E0,-1.765E1)); +#21789=LINE('',#21788,#21787); +#21790=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#21791=VECTOR('',#21790,2.007639675087E0); +#21792=CARTESIAN_POINT('',(5.115E1,-3.17E0,-1.795E1)); +#21793=LINE('',#21792,#21791); +#21794=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#21795=VECTOR('',#21794,2.007639675087E0); +#21796=CARTESIAN_POINT('',(4.895E1,-3.344977327052E0,-1.995E1)); +#21797=LINE('',#21796,#21795); +#21798=DIRECTION('',(1.E0,0.E0,0.E0)); +#21799=VECTOR('',#21798,2.2E0); +#21800=CARTESIAN_POINT('',(4.68E1,9.63E0,-1.816282873211E1)); +#21801=LINE('',#21800,#21799); +#21802=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21803=VECTOR('',#21802,2.2E0); +#21804=CARTESIAN_POINT('',(4.9E1,9.63E0,-1.795E1)); +#21805=LINE('',#21804,#21803); +#21806=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21807=VECTOR('',#21806,1.4E0); +#21808=CARTESIAN_POINT('',(4.685E1,-1.77E0,-1.795E1)); +#21809=LINE('',#21808,#21807); +#21810=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21811=VECTOR('',#21810,1.749954654104E0); +#21812=CARTESIAN_POINT('',(4.685E1,-1.595022672948E0,-1.995E1)); +#21813=LINE('',#21812,#21811); +#21814=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#21815=VECTOR('',#21814,1.464598038686E-1); +#21816=CARTESIAN_POINT('',(4.685E1,7.197627763800E0,-1.986599410763E1)); +#21817=LINE('',#21816,#21815); +#21818=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21819=VECTOR('',#21818,1.622632243107E0); +#21820=CARTESIAN_POINT('',(4.685E1,7.077654916055E0,-1.995E1)); +#21821=LINE('',#21820,#21819); +#21822=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21823=VECTOR('',#21822,1.4E0); +#21824=CARTESIAN_POINT('',(4.685E1,7.03E0,-1.795E1)); +#21825=LINE('',#21824,#21823); +#21826=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#21827=VECTOR('',#21826,1.923312893854E0); +#21828=CARTESIAN_POINT('',(4.685E1,7.197627763800E0,-1.986599410763E1)); +#21829=LINE('',#21828,#21827); +#21830=DIRECTION('',(1.776356839400E-14,-1.E0,0.E0)); +#21831=VECTOR('',#21830,8.E-1); +#21832=CARTESIAN_POINT('',(4.655E1,-2.07E0,-1.765E1)); +#21833=LINE('',#21832,#21831); +#21834=DIRECTION('',(0.E0,1.E0,0.E0)); +#21835=VECTOR('',#21834,8.E-1); +#21836=CARTESIAN_POINT('',(4.495E1,-2.87E0,-1.765E1)); +#21837=LINE('',#21836,#21835); +#21838=DIRECTION('',(1.E0,0.E0,0.E0)); +#21839=VECTOR('',#21838,1.6E0); +#21840=CARTESIAN_POINT('',(4.495E1,-2.07E0,-1.765E1)); +#21841=LINE('',#21840,#21839); +#21842=DIRECTION('',(0.E0,0.E0,1.E0)); +#21843=VECTOR('',#21842,7.E0); +#21844=CARTESIAN_POINT('',(4.605E1,-2.785E0,-1.765E1)); +#21845=LINE('',#21844,#21843); +#21846=DIRECTION('',(0.E0,1.E0,0.E0)); +#21847=VECTOR('',#21846,6.3E-1); +#21848=CARTESIAN_POINT('',(4.605E1,-2.785E0,-1.765E1)); +#21849=LINE('',#21848,#21847); +#21850=DIRECTION('',(0.E0,0.E0,1.E0)); +#21851=VECTOR('',#21850,7.E0); +#21852=CARTESIAN_POINT('',(4.545E1,-2.785E0,-1.765E1)); +#21853=LINE('',#21852,#21851); +#21854=DIRECTION('',(1.E0,0.E0,0.E0)); +#21855=VECTOR('',#21854,6.E-1); +#21856=CARTESIAN_POINT('',(4.545E1,-2.785E0,-1.765E1)); +#21857=LINE('',#21856,#21855); +#21858=DIRECTION('',(0.E0,0.E0,1.E0)); +#21859=VECTOR('',#21858,7.E0); +#21860=CARTESIAN_POINT('',(4.545E1,-2.155E0,-1.765E1)); +#21861=LINE('',#21860,#21859); +#21862=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21863=VECTOR('',#21862,6.3E-1); +#21864=CARTESIAN_POINT('',(4.545E1,-2.155E0,-1.765E1)); +#21865=LINE('',#21864,#21863); +#21866=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21867=VECTOR('',#21866,6.E-1); +#21868=CARTESIAN_POINT('',(4.605E1,-2.155E0,-1.765E1)); +#21869=LINE('',#21868,#21867); +#21870=DIRECTION('',(0.E0,0.E0,1.E0)); +#21871=VECTOR('',#21870,7.E0); +#21872=CARTESIAN_POINT('',(4.605E1,-2.155E0,-1.765E1)); +#21873=LINE('',#21872,#21871); +#21874=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21875=VECTOR('',#21874,6.3E-1); +#21876=CARTESIAN_POINT('',(4.545E1,6.645E0,-1.065E1)); +#21877=LINE('',#21876,#21875); +#21878=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21879=VECTOR('',#21878,6.E-1); +#21880=CARTESIAN_POINT('',(4.605E1,6.645E0,-1.065E1)); +#21881=LINE('',#21880,#21879); +#21882=DIRECTION('',(0.E0,1.E0,0.E0)); +#21883=VECTOR('',#21882,6.3E-1); +#21884=CARTESIAN_POINT('',(4.605E1,6.015E0,-1.065E1)); +#21885=LINE('',#21884,#21883); +#21886=DIRECTION('',(1.E0,0.E0,0.E0)); +#21887=VECTOR('',#21886,6.E-1); +#21888=CARTESIAN_POINT('',(4.545E1,6.015E0,-1.065E1)); +#21889=LINE('',#21888,#21887); +#21890=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21891=VECTOR('',#21890,6.3E-1); +#21892=CARTESIAN_POINT('',(4.545E1,-2.155E0,-1.065E1)); +#21893=LINE('',#21892,#21891); +#21894=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21895=VECTOR('',#21894,6.E-1); +#21896=CARTESIAN_POINT('',(4.605E1,-2.155E0,-1.065E1)); +#21897=LINE('',#21896,#21895); +#21898=DIRECTION('',(0.E0,1.E0,0.E0)); +#21899=VECTOR('',#21898,6.3E-1); +#21900=CARTESIAN_POINT('',(4.605E1,-2.785E0,-1.065E1)); +#21901=LINE('',#21900,#21899); +#21902=DIRECTION('',(1.E0,0.E0,0.E0)); +#21903=VECTOR('',#21902,6.E-1); +#21904=CARTESIAN_POINT('',(4.545E1,-2.785E0,-1.065E1)); +#21905=LINE('',#21904,#21903); +#21906=DIRECTION('',(0.E0,0.E0,1.E0)); +#21907=VECTOR('',#21906,7.E0); +#21908=CARTESIAN_POINT('',(4.545E1,6.645E0,-1.765E1)); +#21909=LINE('',#21908,#21907); +#21910=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21911=VECTOR('',#21910,6.3E-1); +#21912=CARTESIAN_POINT('',(4.545E1,6.645E0,-1.765E1)); +#21913=LINE('',#21912,#21911); +#21914=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21915=VECTOR('',#21914,6.E-1); +#21916=CARTESIAN_POINT('',(4.605E1,6.645E0,-1.765E1)); +#21917=LINE('',#21916,#21915); +#21918=DIRECTION('',(0.E0,0.E0,1.E0)); +#21919=VECTOR('',#21918,7.E0); +#21920=CARTESIAN_POINT('',(4.605E1,6.645E0,-1.765E1)); +#21921=LINE('',#21920,#21919); +#21922=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21923=VECTOR('',#21922,8.E-1); +#21924=CARTESIAN_POINT('',(4.655E1,6.73E0,-1.765E1)); +#21925=LINE('',#21924,#21923); +#21926=DIRECTION('',(0.E0,1.E0,0.E0)); +#21927=VECTOR('',#21926,8.E-1); +#21928=CARTESIAN_POINT('',(4.495E1,5.93E0,-1.765E1)); +#21929=LINE('',#21928,#21927); +#21930=DIRECTION('',(1.E0,0.E0,0.E0)); +#21931=VECTOR('',#21930,1.6E0); +#21932=CARTESIAN_POINT('',(4.495E1,6.73E0,-1.765E1)); +#21933=LINE('',#21932,#21931); +#21934=DIRECTION('',(0.E0,0.E0,1.E0)); +#21935=VECTOR('',#21934,7.E0); +#21936=CARTESIAN_POINT('',(4.605E1,6.015E0,-1.765E1)); +#21937=LINE('',#21936,#21935); +#21938=DIRECTION('',(0.E0,1.E0,0.E0)); +#21939=VECTOR('',#21938,6.3E-1); +#21940=CARTESIAN_POINT('',(4.605E1,6.015E0,-1.765E1)); +#21941=LINE('',#21940,#21939); +#21942=DIRECTION('',(0.E0,0.E0,1.E0)); +#21943=VECTOR('',#21942,7.E0); +#21944=CARTESIAN_POINT('',(4.545E1,6.015E0,-1.765E1)); +#21945=LINE('',#21944,#21943); +#21946=DIRECTION('',(1.E0,0.E0,0.E0)); +#21947=VECTOR('',#21946,6.E-1); +#21948=CARTESIAN_POINT('',(4.545E1,6.015E0,-1.765E1)); +#21949=LINE('',#21948,#21947); +#21950=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#21951=VECTOR('',#21950,5.196152422707E-1); +#21952=CARTESIAN_POINT('',(4.685E1,5.63E0,-1.795E1)); +#21953=LINE('',#21952,#21951); +#21954=DIRECTION('',(1.E0,0.E0,0.E0)); +#21955=VECTOR('',#21954,2.2E0); +#21956=CARTESIAN_POINT('',(4.465E1,5.63E0,-1.795E1)); +#21957=LINE('',#21956,#21955); +#21958=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#21959=VECTOR('',#21958,5.196152422707E-1); +#21960=CARTESIAN_POINT('',(4.495E1,5.93E0,-1.765E1)); +#21961=LINE('',#21960,#21959); +#21962=DIRECTION('',(1.E0,0.E0,0.E0)); +#21963=VECTOR('',#21962,1.6E0); +#21964=CARTESIAN_POINT('',(4.495E1,5.93E0,-1.765E1)); +#21965=LINE('',#21964,#21963); +#21966=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#21967=VECTOR('',#21966,2.007639675087E0); +#21968=CARTESIAN_POINT('',(4.685E1,5.63E0,-1.795E1)); +#21969=LINE('',#21968,#21967); +#21970=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#21971=VECTOR('',#21970,2.007639675087E0); +#21972=CARTESIAN_POINT('',(4.465E1,5.455022672948E0,-1.995E1)); +#21973=LINE('',#21972,#21971); +#21974=DIRECTION('',(0.E0,1.E0,0.E0)); +#21975=VECTOR('',#21974,1.4E0); +#21976=CARTESIAN_POINT('',(4.465E1,-3.17E0,-1.795E1)); +#21977=LINE('',#21976,#21975); +#21978=DIRECTION('',(0.E0,1.E0,0.E0)); +#21979=VECTOR('',#21978,1.749954654104E0); +#21980=CARTESIAN_POINT('',(4.465E1,-3.344977327052E0,-1.995E1)); +#21981=LINE('',#21980,#21979); +#21982=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#21983=VECTOR('',#21982,1.464598038686E-1); +#21984=CARTESIAN_POINT('',(4.465E1,7.197627763800E0,-1.986599410763E1)); +#21985=LINE('',#21984,#21983); +#21986=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#21987=VECTOR('',#21986,1.923312893854E0); +#21988=CARTESIAN_POINT('',(4.465E1,7.197627763800E0,-1.986599410763E1)); +#21989=LINE('',#21988,#21987); +#21990=DIRECTION('',(0.E0,1.E0,0.E0)); +#21991=VECTOR('',#21990,1.4E0); +#21992=CARTESIAN_POINT('',(4.465E1,5.63E0,-1.795E1)); +#21993=LINE('',#21992,#21991); +#21994=DIRECTION('',(0.E0,1.E0,0.E0)); +#21995=VECTOR('',#21994,1.622632243107E0); +#21996=CARTESIAN_POINT('',(4.465E1,5.455022672948E0,-1.995E1)); +#21997=LINE('',#21996,#21995); +#21998=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#21999=VECTOR('',#21998,5.196152422707E-1); +#22000=CARTESIAN_POINT('',(4.655E1,-2.07E0,-1.765E1)); +#22001=LINE('',#22000,#21999); +#22002=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#22003=VECTOR('',#22002,5.196152422707E-1); +#22004=CARTESIAN_POINT('',(4.465E1,-1.77E0,-1.795E1)); +#22005=LINE('',#22004,#22003); +#22006=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22007=VECTOR('',#22006,2.2E0); +#22008=CARTESIAN_POINT('',(4.685E1,-1.77E0,-1.795E1)); +#22009=LINE('',#22008,#22007); +#22010=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#22011=VECTOR('',#22010,2.007639675087E0); +#22012=CARTESIAN_POINT('',(4.685E1,-1.595022672948E0,-1.995E1)); +#22013=LINE('',#22012,#22011); +#22014=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#22015=VECTOR('',#22014,2.007639675087E0); +#22016=CARTESIAN_POINT('',(4.465E1,-1.77E0,-1.795E1)); +#22017=LINE('',#22016,#22015); +#22018=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#22019=VECTOR('',#22018,5.196152422707E-1); +#22020=CARTESIAN_POINT('',(4.685E1,-3.17E0,-1.795E1)); +#22021=LINE('',#22020,#22019); +#22022=DIRECTION('',(1.E0,0.E0,0.E0)); +#22023=VECTOR('',#22022,2.2E0); +#22024=CARTESIAN_POINT('',(4.465E1,-3.17E0,-1.795E1)); +#22025=LINE('',#22024,#22023); +#22026=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#22027=VECTOR('',#22026,5.196152422707E-1); +#22028=CARTESIAN_POINT('',(4.495E1,-2.87E0,-1.765E1)); +#22029=LINE('',#22028,#22027); +#22030=DIRECTION('',(1.E0,0.E0,0.E0)); +#22031=VECTOR('',#22030,1.6E0); +#22032=CARTESIAN_POINT('',(4.495E1,-2.87E0,-1.765E1)); +#22033=LINE('',#22032,#22031); +#22034=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#22035=VECTOR('',#22034,2.007639675087E0); +#22036=CARTESIAN_POINT('',(4.685E1,-3.17E0,-1.795E1)); +#22037=LINE('',#22036,#22035); +#22038=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#22039=VECTOR('',#22038,2.007639675087E0); +#22040=CARTESIAN_POINT('',(4.465E1,-3.344977327052E0,-1.995E1)); +#22041=LINE('',#22040,#22039); +#22042=DIRECTION('',(1.E0,0.E0,0.E0)); +#22043=VECTOR('',#22042,2.2E0); +#22044=CARTESIAN_POINT('',(4.25E1,9.63E0,-1.816282873211E1)); +#22045=LINE('',#22044,#22043); +#22046=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22047=VECTOR('',#22046,2.2E0); +#22048=CARTESIAN_POINT('',(4.47E1,9.63E0,-1.795E1)); +#22049=LINE('',#22048,#22047); +#22050=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22051=VECTOR('',#22050,1.4E0); +#22052=CARTESIAN_POINT('',(4.255E1,-1.77E0,-1.795E1)); +#22053=LINE('',#22052,#22051); +#22054=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22055=VECTOR('',#22054,1.749954654104E0); +#22056=CARTESIAN_POINT('',(4.255E1,-1.595022672948E0,-1.995E1)); +#22057=LINE('',#22056,#22055); +#22058=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#22059=VECTOR('',#22058,1.464598038686E-1); +#22060=CARTESIAN_POINT('',(4.255E1,7.197627763800E0,-1.986599410763E1)); +#22061=LINE('',#22060,#22059); +#22062=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22063=VECTOR('',#22062,1.622632243107E0); +#22064=CARTESIAN_POINT('',(4.255E1,7.077654916055E0,-1.995E1)); +#22065=LINE('',#22064,#22063); +#22066=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22067=VECTOR('',#22066,1.4E0); +#22068=CARTESIAN_POINT('',(4.255E1,7.03E0,-1.795E1)); +#22069=LINE('',#22068,#22067); +#22070=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#22071=VECTOR('',#22070,1.923312893854E0); +#22072=CARTESIAN_POINT('',(4.255E1,7.197627763800E0,-1.986599410763E1)); +#22073=LINE('',#22072,#22071); +#22074=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22075=VECTOR('',#22074,8.E-1); +#22076=CARTESIAN_POINT('',(4.225E1,-2.07E0,-1.765E1)); +#22077=LINE('',#22076,#22075); +#22078=DIRECTION('',(0.E0,1.E0,0.E0)); +#22079=VECTOR('',#22078,8.E-1); +#22080=CARTESIAN_POINT('',(4.065E1,-2.87E0,-1.765E1)); +#22081=LINE('',#22080,#22079); +#22082=DIRECTION('',(1.E0,0.E0,0.E0)); +#22083=VECTOR('',#22082,1.6E0); +#22084=CARTESIAN_POINT('',(4.065E1,-2.07E0,-1.765E1)); +#22085=LINE('',#22084,#22083); +#22086=DIRECTION('',(0.E0,0.E0,1.E0)); +#22087=VECTOR('',#22086,7.E0); +#22088=CARTESIAN_POINT('',(4.175E1,-2.785E0,-1.765E1)); +#22089=LINE('',#22088,#22087); +#22090=DIRECTION('',(0.E0,1.E0,0.E0)); +#22091=VECTOR('',#22090,6.3E-1); +#22092=CARTESIAN_POINT('',(4.175E1,-2.785E0,-1.765E1)); +#22093=LINE('',#22092,#22091); +#22094=DIRECTION('',(0.E0,0.E0,1.E0)); +#22095=VECTOR('',#22094,7.E0); +#22096=CARTESIAN_POINT('',(4.115E1,-2.785E0,-1.765E1)); +#22097=LINE('',#22096,#22095); +#22098=DIRECTION('',(1.E0,0.E0,0.E0)); +#22099=VECTOR('',#22098,6.E-1); +#22100=CARTESIAN_POINT('',(4.115E1,-2.785E0,-1.765E1)); +#22101=LINE('',#22100,#22099); +#22102=DIRECTION('',(0.E0,0.E0,1.E0)); +#22103=VECTOR('',#22102,7.E0); +#22104=CARTESIAN_POINT('',(4.115E1,-2.155E0,-1.765E1)); +#22105=LINE('',#22104,#22103); +#22106=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22107=VECTOR('',#22106,6.3E-1); +#22108=CARTESIAN_POINT('',(4.115E1,-2.155E0,-1.765E1)); +#22109=LINE('',#22108,#22107); +#22110=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22111=VECTOR('',#22110,6.E-1); +#22112=CARTESIAN_POINT('',(4.175E1,-2.155E0,-1.765E1)); +#22113=LINE('',#22112,#22111); +#22114=DIRECTION('',(0.E0,0.E0,1.E0)); +#22115=VECTOR('',#22114,7.E0); +#22116=CARTESIAN_POINT('',(4.175E1,-2.155E0,-1.765E1)); +#22117=LINE('',#22116,#22115); +#22118=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22119=VECTOR('',#22118,6.3E-1); +#22120=CARTESIAN_POINT('',(4.115E1,6.645E0,-1.065E1)); +#22121=LINE('',#22120,#22119); +#22122=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22123=VECTOR('',#22122,6.E-1); +#22124=CARTESIAN_POINT('',(4.175E1,6.645E0,-1.065E1)); +#22125=LINE('',#22124,#22123); +#22126=DIRECTION('',(0.E0,1.E0,0.E0)); +#22127=VECTOR('',#22126,6.3E-1); +#22128=CARTESIAN_POINT('',(4.175E1,6.015E0,-1.065E1)); +#22129=LINE('',#22128,#22127); +#22130=DIRECTION('',(1.E0,0.E0,0.E0)); +#22131=VECTOR('',#22130,6.E-1); +#22132=CARTESIAN_POINT('',(4.115E1,6.015E0,-1.065E1)); +#22133=LINE('',#22132,#22131); +#22134=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22135=VECTOR('',#22134,6.3E-1); +#22136=CARTESIAN_POINT('',(4.115E1,-2.155E0,-1.065E1)); +#22137=LINE('',#22136,#22135); +#22138=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22139=VECTOR('',#22138,6.E-1); +#22140=CARTESIAN_POINT('',(4.175E1,-2.155E0,-1.065E1)); +#22141=LINE('',#22140,#22139); +#22142=DIRECTION('',(0.E0,1.E0,0.E0)); +#22143=VECTOR('',#22142,6.3E-1); +#22144=CARTESIAN_POINT('',(4.175E1,-2.785E0,-1.065E1)); +#22145=LINE('',#22144,#22143); +#22146=DIRECTION('',(1.E0,0.E0,0.E0)); +#22147=VECTOR('',#22146,6.E-1); +#22148=CARTESIAN_POINT('',(4.115E1,-2.785E0,-1.065E1)); +#22149=LINE('',#22148,#22147); +#22150=DIRECTION('',(0.E0,0.E0,1.E0)); +#22151=VECTOR('',#22150,7.E0); +#22152=CARTESIAN_POINT('',(4.115E1,6.645E0,-1.765E1)); +#22153=LINE('',#22152,#22151); +#22154=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22155=VECTOR('',#22154,6.3E-1); +#22156=CARTESIAN_POINT('',(4.115E1,6.645E0,-1.765E1)); +#22157=LINE('',#22156,#22155); +#22158=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22159=VECTOR('',#22158,6.E-1); +#22160=CARTESIAN_POINT('',(4.175E1,6.645E0,-1.765E1)); +#22161=LINE('',#22160,#22159); +#22162=DIRECTION('',(0.E0,0.E0,1.E0)); +#22163=VECTOR('',#22162,7.E0); +#22164=CARTESIAN_POINT('',(4.175E1,6.645E0,-1.765E1)); +#22165=LINE('',#22164,#22163); +#22166=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22167=VECTOR('',#22166,8.E-1); +#22168=CARTESIAN_POINT('',(4.225E1,6.73E0,-1.765E1)); +#22169=LINE('',#22168,#22167); +#22170=DIRECTION('',(0.E0,1.E0,0.E0)); +#22171=VECTOR('',#22170,8.E-1); +#22172=CARTESIAN_POINT('',(4.065E1,5.93E0,-1.765E1)); +#22173=LINE('',#22172,#22171); +#22174=DIRECTION('',(1.E0,0.E0,0.E0)); +#22175=VECTOR('',#22174,1.6E0); +#22176=CARTESIAN_POINT('',(4.065E1,6.73E0,-1.765E1)); +#22177=LINE('',#22176,#22175); +#22178=DIRECTION('',(0.E0,0.E0,1.E0)); +#22179=VECTOR('',#22178,7.E0); +#22180=CARTESIAN_POINT('',(4.175E1,6.015E0,-1.765E1)); +#22181=LINE('',#22180,#22179); +#22182=DIRECTION('',(0.E0,1.E0,0.E0)); +#22183=VECTOR('',#22182,6.3E-1); +#22184=CARTESIAN_POINT('',(4.175E1,6.015E0,-1.765E1)); +#22185=LINE('',#22184,#22183); +#22186=DIRECTION('',(0.E0,0.E0,1.E0)); +#22187=VECTOR('',#22186,7.E0); +#22188=CARTESIAN_POINT('',(4.115E1,6.015E0,-1.765E1)); +#22189=LINE('',#22188,#22187); +#22190=DIRECTION('',(1.E0,0.E0,0.E0)); +#22191=VECTOR('',#22190,6.E-1); +#22192=CARTESIAN_POINT('',(4.115E1,6.015E0,-1.765E1)); +#22193=LINE('',#22192,#22191); +#22194=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#22195=VECTOR('',#22194,5.196152422707E-1); +#22196=CARTESIAN_POINT('',(4.255E1,5.63E0,-1.795E1)); +#22197=LINE('',#22196,#22195); +#22198=DIRECTION('',(1.E0,0.E0,0.E0)); +#22199=VECTOR('',#22198,2.2E0); +#22200=CARTESIAN_POINT('',(4.035E1,5.63E0,-1.795E1)); +#22201=LINE('',#22200,#22199); +#22202=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#22203=VECTOR('',#22202,5.196152422707E-1); +#22204=CARTESIAN_POINT('',(4.065E1,5.93E0,-1.765E1)); +#22205=LINE('',#22204,#22203); +#22206=DIRECTION('',(1.E0,0.E0,0.E0)); +#22207=VECTOR('',#22206,1.6E0); +#22208=CARTESIAN_POINT('',(4.065E1,5.93E0,-1.765E1)); +#22209=LINE('',#22208,#22207); +#22210=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#22211=VECTOR('',#22210,2.007639675087E0); +#22212=CARTESIAN_POINT('',(4.255E1,5.63E0,-1.795E1)); +#22213=LINE('',#22212,#22211); +#22214=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#22215=VECTOR('',#22214,2.007639675087E0); +#22216=CARTESIAN_POINT('',(4.035E1,5.455022672948E0,-1.995E1)); +#22217=LINE('',#22216,#22215); +#22218=DIRECTION('',(0.E0,1.E0,0.E0)); +#22219=VECTOR('',#22218,1.4E0); +#22220=CARTESIAN_POINT('',(4.035E1,-3.17E0,-1.795E1)); +#22221=LINE('',#22220,#22219); +#22222=DIRECTION('',(0.E0,1.E0,0.E0)); +#22223=VECTOR('',#22222,1.749954654104E0); +#22224=CARTESIAN_POINT('',(4.035E1,-3.344977327052E0,-1.995E1)); +#22225=LINE('',#22224,#22223); +#22226=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#22227=VECTOR('',#22226,1.464598038686E-1); +#22228=CARTESIAN_POINT('',(4.035E1,7.197627763800E0,-1.986599410763E1)); +#22229=LINE('',#22228,#22227); +#22230=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#22231=VECTOR('',#22230,1.923312893854E0); +#22232=CARTESIAN_POINT('',(4.035E1,7.197627763800E0,-1.986599410763E1)); +#22233=LINE('',#22232,#22231); +#22234=DIRECTION('',(0.E0,1.E0,0.E0)); +#22235=VECTOR('',#22234,1.4E0); +#22236=CARTESIAN_POINT('',(4.035E1,5.63E0,-1.795E1)); +#22237=LINE('',#22236,#22235); +#22238=DIRECTION('',(0.E0,1.E0,0.E0)); +#22239=VECTOR('',#22238,1.622632243107E0); +#22240=CARTESIAN_POINT('',(4.035E1,5.455022672948E0,-1.995E1)); +#22241=LINE('',#22240,#22239); +#22242=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#22243=VECTOR('',#22242,5.196152422707E-1); +#22244=CARTESIAN_POINT('',(4.225E1,-2.07E0,-1.765E1)); +#22245=LINE('',#22244,#22243); +#22246=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#22247=VECTOR('',#22246,5.196152422707E-1); +#22248=CARTESIAN_POINT('',(4.035E1,-1.77E0,-1.795E1)); +#22249=LINE('',#22248,#22247); +#22250=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22251=VECTOR('',#22250,2.2E0); +#22252=CARTESIAN_POINT('',(4.255E1,-1.77E0,-1.795E1)); +#22253=LINE('',#22252,#22251); +#22254=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#22255=VECTOR('',#22254,2.007639675087E0); +#22256=CARTESIAN_POINT('',(4.255E1,-1.595022672948E0,-1.995E1)); +#22257=LINE('',#22256,#22255); +#22258=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#22259=VECTOR('',#22258,2.007639675087E0); +#22260=CARTESIAN_POINT('',(4.035E1,-1.77E0,-1.795E1)); +#22261=LINE('',#22260,#22259); +#22262=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#22263=VECTOR('',#22262,5.196152422707E-1); +#22264=CARTESIAN_POINT('',(4.255E1,-3.17E0,-1.795E1)); +#22265=LINE('',#22264,#22263); +#22266=DIRECTION('',(1.E0,0.E0,0.E0)); +#22267=VECTOR('',#22266,2.2E0); +#22268=CARTESIAN_POINT('',(4.035E1,-3.17E0,-1.795E1)); +#22269=LINE('',#22268,#22267); +#22270=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#22271=VECTOR('',#22270,5.196152422707E-1); +#22272=CARTESIAN_POINT('',(4.065E1,-2.87E0,-1.765E1)); +#22273=LINE('',#22272,#22271); +#22274=DIRECTION('',(1.E0,0.E0,0.E0)); +#22275=VECTOR('',#22274,1.6E0); +#22276=CARTESIAN_POINT('',(4.065E1,-2.87E0,-1.765E1)); +#22277=LINE('',#22276,#22275); +#22278=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#22279=VECTOR('',#22278,2.007639675087E0); +#22280=CARTESIAN_POINT('',(4.255E1,-3.17E0,-1.795E1)); +#22281=LINE('',#22280,#22279); +#22282=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#22283=VECTOR('',#22282,2.007639675087E0); +#22284=CARTESIAN_POINT('',(4.035E1,-3.344977327052E0,-1.995E1)); +#22285=LINE('',#22284,#22283); +#22286=DIRECTION('',(1.E0,0.E0,0.E0)); +#22287=VECTOR('',#22286,2.2E0); +#22288=CARTESIAN_POINT('',(3.82E1,9.63E0,-1.816282873211E1)); +#22289=LINE('',#22288,#22287); +#22290=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22291=VECTOR('',#22290,2.2E0); +#22292=CARTESIAN_POINT('',(4.04E1,9.63E0,-1.795E1)); +#22293=LINE('',#22292,#22291); +#22294=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22295=VECTOR('',#22294,1.4E0); +#22296=CARTESIAN_POINT('',(3.825E1,-1.77E0,-1.795E1)); +#22297=LINE('',#22296,#22295); +#22298=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22299=VECTOR('',#22298,1.749954654104E0); +#22300=CARTESIAN_POINT('',(3.825E1,-1.595022672948E0,-1.995E1)); +#22301=LINE('',#22300,#22299); +#22302=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#22303=VECTOR('',#22302,1.464598038686E-1); +#22304=CARTESIAN_POINT('',(3.825E1,7.197627763800E0,-1.986599410763E1)); +#22305=LINE('',#22304,#22303); +#22306=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22307=VECTOR('',#22306,1.622632243107E0); +#22308=CARTESIAN_POINT('',(3.825E1,7.077654916055E0,-1.995E1)); +#22309=LINE('',#22308,#22307); +#22310=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22311=VECTOR('',#22310,1.4E0); +#22312=CARTESIAN_POINT('',(3.825E1,7.03E0,-1.795E1)); +#22313=LINE('',#22312,#22311); +#22314=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#22315=VECTOR('',#22314,1.923312893854E0); +#22316=CARTESIAN_POINT('',(3.825E1,7.197627763800E0,-1.986599410763E1)); +#22317=LINE('',#22316,#22315); +#22318=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22319=VECTOR('',#22318,8.E-1); +#22320=CARTESIAN_POINT('',(3.795E1,-2.07E0,-1.765E1)); +#22321=LINE('',#22320,#22319); +#22322=DIRECTION('',(0.E0,1.E0,0.E0)); +#22323=VECTOR('',#22322,8.E-1); +#22324=CARTESIAN_POINT('',(3.635E1,-2.87E0,-1.765E1)); +#22325=LINE('',#22324,#22323); +#22326=DIRECTION('',(1.E0,0.E0,0.E0)); +#22327=VECTOR('',#22326,1.6E0); +#22328=CARTESIAN_POINT('',(3.635E1,-2.07E0,-1.765E1)); +#22329=LINE('',#22328,#22327); +#22330=DIRECTION('',(0.E0,0.E0,1.E0)); +#22331=VECTOR('',#22330,7.E0); +#22332=CARTESIAN_POINT('',(3.745E1,-2.785E0,-1.765E1)); +#22333=LINE('',#22332,#22331); +#22334=DIRECTION('',(0.E0,1.E0,0.E0)); +#22335=VECTOR('',#22334,6.3E-1); +#22336=CARTESIAN_POINT('',(3.745E1,-2.785E0,-1.765E1)); +#22337=LINE('',#22336,#22335); +#22338=DIRECTION('',(0.E0,0.E0,1.E0)); +#22339=VECTOR('',#22338,7.E0); +#22340=CARTESIAN_POINT('',(3.685E1,-2.785E0,-1.765E1)); +#22341=LINE('',#22340,#22339); +#22342=DIRECTION('',(1.E0,0.E0,0.E0)); +#22343=VECTOR('',#22342,6.E-1); +#22344=CARTESIAN_POINT('',(3.685E1,-2.785E0,-1.765E1)); +#22345=LINE('',#22344,#22343); +#22346=DIRECTION('',(0.E0,0.E0,1.E0)); +#22347=VECTOR('',#22346,7.E0); +#22348=CARTESIAN_POINT('',(3.685E1,-2.155E0,-1.765E1)); +#22349=LINE('',#22348,#22347); +#22350=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22351=VECTOR('',#22350,6.3E-1); +#22352=CARTESIAN_POINT('',(3.685E1,-2.155E0,-1.765E1)); +#22353=LINE('',#22352,#22351); +#22354=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22355=VECTOR('',#22354,6.E-1); +#22356=CARTESIAN_POINT('',(3.745E1,-2.155E0,-1.765E1)); +#22357=LINE('',#22356,#22355); +#22358=DIRECTION('',(0.E0,0.E0,1.E0)); +#22359=VECTOR('',#22358,7.E0); +#22360=CARTESIAN_POINT('',(3.745E1,-2.155E0,-1.765E1)); +#22361=LINE('',#22360,#22359); +#22362=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22363=VECTOR('',#22362,6.3E-1); +#22364=CARTESIAN_POINT('',(3.685E1,6.645E0,-1.065E1)); +#22365=LINE('',#22364,#22363); +#22366=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22367=VECTOR('',#22366,6.E-1); +#22368=CARTESIAN_POINT('',(3.745E1,6.645E0,-1.065E1)); +#22369=LINE('',#22368,#22367); +#22370=DIRECTION('',(0.E0,1.E0,0.E0)); +#22371=VECTOR('',#22370,6.3E-1); +#22372=CARTESIAN_POINT('',(3.745E1,6.015E0,-1.065E1)); +#22373=LINE('',#22372,#22371); +#22374=DIRECTION('',(1.E0,0.E0,0.E0)); +#22375=VECTOR('',#22374,6.E-1); +#22376=CARTESIAN_POINT('',(3.685E1,6.015E0,-1.065E1)); +#22377=LINE('',#22376,#22375); +#22378=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22379=VECTOR('',#22378,6.3E-1); +#22380=CARTESIAN_POINT('',(3.685E1,-2.155E0,-1.065E1)); +#22381=LINE('',#22380,#22379); +#22382=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22383=VECTOR('',#22382,6.E-1); +#22384=CARTESIAN_POINT('',(3.745E1,-2.155E0,-1.065E1)); +#22385=LINE('',#22384,#22383); +#22386=DIRECTION('',(0.E0,1.E0,0.E0)); +#22387=VECTOR('',#22386,6.3E-1); +#22388=CARTESIAN_POINT('',(3.745E1,-2.785E0,-1.065E1)); +#22389=LINE('',#22388,#22387); +#22390=DIRECTION('',(1.E0,0.E0,0.E0)); +#22391=VECTOR('',#22390,6.E-1); +#22392=CARTESIAN_POINT('',(3.685E1,-2.785E0,-1.065E1)); +#22393=LINE('',#22392,#22391); +#22394=DIRECTION('',(0.E0,0.E0,1.E0)); +#22395=VECTOR('',#22394,7.E0); +#22396=CARTESIAN_POINT('',(3.685E1,6.645E0,-1.765E1)); +#22397=LINE('',#22396,#22395); +#22398=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22399=VECTOR('',#22398,6.3E-1); +#22400=CARTESIAN_POINT('',(3.685E1,6.645E0,-1.765E1)); +#22401=LINE('',#22400,#22399); +#22402=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22403=VECTOR('',#22402,6.E-1); +#22404=CARTESIAN_POINT('',(3.745E1,6.645E0,-1.765E1)); +#22405=LINE('',#22404,#22403); +#22406=DIRECTION('',(0.E0,0.E0,1.E0)); +#22407=VECTOR('',#22406,7.E0); +#22408=CARTESIAN_POINT('',(3.745E1,6.645E0,-1.765E1)); +#22409=LINE('',#22408,#22407); +#22410=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22411=VECTOR('',#22410,8.E-1); +#22412=CARTESIAN_POINT('',(3.795E1,6.73E0,-1.765E1)); +#22413=LINE('',#22412,#22411); +#22414=DIRECTION('',(0.E0,1.E0,0.E0)); +#22415=VECTOR('',#22414,8.E-1); +#22416=CARTESIAN_POINT('',(3.635E1,5.93E0,-1.765E1)); +#22417=LINE('',#22416,#22415); +#22418=DIRECTION('',(1.E0,0.E0,0.E0)); +#22419=VECTOR('',#22418,1.6E0); +#22420=CARTESIAN_POINT('',(3.635E1,6.73E0,-1.765E1)); +#22421=LINE('',#22420,#22419); +#22422=DIRECTION('',(0.E0,0.E0,1.E0)); +#22423=VECTOR('',#22422,7.E0); +#22424=CARTESIAN_POINT('',(3.745E1,6.015E0,-1.765E1)); +#22425=LINE('',#22424,#22423); +#22426=DIRECTION('',(0.E0,1.E0,0.E0)); +#22427=VECTOR('',#22426,6.3E-1); +#22428=CARTESIAN_POINT('',(3.745E1,6.015E0,-1.765E1)); +#22429=LINE('',#22428,#22427); +#22430=DIRECTION('',(0.E0,0.E0,1.E0)); +#22431=VECTOR('',#22430,7.E0); +#22432=CARTESIAN_POINT('',(3.685E1,6.015E0,-1.765E1)); +#22433=LINE('',#22432,#22431); +#22434=DIRECTION('',(1.E0,0.E0,0.E0)); +#22435=VECTOR('',#22434,6.E-1); +#22436=CARTESIAN_POINT('',(3.685E1,6.015E0,-1.765E1)); +#22437=LINE('',#22436,#22435); +#22438=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#22439=VECTOR('',#22438,5.196152422707E-1); +#22440=CARTESIAN_POINT('',(3.825E1,5.63E0,-1.795E1)); +#22441=LINE('',#22440,#22439); +#22442=DIRECTION('',(1.E0,0.E0,0.E0)); +#22443=VECTOR('',#22442,2.2E0); +#22444=CARTESIAN_POINT('',(3.605E1,5.63E0,-1.795E1)); +#22445=LINE('',#22444,#22443); +#22446=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#22447=VECTOR('',#22446,5.196152422707E-1); +#22448=CARTESIAN_POINT('',(3.635E1,5.93E0,-1.765E1)); +#22449=LINE('',#22448,#22447); +#22450=DIRECTION('',(1.E0,0.E0,0.E0)); +#22451=VECTOR('',#22450,1.6E0); +#22452=CARTESIAN_POINT('',(3.635E1,5.93E0,-1.765E1)); +#22453=LINE('',#22452,#22451); +#22454=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#22455=VECTOR('',#22454,2.007639675087E0); +#22456=CARTESIAN_POINT('',(3.825E1,5.63E0,-1.795E1)); +#22457=LINE('',#22456,#22455); +#22458=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#22459=VECTOR('',#22458,2.007639675087E0); +#22460=CARTESIAN_POINT('',(3.605E1,5.455022672948E0,-1.995E1)); +#22461=LINE('',#22460,#22459); +#22462=DIRECTION('',(0.E0,1.E0,0.E0)); +#22463=VECTOR('',#22462,1.4E0); +#22464=CARTESIAN_POINT('',(3.605E1,-3.17E0,-1.795E1)); +#22465=LINE('',#22464,#22463); +#22466=DIRECTION('',(0.E0,1.E0,0.E0)); +#22467=VECTOR('',#22466,1.749954654104E0); +#22468=CARTESIAN_POINT('',(3.605E1,-3.344977327052E0,-1.995E1)); +#22469=LINE('',#22468,#22467); +#22470=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#22471=VECTOR('',#22470,1.464598038686E-1); +#22472=CARTESIAN_POINT('',(3.605E1,7.197627763800E0,-1.986599410763E1)); +#22473=LINE('',#22472,#22471); +#22474=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#22475=VECTOR('',#22474,1.923312893854E0); +#22476=CARTESIAN_POINT('',(3.605E1,7.197627763800E0,-1.986599410763E1)); +#22477=LINE('',#22476,#22475); +#22478=DIRECTION('',(0.E0,1.E0,0.E0)); +#22479=VECTOR('',#22478,1.4E0); +#22480=CARTESIAN_POINT('',(3.605E1,5.63E0,-1.795E1)); +#22481=LINE('',#22480,#22479); +#22482=DIRECTION('',(0.E0,1.E0,0.E0)); +#22483=VECTOR('',#22482,1.622632243107E0); +#22484=CARTESIAN_POINT('',(3.605E1,5.455022672948E0,-1.995E1)); +#22485=LINE('',#22484,#22483); +#22486=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#22487=VECTOR('',#22486,5.196152422707E-1); +#22488=CARTESIAN_POINT('',(3.795E1,-2.07E0,-1.765E1)); +#22489=LINE('',#22488,#22487); +#22490=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#22491=VECTOR('',#22490,5.196152422707E-1); +#22492=CARTESIAN_POINT('',(3.605E1,-1.77E0,-1.795E1)); +#22493=LINE('',#22492,#22491); +#22494=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22495=VECTOR('',#22494,2.2E0); +#22496=CARTESIAN_POINT('',(3.825E1,-1.77E0,-1.795E1)); +#22497=LINE('',#22496,#22495); +#22498=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#22499=VECTOR('',#22498,2.007639675087E0); +#22500=CARTESIAN_POINT('',(3.825E1,-1.595022672948E0,-1.995E1)); +#22501=LINE('',#22500,#22499); +#22502=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#22503=VECTOR('',#22502,2.007639675087E0); +#22504=CARTESIAN_POINT('',(3.605E1,-1.77E0,-1.795E1)); +#22505=LINE('',#22504,#22503); +#22506=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#22507=VECTOR('',#22506,5.196152422707E-1); +#22508=CARTESIAN_POINT('',(3.825E1,-3.17E0,-1.795E1)); +#22509=LINE('',#22508,#22507); +#22510=DIRECTION('',(1.E0,0.E0,0.E0)); +#22511=VECTOR('',#22510,2.2E0); +#22512=CARTESIAN_POINT('',(3.605E1,-3.17E0,-1.795E1)); +#22513=LINE('',#22512,#22511); +#22514=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#22515=VECTOR('',#22514,5.196152422707E-1); +#22516=CARTESIAN_POINT('',(3.635E1,-2.87E0,-1.765E1)); +#22517=LINE('',#22516,#22515); +#22518=DIRECTION('',(1.E0,0.E0,0.E0)); +#22519=VECTOR('',#22518,1.6E0); +#22520=CARTESIAN_POINT('',(3.635E1,-2.87E0,-1.765E1)); +#22521=LINE('',#22520,#22519); +#22522=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#22523=VECTOR('',#22522,2.007639675087E0); +#22524=CARTESIAN_POINT('',(3.825E1,-3.17E0,-1.795E1)); +#22525=LINE('',#22524,#22523); +#22526=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#22527=VECTOR('',#22526,2.007639675087E0); +#22528=CARTESIAN_POINT('',(3.605E1,-3.344977327052E0,-1.995E1)); +#22529=LINE('',#22528,#22527); +#22530=DIRECTION('',(1.E0,0.E0,0.E0)); +#22531=VECTOR('',#22530,2.2E0); +#22532=CARTESIAN_POINT('',(3.39E1,9.63E0,-1.816282873211E1)); +#22533=LINE('',#22532,#22531); +#22534=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22535=VECTOR('',#22534,2.2E0); +#22536=CARTESIAN_POINT('',(3.61E1,9.63E0,-1.795E1)); +#22537=LINE('',#22536,#22535); +#22538=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22539=VECTOR('',#22538,1.4E0); +#22540=CARTESIAN_POINT('',(3.395E1,-1.77E0,-1.795E1)); +#22541=LINE('',#22540,#22539); +#22542=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22543=VECTOR('',#22542,1.749954654104E0); +#22544=CARTESIAN_POINT('',(3.395E1,-1.595022672948E0,-1.995E1)); +#22545=LINE('',#22544,#22543); +#22546=DIRECTION('',(4.851452186823E-14,-8.191520442890E-1,-5.735764363510E-1)); +#22547=VECTOR('',#22546,1.464598038686E-1); +#22548=CARTESIAN_POINT('',(3.395E1,7.197627763800E0,-1.986599410763E1)); +#22549=LINE('',#22548,#22547); +#22550=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22551=VECTOR('',#22550,1.622632243107E0); +#22552=CARTESIAN_POINT('',(3.395E1,7.077654916055E0,-1.995E1)); +#22553=LINE('',#22552,#22551); +#22554=DIRECTION('',(1.015061051086E-14,-1.E0,0.E0)); +#22555=VECTOR('',#22554,1.4E0); +#22556=CARTESIAN_POINT('',(3.395E1,7.03E0,-1.795E1)); +#22557=LINE('',#22556,#22555); +#22558=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#22559=VECTOR('',#22558,1.923312893854E0); +#22560=CARTESIAN_POINT('',(3.395E1,7.197627763800E0,-1.986599410763E1)); +#22561=LINE('',#22560,#22559); +#22562=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22563=VECTOR('',#22562,8.E-1); +#22564=CARTESIAN_POINT('',(3.365E1,-2.07E0,-1.765E1)); +#22565=LINE('',#22564,#22563); +#22566=DIRECTION('',(0.E0,1.E0,0.E0)); +#22567=VECTOR('',#22566,8.E-1); +#22568=CARTESIAN_POINT('',(3.205E1,-2.87E0,-1.765E1)); +#22569=LINE('',#22568,#22567); +#22570=DIRECTION('',(1.E0,0.E0,0.E0)); +#22571=VECTOR('',#22570,1.6E0); +#22572=CARTESIAN_POINT('',(3.205E1,-2.07E0,-1.765E1)); +#22573=LINE('',#22572,#22571); +#22574=DIRECTION('',(0.E0,0.E0,1.E0)); +#22575=VECTOR('',#22574,7.E0); +#22576=CARTESIAN_POINT('',(3.315E1,-2.785E0,-1.765E1)); +#22577=LINE('',#22576,#22575); +#22578=DIRECTION('',(0.E0,1.E0,0.E0)); +#22579=VECTOR('',#22578,6.3E-1); +#22580=CARTESIAN_POINT('',(3.315E1,-2.785E0,-1.765E1)); +#22581=LINE('',#22580,#22579); +#22582=DIRECTION('',(0.E0,0.E0,1.E0)); +#22583=VECTOR('',#22582,7.E0); +#22584=CARTESIAN_POINT('',(3.255E1,-2.785E0,-1.765E1)); +#22585=LINE('',#22584,#22583); +#22586=DIRECTION('',(1.E0,0.E0,0.E0)); +#22587=VECTOR('',#22586,6.E-1); +#22588=CARTESIAN_POINT('',(3.255E1,-2.785E0,-1.765E1)); +#22589=LINE('',#22588,#22587); +#22590=DIRECTION('',(0.E0,0.E0,1.E0)); +#22591=VECTOR('',#22590,7.E0); +#22592=CARTESIAN_POINT('',(3.255E1,-2.155E0,-1.765E1)); +#22593=LINE('',#22592,#22591); +#22594=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22595=VECTOR('',#22594,6.3E-1); +#22596=CARTESIAN_POINT('',(3.255E1,-2.155E0,-1.765E1)); +#22597=LINE('',#22596,#22595); +#22598=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22599=VECTOR('',#22598,6.E-1); +#22600=CARTESIAN_POINT('',(3.315E1,-2.155E0,-1.765E1)); +#22601=LINE('',#22600,#22599); +#22602=DIRECTION('',(0.E0,0.E0,1.E0)); +#22603=VECTOR('',#22602,7.E0); +#22604=CARTESIAN_POINT('',(3.315E1,-2.155E0,-1.765E1)); +#22605=LINE('',#22604,#22603); +#22606=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22607=VECTOR('',#22606,6.3E-1); +#22608=CARTESIAN_POINT('',(3.255E1,6.645E0,-1.065E1)); +#22609=LINE('',#22608,#22607); +#22610=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22611=VECTOR('',#22610,6.E-1); +#22612=CARTESIAN_POINT('',(3.315E1,6.645E0,-1.065E1)); +#22613=LINE('',#22612,#22611); +#22614=DIRECTION('',(0.E0,1.E0,0.E0)); +#22615=VECTOR('',#22614,6.3E-1); +#22616=CARTESIAN_POINT('',(3.315E1,6.015E0,-1.065E1)); +#22617=LINE('',#22616,#22615); +#22618=DIRECTION('',(1.E0,0.E0,0.E0)); +#22619=VECTOR('',#22618,6.E-1); +#22620=CARTESIAN_POINT('',(3.255E1,6.015E0,-1.065E1)); +#22621=LINE('',#22620,#22619); +#22622=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22623=VECTOR('',#22622,6.3E-1); +#22624=CARTESIAN_POINT('',(3.255E1,-2.155E0,-1.065E1)); +#22625=LINE('',#22624,#22623); +#22626=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22627=VECTOR('',#22626,6.E-1); +#22628=CARTESIAN_POINT('',(3.315E1,-2.155E0,-1.065E1)); +#22629=LINE('',#22628,#22627); +#22630=DIRECTION('',(0.E0,1.E0,0.E0)); +#22631=VECTOR('',#22630,6.3E-1); +#22632=CARTESIAN_POINT('',(3.315E1,-2.785E0,-1.065E1)); +#22633=LINE('',#22632,#22631); +#22634=DIRECTION('',(1.E0,0.E0,0.E0)); +#22635=VECTOR('',#22634,6.E-1); +#22636=CARTESIAN_POINT('',(3.255E1,-2.785E0,-1.065E1)); +#22637=LINE('',#22636,#22635); +#22638=DIRECTION('',(0.E0,0.E0,1.E0)); +#22639=VECTOR('',#22638,7.E0); +#22640=CARTESIAN_POINT('',(3.255E1,6.645E0,-1.765E1)); +#22641=LINE('',#22640,#22639); +#22642=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22643=VECTOR('',#22642,6.3E-1); +#22644=CARTESIAN_POINT('',(3.255E1,6.645E0,-1.765E1)); +#22645=LINE('',#22644,#22643); +#22646=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22647=VECTOR('',#22646,6.E-1); +#22648=CARTESIAN_POINT('',(3.315E1,6.645E0,-1.765E1)); +#22649=LINE('',#22648,#22647); +#22650=DIRECTION('',(0.E0,0.E0,1.E0)); +#22651=VECTOR('',#22650,7.E0); +#22652=CARTESIAN_POINT('',(3.315E1,6.645E0,-1.765E1)); +#22653=LINE('',#22652,#22651); +#22654=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22655=VECTOR('',#22654,8.E-1); +#22656=CARTESIAN_POINT('',(3.365E1,6.73E0,-1.765E1)); +#22657=LINE('',#22656,#22655); +#22658=DIRECTION('',(0.E0,1.E0,0.E0)); +#22659=VECTOR('',#22658,8.E-1); +#22660=CARTESIAN_POINT('',(3.205E1,5.93E0,-1.765E1)); +#22661=LINE('',#22660,#22659); +#22662=DIRECTION('',(1.E0,0.E0,0.E0)); +#22663=VECTOR('',#22662,1.6E0); +#22664=CARTESIAN_POINT('',(3.205E1,6.73E0,-1.765E1)); +#22665=LINE('',#22664,#22663); +#22666=DIRECTION('',(0.E0,0.E0,1.E0)); +#22667=VECTOR('',#22666,7.E0); +#22668=CARTESIAN_POINT('',(3.315E1,6.015E0,-1.765E1)); +#22669=LINE('',#22668,#22667); +#22670=DIRECTION('',(0.E0,1.E0,0.E0)); +#22671=VECTOR('',#22670,6.3E-1); +#22672=CARTESIAN_POINT('',(3.315E1,6.015E0,-1.765E1)); +#22673=LINE('',#22672,#22671); +#22674=DIRECTION('',(0.E0,0.E0,1.E0)); +#22675=VECTOR('',#22674,7.E0); +#22676=CARTESIAN_POINT('',(3.255E1,6.015E0,-1.765E1)); +#22677=LINE('',#22676,#22675); +#22678=DIRECTION('',(1.E0,0.E0,0.E0)); +#22679=VECTOR('',#22678,6.E-1); +#22680=CARTESIAN_POINT('',(3.255E1,6.015E0,-1.765E1)); +#22681=LINE('',#22680,#22679); +#22682=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#22683=VECTOR('',#22682,5.196152422707E-1); +#22684=CARTESIAN_POINT('',(3.395E1,5.63E0,-1.795E1)); +#22685=LINE('',#22684,#22683); +#22686=DIRECTION('',(1.E0,0.E0,0.E0)); +#22687=VECTOR('',#22686,2.2E0); +#22688=CARTESIAN_POINT('',(3.175E1,5.63E0,-1.795E1)); +#22689=LINE('',#22688,#22687); +#22690=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#22691=VECTOR('',#22690,5.196152422707E-1); +#22692=CARTESIAN_POINT('',(3.205E1,5.93E0,-1.765E1)); +#22693=LINE('',#22692,#22691); +#22694=DIRECTION('',(1.E0,0.E0,0.E0)); +#22695=VECTOR('',#22694,1.6E0); +#22696=CARTESIAN_POINT('',(3.205E1,5.93E0,-1.765E1)); +#22697=LINE('',#22696,#22695); +#22698=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#22699=VECTOR('',#22698,2.007639675087E0); +#22700=CARTESIAN_POINT('',(3.395E1,5.63E0,-1.795E1)); +#22701=LINE('',#22700,#22699); +#22702=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#22703=VECTOR('',#22702,2.007639675087E0); +#22704=CARTESIAN_POINT('',(3.175E1,5.455022672948E0,-1.995E1)); +#22705=LINE('',#22704,#22703); +#22706=DIRECTION('',(0.E0,1.E0,0.E0)); +#22707=VECTOR('',#22706,1.4E0); +#22708=CARTESIAN_POINT('',(3.175E1,-3.17E0,-1.795E1)); +#22709=LINE('',#22708,#22707); +#22710=DIRECTION('',(0.E0,1.E0,0.E0)); +#22711=VECTOR('',#22710,1.749954654104E0); +#22712=CARTESIAN_POINT('',(3.175E1,-3.344977327052E0,-1.995E1)); +#22713=LINE('',#22712,#22711); +#22714=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#22715=VECTOR('',#22714,1.464598038686E-1); +#22716=CARTESIAN_POINT('',(3.175E1,7.197627763800E0,-1.986599410763E1)); +#22717=LINE('',#22716,#22715); +#22718=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#22719=VECTOR('',#22718,1.923312893854E0); +#22720=CARTESIAN_POINT('',(3.175E1,7.197627763800E0,-1.986599410763E1)); +#22721=LINE('',#22720,#22719); +#22722=DIRECTION('',(0.E0,1.E0,0.E0)); +#22723=VECTOR('',#22722,1.4E0); +#22724=CARTESIAN_POINT('',(3.175E1,5.63E0,-1.795E1)); +#22725=LINE('',#22724,#22723); +#22726=DIRECTION('',(0.E0,1.E0,0.E0)); +#22727=VECTOR('',#22726,1.622632243107E0); +#22728=CARTESIAN_POINT('',(3.175E1,5.455022672948E0,-1.995E1)); +#22729=LINE('',#22728,#22727); +#22730=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#22731=VECTOR('',#22730,5.196152422707E-1); +#22732=CARTESIAN_POINT('',(3.365E1,-2.07E0,-1.765E1)); +#22733=LINE('',#22732,#22731); +#22734=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#22735=VECTOR('',#22734,5.196152422707E-1); +#22736=CARTESIAN_POINT('',(3.175E1,-1.77E0,-1.795E1)); +#22737=LINE('',#22736,#22735); +#22738=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22739=VECTOR('',#22738,2.2E0); +#22740=CARTESIAN_POINT('',(3.395E1,-1.77E0,-1.795E1)); +#22741=LINE('',#22740,#22739); +#22742=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#22743=VECTOR('',#22742,2.007639675087E0); +#22744=CARTESIAN_POINT('',(3.395E1,-1.595022672948E0,-1.995E1)); +#22745=LINE('',#22744,#22743); +#22746=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#22747=VECTOR('',#22746,2.007639675087E0); +#22748=CARTESIAN_POINT('',(3.175E1,-1.77E0,-1.795E1)); +#22749=LINE('',#22748,#22747); +#22750=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#22751=VECTOR('',#22750,5.196152422707E-1); +#22752=CARTESIAN_POINT('',(3.395E1,-3.17E0,-1.795E1)); +#22753=LINE('',#22752,#22751); +#22754=DIRECTION('',(1.E0,0.E0,0.E0)); +#22755=VECTOR('',#22754,2.2E0); +#22756=CARTESIAN_POINT('',(3.175E1,-3.17E0,-1.795E1)); +#22757=LINE('',#22756,#22755); +#22758=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#22759=VECTOR('',#22758,5.196152422707E-1); +#22760=CARTESIAN_POINT('',(3.205E1,-2.87E0,-1.765E1)); +#22761=LINE('',#22760,#22759); +#22762=DIRECTION('',(1.E0,0.E0,0.E0)); +#22763=VECTOR('',#22762,1.6E0); +#22764=CARTESIAN_POINT('',(3.205E1,-2.87E0,-1.765E1)); +#22765=LINE('',#22764,#22763); +#22766=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#22767=VECTOR('',#22766,2.007639675087E0); +#22768=CARTESIAN_POINT('',(3.395E1,-3.17E0,-1.795E1)); +#22769=LINE('',#22768,#22767); +#22770=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#22771=VECTOR('',#22770,2.007639675087E0); +#22772=CARTESIAN_POINT('',(3.175E1,-3.344977327052E0,-1.995E1)); +#22773=LINE('',#22772,#22771); +#22774=DIRECTION('',(1.E0,0.E0,0.E0)); +#22775=VECTOR('',#22774,2.2E0); +#22776=CARTESIAN_POINT('',(2.96E1,9.63E0,-1.816282873211E1)); +#22777=LINE('',#22776,#22775); +#22778=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22779=VECTOR('',#22778,2.2E0); +#22780=CARTESIAN_POINT('',(3.18E1,9.63E0,-1.795E1)); +#22781=LINE('',#22780,#22779); +#22782=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22783=VECTOR('',#22782,1.4E0); +#22784=CARTESIAN_POINT('',(2.965E1,-1.77E0,-1.795E1)); +#22785=LINE('',#22784,#22783); +#22786=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22787=VECTOR('',#22786,1.749954654104E0); +#22788=CARTESIAN_POINT('',(2.965E1,-1.595022672948E0,-1.995E1)); +#22789=LINE('',#22788,#22787); +#22790=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#22791=VECTOR('',#22790,1.464598038686E-1); +#22792=CARTESIAN_POINT('',(2.965E1,7.197627763800E0,-1.986599410763E1)); +#22793=LINE('',#22792,#22791); +#22794=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22795=VECTOR('',#22794,1.622632243107E0); +#22796=CARTESIAN_POINT('',(2.965E1,7.077654916055E0,-1.995E1)); +#22797=LINE('',#22796,#22795); +#22798=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22799=VECTOR('',#22798,1.4E0); +#22800=CARTESIAN_POINT('',(2.965E1,7.03E0,-1.795E1)); +#22801=LINE('',#22800,#22799); +#22802=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#22803=VECTOR('',#22802,1.923312893854E0); +#22804=CARTESIAN_POINT('',(2.965E1,7.197627763800E0,-1.986599410763E1)); +#22805=LINE('',#22804,#22803); +#22806=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22807=VECTOR('',#22806,8.E-1); +#22808=CARTESIAN_POINT('',(2.935E1,-2.07E0,-1.765E1)); +#22809=LINE('',#22808,#22807); +#22810=DIRECTION('',(0.E0,1.E0,0.E0)); +#22811=VECTOR('',#22810,8.E-1); +#22812=CARTESIAN_POINT('',(2.775E1,-2.87E0,-1.765E1)); +#22813=LINE('',#22812,#22811); +#22814=DIRECTION('',(1.E0,0.E0,0.E0)); +#22815=VECTOR('',#22814,1.6E0); +#22816=CARTESIAN_POINT('',(2.775E1,-2.07E0,-1.765E1)); +#22817=LINE('',#22816,#22815); +#22818=DIRECTION('',(0.E0,0.E0,1.E0)); +#22819=VECTOR('',#22818,7.E0); +#22820=CARTESIAN_POINT('',(2.885E1,-2.785E0,-1.765E1)); +#22821=LINE('',#22820,#22819); +#22822=DIRECTION('',(0.E0,1.E0,0.E0)); +#22823=VECTOR('',#22822,6.3E-1); +#22824=CARTESIAN_POINT('',(2.885E1,-2.785E0,-1.765E1)); +#22825=LINE('',#22824,#22823); +#22826=DIRECTION('',(0.E0,0.E0,1.E0)); +#22827=VECTOR('',#22826,7.E0); +#22828=CARTESIAN_POINT('',(2.825E1,-2.785E0,-1.765E1)); +#22829=LINE('',#22828,#22827); +#22830=DIRECTION('',(1.E0,0.E0,0.E0)); +#22831=VECTOR('',#22830,6.E-1); +#22832=CARTESIAN_POINT('',(2.825E1,-2.785E0,-1.765E1)); +#22833=LINE('',#22832,#22831); +#22834=DIRECTION('',(0.E0,0.E0,1.E0)); +#22835=VECTOR('',#22834,7.E0); +#22836=CARTESIAN_POINT('',(2.825E1,-2.155E0,-1.765E1)); +#22837=LINE('',#22836,#22835); +#22838=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22839=VECTOR('',#22838,6.3E-1); +#22840=CARTESIAN_POINT('',(2.825E1,-2.155E0,-1.765E1)); +#22841=LINE('',#22840,#22839); +#22842=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22843=VECTOR('',#22842,6.E-1); +#22844=CARTESIAN_POINT('',(2.885E1,-2.155E0,-1.765E1)); +#22845=LINE('',#22844,#22843); +#22846=DIRECTION('',(0.E0,0.E0,1.E0)); +#22847=VECTOR('',#22846,7.E0); +#22848=CARTESIAN_POINT('',(2.885E1,-2.155E0,-1.765E1)); +#22849=LINE('',#22848,#22847); +#22850=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22851=VECTOR('',#22850,6.3E-1); +#22852=CARTESIAN_POINT('',(2.825E1,6.645E0,-1.065E1)); +#22853=LINE('',#22852,#22851); +#22854=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22855=VECTOR('',#22854,6.E-1); +#22856=CARTESIAN_POINT('',(2.885E1,6.645E0,-1.065E1)); +#22857=LINE('',#22856,#22855); +#22858=DIRECTION('',(0.E0,1.E0,0.E0)); +#22859=VECTOR('',#22858,6.3E-1); +#22860=CARTESIAN_POINT('',(2.885E1,6.015E0,-1.065E1)); +#22861=LINE('',#22860,#22859); +#22862=DIRECTION('',(1.E0,0.E0,0.E0)); +#22863=VECTOR('',#22862,6.E-1); +#22864=CARTESIAN_POINT('',(2.825E1,6.015E0,-1.065E1)); +#22865=LINE('',#22864,#22863); +#22866=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22867=VECTOR('',#22866,6.3E-1); +#22868=CARTESIAN_POINT('',(2.825E1,-2.155E0,-1.065E1)); +#22869=LINE('',#22868,#22867); +#22870=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22871=VECTOR('',#22870,6.E-1); +#22872=CARTESIAN_POINT('',(2.885E1,-2.155E0,-1.065E1)); +#22873=LINE('',#22872,#22871); +#22874=DIRECTION('',(0.E0,1.E0,0.E0)); +#22875=VECTOR('',#22874,6.3E-1); +#22876=CARTESIAN_POINT('',(2.885E1,-2.785E0,-1.065E1)); +#22877=LINE('',#22876,#22875); +#22878=DIRECTION('',(1.E0,0.E0,0.E0)); +#22879=VECTOR('',#22878,6.E-1); +#22880=CARTESIAN_POINT('',(2.825E1,-2.785E0,-1.065E1)); +#22881=LINE('',#22880,#22879); +#22882=DIRECTION('',(0.E0,0.E0,1.E0)); +#22883=VECTOR('',#22882,7.E0); +#22884=CARTESIAN_POINT('',(2.825E1,6.645E0,-1.765E1)); +#22885=LINE('',#22884,#22883); +#22886=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22887=VECTOR('',#22886,6.3E-1); +#22888=CARTESIAN_POINT('',(2.825E1,6.645E0,-1.765E1)); +#22889=LINE('',#22888,#22887); +#22890=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22891=VECTOR('',#22890,6.E-1); +#22892=CARTESIAN_POINT('',(2.885E1,6.645E0,-1.765E1)); +#22893=LINE('',#22892,#22891); +#22894=DIRECTION('',(0.E0,0.E0,1.E0)); +#22895=VECTOR('',#22894,7.E0); +#22896=CARTESIAN_POINT('',(2.885E1,6.645E0,-1.765E1)); +#22897=LINE('',#22896,#22895); +#22898=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22899=VECTOR('',#22898,8.E-1); +#22900=CARTESIAN_POINT('',(2.935E1,6.73E0,-1.765E1)); +#22901=LINE('',#22900,#22899); +#22902=DIRECTION('',(0.E0,1.E0,0.E0)); +#22903=VECTOR('',#22902,8.E-1); +#22904=CARTESIAN_POINT('',(2.775E1,5.93E0,-1.765E1)); +#22905=LINE('',#22904,#22903); +#22906=DIRECTION('',(1.E0,0.E0,0.E0)); +#22907=VECTOR('',#22906,1.6E0); +#22908=CARTESIAN_POINT('',(2.775E1,6.73E0,-1.765E1)); +#22909=LINE('',#22908,#22907); +#22910=DIRECTION('',(0.E0,0.E0,1.E0)); +#22911=VECTOR('',#22910,7.E0); +#22912=CARTESIAN_POINT('',(2.885E1,6.015E0,-1.765E1)); +#22913=LINE('',#22912,#22911); +#22914=DIRECTION('',(0.E0,1.E0,0.E0)); +#22915=VECTOR('',#22914,6.3E-1); +#22916=CARTESIAN_POINT('',(2.885E1,6.015E0,-1.765E1)); +#22917=LINE('',#22916,#22915); +#22918=DIRECTION('',(0.E0,0.E0,1.E0)); +#22919=VECTOR('',#22918,7.E0); +#22920=CARTESIAN_POINT('',(2.825E1,6.015E0,-1.765E1)); +#22921=LINE('',#22920,#22919); +#22922=DIRECTION('',(1.E0,0.E0,0.E0)); +#22923=VECTOR('',#22922,6.E-1); +#22924=CARTESIAN_POINT('',(2.825E1,6.015E0,-1.765E1)); +#22925=LINE('',#22924,#22923); +#22926=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#22927=VECTOR('',#22926,5.196152422707E-1); +#22928=CARTESIAN_POINT('',(2.965E1,5.63E0,-1.795E1)); +#22929=LINE('',#22928,#22927); +#22930=DIRECTION('',(1.E0,0.E0,0.E0)); +#22931=VECTOR('',#22930,2.2E0); +#22932=CARTESIAN_POINT('',(2.745E1,5.63E0,-1.795E1)); +#22933=LINE('',#22932,#22931); +#22934=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#22935=VECTOR('',#22934,5.196152422707E-1); +#22936=CARTESIAN_POINT('',(2.775E1,5.93E0,-1.765E1)); +#22937=LINE('',#22936,#22935); +#22938=DIRECTION('',(1.E0,0.E0,0.E0)); +#22939=VECTOR('',#22938,1.6E0); +#22940=CARTESIAN_POINT('',(2.775E1,5.93E0,-1.765E1)); +#22941=LINE('',#22940,#22939); +#22942=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#22943=VECTOR('',#22942,2.007639675087E0); +#22944=CARTESIAN_POINT('',(2.965E1,5.63E0,-1.795E1)); +#22945=LINE('',#22944,#22943); +#22946=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#22947=VECTOR('',#22946,2.007639675087E0); +#22948=CARTESIAN_POINT('',(2.745E1,5.455022672948E0,-1.995E1)); +#22949=LINE('',#22948,#22947); +#22950=DIRECTION('',(0.E0,1.E0,0.E0)); +#22951=VECTOR('',#22950,1.4E0); +#22952=CARTESIAN_POINT('',(2.745E1,-3.17E0,-1.795E1)); +#22953=LINE('',#22952,#22951); +#22954=DIRECTION('',(0.E0,1.E0,0.E0)); +#22955=VECTOR('',#22954,1.749954654104E0); +#22956=CARTESIAN_POINT('',(2.745E1,-3.344977327052E0,-1.995E1)); +#22957=LINE('',#22956,#22955); +#22958=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#22959=VECTOR('',#22958,1.464598038686E-1); +#22960=CARTESIAN_POINT('',(2.745E1,7.197627763800E0,-1.986599410763E1)); +#22961=LINE('',#22960,#22959); +#22962=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#22963=VECTOR('',#22962,1.923312893854E0); +#22964=CARTESIAN_POINT('',(2.745E1,7.197627763800E0,-1.986599410763E1)); +#22965=LINE('',#22964,#22963); +#22966=DIRECTION('',(0.E0,1.E0,0.E0)); +#22967=VECTOR('',#22966,1.4E0); +#22968=CARTESIAN_POINT('',(2.745E1,5.63E0,-1.795E1)); +#22969=LINE('',#22968,#22967); +#22970=DIRECTION('',(0.E0,1.E0,0.E0)); +#22971=VECTOR('',#22970,1.622632243107E0); +#22972=CARTESIAN_POINT('',(2.745E1,5.455022672948E0,-1.995E1)); +#22973=LINE('',#22972,#22971); +#22974=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#22975=VECTOR('',#22974,5.196152422707E-1); +#22976=CARTESIAN_POINT('',(2.935E1,-2.07E0,-1.765E1)); +#22977=LINE('',#22976,#22975); +#22978=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#22979=VECTOR('',#22978,5.196152422707E-1); +#22980=CARTESIAN_POINT('',(2.745E1,-1.77E0,-1.795E1)); +#22981=LINE('',#22980,#22979); +#22982=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22983=VECTOR('',#22982,2.2E0); +#22984=CARTESIAN_POINT('',(2.965E1,-1.77E0,-1.795E1)); +#22985=LINE('',#22984,#22983); +#22986=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#22987=VECTOR('',#22986,2.007639675087E0); +#22988=CARTESIAN_POINT('',(2.965E1,-1.595022672948E0,-1.995E1)); +#22989=LINE('',#22988,#22987); +#22990=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#22991=VECTOR('',#22990,2.007639675087E0); +#22992=CARTESIAN_POINT('',(2.745E1,-1.77E0,-1.795E1)); +#22993=LINE('',#22992,#22991); +#22994=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#22995=VECTOR('',#22994,5.196152422707E-1); +#22996=CARTESIAN_POINT('',(2.965E1,-3.17E0,-1.795E1)); +#22997=LINE('',#22996,#22995); +#22998=DIRECTION('',(1.E0,0.E0,0.E0)); +#22999=VECTOR('',#22998,2.2E0); +#23000=CARTESIAN_POINT('',(2.745E1,-3.17E0,-1.795E1)); +#23001=LINE('',#23000,#22999); +#23002=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#23003=VECTOR('',#23002,5.196152422707E-1); +#23004=CARTESIAN_POINT('',(2.775E1,-2.87E0,-1.765E1)); +#23005=LINE('',#23004,#23003); +#23006=DIRECTION('',(1.E0,0.E0,0.E0)); +#23007=VECTOR('',#23006,1.6E0); +#23008=CARTESIAN_POINT('',(2.775E1,-2.87E0,-1.765E1)); +#23009=LINE('',#23008,#23007); +#23010=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#23011=VECTOR('',#23010,2.007639675087E0); +#23012=CARTESIAN_POINT('',(2.965E1,-3.17E0,-1.795E1)); +#23013=LINE('',#23012,#23011); +#23014=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#23015=VECTOR('',#23014,2.007639675087E0); +#23016=CARTESIAN_POINT('',(2.745E1,-3.344977327052E0,-1.995E1)); +#23017=LINE('',#23016,#23015); +#23018=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#23019=VECTOR('',#23018,5.196152422707E-1); +#23020=CARTESIAN_POINT('',(2.935E1,6.73E0,-1.765E1)); +#23021=LINE('',#23020,#23019); +#23022=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#23023=VECTOR('',#23022,5.196152422707E-1); +#23024=CARTESIAN_POINT('',(2.745E1,7.03E0,-1.795E1)); +#23025=LINE('',#23024,#23023); +#23026=DIRECTION('',(1.E0,0.E0,0.E0)); +#23027=VECTOR('',#23026,2.2E0); +#23028=CARTESIAN_POINT('',(2.745E1,7.03E0,-1.795E1)); +#23029=LINE('',#23028,#23027); +#23030=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#23031=VECTOR('',#23030,5.196152422707E-1); +#23032=CARTESIAN_POINT('',(3.365E1,6.73E0,-1.765E1)); +#23033=LINE('',#23032,#23031); +#23034=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#23035=VECTOR('',#23034,5.196152422707E-1); +#23036=CARTESIAN_POINT('',(3.175E1,7.03E0,-1.795E1)); +#23037=LINE('',#23036,#23035); +#23038=DIRECTION('',(1.E0,0.E0,0.E0)); +#23039=VECTOR('',#23038,2.2E0); +#23040=CARTESIAN_POINT('',(3.175E1,7.03E0,-1.795E1)); +#23041=LINE('',#23040,#23039); +#23042=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#23043=VECTOR('',#23042,5.196152422707E-1); +#23044=CARTESIAN_POINT('',(3.795E1,6.73E0,-1.765E1)); +#23045=LINE('',#23044,#23043); +#23046=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#23047=VECTOR('',#23046,5.196152422707E-1); +#23048=CARTESIAN_POINT('',(3.605E1,7.03E0,-1.795E1)); +#23049=LINE('',#23048,#23047); +#23050=DIRECTION('',(1.E0,0.E0,0.E0)); +#23051=VECTOR('',#23050,2.2E0); +#23052=CARTESIAN_POINT('',(3.605E1,7.03E0,-1.795E1)); +#23053=LINE('',#23052,#23051); +#23054=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#23055=VECTOR('',#23054,5.196152422707E-1); +#23056=CARTESIAN_POINT('',(4.225E1,6.73E0,-1.765E1)); +#23057=LINE('',#23056,#23055); +#23058=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#23059=VECTOR('',#23058,5.196152422707E-1); +#23060=CARTESIAN_POINT('',(4.035E1,7.03E0,-1.795E1)); +#23061=LINE('',#23060,#23059); +#23062=DIRECTION('',(1.E0,0.E0,0.E0)); +#23063=VECTOR('',#23062,2.2E0); +#23064=CARTESIAN_POINT('',(4.035E1,7.03E0,-1.795E1)); +#23065=LINE('',#23064,#23063); +#23066=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#23067=VECTOR('',#23066,5.196152422707E-1); +#23068=CARTESIAN_POINT('',(4.655E1,6.73E0,-1.765E1)); +#23069=LINE('',#23068,#23067); +#23070=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#23071=VECTOR('',#23070,5.196152422707E-1); +#23072=CARTESIAN_POINT('',(4.465E1,7.03E0,-1.795E1)); +#23073=LINE('',#23072,#23071); +#23074=DIRECTION('',(1.E0,0.E0,0.E0)); +#23075=VECTOR('',#23074,2.2E0); +#23076=CARTESIAN_POINT('',(4.465E1,7.03E0,-1.795E1)); +#23077=LINE('',#23076,#23075); +#23078=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#23079=VECTOR('',#23078,5.196152422707E-1); +#23080=CARTESIAN_POINT('',(5.085E1,6.73E0,-1.765E1)); +#23081=LINE('',#23080,#23079); +#23082=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#23083=VECTOR('',#23082,5.196152422707E-1); +#23084=CARTESIAN_POINT('',(4.895E1,7.03E0,-1.795E1)); +#23085=LINE('',#23084,#23083); +#23086=DIRECTION('',(1.E0,0.E0,0.E0)); +#23087=VECTOR('',#23086,2.2E0); +#23088=CARTESIAN_POINT('',(4.895E1,7.03E0,-1.795E1)); +#23089=LINE('',#23088,#23087); +#23090=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#23091=VECTOR('',#23090,1.5E-1); +#23092=CARTESIAN_POINT('',(5.166666665077E1,8.415214945424E0, +-1.901343038463E1)); +#23093=LINE('',#23092,#23091); +#23094=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23095=VECTOR('',#23094,1.5E-1); +#23096=CARTESIAN_POINT('',(5.163333331347E1,8.443100969140E0, +-1.899390438061E1)); +#23097=LINE('',#23096,#23095); +#23098=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23099=VECTOR('',#23098,1.5E-1); +#23100=CARTESIAN_POINT('',(5.158333331347E1,8.526759040286E0, +-1.893532636856E1)); +#23101=LINE('',#23100,#23099); +#23102=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23103=VECTOR('',#23102,1.5E-1); +#23104=CARTESIAN_POINT('',(5.154999998063E1,8.638303135147E0, +-1.885722235250E1)); +#23105=LINE('',#23104,#23103); +#23106=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23107=VECTOR('',#23106,1.5E-1); +#23108=CARTESIAN_POINT('',(5.154999998063E1,8.721961206293E0, +-1.879864434045E1)); +#23109=LINE('',#23108,#23107); +#23110=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#23111=VECTOR('',#23110,1.5E-1); +#23112=CARTESIAN_POINT('',(5.158333331347E1,8.861391402990E0, +-1.870101426567E1)); +#23113=LINE('',#23112,#23111); +#23114=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23115=VECTOR('',#23114,1.5E-1); +#23116=CARTESIAN_POINT('',(5.163333331347E1,8.945049474136E0, +-1.864243625362E1)); +#23117=LINE('',#23116,#23115); +#23118=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23119=VECTOR('',#23118,1.5E-1); +#23120=CARTESIAN_POINT('',(5.171666665077E1,9.028707545282E0, +-1.858385824157E1)); +#23121=LINE('',#23120,#23119); +#23122=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#23123=VECTOR('',#23122,1.5E-1); +#23124=CARTESIAN_POINT('',(5.179999998808E1,9.056593568998E0, +-1.856433223755E1)); +#23125=LINE('',#23124,#23123); +#23126=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#23127=VECTOR('',#23126,1.5E-1); +#23128=CARTESIAN_POINT('',(5.186666663885E1,9.056593568998E0, +-1.856433223755E1)); +#23129=LINE('',#23128,#23127); +#23130=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#23131=VECTOR('',#23130,1.5E-1); +#23132=CARTESIAN_POINT('',(5.194999996424E1,9.028707545282E0, +-1.858385824157E1)); +#23133=LINE('',#23132,#23131); +#23134=DIRECTION('',(4.736951571734E-14,-5.735764363511E-1,8.191520442890E-1)); +#23135=VECTOR('',#23134,1.5E-1); +#23136=CARTESIAN_POINT('',(5.203333331347E1,8.945049474136E0, +-1.864243625362E1)); +#23137=LINE('',#23136,#23135); +#23138=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#23139=VECTOR('',#23138,1.5E-1); +#23140=CARTESIAN_POINT('',(5.208333331347E1,8.861391402990E0, +-1.870101426567E1)); +#23141=LINE('',#23140,#23139); +#23142=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23143=VECTOR('',#23142,1.5E-1); +#23144=CARTESIAN_POINT('',(5.211666666269E1,8.721961206293E0, +-1.879864434045E1)); +#23145=LINE('',#23144,#23143); +#23146=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23147=VECTOR('',#23146,1.5E-1); +#23148=CARTESIAN_POINT('',(5.211666666269E1,8.638303135147E0, +-1.885722235250E1)); +#23149=LINE('',#23148,#23147); +#23150=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23151=VECTOR('',#23150,1.5E-1); +#23152=CARTESIAN_POINT('',(5.208333331347E1,8.526759040286E0, +-1.893532636856E1)); +#23153=LINE('',#23152,#23151); +#23154=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23155=VECTOR('',#23154,1.5E-1); +#23156=CARTESIAN_POINT('',(5.203333331347E1,8.443100969140E0, +-1.899390438061E1)); +#23157=LINE('',#23156,#23155); +#23158=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23159=VECTOR('',#23158,1.5E-1); +#23160=CARTESIAN_POINT('',(5.199999996424E1,8.415214945424E0, +-1.901343038463E1)); +#23161=LINE('',#23160,#23159); +#23162=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23163=VECTOR('',#23162,1.5E-1); +#23164=CARTESIAN_POINT('',(5.203333331347E1,8.387328921709E0, +-1.903295638864E1)); +#23165=LINE('',#23164,#23163); +#23166=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23167=VECTOR('',#23166,1.5E-1); +#23168=CARTESIAN_POINT('',(5.209999996424E1,8.303670850563E0, +-1.909153440069E1)); +#23169=LINE('',#23168,#23167); +#23170=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#23171=VECTOR('',#23170,1.5E-1); +#23172=CARTESIAN_POINT('',(5.213333331347E1,8.164240692926E0, +-1.918916444812E1)); +#23173=LINE('',#23172,#23171); +#23174=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23175=VECTOR('',#23174,1.5E-1); +#23176=CARTESIAN_POINT('',(5.213333331347E1,8.080582621780E0, +-1.924774246017E1)); +#23177=LINE('',#23176,#23175); +#23178=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23179=VECTOR('',#23178,1.5E-1); +#23180=CARTESIAN_POINT('',(5.209999996424E1,7.941152483673E0, +-1.934537249393E1)); +#23181=LINE('',#23180,#23179); +#23182=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23183=VECTOR('',#23182,1.5E-1); +#23184=CARTESIAN_POINT('',(5.204999996424E1,7.857494402762E0, +-1.940395051281E1)); +#23185=LINE('',#23184,#23183); +#23186=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23187=VECTOR('',#23186,1.5E-1); +#23188=CARTESIAN_POINT('',(5.196666666269E1,7.773836324292E0, +-1.946252852999E1)); +#23189=LINE('',#23188,#23187); +#23190=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#23191=VECTOR('',#23190,1.5E-1); +#23192=CARTESIAN_POINT('',(5.188333331347E1,7.745950298135E0, +-1.948205453572E1)); +#23193=LINE('',#23192,#23191); +#23194=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#23195=VECTOR('',#23194,1.5E-1); +#23196=CARTESIAN_POINT('',(5.178333331347E1,7.745950298135E0, +-1.948205453572E1)); +#23197=LINE('',#23196,#23195); +#23198=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23199=VECTOR('',#23198,1.5E-1); +#23200=CARTESIAN_POINT('',(5.169999997616E1,7.773836324292E0, +-1.946252852999E1)); +#23201=LINE('',#23200,#23199); +#23202=DIRECTION('',(-9.473903143468E-14,-5.735764363510E-1,8.191520442890E-1)); +#23203=VECTOR('',#23202,1.5E-1); +#23204=CARTESIAN_POINT('',(5.161666664481E1,7.857494402762E0, +-1.940395051281E1)); +#23205=LINE('',#23204,#23203); +#23206=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#23207=VECTOR('',#23206,1.5E-1); +#23208=CARTESIAN_POINT('',(5.156666664779E1,7.941152483673E0, +-1.934537249393E1)); +#23209=LINE('',#23208,#23207); +#23210=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23211=VECTOR('',#23210,1.5E-1); +#23212=CARTESIAN_POINT('',(5.153333331347E1,8.080582621780E0, +-1.924774246017E1)); +#23213=LINE('',#23212,#23211); +#23214=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23215=VECTOR('',#23214,1.5E-1); +#23216=CARTESIAN_POINT('',(5.153333331347E1,8.164240692926E0, +-1.918916444812E1)); +#23217=LINE('',#23216,#23215); +#23218=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#23219=VECTOR('',#23218,1.5E-1); +#23220=CARTESIAN_POINT('',(5.156666664779E1,8.303670850563E0, +-1.909153440069E1)); +#23221=LINE('',#23220,#23219); +#23222=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#23223=VECTOR('',#23222,1.5E-1); +#23224=CARTESIAN_POINT('',(5.163333331347E1,8.387328921709E0, +-1.903295638864E1)); +#23225=LINE('',#23224,#23223); +#23226=DIRECTION('',(-3.990365439904E-1,-7.511091986404E-1,-5.259323229066E-1)); +#23227=VECTOR('',#23226,1.002414455578E0); +#23228=CARTESIAN_POINT('',(-3.88E1,8.970557103545E0,-1.844145943091E1)); +#23229=LINE('',#23228,#23227); +#23230=DIRECTION('',(-1.E0,1.776356839400E-14,0.E0)); +#23231=VECTOR('',#23230,1.E-1); +#23232=CARTESIAN_POINT('',(-3.87E1,8.970557103545E0,-1.844145943091E1)); +#23233=LINE('',#23232,#23231); +#23234=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#23235=VECTOR('',#23234,9.191489219666E-1); +#23236=CARTESIAN_POINT('',(-3.87E1,8.217634385110E0,-1.896866159405E1)); +#23237=LINE('',#23236,#23235); +#23238=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23239=VECTOR('',#23238,1.666666507721E-1); +#23240=CARTESIAN_POINT('',(-3.853333334923E1,8.217634385110E0, +-1.896866159405E1)); +#23241=LINE('',#23240,#23239); +#23242=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#23243=VECTOR('',#23242,2.042553424835E-1); +#23244=CARTESIAN_POINT('',(-3.853333334923E1,8.050318203758E0, +-1.908581764550E1)); +#23245=LINE('',#23244,#23243); +#23246=DIRECTION('',(1.E0,0.E0,0.E0)); +#23247=VECTOR('',#23246,1.666666507721E-1); +#23248=CARTESIAN_POINT('',(-3.87E1,8.050318203758E0,-1.908581764550E1)); +#23249=LINE('',#23248,#23247); +#23250=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#23251=VECTOR('',#23250,4.765957355499E-1); +#23252=CARTESIAN_POINT('',(-3.87E1,7.659913832683E0,-1.935918172907E1)); +#23253=LINE('',#23252,#23251); +#23254=DIRECTION('',(1.E0,0.E0,0.E0)); +#23255=VECTOR('',#23254,1.E-1); +#23256=CARTESIAN_POINT('',(-3.88E1,7.659913832683E0,-1.935918172907E1)); +#23257=LINE('',#23256,#23255); +#23258=DIRECTION('',(1.490870947345E-14,-8.191520442890E-1,-5.735764363510E-1)); +#23259=VECTOR('',#23258,4.765957355499E-1); +#23260=CARTESIAN_POINT('',(-3.88E1,8.050318203758E0,-1.908581764550E1)); +#23261=LINE('',#23260,#23259); +#23262=DIRECTION('',(1.E0,0.E0,0.E0)); +#23263=VECTOR('',#23262,4.E-1); +#23264=CARTESIAN_POINT('',(-3.92E1,8.050318203758E0,-1.908581764550E1)); +#23265=LINE('',#23264,#23263); +#23266=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#23267=VECTOR('',#23266,2.042553424835E-1); +#23268=CARTESIAN_POINT('',(-3.92E1,8.217634385110E0,-1.896866159405E1)); +#23269=LINE('',#23268,#23267); +#23270=DIRECTION('',(4.012402871124E-1,7.503210192035E-1,5.253804337235E-1)); +#23271=VECTOR('',#23270,7.061437906599E-1); +#23272=CARTESIAN_POINT('',(-3.908333333731E1,8.217634385110E0, +-1.896866159405E1)); +#23273=LINE('',#23272,#23271); +#23274=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23275=VECTOR('',#23274,2.833333373070E-1); +#23276=CARTESIAN_POINT('',(-3.88E1,8.217634385110E0,-1.896866159405E1)); +#23277=LINE('',#23276,#23275); +#23278=DIRECTION('',(-1.098536435455E-14,-8.191520442890E-1, +-5.735764363510E-1)); +#23279=VECTOR('',#23278,6.468085289001E-1); +#23280=CARTESIAN_POINT('',(-3.88E1,8.747468913822E0,-1.859766746304E1)); +#23281=LINE('',#23280,#23279); +#23282=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#23283=VECTOR('',#23282,1.6E0); +#23284=CARTESIAN_POINT('',(-3.82E1,7.659913832683E0,-1.935918172907E1)); +#23285=LINE('',#23284,#23283); +#23286=DIRECTION('',(1.E0,0.E0,0.E0)); +#23287=VECTOR('',#23286,9.999999999999E-2); +#23288=CARTESIAN_POINT('',(-3.83E1,7.659913832683E0,-1.935918172907E1)); +#23289=LINE('',#23288,#23287); +#23290=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#23291=VECTOR('',#23290,1.327659606934E0); +#23292=CARTESIAN_POINT('',(-3.83E1,8.747468913822E0,-1.859766746304E1)); +#23293=LINE('',#23292,#23291); +#23294=DIRECTION('',(3.869524341543E-1,7.553398351767E-1,5.288946465008E-1)); +#23295=VECTOR('',#23294,2.584296961939E-1); +#23296=CARTESIAN_POINT('',(-3.84E1,8.552266669694E0,-1.873434954585E1)); +#23297=LINE('',#23296,#23295); +#23298=DIRECTION('',(-2.609023505268E-14,-8.191520442890E-1, +-5.735764363510E-1)); +#23299=VECTOR('',#23298,2.723404884338E-1); +#23300=CARTESIAN_POINT('',(-3.84E1,8.775354937538E0,-1.857814145902E1)); +#23301=LINE('',#23300,#23299); +#23302=DIRECTION('',(-3.869525658263E-1,-7.553397899143E-1,-5.288946148077E-1)); +#23303=VECTOR('',#23302,2.584296082557E-1); +#23304=CARTESIAN_POINT('',(-3.83E1,8.970557103545E0,-1.844145943091E1)); +#23305=LINE('',#23304,#23303); +#23306=DIRECTION('',(-1.E0,-1.776356839400E-14,0.E0)); +#23307=VECTOR('',#23306,9.999999999999E-2); +#23308=CARTESIAN_POINT('',(-3.82E1,8.970557103545E0,-1.844145943091E1)); +#23309=LINE('',#23308,#23307); +#23310=DIRECTION('',(5.466225923193E-1,6.859407940038E-1,4.803009147270E-1)); +#23311=VECTOR('',#23310,1.219610670153E-1); +#23312=CARTESIAN_POINT('',(8.049999976158E0,8.245520408825E0, +-1.894913559003E1)); +#23313=LINE('',#23312,#23311); +#23314=DIRECTION('',(1.E0,0.E0,0.E0)); +#23315=VECTOR('',#23314,1.166666626930E-1); +#23316=CARTESIAN_POINT('',(7.933333313465E0,8.245520408825E0, +-1.894913559003E1)); +#23317=LINE('',#23316,#23315); +#23318=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#23319=VECTOR('',#23318,8.851063728333E-1); +#23320=CARTESIAN_POINT('',(7.933333313465E0,8.970557103545E0, +-1.844145943091E1)); +#23321=LINE('',#23320,#23319); +#23322=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23323=VECTOR('',#23322,5.666666507721E-1); +#23324=CARTESIAN_POINT('',(8.499999964237E0,8.970557103545E0, +-1.844145943091E1)); +#23325=LINE('',#23324,#23323); +#23326=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#23327=VECTOR('',#23326,1.702127456665E-1); +#23328=CARTESIAN_POINT('',(8.499999964237E0,8.831126984968E0, +-1.853908945099E1)); +#23329=LINE('',#23328,#23327); +#23330=DIRECTION('',(1.E0,0.E0,0.E0)); +#23331=VECTOR('',#23330,4.833333194256E-1); +#23332=CARTESIAN_POINT('',(8.016666644812E0,8.831126984968E0, +-1.853908945099E1)); +#23333=LINE('',#23332,#23331); +#23334=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#23335=VECTOR('',#23334,5.446808815002E-1); +#23336=CARTESIAN_POINT('',(8.016666644812E0,8.384950527402E0, +-1.885150556995E1)); +#23337=LINE('',#23336,#23335); +#23338=DIRECTION('',(-6.322117744003E-1,-6.346754263577E-1,-4.444045178521E-1)); +#23339=VECTOR('',#23338,1.318123684513E-1); +#23340=CARTESIAN_POINT('',(8.099999976158E0,8.468608598548E0, +-1.879292755790E1)); +#23341=LINE('',#23340,#23339); +#23342=DIRECTION('',(-9.257351135594E-1,-3.097808411988E-1,-2.169108802003E-1)); +#23343=VECTOR('',#23342,9.001855507734E-2); +#23344=CARTESIAN_POINT('',(8.183333313465E0,8.496494622264E0, +-1.877340155389E1)); +#23345=LINE('',#23344,#23343); +#23346=DIRECTION('',(-1.E0,1.776356839400E-14,0.E0)); +#23347=VECTOR('',#23346,1.E-1); +#23348=CARTESIAN_POINT('',(8.283333313465E0,8.496494622264E0, +-1.877340155389E1)); +#23349=LINE('',#23348,#23347); +#23350=DIRECTION('',(-9.257351324985E-1,3.097808032218E-1,2.169108536086E-1)); +#23351=VECTOR('',#23350,9.001856611296E-2); +#23352=CARTESIAN_POINT('',(8.366666662693E0,8.468608598548E0, +-1.879292755790E1)); +#23353=LINE('',#23352,#23351); +#23354=DIRECTION('',(-6.322116386726E-1,6.346755170788E-1,4.444045813757E-1)); +#23355=VECTOR('',#23354,1.318123496099E-1); +#23356=CARTESIAN_POINT('',(8.449999964237E0,8.384950527402E0, +-1.885150556995E1)); +#23357=LINE('',#23356,#23355); +#23358=DIRECTION('',(-3.446856228027E-1,7.689529161454E-1,5.384266284133E-1)); +#23359=VECTOR('',#23358,1.450597201979E-1); +#23360=CARTESIAN_POINT('',(8.499999964237E0,8.273406432541E0, +-1.892960958602E1)); +#23361=LINE('',#23360,#23359); +#23362=DIRECTION('',(-1.921828898202E-1,8.038823353617E-1,5.628844710540E-1)); +#23363=VECTOR('',#23362,1.734459777303E-1); +#23364=CARTESIAN_POINT('',(8.533333313465E0,8.133976274904E0, +-1.902723963345E1)); +#23365=LINE('',#23364,#23363); +#23366=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#23367=VECTOR('',#23366,1.361701965332E-1); +#23368=CARTESIAN_POINT('',(8.533333313465E0,8.022432180042E0, +-1.910534364951E1)); +#23369=LINE('',#23368,#23367); +#23370=DIRECTION('',(1.921829157452E-1,8.038823312029E-1,5.628844681419E-1)); +#23371=VECTOR('',#23370,1.734459543329E-1); +#23372=CARTESIAN_POINT('',(8.499999964237E0,7.883002041936E0, +-1.920297368327E1)); +#23373=LINE('',#23372,#23371); +#23374=DIRECTION('',(3.446855962125E-1,7.689529241433E-1,5.384266340136E-1)); +#23375=VECTOR('',#23374,1.450597313883E-1); +#23376=CARTESIAN_POINT('',(8.449999964237E0,7.771457937309E0, +-1.928107770617E1)); +#23377=LINE('',#23376,#23375); +#23378=DIRECTION('',(6.322116054476E-1,6.346755392865E-1,4.444045969257E-1)); +#23379=VECTOR('',#23378,1.318123565372E-1); +#23380=CARTESIAN_POINT('',(8.366666662693E0,7.687799858839E0, +-1.933965572335E1)); +#23381=LINE('',#23380,#23379); +#23382=DIRECTION('',(9.257351209082E-1,3.097808264629E-1,2.169108698822E-1)); +#23383=VECTOR('',#23382,9.001856724E-2); +#23384=CARTESIAN_POINT('',(8.283333313465E0,7.659913832683E0, +-1.935918172907E1)); +#23385=LINE('',#23384,#23383); +#23386=DIRECTION('',(1.E0,0.E0,0.E0)); +#23387=VECTOR('',#23386,1.E-1); +#23388=CARTESIAN_POINT('',(8.183333313465E0,7.659913832683E0, +-1.935918172907E1)); +#23389=LINE('',#23388,#23387); +#23390=DIRECTION('',(9.257351019691E-1,-3.097808644398E-1,-2.169108964739E-1)); +#23391=VECTOR('',#23390,9.001855620438E-2); +#23392=CARTESIAN_POINT('',(8.099999976158E0,7.687799858839E0, +-1.933965572335E1)); +#23393=LINE('',#23392,#23391); +#23394=DIRECTION('',(6.322117411753E-1,-6.346754485654E-1,-4.444045334021E-1)); +#23395=VECTOR('',#23394,1.318123753785E-1); +#23396=CARTESIAN_POINT('',(8.016666644812E0,7.771457937309E0, +-1.928107770617E1)); +#23397=LINE('',#23396,#23395); +#23398=DIRECTION('',(3.446855781085E-1,-7.689529295886E-1,-5.384266378264E-1)); +#23399=VECTOR('',#23398,1.450597303610E-1); +#23400=CARTESIAN_POINT('',(7.966666647792E0,7.883002041936E0, +-1.920297368327E1)); +#23401=LINE('',#23400,#23399); +#23402=DIRECTION('',(2.377713198758E-1,-7.956597153851E-1,-5.571269305624E-1)); +#23403=VECTOR('',#23402,1.401907275619E-1); +#23404=CARTESIAN_POINT('',(7.933333313465E0,7.994546156327E0, +-1.912486965353E1)); +#23405=LINE('',#23404,#23403); +#23406=DIRECTION('',(-1.E0,0.E0,3.552713678800E-14)); +#23407=VECTOR('',#23406,1.E-1); +#23408=CARTESIAN_POINT('',(8.033333313465E0,7.994546156327E0, +-1.912486965353E1)); +#23409=LINE('',#23408,#23407); +#23410=DIRECTION('',(-2.377713399324E-1,7.956597113633E-1,5.571269277463E-1)); +#23411=VECTOR('',#23410,1.401907282705E-1); +#23412=CARTESIAN_POINT('',(8.066666650772E0,7.883002041936E0, +-1.920297368327E1)); +#23413=LINE('',#23412,#23411); +#23414=DIRECTION('',(-5.919095487496E-1,6.602401485510E-1,4.623051290441E-1)); +#23415=VECTOR('',#23414,8.447236593095E-2); +#23416=CARTESIAN_POINT('',(8.116666650772E0,7.827229994505E0, +-1.924202569130E1)); +#23417=LINE('',#23416,#23415); +#23418=DIRECTION('',(-8.906052758868E-1,3.725316198706E-1,2.608494484548E-1)); +#23419=VECTOR('',#23418,7.485545448475E-2); +#23420=CARTESIAN_POINT('',(8.183333313465E0,7.799343970790E0, +-1.926155169532E1)); +#23421=LINE('',#23420,#23419); +#23422=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23423=VECTOR('',#23422,1.E-1); +#23424=CARTESIAN_POINT('',(8.283333313465E0,7.799343970790E0, +-1.926155169532E1)); +#23425=LINE('',#23424,#23423); +#23426=DIRECTION('',(-8.906052429498E-1,-3.725316727072E-1,-2.608494854514E-1)); +#23427=VECTOR('',#23426,7.485544386791E-2); +#23428=CARTESIAN_POINT('',(8.349999964237E0,7.827229994505E0, +-1.924202569130E1)); +#23429=LINE('',#23428,#23427); +#23430=DIRECTION('',(-5.919095487496E-1,-6.602401485509E-1,-4.623051290441E-1)); +#23431=VECTOR('',#23430,8.447236593096E-2); +#23432=CARTESIAN_POINT('',(8.399999964237E0,7.883002041936E0, +-1.920297368327E1)); +#23433=LINE('',#23432,#23431); +#23434=DIRECTION('',(-3.102801332161E-1,-7.787229342641E-1,-5.452676687485E-1)); +#23435=VECTOR('',#23434,1.074298534115E-1); +#23436=CARTESIAN_POINT('',(8.433333313465E0,7.966660132612E0, +-1.914439565754E1)); +#23437=LINE('',#23436,#23435); +#23438=DIRECTION('',(-1.610636468906E-1,-8.084572128420E-1,-5.660878347519E-1)); +#23439=VECTOR('',#23438,1.034786625899E-1); +#23440=CARTESIAN_POINT('',(8.449999964237E0,8.050318203758E0, +-1.908581764550E1)); +#23441=LINE('',#23440,#23439); +#23442=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#23443=VECTOR('',#23442,6.808509826660E-2); +#23444=CARTESIAN_POINT('',(8.449999964237E0,8.106090251188E0, +-1.904676563746E1)); +#23445=LINE('',#23444,#23443); +#23446=DIRECTION('',(1.610635736404E-1,-8.084572226341E-1,-5.660878416085E-1)); +#23447=VECTOR('',#23446,1.034787096511E-1); +#23448=CARTESIAN_POINT('',(8.433333313465E0,8.189748361395E0, +-1.898818759807E1)); +#23449=LINE('',#23448,#23447); +#23450=DIRECTION('',(3.102801986778E-1,-7.787229167621E-1,-5.452676564935E-1)); +#23451=VECTOR('',#23450,1.074298307463E-1); +#23452=CARTESIAN_POINT('',(8.399999964237E0,8.273406432541E0, +-1.892960958602E1)); +#23453=LINE('',#23452,#23451); +#23454=DIRECTION('',(5.919095487496E-1,-6.602401485509E-1,-4.623051290441E-1)); +#23455=VECTOR('',#23454,8.447236593096E-2); +#23456=CARTESIAN_POINT('',(8.349999964237E0,8.329178479972E0, +-1.889055757798E1)); +#23457=LINE('',#23456,#23455); +#23458=DIRECTION('',(8.906052429498E-1,-3.725316727072E-1,-2.608494854515E-1)); +#23459=VECTOR('',#23458,7.485544386791E-2); +#23460=CARTESIAN_POINT('',(8.283333313465E0,8.357064503687E0, +-1.887103157397E1)); +#23461=LINE('',#23460,#23459); +#23462=DIRECTION('',(1.E0,1.776356839400E-14,3.552713678800E-14)); +#23463=VECTOR('',#23462,1.E-1); +#23464=CARTESIAN_POINT('',(8.183333313465E0,8.357064503687E0, +-1.887103157397E1)); +#23465=LINE('',#23464,#23463); +#23466=DIRECTION('',(8.906052758868E-1,3.725316198706E-1,2.608494484549E-1)); +#23467=VECTOR('',#23466,7.485545448475E-2); +#23468=CARTESIAN_POINT('',(8.116666650772E0,8.329178479972E0, +-1.889055757798E1)); +#23469=LINE('',#23468,#23467); +#23470=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#23471=VECTOR('',#23470,1.702127695084E-1); +#23472=CARTESIAN_POINT('',(8.666666662693E0,7.799343970790E0, +-1.926155169532E1)); +#23473=LINE('',#23472,#23471); +#23474=DIRECTION('',(-4.807963688043E-1,-7.182589567601E-1,-5.029303359100E-1)); +#23475=VECTOR('',#23474,9.706118453696E-1); +#23476=CARTESIAN_POINT('',(9.133333313465E0,8.496494622264E0, +-1.877340155389E1)); +#23477=LINE('',#23476,#23475); +#23478=DIRECTION('',(-2.377711385310E-1,-7.956597517486E-1,-5.571269560244E-1)); +#23479=VECTOR('',#23478,1.401906966090E-1); +#23480=CARTESIAN_POINT('',(9.166666615009E0,8.608038717125E0, +-1.869529753782E1)); +#23481=LINE('',#23480,#23479); +#23482=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363511E-1)); +#23483=VECTOR('',#23482,6.808519363403E-2); +#23484=CARTESIAN_POINT('',(9.166666615009E0,8.663810842676E0, +-1.865624547509E1)); +#23485=LINE('',#23484,#23483); +#23486=DIRECTION('',(3.102797975506E-1,-7.787230240084E-1,-5.452677315881E-1)); +#23487=VECTOR('',#23486,1.074298159510E-1); +#23488=CARTESIAN_POINT('',(9.133333313465E0,8.747468913822E0, +-1.859766746304E1)); +#23489=LINE('',#23488,#23487); +#23490=DIRECTION('',(5.919095487496E-1,-6.602401485509E-1,-4.623051290441E-1)); +#23491=VECTOR('',#23490,8.447236593096E-2); +#23492=CARTESIAN_POINT('',(9.083333313465E0,8.803240961253E0, +-1.855861545501E1)); +#23493=LINE('',#23492,#23491); +#23494=DIRECTION('',(9.257350567423E-1,-3.097809551294E-1,-2.169109599755E-1)); +#23495=VECTOR('',#23494,9.001852197047E-2); +#23496=CARTESIAN_POINT('',(9.000000011921E0,8.831126984968E0, +-1.853908945099E1)); +#23497=LINE('',#23496,#23495); +#23498=DIRECTION('',(1.E0,2.664533988552E-14,0.E0)); +#23499=VECTOR('',#23498,6.666669845581E-2); +#23500=CARTESIAN_POINT('',(8.933333313465E0,8.831126984968E0, +-1.853908945099E1)); +#23501=LINE('',#23500,#23499); +#23502=DIRECTION('',(9.257350567423E-1,3.097809551294E-1,2.169109599755E-1)); +#23503=VECTOR('',#23502,9.001852197047E-2); +#23504=CARTESIAN_POINT('',(8.850000011921E0,8.803240961253E0, +-1.855861545501E1)); +#23505=LINE('',#23504,#23503); +#23506=DIRECTION('',(5.919095487496E-1,6.602401485509E-1,4.623051290441E-1)); +#23507=VECTOR('',#23506,8.447236593096E-2); +#23508=CARTESIAN_POINT('',(8.800000011921E0,8.747468913822E0, +-1.859766746304E1)); +#23509=LINE('',#23508,#23507); +#23510=DIRECTION('',(3.102805998049E-1,7.787228095158E-1,5.452675813988E-1)); +#23511=VECTOR('',#23510,1.074298455417E-1); +#23512=CARTESIAN_POINT('',(8.766666615009E0,8.663810842676E0, +-1.865624547509E1)); +#23513=LINE('',#23512,#23511); +#23514=DIRECTION('',(1.E0,0.E0,4.263258040864E-14)); +#23515=VECTOR('',#23514,8.333330154419E-2); +#23516=CARTESIAN_POINT('',(8.683333313465E0,8.663810842676E0, +-1.865624547509E1)); +#23517=LINE('',#23516,#23515); +#23518=DIRECTION('',(-1.214891170878E-1,-8.130843914817E-1,-5.693278201161E-1)); +#23519=VECTOR('',#23518,1.371863683893E-1); +#23520=CARTESIAN_POINT('',(8.699999964237E0,8.775354937538E0, +-1.857814145902E1)); +#23521=LINE('',#23520,#23519); +#23522=DIRECTION('',(-4.397134112585E-1,-7.357116922660E-1,-5.151508728737E-1)); +#23523=VECTOR('',#23522,1.516138672716E-1); +#23524=CARTESIAN_POINT('',(8.766666615009E0,8.886899032399E0, +-1.850003744296E1)); +#23525=LINE('',#23524,#23523); +#23526=DIRECTION('',(-6.996250055016E-1,-5.852925057690E-1,-4.098262245971E-1)); +#23527=VECTOR('',#23526,9.528918768134E-2); +#23528=CARTESIAN_POINT('',(8.833333313465E0,8.942671079830E0, +-1.846098543493E1)); +#23529=LINE('',#23528,#23527); +#23530=DIRECTION('',(-9.599673432257E-1,-2.294542697571E-1,-1.606656093583E-1)); +#23531=VECTOR('',#23530,1.215319451012E-1); +#23532=CARTESIAN_POINT('',(8.950000011921E0,8.970557103545E0, +-1.844145943091E1)); +#23533=LINE('',#23532,#23531); +#23534=DIRECTION('',(-1.E0,2.664537800202E-14,0.E0)); +#23535=VECTOR('',#23534,6.666660308838E-2); +#23536=CARTESIAN_POINT('',(9.016666615009E0,8.970557103545E0, +-1.844145943091E1)); +#23537=LINE('',#23536,#23535); +#23538=DIRECTION('',(-9.466499177700E-1,2.639830205707E-1,1.848429009630E-1)); +#23539=VECTOR('',#23538,1.056356717756E-1); +#23540=CARTESIAN_POINT('',(9.116666615009E0,8.942671079830E0, +-1.846098543493E1)); +#23541=LINE('',#23540,#23539); +#23542=DIRECTION('',(-6.996250055017E-1,5.852925057689E-1,4.098262245971E-1)); +#23543=VECTOR('',#23542,9.528918768135E-2); +#23544=CARTESIAN_POINT('',(9.183333313465E0,8.886899032399E0, +-1.850003744296E1)); +#23545=LINE('',#23544,#23543); +#23546=DIRECTION('',(-4.397136649566E-1,7.357115905221E-1,5.151508016318E-1)); +#23547=VECTOR('',#23546,1.516138882388E-1); +#23548=CARTESIAN_POINT('',(9.250000011921E0,8.775354937538E0, +-1.857814145902E1)); +#23549=LINE('',#23548,#23547); +#23550=DIRECTION('',(-1.214887746345E-1,8.130844258162E-1,5.693278441574E-1)); +#23551=VECTOR('',#23550,1.371863625963E-1); +#23552=CARTESIAN_POINT('',(9.266666615009E0,8.663810842676E0, +-1.865624547509E1)); +#23553=LINE('',#23552,#23551); +#23554=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#23555=VECTOR('',#23554,6.808519363403E-2); +#23556=CARTESIAN_POINT('',(9.266666615009E0,8.608038717125E0, +-1.869529753782E1)); +#23557=LINE('',#23556,#23555); +#23558=DIRECTION('',(1.214887746345E-1,8.130844258162E-1,5.693278441574E-1)); +#23559=VECTOR('',#23558,1.371863625963E-1); +#23560=CARTESIAN_POINT('',(9.250000011921E0,8.496494622264E0, +-1.877340155389E1)); +#23561=LINE('',#23560,#23559); +#23562=DIRECTION('',(3.102805998049E-1,7.787228095158E-1,5.452675813988E-1)); +#23563=VECTOR('',#23562,1.074298455417E-1); +#23564=CARTESIAN_POINT('',(9.216666615009E0,8.412836551118E0, +-1.883197956594E1)); +#23565=LINE('',#23564,#23563); +#23566=DIRECTION('',(4.861697555359E-1,7.158277999495E-1,5.012280215847E-1)); +#23567=VECTOR('',#23566,8.570393331628E-1); +#23568=CARTESIAN_POINT('',(8.800000011921E0,7.799343970790E0, +-1.926155169532E1)); +#23569=LINE('',#23568,#23567); +#23570=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23571=VECTOR('',#23570,4.666666030884E-1); +#23572=CARTESIAN_POINT('',(9.266666615009E0,7.799343970790E0, +-1.926155169532E1)); +#23573=LINE('',#23572,#23571); +#23574=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#23575=VECTOR('',#23574,1.702127695084E-1); +#23576=CARTESIAN_POINT('',(9.266666615009E0,7.659913832683E0, +-1.935918172907E1)); +#23577=LINE('',#23576,#23575); +#23578=DIRECTION('',(1.E0,0.E0,0.E0)); +#23579=VECTOR('',#23578,5.999999523163E-1); +#23580=CARTESIAN_POINT('',(8.666666662693E0,7.659913832683E0, +-1.935918172907E1)); +#23581=LINE('',#23580,#23579); +#23582=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#23583=VECTOR('',#23582,1.702127456665E-1); +#23584=CARTESIAN_POINT('',(2.630000001192E1,8.831126984968E0, +-1.853908945099E1)); +#23585=LINE('',#23584,#23583); +#23586=DIRECTION('',(2.270463496012E-1,7.977590315141E-1,5.585968875410E-1)); +#23587=VECTOR('',#23586,1.468128978826E0); +#23588=CARTESIAN_POINT('',(2.596666668653E1,7.659913832683E0, +-1.935918172907E1)); +#23589=LINE('',#23588,#23587); +#23590=DIRECTION('',(1.E0,0.E0,0.E0)); +#23591=VECTOR('',#23590,1.000000119209E-1); +#23592=CARTESIAN_POINT('',(2.586666667461E1,7.659913832683E0, +-1.935918172907E1)); +#23593=LINE('',#23592,#23591); +#23594=DIRECTION('',(-2.270463573024E-1,-7.977590300433E-1,-5.585968865112E-1)); +#23595=VECTOR('',#23594,1.468128981532E0); +#23596=CARTESIAN_POINT('',(2.620000001192E1,8.831126984968E0, +-1.853908945099E1)); +#23597=LINE('',#23596,#23595); +#23598=DIRECTION('',(1.E0,0.E0,0.E0)); +#23599=VECTOR('',#23598,5.E-1); +#23600=CARTESIAN_POINT('',(2.570000001192E1,8.831126984968E0, +-1.853908945099E1)); +#23601=LINE('',#23600,#23599); +#23602=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#23603=VECTOR('',#23602,1.702127456665E-1); +#23604=CARTESIAN_POINT('',(2.570000001192E1,8.970557103545E0, +-1.844145943091E1)); +#23605=LINE('',#23604,#23603); +#23606=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23607=VECTOR('',#23606,6.E-1); +#23608=CARTESIAN_POINT('',(2.630000001192E1,8.970557103545E0, +-1.844145943091E1)); +#23609=LINE('',#23608,#23607); +#23610=DIRECTION('',(-3.990365039960E-1,-7.511092128977E-1,-5.259323328897E-1)); +#23611=VECTOR('',#23610,1.002414436551E0); +#23612=CARTESIAN_POINT('',(2.683333331347E1,8.970557103545E0, +-1.844145943091E1)); +#23613=LINE('',#23612,#23611); +#23614=DIRECTION('',(-1.E0,1.776356839400E-14,0.E0)); +#23615=VECTOR('',#23614,1.E-1); +#23616=CARTESIAN_POINT('',(2.693333331347E1,8.970557103545E0, +-1.844145943091E1)); +#23617=LINE('',#23616,#23615); +#23618=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#23619=VECTOR('',#23618,9.191489219666E-1); +#23620=CARTESIAN_POINT('',(2.693333331347E1,8.217634385110E0, +-1.896866159405E1)); +#23621=LINE('',#23620,#23619); +#23622=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23623=VECTOR('',#23622,1.666666984558E-1); +#23624=CARTESIAN_POINT('',(2.710000001192E1,8.217634385110E0, +-1.896866159405E1)); +#23625=LINE('',#23624,#23623); +#23626=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#23627=VECTOR('',#23626,2.042553424835E-1); +#23628=CARTESIAN_POINT('',(2.710000001192E1,8.050318203758E0, +-1.908581764550E1)); +#23629=LINE('',#23628,#23627); +#23630=DIRECTION('',(1.E0,0.E0,0.E0)); +#23631=VECTOR('',#23630,1.666666984558E-1); +#23632=CARTESIAN_POINT('',(2.693333331347E1,8.050318203758E0, +-1.908581764550E1)); +#23633=LINE('',#23632,#23631); +#23634=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#23635=VECTOR('',#23634,4.765957355499E-1); +#23636=CARTESIAN_POINT('',(2.693333331347E1,7.659913832683E0, +-1.935918172907E1)); +#23637=LINE('',#23636,#23635); +#23638=DIRECTION('',(1.E0,0.E0,0.E0)); +#23639=VECTOR('',#23638,1.E-1); +#23640=CARTESIAN_POINT('',(2.683333331347E1,7.659913832683E0, +-1.935918172907E1)); +#23641=LINE('',#23640,#23639); +#23642=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#23643=VECTOR('',#23642,4.765957355499E-1); +#23644=CARTESIAN_POINT('',(2.683333331347E1,8.050318203758E0, +-1.908581764550E1)); +#23645=LINE('',#23644,#23643); +#23646=DIRECTION('',(1.E0,0.E0,0.E0)); +#23647=VECTOR('',#23646,3.999999523163E-1); +#23648=CARTESIAN_POINT('',(2.643333336115E1,8.050318203758E0, +-1.908581764550E1)); +#23649=LINE('',#23648,#23647); +#23650=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#23651=VECTOR('',#23650,2.042553424835E-1); +#23652=CARTESIAN_POINT('',(2.643333336115E1,8.217634385110E0, +-1.896866159405E1)); +#23653=LINE('',#23652,#23651); +#23654=DIRECTION('',(4.012402446207E-1,7.503210344507E-1,5.253804443997E-1)); +#23655=VECTOR('',#23654,7.061437763104E-1); +#23656=CARTESIAN_POINT('',(2.655000001192E1,8.217634385110E0, +-1.896866159405E1)); +#23657=LINE('',#23656,#23655); +#23658=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23659=VECTOR('',#23658,2.833333015442E-1); +#23660=CARTESIAN_POINT('',(2.683333331347E1,8.217634385110E0, +-1.896866159405E1)); +#23661=LINE('',#23660,#23659); +#23662=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#23663=VECTOR('',#23662,6.468085289001E-1); +#23664=CARTESIAN_POINT('',(2.683333331347E1,8.747468913822E0, +-1.859766746304E1)); +#23665=LINE('',#23664,#23663); +#23666=DIRECTION('',(-6.996248777656E-1,-5.852926082244E-1,-4.098262963371E-1)); +#23667=VECTOR('',#23666,4.764458550050E-2); +#23668=CARTESIAN_POINT('',(5.166666665077E1,8.329178479972E0, +-1.889055757798E1)); +#23669=LINE('',#23668,#23667); +#23670=DIRECTION('',(6.996248777656E-1,-5.852926082243E-1,-4.098262963371E-1)); +#23671=VECTOR('',#23670,4.764458550049E-2); +#23672=CARTESIAN_POINT('',(5.163333331347E1,8.357064503687E0, +-1.887103157397E1)); +#23673=LINE('',#23672,#23671); +#23674=DIRECTION('',(4.397134958246E-1,-7.357116583514E-1,-5.151508491264E-1)); +#23675=VECTOR('',#23674,1.137104056955E-1); +#23676=CARTESIAN_POINT('',(5.158333331347E1,8.440722574833E0, +-1.881245356192E1)); +#23677=LINE('',#23676,#23675); +#23678=DIRECTION('',(2.377713491249E-1,-7.956597095200E-1,-5.571269264557E-1)); +#23679=VECTOR('',#23678,1.401907040495E-1); +#23680=CARTESIAN_POINT('',(5.154999998063E1,8.552266669694E0, +-1.873434954585E1)); +#23681=LINE('',#23680,#23679); +#23682=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#23683=VECTOR('',#23682,1.021276473999E-1); +#23684=CARTESIAN_POINT('',(5.154999998063E1,8.635924740840E0, +-1.867577153381E1)); +#23685=LINE('',#23684,#23683); +#23686=DIRECTION('',(-1.921827469569E-1,-8.038823582795E-1,-5.628844871011E-1)); +#23687=VECTOR('',#23686,1.734460213751E-1); +#23688=CARTESIAN_POINT('',(5.158333331347E1,8.775354937538E0, +-1.857814145902E1)); +#23689=LINE('',#23688,#23687); +#23690=DIRECTION('',(-4.397134958245E-1,-7.357116583514E-1,-5.151508491264E-1)); +#23691=VECTOR('',#23690,1.137104056955E-1); +#23692=CARTESIAN_POINT('',(5.163333331347E1,8.859013008684E0, +-1.851956344698E1)); +#23693=LINE('',#23692,#23691); +#23694=DIRECTION('',(-6.322118015458E-1,-6.346754082134E-1,-4.444045051474E-1)); +#23695=VECTOR('',#23694,1.318123722196E-1); +#23696=CARTESIAN_POINT('',(5.171666665077E1,8.942671079830E0, +-1.846098543493E1)); +#23697=LINE('',#23696,#23695); +#23698=DIRECTION('',(-9.257351135595E-1,-3.097808411987E-1,-2.169108802003E-1)); +#23699=VECTOR('',#23698,9.001855507734E-2); +#23700=CARTESIAN_POINT('',(5.179999998808E1,8.970557103545E0, +-1.844145943091E1)); +#23701=LINE('',#23700,#23699); +#23702=DIRECTION('',(-1.E0,2.664535894376E-14,0.E0)); +#23703=VECTOR('',#23702,6.666665077208E-2); +#23704=CARTESIAN_POINT('',(5.186666663885E1,8.970557103545E0, +-1.844145943091E1)); +#23705=LINE('',#23704,#23703); +#23706=DIRECTION('',(-9.257350946204E-1,3.097808791756E-1,2.169109067920E-1)); +#23707=VECTOR('',#23706,9.001854404172E-2); +#23708=CARTESIAN_POINT('',(5.194999996424E1,8.942671079830E0, +-1.846098543493E1)); +#23709=LINE('',#23708,#23707); +#23710=DIRECTION('',(-6.322118558368E-1,6.346753719250E-1,4.444044797380E-1)); +#23711=VECTOR('',#23710,1.318123797561E-1); +#23712=CARTESIAN_POINT('',(5.203333331347E1,8.859013008684E0, +-1.851956344698E1)); +#23713=LINE('',#23712,#23711); +#23714=DIRECTION('',(-4.397134958244E-1,7.357116583514E-1,5.151508491264E-1)); +#23715=VECTOR('',#23714,1.137104056955E-1); +#23716=CARTESIAN_POINT('',(5.208333331347E1,8.775354937538E0, +-1.857814145902E1)); +#23717=LINE('',#23716,#23715); +#23718=DIRECTION('',(-1.921828379703E-1,8.038823436794E-1,5.628844768780E-1)); +#23719=VECTOR('',#23718,1.734460245252E-1); +#23720=CARTESIAN_POINT('',(5.211666666269E1,8.635924740840E0, +-1.867577153381E1)); +#23721=LINE('',#23720,#23719); +#23722=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#23723=VECTOR('',#23722,1.021276473999E-1); +#23724=CARTESIAN_POINT('',(5.211666666269E1,8.552266669694E0, +-1.873434954585E1)); +#23725=LINE('',#23724,#23723); +#23726=DIRECTION('',(2.377714594361E-1,7.956596874002E-1,5.571269109672E-1)); +#23727=VECTOR('',#23726,1.401907079469E-1); +#23728=CARTESIAN_POINT('',(5.208333331347E1,8.440722574833E0, +-1.881245356192E1)); +#23729=LINE('',#23728,#23727); +#23730=DIRECTION('',(4.397134958244E-1,7.357116583514E-1,5.151508491264E-1)); +#23731=VECTOR('',#23730,1.137104056955E-1); +#23732=CARTESIAN_POINT('',(5.203333331347E1,8.357064503687E0, +-1.887103157397E1)); +#23733=LINE('',#23732,#23731); +#23734=DIRECTION('',(6.996250055017E-1,5.852925057689E-1,4.098262245971E-1)); +#23735=VECTOR('',#23734,4.764459384068E-2); +#23736=CARTESIAN_POINT('',(5.199999996424E1,8.329178479972E0, +-1.889055757798E1)); +#23737=LINE('',#23736,#23735); +#23738=DIRECTION('',(-6.996250055017E-1,5.852925057689E-1,4.098262245970E-1)); +#23739=VECTOR('',#23738,4.764459384068E-2); +#23740=CARTESIAN_POINT('',(5.203333331347E1,8.301292456256E0, +-1.891008358200E1)); +#23741=LINE('',#23740,#23739); +#23742=DIRECTION('',(-5.466224552427E-1,6.859408673020E-1,4.803009660510E-1)); +#23743=VECTOR('',#23742,1.219610539828E-1); +#23744=CARTESIAN_POINT('',(5.209999996424E1,8.217634385110E0, +-1.896866159405E1)); +#23745=LINE('',#23744,#23743); +#23746=DIRECTION('',(-1.921828898202E-1,8.038823353618E-1,5.628844710539E-1)); +#23747=VECTOR('',#23746,1.734459777303E-1); +#23748=CARTESIAN_POINT('',(5.213333331347E1,8.078204227473E0, +-1.906629164148E1)); +#23749=LINE('',#23748,#23747); +#23750=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363511E-1)); +#23751=VECTOR('',#23750,1.021276473999E-1); +#23752=CARTESIAN_POINT('',(5.213333331347E1,7.994546156327E0, +-1.912486965353E1)); +#23753=LINE('',#23752,#23751); +#23754=DIRECTION('',(1.921829157452E-1,8.038823312029E-1,5.628844681419E-1)); +#23755=VECTOR('',#23754,1.734459543329E-1); +#23756=CARTESIAN_POINT('',(5.209999996424E1,7.855116018220E0, +-1.922249968728E1)); +#23757=LINE('',#23756,#23755); +#23758=DIRECTION('',(4.397134544223E-1,7.357116749554E-1,5.151508607527E-1)); +#23759=VECTOR('',#23758,1.137104164022E-1); +#23760=CARTESIAN_POINT('',(5.204999996424E1,7.771457937309E0, +-1.928107770617E1)); +#23761=LINE('',#23760,#23759); +#23762=DIRECTION('',(6.322116054477E-1,6.346755392865E-1,4.444045969257E-1)); +#23763=VECTOR('',#23762,1.318123565372E-1); +#23764=CARTESIAN_POINT('',(5.196666666269E1,7.687799858839E0, +-1.933965572335E1)); +#23765=LINE('',#23764,#23763); +#23766=DIRECTION('',(9.257351209082E-1,3.097808264629E-1,2.169108698822E-1)); +#23767=VECTOR('',#23766,9.001856724E-2); +#23768=CARTESIAN_POINT('',(5.188333331347E1,7.659913832683E0, +-1.935918172907E1)); +#23769=LINE('',#23768,#23767); +#23770=DIRECTION('',(1.E0,0.E0,0.E0)); +#23771=VECTOR('',#23770,9.999999999999E-2); +#23772=CARTESIAN_POINT('',(5.178333331347E1,7.659913832683E0, +-1.935918172907E1)); +#23773=LINE('',#23772,#23771); +#23774=DIRECTION('',(9.257351019692E-1,-3.097808644398E-1,-2.169108964739E-1)); +#23775=VECTOR('',#23774,9.001855620438E-2); +#23776=CARTESIAN_POINT('',(5.169999997616E1,7.687799858839E0, +-1.933965572335E1)); +#23777=LINE('',#23776,#23775); +#23778=DIRECTION('',(6.322117411753E-1,-6.346754485654E-1,-4.444045334021E-1)); +#23779=VECTOR('',#23778,1.318123753785E-1); +#23780=CARTESIAN_POINT('',(5.161666664481E1,7.771457937309E0, +-1.928107770617E1)); +#23781=LINE('',#23780,#23779); +#23782=DIRECTION('',(4.397134332809E-1,-7.357116834341E-1,-5.151508666895E-1)); +#23783=VECTOR('',#23782,1.137104150917E-1); +#23784=CARTESIAN_POINT('',(5.156666664779E1,7.855116018220E0, +-1.922249968728E1)); +#23785=LINE('',#23784,#23783); +#23786=DIRECTION('',(1.921828330058E-1,-8.038823444758E-1,-5.628844774356E-1)); +#23787=VECTOR('',#23786,1.734459514692E-1); +#23788=CARTESIAN_POINT('',(5.153333331347E1,7.994546156327E0, +-1.912486965353E1)); +#23789=LINE('',#23788,#23787); +#23790=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#23791=VECTOR('',#23790,1.021276473999E-1); +#23792=CARTESIAN_POINT('',(5.153333331347E1,8.078204227473E0, +-1.906629164148E1)); +#23793=LINE('',#23792,#23791); +#23794=DIRECTION('',(-1.921828070808E-1,-8.038823486346E-1,-5.628844803477E-1)); +#23795=VECTOR('',#23794,1.734459748666E-1); +#23796=CARTESIAN_POINT('',(5.156666664779E1,8.217634385110E0, +-1.896866159405E1)); +#23797=LINE('',#23796,#23795); +#23798=DIRECTION('',(-5.466225409156E-1,-6.859408214906E-1,-4.803009339735E-1)); +#23799=VECTOR('',#23798,1.219610621282E-1); +#23800=CARTESIAN_POINT('',(5.163333331347E1,8.301292456256E0, +-1.891008358200E1)); +#23801=LINE('',#23800,#23799); +#23802=DIRECTION('',(-8.906053088238E-1,3.725315670339E-1,2.608494114582E-1)); +#23803=VECTOR('',#23802,7.485546510160E-2); +#23804=CARTESIAN_POINT('',(5.179999998808E1,7.799343970790E0, +-1.926155169532E1)); +#23805=LINE('',#23804,#23803); +#23806=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23807=VECTOR('',#23806,6.666665077208E-2); +#23808=CARTESIAN_POINT('',(5.186666663885E1,7.799343970790E0, +-1.926155169532E1)); +#23809=LINE('',#23808,#23807); +#23810=DIRECTION('',(-8.906053088238E-1,-3.725315670339E-1,-2.608494114582E-1)); +#23811=VECTOR('',#23810,7.485546510160E-2); +#23812=CARTESIAN_POINT('',(5.193333331347E1,7.827229994505E0, +-1.924202569130E1)); +#23813=LINE('',#23812,#23811); +#23814=DIRECTION('',(-6.996247500294E-1,-5.852927106798E-1,-4.098263680772E-1)); +#23815=VECTOR('',#23814,9.528915432063E-2); +#23816=CARTESIAN_POINT('',(5.199999996424E1,7.883002041936E0, +-1.920297368327E1)); +#23817=LINE('',#23816,#23815); +#23818=DIRECTION('',(-3.446855696224E-1,-7.689529321411E-1,-5.384266396137E-1)); +#23819=VECTOR('',#23818,1.450597425787E-1); +#23820=CARTESIAN_POINT('',(5.204999996424E1,7.994546156327E0, +-1.912486965353E1)); +#23821=LINE('',#23820,#23819); +#23822=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#23823=VECTOR('',#23822,1.021276473999E-1); +#23824=CARTESIAN_POINT('',(5.204999996424E1,8.078204227473E0, +-1.906629164148E1)); +#23825=LINE('',#23824,#23823); +#23826=DIRECTION('',(3.446855164420E-1,-7.689529481369E-1,-5.384266508140E-1)); +#23827=VECTOR('',#23826,1.450597649595E-1); +#23828=CARTESIAN_POINT('',(5.199999996424E1,8.189748361395E0, +-1.898818759807E1)); +#23829=LINE('',#23828,#23827); +#23830=DIRECTION('',(6.996247500294E-1,-5.852927106798E-1,-4.098263680772E-1)); +#23831=VECTOR('',#23830,9.528915432063E-2); +#23832=CARTESIAN_POINT('',(5.193333331347E1,8.245520408825E0, +-1.894913559003E1)); +#23833=LINE('',#23832,#23831); +#23834=DIRECTION('',(8.906053088238E-1,-3.725315670339E-1,-2.608494114582E-1)); +#23835=VECTOR('',#23834,7.485546510160E-2); +#23836=CARTESIAN_POINT('',(5.186666663885E1,8.273406432541E0, +-1.892960958602E1)); +#23837=LINE('',#23836,#23835); +#23838=DIRECTION('',(1.E0,0.E0,5.329071788751E-14)); +#23839=VECTOR('',#23838,6.666665077208E-2); +#23840=CARTESIAN_POINT('',(5.179999998808E1,8.273406432541E0, +-1.892960958602E1)); +#23841=LINE('',#23840,#23839); +#23842=DIRECTION('',(8.906053088238E-1,3.725315670339E-1,2.608494114582E-1)); +#23843=VECTOR('',#23842,7.485546510160E-2); +#23844=CARTESIAN_POINT('',(5.173333331347E1,8.245520408825E0, +-1.894913559003E1)); +#23845=LINE('',#23844,#23843); +#23846=DIRECTION('',(6.996248138976E-1,5.852926594520E-1,4.098263322072E-1)); +#23847=VECTOR('',#23846,9.528916266081E-2); +#23848=CARTESIAN_POINT('',(5.166666665077E1,8.189748361395E0, +-1.898818759807E1)); +#23849=LINE('',#23848,#23847); +#23850=DIRECTION('',(3.446855526499E-1,7.689529372461E-1,5.384266431883E-1)); +#23851=VECTOR('',#23850,1.450597670140E-1); +#23852=CARTESIAN_POINT('',(5.161666664481E1,8.078204227473E0, +-1.906629164148E1)); +#23853=LINE('',#23852,#23851); +#23854=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363511E-1)); +#23855=VECTOR('',#23854,1.021276473999E-1); +#23856=CARTESIAN_POINT('',(5.161666664481E1,7.994546156327E0, +-1.912486965353E1)); +#23857=LINE('',#23856,#23855); +#23858=DIRECTION('',(-3.446856058303E-1,7.689529212504E-1,5.384266319879E-1)); +#23859=VECTOR('',#23858,1.450597446332E-1); +#23860=CARTESIAN_POINT('',(5.166666665077E1,7.883002041936E0, +-1.920297368327E1)); +#23861=LINE('',#23860,#23859); +#23862=DIRECTION('',(-6.996248138976E-1,5.852926594520E-1,4.098263322072E-1)); +#23863=VECTOR('',#23862,9.528916266081E-2); +#23864=CARTESIAN_POINT('',(5.173333331347E1,7.827229994505E0, +-1.924202569130E1)); +#23865=LINE('',#23864,#23863); +#23866=DIRECTION('',(8.906053088238E-1,-3.725315670339E-1,-2.608494114582E-1)); +#23867=VECTOR('',#23866,7.485546510160E-2); +#23868=CARTESIAN_POINT('',(5.186666663885E1,8.831126984968E0, +-1.853908945099E1)); +#23869=LINE('',#23868,#23867); +#23870=DIRECTION('',(1.E0,2.664535894376E-14,0.E0)); +#23871=VECTOR('',#23870,6.666665077208E-2); +#23872=CARTESIAN_POINT('',(5.179999998808E1,8.831126984968E0, +-1.853908945099E1)); +#23873=LINE('',#23872,#23871); +#23874=DIRECTION('',(8.906053088238E-1,3.725315670339E-1,2.608494114582E-1)); +#23875=VECTOR('',#23874,7.485546510160E-2); +#23876=CARTESIAN_POINT('',(5.173333331347E1,8.803240961253E0, +-1.855861545501E1)); +#23877=LINE('',#23876,#23875); +#23878=DIRECTION('',(5.466225237810E-1,6.859408306529E-1,4.803009403890E-1)); +#23879=VECTOR('',#23878,1.219610604991E-1); +#23880=CARTESIAN_POINT('',(5.166666665077E1,8.719582890107E0, +-1.861719346706E1)); +#23881=LINE('',#23880,#23879); +#23882=DIRECTION('',(3.102798365493E-1,7.787230135816E-1,5.452677242872E-1)); +#23883=VECTOR('',#23882,1.074299177081E-1); +#23884=CARTESIAN_POINT('',(5.163333331347E1,8.635924740840E0, +-1.867577153381E1)); +#23885=LINE('',#23884,#23883); +#23886=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#23887=VECTOR('',#23886,6.808509826660E-2); +#23888=CARTESIAN_POINT('',(5.163333331347E1,8.580152693410E0, +-1.871482354184E1)); +#23889=LINE('',#23888,#23887); +#23890=DIRECTION('',(-3.102800983961E-1,7.787229435737E-1,5.452676752671E-1)); +#23891=VECTOR('',#23890,1.074298270475E-1); +#23892=CARTESIAN_POINT('',(5.166666665077E1,8.496494622264E0, +-1.877340155389E1)); +#23893=LINE('',#23892,#23891); +#23894=DIRECTION('',(-5.466225237810E-1,6.859408306529E-1,4.803009403890E-1)); +#23895=VECTOR('',#23894,1.219610604991E-1); +#23896=CARTESIAN_POINT('',(5.173333331347E1,8.412836551118E0, +-1.883197956594E1)); +#23897=LINE('',#23896,#23895); +#23898=DIRECTION('',(-8.906053088238E-1,3.725315670339E-1,2.608494114582E-1)); +#23899=VECTOR('',#23898,7.485546510160E-2); +#23900=CARTESIAN_POINT('',(5.179999998808E1,8.384950527402E0, +-1.885150556995E1)); +#23901=LINE('',#23900,#23899); +#23902=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23903=VECTOR('',#23902,6.666665077208E-2); +#23904=CARTESIAN_POINT('',(5.186666663885E1,8.384950527402E0, +-1.885150556995E1)); +#23905=LINE('',#23904,#23903); +#23906=DIRECTION('',(-8.906053088238E-1,-3.725315670339E-1,-2.608494114582E-1)); +#23907=VECTOR('',#23906,7.485546510160E-2); +#23908=CARTESIAN_POINT('',(5.193333331347E1,8.412836551118E0, +-1.883197956594E1)); +#23909=LINE('',#23908,#23907); +#23910=DIRECTION('',(-5.466224552427E-1,-6.859408673020E-1,-4.803009660510E-1)); +#23911=VECTOR('',#23910,1.219610539828E-1); +#23912=CARTESIAN_POINT('',(5.199999996424E1,8.496494622264E0, +-1.877340155389E1)); +#23913=LINE('',#23912,#23911); +#23914=DIRECTION('',(-3.102801986779E-1,-7.787229167621E-1,-5.452676564935E-1)); +#23915=VECTOR('',#23914,1.074298307463E-1); +#23916=CARTESIAN_POINT('',(5.203333331347E1,8.580152693410E0, +-1.871482354184E1)); +#23917=LINE('',#23916,#23915); +#23918=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#23919=VECTOR('',#23918,6.808509826660E-2); +#23920=CARTESIAN_POINT('',(5.203333331347E1,8.635924740840E0, +-1.867577153381E1)); +#23921=LINE('',#23920,#23919); +#23922=DIRECTION('',(3.102799368311E-1,-7.787229867701E-1,-5.452677055136E-1)); +#23923=VECTOR('',#23922,1.074299214069E-1); +#23924=CARTESIAN_POINT('',(5.199999996424E1,8.719582890107E0, +-1.861719346706E1)); +#23925=LINE('',#23924,#23923); +#23926=DIRECTION('',(5.466224552426E-1,-6.859408673020E-1,-4.803009660510E-1)); +#23927=VECTOR('',#23926,1.219610539828E-1); +#23928=CARTESIAN_POINT('',(5.193333331347E1,8.803240961253E0, +-1.855861545501E1)); +#23929=LINE('',#23928,#23927); +#23930=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#23931=VECTOR('',#23930,3.063829421997E-1); +#23932=CARTESIAN_POINT('',(5.226666666269E1,8.440722574833E0, +-1.881245356192E1)); +#23933=LINE('',#23932,#23931); +#23934=DIRECTION('',(-9.745053817116E-2,-8.152531830405E-1,-5.708464243144E-1)); +#23935=VECTOR('',#23934,1.710267699376E-1); +#23936=CARTESIAN_POINT('',(5.228333331347E1,8.580152693410E0, +-1.871482354184E1)); +#23937=LINE('',#23936,#23935); +#23938=DIRECTION('',(-1.610635003902E-1,-8.084572324262E-1,-5.660878484650E-1)); +#23939=VECTOR('',#23938,1.034787567122E-1); +#23940=CARTESIAN_POINT('',(5.229999996424E1,8.663810842676E0, +-1.865624547509E1)); +#23941=LINE('',#23940,#23939); +#23942=DIRECTION('',(-2.377714594360E-1,-7.956596874002E-1,-5.571269109672E-1)); +#23943=VECTOR('',#23942,1.401907079469E-1); +#23944=CARTESIAN_POINT('',(5.233333331347E1,8.775354937538E0, +-1.857814145902E1)); +#23945=LINE('',#23944,#23943); +#23946=DIRECTION('',(-4.397134958245E-1,-7.357116583514E-1,-5.151508491264E-1)); +#23947=VECTOR('',#23946,1.137104056955E-1); +#23948=CARTESIAN_POINT('',(5.238333331347E1,8.859013008684E0, +-1.851956344698E1)); +#23949=LINE('',#23948,#23947); +#23950=DIRECTION('',(-6.322116386727E-1,-6.346755170787E-1,-4.444045813757E-1)); +#23951=VECTOR('',#23950,1.318123496100E-1); +#23952=CARTESIAN_POINT('',(5.246666661501E1,8.942671079830E0, +-1.846098543493E1)); +#23953=LINE('',#23952,#23951); +#23954=DIRECTION('',(-9.466499177700E-1,-2.639830205707E-1,-1.848429009630E-1)); +#23955=VECTOR('',#23954,1.056356717756E-1); +#23956=CARTESIAN_POINT('',(5.256666661501E1,8.970557103545E0, +-1.844145943091E1)); +#23957=LINE('',#23956,#23955); +#23958=DIRECTION('',(-9.466499177700E-1,2.639830205707E-1,1.848429009630E-1)); +#23959=VECTOR('',#23958,1.056356717756E-1); +#23960=CARTESIAN_POINT('',(5.266666661501E1,8.942671079830E0, +-1.846098543493E1)); +#23961=LINE('',#23960,#23959); +#23962=DIRECTION('',(-6.322120730010E-1,6.346752267713E-1,4.444043781002E-1)); +#23963=VECTOR('',#23962,1.318124099024E-1); +#23964=CARTESIAN_POINT('',(5.275000001192E1,8.859013008684E0, +-1.851956344698E1)); +#23965=LINE('',#23964,#23963); +#23966=DIRECTION('',(-4.397134958244E-1,7.357116583514E-1,5.151508491264E-1)); +#23967=VECTOR('',#23966,1.137104056955E-1); +#23968=CARTESIAN_POINT('',(5.280000001192E1,8.775354937538E0, +-1.857814145902E1)); +#23969=LINE('',#23968,#23967); +#23970=DIRECTION('',(-2.377711385310E-1,7.956597517486E-1,5.571269560244E-1)); +#23971=VECTOR('',#23970,1.401906966090E-1); +#23972=CARTESIAN_POINT('',(5.283333331347E1,8.663810842676E0, +-1.865624547509E1)); +#23973=LINE('',#23972,#23971); +#23974=DIRECTION('',(-1.610639492430E-1,8.084571724231E-1,5.660878064504E-1)); +#23975=VECTOR('',#23974,1.034787643924E-1); +#23976=CARTESIAN_POINT('',(5.285000001192E1,8.580152693410E0, +-1.871482354184E1)); +#23977=LINE('',#23976,#23975); +#23978=DIRECTION('',(-9.745026201037E-2,8.152532051909E-1,5.708464398243E-1)); +#23979=VECTOR('',#23978,1.710267652908E-1); +#23980=CARTESIAN_POINT('',(5.286666661501E1,8.440722574833E0, +-1.881245356192E1)); +#23981=LINE('',#23980,#23979); +#23982=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#23983=VECTOR('',#23982,3.063829421997E-1); +#23984=CARTESIAN_POINT('',(5.286666661501E1,8.189748361395E0, +-1.898818759807E1)); +#23985=LINE('',#23984,#23983); +#23986=DIRECTION('',(9.745023496973E-2,8.152532073598E-1,5.708464413430E-1)); +#23987=VECTOR('',#23986,1.710268127476E-1); +#23988=CARTESIAN_POINT('',(5.285000001192E1,8.050318203758E0, +-1.908581764550E1)); +#23989=LINE('',#23988,#23987); +#23990=DIRECTION('',(1.610640957438E-1,8.084571528387E-1,5.660877927372E-1)); +#23991=VECTOR('',#23990,1.034786702700E-1); +#23992=CARTESIAN_POINT('',(5.283333331347E1,7.966660132612E0, +-1.914439565754E1)); +#23993=LINE('',#23992,#23991); +#23994=DIRECTION('',(2.377710992536E-1,7.956597596245E-1,5.571269615392E-1)); +#23995=VECTOR('',#23994,1.401907197671E-1); +#23996=CARTESIAN_POINT('',(5.280000001192E1,7.855116018220E0, +-1.922249968728E1)); +#23997=LINE('',#23996,#23995); +#23998=DIRECTION('',(4.397134544223E-1,7.357116749554E-1,5.151508607527E-1)); +#23999=VECTOR('',#23998,1.137104164022E-1); +#24000=CARTESIAN_POINT('',(5.275000001192E1,7.771457937309E0, +-1.928107770617E1)); +#24001=LINE('',#24000,#23999); +#24002=DIRECTION('',(6.322120397760E-1,6.346752489791E-1,4.444043936502E-1)); +#24003=VECTOR('',#24002,1.318124168296E-1); +#24004=CARTESIAN_POINT('',(5.266666661501E1,7.687799858839E0, +-1.933965572335E1)); +#24005=LINE('',#24004,#24003); +#24006=DIRECTION('',(9.466499091632E-1,2.639830412808E-1,1.848429154644E-1)); +#24007=VECTOR('',#24006,1.056356727361E-1); +#24008=CARTESIAN_POINT('',(5.256666661501E1,7.659913832683E0, +-1.935918172907E1)); +#24009=LINE('',#24008,#24007); +#24010=DIRECTION('',(9.466499091632E-1,-2.639830412809E-1,-1.848429154644E-1)); +#24011=VECTOR('',#24010,1.056356727361E-1); +#24012=CARTESIAN_POINT('',(5.246666661501E1,7.687799858839E0, +-1.933965572335E1)); +#24013=LINE('',#24012,#24011); +#24014=DIRECTION('',(6.322116054477E-1,-6.346755392865E-1,-4.444045969257E-1)); +#24015=VECTOR('',#24014,1.318123565372E-1); +#24016=CARTESIAN_POINT('',(5.238333331347E1,7.771457937309E0, +-1.928107770617E1)); +#24017=LINE('',#24016,#24015); +#24018=DIRECTION('',(4.397134544224E-1,-7.357116749554E-1,-5.151508607527E-1)); +#24019=VECTOR('',#24018,1.137104164022E-1); +#24020=CARTESIAN_POINT('',(5.233333331347E1,7.855116018220E0, +-1.922249968728E1)); +#24021=LINE('',#24020,#24019); +#24022=DIRECTION('',(2.377714201586E-1,-7.956596952762E-1,-5.571269164821E-1)); +#24023=VECTOR('',#24022,1.401907311050E-1); +#24024=CARTESIAN_POINT('',(5.229999996424E1,7.966660132612E0, +-1.914439565754E1)); +#24025=LINE('',#24024,#24023); +#24026=DIRECTION('',(1.610636468906E-1,-8.084572128420E-1,-5.660878347519E-1)); +#24027=VECTOR('',#24026,1.034786625899E-1); +#24028=CARTESIAN_POINT('',(5.228333331347E1,8.050318203758E0, +-1.908581764550E1)); +#24029=LINE('',#24028,#24027); +#24030=DIRECTION('',(9.745051113045E-2,-8.152531852094E-1,-5.708464258331E-1)); +#24031=VECTOR('',#24030,1.710268173944E-1); +#24032=CARTESIAN_POINT('',(5.226666666269E1,8.189748361395E0, +-1.898818759807E1)); +#24033=LINE('',#24032,#24031); +#24034=DIRECTION('',(8.132663114327E-2,8.164386057766E-1,5.716764662502E-1)); +#24035=VECTOR('',#24034,2.049341384744E-1); +#24036=CARTESIAN_POINT('',(5.235000001192E1,8.412836551118E0, +-1.883197956594E1)); +#24037=LINE('',#24036,#24035); +#24038=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#24039=VECTOR('',#24038,2.382978439331E-1); +#24040=CARTESIAN_POINT('',(5.235000001192E1,8.217634385110E0, +-1.896866159405E1)); +#24041=LINE('',#24040,#24039); +#24042=DIRECTION('',(-8.132661228302E-2,8.164386070373E-1,5.716764671329E-1)); +#24043=VECTOR('',#24042,2.049341860002E-1); +#24044=CARTESIAN_POINT('',(5.236666661501E1,8.050318203758E0, +-1.908581764550E1)); +#24045=LINE('',#24044,#24043); +#24046=DIRECTION('',(-3.102801659471E-1,7.787229255131E-1,5.452676626210E-1)); +#24047=VECTOR('',#24046,2.148596841578E-1); +#24048=CARTESIAN_POINT('',(5.243333331347E1,7.883002041936E0, +-1.920297368327E1)); +#24049=LINE('',#24048,#24047); +#24050=DIRECTION('',(-5.919095487495E-1,6.602401485510E-1,4.623051290441E-1)); +#24051=VECTOR('',#24050,8.447236593095E-2); +#24052=CARTESIAN_POINT('',(5.248333331347E1,7.827229994505E0, +-1.924202569130E1)); +#24053=LINE('',#24052,#24051); +#24054=DIRECTION('',(-9.257350567423E-1,3.097809551294E-1,2.169109599754E-1)); +#24055=VECTOR('',#24054,9.001852197047E-2); +#24056=CARTESIAN_POINT('',(5.256666661501E1,7.799343970790E0, +-1.926155169532E1)); +#24057=LINE('',#24056,#24055); +#24058=DIRECTION('',(-9.257352082546E-1,-3.097806513144E-1,-2.169107472418E-1)); +#24059=VECTOR('',#24058,9.001861025546E-2); +#24060=CARTESIAN_POINT('',(5.265000001192E1,7.827229994505E0, +-1.924202569130E1)); +#24061=LINE('',#24060,#24059); +#24062=DIRECTION('',(-5.919095487495E-1,-6.602401485510E-1,-4.623051290441E-1)); +#24063=VECTOR('',#24062,8.447236593095E-2); +#24064=CARTESIAN_POINT('',(5.270000001192E1,7.883002041936E0, +-1.920297368327E1)); +#24065=LINE('',#24064,#24063); +#24066=DIRECTION('',(-3.102797648198E-1,-7.787230327593E-1,-5.452677377156E-1)); +#24067=VECTOR('',#24066,2.148596545672E-1); +#24068=CARTESIAN_POINT('',(5.276666661501E1,8.050318203758E0, +-1.908581764550E1)); +#24069=LINE('',#24068,#24067); +#24070=DIRECTION('',(-8.132707456154E-2,-8.164385761384E-1,-5.716764454973E-1)); +#24071=VECTOR('',#24070,2.049341937561E-1); +#24072=CARTESIAN_POINT('',(5.278333331347E1,8.217634385110E0, +-1.896866159405E1)); +#24073=LINE('',#24072,#24071); +#24074=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#24075=VECTOR('',#24074,2.382978439331E-1); +#24076=CARTESIAN_POINT('',(5.278333331347E1,8.412836551118E0, +-1.883197956594E1)); +#24077=LINE('',#24076,#24075); +#24078=DIRECTION('',(8.132709342190E-2,-8.164385748777E-1,-5.716764446146E-1)); +#24079=VECTOR('',#24078,2.049341462304E-1); +#24080=CARTESIAN_POINT('',(5.276666661501E1,8.580152693410E0, +-1.871482354184E1)); +#24081=LINE('',#24080,#24079); +#24082=DIRECTION('',(3.102796666273E-1,-7.787230590123E-1,-5.452677560981E-1)); +#24083=VECTOR('',#24082,2.148597225627E-1); +#24084=CARTESIAN_POINT('',(5.270000001192E1,8.747468913822E0, +-1.859766746304E1)); +#24085=LINE('',#24084,#24083); +#24086=DIRECTION('',(5.919095487495E-1,-6.602401485510E-1,-4.623051290441E-1)); +#24087=VECTOR('',#24086,8.447236593095E-2); +#24088=CARTESIAN_POINT('',(5.265000001192E1,8.803240961253E0, +-1.855861545501E1)); +#24089=LINE('',#24088,#24087); +#24090=DIRECTION('',(9.257352082546E-1,-3.097806513144E-1,-2.169107472419E-1)); +#24091=VECTOR('',#24090,9.001861025546E-2); +#24092=CARTESIAN_POINT('',(5.256666661501E1,8.831126984968E0, +-1.853908945099E1)); +#24093=LINE('',#24092,#24091); +#24094=DIRECTION('',(9.257350567423E-1,3.097809551294E-1,2.169109599754E-1)); +#24095=VECTOR('',#24094,9.001852197047E-2); +#24096=CARTESIAN_POINT('',(5.248333331347E1,8.803240961253E0, +-1.855861545501E1)); +#24097=LINE('',#24096,#24095); +#24098=DIRECTION('',(5.919095487495E-1,6.602401485510E-1,4.623051290441E-1)); +#24099=VECTOR('',#24098,8.447236593095E-2); +#24100=CARTESIAN_POINT('',(5.243333331347E1,8.747468913822E0, +-1.859766746304E1)); +#24101=LINE('',#24100,#24099); +#24102=DIRECTION('',(3.102800677545E-1,7.787229517661E-1,5.452676810035E-1)); +#24103=VECTOR('',#24102,2.148597521533E-1); +#24104=CARTESIAN_POINT('',(5.236666661501E1,8.580152693410E0, +-1.871482354184E1)); +#24105=LINE('',#24104,#24103); +#24106=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#24107=VECTOR('',#24106,1.5E-1); +#24108=CARTESIAN_POINT('',(-3.88E1,9.056593568998E0,-1.856433223755E1)); +#24109=LINE('',#24108,#24107); +#24110=DIRECTION('',(1.E0,0.E0,0.E0)); +#24111=VECTOR('',#24110,2.2E0); +#24112=CARTESIAN_POINT('',(-3.98E1,9.63E0,-1.816282873211E1)); +#24113=LINE('',#24112,#24111); +#24114=DIRECTION('',(-3.990365439904E-1,-7.511091986404E-1,-5.259323229066E-1)); +#24115=VECTOR('',#24114,1.002414455578E0); +#24116=CARTESIAN_POINT('',(-3.88E1,9.056593568998E0,-1.856433223755E1)); +#24117=LINE('',#24116,#24115); +#24118=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#24119=VECTOR('',#24118,2.042553424835E-1); +#24120=CARTESIAN_POINT('',(-3.92E1,8.303670850563E0,-1.909153440069E1)); +#24121=LINE('',#24120,#24119); +#24122=DIRECTION('',(1.E0,0.E0,0.E0)); +#24123=VECTOR('',#24122,4.E-1); +#24124=CARTESIAN_POINT('',(-3.92E1,8.136354669210E0,-1.920869045214E1)); +#24125=LINE('',#24124,#24123); +#24126=DIRECTION('',(-1.490870947345E-14,-8.191520442890E-1, +-5.735764363510E-1)); +#24127=VECTOR('',#24126,4.765957355499E-1); +#24128=CARTESIAN_POINT('',(-3.88E1,8.136354669210E0,-1.920869045214E1)); +#24129=LINE('',#24128,#24127); +#24130=DIRECTION('',(1.E0,0.E0,0.E0)); +#24131=VECTOR('',#24130,1.E-1); +#24132=CARTESIAN_POINT('',(-3.88E1,7.745950298135E0,-1.948205453572E1)); +#24133=LINE('',#24132,#24131); +#24134=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#24135=VECTOR('',#24134,4.765957355499E-1); +#24136=CARTESIAN_POINT('',(-3.87E1,7.745950298135E0,-1.948205453572E1)); +#24137=LINE('',#24136,#24135); +#24138=DIRECTION('',(1.E0,0.E0,0.E0)); +#24139=VECTOR('',#24138,1.666666507721E-1); +#24140=CARTESIAN_POINT('',(-3.87E1,8.136354669210E0,-1.920869045214E1)); +#24141=LINE('',#24140,#24139); +#24142=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#24143=VECTOR('',#24142,2.042553424835E-1); +#24144=CARTESIAN_POINT('',(-3.853333334923E1,8.136354669210E0, +-1.920869045214E1)); +#24145=LINE('',#24144,#24143); +#24146=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24147=VECTOR('',#24146,1.666666507721E-1); +#24148=CARTESIAN_POINT('',(-3.853333334923E1,8.303670850563E0, +-1.909153440069E1)); +#24149=LINE('',#24148,#24147); +#24150=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#24151=VECTOR('',#24150,9.191489219666E-1); +#24152=CARTESIAN_POINT('',(-3.87E1,8.303670850563E0,-1.909153440069E1)); +#24153=LINE('',#24152,#24151); +#24154=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24155=VECTOR('',#24154,9.999999999999E-2); +#24156=CARTESIAN_POINT('',(-3.87E1,9.056593568998E0,-1.856433223755E1)); +#24157=LINE('',#24156,#24155); +#24158=DIRECTION('',(4.012402871124E-1,7.503210192035E-1,5.253804337235E-1)); +#24159=VECTOR('',#24158,7.061437906599E-1); +#24160=CARTESIAN_POINT('',(-3.908333333731E1,8.303670850563E0, +-1.909153440069E1)); +#24161=LINE('',#24160,#24159); +#24162=DIRECTION('',(-1.098536435455E-14,-8.191520442890E-1, +-5.735764363510E-1)); +#24163=VECTOR('',#24162,6.468085289001E-1); +#24164=CARTESIAN_POINT('',(-3.88E1,8.833505379275E0,-1.872054026968E1)); +#24165=LINE('',#24164,#24163); +#24166=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24167=VECTOR('',#24166,2.833333373070E-1); +#24168=CARTESIAN_POINT('',(-3.88E1,8.303670850563E0,-1.909153440069E1)); +#24169=LINE('',#24168,#24167); +#24170=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#24171=VECTOR('',#24170,1.6E0); +#24172=CARTESIAN_POINT('',(-3.82E1,7.745950298135E0,-1.948205453572E1)); +#24173=LINE('',#24172,#24171); +#24174=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24175=VECTOR('',#24174,9.999999999999E-2); +#24176=CARTESIAN_POINT('',(-3.82E1,9.056593568998E0,-1.856433223755E1)); +#24177=LINE('',#24176,#24175); +#24178=DIRECTION('',(-3.869525658263E-1,-7.553397899143E-1,-5.288946148077E-1)); +#24179=VECTOR('',#24178,2.584296082556E-1); +#24180=CARTESIAN_POINT('',(-3.83E1,9.056593568998E0,-1.856433223755E1)); +#24181=LINE('',#24180,#24179); +#24182=DIRECTION('',(-2.609023505268E-14,-8.191520442890E-1, +-5.735764363510E-1)); +#24183=VECTOR('',#24182,2.723404884338E-1); +#24184=CARTESIAN_POINT('',(-3.84E1,8.861391402990E0,-1.870101426567E1)); +#24185=LINE('',#24184,#24183); +#24186=DIRECTION('',(3.869524341543E-1,7.553398351767E-1,5.288946465008E-1)); +#24187=VECTOR('',#24186,2.584296961939E-1); +#24188=CARTESIAN_POINT('',(-3.84E1,8.638303135147E0,-1.885722235250E1)); +#24189=LINE('',#24188,#24187); +#24190=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#24191=VECTOR('',#24190,1.327659606934E0); +#24192=CARTESIAN_POINT('',(-3.83E1,8.833505379275E0,-1.872054026968E1)); +#24193=LINE('',#24192,#24191); +#24194=DIRECTION('',(1.E0,0.E0,0.E0)); +#24195=VECTOR('',#24194,9.999999999999E-2); +#24196=CARTESIAN_POINT('',(-3.83E1,7.745950298135E0,-1.948205453572E1)); +#24197=LINE('',#24196,#24195); +#24198=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#24199=VECTOR('',#24198,1.464598038686E-1); +#24200=CARTESIAN_POINT('',(-3.975E1,7.197627763800E0,-1.986599410763E1)); +#24201=LINE('',#24200,#24199); +#24202=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24203=VECTOR('',#24202,1.622632243107E0); +#24204=CARTESIAN_POINT('',(-3.975E1,7.077654916055E0,-1.995E1)); +#24205=LINE('',#24204,#24203); +#24206=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24207=VECTOR('',#24206,1.4E0); +#24208=CARTESIAN_POINT('',(-3.975E1,7.03E0,-1.795E1)); +#24209=LINE('',#24208,#24207); +#24210=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#24211=VECTOR('',#24210,1.923312893854E0); +#24212=CARTESIAN_POINT('',(-3.975E1,7.197627763800E0,-1.986599410763E1)); +#24213=LINE('',#24212,#24211); +#24214=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#24215=VECTOR('',#24214,2.168191881447E-1); +#24216=CARTESIAN_POINT('',(-3.975E1,-1.605902971128E0,-1.982563762273E1)); +#24217=LINE('',#24216,#24215); +#24218=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24219=VECTOR('',#24218,1.561466474714E0); +#24220=CARTESIAN_POINT('',(-3.975E1,-1.783510852338E0,-1.995E1)); +#24221=LINE('',#24220,#24219); +#24222=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24223=VECTOR('',#24222,1.4E0); +#24224=CARTESIAN_POINT('',(-3.975E1,-1.77E0,-1.795E1)); +#24225=LINE('',#24224,#24223); +#24226=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#24227=VECTOR('',#24226,2.007639675087E0); +#24228=CARTESIAN_POINT('',(-4.195E1,5.455022672948E0,-1.995E1)); +#24229=LINE('',#24228,#24227); +#24230=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#24231=VECTOR('',#24230,2.007639675087E0); +#24232=CARTESIAN_POINT('',(-3.975E1,5.63E0,-1.795E1)); +#24233=LINE('',#24232,#24231); +#24234=DIRECTION('',(0.E0,1.E0,0.E0)); +#24235=VECTOR('',#24234,1.4E0); +#24236=CARTESIAN_POINT('',(-4.195E1,5.63E0,-1.795E1)); +#24237=LINE('',#24236,#24235); +#24238=DIRECTION('',(0.E0,1.E0,0.E0)); +#24239=VECTOR('',#24238,1.622632243107E0); +#24240=CARTESIAN_POINT('',(-4.195E1,5.455022672948E0,-1.995E1)); +#24241=LINE('',#24240,#24239); +#24242=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#24243=VECTOR('',#24242,1.950377571686E0); +#24244=CARTESIAN_POINT('',(-4.195E1,7.199986605899E0,-1.989295579619E1)); +#24245=LINE('',#24244,#24243); +#24246=DIRECTION('',(0.E0,1.E0,0.E0)); +#24247=VECTOR('',#24246,1.4E0); +#24248=CARTESIAN_POINT('',(-4.195E1,-3.17E0,-1.795E1)); +#24249=LINE('',#24248,#24247); +#24250=DIRECTION('',(0.E0,1.E0,0.E0)); +#24251=VECTOR('',#24250,1.749954654104E0); +#24252=CARTESIAN_POINT('',(-4.195E1,-3.344977327052E0,-1.995E1)); +#24253=LINE('',#24252,#24251); +#24254=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24255=VECTOR('',#24254,8.E-1); +#24256=CARTESIAN_POINT('',(-4.005E1,6.73E0,-1.765E1)); +#24257=LINE('',#24256,#24255); +#24258=DIRECTION('',(0.E0,1.E0,0.E0)); +#24259=VECTOR('',#24258,8.E-1); +#24260=CARTESIAN_POINT('',(-4.165E1,5.93E0,-1.765E1)); +#24261=LINE('',#24260,#24259); +#24262=DIRECTION('',(1.E0,0.E0,0.E0)); +#24263=VECTOR('',#24262,1.6E0); +#24264=CARTESIAN_POINT('',(-4.165E1,6.73E0,-1.765E1)); +#24265=LINE('',#24264,#24263); +#24266=DIRECTION('',(0.E0,0.E0,1.E0)); +#24267=VECTOR('',#24266,7.E0); +#24268=CARTESIAN_POINT('',(-4.115E1,6.645E0,-1.765E1)); +#24269=LINE('',#24268,#24267); +#24270=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24271=VECTOR('',#24270,6.3E-1); +#24272=CARTESIAN_POINT('',(-4.115E1,6.645E0,-1.765E1)); +#24273=LINE('',#24272,#24271); +#24274=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24275=VECTOR('',#24274,6.E-1); +#24276=CARTESIAN_POINT('',(-4.055E1,6.645E0,-1.765E1)); +#24277=LINE('',#24276,#24275); +#24278=DIRECTION('',(0.E0,0.E0,1.E0)); +#24279=VECTOR('',#24278,7.E0); +#24280=CARTESIAN_POINT('',(-4.055E1,6.645E0,-1.765E1)); +#24281=LINE('',#24280,#24279); +#24282=DIRECTION('',(0.E0,0.E0,1.E0)); +#24283=VECTOR('',#24282,7.E0); +#24284=CARTESIAN_POINT('',(-4.055E1,6.015E0,-1.765E1)); +#24285=LINE('',#24284,#24283); +#24286=DIRECTION('',(0.E0,1.E0,0.E0)); +#24287=VECTOR('',#24286,6.3E-1); +#24288=CARTESIAN_POINT('',(-4.055E1,6.015E0,-1.765E1)); +#24289=LINE('',#24288,#24287); +#24290=DIRECTION('',(0.E0,0.E0,1.E0)); +#24291=VECTOR('',#24290,7.E0); +#24292=CARTESIAN_POINT('',(-4.115E1,6.015E0,-1.765E1)); +#24293=LINE('',#24292,#24291); +#24294=DIRECTION('',(1.E0,0.E0,0.E0)); +#24295=VECTOR('',#24294,6.E-1); +#24296=CARTESIAN_POINT('',(-4.115E1,6.015E0,-1.765E1)); +#24297=LINE('',#24296,#24295); +#24298=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24299=VECTOR('',#24298,6.3E-1); +#24300=CARTESIAN_POINT('',(-4.115E1,-2.155E0,-1.065E1)); +#24301=LINE('',#24300,#24299); +#24302=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24303=VECTOR('',#24302,6.E-1); +#24304=CARTESIAN_POINT('',(-4.055E1,-2.155E0,-1.065E1)); +#24305=LINE('',#24304,#24303); +#24306=DIRECTION('',(0.E0,1.E0,0.E0)); +#24307=VECTOR('',#24306,6.3E-1); +#24308=CARTESIAN_POINT('',(-4.055E1,-2.785E0,-1.065E1)); +#24309=LINE('',#24308,#24307); +#24310=DIRECTION('',(1.E0,0.E0,0.E0)); +#24311=VECTOR('',#24310,6.E-1); +#24312=CARTESIAN_POINT('',(-4.115E1,-2.785E0,-1.065E1)); +#24313=LINE('',#24312,#24311); +#24314=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24315=VECTOR('',#24314,6.3E-1); +#24316=CARTESIAN_POINT('',(-4.115E1,6.645E0,-1.065E1)); +#24317=LINE('',#24316,#24315); +#24318=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24319=VECTOR('',#24318,6.E-1); +#24320=CARTESIAN_POINT('',(-4.055E1,6.645E0,-1.065E1)); +#24321=LINE('',#24320,#24319); +#24322=DIRECTION('',(0.E0,1.E0,0.E0)); +#24323=VECTOR('',#24322,6.3E-1); +#24324=CARTESIAN_POINT('',(-4.055E1,6.015E0,-1.065E1)); +#24325=LINE('',#24324,#24323); +#24326=DIRECTION('',(1.E0,0.E0,0.E0)); +#24327=VECTOR('',#24326,6.E-1); +#24328=CARTESIAN_POINT('',(-4.115E1,6.015E0,-1.065E1)); +#24329=LINE('',#24328,#24327); +#24330=DIRECTION('',(0.E0,0.E0,1.E0)); +#24331=VECTOR('',#24330,7.E0); +#24332=CARTESIAN_POINT('',(-4.115E1,-2.155E0,-1.765E1)); +#24333=LINE('',#24332,#24331); +#24334=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24335=VECTOR('',#24334,6.3E-1); +#24336=CARTESIAN_POINT('',(-4.115E1,-2.155E0,-1.765E1)); +#24337=LINE('',#24336,#24335); +#24338=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24339=VECTOR('',#24338,6.E-1); +#24340=CARTESIAN_POINT('',(-4.055E1,-2.155E0,-1.765E1)); +#24341=LINE('',#24340,#24339); +#24342=DIRECTION('',(0.E0,0.E0,1.E0)); +#24343=VECTOR('',#24342,7.E0); +#24344=CARTESIAN_POINT('',(-4.055E1,-2.155E0,-1.765E1)); +#24345=LINE('',#24344,#24343); +#24346=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24347=VECTOR('',#24346,8.E-1); +#24348=CARTESIAN_POINT('',(-4.005E1,-2.07E0,-1.765E1)); +#24349=LINE('',#24348,#24347); +#24350=DIRECTION('',(0.E0,1.E0,0.E0)); +#24351=VECTOR('',#24350,8.E-1); +#24352=CARTESIAN_POINT('',(-4.165E1,-2.87E0,-1.765E1)); +#24353=LINE('',#24352,#24351); +#24354=DIRECTION('',(1.E0,0.E0,0.E0)); +#24355=VECTOR('',#24354,1.6E0); +#24356=CARTESIAN_POINT('',(-4.165E1,-2.07E0,-1.765E1)); +#24357=LINE('',#24356,#24355); +#24358=DIRECTION('',(0.E0,0.E0,1.E0)); +#24359=VECTOR('',#24358,7.E0); +#24360=CARTESIAN_POINT('',(-4.115E1,-2.785E0,-1.765E1)); +#24361=LINE('',#24360,#24359); +#24362=DIRECTION('',(1.E0,0.E0,0.E0)); +#24363=VECTOR('',#24362,6.E-1); +#24364=CARTESIAN_POINT('',(-4.115E1,-2.785E0,-1.765E1)); +#24365=LINE('',#24364,#24363); +#24366=DIRECTION('',(0.E0,0.E0,1.E0)); +#24367=VECTOR('',#24366,7.E0); +#24368=CARTESIAN_POINT('',(-4.055E1,-2.785E0,-1.765E1)); +#24369=LINE('',#24368,#24367); +#24370=DIRECTION('',(0.E0,1.E0,0.E0)); +#24371=VECTOR('',#24370,6.3E-1); +#24372=CARTESIAN_POINT('',(-4.055E1,-2.785E0,-1.765E1)); +#24373=LINE('',#24372,#24371); +#24374=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#24375=VECTOR('',#24374,5.196152422707E-1); +#24376=CARTESIAN_POINT('',(-4.005E1,-2.07E0,-1.765E1)); +#24377=LINE('',#24376,#24375); +#24378=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#24379=VECTOR('',#24378,5.196152422707E-1); +#24380=CARTESIAN_POINT('',(-4.195E1,-1.77E0,-1.795E1)); +#24381=LINE('',#24380,#24379); +#24382=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24383=VECTOR('',#24382,2.2E0); +#24384=CARTESIAN_POINT('',(-3.975E1,-1.77E0,-1.795E1)); +#24385=LINE('',#24384,#24383); +#24386=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#24387=VECTOR('',#24386,5.196152422707E-1); +#24388=CARTESIAN_POINT('',(-3.975E1,-3.17E0,-1.795E1)); +#24389=LINE('',#24388,#24387); +#24390=DIRECTION('',(1.E0,0.E0,0.E0)); +#24391=VECTOR('',#24390,2.2E0); +#24392=CARTESIAN_POINT('',(-4.195E1,-3.17E0,-1.795E1)); +#24393=LINE('',#24392,#24391); +#24394=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#24395=VECTOR('',#24394,5.196152422707E-1); +#24396=CARTESIAN_POINT('',(-4.165E1,-2.87E0,-1.765E1)); +#24397=LINE('',#24396,#24395); +#24398=DIRECTION('',(1.E0,0.E0,0.E0)); +#24399=VECTOR('',#24398,1.6E0); +#24400=CARTESIAN_POINT('',(-4.165E1,-2.87E0,-1.765E1)); +#24401=LINE('',#24400,#24399); +#24402=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#24403=VECTOR('',#24402,2.007639675087E0); +#24404=CARTESIAN_POINT('',(-4.195E1,-3.344977327052E0,-1.995E1)); +#24405=LINE('',#24404,#24403); +#24406=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#24407=VECTOR('',#24406,2.007639675087E0); +#24408=CARTESIAN_POINT('',(-3.975E1,-3.17E0,-1.795E1)); +#24409=LINE('',#24408,#24407); +#24410=DIRECTION('',(0.E0,8.715574274765E-2,-9.961946980917E-1)); +#24411=VECTOR('',#24410,1.248374213462E-1); +#24412=CARTESIAN_POINT('',(-3.98E1,-1.605902971128E0,-1.982563762273E1)); +#24413=LINE('',#24412,#24411); +#24414=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24415=VECTOR('',#24414,5.E-2); +#24416=CARTESIAN_POINT('',(-3.975E1,-1.605902971128E0,-1.982563762273E1)); +#24417=LINE('',#24416,#24415); +#24418=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#24419=VECTOR('',#24418,1.882802253740E0); +#24420=CARTESIAN_POINT('',(-3.975E1,-1.605902971128E0,-1.982563762273E1)); +#24421=LINE('',#24420,#24419); +#24422=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#24423=VECTOR('',#24422,2.007639675087E0); +#24424=CARTESIAN_POINT('',(-4.195E1,-1.77E0,-1.795E1)); +#24425=LINE('',#24424,#24423); +#24426=DIRECTION('',(1.E0,0.E0,0.E0)); +#24427=VECTOR('',#24426,2.2E0); +#24428=CARTESIAN_POINT('',(-3.98E1,8.3E-1,-1.812E1)); +#24429=LINE('',#24428,#24427); +#24430=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#24431=VECTOR('',#24430,1.248374213462E-1); +#24432=CARTESIAN_POINT('',(-3.55E1,-1.605902971128E0,-1.982563762273E1)); +#24433=LINE('',#24432,#24431); +#24434=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24435=VECTOR('',#24434,5.E-2); +#24436=CARTESIAN_POINT('',(-3.545E1,-1.605902971128E0,-1.982563762273E1)); +#24437=LINE('',#24436,#24435); +#24438=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#24439=VECTOR('',#24438,1.882802253740E0); +#24440=CARTESIAN_POINT('',(-3.545E1,-1.605902971128E0,-1.982563762273E1)); +#24441=LINE('',#24440,#24439); +#24442=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#24443=VECTOR('',#24442,1.882802253740E0); +#24444=CARTESIAN_POINT('',(-3.765E1,-1.77E0,-1.795E1)); +#24445=LINE('',#24444,#24443); +#24446=DIRECTION('',(1.E0,0.E0,0.E0)); +#24447=VECTOR('',#24446,5.E-2); +#24448=CARTESIAN_POINT('',(-3.765E1,-1.605902971128E0,-1.982563762273E1)); +#24449=LINE('',#24448,#24447); +#24450=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#24451=VECTOR('',#24450,1.248374213462E-1); +#24452=CARTESIAN_POINT('',(-3.76E1,-1.605902971128E0,-1.982563762273E1)); +#24453=LINE('',#24452,#24451); +#24454=DIRECTION('',(1.E0,0.E0,0.E0)); +#24455=VECTOR('',#24454,2.2E0); +#24456=CARTESIAN_POINT('',(-3.55E1,8.3E-1,-1.812E1)); +#24457=LINE('',#24456,#24455); +#24458=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#24459=VECTOR('',#24458,1.464598038686E-1); +#24460=CARTESIAN_POINT('',(-3.545E1,7.197627763800E0,-1.986599410763E1)); +#24461=LINE('',#24460,#24459); +#24462=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24463=VECTOR('',#24462,1.622632243107E0); +#24464=CARTESIAN_POINT('',(-3.545E1,7.077654916055E0,-1.995E1)); +#24465=LINE('',#24464,#24463); +#24466=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24467=VECTOR('',#24466,1.4E0); +#24468=CARTESIAN_POINT('',(-3.545E1,7.03E0,-1.795E1)); +#24469=LINE('',#24468,#24467); +#24470=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#24471=VECTOR('',#24470,1.923312893854E0); +#24472=CARTESIAN_POINT('',(-3.545E1,7.197627763800E0,-1.986599410763E1)); +#24473=LINE('',#24472,#24471); +#24474=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#24475=VECTOR('',#24474,2.168191881447E-1); +#24476=CARTESIAN_POINT('',(-3.545E1,-1.605902971128E0,-1.982563762273E1)); +#24477=LINE('',#24476,#24475); +#24478=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24479=VECTOR('',#24478,1.561466474714E0); +#24480=CARTESIAN_POINT('',(-3.545E1,-1.783510852338E0,-1.995E1)); +#24481=LINE('',#24480,#24479); +#24482=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24483=VECTOR('',#24482,1.4E0); +#24484=CARTESIAN_POINT('',(-3.545E1,-1.77E0,-1.795E1)); +#24485=LINE('',#24484,#24483); +#24486=DIRECTION('',(1.E0,0.E0,0.E0)); +#24487=VECTOR('',#24486,2.2E0); +#24488=CARTESIAN_POINT('',(-3.55E1,9.63E0,-1.816282873211E1)); +#24489=LINE('',#24488,#24487); +#24490=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24491=VECTOR('',#24490,2.2E0); +#24492=CARTESIAN_POINT('',(-3.33E1,9.63E0,-1.795E1)); +#24493=LINE('',#24492,#24491); +#24494=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#24495=VECTOR('',#24494,5.196152422707E-1); +#24496=CARTESIAN_POINT('',(-3.52E1,9.93E0,-1.765E1)); +#24497=LINE('',#24496,#24495); +#24498=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#24499=VECTOR('',#24498,5.196152422707E-1); +#24500=CARTESIAN_POINT('',(-3.33E1,9.63E0,-1.795E1)); +#24501=LINE('',#24500,#24499); +#24502=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#24503=VECTOR('',#24502,1.464598038686E-1); +#24504=CARTESIAN_POINT('',(-3.335E1,7.197627763800E0,-1.986599410763E1)); +#24505=LINE('',#24504,#24503); +#24506=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#24507=VECTOR('',#24506,1.923312893854E0); +#24508=CARTESIAN_POINT('',(-3.335E1,7.197627763800E0,-1.986599410763E1)); +#24509=LINE('',#24508,#24507); +#24510=DIRECTION('',(0.E0,1.E0,0.E0)); +#24511=VECTOR('',#24510,1.4E0); +#24512=CARTESIAN_POINT('',(-3.335E1,5.63E0,-1.795E1)); +#24513=LINE('',#24512,#24511); +#24514=DIRECTION('',(0.E0,1.E0,0.E0)); +#24515=VECTOR('',#24514,1.622632243107E0); +#24516=CARTESIAN_POINT('',(-3.335E1,5.455022672948E0,-1.995E1)); +#24517=LINE('',#24516,#24515); +#24518=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#24519=VECTOR('',#24518,2.168191881447E-1); +#24520=CARTESIAN_POINT('',(-3.335E1,-1.605902971128E0,-1.982563762273E1)); +#24521=LINE('',#24520,#24519); +#24522=DIRECTION('',(0.E0,1.E0,0.E0)); +#24523=VECTOR('',#24522,1.4E0); +#24524=CARTESIAN_POINT('',(-3.335E1,-3.17E0,-1.795E1)); +#24525=LINE('',#24524,#24523); +#24526=DIRECTION('',(0.E0,1.E0,0.E0)); +#24527=VECTOR('',#24526,1.561466474714E0); +#24528=CARTESIAN_POINT('',(-3.335E1,-3.344977327052E0,-1.995E1)); +#24529=LINE('',#24528,#24527); +#24530=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24531=VECTOR('',#24530,8.E-1); +#24532=CARTESIAN_POINT('',(-3.145E1,6.73E0,-1.765E1)); +#24533=LINE('',#24532,#24531); +#24534=DIRECTION('',(0.E0,1.E0,0.E0)); +#24535=VECTOR('',#24534,8.E-1); +#24536=CARTESIAN_POINT('',(-3.305E1,5.93E0,-1.765E1)); +#24537=LINE('',#24536,#24535); +#24538=DIRECTION('',(1.E0,0.E0,0.E0)); +#24539=VECTOR('',#24538,1.6E0); +#24540=CARTESIAN_POINT('',(-3.305E1,6.73E0,-1.765E1)); +#24541=LINE('',#24540,#24539); +#24542=DIRECTION('',(0.E0,0.E0,1.E0)); +#24543=VECTOR('',#24542,7.E0); +#24544=CARTESIAN_POINT('',(-3.255E1,6.645E0,-1.765E1)); +#24545=LINE('',#24544,#24543); +#24546=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24547=VECTOR('',#24546,6.3E-1); +#24548=CARTESIAN_POINT('',(-3.255E1,6.645E0,-1.765E1)); +#24549=LINE('',#24548,#24547); +#24550=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24551=VECTOR('',#24550,6.E-1); +#24552=CARTESIAN_POINT('',(-3.195E1,6.645E0,-1.765E1)); +#24553=LINE('',#24552,#24551); +#24554=DIRECTION('',(0.E0,0.E0,1.E0)); +#24555=VECTOR('',#24554,7.E0); +#24556=CARTESIAN_POINT('',(-3.195E1,6.645E0,-1.765E1)); +#24557=LINE('',#24556,#24555); +#24558=DIRECTION('',(0.E0,0.E0,1.E0)); +#24559=VECTOR('',#24558,7.E0); +#24560=CARTESIAN_POINT('',(-3.195E1,6.015E0,-1.765E1)); +#24561=LINE('',#24560,#24559); +#24562=DIRECTION('',(0.E0,1.E0,0.E0)); +#24563=VECTOR('',#24562,6.3E-1); +#24564=CARTESIAN_POINT('',(-3.195E1,6.015E0,-1.765E1)); +#24565=LINE('',#24564,#24563); +#24566=DIRECTION('',(0.E0,0.E0,1.E0)); +#24567=VECTOR('',#24566,7.E0); +#24568=CARTESIAN_POINT('',(-3.255E1,6.015E0,-1.765E1)); +#24569=LINE('',#24568,#24567); +#24570=DIRECTION('',(1.E0,0.E0,0.E0)); +#24571=VECTOR('',#24570,6.E-1); +#24572=CARTESIAN_POINT('',(-3.255E1,6.015E0,-1.765E1)); +#24573=LINE('',#24572,#24571); +#24574=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24575=VECTOR('',#24574,6.3E-1); +#24576=CARTESIAN_POINT('',(-3.255E1,-2.155E0,-1.065E1)); +#24577=LINE('',#24576,#24575); +#24578=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24579=VECTOR('',#24578,6.E-1); +#24580=CARTESIAN_POINT('',(-3.195E1,-2.155E0,-1.065E1)); +#24581=LINE('',#24580,#24579); +#24582=DIRECTION('',(0.E0,1.E0,0.E0)); +#24583=VECTOR('',#24582,6.3E-1); +#24584=CARTESIAN_POINT('',(-3.195E1,-2.785E0,-1.065E1)); +#24585=LINE('',#24584,#24583); +#24586=DIRECTION('',(1.E0,0.E0,0.E0)); +#24587=VECTOR('',#24586,6.E-1); +#24588=CARTESIAN_POINT('',(-3.255E1,-2.785E0,-1.065E1)); +#24589=LINE('',#24588,#24587); +#24590=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24591=VECTOR('',#24590,6.3E-1); +#24592=CARTESIAN_POINT('',(-3.255E1,6.645E0,-1.065E1)); +#24593=LINE('',#24592,#24591); +#24594=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24595=VECTOR('',#24594,6.E-1); +#24596=CARTESIAN_POINT('',(-3.195E1,6.645E0,-1.065E1)); +#24597=LINE('',#24596,#24595); +#24598=DIRECTION('',(0.E0,1.E0,0.E0)); +#24599=VECTOR('',#24598,6.3E-1); +#24600=CARTESIAN_POINT('',(-3.195E1,6.015E0,-1.065E1)); +#24601=LINE('',#24600,#24599); +#24602=DIRECTION('',(1.E0,0.E0,0.E0)); +#24603=VECTOR('',#24602,6.E-1); +#24604=CARTESIAN_POINT('',(-3.255E1,6.015E0,-1.065E1)); +#24605=LINE('',#24604,#24603); +#24606=DIRECTION('',(0.E0,0.E0,1.E0)); +#24607=VECTOR('',#24606,7.E0); +#24608=CARTESIAN_POINT('',(-3.255E1,-2.155E0,-1.765E1)); +#24609=LINE('',#24608,#24607); +#24610=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24611=VECTOR('',#24610,6.3E-1); +#24612=CARTESIAN_POINT('',(-3.255E1,-2.155E0,-1.765E1)); +#24613=LINE('',#24612,#24611); +#24614=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24615=VECTOR('',#24614,6.E-1); +#24616=CARTESIAN_POINT('',(-3.195E1,-2.155E0,-1.765E1)); +#24617=LINE('',#24616,#24615); +#24618=DIRECTION('',(0.E0,0.E0,1.E0)); +#24619=VECTOR('',#24618,7.E0); +#24620=CARTESIAN_POINT('',(-3.195E1,-2.155E0,-1.765E1)); +#24621=LINE('',#24620,#24619); +#24622=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24623=VECTOR('',#24622,8.E-1); +#24624=CARTESIAN_POINT('',(-3.145E1,-2.07E0,-1.765E1)); +#24625=LINE('',#24624,#24623); +#24626=DIRECTION('',(0.E0,1.E0,0.E0)); +#24627=VECTOR('',#24626,8.E-1); +#24628=CARTESIAN_POINT('',(-3.305E1,-2.87E0,-1.765E1)); +#24629=LINE('',#24628,#24627); +#24630=DIRECTION('',(1.E0,0.E0,0.E0)); +#24631=VECTOR('',#24630,1.6E0); +#24632=CARTESIAN_POINT('',(-3.305E1,-2.07E0,-1.765E1)); +#24633=LINE('',#24632,#24631); +#24634=DIRECTION('',(0.E0,0.E0,1.E0)); +#24635=VECTOR('',#24634,7.E0); +#24636=CARTESIAN_POINT('',(-3.255E1,-2.785E0,-1.765E1)); +#24637=LINE('',#24636,#24635); +#24638=DIRECTION('',(1.E0,0.E0,0.E0)); +#24639=VECTOR('',#24638,6.E-1); +#24640=CARTESIAN_POINT('',(-3.255E1,-2.785E0,-1.765E1)); +#24641=LINE('',#24640,#24639); +#24642=DIRECTION('',(0.E0,0.E0,1.E0)); +#24643=VECTOR('',#24642,7.E0); +#24644=CARTESIAN_POINT('',(-3.195E1,-2.785E0,-1.765E1)); +#24645=LINE('',#24644,#24643); +#24646=DIRECTION('',(0.E0,1.E0,0.E0)); +#24647=VECTOR('',#24646,6.3E-1); +#24648=CARTESIAN_POINT('',(-3.195E1,-2.785E0,-1.765E1)); +#24649=LINE('',#24648,#24647); +#24650=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#24651=VECTOR('',#24650,5.196152422707E-1); +#24652=CARTESIAN_POINT('',(-3.145E1,-2.07E0,-1.765E1)); +#24653=LINE('',#24652,#24651); +#24654=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#24655=VECTOR('',#24654,5.196152422707E-1); +#24656=CARTESIAN_POINT('',(-3.335E1,-1.77E0,-1.795E1)); +#24657=LINE('',#24656,#24655); +#24658=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24659=VECTOR('',#24658,2.2E0); +#24660=CARTESIAN_POINT('',(-3.115E1,-1.77E0,-1.795E1)); +#24661=LINE('',#24660,#24659); +#24662=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#24663=VECTOR('',#24662,5.196152422707E-1); +#24664=CARTESIAN_POINT('',(-3.115E1,-3.17E0,-1.795E1)); +#24665=LINE('',#24664,#24663); +#24666=DIRECTION('',(1.E0,0.E0,0.E0)); +#24667=VECTOR('',#24666,2.2E0); +#24668=CARTESIAN_POINT('',(-3.335E1,-3.17E0,-1.795E1)); +#24669=LINE('',#24668,#24667); +#24670=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#24671=VECTOR('',#24670,5.196152422707E-1); +#24672=CARTESIAN_POINT('',(-3.305E1,-2.87E0,-1.765E1)); +#24673=LINE('',#24672,#24671); +#24674=DIRECTION('',(1.E0,0.E0,0.E0)); +#24675=VECTOR('',#24674,1.6E0); +#24676=CARTESIAN_POINT('',(-3.305E1,-2.87E0,-1.765E1)); +#24677=LINE('',#24676,#24675); +#24678=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#24679=VECTOR('',#24678,2.007639675087E0); +#24680=CARTESIAN_POINT('',(-3.335E1,-3.344977327052E0,-1.995E1)); +#24681=LINE('',#24680,#24679); +#24682=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#24683=VECTOR('',#24682,2.007639675087E0); +#24684=CARTESIAN_POINT('',(-3.115E1,-3.17E0,-1.795E1)); +#24685=LINE('',#24684,#24683); +#24686=DIRECTION('',(-4.851452186823E-14,-8.191520442890E-1, +-5.735764363510E-1)); +#24687=VECTOR('',#24686,1.464598038686E-1); +#24688=CARTESIAN_POINT('',(-3.115E1,7.197627763800E0,-1.986599410763E1)); +#24689=LINE('',#24688,#24687); +#24690=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24691=VECTOR('',#24690,1.622632243107E0); +#24692=CARTESIAN_POINT('',(-3.115E1,7.077654916055E0,-1.995E1)); +#24693=LINE('',#24692,#24691); +#24694=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24695=VECTOR('',#24694,1.4E0); +#24696=CARTESIAN_POINT('',(-3.115E1,7.03E0,-1.795E1)); +#24697=LINE('',#24696,#24695); +#24698=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#24699=VECTOR('',#24698,1.923312893854E0); +#24700=CARTESIAN_POINT('',(-3.115E1,7.197627763800E0,-1.986599410763E1)); +#24701=LINE('',#24700,#24699); +#24702=DIRECTION('',(-3.277121097262E-14,-8.191520442890E-1, +-5.735764363510E-1)); +#24703=VECTOR('',#24702,2.168191881447E-1); +#24704=CARTESIAN_POINT('',(-3.115E1,-1.605902971128E0,-1.982563762273E1)); +#24705=LINE('',#24704,#24703); +#24706=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24707=VECTOR('',#24706,1.561466474714E0); +#24708=CARTESIAN_POINT('',(-3.115E1,-1.783510852338E0,-1.995E1)); +#24709=LINE('',#24708,#24707); +#24710=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24711=VECTOR('',#24710,1.4E0); +#24712=CARTESIAN_POINT('',(-3.115E1,-1.77E0,-1.795E1)); +#24713=LINE('',#24712,#24711); +#24714=DIRECTION('',(1.E0,0.E0,0.E0)); +#24715=VECTOR('',#24714,2.2E0); +#24716=CARTESIAN_POINT('',(-3.12E1,9.63E0,-1.816282873211E1)); +#24717=LINE('',#24716,#24715); +#24718=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24719=VECTOR('',#24718,2.2E0); +#24720=CARTESIAN_POINT('',(-2.9E1,9.63E0,-1.795E1)); +#24721=LINE('',#24720,#24719); +#24722=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#24723=VECTOR('',#24722,5.196152422707E-1); +#24724=CARTESIAN_POINT('',(-3.09E1,9.93E0,-1.765E1)); +#24725=LINE('',#24724,#24723); +#24726=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#24727=VECTOR('',#24726,5.196152422707E-1); +#24728=CARTESIAN_POINT('',(-2.9E1,9.63E0,-1.795E1)); +#24729=LINE('',#24728,#24727); +#24730=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#24731=VECTOR('',#24730,1.464598038686E-1); +#24732=CARTESIAN_POINT('',(-2.905E1,7.197627763800E0,-1.986599410763E1)); +#24733=LINE('',#24732,#24731); +#24734=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#24735=VECTOR('',#24734,1.923312893854E0); +#24736=CARTESIAN_POINT('',(-2.905E1,7.197627763800E0,-1.986599410763E1)); +#24737=LINE('',#24736,#24735); +#24738=DIRECTION('',(0.E0,1.E0,0.E0)); +#24739=VECTOR('',#24738,1.4E0); +#24740=CARTESIAN_POINT('',(-2.905E1,5.63E0,-1.795E1)); +#24741=LINE('',#24740,#24739); +#24742=DIRECTION('',(0.E0,1.E0,0.E0)); +#24743=VECTOR('',#24742,1.622632243107E0); +#24744=CARTESIAN_POINT('',(-2.905E1,5.455022672948E0,-1.995E1)); +#24745=LINE('',#24744,#24743); +#24746=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#24747=VECTOR('',#24746,2.168191881447E-1); +#24748=CARTESIAN_POINT('',(-2.905E1,-1.605902971128E0,-1.982563762273E1)); +#24749=LINE('',#24748,#24747); +#24750=DIRECTION('',(0.E0,1.E0,0.E0)); +#24751=VECTOR('',#24750,1.4E0); +#24752=CARTESIAN_POINT('',(-2.905E1,-3.17E0,-1.795E1)); +#24753=LINE('',#24752,#24751); +#24754=DIRECTION('',(0.E0,1.E0,0.E0)); +#24755=VECTOR('',#24754,1.561466474714E0); +#24756=CARTESIAN_POINT('',(-2.905E1,-3.344977327052E0,-1.995E1)); +#24757=LINE('',#24756,#24755); +#24758=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24759=VECTOR('',#24758,8.E-1); +#24760=CARTESIAN_POINT('',(-2.715E1,6.73E0,-1.765E1)); +#24761=LINE('',#24760,#24759); +#24762=DIRECTION('',(0.E0,1.E0,0.E0)); +#24763=VECTOR('',#24762,8.E-1); +#24764=CARTESIAN_POINT('',(-2.875E1,5.93E0,-1.765E1)); +#24765=LINE('',#24764,#24763); +#24766=DIRECTION('',(1.E0,0.E0,0.E0)); +#24767=VECTOR('',#24766,1.6E0); +#24768=CARTESIAN_POINT('',(-2.875E1,6.73E0,-1.765E1)); +#24769=LINE('',#24768,#24767); +#24770=DIRECTION('',(0.E0,0.E0,1.E0)); +#24771=VECTOR('',#24770,7.E0); +#24772=CARTESIAN_POINT('',(-2.825E1,6.645E0,-1.765E1)); +#24773=LINE('',#24772,#24771); +#24774=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24775=VECTOR('',#24774,6.3E-1); +#24776=CARTESIAN_POINT('',(-2.825E1,6.645E0,-1.765E1)); +#24777=LINE('',#24776,#24775); +#24778=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24779=VECTOR('',#24778,6.E-1); +#24780=CARTESIAN_POINT('',(-2.765E1,6.645E0,-1.765E1)); +#24781=LINE('',#24780,#24779); +#24782=DIRECTION('',(0.E0,0.E0,1.E0)); +#24783=VECTOR('',#24782,7.E0); +#24784=CARTESIAN_POINT('',(-2.765E1,6.645E0,-1.765E1)); +#24785=LINE('',#24784,#24783); +#24786=DIRECTION('',(0.E0,0.E0,1.E0)); +#24787=VECTOR('',#24786,7.E0); +#24788=CARTESIAN_POINT('',(-2.765E1,6.015E0,-1.765E1)); +#24789=LINE('',#24788,#24787); +#24790=DIRECTION('',(0.E0,1.E0,0.E0)); +#24791=VECTOR('',#24790,6.3E-1); +#24792=CARTESIAN_POINT('',(-2.765E1,6.015E0,-1.765E1)); +#24793=LINE('',#24792,#24791); +#24794=DIRECTION('',(0.E0,0.E0,1.E0)); +#24795=VECTOR('',#24794,7.E0); +#24796=CARTESIAN_POINT('',(-2.825E1,6.015E0,-1.765E1)); +#24797=LINE('',#24796,#24795); +#24798=DIRECTION('',(1.E0,0.E0,0.E0)); +#24799=VECTOR('',#24798,6.E-1); +#24800=CARTESIAN_POINT('',(-2.825E1,6.015E0,-1.765E1)); +#24801=LINE('',#24800,#24799); +#24802=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24803=VECTOR('',#24802,6.3E-1); +#24804=CARTESIAN_POINT('',(-2.825E1,-2.155E0,-1.065E1)); +#24805=LINE('',#24804,#24803); +#24806=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24807=VECTOR('',#24806,6.E-1); +#24808=CARTESIAN_POINT('',(-2.765E1,-2.155E0,-1.065E1)); +#24809=LINE('',#24808,#24807); +#24810=DIRECTION('',(0.E0,1.E0,0.E0)); +#24811=VECTOR('',#24810,6.3E-1); +#24812=CARTESIAN_POINT('',(-2.765E1,-2.785E0,-1.065E1)); +#24813=LINE('',#24812,#24811); +#24814=DIRECTION('',(1.E0,0.E0,0.E0)); +#24815=VECTOR('',#24814,6.E-1); +#24816=CARTESIAN_POINT('',(-2.825E1,-2.785E0,-1.065E1)); +#24817=LINE('',#24816,#24815); +#24818=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24819=VECTOR('',#24818,6.3E-1); +#24820=CARTESIAN_POINT('',(-2.825E1,6.645E0,-1.065E1)); +#24821=LINE('',#24820,#24819); +#24822=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24823=VECTOR('',#24822,6.E-1); +#24824=CARTESIAN_POINT('',(-2.765E1,6.645E0,-1.065E1)); +#24825=LINE('',#24824,#24823); +#24826=DIRECTION('',(0.E0,1.E0,0.E0)); +#24827=VECTOR('',#24826,6.3E-1); +#24828=CARTESIAN_POINT('',(-2.765E1,6.015E0,-1.065E1)); +#24829=LINE('',#24828,#24827); +#24830=DIRECTION('',(1.E0,0.E0,0.E0)); +#24831=VECTOR('',#24830,6.E-1); +#24832=CARTESIAN_POINT('',(-2.825E1,6.015E0,-1.065E1)); +#24833=LINE('',#24832,#24831); +#24834=DIRECTION('',(0.E0,0.E0,1.E0)); +#24835=VECTOR('',#24834,7.E0); +#24836=CARTESIAN_POINT('',(-2.825E1,-2.155E0,-1.765E1)); +#24837=LINE('',#24836,#24835); +#24838=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24839=VECTOR('',#24838,6.3E-1); +#24840=CARTESIAN_POINT('',(-2.825E1,-2.155E0,-1.765E1)); +#24841=LINE('',#24840,#24839); +#24842=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24843=VECTOR('',#24842,6.E-1); +#24844=CARTESIAN_POINT('',(-2.765E1,-2.155E0,-1.765E1)); +#24845=LINE('',#24844,#24843); +#24846=DIRECTION('',(0.E0,0.E0,1.E0)); +#24847=VECTOR('',#24846,7.E0); +#24848=CARTESIAN_POINT('',(-2.765E1,-2.155E0,-1.765E1)); +#24849=LINE('',#24848,#24847); +#24850=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24851=VECTOR('',#24850,8.E-1); +#24852=CARTESIAN_POINT('',(-2.715E1,-2.07E0,-1.765E1)); +#24853=LINE('',#24852,#24851); +#24854=DIRECTION('',(0.E0,1.E0,0.E0)); +#24855=VECTOR('',#24854,8.E-1); +#24856=CARTESIAN_POINT('',(-2.875E1,-2.87E0,-1.765E1)); +#24857=LINE('',#24856,#24855); +#24858=DIRECTION('',(1.E0,0.E0,0.E0)); +#24859=VECTOR('',#24858,1.6E0); +#24860=CARTESIAN_POINT('',(-2.875E1,-2.07E0,-1.765E1)); +#24861=LINE('',#24860,#24859); +#24862=DIRECTION('',(0.E0,0.E0,1.E0)); +#24863=VECTOR('',#24862,7.E0); +#24864=CARTESIAN_POINT('',(-2.825E1,-2.785E0,-1.765E1)); +#24865=LINE('',#24864,#24863); +#24866=DIRECTION('',(1.E0,0.E0,0.E0)); +#24867=VECTOR('',#24866,6.E-1); +#24868=CARTESIAN_POINT('',(-2.825E1,-2.785E0,-1.765E1)); +#24869=LINE('',#24868,#24867); +#24870=DIRECTION('',(0.E0,0.E0,1.E0)); +#24871=VECTOR('',#24870,7.E0); +#24872=CARTESIAN_POINT('',(-2.765E1,-2.785E0,-1.765E1)); +#24873=LINE('',#24872,#24871); +#24874=DIRECTION('',(0.E0,1.E0,0.E0)); +#24875=VECTOR('',#24874,6.3E-1); +#24876=CARTESIAN_POINT('',(-2.765E1,-2.785E0,-1.765E1)); +#24877=LINE('',#24876,#24875); +#24878=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#24879=VECTOR('',#24878,5.196152422707E-1); +#24880=CARTESIAN_POINT('',(-2.715E1,-2.07E0,-1.765E1)); +#24881=LINE('',#24880,#24879); +#24882=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#24883=VECTOR('',#24882,5.196152422707E-1); +#24884=CARTESIAN_POINT('',(-2.905E1,-1.77E0,-1.795E1)); +#24885=LINE('',#24884,#24883); +#24886=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24887=VECTOR('',#24886,2.2E0); +#24888=CARTESIAN_POINT('',(-2.685E1,-1.77E0,-1.795E1)); +#24889=LINE('',#24888,#24887); +#24890=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#24891=VECTOR('',#24890,5.196152422707E-1); +#24892=CARTESIAN_POINT('',(-2.685E1,-3.17E0,-1.795E1)); +#24893=LINE('',#24892,#24891); +#24894=DIRECTION('',(1.E0,0.E0,0.E0)); +#24895=VECTOR('',#24894,2.2E0); +#24896=CARTESIAN_POINT('',(-2.905E1,-3.17E0,-1.795E1)); +#24897=LINE('',#24896,#24895); +#24898=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#24899=VECTOR('',#24898,5.196152422707E-1); +#24900=CARTESIAN_POINT('',(-2.875E1,-2.87E0,-1.765E1)); +#24901=LINE('',#24900,#24899); +#24902=DIRECTION('',(1.E0,0.E0,0.E0)); +#24903=VECTOR('',#24902,1.6E0); +#24904=CARTESIAN_POINT('',(-2.875E1,-2.87E0,-1.765E1)); +#24905=LINE('',#24904,#24903); +#24906=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#24907=VECTOR('',#24906,2.007639675087E0); +#24908=CARTESIAN_POINT('',(-2.905E1,-3.344977327052E0,-1.995E1)); +#24909=LINE('',#24908,#24907); +#24910=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#24911=VECTOR('',#24910,2.007639675087E0); +#24912=CARTESIAN_POINT('',(-2.685E1,-3.17E0,-1.795E1)); +#24913=LINE('',#24912,#24911); +#24914=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#24915=VECTOR('',#24914,1.464598038686E-1); +#24916=CARTESIAN_POINT('',(-2.685E1,7.197627763800E0,-1.986599410763E1)); +#24917=LINE('',#24916,#24915); +#24918=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24919=VECTOR('',#24918,1.622632243107E0); +#24920=CARTESIAN_POINT('',(-2.685E1,7.077654916055E0,-1.995E1)); +#24921=LINE('',#24920,#24919); +#24922=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24923=VECTOR('',#24922,1.4E0); +#24924=CARTESIAN_POINT('',(-2.685E1,7.03E0,-1.795E1)); +#24925=LINE('',#24924,#24923); +#24926=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#24927=VECTOR('',#24926,1.923312893854E0); +#24928=CARTESIAN_POINT('',(-2.685E1,7.197627763800E0,-1.986599410763E1)); +#24929=LINE('',#24928,#24927); +#24930=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#24931=VECTOR('',#24930,2.168191881447E-1); +#24932=CARTESIAN_POINT('',(-2.685E1,-1.605902971128E0,-1.982563762273E1)); +#24933=LINE('',#24932,#24931); +#24934=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24935=VECTOR('',#24934,1.561466474714E0); +#24936=CARTESIAN_POINT('',(-2.685E1,-1.783510852338E0,-1.995E1)); +#24937=LINE('',#24936,#24935); +#24938=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24939=VECTOR('',#24938,1.4E0); +#24940=CARTESIAN_POINT('',(-2.685E1,-1.77E0,-1.795E1)); +#24941=LINE('',#24940,#24939); +#24942=DIRECTION('',(1.E0,0.E0,0.E0)); +#24943=VECTOR('',#24942,2.2E0); +#24944=CARTESIAN_POINT('',(-2.69E1,9.63E0,-1.816282873211E1)); +#24945=LINE('',#24944,#24943); +#24946=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24947=VECTOR('',#24946,2.2E0); +#24948=CARTESIAN_POINT('',(-2.47E1,9.63E0,-1.795E1)); +#24949=LINE('',#24948,#24947); +#24950=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#24951=VECTOR('',#24950,5.196152422707E-1); +#24952=CARTESIAN_POINT('',(-2.66E1,9.93E0,-1.765E1)); +#24953=LINE('',#24952,#24951); +#24954=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#24955=VECTOR('',#24954,5.196152422707E-1); +#24956=CARTESIAN_POINT('',(-2.47E1,9.63E0,-1.795E1)); +#24957=LINE('',#24956,#24955); +#24958=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#24959=VECTOR('',#24958,1.464598038686E-1); +#24960=CARTESIAN_POINT('',(-2.475E1,7.197627763800E0,-1.986599410763E1)); +#24961=LINE('',#24960,#24959); +#24962=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#24963=VECTOR('',#24962,1.923312893854E0); +#24964=CARTESIAN_POINT('',(-2.475E1,7.197627763800E0,-1.986599410763E1)); +#24965=LINE('',#24964,#24963); +#24966=DIRECTION('',(0.E0,1.E0,0.E0)); +#24967=VECTOR('',#24966,1.4E0); +#24968=CARTESIAN_POINT('',(-2.475E1,5.63E0,-1.795E1)); +#24969=LINE('',#24968,#24967); +#24970=DIRECTION('',(0.E0,1.E0,0.E0)); +#24971=VECTOR('',#24970,1.622632243107E0); +#24972=CARTESIAN_POINT('',(-2.475E1,5.455022672948E0,-1.995E1)); +#24973=LINE('',#24972,#24971); +#24974=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#24975=VECTOR('',#24974,2.168191881447E-1); +#24976=CARTESIAN_POINT('',(-2.475E1,-1.605902971128E0,-1.982563762273E1)); +#24977=LINE('',#24976,#24975); +#24978=DIRECTION('',(0.E0,1.E0,0.E0)); +#24979=VECTOR('',#24978,1.4E0); +#24980=CARTESIAN_POINT('',(-2.475E1,-3.17E0,-1.795E1)); +#24981=LINE('',#24980,#24979); +#24982=DIRECTION('',(0.E0,1.E0,0.E0)); +#24983=VECTOR('',#24982,1.561466474714E0); +#24984=CARTESIAN_POINT('',(-2.475E1,-3.344977327052E0,-1.995E1)); +#24985=LINE('',#24984,#24983); +#24986=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24987=VECTOR('',#24986,8.E-1); +#24988=CARTESIAN_POINT('',(-2.285E1,6.73E0,-1.765E1)); +#24989=LINE('',#24988,#24987); +#24990=DIRECTION('',(0.E0,1.E0,0.E0)); +#24991=VECTOR('',#24990,8.E-1); +#24992=CARTESIAN_POINT('',(-2.445E1,5.93E0,-1.765E1)); +#24993=LINE('',#24992,#24991); +#24994=DIRECTION('',(1.E0,0.E0,0.E0)); +#24995=VECTOR('',#24994,1.6E0); +#24996=CARTESIAN_POINT('',(-2.445E1,6.73E0,-1.765E1)); +#24997=LINE('',#24996,#24995); +#24998=DIRECTION('',(0.E0,0.E0,1.E0)); +#24999=VECTOR('',#24998,7.E0); +#25000=CARTESIAN_POINT('',(-2.395E1,6.645E0,-1.765E1)); +#25001=LINE('',#25000,#24999); +#25002=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25003=VECTOR('',#25002,6.3E-1); +#25004=CARTESIAN_POINT('',(-2.395E1,6.645E0,-1.765E1)); +#25005=LINE('',#25004,#25003); +#25006=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25007=VECTOR('',#25006,6.E-1); +#25008=CARTESIAN_POINT('',(-2.335E1,6.645E0,-1.765E1)); +#25009=LINE('',#25008,#25007); +#25010=DIRECTION('',(0.E0,0.E0,1.E0)); +#25011=VECTOR('',#25010,7.E0); +#25012=CARTESIAN_POINT('',(-2.335E1,6.645E0,-1.765E1)); +#25013=LINE('',#25012,#25011); +#25014=DIRECTION('',(0.E0,0.E0,1.E0)); +#25015=VECTOR('',#25014,7.E0); +#25016=CARTESIAN_POINT('',(-2.335E1,6.015E0,-1.765E1)); +#25017=LINE('',#25016,#25015); +#25018=DIRECTION('',(0.E0,1.E0,0.E0)); +#25019=VECTOR('',#25018,6.3E-1); +#25020=CARTESIAN_POINT('',(-2.335E1,6.015E0,-1.765E1)); +#25021=LINE('',#25020,#25019); +#25022=DIRECTION('',(0.E0,0.E0,1.E0)); +#25023=VECTOR('',#25022,7.E0); +#25024=CARTESIAN_POINT('',(-2.395E1,6.015E0,-1.765E1)); +#25025=LINE('',#25024,#25023); +#25026=DIRECTION('',(1.E0,0.E0,0.E0)); +#25027=VECTOR('',#25026,6.E-1); +#25028=CARTESIAN_POINT('',(-2.395E1,6.015E0,-1.765E1)); +#25029=LINE('',#25028,#25027); +#25030=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25031=VECTOR('',#25030,6.3E-1); +#25032=CARTESIAN_POINT('',(-2.395E1,-2.155E0,-1.065E1)); +#25033=LINE('',#25032,#25031); +#25034=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25035=VECTOR('',#25034,6.E-1); +#25036=CARTESIAN_POINT('',(-2.335E1,-2.155E0,-1.065E1)); +#25037=LINE('',#25036,#25035); +#25038=DIRECTION('',(0.E0,1.E0,0.E0)); +#25039=VECTOR('',#25038,6.3E-1); +#25040=CARTESIAN_POINT('',(-2.335E1,-2.785E0,-1.065E1)); +#25041=LINE('',#25040,#25039); +#25042=DIRECTION('',(1.E0,0.E0,0.E0)); +#25043=VECTOR('',#25042,6.E-1); +#25044=CARTESIAN_POINT('',(-2.395E1,-2.785E0,-1.065E1)); +#25045=LINE('',#25044,#25043); +#25046=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25047=VECTOR('',#25046,6.3E-1); +#25048=CARTESIAN_POINT('',(-2.395E1,6.645E0,-1.065E1)); +#25049=LINE('',#25048,#25047); +#25050=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25051=VECTOR('',#25050,6.E-1); +#25052=CARTESIAN_POINT('',(-2.335E1,6.645E0,-1.065E1)); +#25053=LINE('',#25052,#25051); +#25054=DIRECTION('',(0.E0,1.E0,0.E0)); +#25055=VECTOR('',#25054,6.3E-1); +#25056=CARTESIAN_POINT('',(-2.335E1,6.015E0,-1.065E1)); +#25057=LINE('',#25056,#25055); +#25058=DIRECTION('',(1.E0,0.E0,0.E0)); +#25059=VECTOR('',#25058,6.E-1); +#25060=CARTESIAN_POINT('',(-2.395E1,6.015E0,-1.065E1)); +#25061=LINE('',#25060,#25059); +#25062=DIRECTION('',(0.E0,0.E0,1.E0)); +#25063=VECTOR('',#25062,7.E0); +#25064=CARTESIAN_POINT('',(-2.395E1,-2.155E0,-1.765E1)); +#25065=LINE('',#25064,#25063); +#25066=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25067=VECTOR('',#25066,6.3E-1); +#25068=CARTESIAN_POINT('',(-2.395E1,-2.155E0,-1.765E1)); +#25069=LINE('',#25068,#25067); +#25070=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25071=VECTOR('',#25070,6.E-1); +#25072=CARTESIAN_POINT('',(-2.335E1,-2.155E0,-1.765E1)); +#25073=LINE('',#25072,#25071); +#25074=DIRECTION('',(0.E0,0.E0,1.E0)); +#25075=VECTOR('',#25074,7.E0); +#25076=CARTESIAN_POINT('',(-2.335E1,-2.155E0,-1.765E1)); +#25077=LINE('',#25076,#25075); +#25078=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25079=VECTOR('',#25078,8.E-1); +#25080=CARTESIAN_POINT('',(-2.285E1,-2.07E0,-1.765E1)); +#25081=LINE('',#25080,#25079); +#25082=DIRECTION('',(0.E0,1.E0,0.E0)); +#25083=VECTOR('',#25082,8.E-1); +#25084=CARTESIAN_POINT('',(-2.445E1,-2.87E0,-1.765E1)); +#25085=LINE('',#25084,#25083); +#25086=DIRECTION('',(1.E0,0.E0,0.E0)); +#25087=VECTOR('',#25086,1.6E0); +#25088=CARTESIAN_POINT('',(-2.445E1,-2.07E0,-1.765E1)); +#25089=LINE('',#25088,#25087); +#25090=DIRECTION('',(0.E0,0.E0,1.E0)); +#25091=VECTOR('',#25090,7.E0); +#25092=CARTESIAN_POINT('',(-2.395E1,-2.785E0,-1.765E1)); +#25093=LINE('',#25092,#25091); +#25094=DIRECTION('',(1.E0,0.E0,0.E0)); +#25095=VECTOR('',#25094,6.E-1); +#25096=CARTESIAN_POINT('',(-2.395E1,-2.785E0,-1.765E1)); +#25097=LINE('',#25096,#25095); +#25098=DIRECTION('',(0.E0,0.E0,1.E0)); +#25099=VECTOR('',#25098,7.E0); +#25100=CARTESIAN_POINT('',(-2.335E1,-2.785E0,-1.765E1)); +#25101=LINE('',#25100,#25099); +#25102=DIRECTION('',(0.E0,1.E0,0.E0)); +#25103=VECTOR('',#25102,6.3E-1); +#25104=CARTESIAN_POINT('',(-2.335E1,-2.785E0,-1.765E1)); +#25105=LINE('',#25104,#25103); +#25106=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#25107=VECTOR('',#25106,5.196152422707E-1); +#25108=CARTESIAN_POINT('',(-2.285E1,-2.07E0,-1.765E1)); +#25109=LINE('',#25108,#25107); +#25110=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#25111=VECTOR('',#25110,5.196152422707E-1); +#25112=CARTESIAN_POINT('',(-2.475E1,-1.77E0,-1.795E1)); +#25113=LINE('',#25112,#25111); +#25114=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25115=VECTOR('',#25114,2.2E0); +#25116=CARTESIAN_POINT('',(-2.255E1,-1.77E0,-1.795E1)); +#25117=LINE('',#25116,#25115); +#25118=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#25119=VECTOR('',#25118,5.196152422707E-1); +#25120=CARTESIAN_POINT('',(-2.255E1,-3.17E0,-1.795E1)); +#25121=LINE('',#25120,#25119); +#25122=DIRECTION('',(1.E0,0.E0,0.E0)); +#25123=VECTOR('',#25122,2.2E0); +#25124=CARTESIAN_POINT('',(-2.475E1,-3.17E0,-1.795E1)); +#25125=LINE('',#25124,#25123); +#25126=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#25127=VECTOR('',#25126,5.196152422707E-1); +#25128=CARTESIAN_POINT('',(-2.445E1,-2.87E0,-1.765E1)); +#25129=LINE('',#25128,#25127); +#25130=DIRECTION('',(1.E0,0.E0,0.E0)); +#25131=VECTOR('',#25130,1.6E0); +#25132=CARTESIAN_POINT('',(-2.445E1,-2.87E0,-1.765E1)); +#25133=LINE('',#25132,#25131); +#25134=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#25135=VECTOR('',#25134,2.007639675087E0); +#25136=CARTESIAN_POINT('',(-2.475E1,-3.344977327052E0,-1.995E1)); +#25137=LINE('',#25136,#25135); +#25138=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#25139=VECTOR('',#25138,2.007639675087E0); +#25140=CARTESIAN_POINT('',(-2.255E1,-3.17E0,-1.795E1)); +#25141=LINE('',#25140,#25139); +#25142=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#25143=VECTOR('',#25142,1.464598038686E-1); +#25144=CARTESIAN_POINT('',(-2.255E1,7.197627763800E0,-1.986599410763E1)); +#25145=LINE('',#25144,#25143); +#25146=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25147=VECTOR('',#25146,1.622632243107E0); +#25148=CARTESIAN_POINT('',(-2.255E1,7.077654916055E0,-1.995E1)); +#25149=LINE('',#25148,#25147); +#25150=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25151=VECTOR('',#25150,1.4E0); +#25152=CARTESIAN_POINT('',(-2.255E1,7.03E0,-1.795E1)); +#25153=LINE('',#25152,#25151); +#25154=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#25155=VECTOR('',#25154,1.923312893854E0); +#25156=CARTESIAN_POINT('',(-2.255E1,7.197627763800E0,-1.986599410763E1)); +#25157=LINE('',#25156,#25155); +#25158=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#25159=VECTOR('',#25158,2.168191881447E-1); +#25160=CARTESIAN_POINT('',(-2.255E1,-1.605902971128E0,-1.982563762273E1)); +#25161=LINE('',#25160,#25159); +#25162=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25163=VECTOR('',#25162,1.561466474714E0); +#25164=CARTESIAN_POINT('',(-2.255E1,-1.783510852338E0,-1.995E1)); +#25165=LINE('',#25164,#25163); +#25166=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25167=VECTOR('',#25166,1.4E0); +#25168=CARTESIAN_POINT('',(-2.255E1,-1.77E0,-1.795E1)); +#25169=LINE('',#25168,#25167); +#25170=DIRECTION('',(1.E0,0.E0,0.E0)); +#25171=VECTOR('',#25170,2.2E0); +#25172=CARTESIAN_POINT('',(-2.26E1,9.63E0,-1.816282873211E1)); +#25173=LINE('',#25172,#25171); +#25174=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25175=VECTOR('',#25174,2.2E0); +#25176=CARTESIAN_POINT('',(-2.04E1,9.63E0,-1.795E1)); +#25177=LINE('',#25176,#25175); +#25178=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#25179=VECTOR('',#25178,5.196152422707E-1); +#25180=CARTESIAN_POINT('',(-2.23E1,9.93E0,-1.765E1)); +#25181=LINE('',#25180,#25179); +#25182=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#25183=VECTOR('',#25182,5.196152422707E-1); +#25184=CARTESIAN_POINT('',(-2.04E1,9.63E0,-1.795E1)); +#25185=LINE('',#25184,#25183); +#25186=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#25187=VECTOR('',#25186,1.464598038686E-1); +#25188=CARTESIAN_POINT('',(-2.045E1,7.197627763800E0,-1.986599410763E1)); +#25189=LINE('',#25188,#25187); +#25190=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#25191=VECTOR('',#25190,1.923312893854E0); +#25192=CARTESIAN_POINT('',(-2.045E1,7.197627763800E0,-1.986599410763E1)); +#25193=LINE('',#25192,#25191); +#25194=DIRECTION('',(0.E0,1.E0,0.E0)); +#25195=VECTOR('',#25194,1.4E0); +#25196=CARTESIAN_POINT('',(-2.045E1,5.63E0,-1.795E1)); +#25197=LINE('',#25196,#25195); +#25198=DIRECTION('',(0.E0,1.E0,0.E0)); +#25199=VECTOR('',#25198,1.622632243107E0); +#25200=CARTESIAN_POINT('',(-2.045E1,5.455022672948E0,-1.995E1)); +#25201=LINE('',#25200,#25199); +#25202=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#25203=VECTOR('',#25202,2.168191881447E-1); +#25204=CARTESIAN_POINT('',(-2.045E1,-1.605902971128E0,-1.982563762273E1)); +#25205=LINE('',#25204,#25203); +#25206=DIRECTION('',(0.E0,1.E0,0.E0)); +#25207=VECTOR('',#25206,1.4E0); +#25208=CARTESIAN_POINT('',(-2.045E1,-3.17E0,-1.795E1)); +#25209=LINE('',#25208,#25207); +#25210=DIRECTION('',(0.E0,1.E0,0.E0)); +#25211=VECTOR('',#25210,1.561466474714E0); +#25212=CARTESIAN_POINT('',(-2.045E1,-3.344977327052E0,-1.995E1)); +#25213=LINE('',#25212,#25211); +#25214=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25215=VECTOR('',#25214,8.E-1); +#25216=CARTESIAN_POINT('',(-1.855E1,6.73E0,-1.765E1)); +#25217=LINE('',#25216,#25215); +#25218=DIRECTION('',(0.E0,1.E0,0.E0)); +#25219=VECTOR('',#25218,8.E-1); +#25220=CARTESIAN_POINT('',(-2.015E1,5.93E0,-1.765E1)); +#25221=LINE('',#25220,#25219); +#25222=DIRECTION('',(1.E0,0.E0,0.E0)); +#25223=VECTOR('',#25222,1.6E0); +#25224=CARTESIAN_POINT('',(-2.015E1,6.73E0,-1.765E1)); +#25225=LINE('',#25224,#25223); +#25226=DIRECTION('',(0.E0,0.E0,1.E0)); +#25227=VECTOR('',#25226,7.E0); +#25228=CARTESIAN_POINT('',(-1.965E1,6.645E0,-1.765E1)); +#25229=LINE('',#25228,#25227); +#25230=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25231=VECTOR('',#25230,6.3E-1); +#25232=CARTESIAN_POINT('',(-1.965E1,6.645E0,-1.765E1)); +#25233=LINE('',#25232,#25231); +#25234=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25235=VECTOR('',#25234,6.E-1); +#25236=CARTESIAN_POINT('',(-1.905E1,6.645E0,-1.765E1)); +#25237=LINE('',#25236,#25235); +#25238=DIRECTION('',(0.E0,0.E0,1.E0)); +#25239=VECTOR('',#25238,7.E0); +#25240=CARTESIAN_POINT('',(-1.905E1,6.645E0,-1.765E1)); +#25241=LINE('',#25240,#25239); +#25242=DIRECTION('',(0.E0,0.E0,1.E0)); +#25243=VECTOR('',#25242,7.E0); +#25244=CARTESIAN_POINT('',(-1.905E1,6.015E0,-1.765E1)); +#25245=LINE('',#25244,#25243); +#25246=DIRECTION('',(0.E0,1.E0,0.E0)); +#25247=VECTOR('',#25246,6.3E-1); +#25248=CARTESIAN_POINT('',(-1.905E1,6.015E0,-1.765E1)); +#25249=LINE('',#25248,#25247); +#25250=DIRECTION('',(0.E0,0.E0,1.E0)); +#25251=VECTOR('',#25250,7.E0); +#25252=CARTESIAN_POINT('',(-1.965E1,6.015E0,-1.765E1)); +#25253=LINE('',#25252,#25251); +#25254=DIRECTION('',(1.E0,0.E0,0.E0)); +#25255=VECTOR('',#25254,6.E-1); +#25256=CARTESIAN_POINT('',(-1.965E1,6.015E0,-1.765E1)); +#25257=LINE('',#25256,#25255); +#25258=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25259=VECTOR('',#25258,6.3E-1); +#25260=CARTESIAN_POINT('',(-1.965E1,-2.155E0,-1.065E1)); +#25261=LINE('',#25260,#25259); +#25262=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25263=VECTOR('',#25262,6.E-1); +#25264=CARTESIAN_POINT('',(-1.905E1,-2.155E0,-1.065E1)); +#25265=LINE('',#25264,#25263); +#25266=DIRECTION('',(0.E0,1.E0,0.E0)); +#25267=VECTOR('',#25266,6.3E-1); +#25268=CARTESIAN_POINT('',(-1.905E1,-2.785E0,-1.065E1)); +#25269=LINE('',#25268,#25267); +#25270=DIRECTION('',(1.E0,0.E0,0.E0)); +#25271=VECTOR('',#25270,6.E-1); +#25272=CARTESIAN_POINT('',(-1.965E1,-2.785E0,-1.065E1)); +#25273=LINE('',#25272,#25271); +#25274=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25275=VECTOR('',#25274,6.3E-1); +#25276=CARTESIAN_POINT('',(-1.965E1,6.645E0,-1.065E1)); +#25277=LINE('',#25276,#25275); +#25278=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25279=VECTOR('',#25278,6.E-1); +#25280=CARTESIAN_POINT('',(-1.905E1,6.645E0,-1.065E1)); +#25281=LINE('',#25280,#25279); +#25282=DIRECTION('',(0.E0,1.E0,0.E0)); +#25283=VECTOR('',#25282,6.3E-1); +#25284=CARTESIAN_POINT('',(-1.905E1,6.015E0,-1.065E1)); +#25285=LINE('',#25284,#25283); +#25286=DIRECTION('',(1.E0,0.E0,0.E0)); +#25287=VECTOR('',#25286,6.E-1); +#25288=CARTESIAN_POINT('',(-1.965E1,6.015E0,-1.065E1)); +#25289=LINE('',#25288,#25287); +#25290=DIRECTION('',(0.E0,0.E0,1.E0)); +#25291=VECTOR('',#25290,7.E0); +#25292=CARTESIAN_POINT('',(-1.965E1,-2.155E0,-1.765E1)); +#25293=LINE('',#25292,#25291); +#25294=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25295=VECTOR('',#25294,6.3E-1); +#25296=CARTESIAN_POINT('',(-1.965E1,-2.155E0,-1.765E1)); +#25297=LINE('',#25296,#25295); +#25298=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25299=VECTOR('',#25298,6.E-1); +#25300=CARTESIAN_POINT('',(-1.905E1,-2.155E0,-1.765E1)); +#25301=LINE('',#25300,#25299); +#25302=DIRECTION('',(0.E0,0.E0,1.E0)); +#25303=VECTOR('',#25302,7.E0); +#25304=CARTESIAN_POINT('',(-1.905E1,-2.155E0,-1.765E1)); +#25305=LINE('',#25304,#25303); +#25306=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25307=VECTOR('',#25306,8.E-1); +#25308=CARTESIAN_POINT('',(-1.855E1,-2.07E0,-1.765E1)); +#25309=LINE('',#25308,#25307); +#25310=DIRECTION('',(0.E0,1.E0,0.E0)); +#25311=VECTOR('',#25310,8.E-1); +#25312=CARTESIAN_POINT('',(-2.015E1,-2.87E0,-1.765E1)); +#25313=LINE('',#25312,#25311); +#25314=DIRECTION('',(1.E0,0.E0,0.E0)); +#25315=VECTOR('',#25314,1.6E0); +#25316=CARTESIAN_POINT('',(-2.015E1,-2.07E0,-1.765E1)); +#25317=LINE('',#25316,#25315); +#25318=DIRECTION('',(0.E0,0.E0,1.E0)); +#25319=VECTOR('',#25318,7.E0); +#25320=CARTESIAN_POINT('',(-1.965E1,-2.785E0,-1.765E1)); +#25321=LINE('',#25320,#25319); +#25322=DIRECTION('',(1.E0,0.E0,0.E0)); +#25323=VECTOR('',#25322,6.E-1); +#25324=CARTESIAN_POINT('',(-1.965E1,-2.785E0,-1.765E1)); +#25325=LINE('',#25324,#25323); +#25326=DIRECTION('',(0.E0,0.E0,1.E0)); +#25327=VECTOR('',#25326,7.E0); +#25328=CARTESIAN_POINT('',(-1.905E1,-2.785E0,-1.765E1)); +#25329=LINE('',#25328,#25327); +#25330=DIRECTION('',(0.E0,1.E0,0.E0)); +#25331=VECTOR('',#25330,6.3E-1); +#25332=CARTESIAN_POINT('',(-1.905E1,-2.785E0,-1.765E1)); +#25333=LINE('',#25332,#25331); +#25334=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#25335=VECTOR('',#25334,5.196152422707E-1); +#25336=CARTESIAN_POINT('',(-1.855E1,-2.07E0,-1.765E1)); +#25337=LINE('',#25336,#25335); +#25338=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#25339=VECTOR('',#25338,5.196152422707E-1); +#25340=CARTESIAN_POINT('',(-2.045E1,-1.77E0,-1.795E1)); +#25341=LINE('',#25340,#25339); +#25342=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25343=VECTOR('',#25342,2.2E0); +#25344=CARTESIAN_POINT('',(-1.825E1,-1.77E0,-1.795E1)); +#25345=LINE('',#25344,#25343); +#25346=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#25347=VECTOR('',#25346,5.196152422707E-1); +#25348=CARTESIAN_POINT('',(-1.825E1,-3.17E0,-1.795E1)); +#25349=LINE('',#25348,#25347); +#25350=DIRECTION('',(1.E0,0.E0,0.E0)); +#25351=VECTOR('',#25350,2.2E0); +#25352=CARTESIAN_POINT('',(-2.045E1,-3.17E0,-1.795E1)); +#25353=LINE('',#25352,#25351); +#25354=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#25355=VECTOR('',#25354,5.196152422707E-1); +#25356=CARTESIAN_POINT('',(-2.015E1,-2.87E0,-1.765E1)); +#25357=LINE('',#25356,#25355); +#25358=DIRECTION('',(1.E0,0.E0,0.E0)); +#25359=VECTOR('',#25358,1.6E0); +#25360=CARTESIAN_POINT('',(-2.015E1,-2.87E0,-1.765E1)); +#25361=LINE('',#25360,#25359); +#25362=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#25363=VECTOR('',#25362,2.007639675087E0); +#25364=CARTESIAN_POINT('',(-2.045E1,-3.344977327052E0,-1.995E1)); +#25365=LINE('',#25364,#25363); +#25366=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#25367=VECTOR('',#25366,2.007639675087E0); +#25368=CARTESIAN_POINT('',(-1.825E1,-3.17E0,-1.795E1)); +#25369=LINE('',#25368,#25367); +#25370=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#25371=VECTOR('',#25370,1.464598038686E-1); +#25372=CARTESIAN_POINT('',(-1.825E1,7.197627763800E0,-1.986599410763E1)); +#25373=LINE('',#25372,#25371); +#25374=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25375=VECTOR('',#25374,1.622632243107E0); +#25376=CARTESIAN_POINT('',(-1.825E1,7.077654916055E0,-1.995E1)); +#25377=LINE('',#25376,#25375); +#25378=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25379=VECTOR('',#25378,1.4E0); +#25380=CARTESIAN_POINT('',(-1.825E1,7.03E0,-1.795E1)); +#25381=LINE('',#25380,#25379); +#25382=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#25383=VECTOR('',#25382,1.923312893854E0); +#25384=CARTESIAN_POINT('',(-1.825E1,7.197627763800E0,-1.986599410763E1)); +#25385=LINE('',#25384,#25383); +#25386=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#25387=VECTOR('',#25386,2.168191881447E-1); +#25388=CARTESIAN_POINT('',(-1.825E1,-1.605902971128E0,-1.982563762273E1)); +#25389=LINE('',#25388,#25387); +#25390=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25391=VECTOR('',#25390,1.561466474714E0); +#25392=CARTESIAN_POINT('',(-1.825E1,-1.783510852338E0,-1.995E1)); +#25393=LINE('',#25392,#25391); +#25394=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25395=VECTOR('',#25394,1.4E0); +#25396=CARTESIAN_POINT('',(-1.825E1,-1.77E0,-1.795E1)); +#25397=LINE('',#25396,#25395); +#25398=DIRECTION('',(1.E0,0.E0,0.E0)); +#25399=VECTOR('',#25398,2.2E0); +#25400=CARTESIAN_POINT('',(-1.83E1,9.63E0,-1.816282873211E1)); +#25401=LINE('',#25400,#25399); +#25402=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25403=VECTOR('',#25402,2.2E0); +#25404=CARTESIAN_POINT('',(-1.61E1,9.63E0,-1.795E1)); +#25405=LINE('',#25404,#25403); +#25406=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#25407=VECTOR('',#25406,5.196152422707E-1); +#25408=CARTESIAN_POINT('',(-1.8E1,9.93E0,-1.765E1)); +#25409=LINE('',#25408,#25407); +#25410=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#25411=VECTOR('',#25410,5.196152422707E-1); +#25412=CARTESIAN_POINT('',(-1.61E1,9.63E0,-1.795E1)); +#25413=LINE('',#25412,#25411); +#25414=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#25415=VECTOR('',#25414,1.464598038686E-1); +#25416=CARTESIAN_POINT('',(-1.615E1,7.197627763800E0,-1.986599410763E1)); +#25417=LINE('',#25416,#25415); +#25418=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#25419=VECTOR('',#25418,1.923312893854E0); +#25420=CARTESIAN_POINT('',(-1.615E1,7.197627763800E0,-1.986599410763E1)); +#25421=LINE('',#25420,#25419); +#25422=DIRECTION('',(0.E0,1.E0,0.E0)); +#25423=VECTOR('',#25422,1.4E0); +#25424=CARTESIAN_POINT('',(-1.615E1,5.63E0,-1.795E1)); +#25425=LINE('',#25424,#25423); +#25426=DIRECTION('',(0.E0,1.E0,0.E0)); +#25427=VECTOR('',#25426,1.622632243107E0); +#25428=CARTESIAN_POINT('',(-1.615E1,5.455022672948E0,-1.995E1)); +#25429=LINE('',#25428,#25427); +#25430=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#25431=VECTOR('',#25430,2.168191881447E-1); +#25432=CARTESIAN_POINT('',(-1.615E1,-1.605902971128E0,-1.982563762273E1)); +#25433=LINE('',#25432,#25431); +#25434=DIRECTION('',(0.E0,1.E0,0.E0)); +#25435=VECTOR('',#25434,1.4E0); +#25436=CARTESIAN_POINT('',(-1.615E1,-3.17E0,-1.795E1)); +#25437=LINE('',#25436,#25435); +#25438=DIRECTION('',(0.E0,1.E0,0.E0)); +#25439=VECTOR('',#25438,1.561466474714E0); +#25440=CARTESIAN_POINT('',(-1.615E1,-3.344977327052E0,-1.995E1)); +#25441=LINE('',#25440,#25439); +#25442=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25443=VECTOR('',#25442,8.E-1); +#25444=CARTESIAN_POINT('',(-1.425E1,6.73E0,-1.765E1)); +#25445=LINE('',#25444,#25443); +#25446=DIRECTION('',(0.E0,1.E0,0.E0)); +#25447=VECTOR('',#25446,8.E-1); +#25448=CARTESIAN_POINT('',(-1.585E1,5.93E0,-1.765E1)); +#25449=LINE('',#25448,#25447); +#25450=DIRECTION('',(1.E0,0.E0,0.E0)); +#25451=VECTOR('',#25450,1.6E0); +#25452=CARTESIAN_POINT('',(-1.585E1,6.73E0,-1.765E1)); +#25453=LINE('',#25452,#25451); +#25454=DIRECTION('',(0.E0,0.E0,1.E0)); +#25455=VECTOR('',#25454,7.E0); +#25456=CARTESIAN_POINT('',(-1.535E1,6.645E0,-1.765E1)); +#25457=LINE('',#25456,#25455); +#25458=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25459=VECTOR('',#25458,6.3E-1); +#25460=CARTESIAN_POINT('',(-1.535E1,6.645E0,-1.765E1)); +#25461=LINE('',#25460,#25459); +#25462=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25463=VECTOR('',#25462,6.E-1); +#25464=CARTESIAN_POINT('',(-1.475E1,6.645E0,-1.765E1)); +#25465=LINE('',#25464,#25463); +#25466=DIRECTION('',(0.E0,0.E0,1.E0)); +#25467=VECTOR('',#25466,7.E0); +#25468=CARTESIAN_POINT('',(-1.475E1,6.645E0,-1.765E1)); +#25469=LINE('',#25468,#25467); +#25470=DIRECTION('',(0.E0,0.E0,1.E0)); +#25471=VECTOR('',#25470,7.E0); +#25472=CARTESIAN_POINT('',(-1.475E1,6.015E0,-1.765E1)); +#25473=LINE('',#25472,#25471); +#25474=DIRECTION('',(0.E0,1.E0,0.E0)); +#25475=VECTOR('',#25474,6.3E-1); +#25476=CARTESIAN_POINT('',(-1.475E1,6.015E0,-1.765E1)); +#25477=LINE('',#25476,#25475); +#25478=DIRECTION('',(0.E0,0.E0,1.E0)); +#25479=VECTOR('',#25478,7.E0); +#25480=CARTESIAN_POINT('',(-1.535E1,6.015E0,-1.765E1)); +#25481=LINE('',#25480,#25479); +#25482=DIRECTION('',(1.E0,0.E0,0.E0)); +#25483=VECTOR('',#25482,6.E-1); +#25484=CARTESIAN_POINT('',(-1.535E1,6.015E0,-1.765E1)); +#25485=LINE('',#25484,#25483); +#25486=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25487=VECTOR('',#25486,6.3E-1); +#25488=CARTESIAN_POINT('',(-1.535E1,-2.155E0,-1.065E1)); +#25489=LINE('',#25488,#25487); +#25490=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25491=VECTOR('',#25490,6.E-1); +#25492=CARTESIAN_POINT('',(-1.475E1,-2.155E0,-1.065E1)); +#25493=LINE('',#25492,#25491); +#25494=DIRECTION('',(0.E0,1.E0,0.E0)); +#25495=VECTOR('',#25494,6.3E-1); +#25496=CARTESIAN_POINT('',(-1.475E1,-2.785E0,-1.065E1)); +#25497=LINE('',#25496,#25495); +#25498=DIRECTION('',(1.E0,0.E0,0.E0)); +#25499=VECTOR('',#25498,6.E-1); +#25500=CARTESIAN_POINT('',(-1.535E1,-2.785E0,-1.065E1)); +#25501=LINE('',#25500,#25499); +#25502=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25503=VECTOR('',#25502,6.3E-1); +#25504=CARTESIAN_POINT('',(-1.535E1,6.645E0,-1.065E1)); +#25505=LINE('',#25504,#25503); +#25506=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25507=VECTOR('',#25506,6.E-1); +#25508=CARTESIAN_POINT('',(-1.475E1,6.645E0,-1.065E1)); +#25509=LINE('',#25508,#25507); +#25510=DIRECTION('',(0.E0,1.E0,0.E0)); +#25511=VECTOR('',#25510,6.3E-1); +#25512=CARTESIAN_POINT('',(-1.475E1,6.015E0,-1.065E1)); +#25513=LINE('',#25512,#25511); +#25514=DIRECTION('',(1.E0,0.E0,0.E0)); +#25515=VECTOR('',#25514,6.E-1); +#25516=CARTESIAN_POINT('',(-1.535E1,6.015E0,-1.065E1)); +#25517=LINE('',#25516,#25515); +#25518=DIRECTION('',(0.E0,0.E0,1.E0)); +#25519=VECTOR('',#25518,7.E0); +#25520=CARTESIAN_POINT('',(-1.535E1,-2.155E0,-1.765E1)); +#25521=LINE('',#25520,#25519); +#25522=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25523=VECTOR('',#25522,6.3E-1); +#25524=CARTESIAN_POINT('',(-1.535E1,-2.155E0,-1.765E1)); +#25525=LINE('',#25524,#25523); +#25526=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25527=VECTOR('',#25526,6.E-1); +#25528=CARTESIAN_POINT('',(-1.475E1,-2.155E0,-1.765E1)); +#25529=LINE('',#25528,#25527); +#25530=DIRECTION('',(0.E0,0.E0,1.E0)); +#25531=VECTOR('',#25530,7.E0); +#25532=CARTESIAN_POINT('',(-1.475E1,-2.155E0,-1.765E1)); +#25533=LINE('',#25532,#25531); +#25534=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25535=VECTOR('',#25534,8.E-1); +#25536=CARTESIAN_POINT('',(-1.425E1,-2.07E0,-1.765E1)); +#25537=LINE('',#25536,#25535); +#25538=DIRECTION('',(0.E0,1.E0,0.E0)); +#25539=VECTOR('',#25538,8.E-1); +#25540=CARTESIAN_POINT('',(-1.585E1,-2.87E0,-1.765E1)); +#25541=LINE('',#25540,#25539); +#25542=DIRECTION('',(1.E0,0.E0,0.E0)); +#25543=VECTOR('',#25542,1.6E0); +#25544=CARTESIAN_POINT('',(-1.585E1,-2.07E0,-1.765E1)); +#25545=LINE('',#25544,#25543); +#25546=DIRECTION('',(0.E0,0.E0,1.E0)); +#25547=VECTOR('',#25546,7.E0); +#25548=CARTESIAN_POINT('',(-1.535E1,-2.785E0,-1.765E1)); +#25549=LINE('',#25548,#25547); +#25550=DIRECTION('',(1.E0,0.E0,0.E0)); +#25551=VECTOR('',#25550,6.E-1); +#25552=CARTESIAN_POINT('',(-1.535E1,-2.785E0,-1.765E1)); +#25553=LINE('',#25552,#25551); +#25554=DIRECTION('',(0.E0,0.E0,1.E0)); +#25555=VECTOR('',#25554,7.E0); +#25556=CARTESIAN_POINT('',(-1.475E1,-2.785E0,-1.765E1)); +#25557=LINE('',#25556,#25555); +#25558=DIRECTION('',(0.E0,1.E0,0.E0)); +#25559=VECTOR('',#25558,6.3E-1); +#25560=CARTESIAN_POINT('',(-1.475E1,-2.785E0,-1.765E1)); +#25561=LINE('',#25560,#25559); +#25562=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#25563=VECTOR('',#25562,5.196152422707E-1); +#25564=CARTESIAN_POINT('',(-1.425E1,-2.07E0,-1.765E1)); +#25565=LINE('',#25564,#25563); +#25566=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#25567=VECTOR('',#25566,5.196152422707E-1); +#25568=CARTESIAN_POINT('',(-1.615E1,-1.77E0,-1.795E1)); +#25569=LINE('',#25568,#25567); +#25570=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25571=VECTOR('',#25570,2.2E0); +#25572=CARTESIAN_POINT('',(-1.395E1,-1.77E0,-1.795E1)); +#25573=LINE('',#25572,#25571); +#25574=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#25575=VECTOR('',#25574,5.196152422707E-1); +#25576=CARTESIAN_POINT('',(-1.395E1,-3.17E0,-1.795E1)); +#25577=LINE('',#25576,#25575); +#25578=DIRECTION('',(1.E0,0.E0,0.E0)); +#25579=VECTOR('',#25578,2.2E0); +#25580=CARTESIAN_POINT('',(-1.615E1,-3.17E0,-1.795E1)); +#25581=LINE('',#25580,#25579); +#25582=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#25583=VECTOR('',#25582,5.196152422707E-1); +#25584=CARTESIAN_POINT('',(-1.585E1,-2.87E0,-1.765E1)); +#25585=LINE('',#25584,#25583); +#25586=DIRECTION('',(1.E0,0.E0,0.E0)); +#25587=VECTOR('',#25586,1.6E0); +#25588=CARTESIAN_POINT('',(-1.585E1,-2.87E0,-1.765E1)); +#25589=LINE('',#25588,#25587); +#25590=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#25591=VECTOR('',#25590,2.007639675087E0); +#25592=CARTESIAN_POINT('',(-1.615E1,-3.344977327052E0,-1.995E1)); +#25593=LINE('',#25592,#25591); +#25594=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#25595=VECTOR('',#25594,2.007639675087E0); +#25596=CARTESIAN_POINT('',(-1.395E1,-3.17E0,-1.795E1)); +#25597=LINE('',#25596,#25595); +#25598=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#25599=VECTOR('',#25598,1.464598038686E-1); +#25600=CARTESIAN_POINT('',(-1.395E1,7.197627763800E0,-1.986599410763E1)); +#25601=LINE('',#25600,#25599); +#25602=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25603=VECTOR('',#25602,1.622632243107E0); +#25604=CARTESIAN_POINT('',(-1.395E1,7.077654916055E0,-1.995E1)); +#25605=LINE('',#25604,#25603); +#25606=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25607=VECTOR('',#25606,1.4E0); +#25608=CARTESIAN_POINT('',(-1.395E1,7.03E0,-1.795E1)); +#25609=LINE('',#25608,#25607); +#25610=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#25611=VECTOR('',#25610,1.923312893854E0); +#25612=CARTESIAN_POINT('',(-1.395E1,7.197627763800E0,-1.986599410763E1)); +#25613=LINE('',#25612,#25611); +#25614=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#25615=VECTOR('',#25614,2.168191881447E-1); +#25616=CARTESIAN_POINT('',(-1.395E1,-1.605902971128E0,-1.982563762273E1)); +#25617=LINE('',#25616,#25615); +#25618=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25619=VECTOR('',#25618,1.561466474714E0); +#25620=CARTESIAN_POINT('',(-1.395E1,-1.783510852338E0,-1.995E1)); +#25621=LINE('',#25620,#25619); +#25622=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25623=VECTOR('',#25622,1.4E0); +#25624=CARTESIAN_POINT('',(-1.395E1,-1.77E0,-1.795E1)); +#25625=LINE('',#25624,#25623); +#25626=DIRECTION('',(1.E0,0.E0,0.E0)); +#25627=VECTOR('',#25626,2.2E0); +#25628=CARTESIAN_POINT('',(-1.4E1,9.63E0,-1.816282873211E1)); +#25629=LINE('',#25628,#25627); +#25630=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25631=VECTOR('',#25630,2.2E0); +#25632=CARTESIAN_POINT('',(-1.18E1,9.63E0,-1.795E1)); +#25633=LINE('',#25632,#25631); +#25634=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#25635=VECTOR('',#25634,5.196152422707E-1); +#25636=CARTESIAN_POINT('',(-1.37E1,9.93E0,-1.765E1)); +#25637=LINE('',#25636,#25635); +#25638=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#25639=VECTOR('',#25638,5.196152422707E-1); +#25640=CARTESIAN_POINT('',(-1.18E1,9.63E0,-1.795E1)); +#25641=LINE('',#25640,#25639); +#25642=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#25643=VECTOR('',#25642,1.464598038686E-1); +#25644=CARTESIAN_POINT('',(-1.185E1,7.197627763800E0,-1.986599410763E1)); +#25645=LINE('',#25644,#25643); +#25646=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#25647=VECTOR('',#25646,1.923312893854E0); +#25648=CARTESIAN_POINT('',(-1.185E1,7.197627763800E0,-1.986599410763E1)); +#25649=LINE('',#25648,#25647); +#25650=DIRECTION('',(0.E0,1.E0,0.E0)); +#25651=VECTOR('',#25650,1.4E0); +#25652=CARTESIAN_POINT('',(-1.185E1,5.63E0,-1.795E1)); +#25653=LINE('',#25652,#25651); +#25654=DIRECTION('',(0.E0,1.E0,0.E0)); +#25655=VECTOR('',#25654,1.622632243107E0); +#25656=CARTESIAN_POINT('',(-1.185E1,5.455022672948E0,-1.995E1)); +#25657=LINE('',#25656,#25655); +#25658=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#25659=VECTOR('',#25658,2.168191881447E-1); +#25660=CARTESIAN_POINT('',(-1.185E1,-1.605902971128E0,-1.982563762273E1)); +#25661=LINE('',#25660,#25659); +#25662=DIRECTION('',(0.E0,1.E0,0.E0)); +#25663=VECTOR('',#25662,1.4E0); +#25664=CARTESIAN_POINT('',(-1.185E1,-3.17E0,-1.795E1)); +#25665=LINE('',#25664,#25663); +#25666=DIRECTION('',(0.E0,1.E0,0.E0)); +#25667=VECTOR('',#25666,1.561466474714E0); +#25668=CARTESIAN_POINT('',(-1.185E1,-3.344977327052E0,-1.995E1)); +#25669=LINE('',#25668,#25667); +#25670=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25671=VECTOR('',#25670,8.E-1); +#25672=CARTESIAN_POINT('',(-9.95E0,6.73E0,-1.765E1)); +#25673=LINE('',#25672,#25671); +#25674=DIRECTION('',(0.E0,1.E0,0.E0)); +#25675=VECTOR('',#25674,8.E-1); +#25676=CARTESIAN_POINT('',(-1.155E1,5.93E0,-1.765E1)); +#25677=LINE('',#25676,#25675); +#25678=DIRECTION('',(1.E0,0.E0,0.E0)); +#25679=VECTOR('',#25678,1.6E0); +#25680=CARTESIAN_POINT('',(-1.155E1,6.73E0,-1.765E1)); +#25681=LINE('',#25680,#25679); +#25682=DIRECTION('',(0.E0,0.E0,1.E0)); +#25683=VECTOR('',#25682,7.E0); +#25684=CARTESIAN_POINT('',(-1.105E1,6.645E0,-1.765E1)); +#25685=LINE('',#25684,#25683); +#25686=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25687=VECTOR('',#25686,6.3E-1); +#25688=CARTESIAN_POINT('',(-1.105E1,6.645E0,-1.765E1)); +#25689=LINE('',#25688,#25687); +#25690=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25691=VECTOR('',#25690,6.E-1); +#25692=CARTESIAN_POINT('',(-1.045E1,6.645E0,-1.765E1)); +#25693=LINE('',#25692,#25691); +#25694=DIRECTION('',(0.E0,0.E0,1.E0)); +#25695=VECTOR('',#25694,7.E0); +#25696=CARTESIAN_POINT('',(-1.045E1,6.645E0,-1.765E1)); +#25697=LINE('',#25696,#25695); +#25698=DIRECTION('',(0.E0,0.E0,1.E0)); +#25699=VECTOR('',#25698,7.E0); +#25700=CARTESIAN_POINT('',(-1.045E1,6.015E0,-1.765E1)); +#25701=LINE('',#25700,#25699); +#25702=DIRECTION('',(0.E0,1.E0,0.E0)); +#25703=VECTOR('',#25702,6.3E-1); +#25704=CARTESIAN_POINT('',(-1.045E1,6.015E0,-1.765E1)); +#25705=LINE('',#25704,#25703); +#25706=DIRECTION('',(0.E0,0.E0,1.E0)); +#25707=VECTOR('',#25706,7.E0); +#25708=CARTESIAN_POINT('',(-1.105E1,6.015E0,-1.765E1)); +#25709=LINE('',#25708,#25707); +#25710=DIRECTION('',(1.E0,0.E0,0.E0)); +#25711=VECTOR('',#25710,6.E-1); +#25712=CARTESIAN_POINT('',(-1.105E1,6.015E0,-1.765E1)); +#25713=LINE('',#25712,#25711); +#25714=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25715=VECTOR('',#25714,6.3E-1); +#25716=CARTESIAN_POINT('',(-1.105E1,-2.155E0,-1.065E1)); +#25717=LINE('',#25716,#25715); +#25718=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25719=VECTOR('',#25718,6.E-1); +#25720=CARTESIAN_POINT('',(-1.045E1,-2.155E0,-1.065E1)); +#25721=LINE('',#25720,#25719); +#25722=DIRECTION('',(0.E0,1.E0,0.E0)); +#25723=VECTOR('',#25722,6.3E-1); +#25724=CARTESIAN_POINT('',(-1.045E1,-2.785E0,-1.065E1)); +#25725=LINE('',#25724,#25723); +#25726=DIRECTION('',(1.E0,0.E0,0.E0)); +#25727=VECTOR('',#25726,6.E-1); +#25728=CARTESIAN_POINT('',(-1.105E1,-2.785E0,-1.065E1)); +#25729=LINE('',#25728,#25727); +#25730=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25731=VECTOR('',#25730,6.3E-1); +#25732=CARTESIAN_POINT('',(-1.105E1,6.645E0,-1.065E1)); +#25733=LINE('',#25732,#25731); +#25734=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25735=VECTOR('',#25734,6.E-1); +#25736=CARTESIAN_POINT('',(-1.045E1,6.645E0,-1.065E1)); +#25737=LINE('',#25736,#25735); +#25738=DIRECTION('',(0.E0,1.E0,0.E0)); +#25739=VECTOR('',#25738,6.3E-1); +#25740=CARTESIAN_POINT('',(-1.045E1,6.015E0,-1.065E1)); +#25741=LINE('',#25740,#25739); +#25742=DIRECTION('',(1.E0,0.E0,0.E0)); +#25743=VECTOR('',#25742,6.E-1); +#25744=CARTESIAN_POINT('',(-1.105E1,6.015E0,-1.065E1)); +#25745=LINE('',#25744,#25743); +#25746=DIRECTION('',(0.E0,0.E0,1.E0)); +#25747=VECTOR('',#25746,7.E0); +#25748=CARTESIAN_POINT('',(-1.105E1,-2.155E0,-1.765E1)); +#25749=LINE('',#25748,#25747); +#25750=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25751=VECTOR('',#25750,6.3E-1); +#25752=CARTESIAN_POINT('',(-1.105E1,-2.155E0,-1.765E1)); +#25753=LINE('',#25752,#25751); +#25754=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25755=VECTOR('',#25754,6.E-1); +#25756=CARTESIAN_POINT('',(-1.045E1,-2.155E0,-1.765E1)); +#25757=LINE('',#25756,#25755); +#25758=DIRECTION('',(0.E0,0.E0,1.E0)); +#25759=VECTOR('',#25758,7.E0); +#25760=CARTESIAN_POINT('',(-1.045E1,-2.155E0,-1.765E1)); +#25761=LINE('',#25760,#25759); +#25762=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25763=VECTOR('',#25762,8.E-1); +#25764=CARTESIAN_POINT('',(-9.95E0,-2.07E0,-1.765E1)); +#25765=LINE('',#25764,#25763); +#25766=DIRECTION('',(0.E0,1.E0,0.E0)); +#25767=VECTOR('',#25766,8.E-1); +#25768=CARTESIAN_POINT('',(-1.155E1,-2.87E0,-1.765E1)); +#25769=LINE('',#25768,#25767); +#25770=DIRECTION('',(1.E0,0.E0,0.E0)); +#25771=VECTOR('',#25770,1.6E0); +#25772=CARTESIAN_POINT('',(-1.155E1,-2.07E0,-1.765E1)); +#25773=LINE('',#25772,#25771); +#25774=DIRECTION('',(0.E0,0.E0,1.E0)); +#25775=VECTOR('',#25774,7.E0); +#25776=CARTESIAN_POINT('',(-1.105E1,-2.785E0,-1.765E1)); +#25777=LINE('',#25776,#25775); +#25778=DIRECTION('',(1.E0,0.E0,0.E0)); +#25779=VECTOR('',#25778,6.E-1); +#25780=CARTESIAN_POINT('',(-1.105E1,-2.785E0,-1.765E1)); +#25781=LINE('',#25780,#25779); +#25782=DIRECTION('',(0.E0,0.E0,1.E0)); +#25783=VECTOR('',#25782,7.E0); +#25784=CARTESIAN_POINT('',(-1.045E1,-2.785E0,-1.765E1)); +#25785=LINE('',#25784,#25783); +#25786=DIRECTION('',(0.E0,1.E0,0.E0)); +#25787=VECTOR('',#25786,6.3E-1); +#25788=CARTESIAN_POINT('',(-1.045E1,-2.785E0,-1.765E1)); +#25789=LINE('',#25788,#25787); +#25790=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#25791=VECTOR('',#25790,5.196152422707E-1); +#25792=CARTESIAN_POINT('',(-9.95E0,-2.07E0,-1.765E1)); +#25793=LINE('',#25792,#25791); +#25794=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#25795=VECTOR('',#25794,5.196152422707E-1); +#25796=CARTESIAN_POINT('',(-1.185E1,-1.77E0,-1.795E1)); +#25797=LINE('',#25796,#25795); +#25798=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25799=VECTOR('',#25798,2.2E0); +#25800=CARTESIAN_POINT('',(-9.65E0,-1.77E0,-1.795E1)); +#25801=LINE('',#25800,#25799); +#25802=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#25803=VECTOR('',#25802,5.196152422707E-1); +#25804=CARTESIAN_POINT('',(-9.65E0,-3.17E0,-1.795E1)); +#25805=LINE('',#25804,#25803); +#25806=DIRECTION('',(1.E0,0.E0,0.E0)); +#25807=VECTOR('',#25806,2.2E0); +#25808=CARTESIAN_POINT('',(-1.185E1,-3.17E0,-1.795E1)); +#25809=LINE('',#25808,#25807); +#25810=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#25811=VECTOR('',#25810,5.196152422707E-1); +#25812=CARTESIAN_POINT('',(-1.155E1,-2.87E0,-1.765E1)); +#25813=LINE('',#25812,#25811); +#25814=DIRECTION('',(1.E0,0.E0,0.E0)); +#25815=VECTOR('',#25814,1.6E0); +#25816=CARTESIAN_POINT('',(-1.155E1,-2.87E0,-1.765E1)); +#25817=LINE('',#25816,#25815); +#25818=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#25819=VECTOR('',#25818,2.007639675087E0); +#25820=CARTESIAN_POINT('',(-1.185E1,-3.344977327052E0,-1.995E1)); +#25821=LINE('',#25820,#25819); +#25822=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#25823=VECTOR('',#25822,2.007639675087E0); +#25824=CARTESIAN_POINT('',(-9.65E0,-3.17E0,-1.795E1)); +#25825=LINE('',#25824,#25823); +#25826=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#25827=VECTOR('',#25826,1.464598038686E-1); +#25828=CARTESIAN_POINT('',(-9.65E0,7.197627763800E0,-1.986599410763E1)); +#25829=LINE('',#25828,#25827); +#25830=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25831=VECTOR('',#25830,1.622632243107E0); +#25832=CARTESIAN_POINT('',(-9.65E0,7.077654916055E0,-1.995E1)); +#25833=LINE('',#25832,#25831); +#25834=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25835=VECTOR('',#25834,1.4E0); +#25836=CARTESIAN_POINT('',(-9.65E0,7.03E0,-1.795E1)); +#25837=LINE('',#25836,#25835); +#25838=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#25839=VECTOR('',#25838,1.923312893854E0); +#25840=CARTESIAN_POINT('',(-9.65E0,7.197627763800E0,-1.986599410763E1)); +#25841=LINE('',#25840,#25839); +#25842=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#25843=VECTOR('',#25842,2.168191881447E-1); +#25844=CARTESIAN_POINT('',(-9.65E0,-1.605902971128E0,-1.982563762273E1)); +#25845=LINE('',#25844,#25843); +#25846=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25847=VECTOR('',#25846,1.561466474714E0); +#25848=CARTESIAN_POINT('',(-9.65E0,-1.783510852338E0,-1.995E1)); +#25849=LINE('',#25848,#25847); +#25850=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25851=VECTOR('',#25850,1.4E0); +#25852=CARTESIAN_POINT('',(-9.65E0,-1.77E0,-1.795E1)); +#25853=LINE('',#25852,#25851); +#25854=DIRECTION('',(1.E0,0.E0,0.E0)); +#25855=VECTOR('',#25854,2.2E0); +#25856=CARTESIAN_POINT('',(-9.7E0,9.63E0,-1.816282873211E1)); +#25857=LINE('',#25856,#25855); +#25858=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25859=VECTOR('',#25858,2.2E0); +#25860=CARTESIAN_POINT('',(-7.5E0,9.63E0,-1.795E1)); +#25861=LINE('',#25860,#25859); +#25862=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#25863=VECTOR('',#25862,5.196152422707E-1); +#25864=CARTESIAN_POINT('',(-9.4E0,9.93E0,-1.765E1)); +#25865=LINE('',#25864,#25863); +#25866=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#25867=VECTOR('',#25866,5.196152422707E-1); +#25868=CARTESIAN_POINT('',(-7.5E0,9.63E0,-1.795E1)); +#25869=LINE('',#25868,#25867); +#25870=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#25871=VECTOR('',#25870,1.464598038686E-1); +#25872=CARTESIAN_POINT('',(-7.55E0,7.197627763800E0,-1.986599410763E1)); +#25873=LINE('',#25872,#25871); +#25874=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#25875=VECTOR('',#25874,1.923312893854E0); +#25876=CARTESIAN_POINT('',(-7.55E0,7.197627763800E0,-1.986599410763E1)); +#25877=LINE('',#25876,#25875); +#25878=DIRECTION('',(0.E0,1.E0,0.E0)); +#25879=VECTOR('',#25878,1.4E0); +#25880=CARTESIAN_POINT('',(-7.55E0,5.63E0,-1.795E1)); +#25881=LINE('',#25880,#25879); +#25882=DIRECTION('',(0.E0,1.E0,0.E0)); +#25883=VECTOR('',#25882,1.622632243107E0); +#25884=CARTESIAN_POINT('',(-7.55E0,5.455022672948E0,-1.995E1)); +#25885=LINE('',#25884,#25883); +#25886=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#25887=VECTOR('',#25886,2.168191881447E-1); +#25888=CARTESIAN_POINT('',(-7.55E0,-1.605902971128E0,-1.982563762273E1)); +#25889=LINE('',#25888,#25887); +#25890=DIRECTION('',(0.E0,1.E0,0.E0)); +#25891=VECTOR('',#25890,1.4E0); +#25892=CARTESIAN_POINT('',(-7.55E0,-3.17E0,-1.795E1)); +#25893=LINE('',#25892,#25891); +#25894=DIRECTION('',(0.E0,1.E0,0.E0)); +#25895=VECTOR('',#25894,1.561466474714E0); +#25896=CARTESIAN_POINT('',(-7.55E0,-3.344977327052E0,-1.995E1)); +#25897=LINE('',#25896,#25895); +#25898=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25899=VECTOR('',#25898,8.E-1); +#25900=CARTESIAN_POINT('',(-5.65E0,6.73E0,-1.765E1)); +#25901=LINE('',#25900,#25899); +#25902=DIRECTION('',(0.E0,1.E0,0.E0)); +#25903=VECTOR('',#25902,8.E-1); +#25904=CARTESIAN_POINT('',(-7.25E0,5.93E0,-1.765E1)); +#25905=LINE('',#25904,#25903); +#25906=DIRECTION('',(1.E0,0.E0,0.E0)); +#25907=VECTOR('',#25906,1.6E0); +#25908=CARTESIAN_POINT('',(-7.25E0,6.73E0,-1.765E1)); +#25909=LINE('',#25908,#25907); +#25910=DIRECTION('',(0.E0,0.E0,1.E0)); +#25911=VECTOR('',#25910,7.E0); +#25912=CARTESIAN_POINT('',(-6.75E0,6.645E0,-1.765E1)); +#25913=LINE('',#25912,#25911); +#25914=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25915=VECTOR('',#25914,6.3E-1); +#25916=CARTESIAN_POINT('',(-6.75E0,6.645E0,-1.765E1)); +#25917=LINE('',#25916,#25915); +#25918=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25919=VECTOR('',#25918,6.E-1); +#25920=CARTESIAN_POINT('',(-6.15E0,6.645E0,-1.765E1)); +#25921=LINE('',#25920,#25919); +#25922=DIRECTION('',(0.E0,0.E0,1.E0)); +#25923=VECTOR('',#25922,7.E0); +#25924=CARTESIAN_POINT('',(-6.15E0,6.645E0,-1.765E1)); +#25925=LINE('',#25924,#25923); +#25926=DIRECTION('',(0.E0,0.E0,1.E0)); +#25927=VECTOR('',#25926,7.E0); +#25928=CARTESIAN_POINT('',(-6.15E0,6.015E0,-1.765E1)); +#25929=LINE('',#25928,#25927); +#25930=DIRECTION('',(0.E0,1.E0,0.E0)); +#25931=VECTOR('',#25930,6.3E-1); +#25932=CARTESIAN_POINT('',(-6.15E0,6.015E0,-1.765E1)); +#25933=LINE('',#25932,#25931); +#25934=DIRECTION('',(0.E0,0.E0,1.E0)); +#25935=VECTOR('',#25934,7.E0); +#25936=CARTESIAN_POINT('',(-6.75E0,6.015E0,-1.765E1)); +#25937=LINE('',#25936,#25935); +#25938=DIRECTION('',(1.E0,0.E0,0.E0)); +#25939=VECTOR('',#25938,6.E-1); +#25940=CARTESIAN_POINT('',(-6.75E0,6.015E0,-1.765E1)); +#25941=LINE('',#25940,#25939); +#25942=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25943=VECTOR('',#25942,6.3E-1); +#25944=CARTESIAN_POINT('',(-6.75E0,-2.155E0,-1.065E1)); +#25945=LINE('',#25944,#25943); +#25946=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25947=VECTOR('',#25946,6.E-1); +#25948=CARTESIAN_POINT('',(-6.15E0,-2.155E0,-1.065E1)); +#25949=LINE('',#25948,#25947); +#25950=DIRECTION('',(0.E0,1.E0,0.E0)); +#25951=VECTOR('',#25950,6.3E-1); +#25952=CARTESIAN_POINT('',(-6.15E0,-2.785E0,-1.065E1)); +#25953=LINE('',#25952,#25951); +#25954=DIRECTION('',(1.E0,0.E0,0.E0)); +#25955=VECTOR('',#25954,6.E-1); +#25956=CARTESIAN_POINT('',(-6.75E0,-2.785E0,-1.065E1)); +#25957=LINE('',#25956,#25955); +#25958=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25959=VECTOR('',#25958,6.3E-1); +#25960=CARTESIAN_POINT('',(-6.75E0,6.645E0,-1.065E1)); +#25961=LINE('',#25960,#25959); +#25962=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25963=VECTOR('',#25962,6.E-1); +#25964=CARTESIAN_POINT('',(-6.15E0,6.645E0,-1.065E1)); +#25965=LINE('',#25964,#25963); +#25966=DIRECTION('',(0.E0,1.E0,0.E0)); +#25967=VECTOR('',#25966,6.3E-1); +#25968=CARTESIAN_POINT('',(-6.15E0,6.015E0,-1.065E1)); +#25969=LINE('',#25968,#25967); +#25970=DIRECTION('',(1.E0,0.E0,0.E0)); +#25971=VECTOR('',#25970,6.E-1); +#25972=CARTESIAN_POINT('',(-6.75E0,6.015E0,-1.065E1)); +#25973=LINE('',#25972,#25971); +#25974=DIRECTION('',(0.E0,0.E0,1.E0)); +#25975=VECTOR('',#25974,7.E0); +#25976=CARTESIAN_POINT('',(-6.75E0,-2.155E0,-1.765E1)); +#25977=LINE('',#25976,#25975); +#25978=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25979=VECTOR('',#25978,6.3E-1); +#25980=CARTESIAN_POINT('',(-6.75E0,-2.155E0,-1.765E1)); +#25981=LINE('',#25980,#25979); +#25982=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25983=VECTOR('',#25982,6.E-1); +#25984=CARTESIAN_POINT('',(-6.15E0,-2.155E0,-1.765E1)); +#25985=LINE('',#25984,#25983); +#25986=DIRECTION('',(0.E0,0.E0,1.E0)); +#25987=VECTOR('',#25986,7.E0); +#25988=CARTESIAN_POINT('',(-6.15E0,-2.155E0,-1.765E1)); +#25989=LINE('',#25988,#25987); +#25990=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25991=VECTOR('',#25990,8.E-1); +#25992=CARTESIAN_POINT('',(-5.65E0,-2.07E0,-1.765E1)); +#25993=LINE('',#25992,#25991); +#25994=DIRECTION('',(0.E0,1.E0,0.E0)); +#25995=VECTOR('',#25994,8.E-1); +#25996=CARTESIAN_POINT('',(-7.25E0,-2.87E0,-1.765E1)); +#25997=LINE('',#25996,#25995); +#25998=DIRECTION('',(1.E0,0.E0,0.E0)); +#25999=VECTOR('',#25998,1.6E0); +#26000=CARTESIAN_POINT('',(-7.25E0,-2.07E0,-1.765E1)); +#26001=LINE('',#26000,#25999); +#26002=DIRECTION('',(0.E0,0.E0,1.E0)); +#26003=VECTOR('',#26002,7.E0); +#26004=CARTESIAN_POINT('',(-6.75E0,-2.785E0,-1.765E1)); +#26005=LINE('',#26004,#26003); +#26006=DIRECTION('',(1.E0,0.E0,0.E0)); +#26007=VECTOR('',#26006,6.E-1); +#26008=CARTESIAN_POINT('',(-6.75E0,-2.785E0,-1.765E1)); +#26009=LINE('',#26008,#26007); +#26010=DIRECTION('',(0.E0,0.E0,1.E0)); +#26011=VECTOR('',#26010,7.E0); +#26012=CARTESIAN_POINT('',(-6.15E0,-2.785E0,-1.765E1)); +#26013=LINE('',#26012,#26011); +#26014=DIRECTION('',(0.E0,1.E0,0.E0)); +#26015=VECTOR('',#26014,6.3E-1); +#26016=CARTESIAN_POINT('',(-6.15E0,-2.785E0,-1.765E1)); +#26017=LINE('',#26016,#26015); +#26018=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#26019=VECTOR('',#26018,5.196152422707E-1); +#26020=CARTESIAN_POINT('',(-5.65E0,-2.07E0,-1.765E1)); +#26021=LINE('',#26020,#26019); +#26022=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#26023=VECTOR('',#26022,5.196152422707E-1); +#26024=CARTESIAN_POINT('',(-7.55E0,-1.77E0,-1.795E1)); +#26025=LINE('',#26024,#26023); +#26026=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26027=VECTOR('',#26026,2.2E0); +#26028=CARTESIAN_POINT('',(-5.35E0,-1.77E0,-1.795E1)); +#26029=LINE('',#26028,#26027); +#26030=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#26031=VECTOR('',#26030,5.196152422707E-1); +#26032=CARTESIAN_POINT('',(-5.35E0,-3.17E0,-1.795E1)); +#26033=LINE('',#26032,#26031); +#26034=DIRECTION('',(1.E0,0.E0,0.E0)); +#26035=VECTOR('',#26034,2.2E0); +#26036=CARTESIAN_POINT('',(-7.55E0,-3.17E0,-1.795E1)); +#26037=LINE('',#26036,#26035); +#26038=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#26039=VECTOR('',#26038,5.196152422707E-1); +#26040=CARTESIAN_POINT('',(-7.25E0,-2.87E0,-1.765E1)); +#26041=LINE('',#26040,#26039); +#26042=DIRECTION('',(1.E0,0.E0,0.E0)); +#26043=VECTOR('',#26042,1.6E0); +#26044=CARTESIAN_POINT('',(-7.25E0,-2.87E0,-1.765E1)); +#26045=LINE('',#26044,#26043); +#26046=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#26047=VECTOR('',#26046,2.007639675087E0); +#26048=CARTESIAN_POINT('',(-7.55E0,-3.344977327052E0,-1.995E1)); +#26049=LINE('',#26048,#26047); +#26050=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#26051=VECTOR('',#26050,2.007639675087E0); +#26052=CARTESIAN_POINT('',(-5.35E0,-3.17E0,-1.795E1)); +#26053=LINE('',#26052,#26051); +#26054=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#26055=VECTOR('',#26054,1.464598038686E-1); +#26056=CARTESIAN_POINT('',(-5.35E0,7.197627763800E0,-1.986599410763E1)); +#26057=LINE('',#26056,#26055); +#26058=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26059=VECTOR('',#26058,1.622632243107E0); +#26060=CARTESIAN_POINT('',(-5.35E0,7.077654916055E0,-1.995E1)); +#26061=LINE('',#26060,#26059); +#26062=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26063=VECTOR('',#26062,1.4E0); +#26064=CARTESIAN_POINT('',(-5.35E0,7.03E0,-1.795E1)); +#26065=LINE('',#26064,#26063); +#26066=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#26067=VECTOR('',#26066,1.923312893854E0); +#26068=CARTESIAN_POINT('',(-5.35E0,7.197627763800E0,-1.986599410763E1)); +#26069=LINE('',#26068,#26067); +#26070=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#26071=VECTOR('',#26070,2.168191881447E-1); +#26072=CARTESIAN_POINT('',(-5.35E0,-1.605902971128E0,-1.982563762273E1)); +#26073=LINE('',#26072,#26071); +#26074=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26075=VECTOR('',#26074,1.561466474714E0); +#26076=CARTESIAN_POINT('',(-5.35E0,-1.783510852338E0,-1.995E1)); +#26077=LINE('',#26076,#26075); +#26078=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26079=VECTOR('',#26078,1.4E0); +#26080=CARTESIAN_POINT('',(-5.35E0,-1.77E0,-1.795E1)); +#26081=LINE('',#26080,#26079); +#26082=DIRECTION('',(1.E0,0.E0,0.E0)); +#26083=VECTOR('',#26082,2.2E0); +#26084=CARTESIAN_POINT('',(-5.4E0,9.63E0,-1.816282873211E1)); +#26085=LINE('',#26084,#26083); +#26086=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26087=VECTOR('',#26086,2.2E0); +#26088=CARTESIAN_POINT('',(-3.2E0,9.63E0,-1.795E1)); +#26089=LINE('',#26088,#26087); +#26090=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#26091=VECTOR('',#26090,5.196152422707E-1); +#26092=CARTESIAN_POINT('',(-5.1E0,9.93E0,-1.765E1)); +#26093=LINE('',#26092,#26091); +#26094=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#26095=VECTOR('',#26094,5.196152422707E-1); +#26096=CARTESIAN_POINT('',(-3.2E0,9.63E0,-1.795E1)); +#26097=LINE('',#26096,#26095); +#26098=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#26099=VECTOR('',#26098,1.464598038686E-1); +#26100=CARTESIAN_POINT('',(-3.25E0,7.197627763800E0,-1.986599410763E1)); +#26101=LINE('',#26100,#26099); +#26102=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#26103=VECTOR('',#26102,1.923312893854E0); +#26104=CARTESIAN_POINT('',(-3.25E0,7.197627763800E0,-1.986599410763E1)); +#26105=LINE('',#26104,#26103); +#26106=DIRECTION('',(0.E0,1.E0,0.E0)); +#26107=VECTOR('',#26106,1.4E0); +#26108=CARTESIAN_POINT('',(-3.25E0,5.63E0,-1.795E1)); +#26109=LINE('',#26108,#26107); +#26110=DIRECTION('',(0.E0,1.E0,0.E0)); +#26111=VECTOR('',#26110,1.622632243107E0); +#26112=CARTESIAN_POINT('',(-3.25E0,5.455022672948E0,-1.995E1)); +#26113=LINE('',#26112,#26111); +#26114=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#26115=VECTOR('',#26114,2.168191881447E-1); +#26116=CARTESIAN_POINT('',(-3.25E0,-1.605902971128E0,-1.982563762273E1)); +#26117=LINE('',#26116,#26115); +#26118=DIRECTION('',(0.E0,1.E0,0.E0)); +#26119=VECTOR('',#26118,1.4E0); +#26120=CARTESIAN_POINT('',(-3.25E0,-3.17E0,-1.795E1)); +#26121=LINE('',#26120,#26119); +#26122=DIRECTION('',(0.E0,1.E0,0.E0)); +#26123=VECTOR('',#26122,1.561466474714E0); +#26124=CARTESIAN_POINT('',(-3.25E0,-3.344977327052E0,-1.995E1)); +#26125=LINE('',#26124,#26123); +#26126=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26127=VECTOR('',#26126,8.E-1); +#26128=CARTESIAN_POINT('',(-1.35E0,6.73E0,-1.765E1)); +#26129=LINE('',#26128,#26127); +#26130=DIRECTION('',(0.E0,1.E0,0.E0)); +#26131=VECTOR('',#26130,8.E-1); +#26132=CARTESIAN_POINT('',(-2.95E0,5.93E0,-1.765E1)); +#26133=LINE('',#26132,#26131); +#26134=DIRECTION('',(1.E0,0.E0,0.E0)); +#26135=VECTOR('',#26134,1.6E0); +#26136=CARTESIAN_POINT('',(-2.95E0,6.73E0,-1.765E1)); +#26137=LINE('',#26136,#26135); +#26138=DIRECTION('',(0.E0,0.E0,1.E0)); +#26139=VECTOR('',#26138,7.E0); +#26140=CARTESIAN_POINT('',(-2.45E0,6.645E0,-1.765E1)); +#26141=LINE('',#26140,#26139); +#26142=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26143=VECTOR('',#26142,6.3E-1); +#26144=CARTESIAN_POINT('',(-2.45E0,6.645E0,-1.765E1)); +#26145=LINE('',#26144,#26143); +#26146=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26147=VECTOR('',#26146,6.E-1); +#26148=CARTESIAN_POINT('',(-1.85E0,6.645E0,-1.765E1)); +#26149=LINE('',#26148,#26147); +#26150=DIRECTION('',(0.E0,0.E0,1.E0)); +#26151=VECTOR('',#26150,7.E0); +#26152=CARTESIAN_POINT('',(-1.85E0,6.645E0,-1.765E1)); +#26153=LINE('',#26152,#26151); +#26154=DIRECTION('',(0.E0,0.E0,1.E0)); +#26155=VECTOR('',#26154,7.E0); +#26156=CARTESIAN_POINT('',(-1.85E0,6.015E0,-1.765E1)); +#26157=LINE('',#26156,#26155); +#26158=DIRECTION('',(0.E0,1.E0,0.E0)); +#26159=VECTOR('',#26158,6.3E-1); +#26160=CARTESIAN_POINT('',(-1.85E0,6.015E0,-1.765E1)); +#26161=LINE('',#26160,#26159); +#26162=DIRECTION('',(0.E0,0.E0,1.E0)); +#26163=VECTOR('',#26162,7.E0); +#26164=CARTESIAN_POINT('',(-2.45E0,6.015E0,-1.765E1)); +#26165=LINE('',#26164,#26163); +#26166=DIRECTION('',(1.E0,0.E0,0.E0)); +#26167=VECTOR('',#26166,6.E-1); +#26168=CARTESIAN_POINT('',(-2.45E0,6.015E0,-1.765E1)); +#26169=LINE('',#26168,#26167); +#26170=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26171=VECTOR('',#26170,6.3E-1); +#26172=CARTESIAN_POINT('',(-2.45E0,-2.155E0,-1.065E1)); +#26173=LINE('',#26172,#26171); +#26174=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26175=VECTOR('',#26174,6.E-1); +#26176=CARTESIAN_POINT('',(-1.85E0,-2.155E0,-1.065E1)); +#26177=LINE('',#26176,#26175); +#26178=DIRECTION('',(0.E0,1.E0,0.E0)); +#26179=VECTOR('',#26178,6.3E-1); +#26180=CARTESIAN_POINT('',(-1.85E0,-2.785E0,-1.065E1)); +#26181=LINE('',#26180,#26179); +#26182=DIRECTION('',(1.E0,0.E0,0.E0)); +#26183=VECTOR('',#26182,6.E-1); +#26184=CARTESIAN_POINT('',(-2.45E0,-2.785E0,-1.065E1)); +#26185=LINE('',#26184,#26183); +#26186=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26187=VECTOR('',#26186,6.3E-1); +#26188=CARTESIAN_POINT('',(-2.45E0,6.645E0,-1.065E1)); +#26189=LINE('',#26188,#26187); +#26190=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26191=VECTOR('',#26190,6.E-1); +#26192=CARTESIAN_POINT('',(-1.85E0,6.645E0,-1.065E1)); +#26193=LINE('',#26192,#26191); +#26194=DIRECTION('',(0.E0,1.E0,0.E0)); +#26195=VECTOR('',#26194,6.3E-1); +#26196=CARTESIAN_POINT('',(-1.85E0,6.015E0,-1.065E1)); +#26197=LINE('',#26196,#26195); +#26198=DIRECTION('',(1.E0,0.E0,0.E0)); +#26199=VECTOR('',#26198,6.E-1); +#26200=CARTESIAN_POINT('',(-2.45E0,6.015E0,-1.065E1)); +#26201=LINE('',#26200,#26199); +#26202=DIRECTION('',(0.E0,0.E0,1.E0)); +#26203=VECTOR('',#26202,7.E0); +#26204=CARTESIAN_POINT('',(-2.45E0,-2.155E0,-1.765E1)); +#26205=LINE('',#26204,#26203); +#26206=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26207=VECTOR('',#26206,6.3E-1); +#26208=CARTESIAN_POINT('',(-2.45E0,-2.155E0,-1.765E1)); +#26209=LINE('',#26208,#26207); +#26210=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26211=VECTOR('',#26210,6.E-1); +#26212=CARTESIAN_POINT('',(-1.85E0,-2.155E0,-1.765E1)); +#26213=LINE('',#26212,#26211); +#26214=DIRECTION('',(0.E0,0.E0,1.E0)); +#26215=VECTOR('',#26214,7.E0); +#26216=CARTESIAN_POINT('',(-1.85E0,-2.155E0,-1.765E1)); +#26217=LINE('',#26216,#26215); +#26218=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26219=VECTOR('',#26218,8.E-1); +#26220=CARTESIAN_POINT('',(-1.35E0,-2.07E0,-1.765E1)); +#26221=LINE('',#26220,#26219); +#26222=DIRECTION('',(0.E0,1.E0,0.E0)); +#26223=VECTOR('',#26222,8.E-1); +#26224=CARTESIAN_POINT('',(-2.95E0,-2.87E0,-1.765E1)); +#26225=LINE('',#26224,#26223); +#26226=DIRECTION('',(1.E0,0.E0,0.E0)); +#26227=VECTOR('',#26226,1.6E0); +#26228=CARTESIAN_POINT('',(-2.95E0,-2.07E0,-1.765E1)); +#26229=LINE('',#26228,#26227); +#26230=DIRECTION('',(0.E0,0.E0,1.E0)); +#26231=VECTOR('',#26230,7.E0); +#26232=CARTESIAN_POINT('',(-2.45E0,-2.785E0,-1.765E1)); +#26233=LINE('',#26232,#26231); +#26234=DIRECTION('',(1.E0,0.E0,0.E0)); +#26235=VECTOR('',#26234,6.E-1); +#26236=CARTESIAN_POINT('',(-2.45E0,-2.785E0,-1.765E1)); +#26237=LINE('',#26236,#26235); +#26238=DIRECTION('',(0.E0,0.E0,1.E0)); +#26239=VECTOR('',#26238,7.E0); +#26240=CARTESIAN_POINT('',(-1.85E0,-2.785E0,-1.765E1)); +#26241=LINE('',#26240,#26239); +#26242=DIRECTION('',(0.E0,1.E0,0.E0)); +#26243=VECTOR('',#26242,6.3E-1); +#26244=CARTESIAN_POINT('',(-1.85E0,-2.785E0,-1.765E1)); +#26245=LINE('',#26244,#26243); +#26246=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#26247=VECTOR('',#26246,5.196152422707E-1); +#26248=CARTESIAN_POINT('',(-1.35E0,-2.07E0,-1.765E1)); +#26249=LINE('',#26248,#26247); +#26250=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#26251=VECTOR('',#26250,5.196152422707E-1); +#26252=CARTESIAN_POINT('',(-3.25E0,-1.77E0,-1.795E1)); +#26253=LINE('',#26252,#26251); +#26254=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26255=VECTOR('',#26254,2.2E0); +#26256=CARTESIAN_POINT('',(-1.05E0,-1.77E0,-1.795E1)); +#26257=LINE('',#26256,#26255); +#26258=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#26259=VECTOR('',#26258,5.196152422707E-1); +#26260=CARTESIAN_POINT('',(-1.05E0,-3.17E0,-1.795E1)); +#26261=LINE('',#26260,#26259); +#26262=DIRECTION('',(1.E0,0.E0,0.E0)); +#26263=VECTOR('',#26262,2.2E0); +#26264=CARTESIAN_POINT('',(-3.25E0,-3.17E0,-1.795E1)); +#26265=LINE('',#26264,#26263); +#26266=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#26267=VECTOR('',#26266,5.196152422707E-1); +#26268=CARTESIAN_POINT('',(-2.95E0,-2.87E0,-1.765E1)); +#26269=LINE('',#26268,#26267); +#26270=DIRECTION('',(1.E0,0.E0,0.E0)); +#26271=VECTOR('',#26270,1.6E0); +#26272=CARTESIAN_POINT('',(-2.95E0,-2.87E0,-1.765E1)); +#26273=LINE('',#26272,#26271); +#26274=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#26275=VECTOR('',#26274,2.007639675087E0); +#26276=CARTESIAN_POINT('',(-3.25E0,-3.344977327052E0,-1.995E1)); +#26277=LINE('',#26276,#26275); +#26278=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#26279=VECTOR('',#26278,2.007639675087E0); +#26280=CARTESIAN_POINT('',(-1.05E0,-3.17E0,-1.795E1)); +#26281=LINE('',#26280,#26279); +#26282=DIRECTION('',(1.212863046706E-14,-8.191520442890E-1,-5.735764363510E-1)); +#26283=VECTOR('',#26282,1.464598038686E-1); +#26284=CARTESIAN_POINT('',(-1.05E0,7.197627763800E0,-1.986599410763E1)); +#26285=LINE('',#26284,#26283); +#26286=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26287=VECTOR('',#26286,1.622632243107E0); +#26288=CARTESIAN_POINT('',(-1.05E0,7.077654916055E0,-1.995E1)); +#26289=LINE('',#26288,#26287); +#26290=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26291=VECTOR('',#26290,1.4E0); +#26292=CARTESIAN_POINT('',(-1.05E0,7.03E0,-1.795E1)); +#26293=LINE('',#26292,#26291); +#26294=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#26295=VECTOR('',#26294,1.923312893854E0); +#26296=CARTESIAN_POINT('',(-1.05E0,7.197627763800E0,-1.986599410763E1)); +#26297=LINE('',#26296,#26295); +#26298=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#26299=VECTOR('',#26298,2.168191881447E-1); +#26300=CARTESIAN_POINT('',(-1.05E0,-1.605902971128E0,-1.982563762273E1)); +#26301=LINE('',#26300,#26299); +#26302=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26303=VECTOR('',#26302,1.561466474714E0); +#26304=CARTESIAN_POINT('',(-1.05E0,-1.783510852338E0,-1.995E1)); +#26305=LINE('',#26304,#26303); +#26306=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26307=VECTOR('',#26306,1.4E0); +#26308=CARTESIAN_POINT('',(-1.05E0,-1.77E0,-1.795E1)); +#26309=LINE('',#26308,#26307); +#26310=DIRECTION('',(1.E0,0.E0,0.E0)); +#26311=VECTOR('',#26310,2.2E0); +#26312=CARTESIAN_POINT('',(-1.1E0,9.63E0,-1.816282873211E1)); +#26313=LINE('',#26312,#26311); +#26314=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26315=VECTOR('',#26314,2.2E0); +#26316=CARTESIAN_POINT('',(1.1E0,9.63E0,-1.795E1)); +#26317=LINE('',#26316,#26315); +#26318=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#26319=VECTOR('',#26318,5.196152422707E-1); +#26320=CARTESIAN_POINT('',(-8.E-1,9.93E0,-1.765E1)); +#26321=LINE('',#26320,#26319); +#26322=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#26323=VECTOR('',#26322,5.196152422707E-1); +#26324=CARTESIAN_POINT('',(1.1E0,9.63E0,-1.795E1)); +#26325=LINE('',#26324,#26323); +#26326=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#26327=VECTOR('',#26326,1.464598038686E-1); +#26328=CARTESIAN_POINT('',(1.05E0,7.197627763800E0,-1.986599410763E1)); +#26329=LINE('',#26328,#26327); +#26330=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#26331=VECTOR('',#26330,1.923312893854E0); +#26332=CARTESIAN_POINT('',(1.05E0,7.197627763800E0,-1.986599410763E1)); +#26333=LINE('',#26332,#26331); +#26334=DIRECTION('',(0.E0,1.E0,0.E0)); +#26335=VECTOR('',#26334,1.4E0); +#26336=CARTESIAN_POINT('',(1.05E0,5.63E0,-1.795E1)); +#26337=LINE('',#26336,#26335); +#26338=DIRECTION('',(0.E0,1.E0,0.E0)); +#26339=VECTOR('',#26338,1.622632243107E0); +#26340=CARTESIAN_POINT('',(1.05E0,5.455022672948E0,-1.995E1)); +#26341=LINE('',#26340,#26339); +#26342=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#26343=VECTOR('',#26342,2.168191881447E-1); +#26344=CARTESIAN_POINT('',(1.05E0,-1.605902971128E0,-1.982563762273E1)); +#26345=LINE('',#26344,#26343); +#26346=DIRECTION('',(0.E0,1.E0,0.E0)); +#26347=VECTOR('',#26346,1.4E0); +#26348=CARTESIAN_POINT('',(1.05E0,-3.17E0,-1.795E1)); +#26349=LINE('',#26348,#26347); +#26350=DIRECTION('',(0.E0,1.E0,0.E0)); +#26351=VECTOR('',#26350,1.561466474714E0); +#26352=CARTESIAN_POINT('',(1.05E0,-3.344977327052E0,-1.995E1)); +#26353=LINE('',#26352,#26351); +#26354=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26355=VECTOR('',#26354,8.E-1); +#26356=CARTESIAN_POINT('',(2.95E0,6.73E0,-1.765E1)); +#26357=LINE('',#26356,#26355); +#26358=DIRECTION('',(0.E0,1.E0,0.E0)); +#26359=VECTOR('',#26358,8.E-1); +#26360=CARTESIAN_POINT('',(1.35E0,5.93E0,-1.765E1)); +#26361=LINE('',#26360,#26359); +#26362=DIRECTION('',(1.E0,0.E0,0.E0)); +#26363=VECTOR('',#26362,1.6E0); +#26364=CARTESIAN_POINT('',(1.35E0,6.73E0,-1.765E1)); +#26365=LINE('',#26364,#26363); +#26366=DIRECTION('',(0.E0,0.E0,1.E0)); +#26367=VECTOR('',#26366,7.E0); +#26368=CARTESIAN_POINT('',(1.85E0,6.645E0,-1.765E1)); +#26369=LINE('',#26368,#26367); +#26370=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26371=VECTOR('',#26370,6.3E-1); +#26372=CARTESIAN_POINT('',(1.85E0,6.645E0,-1.765E1)); +#26373=LINE('',#26372,#26371); +#26374=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26375=VECTOR('',#26374,6.E-1); +#26376=CARTESIAN_POINT('',(2.45E0,6.645E0,-1.765E1)); +#26377=LINE('',#26376,#26375); +#26378=DIRECTION('',(0.E0,0.E0,1.E0)); +#26379=VECTOR('',#26378,7.E0); +#26380=CARTESIAN_POINT('',(2.45E0,6.645E0,-1.765E1)); +#26381=LINE('',#26380,#26379); +#26382=DIRECTION('',(0.E0,0.E0,1.E0)); +#26383=VECTOR('',#26382,7.E0); +#26384=CARTESIAN_POINT('',(2.45E0,6.015E0,-1.765E1)); +#26385=LINE('',#26384,#26383); +#26386=DIRECTION('',(0.E0,1.E0,0.E0)); +#26387=VECTOR('',#26386,6.3E-1); +#26388=CARTESIAN_POINT('',(2.45E0,6.015E0,-1.765E1)); +#26389=LINE('',#26388,#26387); +#26390=DIRECTION('',(0.E0,0.E0,1.E0)); +#26391=VECTOR('',#26390,7.E0); +#26392=CARTESIAN_POINT('',(1.85E0,6.015E0,-1.765E1)); +#26393=LINE('',#26392,#26391); +#26394=DIRECTION('',(1.E0,0.E0,0.E0)); +#26395=VECTOR('',#26394,6.E-1); +#26396=CARTESIAN_POINT('',(1.85E0,6.015E0,-1.765E1)); +#26397=LINE('',#26396,#26395); +#26398=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26399=VECTOR('',#26398,6.3E-1); +#26400=CARTESIAN_POINT('',(1.85E0,-2.155E0,-1.065E1)); +#26401=LINE('',#26400,#26399); +#26402=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26403=VECTOR('',#26402,6.E-1); +#26404=CARTESIAN_POINT('',(2.45E0,-2.155E0,-1.065E1)); +#26405=LINE('',#26404,#26403); +#26406=DIRECTION('',(0.E0,1.E0,0.E0)); +#26407=VECTOR('',#26406,6.3E-1); +#26408=CARTESIAN_POINT('',(2.45E0,-2.785E0,-1.065E1)); +#26409=LINE('',#26408,#26407); +#26410=DIRECTION('',(1.E0,0.E0,0.E0)); +#26411=VECTOR('',#26410,6.E-1); +#26412=CARTESIAN_POINT('',(1.85E0,-2.785E0,-1.065E1)); +#26413=LINE('',#26412,#26411); +#26414=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26415=VECTOR('',#26414,6.3E-1); +#26416=CARTESIAN_POINT('',(1.85E0,6.645E0,-1.065E1)); +#26417=LINE('',#26416,#26415); +#26418=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26419=VECTOR('',#26418,6.E-1); +#26420=CARTESIAN_POINT('',(2.45E0,6.645E0,-1.065E1)); +#26421=LINE('',#26420,#26419); +#26422=DIRECTION('',(0.E0,1.E0,0.E0)); +#26423=VECTOR('',#26422,6.3E-1); +#26424=CARTESIAN_POINT('',(2.45E0,6.015E0,-1.065E1)); +#26425=LINE('',#26424,#26423); +#26426=DIRECTION('',(1.E0,0.E0,0.E0)); +#26427=VECTOR('',#26426,6.E-1); +#26428=CARTESIAN_POINT('',(1.85E0,6.015E0,-1.065E1)); +#26429=LINE('',#26428,#26427); +#26430=DIRECTION('',(0.E0,0.E0,1.E0)); +#26431=VECTOR('',#26430,7.E0); +#26432=CARTESIAN_POINT('',(1.85E0,-2.155E0,-1.765E1)); +#26433=LINE('',#26432,#26431); +#26434=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26435=VECTOR('',#26434,6.3E-1); +#26436=CARTESIAN_POINT('',(1.85E0,-2.155E0,-1.765E1)); +#26437=LINE('',#26436,#26435); +#26438=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26439=VECTOR('',#26438,6.E-1); +#26440=CARTESIAN_POINT('',(2.45E0,-2.155E0,-1.765E1)); +#26441=LINE('',#26440,#26439); +#26442=DIRECTION('',(0.E0,0.E0,1.E0)); +#26443=VECTOR('',#26442,7.E0); +#26444=CARTESIAN_POINT('',(2.45E0,-2.155E0,-1.765E1)); +#26445=LINE('',#26444,#26443); +#26446=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26447=VECTOR('',#26446,8.E-1); +#26448=CARTESIAN_POINT('',(2.95E0,-2.07E0,-1.765E1)); +#26449=LINE('',#26448,#26447); +#26450=DIRECTION('',(0.E0,1.E0,0.E0)); +#26451=VECTOR('',#26450,8.E-1); +#26452=CARTESIAN_POINT('',(1.35E0,-2.87E0,-1.765E1)); +#26453=LINE('',#26452,#26451); +#26454=DIRECTION('',(1.E0,0.E0,0.E0)); +#26455=VECTOR('',#26454,1.6E0); +#26456=CARTESIAN_POINT('',(1.35E0,-2.07E0,-1.765E1)); +#26457=LINE('',#26456,#26455); +#26458=DIRECTION('',(0.E0,0.E0,1.E0)); +#26459=VECTOR('',#26458,7.E0); +#26460=CARTESIAN_POINT('',(1.85E0,-2.785E0,-1.765E1)); +#26461=LINE('',#26460,#26459); +#26462=DIRECTION('',(1.E0,0.E0,0.E0)); +#26463=VECTOR('',#26462,6.E-1); +#26464=CARTESIAN_POINT('',(1.85E0,-2.785E0,-1.765E1)); +#26465=LINE('',#26464,#26463); +#26466=DIRECTION('',(0.E0,0.E0,1.E0)); +#26467=VECTOR('',#26466,7.E0); +#26468=CARTESIAN_POINT('',(2.45E0,-2.785E0,-1.765E1)); +#26469=LINE('',#26468,#26467); +#26470=DIRECTION('',(0.E0,1.E0,0.E0)); +#26471=VECTOR('',#26470,6.3E-1); +#26472=CARTESIAN_POINT('',(2.45E0,-2.785E0,-1.765E1)); +#26473=LINE('',#26472,#26471); +#26474=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#26475=VECTOR('',#26474,5.196152422707E-1); +#26476=CARTESIAN_POINT('',(2.95E0,-2.07E0,-1.765E1)); +#26477=LINE('',#26476,#26475); +#26478=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#26479=VECTOR('',#26478,5.196152422707E-1); +#26480=CARTESIAN_POINT('',(1.05E0,-1.77E0,-1.795E1)); +#26481=LINE('',#26480,#26479); +#26482=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26483=VECTOR('',#26482,2.2E0); +#26484=CARTESIAN_POINT('',(3.25E0,-1.77E0,-1.795E1)); +#26485=LINE('',#26484,#26483); +#26486=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#26487=VECTOR('',#26486,5.196152422707E-1); +#26488=CARTESIAN_POINT('',(3.25E0,-3.17E0,-1.795E1)); +#26489=LINE('',#26488,#26487); +#26490=DIRECTION('',(1.E0,0.E0,0.E0)); +#26491=VECTOR('',#26490,2.2E0); +#26492=CARTESIAN_POINT('',(1.05E0,-3.17E0,-1.795E1)); +#26493=LINE('',#26492,#26491); +#26494=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#26495=VECTOR('',#26494,5.196152422707E-1); +#26496=CARTESIAN_POINT('',(1.35E0,-2.87E0,-1.765E1)); +#26497=LINE('',#26496,#26495); +#26498=DIRECTION('',(1.E0,0.E0,0.E0)); +#26499=VECTOR('',#26498,1.6E0); +#26500=CARTESIAN_POINT('',(1.35E0,-2.87E0,-1.765E1)); +#26501=LINE('',#26500,#26499); +#26502=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#26503=VECTOR('',#26502,2.007639675087E0); +#26504=CARTESIAN_POINT('',(1.05E0,-3.344977327052E0,-1.995E1)); +#26505=LINE('',#26504,#26503); +#26506=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#26507=VECTOR('',#26506,2.007639675087E0); +#26508=CARTESIAN_POINT('',(3.25E0,-3.17E0,-1.795E1)); +#26509=LINE('',#26508,#26507); +#26510=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#26511=VECTOR('',#26510,1.464598038686E-1); +#26512=CARTESIAN_POINT('',(3.25E0,7.197627763800E0,-1.986599410763E1)); +#26513=LINE('',#26512,#26511); +#26514=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26515=VECTOR('',#26514,1.622632243107E0); +#26516=CARTESIAN_POINT('',(3.25E0,7.077654916055E0,-1.995E1)); +#26517=LINE('',#26516,#26515); +#26518=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26519=VECTOR('',#26518,1.4E0); +#26520=CARTESIAN_POINT('',(3.25E0,7.03E0,-1.795E1)); +#26521=LINE('',#26520,#26519); +#26522=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#26523=VECTOR('',#26522,1.923312893854E0); +#26524=CARTESIAN_POINT('',(3.25E0,7.197627763800E0,-1.986599410763E1)); +#26525=LINE('',#26524,#26523); +#26526=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#26527=VECTOR('',#26526,2.168191881447E-1); +#26528=CARTESIAN_POINT('',(3.25E0,-1.605902971128E0,-1.982563762273E1)); +#26529=LINE('',#26528,#26527); +#26530=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26531=VECTOR('',#26530,1.561466474714E0); +#26532=CARTESIAN_POINT('',(3.25E0,-1.783510852338E0,-1.995E1)); +#26533=LINE('',#26532,#26531); +#26534=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26535=VECTOR('',#26534,1.4E0); +#26536=CARTESIAN_POINT('',(3.25E0,-1.77E0,-1.795E1)); +#26537=LINE('',#26536,#26535); +#26538=DIRECTION('',(1.E0,0.E0,0.E0)); +#26539=VECTOR('',#26538,2.2E0); +#26540=CARTESIAN_POINT('',(3.2E0,9.63E0,-1.816282873211E1)); +#26541=LINE('',#26540,#26539); +#26542=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26543=VECTOR('',#26542,2.2E0); +#26544=CARTESIAN_POINT('',(5.4E0,9.63E0,-1.795E1)); +#26545=LINE('',#26544,#26543); +#26546=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#26547=VECTOR('',#26546,5.196152422707E-1); +#26548=CARTESIAN_POINT('',(3.5E0,9.93E0,-1.765E1)); +#26549=LINE('',#26548,#26547); +#26550=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#26551=VECTOR('',#26550,5.196152422707E-1); +#26552=CARTESIAN_POINT('',(5.4E0,9.63E0,-1.795E1)); +#26553=LINE('',#26552,#26551); +#26554=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#26555=VECTOR('',#26554,1.464598038686E-1); +#26556=CARTESIAN_POINT('',(5.35E0,7.197627763800E0,-1.986599410763E1)); +#26557=LINE('',#26556,#26555); +#26558=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#26559=VECTOR('',#26558,1.923312893854E0); +#26560=CARTESIAN_POINT('',(5.35E0,7.197627763800E0,-1.986599410763E1)); +#26561=LINE('',#26560,#26559); +#26562=DIRECTION('',(0.E0,1.E0,0.E0)); +#26563=VECTOR('',#26562,1.4E0); +#26564=CARTESIAN_POINT('',(5.35E0,5.63E0,-1.795E1)); +#26565=LINE('',#26564,#26563); +#26566=DIRECTION('',(0.E0,1.E0,0.E0)); +#26567=VECTOR('',#26566,1.622632243107E0); +#26568=CARTESIAN_POINT('',(5.35E0,5.455022672948E0,-1.995E1)); +#26569=LINE('',#26568,#26567); +#26570=DIRECTION('',(-1.638560548631E-14,-8.191520442890E-1, +-5.735764363510E-1)); +#26571=VECTOR('',#26570,2.168191881447E-1); +#26572=CARTESIAN_POINT('',(5.35E0,-1.605902971128E0,-1.982563762273E1)); +#26573=LINE('',#26572,#26571); +#26574=DIRECTION('',(0.E0,1.E0,0.E0)); +#26575=VECTOR('',#26574,1.4E0); +#26576=CARTESIAN_POINT('',(5.35E0,-3.17E0,-1.795E1)); +#26577=LINE('',#26576,#26575); +#26578=DIRECTION('',(0.E0,1.E0,0.E0)); +#26579=VECTOR('',#26578,1.561466474714E0); +#26580=CARTESIAN_POINT('',(5.35E0,-3.344977327052E0,-1.995E1)); +#26581=LINE('',#26580,#26579); +#26582=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26583=VECTOR('',#26582,8.E-1); +#26584=CARTESIAN_POINT('',(7.25E0,6.73E0,-1.765E1)); +#26585=LINE('',#26584,#26583); +#26586=DIRECTION('',(0.E0,1.E0,0.E0)); +#26587=VECTOR('',#26586,8.E-1); +#26588=CARTESIAN_POINT('',(5.65E0,5.93E0,-1.765E1)); +#26589=LINE('',#26588,#26587); +#26590=DIRECTION('',(1.E0,0.E0,0.E0)); +#26591=VECTOR('',#26590,1.6E0); +#26592=CARTESIAN_POINT('',(5.65E0,6.73E0,-1.765E1)); +#26593=LINE('',#26592,#26591); +#26594=DIRECTION('',(0.E0,0.E0,1.E0)); +#26595=VECTOR('',#26594,7.E0); +#26596=CARTESIAN_POINT('',(6.15E0,6.645E0,-1.765E1)); +#26597=LINE('',#26596,#26595); +#26598=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26599=VECTOR('',#26598,6.3E-1); +#26600=CARTESIAN_POINT('',(6.15E0,6.645E0,-1.765E1)); +#26601=LINE('',#26600,#26599); +#26602=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26603=VECTOR('',#26602,6.E-1); +#26604=CARTESIAN_POINT('',(6.75E0,6.645E0,-1.765E1)); +#26605=LINE('',#26604,#26603); +#26606=DIRECTION('',(0.E0,0.E0,1.E0)); +#26607=VECTOR('',#26606,7.E0); +#26608=CARTESIAN_POINT('',(6.75E0,6.645E0,-1.765E1)); +#26609=LINE('',#26608,#26607); +#26610=DIRECTION('',(0.E0,0.E0,1.E0)); +#26611=VECTOR('',#26610,7.E0); +#26612=CARTESIAN_POINT('',(6.75E0,6.015E0,-1.765E1)); +#26613=LINE('',#26612,#26611); +#26614=DIRECTION('',(0.E0,1.E0,0.E0)); +#26615=VECTOR('',#26614,6.3E-1); +#26616=CARTESIAN_POINT('',(6.75E0,6.015E0,-1.765E1)); +#26617=LINE('',#26616,#26615); +#26618=DIRECTION('',(0.E0,0.E0,1.E0)); +#26619=VECTOR('',#26618,7.E0); +#26620=CARTESIAN_POINT('',(6.15E0,6.015E0,-1.765E1)); +#26621=LINE('',#26620,#26619); +#26622=DIRECTION('',(1.E0,0.E0,0.E0)); +#26623=VECTOR('',#26622,6.E-1); +#26624=CARTESIAN_POINT('',(6.15E0,6.015E0,-1.765E1)); +#26625=LINE('',#26624,#26623); +#26626=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26627=VECTOR('',#26626,6.3E-1); +#26628=CARTESIAN_POINT('',(6.15E0,-2.155E0,-1.065E1)); +#26629=LINE('',#26628,#26627); +#26630=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26631=VECTOR('',#26630,6.E-1); +#26632=CARTESIAN_POINT('',(6.75E0,-2.155E0,-1.065E1)); +#26633=LINE('',#26632,#26631); +#26634=DIRECTION('',(0.E0,1.E0,0.E0)); +#26635=VECTOR('',#26634,6.3E-1); +#26636=CARTESIAN_POINT('',(6.75E0,-2.785E0,-1.065E1)); +#26637=LINE('',#26636,#26635); +#26638=DIRECTION('',(1.E0,0.E0,0.E0)); +#26639=VECTOR('',#26638,6.E-1); +#26640=CARTESIAN_POINT('',(6.15E0,-2.785E0,-1.065E1)); +#26641=LINE('',#26640,#26639); +#26642=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26643=VECTOR('',#26642,6.3E-1); +#26644=CARTESIAN_POINT('',(6.15E0,6.645E0,-1.065E1)); +#26645=LINE('',#26644,#26643); +#26646=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26647=VECTOR('',#26646,6.E-1); +#26648=CARTESIAN_POINT('',(6.75E0,6.645E0,-1.065E1)); +#26649=LINE('',#26648,#26647); +#26650=DIRECTION('',(0.E0,1.E0,0.E0)); +#26651=VECTOR('',#26650,6.3E-1); +#26652=CARTESIAN_POINT('',(6.75E0,6.015E0,-1.065E1)); +#26653=LINE('',#26652,#26651); +#26654=DIRECTION('',(1.E0,0.E0,0.E0)); +#26655=VECTOR('',#26654,6.E-1); +#26656=CARTESIAN_POINT('',(6.15E0,6.015E0,-1.065E1)); +#26657=LINE('',#26656,#26655); +#26658=DIRECTION('',(0.E0,0.E0,1.E0)); +#26659=VECTOR('',#26658,7.E0); +#26660=CARTESIAN_POINT('',(6.15E0,-2.155E0,-1.765E1)); +#26661=LINE('',#26660,#26659); +#26662=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26663=VECTOR('',#26662,6.3E-1); +#26664=CARTESIAN_POINT('',(6.15E0,-2.155E0,-1.765E1)); +#26665=LINE('',#26664,#26663); +#26666=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26667=VECTOR('',#26666,6.E-1); +#26668=CARTESIAN_POINT('',(6.75E0,-2.155E0,-1.765E1)); +#26669=LINE('',#26668,#26667); +#26670=DIRECTION('',(0.E0,0.E0,1.E0)); +#26671=VECTOR('',#26670,7.E0); +#26672=CARTESIAN_POINT('',(6.75E0,-2.155E0,-1.765E1)); +#26673=LINE('',#26672,#26671); +#26674=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26675=VECTOR('',#26674,8.E-1); +#26676=CARTESIAN_POINT('',(7.25E0,-2.07E0,-1.765E1)); +#26677=LINE('',#26676,#26675); +#26678=DIRECTION('',(0.E0,1.E0,0.E0)); +#26679=VECTOR('',#26678,8.E-1); +#26680=CARTESIAN_POINT('',(5.65E0,-2.87E0,-1.765E1)); +#26681=LINE('',#26680,#26679); +#26682=DIRECTION('',(1.E0,0.E0,0.E0)); +#26683=VECTOR('',#26682,1.6E0); +#26684=CARTESIAN_POINT('',(5.65E0,-2.07E0,-1.765E1)); +#26685=LINE('',#26684,#26683); +#26686=DIRECTION('',(0.E0,0.E0,1.E0)); +#26687=VECTOR('',#26686,7.E0); +#26688=CARTESIAN_POINT('',(6.15E0,-2.785E0,-1.765E1)); +#26689=LINE('',#26688,#26687); +#26690=DIRECTION('',(1.E0,0.E0,0.E0)); +#26691=VECTOR('',#26690,6.E-1); +#26692=CARTESIAN_POINT('',(6.15E0,-2.785E0,-1.765E1)); +#26693=LINE('',#26692,#26691); +#26694=DIRECTION('',(0.E0,0.E0,1.E0)); +#26695=VECTOR('',#26694,7.E0); +#26696=CARTESIAN_POINT('',(6.75E0,-2.785E0,-1.765E1)); +#26697=LINE('',#26696,#26695); +#26698=DIRECTION('',(0.E0,1.E0,0.E0)); +#26699=VECTOR('',#26698,6.3E-1); +#26700=CARTESIAN_POINT('',(6.75E0,-2.785E0,-1.765E1)); +#26701=LINE('',#26700,#26699); +#26702=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#26703=VECTOR('',#26702,5.196152422707E-1); +#26704=CARTESIAN_POINT('',(7.25E0,-2.07E0,-1.765E1)); +#26705=LINE('',#26704,#26703); +#26706=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#26707=VECTOR('',#26706,5.196152422707E-1); +#26708=CARTESIAN_POINT('',(5.35E0,-1.77E0,-1.795E1)); +#26709=LINE('',#26708,#26707); +#26710=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26711=VECTOR('',#26710,2.2E0); +#26712=CARTESIAN_POINT('',(7.55E0,-1.77E0,-1.795E1)); +#26713=LINE('',#26712,#26711); +#26714=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#26715=VECTOR('',#26714,5.196152422707E-1); +#26716=CARTESIAN_POINT('',(7.55E0,-3.17E0,-1.795E1)); +#26717=LINE('',#26716,#26715); +#26718=DIRECTION('',(1.E0,0.E0,0.E0)); +#26719=VECTOR('',#26718,2.2E0); +#26720=CARTESIAN_POINT('',(5.35E0,-3.17E0,-1.795E1)); +#26721=LINE('',#26720,#26719); +#26722=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#26723=VECTOR('',#26722,5.196152422707E-1); +#26724=CARTESIAN_POINT('',(5.65E0,-2.87E0,-1.765E1)); +#26725=LINE('',#26724,#26723); +#26726=DIRECTION('',(1.E0,0.E0,0.E0)); +#26727=VECTOR('',#26726,1.6E0); +#26728=CARTESIAN_POINT('',(5.65E0,-2.87E0,-1.765E1)); +#26729=LINE('',#26728,#26727); +#26730=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#26731=VECTOR('',#26730,2.007639675087E0); +#26732=CARTESIAN_POINT('',(5.35E0,-3.344977327052E0,-1.995E1)); +#26733=LINE('',#26732,#26731); +#26734=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#26735=VECTOR('',#26734,2.007639675087E0); +#26736=CARTESIAN_POINT('',(7.55E0,-3.17E0,-1.795E1)); +#26737=LINE('',#26736,#26735); +#26738=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#26739=VECTOR('',#26738,1.464598038686E-1); +#26740=CARTESIAN_POINT('',(7.55E0,7.197627763800E0,-1.986599410763E1)); +#26741=LINE('',#26740,#26739); +#26742=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26743=VECTOR('',#26742,1.622632243107E0); +#26744=CARTESIAN_POINT('',(7.55E0,7.077654916055E0,-1.995E1)); +#26745=LINE('',#26744,#26743); +#26746=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26747=VECTOR('',#26746,1.4E0); +#26748=CARTESIAN_POINT('',(7.55E0,7.03E0,-1.795E1)); +#26749=LINE('',#26748,#26747); +#26750=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#26751=VECTOR('',#26750,1.923312893854E0); +#26752=CARTESIAN_POINT('',(7.55E0,7.197627763800E0,-1.986599410763E1)); +#26753=LINE('',#26752,#26751); +#26754=DIRECTION('',(-1.228920411473E-14,-8.191520442890E-1, +-5.735764363510E-1)); +#26755=VECTOR('',#26754,2.168191881447E-1); +#26756=CARTESIAN_POINT('',(7.55E0,-1.605902971128E0,-1.982563762273E1)); +#26757=LINE('',#26756,#26755); +#26758=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26759=VECTOR('',#26758,1.561466474714E0); +#26760=CARTESIAN_POINT('',(7.55E0,-1.783510852338E0,-1.995E1)); +#26761=LINE('',#26760,#26759); +#26762=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26763=VECTOR('',#26762,1.4E0); +#26764=CARTESIAN_POINT('',(7.55E0,-1.77E0,-1.795E1)); +#26765=LINE('',#26764,#26763); +#26766=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#26767=VECTOR('',#26766,2.007639675087E0); +#26768=CARTESIAN_POINT('',(5.35E0,5.455022672948E0,-1.995E1)); +#26769=LINE('',#26768,#26767); +#26770=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#26771=VECTOR('',#26770,2.007639675087E0); +#26772=CARTESIAN_POINT('',(7.55E0,5.63E0,-1.795E1)); +#26773=LINE('',#26772,#26771); +#26774=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#26775=VECTOR('',#26774,5.196152422707E-1); +#26776=CARTESIAN_POINT('',(7.55E0,5.63E0,-1.795E1)); +#26777=LINE('',#26776,#26775); +#26778=DIRECTION('',(1.E0,0.E0,0.E0)); +#26779=VECTOR('',#26778,2.2E0); +#26780=CARTESIAN_POINT('',(5.35E0,5.63E0,-1.795E1)); +#26781=LINE('',#26780,#26779); +#26782=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#26783=VECTOR('',#26782,5.196152422707E-1); +#26784=CARTESIAN_POINT('',(5.65E0,5.93E0,-1.765E1)); +#26785=LINE('',#26784,#26783); +#26786=DIRECTION('',(1.E0,0.E0,0.E0)); +#26787=VECTOR('',#26786,1.6E0); +#26788=CARTESIAN_POINT('',(5.65E0,5.93E0,-1.765E1)); +#26789=LINE('',#26788,#26787); +#26790=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#26791=VECTOR('',#26790,5.196152422707E-1); +#26792=CARTESIAN_POINT('',(7.25E0,6.73E0,-1.765E1)); +#26793=LINE('',#26792,#26791); +#26794=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#26795=VECTOR('',#26794,5.196152422707E-1); +#26796=CARTESIAN_POINT('',(5.35E0,7.03E0,-1.795E1)); +#26797=LINE('',#26796,#26795); +#26798=DIRECTION('',(1.E0,0.E0,0.E0)); +#26799=VECTOR('',#26798,2.2E0); +#26800=CARTESIAN_POINT('',(5.35E0,7.03E0,-1.795E1)); +#26801=LINE('',#26800,#26799); +#26802=DIRECTION('',(1.E0,0.E0,0.E0)); +#26803=VECTOR('',#26802,2.2E0); +#26804=CARTESIAN_POINT('',(7.5E0,8.3E-1,-1.812E1)); +#26805=LINE('',#26804,#26803); +#26806=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#26807=VECTOR('',#26806,1.248374213462E-1); +#26808=CARTESIAN_POINT('',(7.5E0,-1.605902971128E0,-1.982563762273E1)); +#26809=LINE('',#26808,#26807); +#26810=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26811=VECTOR('',#26810,5.E-2); +#26812=CARTESIAN_POINT('',(7.55E0,-1.605902971128E0,-1.982563762273E1)); +#26813=LINE('',#26812,#26811); +#26814=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#26815=VECTOR('',#26814,1.882802253740E0); +#26816=CARTESIAN_POINT('',(7.55E0,-1.605902971128E0,-1.982563762273E1)); +#26817=LINE('',#26816,#26815); +#26818=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#26819=VECTOR('',#26818,1.882802253740E0); +#26820=CARTESIAN_POINT('',(5.35E0,-1.77E0,-1.795E1)); +#26821=LINE('',#26820,#26819); +#26822=DIRECTION('',(1.E0,0.E0,0.E0)); +#26823=VECTOR('',#26822,5.000000000001E-2); +#26824=CARTESIAN_POINT('',(5.35E0,-1.605902971128E0,-1.982563762273E1)); +#26825=LINE('',#26824,#26823); +#26826=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#26827=VECTOR('',#26826,1.248374213462E-1); +#26828=CARTESIAN_POINT('',(5.4E0,-1.605902971128E0,-1.982563762273E1)); +#26829=LINE('',#26828,#26827); +#26830=DIRECTION('',(1.E0,0.E0,0.E0)); +#26831=VECTOR('',#26830,2.2E0); +#26832=CARTESIAN_POINT('',(3.2E0,8.3E-1,-1.812E1)); +#26833=LINE('',#26832,#26831); +#26834=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#26835=VECTOR('',#26834,1.248374213462E-1); +#26836=CARTESIAN_POINT('',(3.2E0,-1.605902971128E0,-1.982563762273E1)); +#26837=LINE('',#26836,#26835); +#26838=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26839=VECTOR('',#26838,5.E-2); +#26840=CARTESIAN_POINT('',(3.25E0,-1.605902971128E0,-1.982563762273E1)); +#26841=LINE('',#26840,#26839); +#26842=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#26843=VECTOR('',#26842,1.882802253740E0); +#26844=CARTESIAN_POINT('',(3.25E0,-1.605902971128E0,-1.982563762273E1)); +#26845=LINE('',#26844,#26843); +#26846=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#26847=VECTOR('',#26846,1.882802253740E0); +#26848=CARTESIAN_POINT('',(1.05E0,-1.77E0,-1.795E1)); +#26849=LINE('',#26848,#26847); +#26850=DIRECTION('',(1.E0,0.E0,0.E0)); +#26851=VECTOR('',#26850,5.E-2); +#26852=CARTESIAN_POINT('',(1.05E0,-1.605902971128E0,-1.982563762273E1)); +#26853=LINE('',#26852,#26851); +#26854=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#26855=VECTOR('',#26854,1.248374213462E-1); +#26856=CARTESIAN_POINT('',(1.1E0,-1.605902971128E0,-1.982563762273E1)); +#26857=LINE('',#26856,#26855); +#26858=DIRECTION('',(1.E0,0.E0,0.E0)); +#26859=VECTOR('',#26858,2.2E0); +#26860=CARTESIAN_POINT('',(-1.1E0,8.3E-1,-1.812E1)); +#26861=LINE('',#26860,#26859); +#26862=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#26863=VECTOR('',#26862,1.248374213462E-1); +#26864=CARTESIAN_POINT('',(-1.1E0,-1.605902971128E0,-1.982563762273E1)); +#26865=LINE('',#26864,#26863); +#26866=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26867=VECTOR('',#26866,5.E-2); +#26868=CARTESIAN_POINT('',(-1.05E0,-1.605902971128E0,-1.982563762273E1)); +#26869=LINE('',#26868,#26867); +#26870=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#26871=VECTOR('',#26870,1.882802253740E0); +#26872=CARTESIAN_POINT('',(-1.05E0,-1.605902971128E0,-1.982563762273E1)); +#26873=LINE('',#26872,#26871); +#26874=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#26875=VECTOR('',#26874,1.882802253740E0); +#26876=CARTESIAN_POINT('',(-3.25E0,-1.77E0,-1.795E1)); +#26877=LINE('',#26876,#26875); +#26878=DIRECTION('',(1.E0,0.E0,0.E0)); +#26879=VECTOR('',#26878,5.000000000001E-2); +#26880=CARTESIAN_POINT('',(-3.25E0,-1.605902971128E0,-1.982563762273E1)); +#26881=LINE('',#26880,#26879); +#26882=DIRECTION('',(1.778670229892E-14,8.715574274766E-2,-9.961946980917E-1)); +#26883=VECTOR('',#26882,1.248374213462E-1); +#26884=CARTESIAN_POINT('',(-3.2E0,-1.605902971128E0,-1.982563762273E1)); +#26885=LINE('',#26884,#26883); +#26886=DIRECTION('',(1.E0,0.E0,0.E0)); +#26887=VECTOR('',#26886,2.2E0); +#26888=CARTESIAN_POINT('',(-5.4E0,8.3E-1,-1.812E1)); +#26889=LINE('',#26888,#26887); +#26890=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#26891=VECTOR('',#26890,1.248374213462E-1); +#26892=CARTESIAN_POINT('',(-5.4E0,-1.605902971128E0,-1.982563762273E1)); +#26893=LINE('',#26892,#26891); +#26894=DIRECTION('',(-1.E0,0.E0,7.105427357601E-14)); +#26895=VECTOR('',#26894,5.E-2); +#26896=CARTESIAN_POINT('',(-5.35E0,-1.605902971128E0,-1.982563762273E1)); +#26897=LINE('',#26896,#26895); +#26898=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#26899=VECTOR('',#26898,1.882802253740E0); +#26900=CARTESIAN_POINT('',(-5.35E0,-1.605902971128E0,-1.982563762273E1)); +#26901=LINE('',#26900,#26899); +#26902=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#26903=VECTOR('',#26902,1.882802253740E0); +#26904=CARTESIAN_POINT('',(-7.55E0,-1.77E0,-1.795E1)); +#26905=LINE('',#26904,#26903); +#26906=DIRECTION('',(1.E0,0.E0,7.105427357601E-14)); +#26907=VECTOR('',#26906,5.E-2); +#26908=CARTESIAN_POINT('',(-7.55E0,-1.605902971128E0,-1.982563762273E1)); +#26909=LINE('',#26908,#26907); +#26910=DIRECTION('',(-1.422936183914E-14,8.715574274766E-2,-9.961946980917E-1)); +#26911=VECTOR('',#26910,1.248374213462E-1); +#26912=CARTESIAN_POINT('',(-7.5E0,-1.605902971128E0,-1.982563762273E1)); +#26913=LINE('',#26912,#26911); +#26914=DIRECTION('',(1.E0,0.E0,0.E0)); +#26915=VECTOR('',#26914,2.2E0); +#26916=CARTESIAN_POINT('',(-9.7E0,8.3E-1,-1.812E1)); +#26917=LINE('',#26916,#26915); +#26918=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#26919=VECTOR('',#26918,1.248374213462E-1); +#26920=CARTESIAN_POINT('',(-9.7E0,-1.605902971128E0,-1.982563762273E1)); +#26921=LINE('',#26920,#26919); +#26922=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26923=VECTOR('',#26922,5.E-2); +#26924=CARTESIAN_POINT('',(-9.65E0,-1.605902971128E0,-1.982563762273E1)); +#26925=LINE('',#26924,#26923); +#26926=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#26927=VECTOR('',#26926,1.882802253740E0); +#26928=CARTESIAN_POINT('',(-9.65E0,-1.605902971128E0,-1.982563762273E1)); +#26929=LINE('',#26928,#26927); +#26930=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#26931=VECTOR('',#26930,1.882802253740E0); +#26932=CARTESIAN_POINT('',(-1.185E1,-1.77E0,-1.795E1)); +#26933=LINE('',#26932,#26931); +#26934=DIRECTION('',(1.E0,0.E0,0.E0)); +#26935=VECTOR('',#26934,5.E-2); +#26936=CARTESIAN_POINT('',(-1.185E1,-1.605902971128E0,-1.982563762273E1)); +#26937=LINE('',#26936,#26935); +#26938=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#26939=VECTOR('',#26938,1.248374213462E-1); +#26940=CARTESIAN_POINT('',(-1.18E1,-1.605902971128E0,-1.982563762273E1)); +#26941=LINE('',#26940,#26939); +#26942=DIRECTION('',(1.E0,0.E0,0.E0)); +#26943=VECTOR('',#26942,2.2E0); +#26944=CARTESIAN_POINT('',(-1.4E1,8.3E-1,-1.812E1)); +#26945=LINE('',#26944,#26943); +#26946=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#26947=VECTOR('',#26946,1.248374213462E-1); +#26948=CARTESIAN_POINT('',(-1.4E1,-1.605902971128E0,-1.982563762273E1)); +#26949=LINE('',#26948,#26947); +#26950=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26951=VECTOR('',#26950,5.E-2); +#26952=CARTESIAN_POINT('',(-1.395E1,-1.605902971128E0,-1.982563762273E1)); +#26953=LINE('',#26952,#26951); +#26954=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#26955=VECTOR('',#26954,1.882802253740E0); +#26956=CARTESIAN_POINT('',(-1.395E1,-1.605902971128E0,-1.982563762273E1)); +#26957=LINE('',#26956,#26955); +#26958=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#26959=VECTOR('',#26958,1.882802253740E0); +#26960=CARTESIAN_POINT('',(-1.615E1,-1.77E0,-1.795E1)); +#26961=LINE('',#26960,#26959); +#26962=DIRECTION('',(1.E0,0.E0,0.E0)); +#26963=VECTOR('',#26962,5.E-2); +#26964=CARTESIAN_POINT('',(-1.615E1,-1.605902971128E0,-1.982563762273E1)); +#26965=LINE('',#26964,#26963); +#26966=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#26967=VECTOR('',#26966,1.248374213462E-1); +#26968=CARTESIAN_POINT('',(-1.61E1,-1.605902971128E0,-1.982563762273E1)); +#26969=LINE('',#26968,#26967); +#26970=DIRECTION('',(1.E0,0.E0,0.E0)); +#26971=VECTOR('',#26970,2.2E0); +#26972=CARTESIAN_POINT('',(-1.83E1,8.3E-1,-1.812E1)); +#26973=LINE('',#26972,#26971); +#26974=DIRECTION('',(0.E0,8.715574274765E-2,-9.961946980917E-1)); +#26975=VECTOR('',#26974,1.248374213462E-1); +#26976=CARTESIAN_POINT('',(-1.83E1,-1.605902971128E0,-1.982563762273E1)); +#26977=LINE('',#26976,#26975); +#26978=DIRECTION('',(-1.E0,1.332267629550E-14,0.E0)); +#26979=VECTOR('',#26978,5.E-2); +#26980=CARTESIAN_POINT('',(-1.825E1,-1.605902971128E0,-1.982563762273E1)); +#26981=LINE('',#26980,#26979); +#26982=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#26983=VECTOR('',#26982,1.882802253740E0); +#26984=CARTESIAN_POINT('',(-1.825E1,-1.605902971128E0,-1.982563762273E1)); +#26985=LINE('',#26984,#26983); +#26986=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#26987=VECTOR('',#26986,1.882802253740E0); +#26988=CARTESIAN_POINT('',(-2.045E1,-1.77E0,-1.795E1)); +#26989=LINE('',#26988,#26987); +#26990=DIRECTION('',(1.E0,1.332267629550E-14,0.E0)); +#26991=VECTOR('',#26990,5.E-2); +#26992=CARTESIAN_POINT('',(-2.045E1,-1.605902971128E0,-1.982563762273E1)); +#26993=LINE('',#26992,#26991); +#26994=DIRECTION('',(-2.845872367827E-14,8.715574274765E-2,-9.961946980917E-1)); +#26995=VECTOR('',#26994,1.248374213462E-1); +#26996=CARTESIAN_POINT('',(-2.04E1,-1.605902971128E0,-1.982563762273E1)); +#26997=LINE('',#26996,#26995); +#26998=DIRECTION('',(1.E0,0.E0,0.E0)); +#26999=VECTOR('',#26998,2.2E0); +#27000=CARTESIAN_POINT('',(-2.26E1,8.3E-1,-1.812E1)); +#27001=LINE('',#27000,#26999); +#27002=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#27003=VECTOR('',#27002,1.248374213462E-1); +#27004=CARTESIAN_POINT('',(-2.26E1,-1.605902971128E0,-1.982563762273E1)); +#27005=LINE('',#27004,#27003); +#27006=DIRECTION('',(-1.E0,0.E0,7.105427357600E-14)); +#27007=VECTOR('',#27006,5.E-2); +#27008=CARTESIAN_POINT('',(-2.255E1,-1.605902971128E0,-1.982563762273E1)); +#27009=LINE('',#27008,#27007); +#27010=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#27011=VECTOR('',#27010,1.882802253740E0); +#27012=CARTESIAN_POINT('',(-2.255E1,-1.605902971128E0,-1.982563762273E1)); +#27013=LINE('',#27012,#27011); +#27014=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#27015=VECTOR('',#27014,1.882802253740E0); +#27016=CARTESIAN_POINT('',(-2.475E1,-1.77E0,-1.795E1)); +#27017=LINE('',#27016,#27015); +#27018=DIRECTION('',(1.E0,0.E0,7.105427357601E-14)); +#27019=VECTOR('',#27018,5.E-2); +#27020=CARTESIAN_POINT('',(-2.475E1,-1.605902971128E0,-1.982563762273E1)); +#27021=LINE('',#27020,#27019); +#27022=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#27023=VECTOR('',#27022,1.248374213462E-1); +#27024=CARTESIAN_POINT('',(-2.47E1,-1.605902971128E0,-1.982563762273E1)); +#27025=LINE('',#27024,#27023); +#27026=DIRECTION('',(1.E0,0.E0,0.E0)); +#27027=VECTOR('',#27026,2.2E0); +#27028=CARTESIAN_POINT('',(-2.69E1,8.3E-1,-1.812E1)); +#27029=LINE('',#27028,#27027); +#27030=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#27031=VECTOR('',#27030,1.248374213462E-1); +#27032=CARTESIAN_POINT('',(-2.69E1,-1.605902971128E0,-1.982563762273E1)); +#27033=LINE('',#27032,#27031); +#27034=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27035=VECTOR('',#27034,5.E-2); +#27036=CARTESIAN_POINT('',(-2.685E1,-1.605902971128E0,-1.982563762273E1)); +#27037=LINE('',#27036,#27035); +#27038=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#27039=VECTOR('',#27038,1.882802253740E0); +#27040=CARTESIAN_POINT('',(-2.685E1,-1.605902971128E0,-1.982563762273E1)); +#27041=LINE('',#27040,#27039); +#27042=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#27043=VECTOR('',#27042,1.882802253740E0); +#27044=CARTESIAN_POINT('',(-2.905E1,-1.77E0,-1.795E1)); +#27045=LINE('',#27044,#27043); +#27046=DIRECTION('',(1.E0,0.E0,0.E0)); +#27047=VECTOR('',#27046,5.E-2); +#27048=CARTESIAN_POINT('',(-2.905E1,-1.605902971128E0,-1.982563762273E1)); +#27049=LINE('',#27048,#27047); +#27050=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#27051=VECTOR('',#27050,1.248374213462E-1); +#27052=CARTESIAN_POINT('',(-2.9E1,-1.605902971128E0,-1.982563762273E1)); +#27053=LINE('',#27052,#27051); +#27054=DIRECTION('',(1.E0,0.E0,0.E0)); +#27055=VECTOR('',#27054,2.2E0); +#27056=CARTESIAN_POINT('',(-3.12E1,8.3E-1,-1.812E1)); +#27057=LINE('',#27056,#27055); +#27058=DIRECTION('',(-2.845872367827E-14,8.715574274766E-2,-9.961946980917E-1)); +#27059=VECTOR('',#27058,1.248374213462E-1); +#27060=CARTESIAN_POINT('',(-3.12E1,-1.605902971128E0,-1.982563762273E1)); +#27061=LINE('',#27060,#27059); +#27062=DIRECTION('',(-1.E0,1.332267629550E-14,0.E0)); +#27063=VECTOR('',#27062,5.E-2); +#27064=CARTESIAN_POINT('',(-3.115E1,-1.605902971128E0,-1.982563762273E1)); +#27065=LINE('',#27064,#27063); +#27066=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#27067=VECTOR('',#27066,1.882802253740E0); +#27068=CARTESIAN_POINT('',(-3.115E1,-1.605902971128E0,-1.982563762273E1)); +#27069=LINE('',#27068,#27067); +#27070=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#27071=VECTOR('',#27070,1.882802253740E0); +#27072=CARTESIAN_POINT('',(-3.335E1,-1.77E0,-1.795E1)); +#27073=LINE('',#27072,#27071); +#27074=DIRECTION('',(1.E0,0.E0,0.E0)); +#27075=VECTOR('',#27074,5.E-2); +#27076=CARTESIAN_POINT('',(-3.335E1,-1.605902971128E0,-1.982563762273E1)); +#27077=LINE('',#27076,#27075); +#27078=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#27079=VECTOR('',#27078,1.248374213462E-1); +#27080=CARTESIAN_POINT('',(-3.33E1,-1.605902971128E0,-1.982563762273E1)); +#27081=LINE('',#27080,#27079); +#27082=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#27083=VECTOR('',#27082,2.007639675087E0); +#27084=CARTESIAN_POINT('',(1.05E0,5.455022672948E0,-1.995E1)); +#27085=LINE('',#27084,#27083); +#27086=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#27087=VECTOR('',#27086,2.007639675087E0); +#27088=CARTESIAN_POINT('',(3.25E0,5.63E0,-1.795E1)); +#27089=LINE('',#27088,#27087); +#27090=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#27091=VECTOR('',#27090,5.196152422707E-1); +#27092=CARTESIAN_POINT('',(3.25E0,5.63E0,-1.795E1)); +#27093=LINE('',#27092,#27091); +#27094=DIRECTION('',(1.E0,0.E0,0.E0)); +#27095=VECTOR('',#27094,2.2E0); +#27096=CARTESIAN_POINT('',(1.05E0,5.63E0,-1.795E1)); +#27097=LINE('',#27096,#27095); +#27098=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#27099=VECTOR('',#27098,5.196152422707E-1); +#27100=CARTESIAN_POINT('',(1.35E0,5.93E0,-1.765E1)); +#27101=LINE('',#27100,#27099); +#27102=DIRECTION('',(1.E0,0.E0,0.E0)); +#27103=VECTOR('',#27102,1.6E0); +#27104=CARTESIAN_POINT('',(1.35E0,5.93E0,-1.765E1)); +#27105=LINE('',#27104,#27103); +#27106=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#27107=VECTOR('',#27106,5.196152422707E-1); +#27108=CARTESIAN_POINT('',(2.95E0,6.73E0,-1.765E1)); +#27109=LINE('',#27108,#27107); +#27110=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#27111=VECTOR('',#27110,5.196152422707E-1); +#27112=CARTESIAN_POINT('',(1.05E0,7.03E0,-1.795E1)); +#27113=LINE('',#27112,#27111); +#27114=DIRECTION('',(1.E0,0.E0,0.E0)); +#27115=VECTOR('',#27114,2.2E0); +#27116=CARTESIAN_POINT('',(1.05E0,7.03E0,-1.795E1)); +#27117=LINE('',#27116,#27115); +#27118=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#27119=VECTOR('',#27118,2.007639675087E0); +#27120=CARTESIAN_POINT('',(-3.25E0,5.455022672948E0,-1.995E1)); +#27121=LINE('',#27120,#27119); +#27122=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#27123=VECTOR('',#27122,2.007639675087E0); +#27124=CARTESIAN_POINT('',(-1.05E0,5.63E0,-1.795E1)); +#27125=LINE('',#27124,#27123); +#27126=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#27127=VECTOR('',#27126,5.196152422707E-1); +#27128=CARTESIAN_POINT('',(-1.05E0,5.63E0,-1.795E1)); +#27129=LINE('',#27128,#27127); +#27130=DIRECTION('',(1.E0,0.E0,0.E0)); +#27131=VECTOR('',#27130,2.2E0); +#27132=CARTESIAN_POINT('',(-3.25E0,5.63E0,-1.795E1)); +#27133=LINE('',#27132,#27131); +#27134=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#27135=VECTOR('',#27134,5.196152422707E-1); +#27136=CARTESIAN_POINT('',(-2.95E0,5.93E0,-1.765E1)); +#27137=LINE('',#27136,#27135); +#27138=DIRECTION('',(1.E0,0.E0,0.E0)); +#27139=VECTOR('',#27138,1.6E0); +#27140=CARTESIAN_POINT('',(-2.95E0,5.93E0,-1.765E1)); +#27141=LINE('',#27140,#27139); +#27142=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#27143=VECTOR('',#27142,5.196152422707E-1); +#27144=CARTESIAN_POINT('',(-1.35E0,6.73E0,-1.765E1)); +#27145=LINE('',#27144,#27143); +#27146=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#27147=VECTOR('',#27146,5.196152422707E-1); +#27148=CARTESIAN_POINT('',(-3.25E0,7.03E0,-1.795E1)); +#27149=LINE('',#27148,#27147); +#27150=DIRECTION('',(1.E0,0.E0,0.E0)); +#27151=VECTOR('',#27150,2.2E0); +#27152=CARTESIAN_POINT('',(-3.25E0,7.03E0,-1.795E1)); +#27153=LINE('',#27152,#27151); +#27154=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#27155=VECTOR('',#27154,2.007639675087E0); +#27156=CARTESIAN_POINT('',(-7.55E0,5.455022672948E0,-1.995E1)); +#27157=LINE('',#27156,#27155); +#27158=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#27159=VECTOR('',#27158,2.007639675087E0); +#27160=CARTESIAN_POINT('',(-5.35E0,5.63E0,-1.795E1)); +#27161=LINE('',#27160,#27159); +#27162=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#27163=VECTOR('',#27162,5.196152422707E-1); +#27164=CARTESIAN_POINT('',(-5.35E0,5.63E0,-1.795E1)); +#27165=LINE('',#27164,#27163); +#27166=DIRECTION('',(1.E0,0.E0,0.E0)); +#27167=VECTOR('',#27166,2.2E0); +#27168=CARTESIAN_POINT('',(-7.55E0,5.63E0,-1.795E1)); +#27169=LINE('',#27168,#27167); +#27170=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#27171=VECTOR('',#27170,5.196152422707E-1); +#27172=CARTESIAN_POINT('',(-7.25E0,5.93E0,-1.765E1)); +#27173=LINE('',#27172,#27171); +#27174=DIRECTION('',(1.E0,0.E0,0.E0)); +#27175=VECTOR('',#27174,1.6E0); +#27176=CARTESIAN_POINT('',(-7.25E0,5.93E0,-1.765E1)); +#27177=LINE('',#27176,#27175); +#27178=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#27179=VECTOR('',#27178,5.196152422707E-1); +#27180=CARTESIAN_POINT('',(-5.65E0,6.73E0,-1.765E1)); +#27181=LINE('',#27180,#27179); +#27182=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#27183=VECTOR('',#27182,5.196152422707E-1); +#27184=CARTESIAN_POINT('',(-7.55E0,7.03E0,-1.795E1)); +#27185=LINE('',#27184,#27183); +#27186=DIRECTION('',(1.E0,0.E0,0.E0)); +#27187=VECTOR('',#27186,2.2E0); +#27188=CARTESIAN_POINT('',(-7.55E0,7.03E0,-1.795E1)); +#27189=LINE('',#27188,#27187); +#27190=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#27191=VECTOR('',#27190,2.007639675087E0); +#27192=CARTESIAN_POINT('',(-1.185E1,5.455022672948E0,-1.995E1)); +#27193=LINE('',#27192,#27191); +#27194=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#27195=VECTOR('',#27194,2.007639675087E0); +#27196=CARTESIAN_POINT('',(-9.65E0,5.63E0,-1.795E1)); +#27197=LINE('',#27196,#27195); +#27198=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#27199=VECTOR('',#27198,5.196152422707E-1); +#27200=CARTESIAN_POINT('',(-9.65E0,5.63E0,-1.795E1)); +#27201=LINE('',#27200,#27199); +#27202=DIRECTION('',(1.E0,0.E0,0.E0)); +#27203=VECTOR('',#27202,2.2E0); +#27204=CARTESIAN_POINT('',(-1.185E1,5.63E0,-1.795E1)); +#27205=LINE('',#27204,#27203); +#27206=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#27207=VECTOR('',#27206,5.196152422707E-1); +#27208=CARTESIAN_POINT('',(-1.155E1,5.93E0,-1.765E1)); +#27209=LINE('',#27208,#27207); +#27210=DIRECTION('',(1.E0,0.E0,0.E0)); +#27211=VECTOR('',#27210,1.6E0); +#27212=CARTESIAN_POINT('',(-1.155E1,5.93E0,-1.765E1)); +#27213=LINE('',#27212,#27211); +#27214=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#27215=VECTOR('',#27214,5.196152422707E-1); +#27216=CARTESIAN_POINT('',(-9.95E0,6.73E0,-1.765E1)); +#27217=LINE('',#27216,#27215); +#27218=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#27219=VECTOR('',#27218,5.196152422707E-1); +#27220=CARTESIAN_POINT('',(-1.185E1,7.03E0,-1.795E1)); +#27221=LINE('',#27220,#27219); +#27222=DIRECTION('',(1.E0,0.E0,0.E0)); +#27223=VECTOR('',#27222,2.2E0); +#27224=CARTESIAN_POINT('',(-1.185E1,7.03E0,-1.795E1)); +#27225=LINE('',#27224,#27223); +#27226=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#27227=VECTOR('',#27226,2.007639675087E0); +#27228=CARTESIAN_POINT('',(-1.615E1,5.455022672948E0,-1.995E1)); +#27229=LINE('',#27228,#27227); +#27230=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#27231=VECTOR('',#27230,2.007639675087E0); +#27232=CARTESIAN_POINT('',(-1.395E1,5.63E0,-1.795E1)); +#27233=LINE('',#27232,#27231); +#27234=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#27235=VECTOR('',#27234,5.196152422707E-1); +#27236=CARTESIAN_POINT('',(-1.395E1,5.63E0,-1.795E1)); +#27237=LINE('',#27236,#27235); +#27238=DIRECTION('',(1.E0,0.E0,0.E0)); +#27239=VECTOR('',#27238,2.2E0); +#27240=CARTESIAN_POINT('',(-1.615E1,5.63E0,-1.795E1)); +#27241=LINE('',#27240,#27239); +#27242=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#27243=VECTOR('',#27242,5.196152422707E-1); +#27244=CARTESIAN_POINT('',(-1.585E1,5.93E0,-1.765E1)); +#27245=LINE('',#27244,#27243); +#27246=DIRECTION('',(1.E0,0.E0,0.E0)); +#27247=VECTOR('',#27246,1.6E0); +#27248=CARTESIAN_POINT('',(-1.585E1,5.93E0,-1.765E1)); +#27249=LINE('',#27248,#27247); +#27250=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#27251=VECTOR('',#27250,5.196152422707E-1); +#27252=CARTESIAN_POINT('',(-1.425E1,6.73E0,-1.765E1)); +#27253=LINE('',#27252,#27251); +#27254=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#27255=VECTOR('',#27254,5.196152422707E-1); +#27256=CARTESIAN_POINT('',(-1.615E1,7.03E0,-1.795E1)); +#27257=LINE('',#27256,#27255); +#27258=DIRECTION('',(1.E0,0.E0,0.E0)); +#27259=VECTOR('',#27258,2.2E0); +#27260=CARTESIAN_POINT('',(-1.615E1,7.03E0,-1.795E1)); +#27261=LINE('',#27260,#27259); +#27262=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#27263=VECTOR('',#27262,2.007639675087E0); +#27264=CARTESIAN_POINT('',(-2.045E1,5.455022672948E0,-1.995E1)); +#27265=LINE('',#27264,#27263); +#27266=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#27267=VECTOR('',#27266,2.007639675087E0); +#27268=CARTESIAN_POINT('',(-1.825E1,5.63E0,-1.795E1)); +#27269=LINE('',#27268,#27267); +#27270=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#27271=VECTOR('',#27270,5.196152422707E-1); +#27272=CARTESIAN_POINT('',(-1.825E1,5.63E0,-1.795E1)); +#27273=LINE('',#27272,#27271); +#27274=DIRECTION('',(1.E0,0.E0,0.E0)); +#27275=VECTOR('',#27274,2.2E0); +#27276=CARTESIAN_POINT('',(-2.045E1,5.63E0,-1.795E1)); +#27277=LINE('',#27276,#27275); +#27278=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#27279=VECTOR('',#27278,5.196152422707E-1); +#27280=CARTESIAN_POINT('',(-2.015E1,5.93E0,-1.765E1)); +#27281=LINE('',#27280,#27279); +#27282=DIRECTION('',(1.E0,0.E0,0.E0)); +#27283=VECTOR('',#27282,1.6E0); +#27284=CARTESIAN_POINT('',(-2.015E1,5.93E0,-1.765E1)); +#27285=LINE('',#27284,#27283); +#27286=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#27287=VECTOR('',#27286,5.196152422707E-1); +#27288=CARTESIAN_POINT('',(-1.855E1,6.73E0,-1.765E1)); +#27289=LINE('',#27288,#27287); +#27290=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#27291=VECTOR('',#27290,5.196152422707E-1); +#27292=CARTESIAN_POINT('',(-2.045E1,7.03E0,-1.795E1)); +#27293=LINE('',#27292,#27291); +#27294=DIRECTION('',(1.E0,0.E0,0.E0)); +#27295=VECTOR('',#27294,2.2E0); +#27296=CARTESIAN_POINT('',(-2.045E1,7.03E0,-1.795E1)); +#27297=LINE('',#27296,#27295); +#27298=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#27299=VECTOR('',#27298,2.007639675087E0); +#27300=CARTESIAN_POINT('',(-2.475E1,5.455022672948E0,-1.995E1)); +#27301=LINE('',#27300,#27299); +#27302=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#27303=VECTOR('',#27302,2.007639675087E0); +#27304=CARTESIAN_POINT('',(-2.255E1,5.63E0,-1.795E1)); +#27305=LINE('',#27304,#27303); +#27306=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#27307=VECTOR('',#27306,5.196152422707E-1); +#27308=CARTESIAN_POINT('',(-2.255E1,5.63E0,-1.795E1)); +#27309=LINE('',#27308,#27307); +#27310=DIRECTION('',(1.E0,0.E0,0.E0)); +#27311=VECTOR('',#27310,2.2E0); +#27312=CARTESIAN_POINT('',(-2.475E1,5.63E0,-1.795E1)); +#27313=LINE('',#27312,#27311); +#27314=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#27315=VECTOR('',#27314,5.196152422707E-1); +#27316=CARTESIAN_POINT('',(-2.445E1,5.93E0,-1.765E1)); +#27317=LINE('',#27316,#27315); +#27318=DIRECTION('',(1.E0,0.E0,0.E0)); +#27319=VECTOR('',#27318,1.6E0); +#27320=CARTESIAN_POINT('',(-2.445E1,5.93E0,-1.765E1)); +#27321=LINE('',#27320,#27319); +#27322=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#27323=VECTOR('',#27322,5.196152422707E-1); +#27324=CARTESIAN_POINT('',(-2.285E1,6.73E0,-1.765E1)); +#27325=LINE('',#27324,#27323); +#27326=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#27327=VECTOR('',#27326,5.196152422707E-1); +#27328=CARTESIAN_POINT('',(-2.475E1,7.03E0,-1.795E1)); +#27329=LINE('',#27328,#27327); +#27330=DIRECTION('',(1.E0,0.E0,0.E0)); +#27331=VECTOR('',#27330,2.2E0); +#27332=CARTESIAN_POINT('',(-2.475E1,7.03E0,-1.795E1)); +#27333=LINE('',#27332,#27331); +#27334=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#27335=VECTOR('',#27334,2.007639675087E0); +#27336=CARTESIAN_POINT('',(-2.905E1,5.455022672948E0,-1.995E1)); +#27337=LINE('',#27336,#27335); +#27338=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#27339=VECTOR('',#27338,2.007639675087E0); +#27340=CARTESIAN_POINT('',(-2.685E1,5.63E0,-1.795E1)); +#27341=LINE('',#27340,#27339); +#27342=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#27343=VECTOR('',#27342,5.196152422707E-1); +#27344=CARTESIAN_POINT('',(-2.685E1,5.63E0,-1.795E1)); +#27345=LINE('',#27344,#27343); +#27346=DIRECTION('',(1.E0,0.E0,0.E0)); +#27347=VECTOR('',#27346,2.2E0); +#27348=CARTESIAN_POINT('',(-2.905E1,5.63E0,-1.795E1)); +#27349=LINE('',#27348,#27347); +#27350=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#27351=VECTOR('',#27350,5.196152422707E-1); +#27352=CARTESIAN_POINT('',(-2.875E1,5.93E0,-1.765E1)); +#27353=LINE('',#27352,#27351); +#27354=DIRECTION('',(1.E0,0.E0,0.E0)); +#27355=VECTOR('',#27354,1.6E0); +#27356=CARTESIAN_POINT('',(-2.875E1,5.93E0,-1.765E1)); +#27357=LINE('',#27356,#27355); +#27358=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#27359=VECTOR('',#27358,5.196152422707E-1); +#27360=CARTESIAN_POINT('',(-2.715E1,6.73E0,-1.765E1)); +#27361=LINE('',#27360,#27359); +#27362=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#27363=VECTOR('',#27362,5.196152422707E-1); +#27364=CARTESIAN_POINT('',(-2.905E1,7.03E0,-1.795E1)); +#27365=LINE('',#27364,#27363); +#27366=DIRECTION('',(1.E0,0.E0,0.E0)); +#27367=VECTOR('',#27366,2.2E0); +#27368=CARTESIAN_POINT('',(-2.905E1,7.03E0,-1.795E1)); +#27369=LINE('',#27368,#27367); +#27370=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#27371=VECTOR('',#27370,2.007639675087E0); +#27372=CARTESIAN_POINT('',(-3.335E1,5.455022672948E0,-1.995E1)); +#27373=LINE('',#27372,#27371); +#27374=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#27375=VECTOR('',#27374,2.007639675087E0); +#27376=CARTESIAN_POINT('',(-3.115E1,5.63E0,-1.795E1)); +#27377=LINE('',#27376,#27375); +#27378=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#27379=VECTOR('',#27378,5.196152422707E-1); +#27380=CARTESIAN_POINT('',(-3.115E1,5.63E0,-1.795E1)); +#27381=LINE('',#27380,#27379); +#27382=DIRECTION('',(1.E0,0.E0,0.E0)); +#27383=VECTOR('',#27382,2.2E0); +#27384=CARTESIAN_POINT('',(-3.335E1,5.63E0,-1.795E1)); +#27385=LINE('',#27384,#27383); +#27386=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#27387=VECTOR('',#27386,5.196152422707E-1); +#27388=CARTESIAN_POINT('',(-3.305E1,5.93E0,-1.765E1)); +#27389=LINE('',#27388,#27387); +#27390=DIRECTION('',(1.E0,0.E0,0.E0)); +#27391=VECTOR('',#27390,1.6E0); +#27392=CARTESIAN_POINT('',(-3.305E1,5.93E0,-1.765E1)); +#27393=LINE('',#27392,#27391); +#27394=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#27395=VECTOR('',#27394,5.196152422707E-1); +#27396=CARTESIAN_POINT('',(-3.145E1,6.73E0,-1.765E1)); +#27397=LINE('',#27396,#27395); +#27398=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#27399=VECTOR('',#27398,5.196152422707E-1); +#27400=CARTESIAN_POINT('',(-3.335E1,7.03E0,-1.795E1)); +#27401=LINE('',#27400,#27399); +#27402=DIRECTION('',(1.E0,0.E0,0.E0)); +#27403=VECTOR('',#27402,2.2E0); +#27404=CARTESIAN_POINT('',(-3.335E1,7.03E0,-1.795E1)); +#27405=LINE('',#27404,#27403); +#27406=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#27407=VECTOR('',#27406,2.007639675087E0); +#27408=CARTESIAN_POINT('',(-3.765E1,5.455022672948E0,-1.995E1)); +#27409=LINE('',#27408,#27407); +#27410=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#27411=VECTOR('',#27410,2.007639675087E0); +#27412=CARTESIAN_POINT('',(-3.545E1,5.63E0,-1.795E1)); +#27413=LINE('',#27412,#27411); +#27414=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#27415=VECTOR('',#27414,1.464598038686E-1); +#27416=CARTESIAN_POINT('',(-3.765E1,7.197627763800E0,-1.986599410763E1)); +#27417=LINE('',#27416,#27415); +#27418=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#27419=VECTOR('',#27418,1.923312893854E0); +#27420=CARTESIAN_POINT('',(-3.765E1,7.197627763800E0,-1.986599410763E1)); +#27421=LINE('',#27420,#27419); +#27422=DIRECTION('',(0.E0,1.E0,0.E0)); +#27423=VECTOR('',#27422,1.4E0); +#27424=CARTESIAN_POINT('',(-3.765E1,5.63E0,-1.795E1)); +#27425=LINE('',#27424,#27423); +#27426=DIRECTION('',(0.E0,1.E0,0.E0)); +#27427=VECTOR('',#27426,1.622632243107E0); +#27428=CARTESIAN_POINT('',(-3.765E1,5.455022672948E0,-1.995E1)); +#27429=LINE('',#27428,#27427); +#27430=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#27431=VECTOR('',#27430,2.168191881447E-1); +#27432=CARTESIAN_POINT('',(-3.765E1,-1.605902971128E0,-1.982563762273E1)); +#27433=LINE('',#27432,#27431); +#27434=DIRECTION('',(0.E0,1.E0,0.E0)); +#27435=VECTOR('',#27434,1.4E0); +#27436=CARTESIAN_POINT('',(-3.765E1,-3.17E0,-1.795E1)); +#27437=LINE('',#27436,#27435); +#27438=DIRECTION('',(0.E0,1.E0,0.E0)); +#27439=VECTOR('',#27438,1.561466474714E0); +#27440=CARTESIAN_POINT('',(-3.765E1,-3.344977327052E0,-1.995E1)); +#27441=LINE('',#27440,#27439); +#27442=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27443=VECTOR('',#27442,8.E-1); +#27444=CARTESIAN_POINT('',(-3.575E1,6.73E0,-1.765E1)); +#27445=LINE('',#27444,#27443); +#27446=DIRECTION('',(0.E0,1.E0,0.E0)); +#27447=VECTOR('',#27446,8.E-1); +#27448=CARTESIAN_POINT('',(-3.735E1,5.93E0,-1.765E1)); +#27449=LINE('',#27448,#27447); +#27450=DIRECTION('',(1.E0,0.E0,0.E0)); +#27451=VECTOR('',#27450,1.6E0); +#27452=CARTESIAN_POINT('',(-3.735E1,6.73E0,-1.765E1)); +#27453=LINE('',#27452,#27451); +#27454=DIRECTION('',(0.E0,0.E0,1.E0)); +#27455=VECTOR('',#27454,7.E0); +#27456=CARTESIAN_POINT('',(-3.685E1,6.645E0,-1.765E1)); +#27457=LINE('',#27456,#27455); +#27458=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27459=VECTOR('',#27458,6.3E-1); +#27460=CARTESIAN_POINT('',(-3.685E1,6.645E0,-1.765E1)); +#27461=LINE('',#27460,#27459); +#27462=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27463=VECTOR('',#27462,6.E-1); +#27464=CARTESIAN_POINT('',(-3.625E1,6.645E0,-1.765E1)); +#27465=LINE('',#27464,#27463); +#27466=DIRECTION('',(0.E0,0.E0,1.E0)); +#27467=VECTOR('',#27466,7.E0); +#27468=CARTESIAN_POINT('',(-3.625E1,6.645E0,-1.765E1)); +#27469=LINE('',#27468,#27467); +#27470=DIRECTION('',(0.E0,0.E0,1.E0)); +#27471=VECTOR('',#27470,7.E0); +#27472=CARTESIAN_POINT('',(-3.625E1,6.015E0,-1.765E1)); +#27473=LINE('',#27472,#27471); +#27474=DIRECTION('',(0.E0,1.E0,0.E0)); +#27475=VECTOR('',#27474,6.3E-1); +#27476=CARTESIAN_POINT('',(-3.625E1,6.015E0,-1.765E1)); +#27477=LINE('',#27476,#27475); +#27478=DIRECTION('',(0.E0,0.E0,1.E0)); +#27479=VECTOR('',#27478,7.E0); +#27480=CARTESIAN_POINT('',(-3.685E1,6.015E0,-1.765E1)); +#27481=LINE('',#27480,#27479); +#27482=DIRECTION('',(1.E0,0.E0,0.E0)); +#27483=VECTOR('',#27482,6.E-1); +#27484=CARTESIAN_POINT('',(-3.685E1,6.015E0,-1.765E1)); +#27485=LINE('',#27484,#27483); +#27486=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27487=VECTOR('',#27486,6.3E-1); +#27488=CARTESIAN_POINT('',(-3.685E1,-2.155E0,-1.065E1)); +#27489=LINE('',#27488,#27487); +#27490=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27491=VECTOR('',#27490,6.E-1); +#27492=CARTESIAN_POINT('',(-3.625E1,-2.155E0,-1.065E1)); +#27493=LINE('',#27492,#27491); +#27494=DIRECTION('',(0.E0,1.E0,0.E0)); +#27495=VECTOR('',#27494,6.3E-1); +#27496=CARTESIAN_POINT('',(-3.625E1,-2.785E0,-1.065E1)); +#27497=LINE('',#27496,#27495); +#27498=DIRECTION('',(1.E0,0.E0,0.E0)); +#27499=VECTOR('',#27498,6.E-1); +#27500=CARTESIAN_POINT('',(-3.685E1,-2.785E0,-1.065E1)); +#27501=LINE('',#27500,#27499); +#27502=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27503=VECTOR('',#27502,6.3E-1); +#27504=CARTESIAN_POINT('',(-3.685E1,6.645E0,-1.065E1)); +#27505=LINE('',#27504,#27503); +#27506=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27507=VECTOR('',#27506,6.E-1); +#27508=CARTESIAN_POINT('',(-3.625E1,6.645E0,-1.065E1)); +#27509=LINE('',#27508,#27507); +#27510=DIRECTION('',(0.E0,1.E0,0.E0)); +#27511=VECTOR('',#27510,6.3E-1); +#27512=CARTESIAN_POINT('',(-3.625E1,6.015E0,-1.065E1)); +#27513=LINE('',#27512,#27511); +#27514=DIRECTION('',(1.E0,0.E0,0.E0)); +#27515=VECTOR('',#27514,6.E-1); +#27516=CARTESIAN_POINT('',(-3.685E1,6.015E0,-1.065E1)); +#27517=LINE('',#27516,#27515); +#27518=DIRECTION('',(0.E0,0.E0,1.E0)); +#27519=VECTOR('',#27518,7.E0); +#27520=CARTESIAN_POINT('',(-3.685E1,-2.155E0,-1.765E1)); +#27521=LINE('',#27520,#27519); +#27522=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27523=VECTOR('',#27522,6.3E-1); +#27524=CARTESIAN_POINT('',(-3.685E1,-2.155E0,-1.765E1)); +#27525=LINE('',#27524,#27523); +#27526=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27527=VECTOR('',#27526,6.E-1); +#27528=CARTESIAN_POINT('',(-3.625E1,-2.155E0,-1.765E1)); +#27529=LINE('',#27528,#27527); +#27530=DIRECTION('',(0.E0,0.E0,1.E0)); +#27531=VECTOR('',#27530,7.E0); +#27532=CARTESIAN_POINT('',(-3.625E1,-2.155E0,-1.765E1)); +#27533=LINE('',#27532,#27531); +#27534=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27535=VECTOR('',#27534,8.E-1); +#27536=CARTESIAN_POINT('',(-3.575E1,-2.07E0,-1.765E1)); +#27537=LINE('',#27536,#27535); +#27538=DIRECTION('',(0.E0,1.E0,0.E0)); +#27539=VECTOR('',#27538,8.E-1); +#27540=CARTESIAN_POINT('',(-3.735E1,-2.87E0,-1.765E1)); +#27541=LINE('',#27540,#27539); +#27542=DIRECTION('',(1.E0,0.E0,0.E0)); +#27543=VECTOR('',#27542,1.6E0); +#27544=CARTESIAN_POINT('',(-3.735E1,-2.07E0,-1.765E1)); +#27545=LINE('',#27544,#27543); +#27546=DIRECTION('',(0.E0,0.E0,1.E0)); +#27547=VECTOR('',#27546,7.E0); +#27548=CARTESIAN_POINT('',(-3.685E1,-2.785E0,-1.765E1)); +#27549=LINE('',#27548,#27547); +#27550=DIRECTION('',(1.E0,0.E0,0.E0)); +#27551=VECTOR('',#27550,6.E-1); +#27552=CARTESIAN_POINT('',(-3.685E1,-2.785E0,-1.765E1)); +#27553=LINE('',#27552,#27551); +#27554=DIRECTION('',(0.E0,0.E0,1.E0)); +#27555=VECTOR('',#27554,7.E0); +#27556=CARTESIAN_POINT('',(-3.625E1,-2.785E0,-1.765E1)); +#27557=LINE('',#27556,#27555); +#27558=DIRECTION('',(0.E0,1.E0,0.E0)); +#27559=VECTOR('',#27558,6.3E-1); +#27560=CARTESIAN_POINT('',(-3.625E1,-2.785E0,-1.765E1)); +#27561=LINE('',#27560,#27559); +#27562=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#27563=VECTOR('',#27562,5.196152422707E-1); +#27564=CARTESIAN_POINT('',(-3.575E1,-2.07E0,-1.765E1)); +#27565=LINE('',#27564,#27563); +#27566=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#27567=VECTOR('',#27566,5.196152422707E-1); +#27568=CARTESIAN_POINT('',(-3.765E1,-1.77E0,-1.795E1)); +#27569=LINE('',#27568,#27567); +#27570=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27571=VECTOR('',#27570,2.2E0); +#27572=CARTESIAN_POINT('',(-3.545E1,-1.77E0,-1.795E1)); +#27573=LINE('',#27572,#27571); +#27574=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#27575=VECTOR('',#27574,5.196152422707E-1); +#27576=CARTESIAN_POINT('',(-3.545E1,-3.17E0,-1.795E1)); +#27577=LINE('',#27576,#27575); +#27578=DIRECTION('',(1.E0,0.E0,0.E0)); +#27579=VECTOR('',#27578,2.2E0); +#27580=CARTESIAN_POINT('',(-3.765E1,-3.17E0,-1.795E1)); +#27581=LINE('',#27580,#27579); +#27582=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#27583=VECTOR('',#27582,5.196152422707E-1); +#27584=CARTESIAN_POINT('',(-3.735E1,-2.87E0,-1.765E1)); +#27585=LINE('',#27584,#27583); +#27586=DIRECTION('',(1.E0,0.E0,0.E0)); +#27587=VECTOR('',#27586,1.6E0); +#27588=CARTESIAN_POINT('',(-3.735E1,-2.87E0,-1.765E1)); +#27589=LINE('',#27588,#27587); +#27590=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#27591=VECTOR('',#27590,2.007639675087E0); +#27592=CARTESIAN_POINT('',(-3.765E1,-3.344977327052E0,-1.995E1)); +#27593=LINE('',#27592,#27591); +#27594=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#27595=VECTOR('',#27594,2.007639675087E0); +#27596=CARTESIAN_POINT('',(-3.545E1,-3.17E0,-1.795E1)); +#27597=LINE('',#27596,#27595); +#27598=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#27599=VECTOR('',#27598,5.196152422707E-1); +#27600=CARTESIAN_POINT('',(-3.575E1,6.73E0,-1.765E1)); +#27601=LINE('',#27600,#27599); +#27602=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#27603=VECTOR('',#27602,5.196152422707E-1); +#27604=CARTESIAN_POINT('',(-3.765E1,7.03E0,-1.795E1)); +#27605=LINE('',#27604,#27603); +#27606=DIRECTION('',(1.E0,0.E0,0.E0)); +#27607=VECTOR('',#27606,2.2E0); +#27608=CARTESIAN_POINT('',(-3.765E1,7.03E0,-1.795E1)); +#27609=LINE('',#27608,#27607); +#27610=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#27611=VECTOR('',#27610,5.196152422707E-1); +#27612=CARTESIAN_POINT('',(-3.545E1,5.63E0,-1.795E1)); +#27613=LINE('',#27612,#27611); +#27614=DIRECTION('',(1.E0,0.E0,0.E0)); +#27615=VECTOR('',#27614,2.2E0); +#27616=CARTESIAN_POINT('',(-3.765E1,5.63E0,-1.795E1)); +#27617=LINE('',#27616,#27615); +#27618=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#27619=VECTOR('',#27618,5.196152422707E-1); +#27620=CARTESIAN_POINT('',(-3.735E1,5.93E0,-1.765E1)); +#27621=LINE('',#27620,#27619); +#27622=DIRECTION('',(1.E0,0.E0,0.E0)); +#27623=VECTOR('',#27622,1.6E0); +#27624=CARTESIAN_POINT('',(-3.735E1,5.93E0,-1.765E1)); +#27625=LINE('',#27624,#27623); +#27626=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#27627=VECTOR('',#27626,5.196152422707E-1); +#27628=CARTESIAN_POINT('',(-4.005E1,6.73E0,-1.765E1)); +#27629=LINE('',#27628,#27627); +#27630=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#27631=VECTOR('',#27630,5.196152422707E-1); +#27632=CARTESIAN_POINT('',(-4.195E1,7.03E0,-1.795E1)); +#27633=LINE('',#27632,#27631); +#27634=DIRECTION('',(1.E0,0.E0,0.E0)); +#27635=VECTOR('',#27634,2.2E0); +#27636=CARTESIAN_POINT('',(-4.195E1,7.03E0,-1.795E1)); +#27637=LINE('',#27636,#27635); +#27638=DIRECTION('',(0.E0,-8.715574274768E-2,9.961946980917E-1)); +#27639=VECTOR('',#27638,2.706467783260E-2); +#27640=CARTESIAN_POINT('',(-3.98E1,7.199986605899E0,-1.989295579619E1)); +#27641=LINE('',#27640,#27639); +#27642=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27643=VECTOR('',#27642,5.E-2); +#27644=CARTESIAN_POINT('',(-3.975E1,7.197627763800E0,-1.986599410763E1)); +#27645=LINE('',#27644,#27643); +#27646=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#27647=VECTOR('',#27646,5.196152422707E-1); +#27648=CARTESIAN_POINT('',(-3.975E1,5.63E0,-1.795E1)); +#27649=LINE('',#27648,#27647); +#27650=DIRECTION('',(1.E0,0.E0,0.E0)); +#27651=VECTOR('',#27650,2.2E0); +#27652=CARTESIAN_POINT('',(-4.195E1,5.63E0,-1.795E1)); +#27653=LINE('',#27652,#27651); +#27654=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#27655=VECTOR('',#27654,5.196152422707E-1); +#27656=CARTESIAN_POINT('',(-4.165E1,5.93E0,-1.765E1)); +#27657=LINE('',#27656,#27655); +#27658=DIRECTION('',(1.E0,0.E0,0.E0)); +#27659=VECTOR('',#27658,1.6E0); +#27660=CARTESIAN_POINT('',(-4.165E1,5.93E0,-1.765E1)); +#27661=LINE('',#27660,#27659); +#27662=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27663=VECTOR('',#27662,2.2E0); +#27664=CARTESIAN_POINT('',(-3.76E1,9.63E0,-1.795E1)); +#27665=LINE('',#27664,#27663); +#27666=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#27667=VECTOR('',#27666,5.196152422707E-1); +#27668=CARTESIAN_POINT('',(-3.95E1,9.93E0,-1.765E1)); +#27669=LINE('',#27668,#27667); +#27670=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#27671=VECTOR('',#27670,5.196152422707E-1); +#27672=CARTESIAN_POINT('',(-3.76E1,9.63E0,-1.795E1)); +#27673=LINE('',#27672,#27671); +#27674=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#27675=VECTOR('',#27674,1.5E-1); +#27676=CARTESIAN_POINT('',(-3.92E1,8.303670850563E0,-1.909153440069E1)); +#27677=LINE('',#27676,#27675); +#27678=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#27679=VECTOR('',#27678,1.5E-1); +#27680=CARTESIAN_POINT('',(-3.92E1,8.136354669210E0,-1.920869045214E1)); +#27681=LINE('',#27680,#27679); +#27682=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#27683=VECTOR('',#27682,1.5E-1); +#27684=CARTESIAN_POINT('',(-3.88E1,8.136354669210E0,-1.920869045214E1)); +#27685=LINE('',#27684,#27683); +#27686=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#27687=VECTOR('',#27686,1.5E-1); +#27688=CARTESIAN_POINT('',(-3.88E1,7.745950298135E0,-1.948205453572E1)); +#27689=LINE('',#27688,#27687); +#27690=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#27691=VECTOR('',#27690,1.5E-1); +#27692=CARTESIAN_POINT('',(-3.87E1,7.745950298135E0,-1.948205453572E1)); +#27693=LINE('',#27692,#27691); +#27694=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#27695=VECTOR('',#27694,1.5E-1); +#27696=CARTESIAN_POINT('',(-3.87E1,8.136354669210E0,-1.920869045214E1)); +#27697=LINE('',#27696,#27695); +#27698=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#27699=VECTOR('',#27698,1.5E-1); +#27700=CARTESIAN_POINT('',(-3.853333334923E1,8.136354669210E0, +-1.920869045214E1)); +#27701=LINE('',#27700,#27699); +#27702=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#27703=VECTOR('',#27702,1.5E-1); +#27704=CARTESIAN_POINT('',(-3.853333334923E1,8.303670850563E0, +-1.909153440069E1)); +#27705=LINE('',#27704,#27703); +#27706=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#27707=VECTOR('',#27706,1.5E-1); +#27708=CARTESIAN_POINT('',(-3.87E1,8.303670850563E0,-1.909153440069E1)); +#27709=LINE('',#27708,#27707); +#27710=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#27711=VECTOR('',#27710,1.5E-1); +#27712=CARTESIAN_POINT('',(-3.87E1,9.056593568998E0,-1.856433223755E1)); +#27713=LINE('',#27712,#27711); +#27714=DIRECTION('',(-4.736951571734E-14,-5.735764363510E-1,8.191520442890E-1)); +#27715=VECTOR('',#27714,1.5E-1); +#27716=CARTESIAN_POINT('',(-3.908333333731E1,8.303670850563E0, +-1.909153440069E1)); +#27717=LINE('',#27716,#27715); +#27718=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#27719=VECTOR('',#27718,1.5E-1); +#27720=CARTESIAN_POINT('',(-3.88E1,8.303670850563E0,-1.909153440069E1)); +#27721=LINE('',#27720,#27719); +#27722=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#27723=VECTOR('',#27722,1.5E-1); +#27724=CARTESIAN_POINT('',(-3.88E1,8.833505379275E0,-1.872054026968E1)); +#27725=LINE('',#27724,#27723); +#27726=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#27727=VECTOR('',#27726,1.5E-1); +#27728=CARTESIAN_POINT('',(-3.82E1,7.745950298135E0,-1.948205453572E1)); +#27729=LINE('',#27728,#27727); +#27730=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#27731=VECTOR('',#27730,1.5E-1); +#27732=CARTESIAN_POINT('',(-3.83E1,7.745950298135E0,-1.948205453572E1)); +#27733=LINE('',#27732,#27731); +#27734=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#27735=VECTOR('',#27734,1.5E-1); +#27736=CARTESIAN_POINT('',(-3.83E1,8.833505379275E0,-1.872054026968E1)); +#27737=LINE('',#27736,#27735); +#27738=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#27739=VECTOR('',#27738,1.5E-1); +#27740=CARTESIAN_POINT('',(-3.84E1,8.638303135147E0,-1.885722235250E1)); +#27741=LINE('',#27740,#27739); +#27742=DIRECTION('',(4.736951571734E-14,-5.735764363510E-1,8.191520442890E-1)); +#27743=VECTOR('',#27742,1.5E-1); +#27744=CARTESIAN_POINT('',(-3.84E1,8.861391402990E0,-1.870101426567E1)); +#27745=LINE('',#27744,#27743); +#27746=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#27747=VECTOR('',#27746,1.5E-1); +#27748=CARTESIAN_POINT('',(-3.83E1,9.056593568998E0,-1.856433223755E1)); +#27749=LINE('',#27748,#27747); +#27750=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#27751=VECTOR('',#27750,1.5E-1); +#27752=CARTESIAN_POINT('',(-3.82E1,9.056593568998E0,-1.856433223755E1)); +#27753=LINE('',#27752,#27751); +#27754=DIRECTION('',(2.368475785867E-14,-5.735764363511E-1,8.191520442890E-1)); +#27755=VECTOR('',#27754,1.5E-1); +#27756=CARTESIAN_POINT('',(8.049999976158E0,8.331556874278E0, +-1.907200839668E1)); +#27757=LINE('',#27756,#27755); +#27758=DIRECTION('',(1.776356839400E-14,-5.735764363511E-1,8.191520442890E-1)); +#27759=VECTOR('',#27758,1.5E-1); +#27760=CARTESIAN_POINT('',(7.933333313465E0,8.331556874278E0, +-1.907200839668E1)); +#27761=LINE('',#27760,#27759); +#27762=DIRECTION('',(1.776356839400E-14,-5.735764363510E-1,8.191520442890E-1)); +#27763=VECTOR('',#27762,1.5E-1); +#27764=CARTESIAN_POINT('',(7.933333313465E0,9.056593568998E0, +-1.856433223755E1)); +#27765=LINE('',#27764,#27763); +#27766=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27767=VECTOR('',#27766,1.5E-1); +#27768=CARTESIAN_POINT('',(8.499999964237E0,9.056593568998E0, +-1.856433223755E1)); +#27769=LINE('',#27768,#27767); +#27770=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27771=VECTOR('',#27770,1.5E-1); +#27772=CARTESIAN_POINT('',(8.499999964237E0,8.917163450421E0, +-1.866196225764E1)); +#27773=LINE('',#27772,#27771); +#27774=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27775=VECTOR('',#27774,1.5E-1); +#27776=CARTESIAN_POINT('',(8.016666644812E0,8.917163450421E0, +-1.866196225764E1)); +#27777=LINE('',#27776,#27775); +#27778=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#27779=VECTOR('',#27778,1.5E-1); +#27780=CARTESIAN_POINT('',(8.016666644812E0,8.470986992855E0, +-1.897437837660E1)); +#27781=LINE('',#27780,#27779); +#27782=DIRECTION('',(1.184237892934E-14,-5.735764363511E-1,8.191520442890E-1)); +#27783=VECTOR('',#27782,1.5E-1); +#27784=CARTESIAN_POINT('',(8.099999976158E0,8.554645064001E0, +-1.891580036455E1)); +#27785=LINE('',#27784,#27783); +#27786=DIRECTION('',(2.368475785867E-14,-5.735764363511E-1,8.191520442890E-1)); +#27787=VECTOR('',#27786,1.5E-1); +#27788=CARTESIAN_POINT('',(8.183333313465E0,8.582531087716E0, +-1.889627436053E1)); +#27789=LINE('',#27788,#27787); +#27790=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27791=VECTOR('',#27790,1.5E-1); +#27792=CARTESIAN_POINT('',(8.283333313465E0,8.582531087716E0, +-1.889627436053E1)); +#27793=LINE('',#27792,#27791); +#27794=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27795=VECTOR('',#27794,1.5E-1); +#27796=CARTESIAN_POINT('',(8.366666662693E0,8.554645064001E0, +-1.891580036455E1)); +#27797=LINE('',#27796,#27795); +#27798=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27799=VECTOR('',#27798,1.5E-1); +#27800=CARTESIAN_POINT('',(8.449999964237E0,8.470986992855E0, +-1.897437837660E1)); +#27801=LINE('',#27800,#27799); +#27802=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27803=VECTOR('',#27802,1.5E-1); +#27804=CARTESIAN_POINT('',(8.499999964237E0,8.359442897993E0, +-1.905248239266E1)); +#27805=LINE('',#27804,#27803); +#27806=DIRECTION('',(2.368475785867E-14,-5.735764363511E-1,8.191520442890E-1)); +#27807=VECTOR('',#27806,1.5E-1); +#27808=CARTESIAN_POINT('',(8.533333313465E0,8.220012740356E0, +-1.915011244009E1)); +#27809=LINE('',#27808,#27807); +#27810=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27811=VECTOR('',#27810,1.5E-1); +#27812=CARTESIAN_POINT('',(8.533333313465E0,8.108468645495E0, +-1.922821645616E1)); +#27813=LINE('',#27812,#27811); +#27814=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27815=VECTOR('',#27814,1.5E-1); +#27816=CARTESIAN_POINT('',(8.499999964237E0,7.969038507388E0, +-1.932584648991E1)); +#27817=LINE('',#27816,#27815); +#27818=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27819=VECTOR('',#27818,1.5E-1); +#27820=CARTESIAN_POINT('',(8.449999964237E0,7.857494402762E0, +-1.940395051281E1)); +#27821=LINE('',#27820,#27819); +#27822=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27823=VECTOR('',#27822,1.5E-1); +#27824=CARTESIAN_POINT('',(8.366666662693E0,7.773836324292E0, +-1.946252852999E1)); +#27825=LINE('',#27824,#27823); +#27826=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27827=VECTOR('',#27826,1.5E-1); +#27828=CARTESIAN_POINT('',(8.283333313465E0,7.745950298135E0, +-1.948205453572E1)); +#27829=LINE('',#27828,#27827); +#27830=DIRECTION('',(3.552713678801E-14,-5.735764363510E-1,8.191520442890E-1)); +#27831=VECTOR('',#27830,1.5E-1); +#27832=CARTESIAN_POINT('',(8.183333313465E0,7.745950298135E0, +-1.948205453572E1)); +#27833=LINE('',#27832,#27831); +#27834=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27835=VECTOR('',#27834,1.5E-1); +#27836=CARTESIAN_POINT('',(8.099999976158E0,7.773836324292E0, +-1.946252852999E1)); +#27837=LINE('',#27836,#27835); +#27838=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27839=VECTOR('',#27838,1.5E-1); +#27840=CARTESIAN_POINT('',(8.016666644812E0,7.857494402762E0, +-1.940395051281E1)); +#27841=LINE('',#27840,#27839); +#27842=DIRECTION('',(1.776356839400E-14,-5.735764363510E-1,8.191520442890E-1)); +#27843=VECTOR('',#27842,1.5E-1); +#27844=CARTESIAN_POINT('',(7.966666647792E0,7.969038507388E0, +-1.932584648991E1)); +#27845=LINE('',#27844,#27843); +#27846=DIRECTION('',(1.776356839400E-14,-5.735764363510E-1,8.191520442890E-1)); +#27847=VECTOR('',#27846,1.5E-1); +#27848=CARTESIAN_POINT('',(7.933333313465E0,8.080582621780E0, +-1.924774246017E1)); +#27849=LINE('',#27848,#27847); +#27850=DIRECTION('',(2.368475785867E-14,-5.735764363511E-1,8.191520442890E-1)); +#27851=VECTOR('',#27850,1.5E-1); +#27852=CARTESIAN_POINT('',(8.033333313465E0,8.080582621780E0, +-1.924774246017E1)); +#27853=LINE('',#27852,#27851); +#27854=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27855=VECTOR('',#27854,1.5E-1); +#27856=CARTESIAN_POINT('',(8.066666650772E0,7.969038507388E0, +-1.932584648991E1)); +#27857=LINE('',#27856,#27855); +#27858=DIRECTION('',(2.368475785867E-14,-5.735764363511E-1,8.191520442890E-1)); +#27859=VECTOR('',#27858,1.5E-1); +#27860=CARTESIAN_POINT('',(8.116666650772E0,7.913266459958E0, +-1.936489849794E1)); +#27861=LINE('',#27860,#27859); +#27862=DIRECTION('',(2.368475785867E-14,-5.735764363511E-1,8.191520442890E-1)); +#27863=VECTOR('',#27862,1.5E-1); +#27864=CARTESIAN_POINT('',(8.183333313465E0,7.885380436242E0, +-1.938442450196E1)); +#27865=LINE('',#27864,#27863); +#27866=DIRECTION('',(2.368475785867E-14,-5.735764363511E-1,8.191520442890E-1)); +#27867=VECTOR('',#27866,1.5E-1); +#27868=CARTESIAN_POINT('',(8.283333313465E0,7.885380436242E0, +-1.938442450196E1)); +#27869=LINE('',#27868,#27867); +#27870=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27871=VECTOR('',#27870,1.5E-1); +#27872=CARTESIAN_POINT('',(8.349999964237E0,7.913266459958E0, +-1.936489849794E1)); +#27873=LINE('',#27872,#27871); +#27874=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27875=VECTOR('',#27874,1.5E-1); +#27876=CARTESIAN_POINT('',(8.399999964237E0,7.969038507388E0, +-1.932584648991E1)); +#27877=LINE('',#27876,#27875); +#27878=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27879=VECTOR('',#27878,1.5E-1); +#27880=CARTESIAN_POINT('',(8.433333313465E0,8.052696598064E0, +-1.926726846419E1)); +#27881=LINE('',#27880,#27879); +#27882=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27883=VECTOR('',#27882,1.5E-1); +#27884=CARTESIAN_POINT('',(8.449999964237E0,8.136354669210E0, +-1.920869045214E1)); +#27885=LINE('',#27884,#27883); +#27886=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27887=VECTOR('',#27886,1.5E-1); +#27888=CARTESIAN_POINT('',(8.449999964237E0,8.192126716641E0, +-1.916963844411E1)); +#27889=LINE('',#27888,#27887); +#27890=DIRECTION('',(2.368475785867E-14,-5.735764363511E-1,8.191520442890E-1)); +#27891=VECTOR('',#27890,1.5E-1); +#27892=CARTESIAN_POINT('',(8.433333313465E0,8.275784826847E0, +-1.911106040471E1)); +#27893=LINE('',#27892,#27891); +#27894=DIRECTION('',(2.368475785867E-14,-5.735764363511E-1,8.191520442890E-1)); +#27895=VECTOR('',#27894,1.5E-1); +#27896=CARTESIAN_POINT('',(8.399999964237E0,8.359442897993E0, +-1.905248239266E1)); +#27897=LINE('',#27896,#27895); +#27898=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27899=VECTOR('',#27898,1.5E-1); +#27900=CARTESIAN_POINT('',(8.349999964237E0,8.415214945424E0, +-1.901343038463E1)); +#27901=LINE('',#27900,#27899); +#27902=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27903=VECTOR('',#27902,1.5E-1); +#27904=CARTESIAN_POINT('',(8.283333313465E0,8.443100969140E0, +-1.899390438061E1)); +#27905=LINE('',#27904,#27903); +#27906=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27907=VECTOR('',#27906,1.5E-1); +#27908=CARTESIAN_POINT('',(8.183333313465E0,8.443100969140E0, +-1.899390438061E1)); +#27909=LINE('',#27908,#27907); +#27910=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27911=VECTOR('',#27910,1.5E-1); +#27912=CARTESIAN_POINT('',(8.116666650772E0,8.415214945424E0, +-1.901343038463E1)); +#27913=LINE('',#27912,#27911); +#27914=DIRECTION('',(2.368475785867E-14,-5.735764363511E-1,8.191520442890E-1)); +#27915=VECTOR('',#27914,1.5E-1); +#27916=CARTESIAN_POINT('',(8.666666662693E0,7.885380436242E0, +-1.938442450196E1)); +#27917=LINE('',#27916,#27915); +#27918=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27919=VECTOR('',#27918,1.5E-1); +#27920=CARTESIAN_POINT('',(9.133333313465E0,8.582531087716E0, +-1.889627436053E1)); +#27921=LINE('',#27920,#27919); +#27922=DIRECTION('',(2.368475785867E-14,-5.735764363511E-1,8.191520442890E-1)); +#27923=VECTOR('',#27922,1.5E-1); +#27924=CARTESIAN_POINT('',(9.166666615009E0,8.694075182578E0, +-1.881817034447E1)); +#27925=LINE('',#27924,#27923); +#27926=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27927=VECTOR('',#27926,1.5E-1); +#27928=CARTESIAN_POINT('',(9.166666615009E0,8.749847308129E0, +-1.877911828173E1)); +#27929=LINE('',#27928,#27927); +#27930=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27931=VECTOR('',#27930,1.5E-1); +#27932=CARTESIAN_POINT('',(9.133333313465E0,8.833505379275E0, +-1.872054026968E1)); +#27933=LINE('',#27932,#27931); +#27934=DIRECTION('',(1.184237892934E-14,-5.735764363511E-1,8.191520442890E-1)); +#27935=VECTOR('',#27934,1.5E-1); +#27936=CARTESIAN_POINT('',(9.083333313465E0,8.889277426706E0, +-1.868148826165E1)); +#27937=LINE('',#27936,#27935); +#27938=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27939=VECTOR('',#27938,1.5E-1); +#27940=CARTESIAN_POINT('',(9.000000011921E0,8.917163450421E0, +-1.866196225764E1)); +#27941=LINE('',#27940,#27939); +#27942=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27943=VECTOR('',#27942,1.5E-1); +#27944=CARTESIAN_POINT('',(8.933333313465E0,8.917163450421E0, +-1.866196225764E1)); +#27945=LINE('',#27944,#27943); +#27946=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#27947=VECTOR('',#27946,1.5E-1); +#27948=CARTESIAN_POINT('',(8.850000011921E0,8.889277426706E0, +-1.868148826165E1)); +#27949=LINE('',#27948,#27947); +#27950=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27951=VECTOR('',#27950,1.5E-1); +#27952=CARTESIAN_POINT('',(8.800000011921E0,8.833505379275E0, +-1.872054026968E1)); +#27953=LINE('',#27952,#27951); +#27954=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27955=VECTOR('',#27954,1.5E-1); +#27956=CARTESIAN_POINT('',(8.766666615009E0,8.749847308129E0, +-1.877911828173E1)); +#27957=LINE('',#27956,#27955); +#27958=DIRECTION('',(2.368475785867E-14,-5.735764363511E-1,8.191520442890E-1)); +#27959=VECTOR('',#27958,1.5E-1); +#27960=CARTESIAN_POINT('',(8.683333313465E0,8.749847308129E0, +-1.877911828173E1)); +#27961=LINE('',#27960,#27959); +#27962=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27963=VECTOR('',#27962,1.5E-1); +#27964=CARTESIAN_POINT('',(8.699999964237E0,8.861391402990E0, +-1.870101426567E1)); +#27965=LINE('',#27964,#27963); +#27966=DIRECTION('',(2.368475785867E-14,-5.735764363511E-1,8.191520442890E-1)); +#27967=VECTOR('',#27966,1.5E-1); +#27968=CARTESIAN_POINT('',(8.766666615009E0,8.972935497852E0, +-1.862291024960E1)); +#27969=LINE('',#27968,#27967); +#27970=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27971=VECTOR('',#27970,1.5E-1); +#27972=CARTESIAN_POINT('',(8.833333313465E0,9.028707545282E0, +-1.858385824157E1)); +#27973=LINE('',#27972,#27971); +#27974=DIRECTION('',(1.184237892934E-14,-5.735764363511E-1,8.191520442890E-1)); +#27975=VECTOR('',#27974,1.5E-1); +#27976=CARTESIAN_POINT('',(8.950000011921E0,9.056593568998E0, +-1.856433223755E1)); +#27977=LINE('',#27976,#27975); +#27978=DIRECTION('',(2.368475785867E-14,-5.735764363511E-1,8.191520442890E-1)); +#27979=VECTOR('',#27978,1.5E-1); +#27980=CARTESIAN_POINT('',(9.016666615009E0,9.056593568998E0, +-1.856433223755E1)); +#27981=LINE('',#27980,#27979); +#27982=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27983=VECTOR('',#27982,1.5E-1); +#27984=CARTESIAN_POINT('',(9.116666615009E0,9.028707545282E0, +-1.858385824157E1)); +#27985=LINE('',#27984,#27983); +#27986=DIRECTION('',(2.368475785867E-14,-5.735764363511E-1,8.191520442890E-1)); +#27987=VECTOR('',#27986,1.5E-1); +#27988=CARTESIAN_POINT('',(9.183333313465E0,8.972935497852E0, +-1.862291024960E1)); +#27989=LINE('',#27988,#27987); +#27990=DIRECTION('',(2.368475785867E-14,-5.735764363511E-1,8.191520442890E-1)); +#27991=VECTOR('',#27990,1.5E-1); +#27992=CARTESIAN_POINT('',(9.250000011921E0,8.861391402990E0, +-1.870101426567E1)); +#27993=LINE('',#27992,#27991); +#27994=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27995=VECTOR('',#27994,1.5E-1); +#27996=CARTESIAN_POINT('',(9.266666615009E0,8.749847308129E0, +-1.877911828173E1)); +#27997=LINE('',#27996,#27995); +#27998=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#27999=VECTOR('',#27998,1.5E-1); +#28000=CARTESIAN_POINT('',(9.266666615009E0,8.694075182578E0, +-1.881817034447E1)); +#28001=LINE('',#28000,#27999); +#28002=DIRECTION('',(2.368475785867E-14,-5.735764363511E-1,8.191520442890E-1)); +#28003=VECTOR('',#28002,1.5E-1); +#28004=CARTESIAN_POINT('',(9.250000011921E0,8.582531087716E0, +-1.889627436053E1)); +#28005=LINE('',#28004,#28003); +#28006=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#28007=VECTOR('',#28006,1.5E-1); +#28008=CARTESIAN_POINT('',(9.216666615009E0,8.498873016570E0, +-1.895485237258E1)); +#28009=LINE('',#28008,#28007); +#28010=DIRECTION('',(2.368475785867E-14,-5.735764363511E-1,8.191520442890E-1)); +#28011=VECTOR('',#28010,1.5E-1); +#28012=CARTESIAN_POINT('',(8.800000011921E0,7.885380436242E0, +-1.938442450196E1)); +#28013=LINE('',#28012,#28011); +#28014=DIRECTION('',(2.368475785867E-14,-5.735764363511E-1,8.191520442890E-1)); +#28015=VECTOR('',#28014,1.5E-1); +#28016=CARTESIAN_POINT('',(9.266666615009E0,7.885380436242E0, +-1.938442450196E1)); +#28017=LINE('',#28016,#28015); +#28018=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#28019=VECTOR('',#28018,1.5E-1); +#28020=CARTESIAN_POINT('',(9.266666615009E0,7.745950298135E0, +-1.948205453572E1)); +#28021=LINE('',#28020,#28019); +#28022=DIRECTION('',(2.368475785867E-14,-5.735764363510E-1,8.191520442890E-1)); +#28023=VECTOR('',#28022,1.5E-1); +#28024=CARTESIAN_POINT('',(8.666666662693E0,7.745950298135E0, +-1.948205453572E1)); +#28025=LINE('',#28024,#28023); +#28026=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28027=VECTOR('',#28026,1.5E-1); +#28028=CARTESIAN_POINT('',(2.630000001192E1,8.917163450421E0, +-1.866196225764E1)); +#28029=LINE('',#28028,#28027); +#28030=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28031=VECTOR('',#28030,1.5E-1); +#28032=CARTESIAN_POINT('',(2.596666668653E1,7.745950298135E0, +-1.948205453572E1)); +#28033=LINE('',#28032,#28031); +#28034=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28035=VECTOR('',#28034,1.5E-1); +#28036=CARTESIAN_POINT('',(2.586666667461E1,7.745950298135E0, +-1.948205453572E1)); +#28037=LINE('',#28036,#28035); +#28038=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28039=VECTOR('',#28038,1.5E-1); +#28040=CARTESIAN_POINT('',(2.620000001192E1,8.917163450421E0, +-1.866196225764E1)); +#28041=LINE('',#28040,#28039); +#28042=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28043=VECTOR('',#28042,1.5E-1); +#28044=CARTESIAN_POINT('',(2.570000001192E1,8.917163450421E0, +-1.866196225764E1)); +#28045=LINE('',#28044,#28043); +#28046=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28047=VECTOR('',#28046,1.5E-1); +#28048=CARTESIAN_POINT('',(2.570000001192E1,9.056593568998E0, +-1.856433223755E1)); +#28049=LINE('',#28048,#28047); +#28050=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28051=VECTOR('',#28050,1.5E-1); +#28052=CARTESIAN_POINT('',(2.630000001192E1,9.056593568998E0, +-1.856433223755E1)); +#28053=LINE('',#28052,#28051); +#28054=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28055=VECTOR('',#28054,1.5E-1); +#28056=CARTESIAN_POINT('',(2.683333331347E1,9.056593568998E0, +-1.856433223755E1)); +#28057=LINE('',#28056,#28055); +#28058=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28059=VECTOR('',#28058,1.5E-1); +#28060=CARTESIAN_POINT('',(2.693333331347E1,9.056593568998E0, +-1.856433223755E1)); +#28061=LINE('',#28060,#28059); +#28062=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28063=VECTOR('',#28062,1.5E-1); +#28064=CARTESIAN_POINT('',(2.693333331347E1,8.303670850563E0, +-1.909153440069E1)); +#28065=LINE('',#28064,#28063); +#28066=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28067=VECTOR('',#28066,1.5E-1); +#28068=CARTESIAN_POINT('',(2.710000001192E1,8.303670850563E0, +-1.909153440069E1)); +#28069=LINE('',#28068,#28067); +#28070=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28071=VECTOR('',#28070,1.5E-1); +#28072=CARTESIAN_POINT('',(2.710000001192E1,8.136354669210E0, +-1.920869045214E1)); +#28073=LINE('',#28072,#28071); +#28074=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28075=VECTOR('',#28074,1.5E-1); +#28076=CARTESIAN_POINT('',(2.693333331347E1,8.136354669210E0, +-1.920869045214E1)); +#28077=LINE('',#28076,#28075); +#28078=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28079=VECTOR('',#28078,1.5E-1); +#28080=CARTESIAN_POINT('',(2.693333331347E1,7.745950298135E0, +-1.948205453572E1)); +#28081=LINE('',#28080,#28079); +#28082=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28083=VECTOR('',#28082,1.5E-1); +#28084=CARTESIAN_POINT('',(2.683333331347E1,7.745950298135E0, +-1.948205453572E1)); +#28085=LINE('',#28084,#28083); +#28086=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28087=VECTOR('',#28086,1.5E-1); +#28088=CARTESIAN_POINT('',(2.683333331347E1,8.136354669210E0, +-1.920869045214E1)); +#28089=LINE('',#28088,#28087); +#28090=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28091=VECTOR('',#28090,1.5E-1); +#28092=CARTESIAN_POINT('',(2.643333336115E1,8.136354669210E0, +-1.920869045214E1)); +#28093=LINE('',#28092,#28091); +#28094=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28095=VECTOR('',#28094,1.5E-1); +#28096=CARTESIAN_POINT('',(2.643333336115E1,8.303670850563E0, +-1.909153440069E1)); +#28097=LINE('',#28096,#28095); +#28098=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28099=VECTOR('',#28098,1.5E-1); +#28100=CARTESIAN_POINT('',(2.655000001192E1,8.303670850563E0, +-1.909153440069E1)); +#28101=LINE('',#28100,#28099); +#28102=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28103=VECTOR('',#28102,1.5E-1); +#28104=CARTESIAN_POINT('',(2.683333331347E1,8.303670850563E0, +-1.909153440069E1)); +#28105=LINE('',#28104,#28103); +#28106=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28107=VECTOR('',#28106,1.5E-1); +#28108=CARTESIAN_POINT('',(2.683333331347E1,8.833505379275E0, +-1.872054026968E1)); +#28109=LINE('',#28108,#28107); +#28110=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28111=VECTOR('',#28110,1.5E-1); +#28112=CARTESIAN_POINT('',(5.179999998808E1,7.885380436242E0, +-1.938442450196E1)); +#28113=LINE('',#28112,#28111); +#28114=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28115=VECTOR('',#28114,1.5E-1); +#28116=CARTESIAN_POINT('',(5.186666663885E1,7.885380436242E0, +-1.938442450196E1)); +#28117=LINE('',#28116,#28115); +#28118=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28119=VECTOR('',#28118,1.5E-1); +#28120=CARTESIAN_POINT('',(5.193333331347E1,7.913266459958E0, +-1.936489849794E1)); +#28121=LINE('',#28120,#28119); +#28122=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28123=VECTOR('',#28122,1.5E-1); +#28124=CARTESIAN_POINT('',(5.199999996424E1,7.969038507388E0, +-1.932584648991E1)); +#28125=LINE('',#28124,#28123); +#28126=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28127=VECTOR('',#28126,1.5E-1); +#28128=CARTESIAN_POINT('',(5.204999996424E1,8.080582621780E0, +-1.924774246017E1)); +#28129=LINE('',#28128,#28127); +#28130=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28131=VECTOR('',#28130,1.5E-1); +#28132=CARTESIAN_POINT('',(5.204999996424E1,8.164240692926E0, +-1.918916444812E1)); +#28133=LINE('',#28132,#28131); +#28134=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28135=VECTOR('',#28134,1.5E-1); +#28136=CARTESIAN_POINT('',(5.199999996424E1,8.275784826847E0, +-1.911106040471E1)); +#28137=LINE('',#28136,#28135); +#28138=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28139=VECTOR('',#28138,1.5E-1); +#28140=CARTESIAN_POINT('',(5.193333331347E1,8.331556874278E0, +-1.907200839668E1)); +#28141=LINE('',#28140,#28139); +#28142=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28143=VECTOR('',#28142,1.5E-1); +#28144=CARTESIAN_POINT('',(5.186666663885E1,8.359442897993E0, +-1.905248239266E1)); +#28145=LINE('',#28144,#28143); +#28146=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28147=VECTOR('',#28146,1.5E-1); +#28148=CARTESIAN_POINT('',(5.179999998808E1,8.359442897993E0, +-1.905248239266E1)); +#28149=LINE('',#28148,#28147); +#28150=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28151=VECTOR('',#28150,1.5E-1); +#28152=CARTESIAN_POINT('',(5.173333331347E1,8.331556874278E0, +-1.907200839668E1)); +#28153=LINE('',#28152,#28151); +#28154=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28155=VECTOR('',#28154,1.5E-1); +#28156=CARTESIAN_POINT('',(5.166666665077E1,8.275784826847E0, +-1.911106040471E1)); +#28157=LINE('',#28156,#28155); +#28158=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28159=VECTOR('',#28158,1.5E-1); +#28160=CARTESIAN_POINT('',(5.161666664481E1,8.164240692926E0, +-1.918916444812E1)); +#28161=LINE('',#28160,#28159); +#28162=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28163=VECTOR('',#28162,1.5E-1); +#28164=CARTESIAN_POINT('',(5.161666664481E1,8.080582621780E0, +-1.924774246017E1)); +#28165=LINE('',#28164,#28163); +#28166=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28167=VECTOR('',#28166,1.5E-1); +#28168=CARTESIAN_POINT('',(5.166666665077E1,7.969038507388E0, +-1.932584648991E1)); +#28169=LINE('',#28168,#28167); +#28170=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28171=VECTOR('',#28170,1.5E-1); +#28172=CARTESIAN_POINT('',(5.173333331347E1,7.913266459958E0, +-1.936489849794E1)); +#28173=LINE('',#28172,#28171); +#28174=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28175=VECTOR('',#28174,1.5E-1); +#28176=CARTESIAN_POINT('',(5.186666663885E1,8.917163450421E0, +-1.866196225764E1)); +#28177=LINE('',#28176,#28175); +#28178=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28179=VECTOR('',#28178,1.5E-1); +#28180=CARTESIAN_POINT('',(5.179999998808E1,8.917163450421E0, +-1.866196225764E1)); +#28181=LINE('',#28180,#28179); +#28182=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28183=VECTOR('',#28182,1.5E-1); +#28184=CARTESIAN_POINT('',(5.173333331347E1,8.889277426706E0, +-1.868148826165E1)); +#28185=LINE('',#28184,#28183); +#28186=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28187=VECTOR('',#28186,1.5E-1); +#28188=CARTESIAN_POINT('',(5.166666665077E1,8.805619355560E0, +-1.874006627370E1)); +#28189=LINE('',#28188,#28187); +#28190=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28191=VECTOR('',#28190,1.5E-1); +#28192=CARTESIAN_POINT('',(5.163333331347E1,8.721961206293E0, +-1.879864434045E1)); +#28193=LINE('',#28192,#28191); +#28194=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28195=VECTOR('',#28194,1.5E-1); +#28196=CARTESIAN_POINT('',(5.163333331347E1,8.666189158862E0, +-1.883769634848E1)); +#28197=LINE('',#28196,#28195); +#28198=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28199=VECTOR('',#28198,1.5E-1); +#28200=CARTESIAN_POINT('',(5.166666665077E1,8.582531087716E0, +-1.889627436053E1)); +#28201=LINE('',#28200,#28199); +#28202=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28203=VECTOR('',#28202,1.5E-1); +#28204=CARTESIAN_POINT('',(5.173333331347E1,8.498873016570E0, +-1.895485237258E1)); +#28205=LINE('',#28204,#28203); +#28206=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28207=VECTOR('',#28206,1.5E-1); +#28208=CARTESIAN_POINT('',(5.179999998808E1,8.470986992855E0, +-1.897437837660E1)); +#28209=LINE('',#28208,#28207); +#28210=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28211=VECTOR('',#28210,1.5E-1); +#28212=CARTESIAN_POINT('',(5.186666663885E1,8.470986992855E0, +-1.897437837660E1)); +#28213=LINE('',#28212,#28211); +#28214=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28215=VECTOR('',#28214,1.5E-1); +#28216=CARTESIAN_POINT('',(5.193333331347E1,8.498873016570E0, +-1.895485237258E1)); +#28217=LINE('',#28216,#28215); +#28218=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28219=VECTOR('',#28218,1.5E-1); +#28220=CARTESIAN_POINT('',(5.199999996424E1,8.582531087716E0, +-1.889627436053E1)); +#28221=LINE('',#28220,#28219); +#28222=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28223=VECTOR('',#28222,1.5E-1); +#28224=CARTESIAN_POINT('',(5.203333331347E1,8.666189158862E0, +-1.883769634848E1)); +#28225=LINE('',#28224,#28223); +#28226=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28227=VECTOR('',#28226,1.5E-1); +#28228=CARTESIAN_POINT('',(5.203333331347E1,8.721961206293E0, +-1.879864434045E1)); +#28229=LINE('',#28228,#28227); +#28230=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28231=VECTOR('',#28230,1.5E-1); +#28232=CARTESIAN_POINT('',(5.199999996424E1,8.805619355560E0, +-1.874006627370E1)); +#28233=LINE('',#28232,#28231); +#28234=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28235=VECTOR('',#28234,1.5E-1); +#28236=CARTESIAN_POINT('',(5.193333331347E1,8.889277426706E0, +-1.868148826165E1)); +#28237=LINE('',#28236,#28235); +#28238=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28239=VECTOR('',#28238,1.5E-1); +#28240=CARTESIAN_POINT('',(5.226666666269E1,8.526759040286E0, +-1.893532636856E1)); +#28241=LINE('',#28240,#28239); +#28242=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28243=VECTOR('',#28242,1.5E-1); +#28244=CARTESIAN_POINT('',(5.228333331347E1,8.666189158862E0, +-1.883769634848E1)); +#28245=LINE('',#28244,#28243); +#28246=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28247=VECTOR('',#28246,1.5E-1); +#28248=CARTESIAN_POINT('',(5.229999996424E1,8.749847308129E0, +-1.877911828173E1)); +#28249=LINE('',#28248,#28247); +#28250=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28251=VECTOR('',#28250,1.5E-1); +#28252=CARTESIAN_POINT('',(5.233333331347E1,8.861391402990E0, +-1.870101426567E1)); +#28253=LINE('',#28252,#28251); +#28254=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28255=VECTOR('',#28254,1.5E-1); +#28256=CARTESIAN_POINT('',(5.238333331347E1,8.945049474136E0, +-1.864243625362E1)); +#28257=LINE('',#28256,#28255); +#28258=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28259=VECTOR('',#28258,1.5E-1); +#28260=CARTESIAN_POINT('',(5.246666661501E1,9.028707545282E0, +-1.858385824157E1)); +#28261=LINE('',#28260,#28259); +#28262=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28263=VECTOR('',#28262,1.5E-1); +#28264=CARTESIAN_POINT('',(5.256666661501E1,9.056593568998E0, +-1.856433223755E1)); +#28265=LINE('',#28264,#28263); +#28266=DIRECTION('',(1.421085471520E-13,-5.735764363511E-1,8.191520442890E-1)); +#28267=VECTOR('',#28266,1.5E-1); +#28268=CARTESIAN_POINT('',(5.266666661501E1,9.028707545282E0, +-1.858385824157E1)); +#28269=LINE('',#28268,#28267); +#28270=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28271=VECTOR('',#28270,1.5E-1); +#28272=CARTESIAN_POINT('',(5.275000001192E1,8.945049474136E0, +-1.864243625362E1)); +#28273=LINE('',#28272,#28271); +#28274=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28275=VECTOR('',#28274,1.5E-1); +#28276=CARTESIAN_POINT('',(5.280000001192E1,8.861391402990E0, +-1.870101426567E1)); +#28277=LINE('',#28276,#28275); +#28278=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28279=VECTOR('',#28278,1.5E-1); +#28280=CARTESIAN_POINT('',(5.283333331347E1,8.749847308129E0, +-1.877911828173E1)); +#28281=LINE('',#28280,#28279); +#28282=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28283=VECTOR('',#28282,1.5E-1); +#28284=CARTESIAN_POINT('',(5.285000001192E1,8.666189158862E0, +-1.883769634848E1)); +#28285=LINE('',#28284,#28283); +#28286=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28287=VECTOR('',#28286,1.5E-1); +#28288=CARTESIAN_POINT('',(5.286666661501E1,8.526759040286E0, +-1.893532636856E1)); +#28289=LINE('',#28288,#28287); +#28290=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28291=VECTOR('',#28290,1.5E-1); +#28292=CARTESIAN_POINT('',(5.286666661501E1,8.275784826847E0, +-1.911106040471E1)); +#28293=LINE('',#28292,#28291); +#28294=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28295=VECTOR('',#28294,1.5E-1); +#28296=CARTESIAN_POINT('',(5.285000001192E1,8.136354669210E0, +-1.920869045214E1)); +#28297=LINE('',#28296,#28295); +#28298=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28299=VECTOR('',#28298,1.5E-1); +#28300=CARTESIAN_POINT('',(5.283333331347E1,8.052696598064E0, +-1.926726846419E1)); +#28301=LINE('',#28300,#28299); +#28302=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28303=VECTOR('',#28302,1.5E-1); +#28304=CARTESIAN_POINT('',(5.280000001192E1,7.941152483673E0, +-1.934537249393E1)); +#28305=LINE('',#28304,#28303); +#28306=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28307=VECTOR('',#28306,1.5E-1); +#28308=CARTESIAN_POINT('',(5.275000001192E1,7.857494402762E0, +-1.940395051281E1)); +#28309=LINE('',#28308,#28307); +#28310=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28311=VECTOR('',#28310,1.5E-1); +#28312=CARTESIAN_POINT('',(5.266666661501E1,7.773836324292E0, +-1.946252852999E1)); +#28313=LINE('',#28312,#28311); +#28314=DIRECTION('',(4.736951571734E-14,-5.735764363510E-1,8.191520442890E-1)); +#28315=VECTOR('',#28314,1.5E-1); +#28316=CARTESIAN_POINT('',(5.256666661501E1,7.745950298135E0, +-1.948205453572E1)); +#28317=LINE('',#28316,#28315); +#28318=DIRECTION('',(4.736951571734E-14,-5.735764363511E-1,8.191520442890E-1)); +#28319=VECTOR('',#28318,1.5E-1); +#28320=CARTESIAN_POINT('',(5.246666661501E1,7.773836324292E0, +-1.946252852999E1)); +#28321=LINE('',#28320,#28319); +#28322=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28323=VECTOR('',#28322,1.5E-1); +#28324=CARTESIAN_POINT('',(5.238333331347E1,7.857494402762E0, +-1.940395051281E1)); +#28325=LINE('',#28324,#28323); +#28326=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28327=VECTOR('',#28326,1.5E-1); +#28328=CARTESIAN_POINT('',(5.233333331347E1,7.941152483673E0, +-1.934537249393E1)); +#28329=LINE('',#28328,#28327); +#28330=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28331=VECTOR('',#28330,1.5E-1); +#28332=CARTESIAN_POINT('',(5.229999996424E1,8.052696598064E0, +-1.926726846419E1)); +#28333=LINE('',#28332,#28331); +#28334=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28335=VECTOR('',#28334,1.5E-1); +#28336=CARTESIAN_POINT('',(5.228333331347E1,8.136354669210E0, +-1.920869045214E1)); +#28337=LINE('',#28336,#28335); +#28338=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28339=VECTOR('',#28338,1.5E-1); +#28340=CARTESIAN_POINT('',(5.226666666269E1,8.275784826847E0, +-1.911106040471E1)); +#28341=LINE('',#28340,#28339); +#28342=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28343=VECTOR('',#28342,1.5E-1); +#28344=CARTESIAN_POINT('',(5.235000001192E1,8.498873016570E0, +-1.895485237258E1)); +#28345=LINE('',#28344,#28343); +#28346=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28347=VECTOR('',#28346,1.5E-1); +#28348=CARTESIAN_POINT('',(5.235000001192E1,8.303670850563E0, +-1.909153440069E1)); +#28349=LINE('',#28348,#28347); +#28350=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28351=VECTOR('',#28350,1.5E-1); +#28352=CARTESIAN_POINT('',(5.236666661501E1,8.136354669210E0, +-1.920869045214E1)); +#28353=LINE('',#28352,#28351); +#28354=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28355=VECTOR('',#28354,1.5E-1); +#28356=CARTESIAN_POINT('',(5.243333331347E1,7.969038507388E0, +-1.932584648991E1)); +#28357=LINE('',#28356,#28355); +#28358=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28359=VECTOR('',#28358,1.5E-1); +#28360=CARTESIAN_POINT('',(5.248333331347E1,7.913266459958E0, +-1.936489849794E1)); +#28361=LINE('',#28360,#28359); +#28362=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28363=VECTOR('',#28362,1.5E-1); +#28364=CARTESIAN_POINT('',(5.256666661501E1,7.885380436242E0, +-1.938442450196E1)); +#28365=LINE('',#28364,#28363); +#28366=DIRECTION('',(-4.736951571734E-14,-5.735764363511E-1,8.191520442890E-1)); +#28367=VECTOR('',#28366,1.5E-1); +#28368=CARTESIAN_POINT('',(5.265000001192E1,7.913266459958E0, +-1.936489849794E1)); +#28369=LINE('',#28368,#28367); +#28370=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28371=VECTOR('',#28370,1.5E-1); +#28372=CARTESIAN_POINT('',(5.270000001192E1,7.969038507388E0, +-1.932584648991E1)); +#28373=LINE('',#28372,#28371); +#28374=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28375=VECTOR('',#28374,1.5E-1); +#28376=CARTESIAN_POINT('',(5.276666661501E1,8.136354669210E0, +-1.920869045214E1)); +#28377=LINE('',#28376,#28375); +#28378=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28379=VECTOR('',#28378,1.5E-1); +#28380=CARTESIAN_POINT('',(5.278333331347E1,8.303670850563E0, +-1.909153440069E1)); +#28381=LINE('',#28380,#28379); +#28382=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28383=VECTOR('',#28382,1.5E-1); +#28384=CARTESIAN_POINT('',(5.278333331347E1,8.498873016570E0, +-1.895485237258E1)); +#28385=LINE('',#28384,#28383); +#28386=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28387=VECTOR('',#28386,1.5E-1); +#28388=CARTESIAN_POINT('',(5.276666661501E1,8.666189158862E0, +-1.883769634848E1)); +#28389=LINE('',#28388,#28387); +#28390=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28391=VECTOR('',#28390,1.5E-1); +#28392=CARTESIAN_POINT('',(5.270000001192E1,8.833505379275E0, +-1.872054026968E1)); +#28393=LINE('',#28392,#28391); +#28394=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#28395=VECTOR('',#28394,1.5E-1); +#28396=CARTESIAN_POINT('',(5.265000001192E1,8.889277426706E0, +-1.868148826165E1)); +#28397=LINE('',#28396,#28395); +#28398=DIRECTION('',(4.736951571734E-14,-5.735764363510E-1,8.191520442890E-1)); +#28399=VECTOR('',#28398,1.5E-1); +#28400=CARTESIAN_POINT('',(5.256666661501E1,8.917163450421E0, +-1.866196225764E1)); +#28401=LINE('',#28400,#28399); +#28402=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28403=VECTOR('',#28402,1.5E-1); +#28404=CARTESIAN_POINT('',(5.248333331347E1,8.889277426706E0, +-1.868148826165E1)); +#28405=LINE('',#28404,#28403); +#28406=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28407=VECTOR('',#28406,1.5E-1); +#28408=CARTESIAN_POINT('',(5.243333331347E1,8.833505379275E0, +-1.872054026968E1)); +#28409=LINE('',#28408,#28407); +#28410=DIRECTION('',(0.E0,-5.735764363511E-1,8.191520442890E-1)); +#28411=VECTOR('',#28410,1.5E-1); +#28412=CARTESIAN_POINT('',(5.236666661501E1,8.666189158862E0, +-1.883769634848E1)); +#28413=LINE('',#28412,#28411); +#28414=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28415=VECTOR('',#28414,2.2E0); +#28416=CARTESIAN_POINT('',(5.33E1,2.23E0,-1.795E1)); +#28417=LINE('',#28416,#28415); +#28418=DIRECTION('',(1.E0,0.E0,0.E0)); +#28419=VECTOR('',#28418,2.2E0); +#28420=CARTESIAN_POINT('',(5.11E1,8.3E-1,-1.832E1)); +#28421=LINE('',#28420,#28419); +#28422=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28423=VECTOR('',#28422,2.2E0); +#28424=CARTESIAN_POINT('',(4.9E1,2.23E0,-1.795E1)); +#28425=LINE('',#28424,#28423); +#28426=DIRECTION('',(1.E0,0.E0,0.E0)); +#28427=VECTOR('',#28426,2.2E0); +#28428=CARTESIAN_POINT('',(4.68E1,8.3E-1,-1.832E1)); +#28429=LINE('',#28428,#28427); +#28430=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28431=VECTOR('',#28430,2.2E0); +#28432=CARTESIAN_POINT('',(4.47E1,2.23E0,-1.795E1)); +#28433=LINE('',#28432,#28431); +#28434=DIRECTION('',(1.E0,0.E0,0.E0)); +#28435=VECTOR('',#28434,2.2E0); +#28436=CARTESIAN_POINT('',(4.25E1,8.3E-1,-1.832E1)); +#28437=LINE('',#28436,#28435); +#28438=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28439=VECTOR('',#28438,2.2E0); +#28440=CARTESIAN_POINT('',(4.04E1,2.23E0,-1.795E1)); +#28441=LINE('',#28440,#28439); +#28442=DIRECTION('',(1.E0,0.E0,0.E0)); +#28443=VECTOR('',#28442,2.2E0); +#28444=CARTESIAN_POINT('',(3.82E1,8.3E-1,-1.832E1)); +#28445=LINE('',#28444,#28443); +#28446=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28447=VECTOR('',#28446,2.2E0); +#28448=CARTESIAN_POINT('',(3.61E1,2.23E0,-1.795E1)); +#28449=LINE('',#28448,#28447); +#28450=DIRECTION('',(1.E0,0.E0,0.E0)); +#28451=VECTOR('',#28450,2.2E0); +#28452=CARTESIAN_POINT('',(3.39E1,8.3E-1,-1.832E1)); +#28453=LINE('',#28452,#28451); +#28454=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28455=VECTOR('',#28454,2.2E0); +#28456=CARTESIAN_POINT('',(3.18E1,2.23E0,-1.795E1)); +#28457=LINE('',#28456,#28455); +#28458=DIRECTION('',(1.E0,0.E0,0.E0)); +#28459=VECTOR('',#28458,2.2E0); +#28460=CARTESIAN_POINT('',(2.96E1,8.3E-1,-1.832E1)); +#28461=LINE('',#28460,#28459); +#28462=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28463=VECTOR('',#28462,2.2E0); +#28464=CARTESIAN_POINT('',(2.75E1,1.103E1,-1.795E1)); +#28465=LINE('',#28464,#28463); +#28466=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28467=VECTOR('',#28466,2.2E0); +#28468=CARTESIAN_POINT('',(2.75E1,2.23E0,-1.795E1)); +#28469=LINE('',#28468,#28467); +#28470=DIRECTION('',(1.E0,0.E0,0.E0)); +#28471=VECTOR('',#28470,2.2E0); +#28472=CARTESIAN_POINT('',(2.53E1,8.3E-1,-1.832E1)); +#28473=LINE('',#28472,#28471); +#28474=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#28475=VECTOR('',#28474,5.196152422707E-1); +#28476=CARTESIAN_POINT('',(2.505E1,-2.07E0,-1.765E1)); +#28477=LINE('',#28476,#28475); +#28478=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#28479=VECTOR('',#28478,5.196152422707E-1); +#28480=CARTESIAN_POINT('',(2.315E1,-1.77E0,-1.795E1)); +#28481=LINE('',#28480,#28479); +#28482=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28483=VECTOR('',#28482,2.2E0); +#28484=CARTESIAN_POINT('',(2.535E1,-1.77E0,-1.795E1)); +#28485=LINE('',#28484,#28483); +#28486=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#28487=VECTOR('',#28486,5.196152422707E-1); +#28488=CARTESIAN_POINT('',(2.535E1,-3.17E0,-1.795E1)); +#28489=LINE('',#28488,#28487); +#28490=DIRECTION('',(1.E0,0.E0,0.E0)); +#28491=VECTOR('',#28490,2.2E0); +#28492=CARTESIAN_POINT('',(2.315E1,-3.17E0,-1.795E1)); +#28493=LINE('',#28492,#28491); +#28494=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#28495=VECTOR('',#28494,5.196152422707E-1); +#28496=CARTESIAN_POINT('',(2.345E1,-2.87E0,-1.765E1)); +#28497=LINE('',#28496,#28495); +#28498=DIRECTION('',(1.E0,0.E0,0.E0)); +#28499=VECTOR('',#28498,1.6E0); +#28500=CARTESIAN_POINT('',(2.345E1,-2.87E0,-1.765E1)); +#28501=LINE('',#28500,#28499); +#28502=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#28503=VECTOR('',#28502,2.007639675087E0); +#28504=CARTESIAN_POINT('',(2.535E1,-3.17E0,-1.795E1)); +#28505=LINE('',#28504,#28503); +#28506=DIRECTION('',(0.E0,8.715574274766E-2,9.961946980917E-1)); +#28507=VECTOR('',#28506,2.007639675087E0); +#28508=CARTESIAN_POINT('',(2.315E1,-3.344977327052E0,-1.995E1)); +#28509=LINE('',#28508,#28507); +#28510=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28511=VECTOR('',#28510,2.2E0); +#28512=CARTESIAN_POINT('',(5.4E0,2.23E0,-1.795E1)); +#28513=LINE('',#28512,#28511); +#28514=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28515=VECTOR('',#28514,2.2E0); +#28516=CARTESIAN_POINT('',(1.1E0,2.23E0,-1.795E1)); +#28517=LINE('',#28516,#28515); +#28518=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28519=VECTOR('',#28518,2.2E0); +#28520=CARTESIAN_POINT('',(-3.2E0,2.23E0,-1.795E1)); +#28521=LINE('',#28520,#28519); +#28522=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28523=VECTOR('',#28522,2.2E0); +#28524=CARTESIAN_POINT('',(-7.5E0,2.23E0,-1.795E1)); +#28525=LINE('',#28524,#28523); +#28526=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28527=VECTOR('',#28526,2.2E0); +#28528=CARTESIAN_POINT('',(-1.18E1,2.23E0,-1.795E1)); +#28529=LINE('',#28528,#28527); +#28530=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28531=VECTOR('',#28530,2.2E0); +#28532=CARTESIAN_POINT('',(-1.61E1,2.23E0,-1.795E1)); +#28533=LINE('',#28532,#28531); +#28534=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28535=VECTOR('',#28534,2.2E0); +#28536=CARTESIAN_POINT('',(-2.04E1,2.23E0,-1.795E1)); +#28537=LINE('',#28536,#28535); +#28538=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28539=VECTOR('',#28538,2.2E0); +#28540=CARTESIAN_POINT('',(-2.47E1,2.23E0,-1.795E1)); +#28541=LINE('',#28540,#28539); +#28542=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28543=VECTOR('',#28542,2.2E0); +#28544=CARTESIAN_POINT('',(-2.9E1,2.23E0,-1.795E1)); +#28545=LINE('',#28544,#28543); +#28546=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28547=VECTOR('',#28546,2.2E0); +#28548=CARTESIAN_POINT('',(-3.33E1,2.23E0,-1.795E1)); +#28549=LINE('',#28548,#28547); +#28550=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28551=VECTOR('',#28550,2.2E0); +#28552=CARTESIAN_POINT('',(-3.76E1,2.23E0,-1.795E1)); +#28553=LINE('',#28552,#28551); +#28554=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28555=VECTOR('',#28554,2.2E0); +#28556=CARTESIAN_POINT('',(9.7E0,2.23E0,-1.795E1)); +#28557=LINE('',#28556,#28555); +#28558=DIRECTION('',(0.E0,-1.E0,0.E0)); +#28559=VECTOR('',#28558,2.165063509461E0); +#28560=CARTESIAN_POINT('',(1.135E1,1.128E1,-1.9E1)); +#28561=LINE('',#28560,#28559); +#28562=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28563=VECTOR('',#28562,2.3E0); +#28564=CARTESIAN_POINT('',(1.135E1,-3.42E0,-1.765E1)); +#28565=LINE('',#28564,#28563); +#28566=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#28567=VECTOR('',#28566,2.247891503995E0); +#28568=CARTESIAN_POINT('',(1.135E1,9.114936490539E0,-1.9E1)); +#28569=LINE('',#28568,#28567); +#28570=CARTESIAN_POINT('',(8.35E0,1.128E1,-1.9E1)); +#28571=DIRECTION('',(0.E0,0.E0,1.E0)); +#28572=DIRECTION('',(1.E0,0.E0,0.E0)); +#28573=AXIS2_PLACEMENT_3D('',#28570,#28571,#28572); +#28575=CARTESIAN_POINT('',(1.123147202950E1,1.211493649054E1,-1.9E1)); +#28576=CARTESIAN_POINT('',(1.115482245432E1,1.237946392399E1, +-1.854182504526E1)); +#28577=CARTESIAN_POINT('',(1.104117101769E1,1.263972896496E1, +-1.809103277088E1)); +#28578=CARTESIAN_POINT('',(1.087860512464E1,1.289435935394E1,-1.765E1)); +#28580=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28581=VECTOR('',#28580,1.35E0); +#28582=CARTESIAN_POINT('',(1.135E1,1.128E1,-1.765E1)); +#28583=LINE('',#28582,#28581); +#28584=CARTESIAN_POINT('',(1.087417554859E1,-5.041276595745E0,-1.765E1)); +#28585=CARTESIAN_POINT('',(1.101206462350E1,-4.826596243106E0, +-1.802370283607E1)); +#28586=CARTESIAN_POINT('',(1.121665481820E1,-4.390788771878E0, +-1.878233065636E1)); +#28587=CARTESIAN_POINT('',(1.131233703893E1,-3.945118617880E0, +-1.955812685036E1)); +#28588=CARTESIAN_POINT('',(1.133496231132E1,-3.72E0,-1.995E1)); +#28590=CARTESIAN_POINT('',(-5.799552845233E1,-3.72E0,-1.995E1)); +#28591=CARTESIAN_POINT('',(-5.769181452153E1,-4.181183891031E0, +-1.914719841191E1)); +#28592=CARTESIAN_POINT('',(-5.731353112621E1,-4.625365175510E0, +-1.837399395374E1)); +#28593=CARTESIAN_POINT('',(-5.681126270353E1,-5.041276595745E0,-1.765E1)); +#28595=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28596=VECTOR('',#28595,2.3E0); +#28597=CARTESIAN_POINT('',(-5.826492031553E1,2.429138339224E0,-1.765E1)); +#28598=LINE('',#28597,#28596); +#28599=DIRECTION('',(-4.748563898706E-1,-8.800632982911E-1,0.E0)); +#28600=VECTOR('',#28599,3.408845711241E0); +#28601=CARTESIAN_POINT('',(-5.303874720721E1,1.211493649054E1,-1.9E1)); +#28602=LINE('',#28601,#28600); +#28603=DIRECTION('',(-4.393031229264E-1,-8.141715338769E-1,-3.796544212986E-1)); +#28604=VECTOR('',#28603,2.502275613571E0); +#28605=CARTESIAN_POINT('',(-5.465745937528E1,9.114936490539E0,-1.9E1)); +#28606=LINE('',#28605,#28604); +#28607=DIRECTION('',(-1.721412708166E-14,-2.008314826194E-14,-1.E0)); +#28608=VECTOR('',#28607,1.238301656057E0); +#28609=CARTESIAN_POINT('',(-5.300395079368E1,1.217942555948E1,-1.765E1)); +#28610=LINE('',#28609,#28608); +#28611=DIRECTION('',(-2.604726980482E-1,-4.827406067370E-1,-8.361312577453E-1)); +#28612=VECTOR('',#28611,1.335894847946E-1); +#28613=CARTESIAN_POINT('',(-5.300395079368E1,1.217942555948E1, +-1.888830165606E1)); +#28614=LINE('',#28613,#28612); +#28615=CARTESIAN_POINT('',(-5.251109337385E1,1.289435935394E1,-1.765E1)); +#28616=CARTESIAN_POINT('',(-5.271145825490E1,1.266233930166E1, +-1.805187051894E1)); +#28617=CARTESIAN_POINT('',(-5.287229497107E1,1.242342660896E1, +-1.846567944126E1)); +#28618=CARTESIAN_POINT('',(-5.300395079368E1,1.217942555948E1, +-1.888830165606E1)); +#28620=DIRECTION('',(0.E0,-1.E0,0.E0)); +#28621=VECTOR('',#28620,2.165063509461E0); +#28622=CARTESIAN_POINT('',(5.525E1,1.128E1,-1.9E1)); +#28623=LINE('',#28622,#28621); +#28624=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28625=VECTOR('',#28624,2.3E0); +#28626=CARTESIAN_POINT('',(5.525E1,-3.42E0,-1.765E1)); +#28627=LINE('',#28626,#28625); +#28628=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#28629=VECTOR('',#28628,2.247891503995E0); +#28630=CARTESIAN_POINT('',(5.525E1,9.114936490539E0,-1.9E1)); +#28631=LINE('',#28630,#28629); +#28632=CARTESIAN_POINT('',(5.477417554859E1,-5.041276595745E0,-1.765E1)); +#28633=CARTESIAN_POINT('',(5.491206462350E1,-4.826596243106E0, +-1.802370283607E1)); +#28634=CARTESIAN_POINT('',(5.511665481820E1,-4.390788771878E0, +-1.878233065636E1)); +#28635=CARTESIAN_POINT('',(5.521233703893E1,-3.945118617880E0, +-1.955812685036E1)); +#28636=CARTESIAN_POINT('',(5.523496231132E1,-3.72E0,-1.995E1)); +#28638=CARTESIAN_POINT('',(2.151503768868E1,-3.72E0,-1.995E1)); +#28639=CARTESIAN_POINT('',(2.153766296107E1,-3.945118617880E0, +-1.955812685036E1)); +#28640=CARTESIAN_POINT('',(2.163334518180E1,-4.390788771878E0, +-1.878233065636E1)); +#28641=CARTESIAN_POINT('',(2.183793537650E1,-4.826596243106E0, +-1.802370283607E1)); +#28642=CARTESIAN_POINT('',(2.197582445141E1,-5.041276595745E0,-1.765E1)); +#28644=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28645=VECTOR('',#28644,2.3E0); +#28646=CARTESIAN_POINT('',(2.15E1,-3.42E0,-1.765E1)); +#28647=LINE('',#28646,#28645); +#28648=DIRECTION('',(0.E0,-1.E0,0.E0)); +#28649=VECTOR('',#28648,2.165063509461E0); +#28650=CARTESIAN_POINT('',(2.15E1,1.128E1,-1.9E1)); +#28651=LINE('',#28650,#28649); +#28652=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#28653=VECTOR('',#28652,2.247891503995E0); +#28654=CARTESIAN_POINT('',(2.15E1,9.114936490539E0,-1.9E1)); +#28655=LINE('',#28654,#28653); +#28656=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28657=VECTOR('',#28656,1.35E0); +#28658=CARTESIAN_POINT('',(2.15E1,1.128E1,-1.765E1)); +#28659=LINE('',#28658,#28657); +#28660=CARTESIAN_POINT('',(2.45E1,1.128E1,-1.9E1)); +#28661=DIRECTION('',(0.E0,0.E0,1.E0)); +#28662=DIRECTION('',(-9.604906764986E-1,2.783121635130E-1,0.E0)); +#28663=AXIS2_PLACEMENT_3D('',#28660,#28661,#28662); +#28665=CARTESIAN_POINT('',(2.197139487536E1,1.289435935394E1,-1.765E1)); +#28666=CARTESIAN_POINT('',(2.180882898231E1,1.263972896496E1, +-1.809103277088E1)); +#28667=CARTESIAN_POINT('',(2.169517754568E1,1.237946392399E1, +-1.854182504526E1)); +#28668=CARTESIAN_POINT('',(2.161852797050E1,1.211493649054E1,-1.9E1)); +#28670=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28671=VECTOR('',#28670,2.2E0); +#28672=CARTESIAN_POINT('',(9.7E0,9.63E0,-1.795E1)); +#28673=LINE('',#28672,#28671); +#28674=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,-5.773502691896E-1)); +#28675=VECTOR('',#28674,5.196152422707E-1); +#28676=CARTESIAN_POINT('',(7.8E0,9.93E0,-1.765E1)); +#28677=LINE('',#28676,#28675); +#28678=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#28679=VECTOR('',#28678,5.196152422707E-1); +#28680=CARTESIAN_POINT('',(9.7E0,9.63E0,-1.795E1)); +#28681=LINE('',#28680,#28679); +#28682=DIRECTION('',(0.E0,-6.525231353599E-1,-7.577688023534E-1)); +#28683=VECTOR('',#28682,2.375394704044E0); +#28684=CARTESIAN_POINT('',(3.85E0,1.428E1,-6.95E0)); +#28685=LINE('',#28684,#28683); +#28686=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28687=VECTOR('',#28686,1.5E0); +#28688=CARTESIAN_POINT('',(5.35E0,1.428E1,-6.95E0)); +#28689=LINE('',#28688,#28687); +#28690=DIRECTION('',(0.E0,0.E0,1.E0)); +#28691=VECTOR('',#28690,8.3E0); +#28692=CARTESIAN_POINT('',(3.85E0,1.428E1,-1.525E1)); +#28693=LINE('',#28692,#28691); +#28694=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28695=VECTOR('',#28694,4.28E1); +#28696=CARTESIAN_POINT('',(3.85E0,1.428E1,-1.525E1)); +#28697=LINE('',#28696,#28695); +#28698=DIRECTION('',(0.E0,0.E0,1.E0)); +#28699=VECTOR('',#28698,8.3E0); +#28700=CARTESIAN_POINT('',(-3.895E1,1.428E1,-1.525E1)); +#28701=LINE('',#28700,#28699); +#28702=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28703=VECTOR('',#28702,1.5E0); +#28704=CARTESIAN_POINT('',(-3.895E1,1.428E1,-6.95E0)); +#28705=LINE('',#28704,#28703); +#28706=DIRECTION('',(0.E0,0.E0,1.E0)); +#28707=VECTOR('',#28706,8.3E0); +#28708=CARTESIAN_POINT('',(-4.045E1,1.428E1,-1.525E1)); +#28709=LINE('',#28708,#28707); +#28710=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28711=VECTOR('',#28710,1.1678753E1); +#28712=CARTESIAN_POINT('',(-4.045E1,1.428E1,-1.525E1)); +#28713=LINE('',#28712,#28711); +#28714=DIRECTION('',(1.E0,1.154556394746E-8,-1.154554024953E-8)); +#28715=VECTOR('',#28714,6.067875299942E1); +#28716=CARTESIAN_POINT('',(-5.212875299972E1,1.427999903239E1, +-5.999990323995E-1)); +#28717=LINE('',#28716,#28715); +#28718=DIRECTION('',(2.052243813371E-11,1.822768196644E-8,-1.E0)); +#28719=VECTOR('',#28718,1.465000026703E1); +#28720=CARTESIAN_POINT('',(8.549999999699E0,1.427999973296E1, +-5.999997329685E-1)); +#28721=LINE('',#28720,#28719); +#28722=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28723=VECTOR('',#28722,3.2E0); +#28724=CARTESIAN_POINT('',(8.55E0,1.428E1,-1.525E1)); +#28725=LINE('',#28724,#28723); +#28726=DIRECTION('',(0.E0,0.E0,1.E0)); +#28727=VECTOR('',#28726,8.3E0); +#28728=CARTESIAN_POINT('',(5.35E0,1.428E1,-1.525E1)); +#28729=LINE('',#28728,#28727); +#28730=DIRECTION('',(0.E0,-6.525231353599E-1,-7.577688023534E-1)); +#28731=VECTOR('',#28730,2.375394704044E0); +#28732=CARTESIAN_POINT('',(-3.895E1,1.428E1,-6.95E0)); +#28733=LINE('',#28732,#28731); +#28734=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28735=VECTOR('',#28734,9.184678751732E0); +#28736=CARTESIAN_POINT('',(-3.895E1,1.273E1,-8.75E0)); +#28737=LINE('',#28736,#28735); +#28738=DIRECTION('',(1.E0,0.E0,0.E0)); +#28739=VECTOR('',#28738,1.5E0); +#28740=CARTESIAN_POINT('',(-4.045E1,1.273E1,-8.75E0)); +#28741=LINE('',#28740,#28739); +#28742=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28743=VECTOR('',#28742,9.184678751732E0); +#28744=CARTESIAN_POINT('',(-4.045E1,1.273E1,-8.75E0)); +#28745=LINE('',#28744,#28743); +#28746=DIRECTION('',(0.E0,-6.525231353599E-1,-7.577688023534E-1)); +#28747=VECTOR('',#28746,2.375394704044E0); +#28748=CARTESIAN_POINT('',(-4.045E1,1.428E1,-6.95E0)); +#28749=LINE('',#28748,#28747); +#28750=DIRECTION('',(-1.919823293650E-11,6.604818450498E-8,-1.E0)); +#28751=VECTOR('',#28750,1.465000096760E1); +#28752=CARTESIAN_POINT('',(-5.212875299972E1,1.427999903239E1, +-5.999990323995E-1)); +#28753=LINE('',#28752,#28751); +#28754=CARTESIAN_POINT('',(-5.2128753E1,1.428E1,-1.525E1)); +#28755=CARTESIAN_POINT('',(-5.234581947433E1,1.428E1,-1.525E1)); +#28756=CARTESIAN_POINT('',(-5.274892473751E1,1.425385087022E1, +-1.529529162136E1)); +#28757=CARTESIAN_POINT('',(-5.346847617173E1,1.412851913061E1, +-1.551237256216E1)); +#28758=CARTESIAN_POINT('',(-5.424400270564E1,1.386742479067E1, +-1.596460122450E1)); +#28759=CARTESIAN_POINT('',(-5.503536630080E1,1.343674080978E1, +-1.671056776141E1)); +#28760=CARTESIAN_POINT('',(-5.548611076708E1,1.308486725166E1, +-1.732003064192E1)); +#28761=CARTESIAN_POINT('',(-5.569419339669E1,1.289435935394E1,-1.765E1)); +#28763=CARTESIAN_POINT('',(-5.2128753E1,9.E0,-5.999995530756E-1)); +#28764=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28765=DIRECTION('',(-8.800632982911E-1,4.748563898706E-1,0.E0)); +#28766=AXIS2_PLACEMENT_3D('',#28763,#28764,#28765); +#28768=DIRECTION('',(4.748563898706E-1,8.800632982911E-1,0.E0)); +#28769=VECTOR('',#28768,1.590794665246E0); +#28770=CARTESIAN_POINT('',(-6.010854600405E1,5.33E0,-6.75E0)); +#28771=LINE('',#28770,#28769); +#28772=DIRECTION('',(0.E0,0.E0,1.E0)); +#28773=VECTOR('',#28772,1.07E1); +#28774=CARTESIAN_POINT('',(-6.021646014859E1,5.13E0,-1.765E1)); +#28775=LINE('',#28774,#28773); +#28776=DIRECTION('',(0.E0,0.E0,1.E0)); +#28777=VECTOR('',#28776,8.2E0); +#28778=CARTESIAN_POINT('',(-6.135E1,3.029182065024E0,-1.765E1)); +#28779=LINE('',#28778,#28777); +#28780=DIRECTION('',(4.748563898706E-1,8.800632982911E-1,0.E0)); +#28781=VECTOR('',#28780,8.354827933282E-1); +#28782=CARTESIAN_POINT('',(-6.224673434304E1,1.367241738517E0,-8.95E0)); +#28783=LINE('',#28782,#28781); +#28784=DIRECTION('',(4.748564652262E-1,8.800632576314E-1,8.562475337887E-8)); +#28785=VECTOR('',#28784,1.152189850338E1); +#28786=CARTESIAN_POINT('',(-6.224673435958E1,1.367241747779E0, +-6.000000189604E-1)); +#28787=LINE('',#28786,#28785); +#28788=DIRECTION('',(-4.993571875187E-8,2.696511237817E-8,-1.E0)); +#28789=VECTOR('',#28788,1.705000096760E1); +#28790=CARTESIAN_POINT('',(-5.677548636357E1,1.150724127876E1, +-5.999990324007E-1)); +#28791=LINE('',#28790,#28789); +#28792=DIRECTION('',(0.E0,0.E0,1.E0)); +#28793=VECTOR('',#28792,1.07E1); +#28794=CARTESIAN_POINT('',(-5.924523284775E1,6.93E0,-1.765E1)); +#28795=LINE('',#28794,#28793); +#28796=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28797=VECTOR('',#28796,1.363538284496E0); +#28798=CARTESIAN_POINT('',(-5.798960870779E1,6.73E0,-6.75E0)); +#28799=LINE('',#28798,#28797); +#28800=DIRECTION('',(4.748563898706E-1,8.800632982911E-1,0.E0)); +#28801=VECTOR('',#28800,1.590794665246E0); +#28802=CARTESIAN_POINT('',(-5.874500771955E1,5.33E0,-6.75E0)); +#28803=LINE('',#28802,#28801); +#28804=DIRECTION('',(1.E0,0.E0,0.E0)); +#28805=VECTOR('',#28804,1.363538284496E0); +#28806=CARTESIAN_POINT('',(-6.010854600405E1,5.33E0,-6.75E0)); +#28807=LINE('',#28806,#28805); +#28808=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28809=VECTOR('',#28808,1.2E0); +#28810=CARTESIAN_POINT('',(5.825E1,4.63E0,-6.75E0)); +#28811=LINE('',#28810,#28809); +#28812=DIRECTION('',(1.E0,0.E0,0.E0)); +#28813=VECTOR('',#28812,1.2E0); +#28814=CARTESIAN_POINT('',(5.705E1,3.23E0,-6.75E0)); +#28815=LINE('',#28814,#28813); +#28816=DIRECTION('',(0.E0,-1.E0,0.E0)); +#28817=VECTOR('',#28816,1.4E0); +#28818=CARTESIAN_POINT('',(5.705E1,4.63E0,-6.75E0)); +#28819=LINE('',#28818,#28817); +#28820=DIRECTION('',(3.564699198357E-1,6.606546738851E-1,-6.606546738851E-1)); +#28821=VECTOR('',#28820,3.027300160065E-1); +#28822=CARTESIAN_POINT('',(-5.935314699229E1,6.73E0,-6.75E0)); +#28823=LINE('',#28822,#28821); +#28824=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28825=VECTOR('',#28824,1.363538284496E0); +#28826=CARTESIAN_POINT('',(-5.788169456325E1,6.93E0,-6.95E0)); +#28827=LINE('',#28826,#28825); +#28828=DIRECTION('',(0.E0,0.E0,1.E0)); +#28829=VECTOR('',#28828,1.07E1); +#28830=CARTESIAN_POINT('',(-5.788169456325E1,6.93E0,-1.765E1)); +#28831=LINE('',#28830,#28829); +#28832=DIRECTION('',(-3.564699198357E-1,-6.606546738851E-1,6.606546738850E-1)); +#28833=VECTOR('',#28832,3.027300160065E-1); +#28834=CARTESIAN_POINT('',(-5.788169456325E1,6.93E0,-6.95E0)); +#28835=LINE('',#28834,#28833); +#28836=DIRECTION('',(0.E0,0.E0,1.E0)); +#28837=VECTOR('',#28836,1.07E1); +#28838=CARTESIAN_POINT('',(-5.885292186409E1,5.13E0,-1.765E1)); +#28839=LINE('',#28838,#28837); +#28840=DIRECTION('',(-3.564699198358E-1,-6.606546738850E-1,-6.606546738850E-1)); +#28841=VECTOR('',#28840,3.027300160065E-1); +#28842=CARTESIAN_POINT('',(-5.874500771955E1,5.33E0,-6.75E0)); +#28843=LINE('',#28842,#28841); +#28844=DIRECTION('',(1.E0,0.E0,0.E0)); +#28845=VECTOR('',#28844,1.363538284496E0); +#28846=CARTESIAN_POINT('',(-6.021646014859E1,5.13E0,-6.95E0)); +#28847=LINE('',#28846,#28845); +#28848=DIRECTION('',(3.564699198357E-1,6.606546738850E-1,6.606546738850E-1)); +#28849=VECTOR('',#28848,3.027300160065E-1); +#28850=CARTESIAN_POINT('',(-6.021646014859E1,5.13E0,-6.95E0)); +#28851=LINE('',#28850,#28849); +#28852=DIRECTION('',(0.E0,1.E0,0.E0)); +#28853=VECTOR('',#28852,7.886156770408E0); +#28854=CARTESIAN_POINT('',(-6.135E1,-4.856974705384E0,-9.45E0)); +#28855=LINE('',#28854,#28853); +#28856=CARTESIAN_POINT('',(-6.135E1,-4.856974705384E0,-9.45E0)); +#28857=CARTESIAN_POINT('',(-6.152642698861E1,-4.678980170274E0, +-9.273573011389E0)); +#28858=CARTESIAN_POINT('',(-6.169359846783E1,-4.485194495472E0, +-9.106401532170E0)); +#28859=CARTESIAN_POINT('',(-6.185E1,-4.273033847331E0,-8.95E0)); +#28861=DIRECTION('',(4.289509660184E-1,7.949856209415E-1,-4.289509660184E-1)); +#28862=VECTOR('',#28861,1.165634395561E0); +#28863=CARTESIAN_POINT('',(-6.185E1,2.102519481279E0,-8.95E0)); +#28864=LINE('',#28863,#28862); +#28865=CARTESIAN_POINT('',(-5.760000012806E1,-1.14E0,-8.95E0)); +#28866=DIRECTION('',(0.E0,0.E0,1.E0)); +#28867=DIRECTION('',(-8.800632982911E-1,4.748563898706E-1,0.E0)); +#28868=AXIS2_PLACEMENT_3D('',#28865,#28866,#28867); +#28870=DIRECTION('',(0.E0,0.E0,1.E0)); +#28871=VECTOR('',#28870,8.2E0); +#28872=CARTESIAN_POINT('',(-6.135E1,-4.856974705384E0,-1.765E1)); +#28873=LINE('',#28872,#28871); +#28874=CARTESIAN_POINT('',(-6.115787040724E1,-5.041276595745E0,-1.765E1)); +#28875=CARTESIAN_POINT('',(-6.095015370143E1,-5.230709227848E0, +-1.732024689967E1)); +#28876=CARTESIAN_POINT('',(-6.050031506039E1,-5.580685676562E0, +-1.671102863710E1)); +#28877=CARTESIAN_POINT('',(-5.971072500520E1,-6.009230031512E0, +-1.596504401922E1)); +#28878=CARTESIAN_POINT('',(-5.893696317062E1,-6.269142381073E0, +-1.551260400332E1)); +#28879=CARTESIAN_POINT('',(-5.821895885625E1,-6.393949900844E0, +-1.529534646890E1)); +#28880=CARTESIAN_POINT('',(-5.781666118776E1,-6.42E0,-1.525E1)); +#28881=CARTESIAN_POINT('',(-5.760000012806E1,-6.42E0,-1.525E1)); +#28883=CARTESIAN_POINT('',(-5.760000012806E1,-1.14E0,-5.999997383008E-1)); +#28884=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28885=DIRECTION('',(0.E0,-1.E0,0.E0)); +#28886=AXIS2_PLACEMENT_3D('',#28883,#28884,#28885); +#28888=DIRECTION('',(1.981328425856E-9,-1.109240632672E-9,-1.E0)); +#28889=VECTOR('',#28888,8.349999981040E0); +#28890=CARTESIAN_POINT('',(-6.224673435958E1,1.367241747779E0, +-6.000000189604E-1)); +#28891=LINE('',#28890,#28889); +#28892=DIRECTION('',(0.E0,1.E0,0.E0)); +#28893=VECTOR('',#28892,6.375553328610E0); +#28894=CARTESIAN_POINT('',(-6.185E1,-4.273033847331E0,-8.95E0)); +#28895=LINE('',#28894,#28893); +#28896=DIRECTION('',(0.E0,-6.525231353599E-1,-7.577688023534E-1)); +#28897=VECTOR('',#28896,2.375394704044E0); +#28898=CARTESIAN_POINT('',(5.35E0,1.428E1,-6.95E0)); +#28899=LINE('',#28898,#28897); +#28900=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28901=VECTOR('',#28900,9.184678751732E0); +#28902=CARTESIAN_POINT('',(5.35E0,1.273E1,-8.75E0)); +#28903=LINE('',#28902,#28901); +#28904=DIRECTION('',(1.E0,0.E0,0.E0)); +#28905=VECTOR('',#28904,1.5E0); +#28906=CARTESIAN_POINT('',(3.85E0,1.273E1,-8.75E0)); +#28907=LINE('',#28906,#28905); +#28908=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28909=VECTOR('',#28908,9.184678751732E0); +#28910=CARTESIAN_POINT('',(3.85E0,1.273E1,-8.75E0)); +#28911=LINE('',#28910,#28909); +#28912=CARTESIAN_POINT('',(1.863629979607E1,-1.87E0,-6.95E0)); +#28913=CARTESIAN_POINT('',(1.862161297446E1,-1.803454596356E0, +-6.883454596356E0)); +#28914=CARTESIAN_POINT('',(1.860812883349E1,-1.736787507504E0, +-6.816787507504E0)); +#28915=CARTESIAN_POINT('',(1.859583485513E1,-1.67E0,-6.75E0)); +#28917=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#28918=VECTOR('',#28917,2.828427124746E-1); +#28919=CARTESIAN_POINT('',(2.02E1,-1.67E0,-6.75E0)); +#28920=LINE('',#28919,#28918); +#28921=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#28922=VECTOR('',#28921,2.828427124746E-1); +#28923=CARTESIAN_POINT('',(2.02E1,1.03E0,-6.95E0)); +#28924=LINE('',#28923,#28922); +#28925=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28926=VECTOR('',#28925,1.07E1); +#28927=CARTESIAN_POINT('',(2.02E1,-1.87E0,-6.95E0)); +#28928=LINE('',#28927,#28926); +#28929=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#28930=VECTOR('',#28929,2.828427124746E-1); +#28931=CARTESIAN_POINT('',(1.85E1,8.3E-1,-6.75E0)); +#28932=LINE('',#28931,#28930); +#28933=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28934=VECTOR('',#28933,1.7E0); +#28935=CARTESIAN_POINT('',(2.02E1,1.03E0,-6.95E0)); +#28936=LINE('',#28935,#28934); +#28937=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28938=VECTOR('',#28937,1.07E1); +#28939=CARTESIAN_POINT('',(2.02E1,1.03E0,-6.95E0)); +#28940=LINE('',#28939,#28938); +#28941=DIRECTION('',(0.E0,-1.E0,0.E0)); +#28942=VECTOR('',#28941,1.45E0); +#28943=CARTESIAN_POINT('',(1.85E1,8.48E0,-6.75E0)); +#28944=LINE('',#28943,#28942); +#28945=DIRECTION('',(0.E0,0.E0,1.E0)); +#28946=VECTOR('',#28945,1.07E1); +#28947=CARTESIAN_POINT('',(1.85E1,6.83E0,-1.765E1)); +#28948=LINE('',#28947,#28946); +#28949=DIRECTION('',(0.E0,0.E0,1.E0)); +#28950=VECTOR('',#28949,1.07E1); +#28951=CARTESIAN_POINT('',(1.85E1,1.03E0,-1.765E1)); +#28952=LINE('',#28951,#28950); +#28953=DIRECTION('',(0.E0,-1.E0,0.E0)); +#28954=VECTOR('',#28953,1.45E0); +#28955=CARTESIAN_POINT('',(1.85E1,8.3E-1,-6.75E0)); +#28956=LINE('',#28955,#28954); +#28957=DIRECTION('',(8.901304602379E-14,1.E0,-8.962305867472E-14)); +#28958=VECTOR('',#28957,9.099999999439E0); +#28959=CARTESIAN_POINT('',(1.850000026703E1,-6.199999997392E-1, +-5.999997329677E-1)); +#28960=LINE('',#28959,#28958); +#28961=DIRECTION('',(-4.342041204571E-8,4.888735996719E-11,-1.E0)); +#28962=VECTOR('',#28961,6.150000267031E0); +#28963=CARTESIAN_POINT('',(1.850000026704E1,8.479999999699E0, +-5.999997329685E-1)); +#28964=LINE('',#28963,#28962); +#28965=CARTESIAN_POINT('',(2.43E1,-6.2E-1,-6.75E0)); +#28966=DIRECTION('',(0.E0,0.E0,1.E0)); +#28967=DIRECTION('',(-1.E0,0.E0,0.E0)); +#28968=AXIS2_PLACEMENT_3D('',#28965,#28966,#28967); +#28970=DIRECTION('',(0.E0,0.E0,1.E0)); +#28971=VECTOR('',#28970,1.07E1); +#28972=CARTESIAN_POINT('',(1.863629979607E1,-1.87E0,-1.765E1)); +#28973=LINE('',#28972,#28971); +#28974=CARTESIAN_POINT('',(2.054602700277E1,-5.041276595745E0,-1.765E1)); +#28975=CARTESIAN_POINT('',(2.077116919620E1,-5.232438061525E0, +-1.731723744846E1)); +#28976=CARTESIAN_POINT('',(2.125562164114E1,-5.585043154304E0, +-1.670344339806E1)); +#28977=CARTESIAN_POINT('',(2.209660431707E1,-6.014534312469E0, +-1.595581064126E1)); +#28978=CARTESIAN_POINT('',(2.291231288978E1,-6.272517742635E0, +-1.550672837393E1)); +#28979=CARTESIAN_POINT('',(2.366145360643E1,-6.394908892121E0, +-1.529367711372E1)); +#28980=CARTESIAN_POINT('',(2.407753504266E1,-6.42E0,-1.525E1)); +#28981=CARTESIAN_POINT('',(2.43E1,-6.42E0,-1.525E1)); +#28983=CARTESIAN_POINT('',(2.43E1,-6.2E-1,-5.999997052193E-1)); +#28984=DIRECTION('',(0.E0,0.E0,-1.E0)); +#28985=DIRECTION('',(0.E0,-1.E0,0.E0)); +#28986=AXIS2_PLACEMENT_3D('',#28983,#28984,#28985); +#28988=DIRECTION('',(-4.342028033535E-8,-4.239881974005E-11,-1.E0)); +#28989=VECTOR('',#28988,6.150000267032E0); +#28990=CARTESIAN_POINT('',(1.850000026703E1,-6.199999997392E-1, +-5.999997329677E-1)); +#28991=LINE('',#28990,#28989); +#28992=DIRECTION('',(1.E0,0.E0,0.E0)); +#28993=VECTOR('',#28992,1.563700203930E0); +#28994=CARTESIAN_POINT('',(1.863629979607E1,-1.87E0,-6.95E0)); +#28995=LINE('',#28994,#28993); +#28996=DIRECTION('',(0.E0,-6.525231353599E-1,7.577688023534E-1)); +#28997=VECTOR('',#28996,2.375394704044E0); +#28998=CARTESIAN_POINT('',(5.35E0,-4.87E0,-8.75E0)); +#28999=LINE('',#28998,#28997); +#29000=DIRECTION('',(-1.E0,0.E0,0.E0)); +#29001=VECTOR('',#29000,1.5E0); +#29002=CARTESIAN_POINT('',(5.35E0,-4.87E0,-8.75E0)); +#29003=LINE('',#29002,#29001); +#29004=DIRECTION('',(0.E0,0.E0,-1.E0)); +#29005=VECTOR('',#29004,9.198148148148E0); +#29006=CARTESIAN_POINT('',(5.35E0,-4.87E0,-8.75E0)); +#29007=LINE('',#29006,#29005); +#29008=DIRECTION('',(0.E0,-6.525231353599E-1,7.577688023534E-1)); +#29009=VECTOR('',#29008,2.375394704044E0); +#29010=CARTESIAN_POINT('',(-4.985E1,-4.87E0,-8.75E0)); +#29011=LINE('',#29010,#29009); +#29012=DIRECTION('',(-1.E0,0.E0,0.E0)); +#29013=VECTOR('',#29012,1.5E0); +#29014=CARTESIAN_POINT('',(-4.985E1,-4.87E0,-8.75E0)); +#29015=LINE('',#29014,#29013); +#29016=DIRECTION('',(0.E0,0.E0,-1.E0)); +#29017=VECTOR('',#29016,9.198148148148E0); +#29018=CARTESIAN_POINT('',(-4.985E1,-4.87E0,-8.75E0)); +#29019=LINE('',#29018,#29017); +#29020=DIRECTION('',(0.E0,-6.525231353599E-1,7.577688023534E-1)); +#29021=VECTOR('',#29020,2.375394704044E0); +#29022=CARTESIAN_POINT('',(-5.135E1,-4.87E0,-8.75E0)); +#29023=LINE('',#29022,#29021); +#29024=DIRECTION('',(0.E0,0.E0,-1.E0)); +#29025=VECTOR('',#29024,9.198148148148E0); +#29026=CARTESIAN_POINT('',(-5.135E1,-4.87E0,-8.75E0)); +#29027=LINE('',#29026,#29025); +#29028=DIRECTION('',(-2.485059819020E-1,0.E0,9.686303613654E-1)); +#29029=VECTOR('',#29028,1.149147885209E0); +#29030=CARTESIAN_POINT('',(-3.393460035552E1,1.49E1,0.E0)); +#29031=LINE('',#29030,#29029); +#29032=DIRECTION('',(-2.485059819020E-1,0.E0,-9.686303613654E-1)); +#29033=VECTOR('',#29032,1.149147885209E0); +#29034=CARTESIAN_POINT('',(-4.257982952091E1,1.49E1,1.113099531313E0)); +#29035=LINE('',#29034,#29033); +#29036=DIRECTION('',(-8.562155976117E-1,-1.140281665150E-8,-5.166186702070E-1)); +#29037=VECTOR('',#29036,4.037024555938E0); +#29038=CARTESIAN_POINT('',(-3.912326612818E1,1.490000004603E1, +3.198701788995E0)); +#29039=LINE('',#29038,#29037); +#29040=CARTESIAN_POINT('',(-3.84E1,1.489999988614E1,2.E0)); +#29041=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29042=DIRECTION('',(5.166186799703E-1,0.E0,8.562155917207E-1)); +#29043=AXIS2_PLACEMENT_3D('',#29040,#29041,#29042); +#29045=DIRECTION('',(-8.562155976117E-1,1.140281709152E-8,5.166186702070E-1)); +#29046=VECTOR('',#29045,4.037024555938E0); +#29047=CARTESIAN_POINT('',(-3.422017047909E1,1.49E1,1.113099531313E0)); +#29048=LINE('',#29047,#29046); +#29049=DIRECTION('',(-2.485059819020E-1,0.E0,9.686303613654E-1)); +#29050=VECTOR('',#29049,1.149147885209E0); +#29051=CARTESIAN_POINT('',(-3.934600355523E0,1.49E1,0.E0)); +#29052=LINE('',#29051,#29050); +#29053=DIRECTION('',(-2.485059819020E-1,0.E0,-9.686303613654E-1)); +#29054=VECTOR('',#29053,1.149147885209E0); +#29055=CARTESIAN_POINT('',(-1.257982952091E1,1.49E1,1.113099531313E0)); +#29056=LINE('',#29055,#29054); +#29057=DIRECTION('',(-8.562155976117E-1,-1.140281621149E-8,-5.166186702070E-1)); +#29058=VECTOR('',#29057,4.037024555938E0); +#29059=CARTESIAN_POINT('',(-9.123266128176E0,1.490000004603E1, +3.198701788995E0)); +#29060=LINE('',#29059,#29058); +#29061=CARTESIAN_POINT('',(-8.4E0,1.489999988614E1,2.E0)); +#29062=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29063=DIRECTION('',(5.166186799703E-1,0.E0,8.562155917208E-1)); +#29064=AXIS2_PLACEMENT_3D('',#29061,#29062,#29063); +#29066=DIRECTION('',(-8.562155976117E-1,1.140281621149E-8,5.166186702070E-1)); +#29067=VECTOR('',#29066,4.037024555938E0); +#29068=CARTESIAN_POINT('',(-4.220170479088E0,1.49E1,1.113099531313E0)); +#29069=LINE('',#29068,#29067); +#29070=DIRECTION('',(-2.485059819020E-1,0.E0,9.686303613654E-1)); +#29071=VECTOR('',#29070,1.149147885209E0); +#29072=CARTESIAN_POINT('',(3.701539964448E1,1.49E1,0.E0)); +#29073=LINE('',#29072,#29071); +#29074=DIRECTION('',(-2.485059819020E-1,0.E0,-9.686303613654E-1)); +#29075=VECTOR('',#29074,1.149147885209E0); +#29076=CARTESIAN_POINT('',(2.837017047909E1,1.49E1,1.113099531313E0)); +#29077=LINE('',#29076,#29075); +#29078=DIRECTION('',(-8.562155976117E-1,-1.140281489144E-8,-5.166186702070E-1)); +#29079=VECTOR('',#29078,4.037024555938E0); +#29080=CARTESIAN_POINT('',(3.182673387182E1,1.490000004603E1,3.198701788995E0)); +#29081=LINE('',#29080,#29079); +#29082=CARTESIAN_POINT('',(3.255E1,1.489999988614E1,2.E0)); +#29083=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29084=DIRECTION('',(5.166186799703E-1,0.E0,8.562155917208E-1)); +#29085=AXIS2_PLACEMENT_3D('',#29082,#29083,#29084); +#29087=DIRECTION('',(-8.562155976117E-1,1.140281621149E-8,5.166186702070E-1)); +#29088=VECTOR('',#29087,4.037024555938E0); +#29089=CARTESIAN_POINT('',(3.672982952091E1,1.49E1,1.113099531313E0)); +#29090=LINE('',#29089,#29088); +#29091=DIRECTION('',(0.E0,0.E0,1.E0)); +#29092=VECTOR('',#29091,1.583628801734E0); +#29093=CARTESIAN_POINT('',(5.825E1,1.49E1,0.E0)); +#29094=LINE('',#29093,#29092); +#29095=DIRECTION('',(-2.485059819020E-1,0.E0,-9.686303613654E-1)); +#29096=VECTOR('',#29095,1.149147885209E0); +#29097=CARTESIAN_POINT('',(5.067017047909E1,1.49E1,1.113099531313E0)); +#29098=LINE('',#29097,#29096); +#29099=DIRECTION('',(-8.562155976117E-1,-1.140281533146E-8,-5.166186702070E-1)); +#29100=VECTOR('',#29099,4.037024555938E0); +#29101=CARTESIAN_POINT('',(5.412673387182E1,1.490000004603E1,3.198701788995E0)); +#29102=LINE('',#29101,#29100); +#29103=CARTESIAN_POINT('',(5.485E1,1.489999988614E1,2.E0)); +#29104=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29105=DIRECTION('',(5.166186799703E-1,0.E0,8.562155917208E-1)); +#29106=AXIS2_PLACEMENT_3D('',#29103,#29104,#29105); +#29108=DIRECTION('',(-8.562155993279E-1,1.472486844611E-8,5.166186673626E-1)); +#29109=VECTOR('',#29108,3.126238150677E0); +#29110=CARTESIAN_POINT('',(5.825E1,1.49E1,1.583628801734E0)); +#29111=LINE('',#29110,#29109); +#29112=CARTESIAN_POINT('',(-3.359832043711E1,1.55E1,1.103671867423E0)); +#29113=CARTESIAN_POINT('',(-3.363203168782E1,1.55E1,1.235072088457E0)); +#29114=CARTESIAN_POINT('',(-3.368885405557E1,1.547356552555E1, +1.350181575736E0)); +#29115=CARTESIAN_POINT('',(-3.376456752275E1,1.542552342893E1, +1.451974966247E0)); +#29117=DIRECTION('',(-7.182831669838E-1,-6.957508835982E-1,0.E0)); +#29118=VECTOR('',#29117,8.623776327771E-1); +#29119=CARTESIAN_POINT('',(-3.331516901832E1,1.55E1,0.E0)); +#29120=LINE('',#29119,#29118); +#29121=DIRECTION('',(-5.888754395796E-1,-6.792489730815E-1,-4.380029100676E-1)); +#29122=VECTOR('',#29121,7.736830672691E-1); +#29123=CARTESIAN_POINT('',(-3.376456752275E1,1.542552342893E1, +1.451974966247E0)); +#29124=LINE('',#29123,#29122); +#29125=CARTESIAN_POINT('',(-3.376456752275E1,1.542552342893E1, +1.451974966247E0)); +#29126=CARTESIAN_POINT('',(-3.384028098994E1,1.547356552555E1, +1.553768356757E0)); +#29127=CARTESIAN_POINT('',(-3.393418160073E1,1.55E1,1.641299213206E0)); +#29128=CARTESIAN_POINT('',(-3.405033211851E1,1.55E1,1.711381477242E0)); +#29130=DIRECTION('',(3.653045698926E-1,7.071067850616E-1,6.054358477456E-1)); +#29131=VECTOR('',#29130,8.485281374238E-1); +#29132=CARTESIAN_POINT('',(-3.767673387182E1,1.490000004603E1, +3.198701788995E0)); +#29133=LINE('',#29132,#29131); +#29134=CARTESIAN_POINT('',(-4.274966788149E1,1.55E1,1.711381477242E0)); +#29135=CARTESIAN_POINT('',(-4.286581839927E1,1.55E1,1.641299213206E0)); +#29136=CARTESIAN_POINT('',(-4.295971901006E1,1.547356552555E1, +1.553768356757E0)); +#29137=CARTESIAN_POINT('',(-4.303543247725E1,1.542552342893E1, +1.451974966247E0)); +#29139=DIRECTION('',(-3.653045698927E-1,7.071067850616E-1,6.054358477455E-1)); +#29140=VECTOR('',#29139,8.485281374239E-1); +#29141=CARTESIAN_POINT('',(-3.912326612818E1,1.490000004603E1, +3.198701788995E0)); +#29142=LINE('',#29141,#29140); +#29143=DIRECTION('',(5.888754395796E-1,-6.792489730815E-1,-4.380029100676E-1)); +#29144=VECTOR('',#29143,7.736830672691E-1); +#29145=CARTESIAN_POINT('',(-4.303543247725E1,1.542552342893E1, +1.451974966247E0)); +#29146=LINE('',#29145,#29144); +#29147=CARTESIAN_POINT('',(-4.303543247725E1,1.542552342893E1, +1.451974966247E0)); +#29148=CARTESIAN_POINT('',(-4.311114594443E1,1.547356552555E1, +1.350181575736E0)); +#29149=CARTESIAN_POINT('',(-4.316796831218E1,1.55E1,1.235072088457E0)); +#29150=CARTESIAN_POINT('',(-4.320167956289E1,1.55E1,1.103671867423E0)); +#29152=DIRECTION('',(-7.182831669838E-1,6.957508835982E-1,0.E0)); +#29153=VECTOR('',#29152,8.623776327771E-1); +#29154=CARTESIAN_POINT('',(-4.286539964448E1,1.49E1,0.E0)); +#29155=LINE('',#29154,#29153); +#29156=DIRECTION('',(0.E0,-1.E0,-2.942091015257E-14)); +#29157=VECTOR('',#29156,8.E-1); +#29158=CARTESIAN_POINT('',(-4.320167956289E1,1.63E1,1.103671867423E0)); +#29159=LINE('',#29158,#29157); +#29160=DIRECTION('',(-2.485059819020E-1,0.E0,-9.686303613654E-1)); +#29161=VECTOR('',#29160,1.139414901126E0); +#29162=CARTESIAN_POINT('',(-4.320167956289E1,1.55E1,1.103671867423E0)); +#29163=LINE('',#29162,#29161); +#29164=DIRECTION('',(2.428589233422E-7,1.E0,9.805404371782E-8)); +#29165=VECTOR('',#29164,4.408738563356E-1); +#29166=CARTESIAN_POINT('',(-4.35E1,1.585912602820E1,-5.912602819740E-2)); +#29167=LINE('',#29166,#29165); +#29168=DIRECTION('',(2.485059068870E-1,9.618598557399E-8,9.686303806108E-1)); +#29169=VECTOR('',#29168,1.200455690496E0); +#29170=CARTESIAN_POINT('',(-4.349999989293E1,1.629999988453E1, +-5.912598496793E-2)); +#29171=LINE('',#29170,#29169); +#29172=DIRECTION('',(1.519849500006E-11,-7.071069817084E-1,7.071065806647E-1)); +#29173=VECTOR('',#29172,5.656854249494E-1); +#29174=CARTESIAN_POINT('',(-5.212875299997E1,1.619999956248E1, +-4.000004375242E-1)); +#29175=LINE('',#29174,#29173); +#29176=CARTESIAN_POINT('',(-4.334873721266E1,1.62E1,-4.E-1)); +#29177=CARTESIAN_POINT('',(-4.340338671975E1,1.608731414609E1, +-2.873141460863E-1)); +#29178=CARTESIAN_POINT('',(-4.345375013511E1,1.597367671996E1, +-1.736767199610E-1)); +#29179=CARTESIAN_POINT('',(-4.35E1,1.585912602820E1,-5.912602819740E-2)); +#29181=DIRECTION('',(1.784976636950E-1,-6.957508835982E-1,6.957508835982E-1)); +#29182=VECTOR('',#29181,8.498160705397E-2); +#29183=CARTESIAN_POINT('',(-4.35E1,1.585912602820E1,-5.912602819740E-2)); +#29184=LINE('',#29183,#29182); +#29185=DIRECTION('',(6.222989025601E-1,-3.357742685990E-1,7.071065806647E-1)); +#29186=VECTOR('',#29185,5.656854249494E-1); +#29187=CARTESIAN_POINT('',(-5.846520836266E1,1.241896579928E1, +-4.000004375243E-1)); +#29188=LINE('',#29187,#29186); +#29189=CARTESIAN_POINT('',(-5.2128753E1,9.E0,-1.8E0)); +#29190=DIRECTION('',(0.E0,0.E0,1.E0)); +#29191=DIRECTION('',(0.E0,1.E0,0.E0)); +#29192=AXIS2_PLACEMENT_3D('',#29189,#29190,#29191); +#29194=DIRECTION('',(-2.368645702952E-11,3.125178477584E-7,-1.E0)); +#29195=VECTOR('',#29194,1.399999562476E0); +#29196=CARTESIAN_POINT('',(-5.212875299997E1,1.619999956248E1, +-4.000004375242E-1)); +#29197=LINE('',#29196,#29195); +#29198=CARTESIAN_POINT('',(-5.2128753E1,9.E0,-4.000001182704E-1)); +#29199=DIRECTION('',(0.E0,0.E0,-1.E0)); +#29200=DIRECTION('',(-8.800632982911E-1,4.748563898706E-1,0.E0)); +#29201=AXIS2_PLACEMENT_3D('',#29198,#29199,#29200); +#29203=DIRECTION('',(4.748564203661E-1,8.800632818366E-1,-3.465146923585E-8)); +#29204=VECTOR('',#29203,1.152189850391E1); +#29205=CARTESIAN_POINT('',(-6.393645584205E1,2.278965988936E0, +-4.000000382736E-1)); +#29206=LINE('',#29205,#29204); +#29207=DIRECTION('',(-2.750248229026E-7,1.484208737584E-7,-1.E0)); +#29208=VECTOR('',#29207,1.399999562476E0); +#29209=CARTESIAN_POINT('',(-5.846520836266E1,1.241896579928E1, +-4.000004375243E-1)); +#29210=LINE('',#29209,#29208); +#29211=DIRECTION('',(6.222987415430E-1,-3.357741816773E-1,7.071067636453E-1)); +#29212=VECTOR('',#29211,5.656854249493E-1); +#29213=CARTESIAN_POINT('',(-6.393645584205E1,2.278965988936E0, +-4.000000382736E-1)); +#29214=LINE('',#29213,#29212); +#29215=DIRECTION('',(2.112716412405E-11,7.071067987278E-1,7.071067636453E-1)); +#29216=VECTOR('',#29215,5.656854249493E-1); +#29217=CARTESIAN_POINT('',(-5.760000012802E1,-8.339999961726E0, +-4.000000382736E-1)); +#29218=LINE('',#29217,#29216); +#29219=CARTESIAN_POINT('',(-5.760000012806E1,-1.14E0,-4.000000855225E-1)); +#29220=DIRECTION('',(0.E0,0.E0,-1.E0)); +#29221=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29222=AXIS2_PLACEMENT_3D('',#29219,#29220,#29221); +#29224=DIRECTION('',(-2.407602564139E-8,1.295138920434E-8,-1.E0)); +#29225=VECTOR('',#29224,1.399999961726E0); +#29226=CARTESIAN_POINT('',(-6.393645584205E1,2.278965988936E0, +-4.000000382736E-1)); +#29227=LINE('',#29226,#29225); +#29228=DIRECTION('',(-1.E0,2.685647430555E-9,-2.685628461057E-9)); +#29229=VECTOR('',#29228,1.425126291535E1); +#29230=CARTESIAN_POINT('',(-4.334873721266E1,-8.34E0,-4.E-1)); +#29231=LINE('',#29230,#29229); +#29232=CARTESIAN_POINT('',(-3.84E1,-8.34E0,2.E0)); +#29233=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29234=DIRECTION('',(-8.997704023024E-1,0.E0,-4.363636363636E-1)); +#29235=AXIS2_PLACEMENT_3D('',#29232,#29233,#29234); +#29237=DIRECTION('',(-3.290320487047E-11,-2.733847762651E-8,-1.E0)); +#29238=VECTOR('',#29237,1.399999961726E0); +#29239=CARTESIAN_POINT('',(-5.760000012802E1,-8.339999961726E0, +-4.000000382736E-1)); +#29240=LINE('',#29239,#29238); +#29241=DIRECTION('',(-1.E0,0.E0,0.E0)); +#29242=VECTOR('',#29241,1.510252557467E1); +#29243=CARTESIAN_POINT('',(-1.834873721266E1,-8.34E0,-4.E-1)); +#29244=LINE('',#29243,#29242); +#29245=CARTESIAN_POINT('',(-1.34E1,-8.34E0,2.E0)); +#29246=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29247=DIRECTION('',(-8.997704023024E-1,0.E0,-4.363636363636E-1)); +#29248=AXIS2_PLACEMENT_3D('',#29245,#29246,#29247); +#29250=CARTESIAN_POINT('',(-3.84E1,-8.34E0,2.E0)); +#29251=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29252=DIRECTION('',(7.229416491662E-1,0.E0,-6.909090909091E-1)); +#29253=AXIS2_PLACEMENT_3D('',#29250,#29251,#29252); +#29255=DIRECTION('',(-1.E0,-8.264432587057E-9,8.264408968588E-9)); +#29256=VECTOR('',#29255,1.764626278729E1); +#29257=CARTESIAN_POINT('',(9.194999999953E0,-8.339999854164E0, +-4.000001458359E-1)); +#29258=LINE('',#29257,#29256); +#29259=CARTESIAN_POINT('',(-1.34E1,-8.34E0,2.E0)); +#29260=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29261=DIRECTION('',(7.229416491662E-1,0.E0,-6.909090909091E-1)); +#29262=AXIS2_PLACEMENT_3D('',#29259,#29260,#29261); +#29264=DIRECTION('',(-1.784976636950E-1,-6.957508835982E-1,-6.957508835982E-1)); +#29265=VECTOR('',#29264,8.498160705397E-2); +#29266=CARTESIAN_POINT('',(-4.348483098168E1,-7.94E0,0.E0)); +#29267=LINE('',#29266,#29265); +#29268=CARTESIAN_POINT('',(-4.35E1,-7.999126028197E0,-5.912602819740E-2)); +#29269=CARTESIAN_POINT('',(-4.345375013511E1,-8.113676719961E0, +-1.736767199610E-1)); +#29270=CARTESIAN_POINT('',(-4.340338671975E1,-8.227314146086E0, +-2.873141460863E-1)); +#29271=CARTESIAN_POINT('',(-4.334873721266E1,-8.34E0,-4.E-1)); +#29273=DIRECTION('',(0.E0,1.E0,3.164135620182E-14)); +#29274=VECTOR('',#29273,8.E-1); +#29275=CARTESIAN_POINT('',(-4.320167956289E1,-8.44E0,1.103671867423E0)); +#29276=LINE('',#29275,#29274); +#29277=DIRECTION('',(-2.485059068873E-1,9.618628596028E-8,-9.686303806107E-1)); +#29278=VECTOR('',#29277,1.200455690496E0); +#29279=CARTESIAN_POINT('',(-4.320167956289E1,-8.44E0,1.103671867423E0)); +#29280=LINE('',#29279,#29278); +#29281=DIRECTION('',(2.485059819020E-1,0.E0,9.686303613654E-1)); +#29282=VECTOR('',#29281,1.139414901126E0); +#29283=CARTESIAN_POINT('',(-4.348483098168E1,-7.64E0,0.E0)); +#29284=LINE('',#29283,#29282); +#29285=CARTESIAN_POINT('',(-4.320167956289E1,-7.64E0,1.103671867423E0)); +#29286=CARTESIAN_POINT('',(-4.316796831218E1,-7.64E0,1.235072088457E0)); +#29287=CARTESIAN_POINT('',(-4.311114594443E1,-7.613565525550E0, +1.350181575736E0)); +#29288=CARTESIAN_POINT('',(-4.303543247725E1,-7.565523428933E0, +1.451974966247E0)); +#29290=DIRECTION('',(7.182831669838E-1,6.957508835982E-1,0.E0)); +#29291=VECTOR('',#29290,8.623776327771E-1); +#29292=CARTESIAN_POINT('',(-4.348483098168E1,-7.64E0,0.E0)); +#29293=LINE('',#29292,#29291); +#29294=DIRECTION('',(5.888754395796E-1,6.792489730815E-1,-4.380029100676E-1)); +#29295=VECTOR('',#29294,7.736830672691E-1); +#29296=CARTESIAN_POINT('',(-4.303543247725E1,-7.565523428933E0, +1.451974966247E0)); +#29297=LINE('',#29296,#29295); +#29298=DIRECTION('',(2.485059819020E-1,0.E0,9.686303613654E-1)); +#29299=VECTOR('',#29298,1.149147885209E0); +#29300=CARTESIAN_POINT('',(5.038460035552E1,-7.04E0,0.E0)); +#29301=LINE('',#29300,#29299); +#29302=DIRECTION('',(0.E0,0.E0,-1.E0)); +#29303=VECTOR('',#29302,1.583628801734E0); +#29304=CARTESIAN_POINT('',(5.825E1,-7.04E0,1.583628801734E0)); +#29305=LINE('',#29304,#29303); +#29306=DIRECTION('',(8.562155993279E-1,1.472486986663E-8,-5.166186673626E-1)); +#29307=VECTOR('',#29306,3.126238150677E0); +#29308=CARTESIAN_POINT('',(5.557326612818E1,-7.040000046033E0, +3.198701788995E0)); +#29309=LINE('',#29308,#29307); +#29310=CARTESIAN_POINT('',(5.485E1,-7.039999886135E0,2.E0)); +#29311=DIRECTION('',(0.E0,1.E0,0.E0)); +#29312=DIRECTION('',(-5.166186799703E-1,0.E0,8.562155917208E-1)); +#29313=AXIS2_PLACEMENT_3D('',#29310,#29311,#29312); +#29315=DIRECTION('',(8.562155976117E-1,-1.140281599148E-8,5.166186702070E-1)); +#29316=VECTOR('',#29315,4.037024555938E0); +#29317=CARTESIAN_POINT('',(5.067017047909E1,-7.04E0,1.113099531313E0)); +#29318=LINE('',#29317,#29316); +#29319=DIRECTION('',(2.485059819020E-1,0.E0,-9.686303613654E-1)); +#29320=VECTOR('',#29319,1.149147885209E0); +#29321=CARTESIAN_POINT('',(3.672982952091E1,-7.04E0,1.113099531313E0)); +#29322=LINE('',#29321,#29320); +#29323=DIRECTION('',(8.562155976117E-1,1.140281665150E-8,-5.166186702070E-1)); +#29324=VECTOR('',#29323,4.037024555938E0); +#29325=CARTESIAN_POINT('',(3.327326612818E1,-7.040000046033E0, +3.198701788995E0)); +#29326=LINE('',#29325,#29324); +#29327=CARTESIAN_POINT('',(3.255E1,-7.039999886135E0,2.E0)); +#29328=DIRECTION('',(0.E0,1.E0,0.E0)); +#29329=DIRECTION('',(-5.166186799703E-1,0.E0,8.562155917208E-1)); +#29330=AXIS2_PLACEMENT_3D('',#29327,#29328,#29329); +#29332=DIRECTION('',(8.562155976117E-1,-1.140281599148E-8,5.166186702070E-1)); +#29333=VECTOR('',#29332,4.037024555938E0); +#29334=CARTESIAN_POINT('',(2.837017047909E1,-7.04E0,1.113099531313E0)); +#29335=LINE('',#29334,#29333); +#29336=DIRECTION('',(2.485059819020E-1,0.E0,9.686303613654E-1)); +#29337=VECTOR('',#29336,1.149147885209E0); +#29338=CARTESIAN_POINT('',(2.808460035552E1,-7.04E0,0.E0)); +#29339=LINE('',#29338,#29337); +#29340=DIRECTION('',(2.485059819020E-1,0.E0,9.686303613654E-1)); +#29341=VECTOR('',#29340,1.149147885209E0); +#29342=CARTESIAN_POINT('',(-1.786539964448E1,-7.04E0,0.E0)); +#29343=LINE('',#29342,#29341); +#29344=DIRECTION('',(2.485059819020E-1,0.E0,-9.686303613654E-1)); +#29345=VECTOR('',#29344,1.149147885209E0); +#29346=CARTESIAN_POINT('',(-9.220170479088E0,-7.04E0,1.113099531313E0)); +#29347=LINE('',#29346,#29345); +#29348=DIRECTION('',(8.562155976117E-1,1.140281665150E-8,-5.166186702070E-1)); +#29349=VECTOR('',#29348,4.037024555938E0); +#29350=CARTESIAN_POINT('',(-1.267673387182E1,-7.040000046033E0, +3.198701788995E0)); +#29351=LINE('',#29350,#29349); +#29352=CARTESIAN_POINT('',(-1.34E1,-7.039999886135E0,2.E0)); +#29353=DIRECTION('',(0.E0,1.E0,0.E0)); +#29354=DIRECTION('',(-5.166186799703E-1,0.E0,8.562155917208E-1)); +#29355=AXIS2_PLACEMENT_3D('',#29352,#29353,#29354); +#29357=DIRECTION('',(8.562155976117E-1,-1.140281599148E-8,5.166186702070E-1)); +#29358=VECTOR('',#29357,4.037024555938E0); +#29359=CARTESIAN_POINT('',(-1.757982952091E1,-7.04E0,1.113099531313E0)); +#29360=LINE('',#29359,#29358); +#29361=DIRECTION('',(2.485059819020E-1,0.E0,9.686303613654E-1)); +#29362=VECTOR('',#29361,1.149147885209E0); +#29363=CARTESIAN_POINT('',(-4.286539964448E1,-7.04E0,0.E0)); +#29364=LINE('',#29363,#29362); +#29365=DIRECTION('',(2.485059819020E-1,0.E0,-9.686303613654E-1)); +#29366=VECTOR('',#29365,1.149147885209E0); +#29367=CARTESIAN_POINT('',(-3.422017047909E1,-7.04E0,1.113099531313E0)); +#29368=LINE('',#29367,#29366); +#29369=DIRECTION('',(8.562155976117E-1,1.140281533146E-8,-5.166186702070E-1)); +#29370=VECTOR('',#29369,4.037024555938E0); +#29371=CARTESIAN_POINT('',(-3.767673387182E1,-7.040000046033E0, +3.198701788995E0)); +#29372=LINE('',#29371,#29370); +#29373=CARTESIAN_POINT('',(-3.84E1,-7.039999886135E0,2.E0)); +#29374=DIRECTION('',(0.E0,1.E0,0.E0)); +#29375=DIRECTION('',(-5.166186799703E-1,0.E0,8.562155917208E-1)); +#29376=AXIS2_PLACEMENT_3D('',#29373,#29374,#29375); +#29378=DIRECTION('',(8.562155976117E-1,-1.140281621149E-8,5.166186702070E-1)); +#29379=VECTOR('',#29378,4.037024555938E0); +#29380=CARTESIAN_POINT('',(-4.257982952091E1,-7.04E0,1.113099531313E0)); +#29381=LINE('',#29380,#29379); +#29382=CARTESIAN_POINT('',(5.004832043711E1,-7.64E0,1.103671867423E0)); +#29383=CARTESIAN_POINT('',(5.008203168782E1,-7.64E0,1.235072088457E0)); +#29384=CARTESIAN_POINT('',(5.013885405557E1,-7.613565525550E0, +1.350181575736E0)); +#29385=CARTESIAN_POINT('',(5.021456752275E1,-7.565523428933E0, +1.451974966247E0)); +#29387=DIRECTION('',(7.182831669838E-1,6.957508835982E-1,0.E0)); +#29388=VECTOR('',#29387,8.623776327771E-1); +#29389=CARTESIAN_POINT('',(4.976516901832E1,-7.64E0,0.E0)); +#29390=LINE('',#29389,#29388); +#29391=DIRECTION('',(5.888754395796E-1,6.792489730815E-1,-4.380029100676E-1)); +#29392=VECTOR('',#29391,7.736830672691E-1); +#29393=CARTESIAN_POINT('',(5.021456752275E1,-7.565523428933E0, +1.451974966247E0)); +#29394=LINE('',#29393,#29392); +#29395=CARTESIAN_POINT('',(5.021456752275E1,-7.565523428933E0, +1.451974966247E0)); +#29396=CARTESIAN_POINT('',(5.029028098994E1,-7.613565525550E0, +1.553768356757E0)); +#29397=CARTESIAN_POINT('',(5.038418160073E1,-7.64E0,1.641299213206E0)); +#29398=CARTESIAN_POINT('',(5.050033211851E1,-7.64E0,1.711381477242E0)); +#29400=DIRECTION('',(-3.653045698926E-1,-7.071067850616E-1,6.054358477455E-1)); +#29401=VECTOR('',#29400,8.485281374239E-1); +#29402=CARTESIAN_POINT('',(5.412673387182E1,-7.040000046033E0, +3.198701788995E0)); +#29403=LINE('',#29402,#29401); +#29404=CARTESIAN_POINT('',(5.836661867997E1,-7.64E0,2.214022147420E0)); +#29405=CARTESIAN_POINT('',(5.852519241142E1,-7.64E0,2.118342796784E0)); +#29406=CARTESIAN_POINT('',(5.863910031695E1,-7.592518860691E0, +1.994159013948E0)); +#29407=CARTESIAN_POINT('',(5.872080958882E1,-7.510809588822E0, +1.849426998740E0)); +#29409=DIRECTION('',(3.653045698927E-1,-7.071067850616E-1,6.054358477455E-1)); +#29410=VECTOR('',#29409,8.485281374239E-1); +#29411=CARTESIAN_POINT('',(5.557326612818E1,-7.040000046033E0, +3.198701788995E0)); +#29412=LINE('',#29411,#29410); +#29413=DIRECTION('',(-6.567129207422E-1,6.567129207422E-1,-3.707509669042E-1)); +#29414=VECTOR('',#29413,7.169184189194E-1); +#29415=CARTESIAN_POINT('',(5.872080958882E1,-7.510809588822E0, +1.849426998740E0)); +#29416=LINE('',#29415,#29414); +#29417=CARTESIAN_POINT('',(5.872080958882E1,-7.510809588822E0, +1.849426998740E0)); +#29418=CARTESIAN_POINT('',(5.880251886069E1,-7.592518860691E0, +1.704694983531E0)); +#29419=CARTESIAN_POINT('',(5.885E1,-7.64E0,1.543009596833E0)); +#29420=CARTESIAN_POINT('',(5.885E1,-7.64E0,1.357806555700E0)); +#29422=DIRECTION('',(7.071067811865E-1,-7.071067811865E-1,0.E0)); +#29423=VECTOR('',#29422,8.485281374239E-1); +#29424=CARTESIAN_POINT('',(5.825E1,-7.04E0,0.E0)); +#29425=LINE('',#29424,#29423); +#29426=DIRECTION('',(0.E0,1.E0,0.E0)); +#29427=VECTOR('',#29426,7.E-1); +#29428=CARTESIAN_POINT('',(5.885E1,-8.34E0,1.357806555700E0)); +#29429=LINE('',#29428,#29427); +#29430=DIRECTION('',(0.E0,0.E0,-1.E0)); +#29431=VECTOR('',#29430,1.357806555700E0); +#29432=CARTESIAN_POINT('',(5.885E1,-7.64E0,1.357806555700E0)); +#29433=LINE('',#29432,#29431); +#29434=DIRECTION('',(-1.046603778392E-14,0.E0,1.E0)); +#29435=VECTOR('',#29434,1.357806555700E0); +#29436=CARTESIAN_POINT('',(5.885E1,-8.34E0,0.E0)); +#29437=LINE('',#29436,#29435); +#29438=CARTESIAN_POINT('',(5.885E1,-8.34E0,1.357806555700E0)); +#29439=CARTESIAN_POINT('',(5.885E1,-8.34E0,1.502519802724E0)); +#29440=CARTESIAN_POINT('',(5.882009788535E1,-8.369902114653E0, +1.635218116098E0)); +#29441=CARTESIAN_POINT('',(5.876629414136E1,-8.423705858637E0, +1.758312543798E0)); +#29443=CARTESIAN_POINT('',(5.781079536600E1,-8.439999558563E0, +-2.635050247910E0)); +#29444=CARTESIAN_POINT('',(5.777283221943E1,-8.485852648539E0, +-2.604890733191E0)); +#29445=CARTESIAN_POINT('',(5.769775766251E1,-8.576618873488E0, +-2.544982291411E0)); +#29446=CARTESIAN_POINT('',(5.758770568918E1,-8.709937704917E0, +-2.456370563310E0)); +#29447=CARTESIAN_POINT('',(5.751601819105E1,-8.796957020899E0, +-2.398121660869E0)); +#29448=CARTESIAN_POINT('',(5.748059556284E1,-8.839999931778E0, +-2.369206521673E0)); +#29450=DIRECTION('',(-7.019443554808E-1,-7.019443554808E-1,1.206161001576E-1)); +#29451=VECTOR('',#29450,7.123071737752E-1); +#29452=CARTESIAN_POINT('',(5.885E1,-8.34E0,0.E0)); +#29453=LINE('',#29452,#29451); +#29454=DIRECTION('',(1.160572049914E-7,-1.E0,4.685812266523E-8)); +#29455=VECTOR('',#29454,4.408739166230E-1); +#29456=CARTESIAN_POINT('',(4.975E1,-7.999126028197E0,-5.912602819740E-2)); +#29457=LINE('',#29456,#29455); +#29458=CARTESIAN_POINT('',(5.485E1,-8.439999558563E0,2.E0)); +#29459=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29460=DIRECTION('',(-9.272727272727E-1,0.E0,-3.743865505813E-1)); +#29461=AXIS2_PLACEMENT_3D('',#29458,#29459,#29460); +#29463=CARTESIAN_POINT('',(5.794976582676E1,-8.339898823791E0, +-2.542917129456E0)); +#29464=CARTESIAN_POINT('',(5.793463769320E1,-8.350713755926E0, +-2.553238889335E0)); +#29465=CARTESIAN_POINT('',(5.790345410056E1,-8.372691778463E0, +-2.574825749727E0)); +#29466=CARTESIAN_POINT('',(5.785761224926E1,-8.405961717787E0, +-2.604869602541E0)); +#29467=CARTESIAN_POINT('',(5.782643511949E1,-8.428591749526E0, +-2.625059825547E0)); +#29468=CARTESIAN_POINT('',(5.781079536600E1,-8.439999558563E0, +-2.635050247910E0)); +#29470=DIRECTION('',(-1.E0,3.690878896212E-8,-3.690869571915E-8)); +#29471=VECTOR('',#29470,3.951262787292E0); +#29472=CARTESIAN_POINT('',(2.760126278734E1,-8.34E0,-4.E-1)); +#29473=LINE('',#29472,#29471); +#29474=CARTESIAN_POINT('',(3.255E1,-8.34E0,2.E0)); +#29475=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29476=DIRECTION('',(-8.997704023024E-1,0.E0,-4.363636363636E-1)); +#29477=AXIS2_PLACEMENT_3D('',#29474,#29475,#29476); +#29479=DIRECTION('',(-3.179679073749E-11,-1.041688139583E-7,-1.E0)); +#29480=VECTOR('',#29479,1.399999854164E0); +#29481=CARTESIAN_POINT('',(2.365000000004E1,-8.339999854164E0, +-4.000001458360E-1)); +#29482=LINE('',#29481,#29480); +#29483=DIRECTION('',(-1.E0,0.E0,0.E0)); +#29484=VECTOR('',#29483,1.240252557467E1); +#29485=CARTESIAN_POINT('',(4.990126278734E1,-8.34E0,-4.E-1)); +#29486=LINE('',#29485,#29484); +#29487=CARTESIAN_POINT('',(5.485E1,-8.34E0,2.E0)); +#29488=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29489=DIRECTION('',(-8.997704023024E-1,0.E0,-4.363636363636E-1)); +#29490=AXIS2_PLACEMENT_3D('',#29487,#29488,#29489); +#29492=CARTESIAN_POINT('',(3.255E1,-8.34E0,2.E0)); +#29493=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29494=DIRECTION('',(7.229416491662E-1,0.E0,-6.909090909091E-1)); +#29495=AXIS2_PLACEMENT_3D('',#29492,#29493,#29494); +#29497=DIRECTION('',(0.E0,0.E0,1.E0)); +#29498=VECTOR('',#29497,8.5E0); +#29499=CARTESIAN_POINT('',(5.895E1,-8.34E0,-8.5E0)); +#29500=LINE('',#29499,#29498); +#29501=DIRECTION('',(3.337212105222E-1,-3.750651529052E-5,9.426718157667E-1)); +#29502=VECTOR('',#29501,2.697563549609E0); +#29503=CARTESIAN_POINT('',(5.794976582676E1,-8.339898823791E0, +-2.542917129456E0)); +#29504=LINE('',#29503,#29502); +#29505=DIRECTION('',(1.E0,0.E0,0.E0)); +#29506=VECTOR('',#29505,9.999999999998E-2); +#29507=CARTESIAN_POINT('',(5.885E1,-8.34E0,0.E0)); +#29508=LINE('',#29507,#29506); +#29509=DIRECTION('',(-1.784976636950E-1,-6.957508835982E-1,-6.957508835982E-1)); +#29510=VECTOR('',#29509,8.498160705397E-2); +#29511=CARTESIAN_POINT('',(2.746516901832E1,-7.94E0,0.E0)); +#29512=LINE('',#29511,#29510); +#29513=CARTESIAN_POINT('',(2.745E1,-7.999126028197E0,-5.912602819740E-2)); +#29514=CARTESIAN_POINT('',(2.749624986489E1,-8.113676719961E0, +-1.736767199610E-1)); +#29515=CARTESIAN_POINT('',(2.754661328025E1,-8.227314146086E0, +-2.873141460863E-1)); +#29516=CARTESIAN_POINT('',(2.760126278734E1,-8.34E0,-4.E-1)); +#29518=DIRECTION('',(1.995273496495E-11,7.071068465996E-1,7.071067157735E-1)); +#29519=VECTOR('',#29518,5.656854249493E-1); +#29520=CARTESIAN_POINT('',(2.365000000004E1,-8.339999854164E0, +-4.000001458360E-1)); +#29521=LINE('',#29520,#29519); +#29522=CARTESIAN_POINT('',(2.365E1,-1.64E0,-4.000001081758E-1)); +#29523=DIRECTION('',(0.E0,0.E0,-1.E0)); +#29524=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29525=AXIS2_PLACEMENT_3D('',#29522,#29523,#29524); +#29527=DIRECTION('',(-1.041688317219E-7,-3.345482969584E-11,-1.E0)); +#29528=VECTOR('',#29527,1.399999854164E0); +#29529=CARTESIAN_POINT('',(1.695000014584E1,-1.639999999953E0, +-4.000001458359E-1)); +#29530=LINE('',#29529,#29528); +#29531=DIRECTION('',(1.E0,0.E0,0.E0)); +#29532=VECTOR('',#29531,4.923820929586E0); +#29533=CARTESIAN_POINT('',(2.365E1,-8.34E0,-1.8E0)); +#29534=LINE('',#29533,#29532); +#29535=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29536=VECTOR('',#29535,7.E-1); +#29537=CARTESIAN_POINT('',(2.857382092959E1,-7.64E0,-1.8E0)); +#29538=LINE('',#29537,#29536); +#29539=DIRECTION('',(-1.E0,0.E0,0.E0)); +#29540=VECTOR('',#29539,7.2E-1); +#29541=CARTESIAN_POINT('',(1.767E1,-1.07E0,-1.8E0)); +#29542=LINE('',#29541,#29540); +#29543=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29544=VECTOR('',#29543,5.7E-1); +#29545=CARTESIAN_POINT('',(1.695E1,-1.07E0,-1.8E0)); +#29546=LINE('',#29545,#29544); +#29547=CARTESIAN_POINT('',(2.365E1,-1.64E0,-1.8E0)); +#29548=DIRECTION('',(0.E0,0.E0,1.E0)); +#29549=DIRECTION('',(-1.E0,0.E0,0.E0)); +#29550=AXIS2_PLACEMENT_3D('',#29547,#29548,#29549); +#29552=DIRECTION('',(1.E0,0.E0,0.E0)); +#29553=VECTOR('',#29552,1.434764185917E1); +#29554=CARTESIAN_POINT('',(3.652617907041E1,-8.34E0,-1.8E0)); +#29555=LINE('',#29554,#29553); +#29556=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29557=VECTOR('',#29556,7.E-1); +#29558=CARTESIAN_POINT('',(5.087382092959E1,-7.64E0,-1.8E0)); +#29559=LINE('',#29558,#29557); +#29560=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29561=VECTOR('',#29560,7.E-1); +#29562=CARTESIAN_POINT('',(3.652617907041E1,-7.64E0,-1.8E0)); +#29563=LINE('',#29562,#29561); +#29564=DIRECTION('',(0.E0,1.E0,0.E0)); +#29565=VECTOR('',#29564,7.E-1); +#29566=CARTESIAN_POINT('',(2.857382092959E1,1.55E1,-1.8E0)); +#29567=LINE('',#29566,#29565); +#29568=DIRECTION('',(-1.E0,0.E0,0.E0)); +#29569=VECTOR('',#29568,4.923820929586E0); +#29570=CARTESIAN_POINT('',(2.857382092959E1,1.62E1,-1.8E0)); +#29571=LINE('',#29570,#29569); +#29572=CARTESIAN_POINT('',(2.365E1,9.5E0,-1.8E0)); +#29573=DIRECTION('',(0.E0,0.E0,1.E0)); +#29574=DIRECTION('',(0.E0,1.E0,0.E0)); +#29575=AXIS2_PLACEMENT_3D('',#29572,#29573,#29574); +#29577=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29578=VECTOR('',#29577,5.7E-1); +#29579=CARTESIAN_POINT('',(1.695E1,9.5E0,-1.8E0)); +#29580=LINE('',#29579,#29578); +#29581=DIRECTION('',(1.E0,0.E0,0.E0)); +#29582=VECTOR('',#29581,7.2E-1); +#29583=CARTESIAN_POINT('',(1.695E1,8.93E0,-1.8E0)); +#29584=LINE('',#29583,#29582); +#29585=DIRECTION('',(-1.E0,0.E0,0.E0)); +#29586=VECTOR('',#29585,6.773820929586E0); +#29587=CARTESIAN_POINT('',(5.087382092959E1,1.62E1,-1.8E0)); +#29588=LINE('',#29587,#29586); +#29589=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29590=VECTOR('',#29589,4.E-1); +#29591=CARTESIAN_POINT('',(4.44E1,1.59E1,-1.8E0)); +#29592=LINE('',#29591,#29590); +#29593=DIRECTION('',(0.E0,1.E0,0.E0)); +#29594=VECTOR('',#29593,7.E-1); +#29595=CARTESIAN_POINT('',(5.087382092959E1,1.55E1,-1.8E0)); +#29596=LINE('',#29595,#29594); +#29597=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29598=VECTOR('',#29597,4.E-1); +#29599=CARTESIAN_POINT('',(4.3E1,1.59E1,-1.8E0)); +#29600=LINE('',#29599,#29598); +#29601=DIRECTION('',(-1.E0,0.E0,0.E0)); +#29602=VECTOR('',#29601,6.773820929586E0); +#29603=CARTESIAN_POINT('',(4.33E1,1.62E1,-1.8E0)); +#29604=LINE('',#29603,#29602); +#29605=DIRECTION('',(0.E0,1.E0,0.E0)); +#29606=VECTOR('',#29605,7.E-1); +#29607=CARTESIAN_POINT('',(3.652617907041E1,1.55E1,-1.8E0)); +#29608=LINE('',#29607,#29606); +#29609=DIRECTION('',(2.428580530411E-7,-1.E0,9.805398603463E-8)); +#29610=VECTOR('',#29609,4.408738563352E-1); +#29611=CARTESIAN_POINT('',(2.745E1,-7.999126028197E0,-5.912602819740E-2)); +#29612=LINE('',#29611,#29610); +#29613=CARTESIAN_POINT('',(3.255E1,-8.439999076261E0,2.E0)); +#29614=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29615=DIRECTION('',(-9.272727272727E-1,0.E0,-3.743865505813E-1)); +#29616=AXIS2_PLACEMENT_3D('',#29613,#29614,#29615); +#29618=DIRECTION('',(0.E0,1.E0,0.E0)); +#29619=VECTOR('',#29618,8.E-1); +#29620=CARTESIAN_POINT('',(3.735167956289E1,-8.44E0,1.103671867423E0)); +#29621=LINE('',#29620,#29619); +#29622=DIRECTION('',(2.485059819020E-1,0.E0,-9.686303613654E-1)); +#29623=VECTOR('',#29622,1.139414901126E0); +#29624=CARTESIAN_POINT('',(3.735167956289E1,-7.64E0,1.103671867423E0)); +#29625=LINE('',#29624,#29623); +#29626=DIRECTION('',(-2.428589233422E-7,-1.E0,9.805403594277E-8)); +#29627=VECTOR('',#29626,4.408738563356E-1); +#29628=CARTESIAN_POINT('',(3.765E1,-7.999126028197E0,-5.912602819739E-2)); +#29629=LINE('',#29628,#29627); +#29630=DIRECTION('',(-2.485059068870E-1,-9.618597817531E-8,9.686303806108E-1)); +#29631=VECTOR('',#29630,1.200455690496E0); +#29632=CARTESIAN_POINT('',(3.764999989293E1,-8.439999884533E0, +-5.912598496793E-2)); +#29633=LINE('',#29632,#29631); +#29634=CARTESIAN_POINT('',(3.689966788149E1,-7.64E0,1.711381477242E0)); +#29635=CARTESIAN_POINT('',(3.701581839927E1,-7.64E0,1.641299213206E0)); +#29636=CARTESIAN_POINT('',(3.710971901006E1,-7.613565525550E0, +1.553768356757E0)); +#29637=CARTESIAN_POINT('',(3.718543247725E1,-7.565523428933E0, +1.451974966247E0)); +#29639=DIRECTION('',(3.653045698927E-1,-7.071067850616E-1,6.054358477455E-1)); +#29640=VECTOR('',#29639,8.485281374239E-1); +#29641=CARTESIAN_POINT('',(3.327326612818E1,-7.040000046033E0, +3.198701788995E0)); +#29642=LINE('',#29641,#29640); +#29643=DIRECTION('',(-5.888754395796E-1,6.792489730815E-1,-4.380029100676E-1)); +#29644=VECTOR('',#29643,7.736830672691E-1); +#29645=CARTESIAN_POINT('',(3.718543247725E1,-7.565523428933E0, +1.451974966247E0)); +#29646=LINE('',#29645,#29644); +#29647=DIRECTION('',(-2.368475785867E-14,1.E0,1.406282497859E-14)); +#29648=VECTOR('',#29647,6.E-1); +#29649=CARTESIAN_POINT('',(3.689966788149E1,-8.24E0,1.711381477242E0)); +#29650=LINE('',#29649,#29648); +#29651=DIRECTION('',(-8.562155917208E-1,0.E0,5.166186799703E-1)); +#29652=VECTOR('',#29651,3.873359179181E0); +#29653=CARTESIAN_POINT('',(3.689966788149E1,-8.24E0,1.711381477242E0)); +#29654=LINE('',#29653,#29652); +#29655=DIRECTION('',(8.562155982992E-1,1.273353412528E-8,-5.166186690676E-1)); +#29656=VECTOR('',#29655,3.873359179182E0); +#29657=CARTESIAN_POINT('',(3.358323733446E1,-7.640000049322E0, +3.712431141212E0)); +#29658=LINE('',#29657,#29656); +#29659=CARTESIAN_POINT('',(3.731454930918E1,-8.447328888010E0, +1.218906932743E0)); +#29660=CARTESIAN_POINT('',(3.727632918261E1,-8.383269906800E0, +1.316784398836E0)); +#29661=CARTESIAN_POINT('',(3.717075333479E1,-8.282947320813E0, +1.497655948736E0)); +#29662=CARTESIAN_POINT('',(3.700503574093E1,-8.24E0,1.647805194605E0)); +#29663=CARTESIAN_POINT('',(3.689966788149E1,-8.24E0,1.711381477242E0)); +#29665=DIRECTION('',(-5.888754395796E-1,-6.792489730815E-1,-4.380029100676E-1)); +#29666=VECTOR('',#29665,5.780959965369E-1); +#29667=CARTESIAN_POINT('',(3.731454930918E1,-8.447328888010E0, +1.218906932743E0)); +#29668=LINE('',#29667,#29666); +#29669=CARTESIAN_POINT('',(3.449618662549E1,-8.84E0,2.460822762838E0)); +#29670=CARTESIAN_POINT('',(3.443766646968E1,-8.658621154123E0, +2.707970156056E0)); +#29671=CARTESIAN_POINT('',(3.428468508345E1,-8.443217822808E0, +3.051851271950E0)); +#29672=CARTESIAN_POINT('',(3.394350142500E1,-8.275258355492E0, +3.453877726386E0)); +#29673=CARTESIAN_POINT('',(3.371684864966E1,-8.24E0,3.631813534346E0)); +#29674=CARTESIAN_POINT('',(3.358323735994E1,-8.24E0,3.712431183442E0)); +#29676=CARTESIAN_POINT('',(3.735167956289E1,-8.44E0,1.103671867423E0)); +#29677=CARTESIAN_POINT('',(3.734159577224E1,-8.44E0,1.142976618588E0)); +#29678=CARTESIAN_POINT('',(3.732920245891E1,-8.442460608222E0, +1.181381856424E0)); +#29679=CARTESIAN_POINT('',(3.731454930918E1,-8.447328888010E0, +1.218906932743E0)); +#29681=CARTESIAN_POINT('',(3.764999989293E1,-8.439999884533E0, +-5.912598496793E-2)); +#29682=CARTESIAN_POINT('',(3.760186925865E1,-8.485826534110E0, +-5.593031097781E-2)); +#29683=CARTESIAN_POINT('',(3.750652475037E1,-8.576557405431E0, +-4.939933812202E-2)); +#29684=CARTESIAN_POINT('',(3.736627204848E1,-8.709877259519E0, +-3.920562184875E-2)); +#29685=CARTESIAN_POINT('',(3.727458845318E1,-8.796931130079E0, +-3.215027248762E-2)); +#29686=CARTESIAN_POINT('',(3.722920354766E1,-8.839999857240E0, +-2.855892927849E-2)); +#29688=CARTESIAN_POINT('',(2.778545069082E1,-8.447328888010E0, +1.218906932743E0)); +#29689=CARTESIAN_POINT('',(2.777079754109E1,-8.442460608222E0, +1.181381856424E0)); +#29690=CARTESIAN_POINT('',(2.775840422776E1,-8.44E0,1.142976618588E0)); +#29691=CARTESIAN_POINT('',(2.774832043711E1,-8.44E0,1.103671867423E0)); +#29693=DIRECTION('',(5.888754395796E-1,-6.792489730815E-1,-4.380029100676E-1)); +#29694=VECTOR('',#29693,5.780959965369E-1); +#29695=CARTESIAN_POINT('',(2.778545069082E1,-8.447328888010E0, +1.218906932743E0)); +#29696=LINE('',#29695,#29694); +#29697=CARTESIAN_POINT('',(2.745000010707E1,-8.439999884533E0, +-5.912598496796E-2)); +#29698=CARTESIAN_POINT('',(2.749813074135E1,-8.485826534110E0, +-5.593031097783E-2)); +#29699=CARTESIAN_POINT('',(2.759347524963E1,-8.576557405431E0, +-4.939933812206E-2)); +#29700=CARTESIAN_POINT('',(2.773372795152E1,-8.709877259519E0, +-3.920562184878E-2)); +#29701=CARTESIAN_POINT('',(2.782541154682E1,-8.796931130079E0, +-3.215027248768E-2)); +#29702=CARTESIAN_POINT('',(2.787079645234E1,-8.839999857240E0, +-2.855892927854E-2)); +#29704=CARTESIAN_POINT('',(2.774832043711E1,-7.64E0,1.103671867423E0)); +#29705=CARTESIAN_POINT('',(2.778203168782E1,-7.64E0,1.235072088457E0)); +#29706=CARTESIAN_POINT('',(2.783885405557E1,-7.613565525550E0, +1.350181575736E0)); +#29707=CARTESIAN_POINT('',(2.791456752275E1,-7.565523428933E0, +1.451974966247E0)); +#29709=DIRECTION('',(7.182831669838E-1,6.957508835982E-1,0.E0)); +#29710=VECTOR('',#29709,8.623776327771E-1); +#29711=CARTESIAN_POINT('',(2.746516901832E1,-7.64E0,0.E0)); +#29712=LINE('',#29711,#29710); +#29713=DIRECTION('',(5.888754395796E-1,6.792489730815E-1,-4.380029100676E-1)); +#29714=VECTOR('',#29713,7.736830672691E-1); +#29715=CARTESIAN_POINT('',(2.791456752275E1,-7.565523428933E0, +1.451974966247E0)); +#29716=LINE('',#29715,#29714); +#29717=DIRECTION('',(0.E0,1.E0,-2.498001805407E-14)); +#29718=VECTOR('',#29717,8.E-1); +#29719=CARTESIAN_POINT('',(2.774832043711E1,-8.44E0,1.103671867423E0)); +#29720=LINE('',#29719,#29718); +#29721=DIRECTION('',(-2.485059068873E-1,9.618628300080E-8,-9.686303806107E-1)); +#29722=VECTOR('',#29721,1.200455690496E0); +#29723=CARTESIAN_POINT('',(2.774832043711E1,-8.44E0,1.103671867423E0)); +#29724=LINE('',#29723,#29722); +#29725=DIRECTION('',(2.485059819020E-1,0.E0,9.686303613654E-1)); +#29726=VECTOR('',#29725,1.139414901126E0); +#29727=CARTESIAN_POINT('',(2.746516901832E1,-7.64E0,0.E0)); +#29728=LINE('',#29727,#29726); +#29729=CARTESIAN_POINT('',(2.791456752275E1,-7.565523428933E0, +1.451974966247E0)); +#29730=CARTESIAN_POINT('',(2.799028098994E1,-7.613565525550E0, +1.553768356757E0)); +#29731=CARTESIAN_POINT('',(2.808418160073E1,-7.64E0,1.641299213206E0)); +#29732=CARTESIAN_POINT('',(2.820033211851E1,-7.64E0,1.711381477242E0)); +#29734=DIRECTION('',(-3.653045698927E-1,-7.071067850616E-1,6.054358477455E-1)); +#29735=VECTOR('',#29734,8.485281374239E-1); +#29736=CARTESIAN_POINT('',(3.182673387182E1,-7.040000046033E0, +3.198701788995E0)); +#29737=LINE('',#29736,#29735); +#29738=CARTESIAN_POINT('',(3.255E1,-7.640000720301E0,2.E0)); +#29739=DIRECTION('',(0.E0,1.E0,0.E0)); +#29740=DIRECTION('',(-5.166186799703E-1,0.E0,8.562155917208E-1)); +#29741=AXIS2_PLACEMENT_3D('',#29738,#29739,#29740); +#29743=DIRECTION('',(4.246776909139E-8,-1.E0,7.038292749868E-8)); +#29744=VECTOR('',#29743,5.999999506784E-1); +#29745=CARTESIAN_POINT('',(3.358323733446E1,-7.640000049322E0, +3.712431141212E0)); +#29746=LINE('',#29745,#29744); +#29747=DIRECTION('',(-1.754919327829E-8,-1.E0,-1.497564573694E-11)); +#29748=VECTOR('',#29747,1.669999970701E0); +#29749=CARTESIAN_POINT('',(3.455E1,-8.84E0,2.E0)); +#29750=LINE('',#29749,#29748); +#29751=CARTESIAN_POINT('',(3.255E1,-1.050999973260E1,2.E0)); +#29752=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29753=DIRECTION('',(1.E0,0.E0,0.E0)); +#29754=AXIS2_PLACEMENT_3D('',#29751,#29752,#29753); +#29756=DIRECTION('',(-8.562155917208E-1,0.E0,-5.166186799703E-1)); +#29757=VECTOR('',#29756,2.894056325959E0); +#29758=CARTESIAN_POINT('',(5.290381337451E1,-8.84E0,2.460822762838E0)); +#29759=LINE('',#29758,#29757); +#29760=CARTESIAN_POINT('',(5.485E1,-8.84E0,2.E0)); +#29761=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29762=DIRECTION('',(-9.730933127468E-1,0.E0,2.304113814189E-1)); +#29763=AXIS2_PLACEMENT_3D('',#29760,#29761,#29762); +#29765=CARTESIAN_POINT('',(5.485E1,-8.84E0,2.E0)); +#29766=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29767=DIRECTION('',(-1.E0,0.E0,0.E0)); +#29768=AXIS2_PLACEMENT_3D('',#29765,#29766,#29767); +#29770=CARTESIAN_POINT('',(5.485E1,-8.84E0,2.E0)); +#29771=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29772=DIRECTION('',(1.E0,0.E0,0.E0)); +#29773=AXIS2_PLACEMENT_3D('',#29770,#29771,#29772); +#29775=DIRECTION('',(-8.562155917208E-1,0.E0,5.166186799703E-1)); +#29776=VECTOR('',#29775,1.814745479446E0); +#29777=CARTESIAN_POINT('',(5.835E1,-8.84E0,1.523291348764E0)); +#29778=LINE('',#29777,#29776); +#29779=DIRECTION('',(0.E0,0.E0,1.E0)); +#29780=VECTOR('',#29779,1.437375635349E0); +#29781=CARTESIAN_POINT('',(5.835E1,-8.84E0,8.591571341507E-2)); +#29782=LINE('',#29781,#29780); +#29783=DIRECTION('',(3.338068783003E-1,-2.619381532171E-8,9.426414843404E-1)); +#29784=VECTOR('',#29783,2.604513249071E0); +#29785=CARTESIAN_POINT('',(5.748059556284E1,-8.839999931778E0, +-2.369206521673E0)); +#29786=LINE('',#29785,#29784); +#29787=CARTESIAN_POINT('',(5.485E1,-8.84E0,2.E0)); +#29788=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29789=DIRECTION('',(-9.174909475174E-1,0.E0,-3.977566608162E-1)); +#29790=AXIS2_PLACEMENT_3D('',#29787,#29788,#29789); +#29792=DIRECTION('',(-2.485059310515E-1,6.646362795817E-8,-9.686303744113E-1)); +#29793=VECTOR('',#29792,1.026457872914E0); +#29794=CARTESIAN_POINT('',(5.042587722490E1,-8.84E0,9.656992039612E-1)); +#29795=LINE('',#29794,#29793); +#29796=DIRECTION('',(-8.562155917208E-1,0.E0,5.166186799703E-1)); +#29797=VECTOR('',#29796,2.894056325959E0); +#29798=CARTESIAN_POINT('',(3.697412277510E1,-8.84E0,9.656992039612E-1)); +#29799=LINE('',#29798,#29797); +#29800=DIRECTION('',(-2.485058754938E-1,-1.390799217007E-7,9.686303886649E-1)); +#29801=VECTOR('',#29800,1.026457712740E0); +#29802=CARTESIAN_POINT('',(3.722920354766E1,-8.839999857240E0, +-2.855892927849E-2)); +#29803=LINE('',#29802,#29801); +#29804=CARTESIAN_POINT('',(3.255E1,-8.84E0,2.E0)); +#29805=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29806=DIRECTION('',(-9.174909686708E-1,0.E0,-3.977566120223E-1)); +#29807=AXIS2_PLACEMENT_3D('',#29804,#29805,#29806); +#29809=DIRECTION('',(-2.485058754938E-1,1.390799199701E-7,-9.686303886649E-1)); +#29810=VECTOR('',#29809,1.026457712740E0); +#29811=CARTESIAN_POINT('',(2.812587722490E1,-8.84E0,9.656992039612E-1)); +#29812=LINE('',#29811,#29810); +#29813=DIRECTION('',(-8.562155917208E-1,0.E0,-5.166186799703E-1)); +#29814=VECTOR('',#29813,2.894056325959E0); +#29815=CARTESIAN_POINT('',(3.060381337451E1,-8.84E0,2.460822762838E0)); +#29816=LINE('',#29815,#29814); +#29817=CARTESIAN_POINT('',(3.255E1,-8.84E0,2.E0)); +#29818=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29819=DIRECTION('',(-9.730933127468E-1,0.E0,2.304113814189E-1)); +#29820=AXIS2_PLACEMENT_3D('',#29817,#29818,#29819); +#29822=CARTESIAN_POINT('',(3.255E1,-8.84E0,2.E0)); +#29823=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29824=DIRECTION('',(-1.E0,0.E0,0.E0)); +#29825=AXIS2_PLACEMENT_3D('',#29822,#29823,#29824); +#29827=CARTESIAN_POINT('',(3.255E1,-8.84E0,2.E0)); +#29828=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29829=DIRECTION('',(1.E0,0.E0,0.E0)); +#29830=AXIS2_PLACEMENT_3D('',#29827,#29828,#29829); +#29832=DIRECTION('',(-8.562155917208E-1,0.E0,-5.166186799703E-1)); +#29833=VECTOR('',#29832,2.894056325959E0); +#29834=CARTESIAN_POINT('',(-4.034618662549E1,-8.84E0,2.460822762838E0)); +#29835=LINE('',#29834,#29833); +#29836=CARTESIAN_POINT('',(-3.84E1,-8.84E0,2.E0)); +#29837=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29838=DIRECTION('',(-9.730933127468E-1,0.E0,2.304113814189E-1)); +#29839=AXIS2_PLACEMENT_3D('',#29836,#29837,#29838); +#29841=CARTESIAN_POINT('',(-3.84E1,-8.84E0,2.E0)); +#29842=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29843=DIRECTION('',(-1.E0,0.E0,0.E0)); +#29844=AXIS2_PLACEMENT_3D('',#29841,#29842,#29843); +#29846=CARTESIAN_POINT('',(-3.84E1,-8.84E0,2.E0)); +#29847=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29848=DIRECTION('',(1.E0,0.E0,0.E0)); +#29849=AXIS2_PLACEMENT_3D('',#29846,#29847,#29848); +#29851=DIRECTION('',(-8.562155917208E-1,0.E0,5.166186799703E-1)); +#29852=VECTOR('',#29851,2.894056325959E0); +#29853=CARTESIAN_POINT('',(-3.397587722490E1,-8.84E0,9.656992039612E-1)); +#29854=LINE('',#29853,#29852); +#29855=DIRECTION('',(-2.485058754938E-1,-1.390799165090E-7,9.686303886649E-1)); +#29856=VECTOR('',#29855,1.026457712740E0); +#29857=CARTESIAN_POINT('',(-3.372079645234E1,-8.839999857240E0, +-2.855892927850E-2)); +#29858=LINE('',#29857,#29856); +#29859=CARTESIAN_POINT('',(-3.84E1,-8.84E0,2.E0)); +#29860=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29861=DIRECTION('',(-9.174909686708E-1,0.E0,-3.977566120223E-1)); +#29862=AXIS2_PLACEMENT_3D('',#29859,#29860,#29861); +#29864=DIRECTION('',(-2.485058754938E-1,1.390799268924E-7,-9.686303886649E-1)); +#29865=VECTOR('',#29864,1.026457712740E0); +#29866=CARTESIAN_POINT('',(-4.282412277510E1,-8.84E0,9.656992039612E-1)); +#29867=LINE('',#29866,#29865); +#29868=DIRECTION('',(-8.562155917208E-1,0.E0,-5.166186799703E-1)); +#29869=VECTOR('',#29868,2.894056325959E0); +#29870=CARTESIAN_POINT('',(-1.534618662549E1,-8.84E0,2.460822762838E0)); +#29871=LINE('',#29870,#29869); +#29872=CARTESIAN_POINT('',(-1.34E1,-8.84E0,2.E0)); +#29873=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29874=DIRECTION('',(-9.730933127468E-1,0.E0,2.304113814189E-1)); +#29875=AXIS2_PLACEMENT_3D('',#29872,#29873,#29874); +#29877=CARTESIAN_POINT('',(-1.34E1,-8.84E0,2.E0)); +#29878=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29879=DIRECTION('',(-1.E0,0.E0,0.E0)); +#29880=AXIS2_PLACEMENT_3D('',#29877,#29878,#29879); +#29882=CARTESIAN_POINT('',(-1.34E1,-8.84E0,2.E0)); +#29883=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29884=DIRECTION('',(1.E0,0.E0,0.E0)); +#29885=AXIS2_PLACEMENT_3D('',#29882,#29883,#29884); +#29887=DIRECTION('',(-8.562155917208E-1,0.E0,5.166186799703E-1)); +#29888=VECTOR('',#29887,2.894056325959E0); +#29889=CARTESIAN_POINT('',(-8.975877224902E0,-8.84E0,9.656992039612E-1)); +#29890=LINE('',#29889,#29888); +#29891=DIRECTION('',(-2.485058754938E-1,-1.390799165090E-7,9.686303886649E-1)); +#29892=VECTOR('',#29891,1.026457712740E0); +#29893=CARTESIAN_POINT('',(-8.720796452340E0,-8.839999857240E0, +-2.855892927854E-2)); +#29894=LINE('',#29893,#29892); +#29895=CARTESIAN_POINT('',(-1.34E1,-8.84E0,2.E0)); +#29896=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29897=DIRECTION('',(-9.174909686708E-1,0.E0,-3.977566120223E-1)); +#29898=AXIS2_PLACEMENT_3D('',#29895,#29896,#29897); +#29900=DIRECTION('',(-2.485058754938E-1,1.390799217007E-7,-9.686303886649E-1)); +#29901=VECTOR('',#29900,1.026457712740E0); +#29902=CARTESIAN_POINT('',(-1.782412277510E1,-8.84E0,9.656992039612E-1)); +#29903=LINE('',#29902,#29901); +#29904=CARTESIAN_POINT('',(5.050033211851E1,-8.24E0,1.711381477242E0)); +#29905=CARTESIAN_POINT('',(5.039496425907E1,-8.24E0,1.647805194605E0)); +#29906=CARTESIAN_POINT('',(5.022924666521E1,-8.282947320813E0, +1.497655948736E0)); +#29907=CARTESIAN_POINT('',(5.012367081739E1,-8.383269906800E0, +1.316784398836E0)); +#29908=CARTESIAN_POINT('',(5.008545069082E1,-8.447328888010E0, +1.218906932743E0)); +#29910=CARTESIAN_POINT('',(5.381676264006E1,-8.24E0,3.712431183442E0)); +#29911=CARTESIAN_POINT('',(5.368315135034E1,-8.24E0,3.631813534346E0)); +#29912=CARTESIAN_POINT('',(5.345649857500E1,-8.275258355492E0, +3.453877726386E0)); +#29913=CARTESIAN_POINT('',(5.311531491655E1,-8.443217822808E0, +3.051851271950E0)); +#29914=CARTESIAN_POINT('',(5.296233353032E1,-8.658621154123E0, +2.707970156056E0)); +#29915=CARTESIAN_POINT('',(5.290381337451E1,-8.84E0,2.460822762838E0)); +#29917=DIRECTION('',(3.552713678800E-14,1.E0,1.332267629550E-14)); +#29918=VECTOR('',#29917,6.E-1); +#29919=CARTESIAN_POINT('',(5.050033211851E1,-8.24E0,1.711381477242E0)); +#29920=LINE('',#29919,#29918); +#29921=DIRECTION('',(8.562155982992E-1,-1.273353550110E-8,5.166186690676E-1)); +#29922=VECTOR('',#29921,3.873359179181E0); +#29923=CARTESIAN_POINT('',(5.050033211851E1,-7.64E0,1.711381477242E0)); +#29924=LINE('',#29923,#29922); +#29925=DIRECTION('',(4.246752040141E-8,1.E0,-7.038307034739E-8)); +#29926=VECTOR('',#29925,5.999999506784E-1); +#29927=CARTESIAN_POINT('',(5.381676264006E1,-8.24E0,3.712431183442E0)); +#29928=LINE('',#29927,#29926); +#29929=DIRECTION('',(-8.562155917208E-1,0.E0,-5.166186799703E-1)); +#29930=VECTOR('',#29929,3.873359179181E0); +#29931=CARTESIAN_POINT('',(5.381676264006E1,-8.24E0,3.712431183442E0)); +#29932=LINE('',#29931,#29930); +#29933=CARTESIAN_POINT('',(5.485E1,-7.640000720301E0,2.E0)); +#29934=DIRECTION('',(0.E0,1.E0,0.E0)); +#29935=DIRECTION('',(-5.166186799703E-1,0.E0,8.562155917208E-1)); +#29936=AXIS2_PLACEMENT_3D('',#29933,#29934,#29935); +#29938=DIRECTION('',(-4.246778093377E-8,1.E0,-7.038292823883E-8)); +#29939=VECTOR('',#29938,5.999999506784E-1); +#29940=CARTESIAN_POINT('',(5.588323735994E1,-8.24E0,3.712431183442E0)); +#29941=LINE('',#29940,#29939); +#29942=DIRECTION('',(-1.754919753304E-8,-1.E0,-1.497458205019E-11)); +#29943=VECTOR('',#29942,1.669999970701E0); +#29944=CARTESIAN_POINT('',(5.685E1,-8.84E0,2.E0)); +#29945=LINE('',#29944,#29943); +#29946=CARTESIAN_POINT('',(5.485E1,-1.050999973260E1,2.E0)); +#29947=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29948=DIRECTION('',(1.E0,0.E0,0.E0)); +#29949=AXIS2_PLACEMENT_3D('',#29946,#29947,#29948); +#29951=DIRECTION('',(-1.184237892933E-14,1.E0,1.406282497859E-14)); +#29952=VECTOR('',#29951,6.E-1); +#29953=CARTESIAN_POINT('',(5.836661867997E1,-8.24E0,2.214022147420E0)); +#29954=LINE('',#29953,#29952); +#29955=DIRECTION('',(-8.562155917208E-1,0.E0,5.166186799703E-1)); +#29956=VECTOR('',#29955,2.900415904642E0); +#29957=CARTESIAN_POINT('',(5.836661867997E1,-8.24E0,2.214022147420E0)); +#29958=LINE('',#29957,#29956); +#29959=DIRECTION('',(8.562156005059E-1,1.700499423186E-8,-5.166186654104E-1)); +#29960=VECTOR('',#29959,2.900415904643E0); +#29961=CARTESIAN_POINT('',(5.588323733446E1,-7.640000049322E0, +3.712431141212E0)); +#29962=LINE('',#29961,#29960); +#29963=CARTESIAN_POINT('',(5.876629414136E1,-8.423705858637E0, +1.758312543798E0)); +#29964=CARTESIAN_POINT('',(5.872668287308E1,-8.366575844882E0, +1.848936839403E0)); +#29965=CARTESIAN_POINT('',(5.862199689975E1,-8.277318163858E0, +2.016348425648E0)); +#29966=CARTESIAN_POINT('',(5.846441354689E1,-8.24E0,2.155015215587E0)); +#29967=CARTESIAN_POINT('',(5.836661867997E1,-8.24E0,2.214022147420E0)); +#29969=DIRECTION('',(-6.567129207422E-1,-6.567129207422E-1,-3.707509669042E-1)); +#29970=VECTOR('',#29969,6.339058182272E-1); +#29971=CARTESIAN_POINT('',(5.876629414136E1,-8.423705858637E0, +1.758312543798E0)); +#29972=LINE('',#29971,#29970); +#29973=CARTESIAN_POINT('',(5.679618662549E1,-8.84E0,2.460822762838E0)); +#29974=CARTESIAN_POINT('',(5.673766646968E1,-8.658621154123E0, +2.707970156056E0)); +#29975=CARTESIAN_POINT('',(5.658468508345E1,-8.443217822808E0, +3.051851271950E0)); +#29976=CARTESIAN_POINT('',(5.624350142500E1,-8.275258355492E0, +3.453877726386E0)); +#29977=CARTESIAN_POINT('',(5.601684864966E1,-8.24E0,3.631813534346E0)); +#29978=CARTESIAN_POINT('',(5.588323735994E1,-8.24E0,3.712431183442E0)); +#29980=DIRECTION('',(1.754920178779E-8,-1.E0,1.497617758031E-11)); +#29981=VECTOR('',#29980,1.669999970701E0); +#29982=CARTESIAN_POINT('',(5.285E1,-8.84E0,2.E0)); +#29983=LINE('',#29982,#29981); +#29984=CARTESIAN_POINT('',(5.485E1,-1.050999973260E1,2.E0)); +#29985=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29986=DIRECTION('',(-1.E0,0.E0,0.E0)); +#29987=AXIS2_PLACEMENT_3D('',#29984,#29985,#29986); +#29989=DIRECTION('',(-7.071067903956E-1,7.071067719775E-1,-7.835808097124E-12)); +#29990=VECTOR('',#29989,4.242640687127E-1); +#29991=CARTESIAN_POINT('',(5.315000003321E1,-1.080999996679E1, +2.000000000028E0)); +#29992=LINE('',#29991,#29990); +#29993=CARTESIAN_POINT('',(-3.84E1,-1.081000006364E1,2.E0)); +#29994=DIRECTION('',(0.E0,-1.E0,0.E0)); +#29995=DIRECTION('',(-1.E0,0.E0,0.E0)); +#29996=AXIS2_PLACEMENT_3D('',#29993,#29994,#29995); +#29998=CARTESIAN_POINT('',(-3.84E1,-1.081000006364E1,2.E0)); +#29999=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30000=DIRECTION('',(1.E0,0.E0,4.597629908255E-14)); +#30001=AXIS2_PLACEMENT_3D('',#29998,#29999,#30000); +#30003=CARTESIAN_POINT('',(-1.34E1,-1.081000006364E1,2.E0)); +#30004=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30005=DIRECTION('',(-1.E0,0.E0,0.E0)); +#30006=AXIS2_PLACEMENT_3D('',#30003,#30004,#30005); +#30008=CARTESIAN_POINT('',(-1.34E1,-1.081000006364E1,2.E0)); +#30009=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30010=DIRECTION('',(1.E0,0.E0,0.E0)); +#30011=AXIS2_PLACEMENT_3D('',#30008,#30009,#30010); +#30013=CARTESIAN_POINT('',(3.255E1,-1.081000006364E1,2.E0)); +#30014=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30015=DIRECTION('',(-1.E0,0.E0,0.E0)); +#30016=AXIS2_PLACEMENT_3D('',#30013,#30014,#30015); +#30018=CARTESIAN_POINT('',(3.255E1,-1.081000006364E1,2.E0)); +#30019=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30020=DIRECTION('',(1.E0,0.E0,0.E0)); +#30021=AXIS2_PLACEMENT_3D('',#30018,#30019,#30020); +#30023=CARTESIAN_POINT('',(5.485E1,-1.081000006364E1,2.E0)); +#30024=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30025=DIRECTION('',(-1.E0,0.E0,-1.763295561405E-14)); +#30026=AXIS2_PLACEMENT_3D('',#30023,#30024,#30025); +#30028=CARTESIAN_POINT('',(5.485E1,-1.081000006364E1,2.E0)); +#30029=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30030=DIRECTION('',(1.E0,0.E0,-3.578836917221E-14)); +#30031=AXIS2_PLACEMENT_3D('',#30028,#30029,#30030); +#30033=DIRECTION('',(-7.071067903956E-1,7.071067719775E-1,-7.857789391545E-12)); +#30034=VECTOR('',#30033,4.242640687127E-1); +#30035=CARTESIAN_POINT('',(-4.009999996679E1,-1.080999996679E1, +2.000000000028E0)); +#30036=LINE('',#30035,#30034); +#30037=DIRECTION('',(7.071067903956E-1,7.071067719775E-1,7.812780074396E-12)); +#30038=VECTOR('',#30037,4.242640687127E-1); +#30039=CARTESIAN_POINT('',(-3.670000003321E1,-1.080999996679E1, +1.999999999972E0)); +#30040=LINE('',#30039,#30038); +#30041=CARTESIAN_POINT('',(-3.84E1,-7.640000720301E0,2.E0)); +#30042=DIRECTION('',(0.E0,1.E0,0.E0)); +#30043=DIRECTION('',(-5.166186799703E-1,0.E0,8.562155917208E-1)); +#30044=AXIS2_PLACEMENT_3D('',#30041,#30042,#30043); +#30046=DIRECTION('',(-4.246778093377E-8,1.E0,-7.038292749868E-8)); +#30047=VECTOR('',#30046,5.999999506784E-1); +#30048=CARTESIAN_POINT('',(-3.736676264006E1,-8.24E0,3.712431183442E0)); +#30049=LINE('',#30048,#30047); +#30050=DIRECTION('',(-1.754919327829E-8,-1.E0,-1.497511389356E-11)); +#30051=VECTOR('',#30050,1.669999970701E0); +#30052=CARTESIAN_POINT('',(-3.64E1,-8.84E0,2.E0)); +#30053=LINE('',#30052,#30051); +#30054=CARTESIAN_POINT('',(-3.84E1,-1.050999973260E1,2.E0)); +#30055=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30056=DIRECTION('',(1.E0,0.E0,0.E0)); +#30057=AXIS2_PLACEMENT_3D('',#30054,#30055,#30056); +#30059=CARTESIAN_POINT('',(-4.274966788149E1,-8.24E0,1.711381477242E0)); +#30060=CARTESIAN_POINT('',(-4.285503574093E1,-8.24E0,1.647805194605E0)); +#30061=CARTESIAN_POINT('',(-4.302075333479E1,-8.282947320813E0, +1.497655948736E0)); +#30062=CARTESIAN_POINT('',(-4.312632918261E1,-8.383269906800E0, +1.316784398836E0)); +#30063=CARTESIAN_POINT('',(-4.316454930918E1,-8.447328888010E0, +1.218906932743E0)); +#30065=CARTESIAN_POINT('',(-3.943323735994E1,-8.24E0,3.712431183442E0)); +#30066=CARTESIAN_POINT('',(-3.956684864966E1,-8.24E0,3.631813534346E0)); +#30067=CARTESIAN_POINT('',(-3.979350142500E1,-8.275258355492E0, +3.453877726386E0)); +#30068=CARTESIAN_POINT('',(-4.013468508345E1,-8.443217822808E0, +3.051851271950E0)); +#30069=CARTESIAN_POINT('',(-4.028766646968E1,-8.658621154123E0, +2.707970156056E0)); +#30070=CARTESIAN_POINT('',(-4.034618662549E1,-8.84E0,2.460822762838E0)); +#30072=DIRECTION('',(-1.184237892934E-14,1.E0,-1.776356839400E-14)); +#30073=VECTOR('',#30072,6.E-1); +#30074=CARTESIAN_POINT('',(-4.274966788149E1,-8.24E0,1.711381477242E0)); +#30075=LINE('',#30074,#30073); +#30076=DIRECTION('',(8.562155982992E-1,-1.273353389597E-8,5.166186690676E-1)); +#30077=VECTOR('',#30076,3.873359179182E0); +#30078=CARTESIAN_POINT('',(-4.274966788149E1,-7.64E0,1.711381477242E0)); +#30079=LINE('',#30078,#30077); +#30080=DIRECTION('',(4.246754408617E-8,1.E0,-7.038306960724E-8)); +#30081=VECTOR('',#30080,5.999999506785E-1); +#30082=CARTESIAN_POINT('',(-3.943323735994E1,-8.24E0,3.712431183442E0)); +#30083=LINE('',#30082,#30081); +#30084=DIRECTION('',(-8.562155917208E-1,0.E0,-5.166186799703E-1)); +#30085=VECTOR('',#30084,3.873359179181E0); +#30086=CARTESIAN_POINT('',(-3.943323735994E1,-8.24E0,3.712431183442E0)); +#30087=LINE('',#30086,#30085); +#30088=CARTESIAN_POINT('',(-4.303543247725E1,-7.565523428933E0, +1.451974966247E0)); +#30089=CARTESIAN_POINT('',(-4.295971901006E1,-7.613565525550E0, +1.553768356757E0)); +#30090=CARTESIAN_POINT('',(-4.286581839927E1,-7.64E0,1.641299213206E0)); +#30091=CARTESIAN_POINT('',(-4.274966788149E1,-7.64E0,1.711381477242E0)); +#30093=DIRECTION('',(-3.653045698927E-1,-7.071067850616E-1,6.054358477455E-1)); +#30094=VECTOR('',#30093,8.485281374239E-1); +#30095=CARTESIAN_POINT('',(-3.912326612818E1,-7.040000046033E0, +3.198701788995E0)); +#30096=LINE('',#30095,#30094); +#30097=CARTESIAN_POINT('',(-3.405033211851E1,-7.64E0,1.711381477242E0)); +#30098=CARTESIAN_POINT('',(-3.393418160073E1,-7.64E0,1.641299213206E0)); +#30099=CARTESIAN_POINT('',(-3.384028098994E1,-7.613565525550E0, +1.553768356757E0)); +#30100=CARTESIAN_POINT('',(-3.376456752275E1,-7.565523428933E0, +1.451974966247E0)); +#30102=DIRECTION('',(3.653045698927E-1,-7.071067850616E-1,6.054358477455E-1)); +#30103=VECTOR('',#30102,8.485281374239E-1); +#30104=CARTESIAN_POINT('',(-3.767673387182E1,-7.040000046033E0, +3.198701788995E0)); +#30105=LINE('',#30104,#30103); +#30106=DIRECTION('',(-5.888754395796E-1,6.792489730815E-1,-4.380029100676E-1)); +#30107=VECTOR('',#30106,7.736830672691E-1); +#30108=CARTESIAN_POINT('',(-3.376456752275E1,-7.565523428933E0, +1.451974966247E0)); +#30109=LINE('',#30108,#30107); +#30110=CARTESIAN_POINT('',(-3.376456752275E1,-7.565523428933E0, +1.451974966247E0)); +#30111=CARTESIAN_POINT('',(-3.368885405557E1,-7.613565525550E0, +1.350181575736E0)); +#30112=CARTESIAN_POINT('',(-3.363203168782E1,-7.64E0,1.235072088457E0)); +#30113=CARTESIAN_POINT('',(-3.359832043711E1,-7.64E0,1.103671867423E0)); +#30115=DIRECTION('',(7.182831669838E-1,-6.957508835982E-1,0.E0)); +#30116=VECTOR('',#30115,8.623776327771E-1); +#30117=CARTESIAN_POINT('',(-3.393460035552E1,-7.04E0,0.E0)); +#30118=LINE('',#30117,#30116); +#30119=DIRECTION('',(0.E0,1.E0,1.415534356397E-14)); +#30120=VECTOR('',#30119,8.E-1); +#30121=CARTESIAN_POINT('',(-3.359832043711E1,-8.44E0,1.103671867423E0)); +#30122=LINE('',#30121,#30120); +#30123=DIRECTION('',(2.485059819020E-1,0.E0,-9.686303613654E-1)); +#30124=VECTOR('',#30123,1.139414901126E0); +#30125=CARTESIAN_POINT('',(-3.359832043711E1,-7.64E0,1.103671867423E0)); +#30126=LINE('',#30125,#30124); +#30127=DIRECTION('',(-2.428589233422E-7,-1.E0,9.805405256311E-8)); +#30128=VECTOR('',#30127,4.408738563356E-1); +#30129=CARTESIAN_POINT('',(-3.33E1,-7.999126028197E0,-5.912602819740E-2)); +#30130=LINE('',#30129,#30128); +#30131=DIRECTION('',(-2.485059068870E-1,-9.618597077664E-8,9.686303806108E-1)); +#30132=VECTOR('',#30131,1.200455690496E0); +#30133=CARTESIAN_POINT('',(-3.330000010707E1,-8.439999884533E0, +-5.912598496793E-2)); +#30134=LINE('',#30133,#30132); +#30135=DIRECTION('',(2.428580369244E-7,-1.E0,9.805399615478E-8)); +#30136=VECTOR('',#30135,4.408738563352E-1); +#30137=CARTESIAN_POINT('',(-4.35E1,-7.999126028197E0,-5.912602819740E-2)); +#30138=LINE('',#30137,#30136); +#30139=CARTESIAN_POINT('',(-3.84E1,-8.439999076261E0,2.E0)); +#30140=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30141=DIRECTION('',(-9.272727272727E-1,0.E0,-3.743865505813E-1)); +#30142=AXIS2_PLACEMENT_3D('',#30139,#30140,#30141); +#30144=CARTESIAN_POINT('',(-1.34E1,-7.64E0,2.E0)); +#30145=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30146=DIRECTION('',(-7.229416491662E-1,0.E0,-6.909090909091E-1)); +#30147=AXIS2_PLACEMENT_3D('',#30144,#30145,#30146); +#30149=DIRECTION('',(1.E0,0.E0,0.E0)); +#30150=VECTOR('',#30149,1.810419917137E1); +#30151=CARTESIAN_POINT('',(-9.423820929586E0,-7.64E0,-1.8E0)); +#30152=LINE('',#30151,#30150); +#30153=DIRECTION('',(0.E0,0.E0,1.E0)); +#30154=VECTOR('',#30153,6.7E0); +#30155=CARTESIAN_POINT('',(-5.760000012806E1,-7.64E0,-8.5E0)); +#30156=LINE('',#30155,#30154); +#30157=DIRECTION('',(1.E0,0.E0,0.E0)); +#30158=VECTOR('',#30157,1.522382105765E1); +#30159=CARTESIAN_POINT('',(-5.760000012806E1,-7.64E0,-1.8E0)); +#30160=LINE('',#30159,#30158); +#30161=CARTESIAN_POINT('',(-3.84E1,-7.64E0,2.E0)); +#30162=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30163=DIRECTION('',(-7.229416491662E-1,0.E0,-6.909090909091E-1)); +#30164=AXIS2_PLACEMENT_3D('',#30161,#30162,#30163); +#30166=DIRECTION('',(1.E0,0.E0,0.E0)); +#30167=VECTOR('',#30166,1.704764185917E1); +#30168=CARTESIAN_POINT('',(-3.442382092959E1,-7.64E0,-1.8E0)); +#30169=LINE('',#30168,#30167); +#30170=DIRECTION('',(1.951903405738E-7,0.E0,1.E0)); +#30171=VECTOR('',#30170,6.7E0); +#30172=CARTESIAN_POINT('',(8.680376934006E0,-7.64E0,-8.5E0)); +#30173=LINE('',#30172,#30171); +#30174=CARTESIAN_POINT('',(8.680376934006E0,-1.14E0,-1.8E0)); +#30175=DIRECTION('',(0.E0,0.E0,1.E0)); +#30176=DIRECTION('',(6.035885924405E-7,-9.999999999998E-1,0.E0)); +#30177=AXIS2_PLACEMENT_3D('',#30174,#30175,#30176); +#30179=DIRECTION('',(1.E0,0.E0,0.E0)); +#30180=VECTOR('',#30179,1.055E0); +#30181=CARTESIAN_POINT('',(1.5895E1,-1.07E0,-4.E-1)); +#30182=LINE('',#30181,#30180); +#30183=DIRECTION('',(0.E0,0.E0,-1.E0)); +#30184=VECTOR('',#30183,1.4E0); +#30185=CARTESIAN_POINT('',(1.695E1,-1.07E0,-4.E-1)); +#30186=LINE('',#30185,#30184); +#30187=DIRECTION('',(0.E0,0.E0,1.E0)); +#30188=VECTOR('',#30187,6.7E0); +#30189=CARTESIAN_POINT('',(1.518E1,-1.07E0,-8.5E0)); +#30190=LINE('',#30189,#30188); +#30191=DIRECTION('',(0.E0,0.E0,-1.E0)); +#30192=VECTOR('',#30191,1.4E0); +#30193=CARTESIAN_POINT('',(1.5895E1,-1.07E0,-4.E-1)); +#30194=LINE('',#30193,#30192); +#30195=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30196=VECTOR('',#30195,1.E1); +#30197=CARTESIAN_POINT('',(1.5895E1,8.93E0,-4.E-1)); +#30198=LINE('',#30197,#30196); +#30199=DIRECTION('',(0.E0,1.E0,0.E0)); +#30200=VECTOR('',#30199,1.E1); +#30201=CARTESIAN_POINT('',(1.695E1,-1.07E0,-4.E-1)); +#30202=LINE('',#30201,#30200); +#30203=DIRECTION('',(-7.071068465996E-1,-2.100469691227E-11,7.071067157735E-1)); +#30204=VECTOR('',#30203,5.656854249493E-1); +#30205=CARTESIAN_POINT('',(1.589499985416E1,9.499999999953E0, +-4.000001458359E-1)); +#30206=LINE('',#30205,#30204); +#30207=DIRECTION('',(1.041688114206E-7,-3.178235783667E-11,-1.E0)); +#30208=VECTOR('',#30207,1.399999854164E0); +#30209=CARTESIAN_POINT('',(1.589499985416E1,-1.639999999956E0, +-4.000001458360E-1)); +#30210=LINE('',#30209,#30208); +#30211=DIRECTION('',(-2.558531975177E-7,-9.999999999999E-1,-2.558525521275E-7)); +#30212=VECTOR('',#30211,5.699999999556E-1); +#30213=CARTESIAN_POINT('',(1.5895E1,-1.07E0,-4.E-1)); +#30214=LINE('',#30213,#30212); +#30215=DIRECTION('',(2.558532349157E-7,-9.999999999999E-1,2.558525022659E-7)); +#30216=VECTOR('',#30215,5.699999999532E-1); +#30217=CARTESIAN_POINT('',(1.589499985416E1,9.499999999953E0, +-4.000001458359E-1)); +#30218=LINE('',#30217,#30216); +#30219=CARTESIAN_POINT('',(9.195E0,-1.64E0,-4.000001081758E-1)); +#30220=DIRECTION('',(0.E0,0.E0,-1.E0)); +#30221=DIRECTION('',(1.E0,0.E0,0.E0)); +#30222=AXIS2_PLACEMENT_3D('',#30219,#30220,#30221); +#30224=DIRECTION('',(3.347037281980E-11,-1.041688317219E-7,-1.E0)); +#30225=VECTOR('',#30224,1.399999854164E0); +#30226=CARTESIAN_POINT('',(9.194999999953E0,-8.339999854164E0, +-4.000001458359E-1)); +#30227=LINE('',#30226,#30225); +#30228=DIRECTION('',(-7.071068465996E-1,1.995352001118E-11,7.071067157735E-1)); +#30229=VECTOR('',#30228,5.656854249493E-1); +#30230=CARTESIAN_POINT('',(1.589499985416E1,-1.639999999956E0, +-4.000001458360E-1)); +#30231=LINE('',#30230,#30229); +#30232=DIRECTION('',(-2.101097728210E-11,7.071068465996E-1,7.071067157735E-1)); +#30233=VECTOR('',#30232,5.656854249493E-1); +#30234=CARTESIAN_POINT('',(9.194999999953E0,-8.339999854164E0, +-4.000001458359E-1)); +#30235=LINE('',#30234,#30233); +#30236=CARTESIAN_POINT('',(-8.451262787337E0,-8.34E0,-4.E-1)); +#30237=CARTESIAN_POINT('',(-8.396613280246E0,-8.227314146086E0, +-2.873141460863E-1)); +#30238=CARTESIAN_POINT('',(-8.346249864894E0,-8.113676719961E0, +-1.736767199610E-1)); +#30239=CARTESIAN_POINT('',(-8.3E0,-7.999126028197E0,-5.912602819740E-2)); +#30241=DIRECTION('',(-1.784976636950E-1,6.957508835982E-1,6.957508835982E-1)); +#30242=VECTOR('',#30241,8.498160705397E-2); +#30243=CARTESIAN_POINT('',(-8.3E0,-7.999126028197E0,-5.912602819740E-2)); +#30244=LINE('',#30243,#30242); +#30245=CARTESIAN_POINT('',(9.195E0,9.5E0,-4.000001081758E-1)); +#30246=DIRECTION('',(0.E0,0.E0,-1.E0)); +#30247=DIRECTION('',(0.E0,1.E0,0.E0)); +#30248=AXIS2_PLACEMENT_3D('',#30245,#30246,#30247); +#30250=DIRECTION('',(3.177014538212E-11,1.041688139583E-7,-1.E0)); +#30251=VECTOR('',#30250,1.399999854164E0); +#30252=CARTESIAN_POINT('',(9.194999999956E0,1.619999985416E1, +-4.000001458360E-1)); +#30253=LINE('',#30252,#30251); +#30254=DIRECTION('',(1.041688279154E-7,3.345895338179E-11,-1.E0)); +#30255=VECTOR('',#30254,1.399999854164E0); +#30256=CARTESIAN_POINT('',(1.589499985416E1,9.499999999953E0, +-4.000001458359E-1)); +#30257=LINE('',#30256,#30255); +#30258=DIRECTION('',(-1.995587514987E-11,-7.071068465996E-1,7.071067157735E-1)); +#30259=VECTOR('',#30258,5.656854249493E-1); +#30260=CARTESIAN_POINT('',(9.194999999956E0,1.619999985416E1, +-4.000001458360E-1)); +#30261=LINE('',#30260,#30259); +#30262=DIRECTION('',(1.784976636950E-1,6.957508835982E-1,-6.957508835982E-1)); +#30263=VECTOR('',#30262,8.498160705397E-2); +#30264=CARTESIAN_POINT('',(-3.315169018316E0,1.58E1,0.E0)); +#30265=LINE('',#30264,#30263); +#30266=CARTESIAN_POINT('',(-3.3E0,1.585912602820E1,-5.912602819740E-2)); +#30267=CARTESIAN_POINT('',(-3.346249864894E0,1.597367671996E1, +-1.736767199610E-1)); +#30268=CARTESIAN_POINT('',(-3.396613280246E0,1.608731414609E1, +-2.873141460863E-1)); +#30269=CARTESIAN_POINT('',(-3.451262787337E0,1.62E1,-4.E-1)); +#30271=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30272=VECTOR('',#30271,8.E-1); +#30273=CARTESIAN_POINT('',(-3.598320437114E0,1.63E1,1.103671867423E0)); +#30274=LINE('',#30273,#30272); +#30275=DIRECTION('',(2.485059068873E-1,-9.618628152107E-8,-9.686303806107E-1)); +#30276=VECTOR('',#30275,1.200455690496E0); +#30277=CARTESIAN_POINT('',(-3.598320437114E0,1.63E1,1.103671867423E0)); +#30278=LINE('',#30277,#30276); +#30279=DIRECTION('',(-2.485059819020E-1,0.E0,9.686303613654E-1)); +#30280=VECTOR('',#30279,1.139414901126E0); +#30281=CARTESIAN_POINT('',(-3.315169018316E0,1.55E1,0.E0)); +#30282=LINE('',#30281,#30280); +#30283=CARTESIAN_POINT('',(-3.598320437114E0,1.55E1,1.103671867423E0)); +#30284=CARTESIAN_POINT('',(-3.632031687817E0,1.55E1,1.235072088457E0)); +#30285=CARTESIAN_POINT('',(-3.688854055572E0,1.547356552555E1, +1.350181575736E0)); +#30286=CARTESIAN_POINT('',(-3.764567522754E0,1.542552342893E1, +1.451974966247E0)); +#30288=DIRECTION('',(-7.182831669838E-1,-6.957508835982E-1,0.E0)); +#30289=VECTOR('',#30288,8.623776327771E-1); +#30290=CARTESIAN_POINT('',(-3.315169018316E0,1.55E1,0.E0)); +#30291=LINE('',#30290,#30289); +#30292=DIRECTION('',(-5.888754395796E-1,-6.792489730815E-1,-4.380029100676E-1)); +#30293=VECTOR('',#30292,7.736830672691E-1); +#30294=CARTESIAN_POINT('',(-3.764567522754E0,1.542552342893E1, +1.451974966247E0)); +#30295=LINE('',#30294,#30293); +#30296=CARTESIAN_POINT('',(-3.764567522754E0,1.542552342893E1, +1.451974966247E0)); +#30297=CARTESIAN_POINT('',(-3.840280989937E0,1.547356552555E1, +1.553768356757E0)); +#30298=CARTESIAN_POINT('',(-3.934181600735E0,1.55E1,1.641299213206E0)); +#30299=CARTESIAN_POINT('',(-4.050332118510E0,1.55E1,1.711381477242E0)); +#30301=DIRECTION('',(3.653045698927E-1,7.071067850616E-1,6.054358477455E-1)); +#30302=VECTOR('',#30301,8.485281374239E-1); +#30303=CARTESIAN_POINT('',(-7.676733871823E0,1.490000004603E1, +3.198701788995E0)); +#30304=LINE('',#30303,#30302); +#30305=CARTESIAN_POINT('',(-1.274966788149E1,1.55E1,1.711381477242E0)); +#30306=CARTESIAN_POINT('',(-1.286581839927E1,1.55E1,1.641299213206E0)); +#30307=CARTESIAN_POINT('',(-1.295971901006E1,1.547356552555E1, +1.553768356757E0)); +#30308=CARTESIAN_POINT('',(-1.303543247725E1,1.542552342893E1, +1.451974966247E0)); +#30310=DIRECTION('',(-3.653045698927E-1,7.071067850616E-1,6.054358477455E-1)); +#30311=VECTOR('',#30310,8.485281374239E-1); +#30312=CARTESIAN_POINT('',(-9.123266128176E0,1.490000004603E1, +3.198701788995E0)); +#30313=LINE('',#30312,#30311); +#30314=DIRECTION('',(5.888754395796E-1,-6.792489730815E-1,-4.380029100676E-1)); +#30315=VECTOR('',#30314,7.736830672691E-1); +#30316=CARTESIAN_POINT('',(-1.303543247725E1,1.542552342893E1, +1.451974966247E0)); +#30317=LINE('',#30316,#30315); +#30318=CARTESIAN_POINT('',(-1.303543247725E1,1.542552342893E1, +1.451974966247E0)); +#30319=CARTESIAN_POINT('',(-1.311114594443E1,1.547356552555E1, +1.350181575736E0)); +#30320=CARTESIAN_POINT('',(-1.316796831218E1,1.55E1,1.235072088457E0)); +#30321=CARTESIAN_POINT('',(-1.320167956289E1,1.55E1,1.103671867423E0)); +#30323=DIRECTION('',(-7.182831669838E-1,6.957508835982E-1,0.E0)); +#30324=VECTOR('',#30323,8.623776327771E-1); +#30325=CARTESIAN_POINT('',(-1.286539964448E1,1.49E1,0.E0)); +#30326=LINE('',#30325,#30324); +#30327=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30328=VECTOR('',#30327,8.E-1); +#30329=CARTESIAN_POINT('',(-1.320167956289E1,1.63E1,1.103671867423E0)); +#30330=LINE('',#30329,#30328); +#30331=DIRECTION('',(-2.485059819020E-1,0.E0,-9.686303613654E-1)); +#30332=VECTOR('',#30331,1.139414901126E0); +#30333=CARTESIAN_POINT('',(-1.320167956289E1,1.55E1,1.103671867423E0)); +#30334=LINE('',#30333,#30332); +#30335=DIRECTION('',(2.428589233422E-7,1.E0,9.805404233279E-8)); +#30336=VECTOR('',#30335,4.408738563356E-1); +#30337=CARTESIAN_POINT('',(-1.35E1,1.585912602820E1,-5.912602819740E-2)); +#30338=LINE('',#30337,#30336); +#30339=DIRECTION('',(2.485059068870E-1,9.618597077663E-8,9.686303806108E-1)); +#30340=VECTOR('',#30339,1.200455690496E0); +#30341=CARTESIAN_POINT('',(-1.349999989293E1,1.629999988453E1, +-5.912598496793E-2)); +#30342=LINE('',#30341,#30340); +#30343=DIRECTION('',(1.784976636950E-1,6.957508835982E-1,-6.957508835982E-1)); +#30344=VECTOR('',#30343,8.498160705397E-2); +#30345=CARTESIAN_POINT('',(-3.331516901832E1,1.58E1,0.E0)); +#30346=LINE('',#30345,#30344); +#30347=CARTESIAN_POINT('',(-3.33E1,1.585912602820E1,-5.912602819740E-2)); +#30348=CARTESIAN_POINT('',(-3.334624986489E1,1.597367671996E1, +-1.736767199610E-1)); +#30349=CARTESIAN_POINT('',(-3.339661328025E1,1.608731414609E1, +-2.873141460863E-1)); +#30350=CARTESIAN_POINT('',(-3.345126278734E1,1.62E1,-4.E-1)); +#30352=CARTESIAN_POINT('',(-1.334873721266E1,1.62E1,-4.E-1)); +#30353=CARTESIAN_POINT('',(-1.340338671975E1,1.608731414609E1, +-2.873141460863E-1)); +#30354=CARTESIAN_POINT('',(-1.345375013511E1,1.597367671996E1, +-1.736767199610E-1)); +#30355=CARTESIAN_POINT('',(-1.35E1,1.585912602820E1,-5.912602819739E-2)); +#30357=DIRECTION('',(1.784976636949E-1,-6.957508835983E-1,6.957508835982E-1)); +#30358=VECTOR('',#30357,8.498160705397E-2); +#30359=CARTESIAN_POINT('',(-1.35E1,1.585912602820E1,-5.912602819740E-2)); +#30360=LINE('',#30359,#30358); +#30361=DIRECTION('',(0.E0,-1.E0,4.440892098501E-14)); +#30362=VECTOR('',#30361,8.E-1); +#30363=CARTESIAN_POINT('',(-3.359832043711E1,1.63E1,1.103671867423E0)); +#30364=LINE('',#30363,#30362); +#30365=DIRECTION('',(2.485059068873E-1,-9.618628744001E-8,-9.686303806107E-1)); +#30366=VECTOR('',#30365,1.200455690496E0); +#30367=CARTESIAN_POINT('',(-3.359832043711E1,1.63E1,1.103671867423E0)); +#30368=LINE('',#30367,#30366); +#30369=DIRECTION('',(-2.485059819020E-1,0.E0,9.686303613654E-1)); +#30370=VECTOR('',#30369,1.139414901126E0); +#30371=CARTESIAN_POINT('',(-3.331516901832E1,1.55E1,0.E0)); +#30372=LINE('',#30371,#30370); +#30373=CARTESIAN_POINT('',(-3.363545069082E1,1.630732888801E1, +1.218906932743E0)); +#30374=CARTESIAN_POINT('',(-3.362079754109E1,1.630246060822E1, +1.181381856424E0)); +#30375=CARTESIAN_POINT('',(-3.360840422776E1,1.63E1,1.142976618588E0)); +#30376=CARTESIAN_POINT('',(-3.359832043711E1,1.63E1,1.103671867423E0)); +#30378=DIRECTION('',(-5.888754395796E-1,6.792489730815E-1,-4.380029100676E-1)); +#30379=VECTOR('',#30378,5.780959965369E-1); +#30380=CARTESIAN_POINT('',(-3.363545069082E1,1.630732888801E1, +1.218906932743E0)); +#30381=LINE('',#30380,#30379); +#30382=CARTESIAN_POINT('',(-3.330000010707E1,1.629999988453E1, +-5.912598496796E-2)); +#30383=CARTESIAN_POINT('',(-3.334813074135E1,1.634582653411E1, +-5.593031097784E-2)); +#30384=CARTESIAN_POINT('',(-3.344347524963E1,1.643655740543E1, +-4.939933812212E-2)); +#30385=CARTESIAN_POINT('',(-3.358372795152E1,1.656987725952E1, +-3.920562184885E-2)); +#30386=CARTESIAN_POINT('',(-3.367541154682E1,1.665693113008E1, +-3.215027248768E-2)); +#30387=CARTESIAN_POINT('',(-3.372079645234E1,1.669999985724E1, +-2.855892927854E-2)); +#30389=CARTESIAN_POINT('',(-3.405033211851E1,1.61E1,1.711381477242E0)); +#30390=CARTESIAN_POINT('',(-3.394496425907E1,1.61E1,1.647805194605E0)); +#30391=CARTESIAN_POINT('',(-3.377924666521E1,1.614294732081E1, +1.497655948736E0)); +#30392=CARTESIAN_POINT('',(-3.367367081739E1,1.624326990680E1, +1.316784398836E0)); +#30393=CARTESIAN_POINT('',(-3.363545069082E1,1.630732888801E1, +1.218906932743E0)); +#30395=CARTESIAN_POINT('',(-3.736676264006E1,1.61E1,3.712431183442E0)); +#30396=CARTESIAN_POINT('',(-3.723315135034E1,1.61E1,3.631813534346E0)); +#30397=CARTESIAN_POINT('',(-3.700649857500E1,1.613525835549E1, +3.453877726386E0)); +#30398=CARTESIAN_POINT('',(-3.666531491655E1,1.630321782281E1, +3.051851271950E0)); +#30399=CARTESIAN_POINT('',(-3.651233353032E1,1.651862115412E1, +2.707970156056E0)); +#30400=CARTESIAN_POINT('',(-3.645381337451E1,1.67E1,2.460822762838E0)); +#30402=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30403=VECTOR('',#30402,6.E-1); +#30404=CARTESIAN_POINT('',(-3.405033211851E1,1.61E1,1.711381477242E0)); +#30405=LINE('',#30404,#30403); +#30406=DIRECTION('',(-8.562155982992E-1,1.273353366667E-8,5.166186690676E-1)); +#30407=VECTOR('',#30406,3.873359179182E0); +#30408=CARTESIAN_POINT('',(-3.405033211851E1,1.55E1,1.711381477242E0)); +#30409=LINE('',#30408,#30407); +#30410=DIRECTION('',(-4.246755592855E-8,-1.E0,-7.038306590649E-8)); +#30411=VECTOR('',#30410,5.999999506785E-1); +#30412=CARTESIAN_POINT('',(-3.736676264006E1,1.61E1,3.712431183442E0)); +#30413=LINE('',#30412,#30411); +#30414=DIRECTION('',(8.562155917208E-1,0.E0,-5.166186799703E-1)); +#30415=VECTOR('',#30414,3.873359179181E0); +#30416=CARTESIAN_POINT('',(-3.736676264006E1,1.61E1,3.712431183442E0)); +#30417=LINE('',#30416,#30415); +#30418=CARTESIAN_POINT('',(-3.84E1,1.550000072030E1,2.E0)); +#30419=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30420=DIRECTION('',(5.166186799703E-1,0.E0,8.562155917208E-1)); +#30421=AXIS2_PLACEMENT_3D('',#30418,#30419,#30420); +#30423=DIRECTION('',(4.246776909139E-8,-1.E0,-7.038292601838E-8)); +#30424=VECTOR('',#30423,5.999999506784E-1); +#30425=CARTESIAN_POINT('',(-3.943323735994E1,1.61E1,3.712431183442E0)); +#30426=LINE('',#30425,#30424); +#30427=DIRECTION('',(1.754920178779E-8,1.E0,-1.497551277610E-11)); +#30428=VECTOR('',#30427,1.669999970701E0); +#30429=CARTESIAN_POINT('',(-4.04E1,1.67E1,2.E0)); +#30430=LINE('',#30429,#30428); +#30431=CARTESIAN_POINT('',(-3.84E1,1.836999973260E1,2.E0)); +#30432=DIRECTION('',(0.E0,1.E0,0.E0)); +#30433=DIRECTION('',(-1.E0,0.E0,0.E0)); +#30434=AXIS2_PLACEMENT_3D('',#30431,#30432,#30433); +#30436=DIRECTION('',(8.562155917208E-1,0.E0,5.166186799703E-1)); +#30437=VECTOR('',#30436,2.894056325959E0); +#30438=CARTESIAN_POINT('',(2.812587722490E1,1.67E1,9.656992039612E-1)); +#30439=LINE('',#30438,#30437); +#30440=DIRECTION('',(2.485058754938E-1,1.390799199701E-7,9.686303886649E-1)); +#30441=VECTOR('',#30440,1.026457712740E0); +#30442=CARTESIAN_POINT('',(2.787079645234E1,1.669999985724E1, +-2.855892927848E-2)); +#30443=LINE('',#30442,#30441); +#30444=CARTESIAN_POINT('',(3.255E1,1.67E1,2.E0)); +#30445=DIRECTION('',(0.E0,1.E0,0.E0)); +#30446=DIRECTION('',(9.174909686708E-1,0.E0,-3.977566120223E-1)); +#30447=AXIS2_PLACEMENT_3D('',#30444,#30445,#30446); +#30449=DIRECTION('',(2.485058754938E-1,-1.390799095867E-7,-9.686303886649E-1)); +#30450=VECTOR('',#30449,1.026457712740E0); +#30451=CARTESIAN_POINT('',(3.697412277510E1,1.67E1,9.656992039612E-1)); +#30452=LINE('',#30451,#30450); +#30453=DIRECTION('',(8.562155917208E-1,0.E0,-5.166186799703E-1)); +#30454=VECTOR('',#30453,2.894056325959E0); +#30455=CARTESIAN_POINT('',(3.449618662549E1,1.67E1,2.460822762838E0)); +#30456=LINE('',#30455,#30454); +#30457=CARTESIAN_POINT('',(3.255E1,1.67E1,2.E0)); +#30458=DIRECTION('',(0.E0,1.E0,0.E0)); +#30459=DIRECTION('',(9.730933127468E-1,0.E0,2.304113814189E-1)); +#30460=AXIS2_PLACEMENT_3D('',#30457,#30458,#30459); +#30462=CARTESIAN_POINT('',(3.255E1,1.67E1,2.E0)); +#30463=DIRECTION('',(0.E0,1.E0,0.E0)); +#30464=DIRECTION('',(1.E0,0.E0,0.E0)); +#30465=AXIS2_PLACEMENT_3D('',#30462,#30463,#30464); +#30467=CARTESIAN_POINT('',(3.255E1,1.67E1,2.E0)); +#30468=DIRECTION('',(0.E0,1.E0,0.E0)); +#30469=DIRECTION('',(-1.E0,0.E0,0.E0)); +#30470=AXIS2_PLACEMENT_3D('',#30467,#30468,#30469); +#30472=DIRECTION('',(8.562155917208E-1,0.E0,5.166186799703E-1)); +#30473=VECTOR('',#30472,2.894056325959E0); +#30474=CARTESIAN_POINT('',(5.042587722490E1,1.67E1,9.656992039612E-1)); +#30475=LINE('',#30474,#30473); +#30476=DIRECTION('',(2.485059317923E-1,6.549548832132E-8,9.686303742213E-1)); +#30477=VECTOR('',#30476,1.026457875056E0); +#30478=CARTESIAN_POINT('',(5.017079635422E1,1.669999993277E1, +-2.855907167620E-2)); +#30479=LINE('',#30478,#30477); +#30480=CARTESIAN_POINT('',(5.485E1,1.67E1,2.E0)); +#30481=DIRECTION('',(0.E0,1.E0,0.E0)); +#30482=DIRECTION('',(5.158030908171E-1,0.E0,-8.567071678838E-1)); +#30483=AXIS2_PLACEMENT_3D('',#30480,#30481,#30482); +#30485=DIRECTION('',(-3.338068780225E-1,-2.581225892998E-8,-9.426414844387E-1)); +#30486=VECTOR('',#30485,2.604513251186E0); +#30487=CARTESIAN_POINT('',(5.835E1,1.67E1,8.591571341507E-2)); +#30488=LINE('',#30487,#30486); +#30489=DIRECTION('',(0.E0,0.E0,-1.E0)); +#30490=VECTOR('',#30489,1.437375635349E0); +#30491=CARTESIAN_POINT('',(5.835E1,1.67E1,1.523291348764E0)); +#30492=LINE('',#30491,#30490); +#30493=DIRECTION('',(8.562155917208E-1,0.E0,-5.166186799703E-1)); +#30494=VECTOR('',#30493,1.814745479446E0); +#30495=CARTESIAN_POINT('',(5.679618662549E1,1.67E1,2.460822762838E0)); +#30496=LINE('',#30495,#30494); +#30497=CARTESIAN_POINT('',(5.485E1,1.67E1,2.E0)); +#30498=DIRECTION('',(0.E0,1.E0,0.E0)); +#30499=DIRECTION('',(9.730933127468E-1,0.E0,2.304113814189E-1)); +#30500=AXIS2_PLACEMENT_3D('',#30497,#30498,#30499); +#30502=CARTESIAN_POINT('',(5.485E1,1.67E1,2.E0)); +#30503=DIRECTION('',(0.E0,1.E0,0.E0)); +#30504=DIRECTION('',(1.E0,0.E0,0.E0)); +#30505=AXIS2_PLACEMENT_3D('',#30502,#30503,#30504); +#30507=CARTESIAN_POINT('',(5.485E1,1.67E1,2.E0)); +#30508=DIRECTION('',(0.E0,1.E0,0.E0)); +#30509=DIRECTION('',(-1.E0,0.E0,0.E0)); +#30510=AXIS2_PLACEMENT_3D('',#30507,#30508,#30509); +#30512=DIRECTION('',(8.562155917208E-1,0.E0,5.166186799703E-1)); +#30513=VECTOR('',#30512,2.894056325959E0); +#30514=CARTESIAN_POINT('',(-4.282412277510E1,1.67E1,9.656992039612E-1)); +#30515=LINE('',#30514,#30513); +#30516=DIRECTION('',(2.485058754938E-1,1.390799165090E-7,9.686303886649E-1)); +#30517=VECTOR('',#30516,1.026457712740E0); +#30518=CARTESIAN_POINT('',(-4.307920354766E1,1.669999985724E1, +-2.855892927849E-2)); +#30519=LINE('',#30518,#30517); +#30520=CARTESIAN_POINT('',(-3.84E1,1.67E1,2.E0)); +#30521=DIRECTION('',(0.E0,1.E0,0.E0)); +#30522=DIRECTION('',(9.174909686708E-1,0.E0,-3.977566120223E-1)); +#30523=AXIS2_PLACEMENT_3D('',#30520,#30521,#30522); +#30525=DIRECTION('',(2.485058754938E-1,-1.390799165090E-7,-9.686303886649E-1)); +#30526=VECTOR('',#30525,1.026457712740E0); +#30527=CARTESIAN_POINT('',(-3.397587722490E1,1.67E1,9.656992039612E-1)); +#30528=LINE('',#30527,#30526); +#30529=DIRECTION('',(8.562155917208E-1,0.E0,-5.166186799703E-1)); +#30530=VECTOR('',#30529,2.894056325959E0); +#30531=CARTESIAN_POINT('',(-3.645381337451E1,1.67E1,2.460822762838E0)); +#30532=LINE('',#30531,#30530); +#30533=CARTESIAN_POINT('',(-3.84E1,1.67E1,2.E0)); +#30534=DIRECTION('',(0.E0,1.E0,0.E0)); +#30535=DIRECTION('',(9.730933127468E-1,0.E0,2.304113814189E-1)); +#30536=AXIS2_PLACEMENT_3D('',#30533,#30534,#30535); +#30538=CARTESIAN_POINT('',(-3.84E1,1.67E1,2.E0)); +#30539=DIRECTION('',(0.E0,1.E0,0.E0)); +#30540=DIRECTION('',(1.E0,0.E0,0.E0)); +#30541=AXIS2_PLACEMENT_3D('',#30538,#30539,#30540); +#30543=CARTESIAN_POINT('',(-3.84E1,1.67E1,2.E0)); +#30544=DIRECTION('',(0.E0,1.E0,0.E0)); +#30545=DIRECTION('',(-1.E0,0.E0,0.E0)); +#30546=AXIS2_PLACEMENT_3D('',#30543,#30544,#30545); +#30548=DIRECTION('',(8.562155917208E-1,0.E0,5.166186799703E-1)); +#30549=VECTOR('',#30548,2.894056325959E0); +#30550=CARTESIAN_POINT('',(-1.282412277510E1,1.67E1,9.656992039612E-1)); +#30551=LINE('',#30550,#30549); +#30552=DIRECTION('',(2.485058754938E-1,1.390799165090E-7,9.686303886649E-1)); +#30553=VECTOR('',#30552,1.026457712740E0); +#30554=CARTESIAN_POINT('',(-1.307920354766E1,1.669999985724E1, +-2.855892927849E-2)); +#30555=LINE('',#30554,#30553); +#30556=CARTESIAN_POINT('',(-8.4E0,1.67E1,2.E0)); +#30557=DIRECTION('',(0.E0,1.E0,0.E0)); +#30558=DIRECTION('',(9.174909686708E-1,0.E0,-3.977566120223E-1)); +#30559=AXIS2_PLACEMENT_3D('',#30556,#30557,#30558); +#30561=DIRECTION('',(2.485058754938E-1,-1.390799165090E-7,-9.686303886649E-1)); +#30562=VECTOR('',#30561,1.026457712740E0); +#30563=CARTESIAN_POINT('',(-3.975877224902E0,1.67E1,9.656992039612E-1)); +#30564=LINE('',#30563,#30562); +#30565=DIRECTION('',(8.562155917208E-1,0.E0,-5.166186799703E-1)); +#30566=VECTOR('',#30565,2.894056325959E0); +#30567=CARTESIAN_POINT('',(-6.453813374506E0,1.67E1,2.460822762838E0)); +#30568=LINE('',#30567,#30566); +#30569=CARTESIAN_POINT('',(-8.4E0,1.67E1,2.E0)); +#30570=DIRECTION('',(0.E0,1.E0,0.E0)); +#30571=DIRECTION('',(9.730933127468E-1,0.E0,2.304113814189E-1)); +#30572=AXIS2_PLACEMENT_3D('',#30569,#30570,#30571); +#30574=CARTESIAN_POINT('',(-8.4E0,1.67E1,2.E0)); +#30575=DIRECTION('',(0.E0,1.E0,0.E0)); +#30576=DIRECTION('',(1.E0,0.E0,0.E0)); +#30577=AXIS2_PLACEMENT_3D('',#30574,#30575,#30576); +#30579=CARTESIAN_POINT('',(-8.4E0,1.67E1,2.E0)); +#30580=DIRECTION('',(0.E0,1.E0,0.E0)); +#30581=DIRECTION('',(-1.E0,0.E0,0.E0)); +#30582=AXIS2_PLACEMENT_3D('',#30579,#30580,#30581); +#30584=CARTESIAN_POINT('',(2.778545069082E1,1.630732888801E1,1.218906932743E0)); +#30585=CARTESIAN_POINT('',(2.782367081739E1,1.624326990680E1,1.316784398836E0)); +#30586=CARTESIAN_POINT('',(2.792924666521E1,1.614294732081E1,1.497655948736E0)); +#30587=CARTESIAN_POINT('',(2.809496425907E1,1.61E1,1.647805194605E0)); +#30588=CARTESIAN_POINT('',(2.820033211851E1,1.61E1,1.711381477242E0)); +#30590=DIRECTION('',(5.888754395796E-1,6.792489730815E-1,-4.380029100676E-1)); +#30591=VECTOR('',#30590,5.780959965369E-1); +#30592=CARTESIAN_POINT('',(2.778545069082E1,1.630732888801E1,1.218906932743E0)); +#30593=LINE('',#30592,#30591); +#30594=CARTESIAN_POINT('',(3.060381337451E1,1.67E1,2.460822762838E0)); +#30595=CARTESIAN_POINT('',(3.066233353032E1,1.651862115412E1,2.707970156056E0)); +#30596=CARTESIAN_POINT('',(3.081531491655E1,1.630321782281E1,3.051851271950E0)); +#30597=CARTESIAN_POINT('',(3.115649857500E1,1.613525835549E1,3.453877726386E0)); +#30598=CARTESIAN_POINT('',(3.138315135034E1,1.61E1,3.631813534346E0)); +#30599=CARTESIAN_POINT('',(3.151676264006E1,1.61E1,3.712431183442E0)); +#30601=CARTESIAN_POINT('',(2.820033211851E1,1.55E1,1.711381477242E0)); +#30602=CARTESIAN_POINT('',(2.808418160073E1,1.55E1,1.641299213206E0)); +#30603=CARTESIAN_POINT('',(2.799028098994E1,1.547356552555E1,1.553768356757E0)); +#30604=CARTESIAN_POINT('',(2.791456752275E1,1.542552342893E1,1.451974966247E0)); +#30606=DIRECTION('',(-3.653045698927E-1,7.071067850616E-1,6.054358477455E-1)); +#30607=VECTOR('',#30606,8.485281374239E-1); +#30608=CARTESIAN_POINT('',(3.182673387182E1,1.490000004603E1,3.198701788995E0)); +#30609=LINE('',#30608,#30607); +#30610=DIRECTION('',(5.888754395796E-1,-6.792489730815E-1,-4.380029100676E-1)); +#30611=VECTOR('',#30610,7.736830672691E-1); +#30612=CARTESIAN_POINT('',(2.791456752275E1,1.542552342893E1,1.451974966247E0)); +#30613=LINE('',#30612,#30611); +#30614=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30615=VECTOR('',#30614,6.E-1); +#30616=CARTESIAN_POINT('',(2.820033211851E1,1.61E1,1.711381477242E0)); +#30617=LINE('',#30616,#30615); +#30618=DIRECTION('',(8.562155917208E-1,0.E0,5.166186799703E-1)); +#30619=VECTOR('',#30618,3.873359179181E0); +#30620=CARTESIAN_POINT('',(2.820033211851E1,1.61E1,1.711381477242E0)); +#30621=LINE('',#30620,#30619); +#30622=DIRECTION('',(-8.562155982992E-1,-1.273353458389E-8,-5.166186690676E-1)); +#30623=VECTOR('',#30622,3.873359179182E0); +#30624=CARTESIAN_POINT('',(3.151676266554E1,1.550000004932E1,3.712431141212E0)); +#30625=LINE('',#30624,#30623); +#30626=CARTESIAN_POINT('',(3.255E1,1.550000072030E1,2.E0)); +#30627=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30628=DIRECTION('',(5.166186799703E-1,0.E0,8.562155917208E-1)); +#30629=AXIS2_PLACEMENT_3D('',#30626,#30627,#30628); +#30631=DIRECTION('',(4.246777501258E-8,-1.E0,-7.038292897898E-8)); +#30632=VECTOR('',#30631,5.999999506785E-1); +#30633=CARTESIAN_POINT('',(3.151676264006E1,1.61E1,3.712431183442E0)); +#30634=LINE('',#30633,#30632); +#30635=DIRECTION('',(1.754919966041E-8,1.E0,-1.497564573694E-11)); +#30636=VECTOR('',#30635,1.669999970701E0); +#30637=CARTESIAN_POINT('',(3.055E1,1.67E1,2.E0)); +#30638=LINE('',#30637,#30636); +#30639=CARTESIAN_POINT('',(3.255E1,1.836999973260E1,2.E0)); +#30640=DIRECTION('',(0.E0,1.E0,0.E0)); +#30641=DIRECTION('',(-1.E0,0.E0,0.E0)); +#30642=AXIS2_PLACEMENT_3D('',#30639,#30640,#30641); +#30644=CARTESIAN_POINT('',(3.689966788149E1,1.61E1,1.711381477242E0)); +#30645=CARTESIAN_POINT('',(3.700503574093E1,1.61E1,1.647805194605E0)); +#30646=CARTESIAN_POINT('',(3.717075333479E1,1.614294732081E1,1.497655948736E0)); +#30647=CARTESIAN_POINT('',(3.727632918261E1,1.624326990680E1,1.316784398836E0)); +#30648=CARTESIAN_POINT('',(3.731454930918E1,1.630732888801E1,1.218906932743E0)); +#30650=CARTESIAN_POINT('',(3.358323735994E1,1.61E1,3.712431183442E0)); +#30651=CARTESIAN_POINT('',(3.371684864966E1,1.61E1,3.631813534346E0)); +#30652=CARTESIAN_POINT('',(3.394350142500E1,1.613525835549E1,3.453877726386E0)); +#30653=CARTESIAN_POINT('',(3.428468508345E1,1.630321782281E1,3.051851271950E0)); +#30654=CARTESIAN_POINT('',(3.443766646968E1,1.651862115412E1,2.707970156056E0)); +#30655=CARTESIAN_POINT('',(3.449618662549E1,1.67E1,2.460822762838E0)); +#30657=CARTESIAN_POINT('',(3.735167956289E1,1.55E1,1.103671867423E0)); +#30658=CARTESIAN_POINT('',(3.731796831218E1,1.55E1,1.235072088457E0)); +#30659=CARTESIAN_POINT('',(3.726114594443E1,1.547356552555E1,1.350181575736E0)); +#30660=CARTESIAN_POINT('',(3.718543247725E1,1.542552342893E1,1.451974966247E0)); +#30662=DIRECTION('',(-7.182831669838E-1,-6.957508835982E-1,0.E0)); +#30663=VECTOR('',#30662,8.623776327771E-1); +#30664=CARTESIAN_POINT('',(3.763483098168E1,1.55E1,0.E0)); +#30665=LINE('',#30664,#30663); +#30666=DIRECTION('',(-5.888754395796E-1,-6.792489730815E-1,-4.380029100676E-1)); +#30667=VECTOR('',#30666,7.736830672691E-1); +#30668=CARTESIAN_POINT('',(3.718543247725E1,1.542552342893E1,1.451974966247E0)); +#30669=LINE('',#30668,#30667); +#30670=DIRECTION('',(0.E0,-1.E0,-3.219646771413E-14)); +#30671=VECTOR('',#30670,8.E-1); +#30672=CARTESIAN_POINT('',(3.735167956289E1,1.63E1,1.103671867423E0)); +#30673=LINE('',#30672,#30671); +#30674=DIRECTION('',(2.485059068873E-1,-9.618628448054E-8,-9.686303806107E-1)); +#30675=VECTOR('',#30674,1.200455690496E0); +#30676=CARTESIAN_POINT('',(3.735167956289E1,1.63E1,1.103671867423E0)); +#30677=LINE('',#30676,#30675); +#30678=DIRECTION('',(-2.485059819020E-1,0.E0,9.686303613654E-1)); +#30679=VECTOR('',#30678,1.139414901126E0); +#30680=CARTESIAN_POINT('',(3.763483098168E1,1.55E1,0.E0)); +#30681=LINE('',#30680,#30679); +#30682=CARTESIAN_POINT('',(3.731454930918E1,1.630732888801E1,1.218906932743E0)); +#30683=CARTESIAN_POINT('',(3.732920245891E1,1.630246060822E1,1.181381856424E0)); +#30684=CARTESIAN_POINT('',(3.734159577224E1,1.63E1,1.142976618588E0)); +#30685=CARTESIAN_POINT('',(3.735167956289E1,1.63E1,1.103671867423E0)); +#30687=DIRECTION('',(-5.888754395796E-1,6.792489730815E-1,-4.380029100676E-1)); +#30688=VECTOR('',#30687,5.780959965369E-1); +#30689=CARTESIAN_POINT('',(3.731454930918E1,1.630732888801E1,1.218906932743E0)); +#30690=LINE('',#30689,#30688); +#30691=CARTESIAN_POINT('',(3.764999989293E1,1.629999988453E1, +-5.912598496796E-2)); +#30692=CARTESIAN_POINT('',(3.760186925865E1,1.634582653411E1, +-5.593031097784E-2)); +#30693=CARTESIAN_POINT('',(3.750652475037E1,1.643655740543E1, +-4.939933812211E-2)); +#30694=CARTESIAN_POINT('',(3.736627204848E1,1.656987725952E1, +-3.920562184883E-2)); +#30695=CARTESIAN_POINT('',(3.727458845318E1,1.665693113008E1, +-3.215027248768E-2)); +#30696=CARTESIAN_POINT('',(3.722920354766E1,1.669999985724E1, +-2.855892927854E-2)); +#30698=CARTESIAN_POINT('',(2.745000010707E1,1.629999988453E1, +-5.912598496793E-2)); +#30699=CARTESIAN_POINT('',(2.749813074135E1,1.634582653411E1, +-5.593031097781E-2)); +#30700=CARTESIAN_POINT('',(2.759347524963E1,1.643655740543E1, +-4.939933812202E-2)); +#30701=CARTESIAN_POINT('',(2.773372795152E1,1.656987725952E1, +-3.920562184874E-2)); +#30702=CARTESIAN_POINT('',(2.782541154682E1,1.665693113008E1, +-3.215027248762E-2)); +#30703=CARTESIAN_POINT('',(2.787079645234E1,1.669999985724E1, +-2.855892927848E-2)); +#30705=DIRECTION('',(0.E0,1.E0,0.E0)); +#30706=VECTOR('',#30705,4.E-1); +#30707=CARTESIAN_POINT('',(3.185E1,1.55E1,-3.455272678794E0)); +#30708=LINE('',#30707,#30706); +#30709=CARTESIAN_POINT('',(3.255E1,1.62E1,2.E0)); +#30710=DIRECTION('',(0.E0,1.E0,0.E0)); +#30711=DIRECTION('',(7.272727272727E-2,0.E0,-9.973518655929E-1)); +#30712=AXIS2_PLACEMENT_3D('',#30709,#30710,#30711); +#30714=DIRECTION('',(0.E0,1.E0,0.E0)); +#30715=VECTOR('',#30714,4.E-1); +#30716=CARTESIAN_POINT('',(3.325E1,1.55E1,-3.455272678794E0)); +#30717=LINE('',#30716,#30715); +#30718=DIRECTION('',(-2.428580208077E-7,1.E0,9.805399052023E-8)); +#30719=VECTOR('',#30718,4.408738563353E-1); +#30720=CARTESIAN_POINT('',(3.765E1,1.585912602820E1,-5.912602819740E-2)); +#30721=LINE('',#30720,#30719); +#30722=CARTESIAN_POINT('',(3.255E1,1.629999907626E1,2.E0)); +#30723=DIRECTION('',(0.E0,1.E0,0.E0)); +#30724=DIRECTION('',(9.272727272727E-1,0.E0,-3.743865505813E-1)); +#30725=AXIS2_PLACEMENT_3D('',#30722,#30723,#30724); +#30727=DIRECTION('',(0.E0,0.E0,1.E0)); +#30728=VECTOR('',#30727,1.116149732121E1); +#30729=CARTESIAN_POINT('',(3.185E1,1.59E1,-1.461677E1)); +#30730=LINE('',#30729,#30728); +#30731=DIRECTION('',(0.E0,-5.026204573745E-1,-8.645071866842E-1)); +#30732=VECTOR('',#30731,3.979145636943E-1); +#30733=CARTESIAN_POINT('',(3.185E1,1.59E1,-1.461677E1)); +#30734=LINE('',#30733,#30732); +#30735=CARTESIAN_POINT('',(3.215E1,1.62E1,-3.485435260761E0)); +#30736=CARTESIAN_POINT('',(3.213259828261E1,1.62E1,-3.484166320991E0)); +#30737=CARTESIAN_POINT('',(3.209776937721E1,1.619698221173E1, +-3.481459318745E0)); +#30738=CARTESIAN_POINT('',(3.204669238456E1,1.618327777846E1, +-3.476997634191E0)); +#30739=CARTESIAN_POINT('',(3.199937107252E1,1.616118816996E1, +-3.472430812512E0)); +#30740=CARTESIAN_POINT('',(3.195613744279E1,1.613099658209E1, +-3.467896108751E0)); +#30741=CARTESIAN_POINT('',(3.191846285405E1,1.609320298866E1, +-3.463657121090E0)); +#30742=CARTESIAN_POINT('',(3.188849916969E1,1.605006523151E1, +-3.460095302358E0)); +#30743=CARTESIAN_POINT('',(3.186655216580E1,1.600281479357E1, +-3.457380620375E0)); +#30744=CARTESIAN_POINT('',(3.185298068914E1,1.595193072996E1, +-3.455656482321E0)); +#30745=CARTESIAN_POINT('',(3.185E1,1.591729160941E1,-3.455272678794E0)); +#30746=CARTESIAN_POINT('',(3.185E1,1.59E1,-3.455272678794E0)); +#30748=CARTESIAN_POINT('',(3.295E1,1.62E1,-1.410077E1)); +#30749=CARTESIAN_POINT('',(3.298736966023E1,1.62E1,-1.410077E1)); +#30750=CARTESIAN_POINT('',(3.303984792334E1,1.618881113717E1, +-1.412001484406E1)); +#30751=CARTESIAN_POINT('',(3.311932075209E1,1.615362478384E1, +-1.418053537179E1)); +#30752=CARTESIAN_POINT('',(3.318649196140E1,1.609347262920E1, +-1.428399707778E1)); +#30753=CARTESIAN_POINT('',(3.323660200261E1,1.600596242673E1, +-1.443451462603E1)); +#30754=CARTESIAN_POINT('',(3.325E1,1.593756551143E1,-1.455215732033E1)); +#30755=CARTESIAN_POINT('',(3.325E1,1.59E1,-1.461677E1)); +#30757=CARTESIAN_POINT('',(3.185E1,1.59E1,-1.461677E1)); +#30758=CARTESIAN_POINT('',(3.185E1,1.593756551143E1,-1.455215732033E1)); +#30759=CARTESIAN_POINT('',(3.186339799739E1,1.600596242673E1, +-1.443451462603E1)); +#30760=CARTESIAN_POINT('',(3.191350803860E1,1.609347262920E1, +-1.428399707778E1)); +#30761=CARTESIAN_POINT('',(3.198067924791E1,1.615362478384E1, +-1.418053537179E1)); +#30762=CARTESIAN_POINT('',(3.206015207666E1,1.618881113717E1, +-1.412001484406E1)); +#30763=CARTESIAN_POINT('',(3.211263033977E1,1.62E1,-1.410077E1)); +#30764=CARTESIAN_POINT('',(3.215E1,1.62E1,-1.410077E1)); +#30766=CARTESIAN_POINT('',(3.325E1,1.59E1,-3.455272678794E0)); +#30767=CARTESIAN_POINT('',(3.325E1,1.591729409490E1,-3.455272678794E0)); +#30768=CARTESIAN_POINT('',(3.324701892890E1,1.595192813356E1, +-3.455656539884E0)); +#30769=CARTESIAN_POINT('',(3.323345694288E1,1.600277577227E1, +-3.457379428167E0)); +#30770=CARTESIAN_POINT('',(3.321155664426E1,1.604997034251E1, +-3.460088532535E0)); +#30771=CARTESIAN_POINT('',(3.318154644738E1,1.609320054130E1, +-3.463656173302E0)); +#30772=CARTESIAN_POINT('',(3.314386328064E1,1.613098901443E1, +-3.467895856223E0)); +#30773=CARTESIAN_POINT('',(3.310071995616E1,1.616113418994E1, +-3.472421576811E0)); +#30774=CARTESIAN_POINT('',(3.305334504483E1,1.618326905583E1, +-3.476994401516E0)); +#30775=CARTESIAN_POINT('',(3.300223243788E1,1.619698270375E1, +-3.481459221770E0)); +#30776=CARTESIAN_POINT('',(3.296739902610E1,1.62E1,-3.484166517241E0)); +#30777=CARTESIAN_POINT('',(3.295E1,1.62E1,-3.485435260761E0)); +#30779=DIRECTION('',(0.E0,0.E0,1.E0)); +#30780=VECTOR('',#30779,1.061533473924E1); +#30781=CARTESIAN_POINT('',(3.295E1,1.62E1,-1.410077E1)); +#30782=LINE('',#30781,#30780); +#30783=DIRECTION('',(0.E0,0.E0,1.E0)); +#30784=VECTOR('',#30783,1.061533473924E1); +#30785=CARTESIAN_POINT('',(3.215E1,1.62E1,-1.410077E1)); +#30786=LINE('',#30785,#30784); +#30787=DIRECTION('',(1.E0,0.E0,0.E0)); +#30788=VECTOR('',#30787,8.E-1); +#30789=CARTESIAN_POINT('',(3.215E1,1.62E1,-1.410077E1)); +#30790=LINE('',#30789,#30788); +#30791=DIRECTION('',(0.E0,0.E0,1.E0)); +#30792=VECTOR('',#30791,1.116149732121E1); +#30793=CARTESIAN_POINT('',(3.325E1,1.59E1,-1.461677E1)); +#30794=LINE('',#30793,#30792); +#30795=DIRECTION('',(0.E0,5.026204573745E-1,8.645071866842E-1)); +#30796=VECTOR('',#30795,3.979145636943E-1); +#30797=CARTESIAN_POINT('',(3.325E1,1.57E1,-1.496077E1)); +#30798=LINE('',#30797,#30796); +#30799=DIRECTION('',(1.E0,3.690879345781E-8,3.690868950953E-8)); +#30800=VECTOR('',#30799,3.951262787290E0); +#30801=CARTESIAN_POINT('',(2.365000000005E1,1.619999985416E1, +-4.000001458359E-1)); +#30802=LINE('',#30801,#30800); +#30803=CARTESIAN_POINT('',(3.255E1,1.62E1,2.E0)); +#30804=DIRECTION('',(0.E0,1.E0,0.E0)); +#30805=DIRECTION('',(-7.229416491662E-1,0.E0,-6.909090909091E-1)); +#30806=AXIS2_PLACEMENT_3D('',#30803,#30804,#30805); +#30808=DIRECTION('',(0.E0,0.E0,-1.E0)); +#30809=VECTOR('',#30808,8.5E0); +#30810=CARTESIAN_POINT('',(5.895E1,1.62E1,0.E0)); +#30811=LINE('',#30810,#30809); +#30812=DIRECTION('',(-1.E0,0.E0,0.E0)); +#30813=VECTOR('',#30812,9.999999999998E-2); +#30814=CARTESIAN_POINT('',(5.895E1,1.62E1,0.E0)); +#30815=LINE('',#30814,#30813); +#30816=DIRECTION('',(-3.337132620180E-1,-4.996816185608E-5,-9.426746290510E-1)); +#30817=VECTOR('',#30816,2.697487889419E0); +#30818=CARTESIAN_POINT('',(5.885E1,1.62E1,0.E0)); +#30819=LINE('',#30818,#30817); +#30820=DIRECTION('',(-1.E0,0.E0,0.E0)); +#30821=VECTOR('',#30820,1.E0); +#30822=CARTESIAN_POINT('',(5.895E1,1.62E1,-8.5E0)); +#30823=LINE('',#30822,#30821); +#30824=DIRECTION('',(0.E0,0.E0,1.E0)); +#30825=VECTOR('',#30824,1.230077E1); +#30826=CARTESIAN_POINT('',(4.41E1,1.62E1,-1.410077E1)); +#30827=LINE('',#30826,#30825); +#30828=CARTESIAN_POINT('',(5.485E1,1.62E1,2.E0)); +#30829=DIRECTION('',(0.E0,1.E0,0.E0)); +#30830=DIRECTION('',(-7.229416491662E-1,0.E0,-6.909090909091E-1)); +#30831=AXIS2_PLACEMENT_3D('',#30828,#30829,#30830); +#30833=DIRECTION('',(1.E0,0.E0,0.E0)); +#30834=VECTOR('',#30833,1.240252557467E1); +#30835=CARTESIAN_POINT('',(3.749873721266E1,1.62E1,-4.E-1)); +#30836=LINE('',#30835,#30834); +#30837=CARTESIAN_POINT('',(3.255E1,1.62E1,2.E0)); +#30838=DIRECTION('',(0.E0,1.E0,0.E0)); +#30839=DIRECTION('',(8.997704023024E-1,0.E0,-4.363636363636E-1)); +#30840=AXIS2_PLACEMENT_3D('',#30837,#30838,#30839); +#30842=DIRECTION('',(0.E0,0.E0,1.E0)); +#30843=VECTOR('',#30842,1.230077E1); +#30844=CARTESIAN_POINT('',(4.33E1,1.62E1,-1.410077E1)); +#30845=LINE('',#30844,#30843); +#30846=DIRECTION('',(1.E0,0.E0,0.E0)); +#30847=VECTOR('',#30846,8.E-1); +#30848=CARTESIAN_POINT('',(4.33E1,1.62E1,-1.410077E1)); +#30849=LINE('',#30848,#30847); +#30850=DIRECTION('',(2.099527635752E-11,-7.071068465996E-1,7.071067157735E-1)); +#30851=VECTOR('',#30850,5.656854249493E-1); +#30852=CARTESIAN_POINT('',(2.365000000005E1,1.619999985416E1, +-4.000001458359E-1)); +#30853=LINE('',#30852,#30851); +#30854=CARTESIAN_POINT('',(2.760126278734E1,1.62E1,-4.E-1)); +#30855=CARTESIAN_POINT('',(2.754661328025E1,1.608731414609E1, +-2.873141460863E-1)); +#30856=CARTESIAN_POINT('',(2.749624986489E1,1.597367671996E1, +-1.736767199610E-1)); +#30857=CARTESIAN_POINT('',(2.745E1,1.585912602820E1,-5.912602819739E-2)); +#30859=DIRECTION('',(1.784976636950E-1,-6.957508835982E-1,6.957508835982E-1)); +#30860=VECTOR('',#30859,8.498160705397E-2); +#30861=CARTESIAN_POINT('',(2.745E1,1.585912602820E1,-5.912602819739E-2)); +#30862=LINE('',#30861,#30860); +#30863=DIRECTION('',(7.071068465996E-1,-1.995273496495E-11,7.071067157735E-1)); +#30864=VECTOR('',#30863,5.656854249493E-1); +#30865=CARTESIAN_POINT('',(1.695000014584E1,9.499999999956E0, +-4.000001458360E-1)); +#30866=LINE('',#30865,#30864); +#30867=CARTESIAN_POINT('',(2.365E1,9.5E0,-4.000001081758E-1)); +#30868=DIRECTION('',(0.E0,0.E0,-1.E0)); +#30869=DIRECTION('',(-1.E0,0.E0,0.E0)); +#30870=AXIS2_PLACEMENT_3D('',#30867,#30868,#30869); +#30872=DIRECTION('',(-3.345387807600E-11,1.041688266466E-7,-1.E0)); +#30873=VECTOR('',#30872,1.399999854164E0); +#30874=CARTESIAN_POINT('',(2.365000000005E1,1.619999985416E1, +-4.000001458359E-1)); +#30875=LINE('',#30874,#30873); +#30876=DIRECTION('',(-1.041688139583E-7,3.177141420857E-11,-1.E0)); +#30877=VECTOR('',#30876,1.399999854164E0); +#30878=CARTESIAN_POINT('',(1.695000014584E1,9.499999999956E0, +-4.000001458360E-1)); +#30879=LINE('',#30878,#30877); +#30880=DIRECTION('',(2.558532068669E-7,9.999999999999E-1,-2.558525525170E-7)); +#30881=VECTOR('',#30880,5.699999999556E-1); +#30882=CARTESIAN_POINT('',(1.695E1,8.93E0,-4.E-1)); +#30883=LINE('',#30882,#30881); +#30884=DIRECTION('',(-2.558532504978E-7,9.999999999999E-1,2.558525108360E-7)); +#30885=VECTOR('',#30884,5.699999999532E-1); +#30886=CARTESIAN_POINT('',(1.695000014584E1,-1.639999999953E0, +-4.000001458359E-1)); +#30887=LINE('',#30886,#30885); +#30888=DIRECTION('',(1.E0,0.E0,0.E0)); +#30889=VECTOR('',#30888,1.055E0); +#30890=CARTESIAN_POINT('',(1.5895E1,8.93E0,-4.E-1)); +#30891=LINE('',#30890,#30889); +#30892=DIRECTION('',(0.E0,0.E0,-1.E0)); +#30893=VECTOR('',#30892,1.4E0); +#30894=CARTESIAN_POINT('',(1.5895E1,8.93E0,-4.E-1)); +#30895=LINE('',#30894,#30893); +#30896=DIRECTION('',(0.E0,0.E0,-1.E0)); +#30897=VECTOR('',#30896,1.77E1); +#30898=CARTESIAN_POINT('',(1.518E1,8.93E0,-1.8E0)); +#30899=LINE('',#30898,#30897); +#30900=DIRECTION('',(0.E0,0.E0,-1.E0)); +#30901=VECTOR('',#30900,1.4E0); +#30902=CARTESIAN_POINT('',(1.695E1,8.93E0,-4.E-1)); +#30903=LINE('',#30902,#30901); +#30904=DIRECTION('',(-9.937481514521E-8,0.E0,-1.E0)); +#30905=VECTOR('',#30904,1.316077E1); +#30906=CARTESIAN_POINT('',(8.680378241855E0,1.55E1,-1.8E0)); +#30907=LINE('',#30906,#30905); +#30908=CARTESIAN_POINT('',(8.680376934006E0,9.E0,-1.8E0)); +#30909=DIRECTION('',(0.E0,0.E0,1.E0)); +#30910=DIRECTION('',(9.999420101529E-1,-1.076923076923E-2,0.E0)); +#30911=AXIS2_PLACEMENT_3D('',#30908,#30909,#30910); +#30913=CARTESIAN_POINT('',(8.680376934006E0,9.E0,-1.95E1)); +#30914=DIRECTION('',(0.E0,0.E0,-1.E0)); +#30915=DIRECTION('',(8.023815234669E-1,5.968114365518E-1,0.E0)); +#30916=AXIS2_PLACEMENT_3D('',#30913,#30914,#30915); +#30918=CARTESIAN_POINT('',(2.416962306599E1,9.E0,-1.95E1)); +#30919=DIRECTION('',(0.E0,0.E0,-1.E0)); +#30920=DIRECTION('',(-9.999420101529E-1,-1.076923076923E-2,0.E0)); +#30921=AXIS2_PLACEMENT_3D('',#30918,#30919,#30920); +#30923=DIRECTION('',(1.E0,0.E0,0.E0)); +#30924=VECTOR('',#30923,2.49E0); +#30925=CARTESIAN_POINT('',(1.518E1,8.93E0,-1.95E1)); +#30926=LINE('',#30925,#30924); +#30927=DIRECTION('',(0.E0,0.E0,-1.E0)); +#30928=VECTOR('',#30927,1.77E1); +#30929=CARTESIAN_POINT('',(1.767E1,8.93E0,-1.8E0)); +#30930=LINE('',#30929,#30928); +#30931=DIRECTION('',(-1.003368280522E-7,0.E0,1.E0)); +#30932=VECTOR('',#30931,1.316077E1); +#30933=CARTESIAN_POINT('',(2.416962306599E1,1.55E1,-1.496077E1)); +#30934=LINE('',#30933,#30932); +#30935=CARTESIAN_POINT('',(2.416962306599E1,9.E0,-1.8E0)); +#30936=DIRECTION('',(0.E0,0.E0,1.E0)); +#30937=DIRECTION('',(-6.094661158657E-7,9.999999999998E-1,0.E0)); +#30938=AXIS2_PLACEMENT_3D('',#30935,#30936,#30937); +#30940=DIRECTION('',(7.071068465996E-1,2.100862214342E-11,7.071067157735E-1)); +#30941=VECTOR('',#30940,5.656854249493E-1); +#30942=CARTESIAN_POINT('',(1.695000014584E1,-1.639999999953E0, +-4.000001458359E-1)); +#30943=LINE('',#30942,#30941); +#30944=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30945=VECTOR('',#30944,8.E-1); +#30946=CARTESIAN_POINT('',(2.774832043711E1,1.63E1,1.103671867423E0)); +#30947=LINE('',#30946,#30945); +#30948=DIRECTION('',(-2.485059819020E-1,0.E0,-9.686303613654E-1)); +#30949=VECTOR('',#30948,1.139414901126E0); +#30950=CARTESIAN_POINT('',(2.774832043711E1,1.55E1,1.103671867423E0)); +#30951=LINE('',#30950,#30949); +#30952=DIRECTION('',(2.428589475172E-7,1.E0,9.805403613164E-8)); +#30953=VECTOR('',#30952,4.408738563356E-1); +#30954=CARTESIAN_POINT('',(2.745E1,1.585912602820E1,-5.912602819739E-2)); +#30955=LINE('',#30954,#30953); +#30956=DIRECTION('',(2.485059068870E-1,9.618597077663E-8,9.686303806108E-1)); +#30957=VECTOR('',#30956,1.200455690496E0); +#30958=CARTESIAN_POINT('',(2.745000010707E1,1.629999988453E1, +-5.912598496793E-2)); +#30959=LINE('',#30958,#30957); +#30960=CARTESIAN_POINT('',(2.791456752275E1,1.542552342893E1,1.451974966247E0)); +#30961=CARTESIAN_POINT('',(2.783885405557E1,1.547356552555E1,1.350181575736E0)); +#30962=CARTESIAN_POINT('',(2.778203168782E1,1.55E1,1.235072088457E0)); +#30963=CARTESIAN_POINT('',(2.774832043711E1,1.55E1,1.103671867423E0)); +#30965=DIRECTION('',(-7.182831669838E-1,6.957508835982E-1,0.E0)); +#30966=VECTOR('',#30965,8.623776327771E-1); +#30967=CARTESIAN_POINT('',(2.808460035552E1,1.49E1,0.E0)); +#30968=LINE('',#30967,#30966); +#30969=CARTESIAN_POINT('',(2.774832043711E1,1.63E1,1.103671867423E0)); +#30970=CARTESIAN_POINT('',(2.775840422776E1,1.63E1,1.142976618588E0)); +#30971=CARTESIAN_POINT('',(2.777079754109E1,1.630246060822E1,1.181381856424E0)); +#30972=CARTESIAN_POINT('',(2.778545069082E1,1.630732888801E1,1.218906932743E0)); +#30974=CARTESIAN_POINT('',(5.895E1,1.54E1,-8.5E0)); +#30975=DIRECTION('',(0.E0,0.E0,-1.E0)); +#30976=DIRECTION('',(0.E0,1.E0,0.E0)); +#30977=AXIS2_PLACEMENT_3D('',#30974,#30975,#30976); +#30979=DIRECTION('',(0.E0,1.E0,0.E0)); +#30980=VECTOR('',#30979,6.5605E-1); +#30981=CARTESIAN_POINT('',(5.555E1,1.484395E1,-8.5E0)); +#30982=LINE('',#30981,#30980); +#30983=CARTESIAN_POINT('',(5.465334738715E1,7.700000525664E0,-8.5E0)); +#30984=DIRECTION('',(0.E0,0.E0,1.E0)); +#30985=DIRECTION('',(5.925203494725E-1,8.055554825467E-1,0.E0)); +#30986=AXIS2_PLACEMENT_3D('',#30983,#30984,#30985); +#30988=DIRECTION('',(-1.E0,0.E0,0.E0)); +#30989=VECTOR('',#30988,8.305060966491E-1); +#30990=CARTESIAN_POINT('',(5.975E1,1.35E1,-8.5E0)); +#30991=LINE('',#30990,#30989); +#30992=DIRECTION('',(0.E0,1.E0,0.E0)); +#30993=VECTOR('',#30992,1.9E0); +#30994=CARTESIAN_POINT('',(5.975E1,1.35E1,-8.5E0)); +#30995=LINE('',#30994,#30993); +#30996=DIRECTION('',(-3.147191841585E-5,-2.262635458071E-5,9.999999992488E-1)); +#30997=VECTOR('',#30996,5.957146608947E0); +#30998=CARTESIAN_POINT('',(5.795E1,1.62E1,-8.5E0)); +#30999=LINE('',#30998,#30997); +#31000=DIRECTION('',(-2.678841696521E-4,9.999998878830E-1,3.904767336051E-4)); +#31001=VECTOR('',#31000,6.998652899553E-1); +#31002=CARTESIAN_POINT('',(5.795E1,1.55E1,-2.543126676640E0)); +#31003=LINE('',#31002,#31001); +#31004=DIRECTION('',(0.E0,1.E0,0.E0)); +#31005=VECTOR('',#31004,7.E-1); +#31006=CARTESIAN_POINT('',(5.795E1,1.55E1,-8.5E0)); +#31007=LINE('',#31006,#31005); +#31008=DIRECTION('',(-3.345815203102E-4,-9.999998992085E-1,2.993963636092E-4)); +#31009=VECTOR('',#31008,6.998988943353E-1); +#31010=CARTESIAN_POINT('',(5.795E1,-7.64E0,-2.543126676640E0)); +#31011=LINE('',#31010,#31009); +#31012=DIRECTION('',(3.931005175041E-5,-1.698418682877E-5,-9.999999990831E-1)); +#31013=VECTOR('',#31012,5.957082876006E0); +#31014=CARTESIAN_POINT('',(5.794976582676E1,-8.339898823791E0, +-2.542917129456E0)); +#31015=LINE('',#31014,#31013); +#31016=DIRECTION('',(1.E0,0.E0,0.E0)); +#31017=VECTOR('',#31016,1.E0); +#31018=CARTESIAN_POINT('',(5.795E1,-8.34E0,-8.5E0)); +#31019=LINE('',#31018,#31017); +#31020=CARTESIAN_POINT('',(6.134746569265E1,9.5E0,-8.5E0)); +#31021=DIRECTION('',(0.E0,0.E0,-1.E0)); +#31022=DIRECTION('',(0.E0,1.E0,0.E0)); +#31023=AXIS2_PLACEMENT_3D('',#31020,#31021,#31022); +#31025=DIRECTION('',(1.750706738857E-1,-9.845558689811E-1,0.E0)); +#31026=VECTOR('',#31025,2.287855136648E1); +#31027=CARTESIAN_POINT('',(6.528568916857E1,1.020028269554E1,-8.5E0)); +#31028=LINE('',#31027,#31026); +#31029=CARTESIAN_POINT('',(6.830649670485E1,-1.25E1,-8.5E0)); +#31030=DIRECTION('',(0.E0,0.E0,-1.E0)); +#31031=DIRECTION('',(9.845558689811E-1,1.750706738859E-1,0.E0)); +#31032=AXIS2_PLACEMENT_3D('',#31029,#31030,#31031); +#31034=DIRECTION('',(-1.E0,0.E0,0.E0)); +#31035=VECTOR('',#31034,1.366129934097E2); +#31036=CARTESIAN_POINT('',(6.830649670485E1,-1.35E1,-8.5E0)); +#31037=LINE('',#31036,#31035); +#31038=CARTESIAN_POINT('',(-6.830649670485E1,-1.25E1,-8.5E0)); +#31039=DIRECTION('',(0.E0,0.E0,-1.E0)); +#31040=DIRECTION('',(0.E0,-1.E0,0.E0)); +#31041=AXIS2_PLACEMENT_3D('',#31038,#31039,#31040); +#31043=DIRECTION('',(1.750706738857E-1,9.845558689811E-1,0.E0)); +#31044=VECTOR('',#31043,2.287855136648E1); +#31045=CARTESIAN_POINT('',(-6.929105257383E1,-1.232492932611E1,-8.5E0)); +#31046=LINE('',#31045,#31044); +#31047=CARTESIAN_POINT('',(-6.134746569265E1,9.5E0,-8.5E0)); +#31048=DIRECTION('',(0.E0,0.E0,-1.E0)); +#31049=DIRECTION('',(-9.845558689811E-1,1.750706738857E-1,0.E0)); +#31050=AXIS2_PLACEMENT_3D('',#31047,#31048,#31049); +#31052=CARTESIAN_POINT('',(-5.181523E1,1.899999808472E0,-8.5E0)); +#31053=DIRECTION('',(0.E0,0.E0,-1.E0)); +#31054=DIRECTION('',(-4.514276862974E-1,8.923077070406E-1,0.E0)); +#31055=AXIS2_PLACEMENT_3D('',#31052,#31053,#31054); +#31057=CARTESIAN_POINT('',(-5.2128753E1,9.E0,-8.5E0)); +#31058=DIRECTION('',(0.E0,0.E0,1.E0)); +#31059=DIRECTION('',(-6.006713839295E-1,7.994960215837E-1,0.E0)); +#31060=AXIS2_PLACEMENT_3D('',#31057,#31058,#31059); +#31062=DIRECTION('',(-4.748563898706E-1,-8.800632982911E-1,0.E0)); +#31063=VECTOR('',#31062,1.152189850399E1); +#31064=CARTESIAN_POINT('',(-5.784916443889E1,1.208656653416E1,-8.5E0)); +#31065=LINE('',#31064,#31063); +#31066=CARTESIAN_POINT('',(-5.760000012806E1,-1.14E0,-8.5E0)); +#31067=DIRECTION('',(0.E0,0.E0,1.E0)); +#31068=DIRECTION('',(-8.800632982911E-1,4.748563898706E-1,0.E0)); +#31069=AXIS2_PLACEMENT_3D('',#31066,#31067,#31068); +#31071=DIRECTION('',(1.E0,0.E0,0.E0)); +#31072=VECTOR('',#31071,6.628037706207E1); +#31073=CARTESIAN_POINT('',(-5.760000012806E1,-7.64E0,-8.5E0)); +#31074=LINE('',#31073,#31072); +#31075=CARTESIAN_POINT('',(8.680376934006E0,-1.14E0,-8.5E0)); +#31076=DIRECTION('',(0.E0,0.E0,1.E0)); +#31077=DIRECTION('',(0.E0,-1.E0,0.E0)); +#31078=AXIS2_PLACEMENT_3D('',#31075,#31076,#31077); +#31080=DIRECTION('',(1.E0,0.E0,0.E0)); +#31081=VECTOR('',#31080,2.49E0); +#31082=CARTESIAN_POINT('',(1.518E1,-1.07E0,-8.5E0)); +#31083=LINE('',#31082,#31081); +#31084=CARTESIAN_POINT('',(2.416962306599E1,-1.14E0,-8.5E0)); +#31085=DIRECTION('',(0.E0,0.E0,1.E0)); +#31086=DIRECTION('',(-9.999420101529E-1,1.076923076923E-2,0.E0)); +#31087=AXIS2_PLACEMENT_3D('',#31084,#31085,#31086); +#31089=DIRECTION('',(1.E0,0.E0,0.E0)); +#31090=VECTOR('',#31089,3.378037693401E1); +#31091=CARTESIAN_POINT('',(2.416962306599E1,-7.64E0,-8.5E0)); +#31092=LINE('',#31091,#31090); +#31093=DIRECTION('',(0.E0,-1.E0,0.E0)); +#31094=VECTOR('',#31093,7.E-1); +#31095=CARTESIAN_POINT('',(5.795E1,-7.64E0,-8.5E0)); +#31096=LINE('',#31095,#31094); +#31097=CARTESIAN_POINT('',(5.895E1,-7.54E0,-8.5E0)); +#31098=DIRECTION('',(0.E0,0.E0,-1.E0)); +#31099=DIRECTION('',(1.E0,0.E0,0.E0)); +#31100=AXIS2_PLACEMENT_3D('',#31097,#31098,#31099); +#31102=CARTESIAN_POINT('',(5.935E1,-8.232820323028E0,0.E0)); +#31103=CARTESIAN_POINT('',(5.946706192973E1,-8.165234586383E0, +-1.170619297346E-1)); +#31104=CARTESIAN_POINT('',(5.960647433240E1,-8.029478183854E0, +-2.564743324041E-1)); +#31105=CARTESIAN_POINT('',(5.972235354475E1,-7.781953607421E0, +-3.723535447470E-1)); +#31106=CARTESIAN_POINT('',(5.975E1,-7.629407525668E0,-4.E-1)); +#31107=CARTESIAN_POINT('',(5.975E1,-7.54E0,-4.E-1)); +#31109=CARTESIAN_POINT('',(5.975E1,1.54E1,-4.E-1)); +#31110=CARTESIAN_POINT('',(5.975E1,1.548940752567E1,-4.E-1)); +#31111=CARTESIAN_POINT('',(5.972235354475E1,1.564195360742E1, +-3.723535447470E-1)); +#31112=CARTESIAN_POINT('',(5.960647433240E1,1.588947818385E1, +-2.564743324041E-1)); +#31113=CARTESIAN_POINT('',(5.946706192973E1,1.602523458638E1, +-1.170619297346E-1)); +#31114=CARTESIAN_POINT('',(5.935E1,1.609282032303E1,0.E0)); +#31116=DIRECTION('',(0.E0,0.E0,1.E0)); +#31117=VECTOR('',#31116,8.1E0); +#31118=CARTESIAN_POINT('',(5.975E1,-7.54E0,-8.5E0)); +#31119=LINE('',#31118,#31117); +#31120=DIRECTION('',(0.E0,1.E0,0.E0)); +#31121=VECTOR('',#31120,2.294E1); +#31122=CARTESIAN_POINT('',(5.975E1,-7.54E0,-4.E-1)); +#31123=LINE('',#31122,#31121); +#31124=DIRECTION('',(0.E0,0.E0,-1.E0)); +#31125=VECTOR('',#31124,8.1E0); +#31126=CARTESIAN_POINT('',(5.975E1,1.54E1,-4.E-1)); +#31127=LINE('',#31126,#31125); +#31128=DIRECTION('',(0.E0,-1.E0,0.E0)); +#31129=VECTOR('',#31128,2.104E1); +#31130=CARTESIAN_POINT('',(5.975E1,1.35E1,-8.5E0)); +#31131=LINE('',#31130,#31129); +#31132=DIRECTION('',(1.E0,0.E0,0.E0)); +#31133=VECTOR('',#31132,3.663675770783E0); +#31134=CARTESIAN_POINT('',(-6.134746569265E1,1.35E1,-9.65E0)); +#31135=LINE('',#31134,#31133); +#31136=DIRECTION('',(0.E0,0.E0,1.E0)); +#31137=VECTOR('',#31136,1.15E0); +#31138=CARTESIAN_POINT('',(-5.768378992187E1,1.35E1,-9.65E0)); +#31139=LINE('',#31138,#31137); +#31140=DIRECTION('',(-1.E0,0.E0,0.E0)); +#31141=VECTOR('',#31140,3.663675770783E0); +#31142=CARTESIAN_POINT('',(-5.768378992187E1,1.35E1,-8.5E0)); +#31143=LINE('',#31142,#31141); +#31144=DIRECTION('',(0.E0,0.E0,-1.E0)); +#31145=VECTOR('',#31144,1.15E0); +#31146=CARTESIAN_POINT('',(-6.134746569265E1,1.35E1,-8.5E0)); +#31147=LINE('',#31146,#31145); +#31148=DIRECTION('',(-1.E0,0.E0,0.E0)); +#31149=VECTOR('',#31148,1.597465692650E0); +#31150=CARTESIAN_POINT('',(6.134746569265E1,1.35E1,-8.5E0)); +#31151=LINE('',#31150,#31149); +#31152=DIRECTION('',(1.E0,0.E0,0.E0)); +#31153=VECTOR('',#31152,2.427971789299E0); +#31154=CARTESIAN_POINT('',(5.891949390335E1,1.35E1,-9.65E0)); +#31155=LINE('',#31154,#31153); +#31156=DIRECTION('',(0.E0,0.E0,-1.E0)); +#31157=VECTOR('',#31156,1.134179991675E1); +#31158=CARTESIAN_POINT('',(-6.02233908E1,1.181477827102E1,-9.65E0)); +#31159=LINE('',#31158,#31157); +#31160=CARTESIAN_POINT('',(-5.980158807131E1,1.215758650110E1,-2.075E1)); +#31161=CARTESIAN_POINT('',(-5.981507488736E1,1.214708592768E1, +-2.076818752668E1)); +#31162=CARTESIAN_POINT('',(-5.984522787538E1,1.212352536813E1, +-2.080371739900E1)); +#31163=CARTESIAN_POINT('',(-5.990043585219E1,1.207995219453E1, +-2.085391068956E1)); +#31164=CARTESIAN_POINT('',(-5.996616629349E1,1.202743546748E1, +-2.089995181172E1)); +#31165=CARTESIAN_POINT('',(-6.004154653126E1,1.196634645950E1, +-2.093980963925E1)); +#31166=CARTESIAN_POINT('',(-6.012750037651E1,1.189553647226E1, +-2.097211425318E1)); +#31167=CARTESIAN_POINT('',(-6.019041105777E1,1.184274651830E1, +-2.098667002190E1)); +#31168=CARTESIAN_POINT('',(-6.02233908E1,1.181477827102E1,-2.099179991675E1)); +#31170=DIRECTION('',(0.E0,0.E0,1.E0)); +#31171=VECTOR('',#31170,8.718110005288E0); +#31172=CARTESIAN_POINT('',(-5.603311699554E1,1.419672414029E1, +-1.721811000529E1)); +#31173=LINE('',#31172,#31171); +#31174=CARTESIAN_POINT('',(-6.02233908E1,1.181477827102E1,-2.099179991675E1)); +#31175=CARTESIAN_POINT('',(-6.023981091891E1,1.180085330026E1, +-2.099435401444E1)); +#31176=CARTESIAN_POINT('',(-6.027003382764E1,1.177157843340E1, +-2.099848357903E1)); +#31177=CARTESIAN_POINT('',(-6.029516117704E1,1.174040323937E1,-2.1E1)); +#31178=CARTESIAN_POINT('',(-6.030649816514E1,1.172457379921E1,-2.1E1)); +#31180=CARTESIAN_POINT('',(-5.99E1,1.143344063784E1,-9.65E0)); +#31181=DIRECTION('',(0.E0,0.E0,1.E0)); +#31182=DIRECTION('',(-6.467816E-1,7.626752663496E-1,0.E0)); +#31183=AXIS2_PLACEMENT_3D('',#31180,#31181,#31182); +#31185=CARTESIAN_POINT('',(-5.99E1,1.143344063784E1,-2.1E1)); +#31186=DIRECTION('',(0.E0,0.E0,-1.E0)); +#31187=DIRECTION('',(-1.E0,0.E0,0.E0)); +#31188=AXIS2_PLACEMENT_3D('',#31185,#31186,#31187); +#31190=DIRECTION('',(-1.E0,0.E0,0.E0)); +#31191=VECTOR('',#31190,1.208E2); +#31192=CARTESIAN_POINT('',(6.04E1,9.3E0,-2.1E1)); +#31193=LINE('',#31192,#31191); +#31194=DIRECTION('',(1.E0,0.E0,0.E0)); +#31195=VECTOR('',#31194,1.207064981651E2); +#31196=CARTESIAN_POINT('',(-6.030649816514E1,1.172457379921E1,-2.1E1)); +#31197=LINE('',#31196,#31195); +#31198=DIRECTION('',(0.E0,0.E0,-1.E0)); +#31199=VECTOR('',#31198,1.135E1); +#31200=CARTESIAN_POINT('',(-6.04E1,1.143344063784E1,-9.65E0)); +#31201=LINE('',#31200,#31199); +#31202=DIRECTION('',(0.E0,0.E0,-1.E0)); +#31203=VECTOR('',#31202,1.255E1); +#31204=CARTESIAN_POINT('',(-6.04E1,8.1E0,-9.65E0)); +#31205=LINE('',#31204,#31203); +#31206=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#31207=VECTOR('',#31206,1.697056274848E0); +#31208=CARTESIAN_POINT('',(-6.04E1,9.3E0,-2.1E1)); +#31209=LINE('',#31208,#31207); +#31210=DIRECTION('',(0.E0,-1.E0,0.E0)); +#31211=VECTOR('',#31210,2.133440637842E0); +#31212=CARTESIAN_POINT('',(-6.04E1,1.143344063784E1,-2.1E1)); +#31213=LINE('',#31212,#31211); +#31214=DIRECTION('',(0.E0,0.E0,1.E0)); +#31215=VECTOR('',#31214,1.132933017911E1); +#31216=CARTESIAN_POINT('',(6.04E1,1.186685021819E1,-2.097933017911E1)); +#31217=LINE('',#31216,#31215); +#31218=CARTESIAN_POINT('',(6.04E1,1.172457379921E1,-2.05E1)); +#31219=DIRECTION('',(1.E0,0.E0,0.E0)); +#31220=DIRECTION('',(0.E0,0.E0,-1.E0)); +#31221=AXIS2_PLACEMENT_3D('',#31218,#31219,#31220); +#31223=DIRECTION('',(0.E0,-1.E0,0.E0)); +#31224=VECTOR('',#31223,2.424573799207E0); +#31225=CARTESIAN_POINT('',(6.04E1,1.172457379921E1,-2.1E1)); +#31226=LINE('',#31225,#31224); +#31227=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#31228=VECTOR('',#31227,1.697056274848E0); +#31229=CARTESIAN_POINT('',(6.04E1,9.3E0,-2.1E1)); +#31230=LINE('',#31229,#31228); +#31231=DIRECTION('',(0.E0,0.E0,1.E0)); +#31232=VECTOR('',#31231,1.255E1); +#31233=CARTESIAN_POINT('',(6.04E1,8.1E0,-2.22E1)); +#31234=LINE('',#31233,#31232); +#31235=CARTESIAN_POINT('',(-5.2128753E1,9.E0,-1.8E0)); +#31236=DIRECTION('',(0.E0,0.E0,1.E0)); +#31237=DIRECTION('',(-1.494226153846E-1,9.887734229901E-1,0.E0)); +#31238=AXIS2_PLACEMENT_3D('',#31235,#31236,#31237); +#31240=DIRECTION('',(-4.748563898706E-1,-8.800632982911E-1,0.E0)); +#31241=VECTOR('',#31240,1.152189850399E1); +#31242=CARTESIAN_POINT('',(-5.784916443889E1,1.208656653416E1,-1.8E0)); +#31243=LINE('',#31242,#31241); +#31244=DIRECTION('',(0.E0,-1.007485968615E-14,1.E0)); +#31245=VECTOR('',#31244,6.7E0); +#31246=CARTESIAN_POINT('',(-5.784916443889E1,1.208656653416E1,-8.5E0)); +#31247=LINE('',#31246,#31245); +#31248=CARTESIAN_POINT('',(-5.760000012806E1,-1.14E0,-1.8E0)); +#31249=DIRECTION('',(0.E0,0.E0,1.E0)); +#31250=DIRECTION('',(-8.800632982911E-1,4.748563898707E-1,0.E0)); +#31251=AXIS2_PLACEMENT_3D('',#31248,#31249,#31250); +#31253=DIRECTION('',(0.E0,1.666991586228E-14,1.E0)); +#31254=VECTOR('',#31253,6.7E0); +#31255=CARTESIAN_POINT('',(-6.332041156695E1,1.946566534159E0,-8.5E0)); +#31256=LINE('',#31255,#31254); +#31257=DIRECTION('',(0.E0,0.E0,1.E0)); +#31258=VECTOR('',#31257,1.281677E1); +#31259=CARTESIAN_POINT('',(-5.31E1,1.59E1,-1.461677E1)); +#31260=LINE('',#31259,#31258); +#31261=DIRECTION('',(0.E0,0.E0,-1.E0)); +#31262=VECTOR('',#31261,1.328716251155E1); +#31263=CARTESIAN_POINT('',(-5.31E1,1.542702724944E1,-1.8E0)); +#31264=LINE('',#31263,#31262); +#31265=DIRECTION('',(-5.356999722478E-14,-5.026204573745E-1, +-8.645071866842E-1)); +#31266=VECTOR('',#31265,3.979145636943E-1); +#31267=CARTESIAN_POINT('',(-5.31E1,1.59E1,-1.461677E1)); +#31268=LINE('',#31267,#31266); +#31269=DIRECTION('',(0.E0,0.E0,-1.E0)); +#31270=VECTOR('',#31269,1.15E0); +#31271=CARTESIAN_POINT('',(-6.528568916857E1,1.020028269554E1,-8.5E0)); +#31272=LINE('',#31271,#31270); +#31273=DIRECTION('',(1.235726496974E-14,0.E0,-1.E0)); +#31274=VECTOR('',#31273,1.15E0); +#31275=CARTESIAN_POINT('',(-6.929105257383E1,-1.232492932611E1,-8.5E0)); +#31276=LINE('',#31275,#31274); +#31277=DIRECTION('',(0.E0,0.E0,-1.E0)); +#31278=VECTOR('',#31277,1.15E0); +#31279=CARTESIAN_POINT('',(-6.830649670485E1,-1.35E1,-8.5E0)); +#31280=LINE('',#31279,#31278); +#31281=DIRECTION('',(0.E0,0.E0,-1.E0)); +#31282=VECTOR('',#31281,1.15E0); +#31283=CARTESIAN_POINT('',(-6.26E1,-1.35E1,-9.65E0)); +#31284=LINE('',#31283,#31282); +#31285=DIRECTION('',(0.E0,0.E0,-1.E0)); +#31286=VECTOR('',#31285,1.15E0); +#31287=CARTESIAN_POINT('',(6.830649670485E1,-1.35E1,-8.5E0)); +#31288=LINE('',#31287,#31286); +#31289=DIRECTION('',(1.235726496974E-14,0.E0,-1.E0)); +#31290=VECTOR('',#31289,1.15E0); +#31291=CARTESIAN_POINT('',(6.929105257383E1,-1.232492932611E1,-8.5E0)); +#31292=LINE('',#31291,#31290); +#31293=DIRECTION('',(0.E0,0.E0,-1.E0)); +#31294=VECTOR('',#31293,1.15E0); +#31295=CARTESIAN_POINT('',(6.528568916857E1,1.020028269554E1,-8.5E0)); +#31296=LINE('',#31295,#31294); +#31297=DIRECTION('',(0.E0,0.E0,-1.E0)); +#31298=VECTOR('',#31297,1.15E0); +#31299=CARTESIAN_POINT('',(6.134746569265E1,1.35E1,-8.5E0)); +#31300=LINE('',#31299,#31298); +#31301=DIRECTION('',(0.E0,0.E0,1.E0)); +#31302=VECTOR('',#31301,6.7E0); +#31303=CARTESIAN_POINT('',(1.767E1,-1.07E0,-8.5E0)); +#31304=LINE('',#31303,#31302); +#31305=CARTESIAN_POINT('',(2.416962306599E1,-1.14E0,-1.8E0)); +#31306=DIRECTION('',(0.E0,0.E0,1.E0)); +#31307=DIRECTION('',(-9.999420101529E-1,1.076923076923E-2,0.E0)); +#31308=AXIS2_PLACEMENT_3D('',#31305,#31306,#31307); +#31310=CARTESIAN_POINT('',(3.255E1,-7.64E0,2.E0)); +#31311=DIRECTION('',(0.E0,-1.E0,0.E0)); +#31312=DIRECTION('',(-7.229416491662E-1,0.E0,-6.909090909091E-1)); +#31313=AXIS2_PLACEMENT_3D('',#31310,#31311,#31312); +#31315=DIRECTION('',(1.E0,0.E0,0.E0)); +#31316=VECTOR('',#31315,1.434764185917E1); +#31317=CARTESIAN_POINT('',(3.652617907041E1,-7.64E0,-1.8E0)); +#31318=LINE('',#31317,#31316); +#31319=CARTESIAN_POINT('',(5.485E1,-7.64E0,2.E0)); +#31320=DIRECTION('',(0.E0,-1.E0,0.E0)); +#31321=DIRECTION('',(-7.229416491662E-1,0.E0,-6.909090909091E-1)); +#31322=AXIS2_PLACEMENT_3D('',#31319,#31320,#31321); +#31324=DIRECTION('',(0.E0,0.E0,1.E0)); +#31325=VECTOR('',#31324,5.956873323360E0); +#31326=CARTESIAN_POINT('',(5.795E1,-7.64E0,-8.5E0)); +#31327=LINE('',#31326,#31325); +#31328=DIRECTION('',(-1.970616784180E-7,0.E0,1.E0)); +#31329=VECTOR('',#31328,6.7E0); +#31330=CARTESIAN_POINT('',(2.416962306599E1,-7.64E0,-8.5E0)); +#31331=LINE('',#31330,#31329); +#31332=DIRECTION('',(1.E0,0.E0,0.E0)); +#31333=VECTOR('',#31332,4.404199183905E0); +#31334=CARTESIAN_POINT('',(2.416962174568E1,-7.64E0,-1.8E0)); +#31335=LINE('',#31334,#31333); +#31336=CARTESIAN_POINT('',(5.781079535719E1,1.629999956499E1, +-2.635050253542E0)); +#31337=CARTESIAN_POINT('',(5.777283221114E1,1.634585265440E1, +-2.604890739072E0)); +#31338=CARTESIAN_POINT('',(5.769775765583E1,1.643661887814E1, +-2.544982297042E0)); +#31339=CARTESIAN_POINT('',(5.758770568128E1,1.656993770830E1, +-2.456370573003E0)); +#31340=CARTESIAN_POINT('',(5.751601819064E1,1.665695702239E1, +-2.398121662861E0)); +#31341=CARTESIAN_POINT('',(5.748059556285E1,1.669999993277E1, +-2.369206523923E0)); +#31343=CARTESIAN_POINT('',(4.975000005042E1,1.629999994562E1, +-5.912600783977E-2)); +#31344=CARTESIAN_POINT('',(4.979813068598E1,1.634582659479E1, +-5.593032722745E-2)); +#31345=CARTESIAN_POINT('',(4.989347517286E1,1.643655755634E1, +-4.940037916406E-2)); +#31346=CARTESIAN_POINT('',(5.003372790973E1,1.656987739309E1, +-3.920651693510E-2)); +#31347=CARTESIAN_POINT('',(5.012541144730E1,1.665693120576E1, +-3.215042091789E-2)); +#31348=CARTESIAN_POINT('',(5.017079635422E1,1.669999993277E1, +-2.855907167620E-2)); +#31350=CARTESIAN_POINT('',(5.004832043711E1,1.63E1,1.103671867423E0)); +#31351=CARTESIAN_POINT('',(5.005840422776E1,1.63E1,1.142976618588E0)); +#31352=CARTESIAN_POINT('',(5.007079754109E1,1.630246060822E1,1.181381856424E0)); +#31353=CARTESIAN_POINT('',(5.008545069082E1,1.630732888801E1,1.218906932743E0)); +#31355=CARTESIAN_POINT('',(5.050033211851E1,1.55E1,1.711381477242E0)); +#31356=CARTESIAN_POINT('',(5.038418160073E1,1.55E1,1.641299213206E0)); +#31357=CARTESIAN_POINT('',(5.029028098994E1,1.547356552555E1,1.553768356757E0)); +#31358=CARTESIAN_POINT('',(5.021456752275E1,1.542552342893E1,1.451974966247E0)); +#31360=DIRECTION('',(-3.653045698927E-1,7.071067850616E-1,6.054358477455E-1)); +#31361=VECTOR('',#31360,8.485281374239E-1); +#31362=CARTESIAN_POINT('',(5.412673387182E1,1.490000004603E1,3.198701788995E0)); +#31363=LINE('',#31362,#31361); +#31364=DIRECTION('',(5.888754395796E-1,-6.792489730815E-1,-4.380029100676E-1)); +#31365=VECTOR('',#31364,7.736830672691E-1); +#31366=CARTESIAN_POINT('',(5.021456752275E1,1.542552342893E1,1.451974966247E0)); +#31367=LINE('',#31366,#31365); +#31368=DIRECTION('',(-2.368475785867E-14,-1.E0,-1.554312234475E-14)); +#31369=VECTOR('',#31368,6.E-1); +#31370=CARTESIAN_POINT('',(5.050033211851E1,1.61E1,1.711381477242E0)); +#31371=LINE('',#31370,#31369); +#31372=DIRECTION('',(8.562155917208E-1,0.E0,5.166186799703E-1)); +#31373=VECTOR('',#31372,3.873359179181E0); +#31374=CARTESIAN_POINT('',(5.050033211851E1,1.61E1,1.711381477242E0)); +#31375=LINE('',#31374,#31373); +#31376=DIRECTION('',(-8.562155982992E-1,-1.273353320806E-8,-5.166186690676E-1)); +#31377=VECTOR('',#31376,3.873359179182E0); +#31378=CARTESIAN_POINT('',(5.381676266554E1,1.550000004932E1,3.712431141212E0)); +#31379=LINE('',#31378,#31377); +#31380=CARTESIAN_POINT('',(5.008545069082E1,1.630732888801E1,1.218906932743E0)); +#31381=CARTESIAN_POINT('',(5.012367081739E1,1.624326990680E1,1.316784398836E0)); +#31382=CARTESIAN_POINT('',(5.022924666521E1,1.614294732081E1,1.497655948736E0)); +#31383=CARTESIAN_POINT('',(5.039496425907E1,1.61E1,1.647805194605E0)); +#31384=CARTESIAN_POINT('',(5.050033211851E1,1.61E1,1.711381477242E0)); +#31386=DIRECTION('',(5.888754395796E-1,6.792489730815E-1,-4.380029100676E-1)); +#31387=VECTOR('',#31386,5.780959965369E-1); +#31388=CARTESIAN_POINT('',(5.008545069082E1,1.630732888801E1,1.218906932743E0)); +#31389=LINE('',#31388,#31387); +#31390=CARTESIAN_POINT('',(5.290381337451E1,1.67E1,2.460822762838E0)); +#31391=CARTESIAN_POINT('',(5.296233353032E1,1.651862115412E1,2.707970156056E0)); +#31392=CARTESIAN_POINT('',(5.311531491655E1,1.630321782281E1,3.051851271950E0)); +#31393=CARTESIAN_POINT('',(5.345649857500E1,1.613525835549E1,3.453877726386E0)); +#31394=CARTESIAN_POINT('',(5.368315135034E1,1.61E1,3.631813534346E0)); +#31395=CARTESIAN_POINT('',(5.381676264006E1,1.61E1,3.712431183442E0)); +#31397=CARTESIAN_POINT('',(5.485E1,1.550000072030E1,2.E0)); +#31398=DIRECTION('',(0.E0,-1.E0,0.E0)); +#31399=DIRECTION('',(5.166186799703E-1,0.E0,8.562155917208E-1)); +#31400=AXIS2_PLACEMENT_3D('',#31397,#31398,#31399); +#31402=DIRECTION('',(4.246779277615E-8,-1.E0,-7.038292305778E-8)); +#31403=VECTOR('',#31402,5.999999506785E-1); +#31404=CARTESIAN_POINT('',(5.381676264006E1,1.61E1,3.712431183442E0)); +#31405=LINE('',#31404,#31403); +#31406=DIRECTION('',(1.754920178779E-8,1.E0,-1.497537981525E-11)); +#31407=VECTOR('',#31406,1.669999970701E0); +#31408=CARTESIAN_POINT('',(5.285E1,1.67E1,2.E0)); +#31409=LINE('',#31408,#31407); +#31410=CARTESIAN_POINT('',(5.485E1,1.836999973260E1,2.E0)); +#31411=DIRECTION('',(0.E0,1.E0,0.E0)); +#31412=DIRECTION('',(-1.E0,0.E0,0.E0)); +#31413=AXIS2_PLACEMENT_3D('',#31410,#31411,#31412); +#31415=CARTESIAN_POINT('',(5.836661867997E1,1.61E1,2.214022147420E0)); +#31416=CARTESIAN_POINT('',(5.846441354689E1,1.61E1,2.155015215587E0)); +#31417=CARTESIAN_POINT('',(5.862199689975E1,1.613731816386E1,2.016348425648E0)); +#31418=CARTESIAN_POINT('',(5.872668287308E1,1.622657584488E1,1.848936839403E0)); +#31419=CARTESIAN_POINT('',(5.876629414136E1,1.628370585864E1,1.758312543798E0)); +#31421=CARTESIAN_POINT('',(5.588323735994E1,1.61E1,3.712431183442E0)); +#31422=CARTESIAN_POINT('',(5.601684864966E1,1.61E1,3.631813534346E0)); +#31423=CARTESIAN_POINT('',(5.624350142500E1,1.613525835549E1,3.453877726386E0)); +#31424=CARTESIAN_POINT('',(5.658468508345E1,1.630321782281E1,3.051851271950E0)); +#31425=CARTESIAN_POINT('',(5.673766646968E1,1.651862115412E1,2.707970156056E0)); +#31426=CARTESIAN_POINT('',(5.679618662549E1,1.67E1,2.460822762838E0)); +#31428=CARTESIAN_POINT('',(5.885E1,1.55E1,1.357806555700E0)); +#31429=CARTESIAN_POINT('',(5.885E1,1.55E1,1.543009596833E0)); +#31430=CARTESIAN_POINT('',(5.880251886069E1,1.545251886069E1,1.704694983531E0)); +#31431=CARTESIAN_POINT('',(5.872080958882E1,1.537080958882E1,1.849426998740E0)); +#31433=DIRECTION('',(-7.071067811865E-1,-7.071067811865E-1,0.E0)); +#31434=VECTOR('',#31433,8.485281374239E-1); +#31435=CARTESIAN_POINT('',(5.885E1,1.55E1,0.E0)); +#31436=LINE('',#31435,#31434); +#31437=DIRECTION('',(-6.567129207422E-1,-6.567129207422E-1,-3.707509669042E-1)); +#31438=VECTOR('',#31437,7.169184189194E-1); +#31439=CARTESIAN_POINT('',(5.872080958882E1,1.537080958882E1,1.849426998740E0)); +#31440=LINE('',#31439,#31438); +#31441=DIRECTION('',(0.E0,-1.E0,-3.933361572958E-14)); +#31442=VECTOR('',#31441,7.E-1); +#31443=CARTESIAN_POINT('',(5.885E1,1.62E1,1.357806555700E0)); +#31444=LINE('',#31443,#31442); +#31445=DIRECTION('',(1.046603778392E-14,0.E0,-1.E0)); +#31446=VECTOR('',#31445,1.357806555700E0); +#31447=CARTESIAN_POINT('',(5.885E1,1.62E1,1.357806555700E0)); +#31448=LINE('',#31447,#31446); +#31449=DIRECTION('',(0.E0,0.E0,1.E0)); +#31450=VECTOR('',#31449,1.357806555700E0); +#31451=CARTESIAN_POINT('',(5.885E1,1.55E1,0.E0)); +#31452=LINE('',#31451,#31450); +#31453=CARTESIAN_POINT('',(5.876629414136E1,1.628370585864E1,1.758312543798E0)); +#31454=CARTESIAN_POINT('',(5.882009788535E1,1.622990211465E1,1.635218116098E0)); +#31455=CARTESIAN_POINT('',(5.885E1,1.62E1,1.502519802724E0)); +#31456=CARTESIAN_POINT('',(5.885E1,1.62E1,1.357806555700E0)); +#31458=DIRECTION('',(-6.567129207422E-1,6.567129207422E-1,-3.707509669042E-1)); +#31459=VECTOR('',#31458,6.339058182272E-1); +#31460=CARTESIAN_POINT('',(5.876629414136E1,1.628370585864E1,1.758312543798E0)); +#31461=LINE('',#31460,#31459); +#31462=DIRECTION('',(-7.019443554808E-1,7.019443554808E-1,1.206161001576E-1)); +#31463=VECTOR('',#31462,7.123071737752E-1); +#31464=CARTESIAN_POINT('',(5.885E1,1.62E1,0.E0)); +#31465=LINE('',#31464,#31463); +#31466=CARTESIAN_POINT('',(5.872080958882E1,1.537080958882E1,1.849426998740E0)); +#31467=CARTESIAN_POINT('',(5.863910031695E1,1.545251886069E1,1.994159013948E0)); +#31468=CARTESIAN_POINT('',(5.852519241142E1,1.55E1,2.118342796784E0)); +#31469=CARTESIAN_POINT('',(5.836661867997E1,1.55E1,2.214022147420E0)); +#31471=DIRECTION('',(3.653045698927E-1,7.071067850616E-1,6.054358477455E-1)); +#31472=VECTOR('',#31471,8.485281374239E-1); +#31473=CARTESIAN_POINT('',(5.557326612818E1,1.490000004603E1,3.198701788995E0)); +#31474=LINE('',#31473,#31472); +#31475=DIRECTION('',(0.E0,-1.E0,0.E0)); +#31476=VECTOR('',#31475,6.E-1); +#31477=CARTESIAN_POINT('',(5.836661867997E1,1.61E1,2.214022147420E0)); +#31478=LINE('',#31477,#31476); +#31479=DIRECTION('',(-8.562156005059E-1,1.700499147584E-8,5.166186654104E-1)); +#31480=VECTOR('',#31479,2.900415904642E0); +#31481=CARTESIAN_POINT('',(5.836661867997E1,1.55E1,2.214022147420E0)); +#31482=LINE('',#31481,#31480); +#31483=DIRECTION('',(-4.246754408617E-8,-1.E0,-7.038307478828E-8)); +#31484=VECTOR('',#31483,5.999999506785E-1); +#31485=CARTESIAN_POINT('',(5.588323735994E1,1.61E1,3.712431183442E0)); +#31486=LINE('',#31485,#31484); +#31487=DIRECTION('',(8.562155917208E-1,0.E0,-5.166186799703E-1)); +#31488=VECTOR('',#31487,2.900415904642E0); +#31489=CARTESIAN_POINT('',(5.588323735994E1,1.61E1,3.712431183442E0)); +#31490=LINE('',#31489,#31488); +#31491=DIRECTION('',(-1.754919753304E-8,1.E0,1.497537981525E-11)); +#31492=VECTOR('',#31491,1.669999970701E0); +#31493=CARTESIAN_POINT('',(5.685E1,1.67E1,2.E0)); +#31494=LINE('',#31493,#31492); +#31495=CARTESIAN_POINT('',(5.485E1,1.836999973260E1,2.E0)); +#31496=DIRECTION('',(0.E0,1.E0,0.E0)); +#31497=DIRECTION('',(1.E0,0.E0,0.E0)); +#31498=AXIS2_PLACEMENT_3D('',#31495,#31496,#31497); +#31500=DIRECTION('',(7.071067903956E-1,-7.071067719775E-1,-7.841041738653E-12)); +#31501=VECTOR('',#31500,4.242640687127E-1); +#31502=CARTESIAN_POINT('',(5.654999996679E1,1.866999996679E1,2.000000000028E0)); +#31503=LINE('',#31502,#31501); +#31504=CARTESIAN_POINT('',(-3.84E1,1.867000006364E1,2.E0)); +#31505=DIRECTION('',(0.E0,1.E0,0.E0)); +#31506=DIRECTION('',(1.E0,0.E0,0.E0)); +#31507=AXIS2_PLACEMENT_3D('',#31504,#31505,#31506); +#31509=CARTESIAN_POINT('',(-3.84E1,1.867000006364E1,2.E0)); +#31510=DIRECTION('',(0.E0,1.E0,0.E0)); +#31511=DIRECTION('',(-1.E0,0.E0,0.E0)); +#31512=AXIS2_PLACEMENT_3D('',#31509,#31510,#31511); +#31514=CARTESIAN_POINT('',(-8.4E0,1.867000006364E1,2.E0)); +#31515=DIRECTION('',(0.E0,1.E0,0.E0)); +#31516=DIRECTION('',(1.E0,0.E0,-3.591898365824E-14)); +#31517=AXIS2_PLACEMENT_3D('',#31514,#31515,#31516); +#31519=CARTESIAN_POINT('',(-8.4E0,1.867000006364E1,2.E0)); +#31520=DIRECTION('',(0.E0,1.E0,0.E0)); +#31521=DIRECTION('',(-1.E0,0.E0,-3.186993459131E-14)); +#31522=AXIS2_PLACEMENT_3D('',#31519,#31520,#31521); +#31524=CARTESIAN_POINT('',(3.255E1,1.867000006364E1,2.E0)); +#31525=DIRECTION('',(0.E0,1.E0,0.E0)); +#31526=DIRECTION('',(1.E0,0.E0,-1.384513551918E-14)); +#31527=AXIS2_PLACEMENT_3D('',#31524,#31525,#31526); +#31529=CARTESIAN_POINT('',(3.255E1,1.867000006364E1,2.E0)); +#31530=DIRECTION('',(0.E0,1.E0,0.E0)); +#31531=DIRECTION('',(-1.E0,0.E0,1.293083411697E-14)); +#31532=AXIS2_PLACEMENT_3D('',#31529,#31530,#31531); +#31534=CARTESIAN_POINT('',(5.485E1,1.867000006364E1,2.E0)); +#31535=DIRECTION('',(0.E0,1.E0,0.E0)); +#31536=DIRECTION('',(1.E0,0.E0,0.E0)); +#31537=AXIS2_PLACEMENT_3D('',#31534,#31535,#31536); +#31539=CARTESIAN_POINT('',(5.485E1,1.867000006364E1,2.E0)); +#31540=DIRECTION('',(0.E0,1.E0,0.E0)); +#31541=DIRECTION('',(-1.E0,0.E0,0.E0)); +#31542=AXIS2_PLACEMENT_3D('',#31539,#31540,#31541); +#31544=DIRECTION('',(7.071067903956E-1,-7.071067719775E-1,-7.856742663240E-12)); +#31545=VECTOR('',#31544,4.242640687127E-1); +#31546=CARTESIAN_POINT('',(-3.670000003321E1,1.866999996679E1, +2.000000000028E0)); +#31547=LINE('',#31546,#31545); +#31548=DIRECTION('',(-7.071067903956E-1,-7.071067719775E-1,7.858836119851E-12)); +#31549=VECTOR('',#31548,4.242640687127E-1); +#31550=CARTESIAN_POINT('',(-4.009999996679E1,1.866999996679E1, +1.999999999972E0)); +#31551=LINE('',#31550,#31549); +#31552=DIRECTION('',(-1.754919753304E-8,1.E0,1.497537981525E-11)); +#31553=VECTOR('',#31552,1.669999970701E0); +#31554=CARTESIAN_POINT('',(-3.64E1,1.67E1,2.E0)); +#31555=LINE('',#31554,#31553); +#31556=CARTESIAN_POINT('',(-3.84E1,1.836999973260E1,2.E0)); +#31557=DIRECTION('',(0.E0,1.E0,0.E0)); +#31558=DIRECTION('',(1.E0,0.E0,0.E0)); +#31559=AXIS2_PLACEMENT_3D('',#31556,#31557,#31558); +#31561=DIRECTION('',(7.071067903956E-1,-7.071067719775E-1,-7.811733346091E-12)); +#31562=VECTOR('',#31561,4.242640687127E-1); +#31563=CARTESIAN_POINT('',(-6.700000033215E0,1.866999996679E1, +2.000000000028E0)); +#31564=LINE('',#31563,#31562); +#31565=DIRECTION('',(-7.071067903956E-1,-7.071067719775E-1,7.906462257764E-12)); +#31566=VECTOR('',#31565,4.242640687127E-1); +#31567=CARTESIAN_POINT('',(-1.009999996679E1,1.866999996679E1, +1.999999999972E0)); +#31568=LINE('',#31567,#31566); +#31569=CARTESIAN_POINT('',(-8.4E0,1.550000072030E1,2.E0)); +#31570=DIRECTION('',(0.E0,-1.E0,0.E0)); +#31571=DIRECTION('',(5.166186799703E-1,0.E0,8.562155917208E-1)); +#31572=AXIS2_PLACEMENT_3D('',#31569,#31570,#31571); +#31574=DIRECTION('',(4.246777797317E-8,-1.E0,-7.038292601838E-8)); +#31575=VECTOR('',#31574,5.999999506784E-1); +#31576=CARTESIAN_POINT('',(-9.433237359941E0,1.61E1,3.712431183442E0)); +#31577=LINE('',#31576,#31575); +#31578=DIRECTION('',(1.754919966041E-8,1.E0,-1.497537981525E-11)); +#31579=VECTOR('',#31578,1.669999970701E0); +#31580=CARTESIAN_POINT('',(-1.04E1,1.67E1,2.E0)); +#31581=LINE('',#31580,#31579); +#31582=CARTESIAN_POINT('',(-8.4E0,1.836999973260E1,2.E0)); +#31583=DIRECTION('',(0.E0,1.E0,0.E0)); +#31584=DIRECTION('',(-1.E0,0.E0,0.E0)); +#31585=AXIS2_PLACEMENT_3D('',#31582,#31583,#31584); +#31587=CARTESIAN_POINT('',(-4.050332118510E0,1.61E1,1.711381477242E0)); +#31588=CARTESIAN_POINT('',(-3.944964259066E0,1.61E1,1.647805194605E0)); +#31589=CARTESIAN_POINT('',(-3.779246665211E0,1.614294732081E1, +1.497655948736E0)); +#31590=CARTESIAN_POINT('',(-3.673670817394E0,1.624326990680E1, +1.316784398836E0)); +#31591=CARTESIAN_POINT('',(-3.635450690822E0,1.630732888801E1, +1.218906932743E0)); +#31593=CARTESIAN_POINT('',(-7.366762640059E0,1.61E1,3.712431183442E0)); +#31594=CARTESIAN_POINT('',(-7.233151350341E0,1.61E1,3.631813534346E0)); +#31595=CARTESIAN_POINT('',(-7.006498575E0,1.613525835549E1,3.453877726386E0)); +#31596=CARTESIAN_POINT('',(-6.665314916548E0,1.630321782281E1, +3.051851271950E0)); +#31597=CARTESIAN_POINT('',(-6.512333530322E0,1.651862115412E1, +2.707970156056E0)); +#31598=CARTESIAN_POINT('',(-6.453813374506E0,1.67E1,2.460822762838E0)); +#31600=DIRECTION('',(1.332267629550E-14,-1.E0,0.E0)); +#31601=VECTOR('',#31600,6.E-1); +#31602=CARTESIAN_POINT('',(-4.050332118510E0,1.61E1,1.711381477242E0)); +#31603=LINE('',#31602,#31601); +#31604=DIRECTION('',(-8.562155982992E-1,1.273353366667E-8,5.166186690676E-1)); +#31605=VECTOR('',#31604,3.873359179182E0); +#31606=CARTESIAN_POINT('',(-4.050332118510E0,1.55E1,1.711381477242E0)); +#31607=LINE('',#31606,#31605); +#31608=DIRECTION('',(-4.246753964528E-8,-1.E0,-7.038307404813E-8)); +#31609=VECTOR('',#31608,5.999999506785E-1); +#31610=CARTESIAN_POINT('',(-7.366762640059E0,1.61E1,3.712431183442E0)); +#31611=LINE('',#31610,#31609); +#31612=DIRECTION('',(8.562155917208E-1,0.E0,-5.166186799703E-1)); +#31613=VECTOR('',#31612,3.873359179181E0); +#31614=CARTESIAN_POINT('',(-7.366762640059E0,1.61E1,3.712431183442E0)); +#31615=LINE('',#31614,#31613); +#31616=CARTESIAN_POINT('',(-3.635450690822E0,1.630732888801E1, +1.218906932743E0)); +#31617=CARTESIAN_POINT('',(-3.620797541086E0,1.630246060822E1, +1.181381856424E0)); +#31618=CARTESIAN_POINT('',(-3.608404227765E0,1.63E1,1.142976618588E0)); +#31619=CARTESIAN_POINT('',(-3.598320437114E0,1.63E1,1.103671867423E0)); +#31621=DIRECTION('',(-5.888754395796E-1,6.792489730815E-1,-4.380029100676E-1)); +#31622=VECTOR('',#31621,5.780959965369E-1); +#31623=CARTESIAN_POINT('',(-3.635450690822E0,1.630732888801E1, +1.218906932743E0)); +#31624=LINE('',#31623,#31622); +#31625=CARTESIAN_POINT('',(-3.300000107070E0,1.629999988453E1, +-5.912598496796E-2)); +#31626=CARTESIAN_POINT('',(-3.348130741355E0,1.634582653411E1, +-5.593031097784E-2)); +#31627=CARTESIAN_POINT('',(-3.443475249632E0,1.643655740543E1, +-4.939933812203E-2)); +#31628=CARTESIAN_POINT('',(-3.583727951516E0,1.656987725952E1, +-3.920562184875E-2)); +#31629=CARTESIAN_POINT('',(-3.675411546823E0,1.665693113008E1, +-3.215027248768E-2)); +#31630=CARTESIAN_POINT('',(-3.720796452340E0,1.669999985724E1, +-2.855892927854E-2)); +#31632=CARTESIAN_POINT('',(-1.349999989293E1,1.629999988453E1, +-5.912598496793E-2)); +#31633=CARTESIAN_POINT('',(-1.345186925865E1,1.634582653411E1, +-5.593031097781E-2)); +#31634=CARTESIAN_POINT('',(-1.335652475037E1,1.643655740543E1, +-4.939933812203E-2)); +#31635=CARTESIAN_POINT('',(-1.321627204848E1,1.656987725952E1, +-3.920562184877E-2)); +#31636=CARTESIAN_POINT('',(-1.312458845318E1,1.665693113008E1, +-3.215027248763E-2)); +#31637=CARTESIAN_POINT('',(-1.307920354766E1,1.669999985724E1, +-2.855892927849E-2)); +#31639=CARTESIAN_POINT('',(-1.320167956289E1,1.63E1,1.103671867423E0)); +#31640=CARTESIAN_POINT('',(-1.319159577224E1,1.63E1,1.142976618588E0)); +#31641=CARTESIAN_POINT('',(-1.317920245891E1,1.630246060822E1, +1.181381856424E0)); +#31642=CARTESIAN_POINT('',(-1.316454930918E1,1.630732888801E1, +1.218906932743E0)); +#31644=CARTESIAN_POINT('',(-1.316454930918E1,1.630732888801E1, +1.218906932743E0)); +#31645=CARTESIAN_POINT('',(-1.312632918261E1,1.624326990680E1, +1.316784398836E0)); +#31646=CARTESIAN_POINT('',(-1.302075333479E1,1.614294732081E1, +1.497655948736E0)); +#31647=CARTESIAN_POINT('',(-1.285503574093E1,1.61E1,1.647805194605E0)); +#31648=CARTESIAN_POINT('',(-1.274966788149E1,1.61E1,1.711381477242E0)); +#31650=DIRECTION('',(5.888754395796E-1,6.792489730815E-1,-4.380029100676E-1)); +#31651=VECTOR('',#31650,5.780959965369E-1); +#31652=CARTESIAN_POINT('',(-1.316454930918E1,1.630732888801E1, +1.218906932743E0)); +#31653=LINE('',#31652,#31651); +#31654=CARTESIAN_POINT('',(-1.034618662549E1,1.67E1,2.460822762838E0)); +#31655=CARTESIAN_POINT('',(-1.028766646968E1,1.651862115412E1, +2.707970156056E0)); +#31656=CARTESIAN_POINT('',(-1.013468508345E1,1.630321782281E1, +3.051851271950E0)); +#31657=CARTESIAN_POINT('',(-9.793501425E0,1.613525835549E1,3.453877726386E0)); +#31658=CARTESIAN_POINT('',(-9.566848649659E0,1.61E1,3.631813534346E0)); +#31659=CARTESIAN_POINT('',(-9.433237359941E0,1.61E1,3.712431183442E0)); +#31661=DIRECTION('',(0.E0,-1.E0,0.E0)); +#31662=VECTOR('',#31661,6.E-1); +#31663=CARTESIAN_POINT('',(-1.274966788149E1,1.61E1,1.711381477242E0)); +#31664=LINE('',#31663,#31662); +#31665=DIRECTION('',(8.562155917208E-1,0.E0,5.166186799703E-1)); +#31666=VECTOR('',#31665,3.873359179181E0); +#31667=CARTESIAN_POINT('',(-1.274966788149E1,1.61E1,1.711381477242E0)); +#31668=LINE('',#31667,#31666); +#31669=DIRECTION('',(-8.562155982992E-1,-1.273353458389E-8,-5.166186690676E-1)); +#31670=VECTOR('',#31669,3.873359179182E0); +#31671=CARTESIAN_POINT('',(-9.433237334460E0,1.550000004932E1, +3.712431141212E0)); +#31672=LINE('',#31671,#31670); +#31673=DIRECTION('',(0.E0,1.E0,0.E0)); +#31674=VECTOR('',#31673,4.E-1); +#31675=CARTESIAN_POINT('',(-9.1E0,1.55E1,-3.455272678794E0)); +#31676=LINE('',#31675,#31674); +#31677=CARTESIAN_POINT('',(-8.4E0,1.62E1,2.E0)); +#31678=DIRECTION('',(0.E0,1.E0,0.E0)); +#31679=DIRECTION('',(7.272727272727E-2,0.E0,-9.973518655929E-1)); +#31680=AXIS2_PLACEMENT_3D('',#31677,#31678,#31679); +#31682=DIRECTION('',(1.554312234475E-14,1.E0,0.E0)); +#31683=VECTOR('',#31682,4.E-1); +#31684=CARTESIAN_POINT('',(-7.7E0,1.55E1,-3.455272678794E0)); +#31685=LINE('',#31684,#31683); +#31686=DIRECTION('',(-2.428580369244E-7,1.E0,9.805398428761E-8)); +#31687=VECTOR('',#31686,4.408738563353E-1); +#31688=CARTESIAN_POINT('',(-3.3E0,1.585912602820E1,-5.912602819740E-2)); +#31689=LINE('',#31688,#31687); +#31690=CARTESIAN_POINT('',(-8.4E0,1.629999907626E1,2.E0)); +#31691=DIRECTION('',(0.E0,1.E0,0.E0)); +#31692=DIRECTION('',(9.272727272727E-1,0.E0,-3.743865505813E-1)); +#31693=AXIS2_PLACEMENT_3D('',#31690,#31691,#31692); +#31695=DIRECTION('',(0.E0,0.E0,1.E0)); +#31696=VECTOR('',#31695,1.116149732121E1); +#31697=CARTESIAN_POINT('',(-9.1E0,1.59E1,-1.461677E1)); +#31698=LINE('',#31697,#31696); +#31699=DIRECTION('',(0.E0,-5.026204573745E-1,-8.645071866842E-1)); +#31700=VECTOR('',#31699,3.979145636943E-1); +#31701=CARTESIAN_POINT('',(-9.1E0,1.59E1,-1.461677E1)); +#31702=LINE('',#31701,#31700); +#31703=CARTESIAN_POINT('',(-8.8E0,1.62E1,-3.485435260761E0)); +#31704=CARTESIAN_POINT('',(-8.817401717390E0,1.62E1,-3.484166320991E0)); +#31705=CARTESIAN_POINT('',(-8.852230622789E0,1.619698221173E1, +-3.481459318745E0)); +#31706=CARTESIAN_POINT('',(-8.903307615443E0,1.618327777846E1, +-3.476997634191E0)); +#31707=CARTESIAN_POINT('',(-8.950628927477E0,1.616118816996E1, +-3.472430812512E0)); +#31708=CARTESIAN_POINT('',(-8.993862557215E0,1.613099658209E1, +-3.467896108751E0)); +#31709=CARTESIAN_POINT('',(-9.031537145950E0,1.609320298866E1, +-3.463657121090E0)); +#31710=CARTESIAN_POINT('',(-9.061500830312E0,1.605006523151E1, +-3.460095302358E0)); +#31711=CARTESIAN_POINT('',(-9.083447834202E0,1.600281479357E1, +-3.457380620375E0)); +#31712=CARTESIAN_POINT('',(-9.097019310857E0,1.595193072996E1, +-3.455656482321E0)); +#31713=CARTESIAN_POINT('',(-9.1E0,1.591729160941E1,-3.455272678794E0)); +#31714=CARTESIAN_POINT('',(-9.1E0,1.59E1,-3.455272678794E0)); +#31716=CARTESIAN_POINT('',(-8.E0,1.62E1,-1.410077E1)); +#31717=CARTESIAN_POINT('',(-7.962630339769E0,1.62E1,-1.410077E1)); +#31718=CARTESIAN_POINT('',(-7.910152076658E0,1.618881113717E1, +-1.412001484406E1)); +#31719=CARTESIAN_POINT('',(-7.830679247906E0,1.615362478384E1, +-1.418053537179E1)); +#31720=CARTESIAN_POINT('',(-7.763508038601E0,1.609347262920E1, +-1.428399707778E1)); +#31721=CARTESIAN_POINT('',(-7.713397997387E0,1.600596242673E1, +-1.443451462603E1)); +#31722=CARTESIAN_POINT('',(-7.7E0,1.593756551143E1,-1.455215732033E1)); +#31723=CARTESIAN_POINT('',(-7.7E0,1.59E1,-1.461677E1)); +#31725=CARTESIAN_POINT('',(-9.1E0,1.59E1,-1.461677E1)); +#31726=CARTESIAN_POINT('',(-9.1E0,1.593756551143E1,-1.455215732033E1)); +#31727=CARTESIAN_POINT('',(-9.086602002613E0,1.600596242673E1, +-1.443451462603E1)); +#31728=CARTESIAN_POINT('',(-9.036491961399E0,1.609347262920E1, +-1.428399707778E1)); +#31729=CARTESIAN_POINT('',(-8.969320752094E0,1.615362478384E1, +-1.418053537179E1)); +#31730=CARTESIAN_POINT('',(-8.889847923342E0,1.618881113717E1, +-1.412001484406E1)); +#31731=CARTESIAN_POINT('',(-8.837369660231E0,1.62E1,-1.410077E1)); +#31732=CARTESIAN_POINT('',(-8.8E0,1.62E1,-1.410077E1)); +#31734=CARTESIAN_POINT('',(-7.7E0,1.59E1,-3.455272678794E0)); +#31735=CARTESIAN_POINT('',(-7.7E0,1.591729409490E1,-3.455272678794E0)); +#31736=CARTESIAN_POINT('',(-7.702981071104E0,1.595192813356E1, +-3.455656539884E0)); +#31737=CARTESIAN_POINT('',(-7.716543057116E0,1.600277577227E1, +-3.457379428167E0)); +#31738=CARTESIAN_POINT('',(-7.738443355744E0,1.604997034251E1, +-3.460088532535E0)); +#31739=CARTESIAN_POINT('',(-7.768453552624E0,1.609320054130E1, +-3.463656173302E0)); +#31740=CARTESIAN_POINT('',(-7.806136719365E0,1.613098901443E1, +-3.467895856223E0)); +#31741=CARTESIAN_POINT('',(-7.849280043843E0,1.616113418994E1, +-3.472421576811E0)); +#31742=CARTESIAN_POINT('',(-7.896654955171E0,1.618326905583E1, +-3.476994401516E0)); +#31743=CARTESIAN_POINT('',(-7.947767562124E0,1.619698270375E1, +-3.481459221770E0)); +#31744=CARTESIAN_POINT('',(-7.982600973895E0,1.62E1,-3.484166517241E0)); +#31745=CARTESIAN_POINT('',(-8.E0,1.62E1,-3.485435260761E0)); +#31747=DIRECTION('',(0.E0,0.E0,1.E0)); +#31748=VECTOR('',#31747,1.061533473924E1); +#31749=CARTESIAN_POINT('',(-8.E0,1.62E1,-1.410077E1)); +#31750=LINE('',#31749,#31748); +#31751=DIRECTION('',(0.E0,0.E0,1.E0)); +#31752=VECTOR('',#31751,1.061533473924E1); +#31753=CARTESIAN_POINT('',(-8.8E0,1.62E1,-1.410077E1)); +#31754=LINE('',#31753,#31752); +#31755=DIRECTION('',(1.E0,0.E0,0.E0)); +#31756=VECTOR('',#31755,8.E-1); +#31757=CARTESIAN_POINT('',(-8.8E0,1.62E1,-1.410077E1)); +#31758=LINE('',#31757,#31756); +#31759=DIRECTION('',(0.E0,0.E0,1.E0)); +#31760=VECTOR('',#31759,1.116149732121E1); +#31761=CARTESIAN_POINT('',(-7.7E0,1.59E1,-1.461677E1)); +#31762=LINE('',#31761,#31760); +#31763=DIRECTION('',(1.339249930620E-14,5.026204573745E-1,8.645071866842E-1)); +#31764=VECTOR('',#31763,3.979145636943E-1); +#31765=CARTESIAN_POINT('',(-7.7E0,1.57E1,-1.496077E1)); +#31766=LINE('',#31765,#31764); +#31767=DIRECTION('',(-1.754919593751E-8,1.E0,1.497591165863E-11)); +#31768=VECTOR('',#31767,1.669999970701E0); +#31769=CARTESIAN_POINT('',(-6.4E0,1.67E1,2.E0)); +#31770=LINE('',#31769,#31768); +#31771=CARTESIAN_POINT('',(-8.4E0,1.836999973260E1,2.E0)); +#31772=DIRECTION('',(0.E0,1.E0,0.E0)); +#31773=DIRECTION('',(1.E0,0.E0,0.E0)); +#31774=AXIS2_PLACEMENT_3D('',#31771,#31772,#31773); +#31776=DIRECTION('',(7.071067903956E-1,-7.071067719775E-1,-7.834761368818E-12)); +#31777=VECTOR('',#31776,4.242640687127E-1); +#31778=CARTESIAN_POINT('',(3.424999996679E1,1.866999996679E1,2.000000000028E0)); +#31779=LINE('',#31778,#31777); +#31780=DIRECTION('',(-7.071067903956E-1,-7.071067719775E-1,7.859359484004E-12)); +#31781=VECTOR('',#31780,4.242640687127E-1); +#31782=CARTESIAN_POINT('',(3.085000003321E1,1.866999996679E1,1.999999999972E0)); +#31783=LINE('',#31782,#31781); +#31784=DIRECTION('',(-1.754919753304E-8,1.E0,1.497537981525E-11)); +#31785=VECTOR('',#31784,1.669999970701E0); +#31786=CARTESIAN_POINT('',(3.455E1,1.67E1,2.E0)); +#31787=LINE('',#31786,#31785); +#31788=CARTESIAN_POINT('',(3.255E1,1.836999973260E1,2.E0)); +#31789=DIRECTION('',(0.E0,1.E0,0.E0)); +#31790=DIRECTION('',(1.E0,0.E0,0.E0)); +#31791=AXIS2_PLACEMENT_3D('',#31788,#31789,#31790); +#31793=DIRECTION('',(-7.071067903956E-1,-7.071067719775E-1,7.869303402909E-12)); +#31794=VECTOR('',#31793,4.242640687127E-1); +#31795=CARTESIAN_POINT('',(5.315000003321E1,1.866999996679E1,1.999999999972E0)); +#31796=LINE('',#31795,#31794); +#31797=CARTESIAN_POINT('',(5.021456752275E1,1.542552342893E1,1.451974966247E0)); +#31798=CARTESIAN_POINT('',(5.013885405557E1,1.547356552555E1,1.350181575736E0)); +#31799=CARTESIAN_POINT('',(5.008203168782E1,1.55E1,1.235072088457E0)); +#31800=CARTESIAN_POINT('',(5.004832043711E1,1.55E1,1.103671867423E0)); +#31802=DIRECTION('',(-7.182831669838E-1,6.957508835982E-1,0.E0)); +#31803=VECTOR('',#31802,8.623776327771E-1); +#31804=CARTESIAN_POINT('',(5.038460035552E1,1.49E1,0.E0)); +#31805=LINE('',#31804,#31803); +#31806=DIRECTION('',(2.664535259100E-14,-1.E0,6.439293542826E-14)); +#31807=VECTOR('',#31806,8.E-1); +#31808=CARTESIAN_POINT('',(5.004832043711E1,1.63E1,1.103671867423E0)); +#31809=LINE('',#31808,#31807); +#31810=DIRECTION('',(-2.485059819020E-1,0.E0,-9.686303613654E-1)); +#31811=VECTOR('',#31810,1.139414901126E0); +#31812=CARTESIAN_POINT('',(5.004832043711E1,1.55E1,1.103671867423E0)); +#31813=LINE('',#31812,#31811); +#31814=DIRECTION('',(1.143674022803E-7,1.E0,4.617561441578E-8)); +#31815=VECTOR('',#31814,4.408739174270E-1); +#31816=CARTESIAN_POINT('',(4.975E1,1.585912602820E1,-5.912602819740E-2)); +#31817=LINE('',#31816,#31815); +#31818=DIRECTION('',(2.485059465758E-1,4.529578387325E-8,9.686303704285E-1)); +#31819=VECTOR('',#31818,1.200455726727E0); +#31820=CARTESIAN_POINT('',(4.975000005042E1,1.629999994562E1, +-5.912600783977E-2)); +#31821=LINE('',#31820,#31819); +#31822=DIRECTION('',(1.784976636951E-1,6.957508835982E-1,-6.957508835982E-1)); +#31823=VECTOR('',#31822,8.498160705398E-2); +#31824=CARTESIAN_POINT('',(3.763483098168E1,1.58E1,0.E0)); +#31825=LINE('',#31824,#31823); +#31826=CARTESIAN_POINT('',(3.765E1,1.585912602820E1,-5.912602819740E-2)); +#31827=CARTESIAN_POINT('',(3.760375013511E1,1.597367671996E1, +-1.736767199610E-1)); +#31828=CARTESIAN_POINT('',(3.755338671975E1,1.608731414609E1, +-2.873141460863E-1)); +#31829=CARTESIAN_POINT('',(3.749873721266E1,1.62E1,-4.E-1)); +#31831=CARTESIAN_POINT('',(4.990126278734E1,1.62E1,-4.E-1)); +#31832=CARTESIAN_POINT('',(4.984661328025E1,1.608731414609E1, +-2.873141460863E-1)); +#31833=CARTESIAN_POINT('',(4.979624986489E1,1.597367671996E1, +-1.736767199610E-1)); +#31834=CARTESIAN_POINT('',(4.975E1,1.585912602820E1,-5.912602819740E-2)); +#31836=DIRECTION('',(1.784976636949E-1,-6.957508835982E-1,6.957508835982E-1)); +#31837=VECTOR('',#31836,8.498160705397E-2); +#31838=CARTESIAN_POINT('',(4.975E1,1.585912602820E1,-5.912602819740E-2)); +#31839=LINE('',#31838,#31837); +#31840=CARTESIAN_POINT('',(4.41E1,1.59E1,-1.8E0)); +#31841=DIRECTION('',(0.E0,0.E0,1.E0)); +#31842=DIRECTION('',(1.E0,0.E0,0.E0)); +#31843=AXIS2_PLACEMENT_3D('',#31840,#31841,#31842); +#31845=CARTESIAN_POINT('',(4.41E1,1.62E1,-1.410077E1)); +#31846=CARTESIAN_POINT('',(4.413736966023E1,1.62E1,-1.410077E1)); +#31847=CARTESIAN_POINT('',(4.418984792334E1,1.618881113717E1, +-1.412001484406E1)); +#31848=CARTESIAN_POINT('',(4.426932075209E1,1.615362478384E1, +-1.418053537179E1)); +#31849=CARTESIAN_POINT('',(4.433649196140E1,1.609347262920E1, +-1.428399707778E1)); +#31850=CARTESIAN_POINT('',(4.438660200261E1,1.600596242673E1, +-1.443451462603E1)); +#31851=CARTESIAN_POINT('',(4.44E1,1.593756551143E1,-1.455215732033E1)); +#31852=CARTESIAN_POINT('',(4.44E1,1.59E1,-1.461677E1)); +#31854=CARTESIAN_POINT('',(4.3E1,1.59E1,-1.461677E1)); +#31855=CARTESIAN_POINT('',(4.3E1,1.593756551143E1,-1.455215732033E1)); +#31856=CARTESIAN_POINT('',(4.301339799739E1,1.600596242673E1, +-1.443451462603E1)); +#31857=CARTESIAN_POINT('',(4.306350803860E1,1.609347262920E1, +-1.428399707778E1)); +#31858=CARTESIAN_POINT('',(4.313067924791E1,1.615362478384E1, +-1.418053537179E1)); +#31859=CARTESIAN_POINT('',(4.321015207666E1,1.618881113717E1, +-1.412001484406E1)); +#31860=CARTESIAN_POINT('',(4.326263033977E1,1.62E1,-1.410077E1)); +#31861=CARTESIAN_POINT('',(4.33E1,1.62E1,-1.410077E1)); +#31863=CARTESIAN_POINT('',(4.33E1,1.59E1,-1.8E0)); +#31864=DIRECTION('',(0.E0,0.E0,1.E0)); +#31865=DIRECTION('',(0.E0,1.E0,0.E0)); +#31866=AXIS2_PLACEMENT_3D('',#31863,#31864,#31865); +#31868=DIRECTION('',(0.E0,0.E0,1.E0)); +#31869=VECTOR('',#31868,1.281677E1); +#31870=CARTESIAN_POINT('',(4.3E1,1.59E1,-1.461677E1)); +#31871=LINE('',#31870,#31869); +#31872=DIRECTION('',(0.E0,-5.026204573745E-1,-8.645071866842E-1)); +#31873=VECTOR('',#31872,3.979145636943E-1); +#31874=CARTESIAN_POINT('',(4.3E1,1.59E1,-1.461677E1)); +#31875=LINE('',#31874,#31873); +#31876=DIRECTION('',(0.E0,0.E0,1.E0)); +#31877=VECTOR('',#31876,1.281677E1); +#31878=CARTESIAN_POINT('',(4.44E1,1.59E1,-1.461677E1)); +#31879=LINE('',#31878,#31877); +#31880=DIRECTION('',(0.E0,5.026204573745E-1,8.645071866842E-1)); +#31881=VECTOR('',#31880,3.979145636943E-1); +#31882=CARTESIAN_POINT('',(4.44E1,1.57E1,-1.496077E1)); +#31883=LINE('',#31882,#31881); +#31884=CARTESIAN_POINT('',(3.718543247725E1,1.542552342893E1,1.451974966247E0)); +#31885=CARTESIAN_POINT('',(3.710971901006E1,1.547356552555E1,1.553768356757E0)); +#31886=CARTESIAN_POINT('',(3.701581839927E1,1.55E1,1.641299213206E0)); +#31887=CARTESIAN_POINT('',(3.689966788149E1,1.55E1,1.711381477242E0)); +#31889=DIRECTION('',(3.653045698927E-1,7.071067850616E-1,6.054358477455E-1)); +#31890=VECTOR('',#31889,8.485281374239E-1); +#31891=CARTESIAN_POINT('',(3.327326612818E1,1.490000004603E1,3.198701788995E0)); +#31892=LINE('',#31891,#31890); +#31893=DIRECTION('',(1.184237892934E-14,-1.E0,0.E0)); +#31894=VECTOR('',#31893,6.E-1); +#31895=CARTESIAN_POINT('',(3.689966788149E1,1.61E1,1.711381477242E0)); +#31896=LINE('',#31895,#31894); +#31897=DIRECTION('',(-8.562155982992E-1,1.273353366667E-8,5.166186690676E-1)); +#31898=VECTOR('',#31897,3.873359179181E0); +#31899=CARTESIAN_POINT('',(3.689966788149E1,1.55E1,1.711381477242E0)); +#31900=LINE('',#31899,#31898); +#31901=DIRECTION('',(-4.246755592855E-8,-1.E0,-7.038306886709E-8)); +#31902=VECTOR('',#31901,5.999999506785E-1); +#31903=CARTESIAN_POINT('',(3.358323735994E1,1.61E1,3.712431183442E0)); +#31904=LINE('',#31903,#31902); +#31905=DIRECTION('',(8.562155917208E-1,0.E0,-5.166186799703E-1)); +#31906=VECTOR('',#31905,3.873359179181E0); +#31907=CARTESIAN_POINT('',(3.358323735994E1,1.61E1,3.712431183442E0)); +#31908=LINE('',#31907,#31906); +#31909=CARTESIAN_POINT('',(-4.316454930918E1,1.630732888801E1, +1.218906932743E0)); +#31910=CARTESIAN_POINT('',(-4.312632918261E1,1.624326990680E1, +1.316784398836E0)); +#31911=CARTESIAN_POINT('',(-4.302075333479E1,1.614294732081E1, +1.497655948736E0)); +#31912=CARTESIAN_POINT('',(-4.285503574093E1,1.61E1,1.647805194605E0)); +#31913=CARTESIAN_POINT('',(-4.274966788149E1,1.61E1,1.711381477242E0)); +#31915=DIRECTION('',(5.888754395796E-1,6.792489730815E-1,-4.380029100676E-1)); +#31916=VECTOR('',#31915,5.780959965369E-1); +#31917=CARTESIAN_POINT('',(-4.316454930918E1,1.630732888801E1, +1.218906932743E0)); +#31918=LINE('',#31917,#31916); +#31919=CARTESIAN_POINT('',(-4.034618662549E1,1.67E1,2.460822762838E0)); +#31920=CARTESIAN_POINT('',(-4.028766646968E1,1.651862115412E1, +2.707970156056E0)); +#31921=CARTESIAN_POINT('',(-4.013468508345E1,1.630321782281E1, +3.051851271950E0)); +#31922=CARTESIAN_POINT('',(-3.979350142500E1,1.613525835549E1, +3.453877726386E0)); +#31923=CARTESIAN_POINT('',(-3.956684864966E1,1.61E1,3.631813534346E0)); +#31924=CARTESIAN_POINT('',(-3.943323735994E1,1.61E1,3.712431183442E0)); +#31926=CARTESIAN_POINT('',(-4.320167956289E1,1.63E1,1.103671867423E0)); +#31927=CARTESIAN_POINT('',(-4.319159577224E1,1.63E1,1.142976618588E0)); +#31928=CARTESIAN_POINT('',(-4.317920245891E1,1.630246060822E1, +1.181381856424E0)); +#31929=CARTESIAN_POINT('',(-4.316454930918E1,1.630732888801E1, +1.218906932743E0)); +#31931=CARTESIAN_POINT('',(-4.349999989293E1,1.629999988453E1, +-5.912598496793E-2)); +#31932=CARTESIAN_POINT('',(-4.345186925865E1,1.634582653411E1, +-5.593031097781E-2)); +#31933=CARTESIAN_POINT('',(-4.335652475037E1,1.643655740543E1, +-4.939933812199E-2)); +#31934=CARTESIAN_POINT('',(-4.321627204848E1,1.656987725952E1, +-3.920562184872E-2)); +#31935=CARTESIAN_POINT('',(-4.312458845318E1,1.665693113008E1, +-3.215027248763E-2)); +#31936=CARTESIAN_POINT('',(-4.307920354766E1,1.669999985724E1, +-2.855892927849E-2)); +#31938=CARTESIAN_POINT('',(-3.84E1,1.62E1,2.E0)); +#31939=DIRECTION('',(0.E0,1.E0,0.E0)); +#31940=DIRECTION('',(7.272727272727E-2,0.E0,-9.973518655929E-1)); +#31941=AXIS2_PLACEMENT_3D('',#31938,#31939,#31940); +#31943=DIRECTION('',(0.E0,1.E0,0.E0)); +#31944=VECTOR('',#31943,4.E-1); +#31945=CARTESIAN_POINT('',(-3.77E1,1.55E1,-3.455272678794E0)); +#31946=LINE('',#31945,#31944); +#31947=DIRECTION('',(-2.428580208077E-7,1.E0,9.805397364807E-8)); +#31948=VECTOR('',#31947,4.408738563353E-1); +#31949=CARTESIAN_POINT('',(-3.33E1,1.585912602820E1,-5.912602819739E-2)); +#31950=LINE('',#31949,#31948); +#31951=CARTESIAN_POINT('',(-3.84E1,1.629999907626E1,2.E0)); +#31952=DIRECTION('',(0.E0,1.E0,0.E0)); +#31953=DIRECTION('',(9.272727272727E-1,0.E0,-3.743865505813E-1)); +#31954=AXIS2_PLACEMENT_3D('',#31951,#31952,#31953); +#31956=DIRECTION('',(0.E0,1.E0,0.E0)); +#31957=VECTOR('',#31956,4.E-1); +#31958=CARTESIAN_POINT('',(-3.91E1,1.55E1,-3.455272678794E0)); +#31959=LINE('',#31958,#31957); +#31960=DIRECTION('',(0.E0,0.E0,1.E0)); +#31961=VECTOR('',#31960,1.061533473924E1); +#31962=CARTESIAN_POINT('',(-3.8E1,1.62E1,-1.410077E1)); +#31963=LINE('',#31962,#31961); +#31964=DIRECTION('',(0.E0,0.E0,1.E0)); +#31965=VECTOR('',#31964,1.061533473924E1); +#31966=CARTESIAN_POINT('',(-3.88E1,1.62E1,-1.410077E1)); +#31967=LINE('',#31966,#31965); +#31968=DIRECTION('',(1.E0,0.E0,0.E0)); +#31969=VECTOR('',#31968,8.E-1); +#31970=CARTESIAN_POINT('',(-3.88E1,1.62E1,-1.410077E1)); +#31971=LINE('',#31970,#31969); +#31972=CARTESIAN_POINT('',(-3.77E1,1.59E1,-3.455272678794E0)); +#31973=CARTESIAN_POINT('',(-3.77E1,1.591729409490E1,-3.455272678794E0)); +#31974=CARTESIAN_POINT('',(-3.770298107110E1,1.595192813356E1, +-3.455656539884E0)); +#31975=CARTESIAN_POINT('',(-3.771654305712E1,1.600277577227E1, +-3.457379428167E0)); +#31976=CARTESIAN_POINT('',(-3.773844335574E1,1.604997034251E1, +-3.460088532535E0)); +#31977=CARTESIAN_POINT('',(-3.776845355262E1,1.609320054130E1, +-3.463656173302E0)); +#31978=CARTESIAN_POINT('',(-3.780613671936E1,1.613098901443E1, +-3.467895856223E0)); +#31979=CARTESIAN_POINT('',(-3.784928004384E1,1.616113418994E1, +-3.472421576811E0)); +#31980=CARTESIAN_POINT('',(-3.789665495517E1,1.618326905583E1, +-3.476994401516E0)); +#31981=CARTESIAN_POINT('',(-3.794776756212E1,1.619698270375E1, +-3.481459221770E0)); +#31982=CARTESIAN_POINT('',(-3.798260097389E1,1.62E1,-3.484166517241E0)); +#31983=CARTESIAN_POINT('',(-3.8E1,1.62E1,-3.485435260761E0)); +#31985=CARTESIAN_POINT('',(-3.8E1,1.62E1,-1.410077E1)); +#31986=CARTESIAN_POINT('',(-3.796263033977E1,1.62E1,-1.410077E1)); +#31987=CARTESIAN_POINT('',(-3.791015207666E1,1.618881113717E1, +-1.412001484406E1)); +#31988=CARTESIAN_POINT('',(-3.783067924791E1,1.615362478384E1, +-1.418053537179E1)); +#31989=CARTESIAN_POINT('',(-3.776350803860E1,1.609347262920E1, +-1.428399707778E1)); +#31990=CARTESIAN_POINT('',(-3.771339799739E1,1.600596242673E1, +-1.443451462603E1)); +#31991=CARTESIAN_POINT('',(-3.77E1,1.593756551143E1,-1.455215732033E1)); +#31992=CARTESIAN_POINT('',(-3.77E1,1.59E1,-1.461677E1)); +#31994=CARTESIAN_POINT('',(-3.91E1,1.59E1,-1.461677E1)); +#31995=CARTESIAN_POINT('',(-3.91E1,1.593756551143E1,-1.455215732033E1)); +#31996=CARTESIAN_POINT('',(-3.908660200261E1,1.600596242673E1, +-1.443451462603E1)); +#31997=CARTESIAN_POINT('',(-3.903649196140E1,1.609347262920E1, +-1.428399707778E1)); +#31998=CARTESIAN_POINT('',(-3.896932075209E1,1.615362478384E1, +-1.418053537179E1)); +#31999=CARTESIAN_POINT('',(-3.888984792334E1,1.618881113717E1, +-1.412001484406E1)); +#32000=CARTESIAN_POINT('',(-3.883736966023E1,1.62E1,-1.410077E1)); +#32001=CARTESIAN_POINT('',(-3.88E1,1.62E1,-1.410077E1)); +#32003=CARTESIAN_POINT('',(-3.88E1,1.62E1,-3.485435260761E0)); +#32004=CARTESIAN_POINT('',(-3.881740171739E1,1.62E1,-3.484166320991E0)); +#32005=CARTESIAN_POINT('',(-3.885223062279E1,1.619698221173E1, +-3.481459318745E0)); +#32006=CARTESIAN_POINT('',(-3.890330761544E1,1.618327777846E1, +-3.476997634191E0)); +#32007=CARTESIAN_POINT('',(-3.895062892748E1,1.616118816996E1, +-3.472430812512E0)); +#32008=CARTESIAN_POINT('',(-3.899386255722E1,1.613099658209E1, +-3.467896108751E0)); +#32009=CARTESIAN_POINT('',(-3.903153714595E1,1.609320298866E1, +-3.463657121090E0)); +#32010=CARTESIAN_POINT('',(-3.906150083031E1,1.605006523151E1, +-3.460095302358E0)); +#32011=CARTESIAN_POINT('',(-3.908344783420E1,1.600281479357E1, +-3.457380620375E0)); +#32012=CARTESIAN_POINT('',(-3.909701931086E1,1.595193072996E1, +-3.455656482321E0)); +#32013=CARTESIAN_POINT('',(-3.91E1,1.591729160941E1,-3.455272678794E0)); +#32014=CARTESIAN_POINT('',(-3.91E1,1.59E1,-3.455272678794E0)); +#32016=DIRECTION('',(0.E0,0.E0,1.E0)); +#32017=VECTOR('',#32016,1.116149732121E1); +#32018=CARTESIAN_POINT('',(-3.91E1,1.59E1,-1.461677E1)); +#32019=LINE('',#32018,#32017); +#32020=DIRECTION('',(0.E0,-5.026204573745E-1,-8.645071866842E-1)); +#32021=VECTOR('',#32020,3.979145636943E-1); +#32022=CARTESIAN_POINT('',(-3.91E1,1.59E1,-1.461677E1)); +#32023=LINE('',#32022,#32021); +#32024=DIRECTION('',(0.E0,0.E0,1.E0)); +#32025=VECTOR('',#32024,1.116149732121E1); +#32026=CARTESIAN_POINT('',(-3.77E1,1.59E1,-1.461677E1)); +#32027=LINE('',#32026,#32025); +#32028=DIRECTION('',(0.E0,5.026204573745E-1,8.645071866842E-1)); +#32029=VECTOR('',#32028,3.979145636943E-1); +#32030=CARTESIAN_POINT('',(-3.77E1,1.57E1,-1.496077E1)); +#32031=LINE('',#32030,#32029); +#32032=DIRECTION('',(-3.552713678801E-14,-1.E0,-1.998401444325E-14)); +#32033=VECTOR('',#32032,6.E-1); +#32034=CARTESIAN_POINT('',(-4.274966788149E1,1.61E1,1.711381477242E0)); +#32035=LINE('',#32034,#32033); +#32036=DIRECTION('',(8.562155917208E-1,0.E0,5.166186799703E-1)); +#32037=VECTOR('',#32036,3.873359179181E0); +#32038=CARTESIAN_POINT('',(-4.274966788149E1,1.61E1,1.711381477242E0)); +#32039=LINE('',#32038,#32037); +#32040=DIRECTION('',(-8.562155982992E-1,-1.273353641832E-8,-5.166186690676E-1)); +#32041=VECTOR('',#32040,3.873359179182E0); +#32042=CARTESIAN_POINT('',(-3.943323733446E1,1.550000004932E1, +3.712431141212E0)); +#32043=LINE('',#32042,#32041); +#32044=CARTESIAN_POINT('',(-3.330000010707E1,-8.439999884533E0, +-5.912598496793E-2)); +#32045=CARTESIAN_POINT('',(-3.334813074135E1,-8.485826534110E0, +-5.593031097781E-2)); +#32046=CARTESIAN_POINT('',(-3.344347524963E1,-8.576557405431E0, +-4.939933812209E-2)); +#32047=CARTESIAN_POINT('',(-3.358372795152E1,-8.709877259519E0, +-3.920562184881E-2)); +#32048=CARTESIAN_POINT('',(-3.367541154682E1,-8.796931130080E0, +-3.215027248764E-2)); +#32049=CARTESIAN_POINT('',(-3.372079645234E1,-8.839999857240E0, +-2.855892927850E-2)); +#32051=CARTESIAN_POINT('',(-4.316454930918E1,-8.447328888010E0, +1.218906932743E0)); +#32052=CARTESIAN_POINT('',(-4.317920245891E1,-8.442460608222E0, +1.181381856424E0)); +#32053=CARTESIAN_POINT('',(-4.319159577224E1,-8.44E0,1.142976618588E0)); +#32054=CARTESIAN_POINT('',(-4.320167956289E1,-8.44E0,1.103671867423E0)); +#32056=DIRECTION('',(5.888754395796E-1,-6.792489730815E-1,-4.380029100676E-1)); +#32057=VECTOR('',#32056,5.780959965369E-1); +#32058=CARTESIAN_POINT('',(-4.316454930918E1,-8.447328888010E0, +1.218906932743E0)); +#32059=LINE('',#32058,#32057); +#32060=CARTESIAN_POINT('',(-4.349999989293E1,-8.439999884533E0, +-5.912598496796E-2)); +#32061=CARTESIAN_POINT('',(-4.345186925865E1,-8.485826534110E0, +-5.593031097783E-2)); +#32062=CARTESIAN_POINT('',(-4.335652475037E1,-8.576557405431E0, +-4.939933812199E-2)); +#32063=CARTESIAN_POINT('',(-4.321627204848E1,-8.709877259519E0, +-3.920562184868E-2)); +#32064=CARTESIAN_POINT('',(-4.312458845318E1,-8.796931130079E0, +-3.215027248767E-2)); +#32065=CARTESIAN_POINT('',(-4.307920354766E1,-8.839999857240E0, +-2.855892927853E-2)); +#32067=CARTESIAN_POINT('',(-3.359832043711E1,-8.44E0,1.103671867423E0)); +#32068=CARTESIAN_POINT('',(-3.360840422776E1,-8.44E0,1.142976618588E0)); +#32069=CARTESIAN_POINT('',(-3.362079754109E1,-8.442460608222E0, +1.181381856424E0)); +#32070=CARTESIAN_POINT('',(-3.363545069082E1,-8.447328888010E0, +1.218906932743E0)); +#32072=CARTESIAN_POINT('',(-3.363545069082E1,-8.447328888010E0, +1.218906932743E0)); +#32073=CARTESIAN_POINT('',(-3.367367081739E1,-8.383269906800E0, +1.316784398836E0)); +#32074=CARTESIAN_POINT('',(-3.377924666521E1,-8.282947320813E0, +1.497655948736E0)); +#32075=CARTESIAN_POINT('',(-3.394496425907E1,-8.24E0,1.647805194605E0)); +#32076=CARTESIAN_POINT('',(-3.405033211851E1,-8.24E0,1.711381477242E0)); +#32078=DIRECTION('',(-5.888754395796E-1,-6.792489730815E-1,-4.380029100676E-1)); +#32079=VECTOR('',#32078,5.780959965369E-1); +#32080=CARTESIAN_POINT('',(-3.363545069082E1,-8.447328888010E0, +1.218906932743E0)); +#32081=LINE('',#32080,#32079); +#32082=CARTESIAN_POINT('',(-3.645381337451E1,-8.84E0,2.460822762838E0)); +#32083=CARTESIAN_POINT('',(-3.651233353032E1,-8.658621154123E0, +2.707970156056E0)); +#32084=CARTESIAN_POINT('',(-3.666531491655E1,-8.443217822808E0, +3.051851271950E0)); +#32085=CARTESIAN_POINT('',(-3.700649857500E1,-8.275258355492E0, +3.453877726386E0)); +#32086=CARTESIAN_POINT('',(-3.723315135034E1,-8.24E0,3.631813534346E0)); +#32087=CARTESIAN_POINT('',(-3.736676264006E1,-8.24E0,3.712431183442E0)); +#32089=DIRECTION('',(0.E0,1.E0,0.E0)); +#32090=VECTOR('',#32089,6.E-1); +#32091=CARTESIAN_POINT('',(-3.405033211851E1,-8.24E0,1.711381477242E0)); +#32092=LINE('',#32091,#32090); +#32093=DIRECTION('',(-8.562155917208E-1,0.E0,5.166186799703E-1)); +#32094=VECTOR('',#32093,3.873359179181E0); +#32095=CARTESIAN_POINT('',(-3.405033211851E1,-8.24E0,1.711381477242E0)); +#32096=LINE('',#32095,#32094); +#32097=DIRECTION('',(8.562155982992E-1,1.273353389597E-8,-5.166186690676E-1)); +#32098=VECTOR('',#32097,3.873359179182E0); +#32099=CARTESIAN_POINT('',(-3.736676266554E1,-7.640000049322E0, +3.712431141212E0)); +#32100=LINE('',#32099,#32098); +#32101=DIRECTION('',(1.754920604253E-8,-1.E0,1.497591165863E-11)); +#32102=VECTOR('',#32101,1.669999970701E0); +#32103=CARTESIAN_POINT('',(-4.04E1,-8.84E0,2.E0)); +#32104=LINE('',#32103,#32102); +#32105=CARTESIAN_POINT('',(-3.84E1,-1.050999973260E1,2.E0)); +#32106=DIRECTION('',(0.E0,-1.E0,0.E0)); +#32107=DIRECTION('',(-1.E0,0.E0,0.E0)); +#32108=AXIS2_PLACEMENT_3D('',#32105,#32106,#32107); +#32110=DIRECTION('',(-7.071067903956E-1,7.071067719775E-1,-7.860929576463E-12)); +#32111=VECTOR('',#32110,4.242640687127E-1); +#32112=CARTESIAN_POINT('',(-1.509999996679E1,-1.080999996679E1, +2.000000000028E0)); +#32113=LINE('',#32112,#32111); +#32114=DIRECTION('',(7.071067903956E-1,7.071067719775E-1,7.858312755698E-12)); +#32115=VECTOR('',#32114,4.242640687127E-1); +#32116=CARTESIAN_POINT('',(-1.170000003321E1,-1.080999996679E1, +1.999999999972E0)); +#32117=LINE('',#32116,#32115); +#32118=CARTESIAN_POINT('',(-1.34E1,-7.640000720301E0,2.E0)); +#32119=DIRECTION('',(0.E0,1.E0,0.E0)); +#32120=DIRECTION('',(-5.166186799703E-1,0.E0,8.562155917208E-1)); +#32121=AXIS2_PLACEMENT_3D('',#32118,#32119,#32120); +#32123=DIRECTION('',(-4.246778093377E-8,1.E0,-7.038292601838E-8)); +#32124=VECTOR('',#32123,5.999999506784E-1); +#32125=CARTESIAN_POINT('',(-1.236676264006E1,-8.24E0,3.712431183442E0)); +#32126=LINE('',#32125,#32124); +#32127=DIRECTION('',(-1.754920072410E-8,-1.E0,-1.497484797188E-11)); +#32128=VECTOR('',#32127,1.669999970701E0); +#32129=CARTESIAN_POINT('',(-1.14E1,-8.84E0,2.E0)); +#32130=LINE('',#32129,#32128); +#32131=CARTESIAN_POINT('',(-1.34E1,-1.050999973260E1,2.E0)); +#32132=DIRECTION('',(0.E0,-1.E0,0.E0)); +#32133=DIRECTION('',(1.E0,0.E0,0.E0)); +#32134=AXIS2_PLACEMENT_3D('',#32131,#32132,#32133); +#32136=CARTESIAN_POINT('',(-1.774966788149E1,-8.24E0,1.711381477242E0)); +#32137=CARTESIAN_POINT('',(-1.785503574093E1,-8.24E0,1.647805194605E0)); +#32138=CARTESIAN_POINT('',(-1.802075333479E1,-8.282947320813E0, +1.497655948736E0)); +#32139=CARTESIAN_POINT('',(-1.812632918261E1,-8.383269906800E0, +1.316784398836E0)); +#32140=CARTESIAN_POINT('',(-1.816454930918E1,-8.447328888010E0, +1.218906932743E0)); +#32142=CARTESIAN_POINT('',(-1.443323735994E1,-8.24E0,3.712431183442E0)); +#32143=CARTESIAN_POINT('',(-1.456684864966E1,-8.24E0,3.631813534346E0)); +#32144=CARTESIAN_POINT('',(-1.479350142500E1,-8.275258355492E0, +3.453877726386E0)); +#32145=CARTESIAN_POINT('',(-1.513468508345E1,-8.443217822808E0, +3.051851271950E0)); +#32146=CARTESIAN_POINT('',(-1.528766646968E1,-8.658621154123E0, +2.707970156056E0)); +#32147=CARTESIAN_POINT('',(-1.534618662549E1,-8.84E0,2.460822762838E0)); +#32149=DIRECTION('',(0.E0,1.E0,0.E0)); +#32150=VECTOR('',#32149,6.E-1); +#32151=CARTESIAN_POINT('',(-1.774966788149E1,-8.24E0,1.711381477242E0)); +#32152=LINE('',#32151,#32150); +#32153=DIRECTION('',(8.562155982992E-1,-1.273353366667E-8,5.166186690676E-1)); +#32154=VECTOR('',#32153,3.873359179182E0); +#32155=CARTESIAN_POINT('',(-1.774966788149E1,-7.64E0,1.711381477242E0)); +#32156=LINE('',#32155,#32154); +#32157=DIRECTION('',(4.246753520438E-8,1.E0,-7.038307182768E-8)); +#32158=VECTOR('',#32157,5.999999506784E-1); +#32159=CARTESIAN_POINT('',(-1.443323735994E1,-8.24E0,3.712431183442E0)); +#32160=LINE('',#32159,#32158); +#32161=DIRECTION('',(-8.562155917208E-1,0.E0,-5.166186799703E-1)); +#32162=VECTOR('',#32161,3.873359179181E0); +#32163=CARTESIAN_POINT('',(-1.443323735994E1,-8.24E0,3.712431183442E0)); +#32164=LINE('',#32163,#32162); +#32165=CARTESIAN_POINT('',(-1.803543247725E1,-7.565523428933E0, +1.451974966247E0)); +#32166=CARTESIAN_POINT('',(-1.795971901006E1,-7.613565525550E0, +1.553768356757E0)); +#32167=CARTESIAN_POINT('',(-1.786581839927E1,-7.64E0,1.641299213206E0)); +#32168=CARTESIAN_POINT('',(-1.774966788149E1,-7.64E0,1.711381477242E0)); +#32170=DIRECTION('',(-3.653045698927E-1,-7.071067850616E-1,6.054358477455E-1)); +#32171=VECTOR('',#32170,8.485281374239E-1); +#32172=CARTESIAN_POINT('',(-1.412326612818E1,-7.040000046033E0, +3.198701788995E0)); +#32173=LINE('',#32172,#32171); +#32174=DIRECTION('',(1.754919966041E-8,-1.E0,1.497617758031E-11)); +#32175=VECTOR('',#32174,1.669999970701E0); +#32176=CARTESIAN_POINT('',(-1.54E1,-8.84E0,2.E0)); +#32177=LINE('',#32176,#32175); +#32178=CARTESIAN_POINT('',(-1.34E1,-1.050999973260E1,2.E0)); +#32179=DIRECTION('',(0.E0,-1.E0,0.E0)); +#32180=DIRECTION('',(-1.E0,0.E0,0.E0)); +#32181=AXIS2_PLACEMENT_3D('',#32178,#32179,#32180); +#32183=DIRECTION('',(-7.071067903956E-1,7.071067719775E-1,-7.865116489686E-12)); +#32184=VECTOR('',#32183,4.242640687127E-1); +#32185=CARTESIAN_POINT('',(3.085000003321E1,-1.080999996679E1, +2.000000000028E0)); +#32186=LINE('',#32185,#32184); +#32187=DIRECTION('',(7.071067903956E-1,7.071067719775E-1,7.848368836793E-12)); +#32188=VECTOR('',#32187,4.242640687127E-1); +#32189=CARTESIAN_POINT('',(3.424999996679E1,-1.080999996679E1, +1.999999999972E0)); +#32190=LINE('',#32189,#32188); +#32191=DIRECTION('',(1.754920391516E-8,-1.E0,1.497511389356E-11)); +#32192=VECTOR('',#32191,1.669999970701E0); +#32193=CARTESIAN_POINT('',(3.055E1,-8.84E0,2.E0)); +#32194=LINE('',#32193,#32192); +#32195=CARTESIAN_POINT('',(3.255E1,-1.050999973260E1,2.E0)); +#32196=DIRECTION('',(0.E0,-1.E0,0.E0)); +#32197=DIRECTION('',(-1.E0,0.E0,0.E0)); +#32198=AXIS2_PLACEMENT_3D('',#32195,#32196,#32197); +#32200=DIRECTION('',(7.071067903956E-1,7.071067719775E-1,7.906985621917E-12)); +#32201=VECTOR('',#32200,4.242640687127E-1); +#32202=CARTESIAN_POINT('',(5.654999996679E1,-1.080999996679E1, +1.999999999972E0)); +#32203=LINE('',#32202,#32201); +#32204=CARTESIAN_POINT('',(5.008545069082E1,-8.447328888010E0, +1.218906932743E0)); +#32205=CARTESIAN_POINT('',(5.007079754109E1,-8.442460608222E0, +1.181381856424E0)); +#32206=CARTESIAN_POINT('',(5.005840422776E1,-8.44E0,1.142976618588E0)); +#32207=CARTESIAN_POINT('',(5.004832043711E1,-8.44E0,1.103671867423E0)); +#32209=DIRECTION('',(5.888754395796E-1,-6.792489730815E-1,-4.380029100676E-1)); +#32210=VECTOR('',#32209,5.780959965369E-1); +#32211=CARTESIAN_POINT('',(5.008545069082E1,-8.447328888010E0, +1.218906932743E0)); +#32212=LINE('',#32211,#32210); +#32213=CARTESIAN_POINT('',(4.975000005117E1,-8.439999944820E0, +-5.912600753887E-2)); +#32214=CARTESIAN_POINT('',(4.979813068671E1,-8.485826593993E0, +-5.593032701368E-2)); +#32215=CARTESIAN_POINT('',(4.989347517387E1,-8.576557554352E0, +-4.940036546647E-2)); +#32216=CARTESIAN_POINT('',(5.003372791028E1,-8.709877391332E0, +-3.920650516111E-2)); +#32217=CARTESIAN_POINT('',(5.012541144861E1,-8.796931204760E0, +-3.215041895959E-2)); +#32218=CARTESIAN_POINT('',(5.017079635551E1,-8.839999931778E0, +-2.855906979726E-2)); +#32220=DIRECTION('',(0.E0,1.E0,-1.387778780781E-14)); +#32221=VECTOR('',#32220,8.E-1); +#32222=CARTESIAN_POINT('',(5.004832043711E1,-8.44E0,1.103671867423E0)); +#32223=LINE('',#32222,#32221); +#32224=DIRECTION('',(-2.485059460539E-1,4.596559352065E-8,-9.686303705624E-1)); +#32225=VECTOR('',#32224,1.200455726251E0); +#32226=CARTESIAN_POINT('',(5.004832043711E1,-8.44E0,1.103671867423E0)); +#32227=LINE('',#32226,#32225); +#32228=DIRECTION('',(2.485059819020E-1,0.E0,9.686303613654E-1)); +#32229=VECTOR('',#32228,1.139414901126E0); +#32230=CARTESIAN_POINT('',(4.976516901832E1,-7.64E0,0.E0)); +#32231=LINE('',#32230,#32229); +#32232=DIRECTION('',(-1.784976636949E-1,-6.957508835982E-1,-6.957508835982E-1)); +#32233=VECTOR('',#32232,8.498160705397E-2); +#32234=CARTESIAN_POINT('',(4.976516901832E1,-7.94E0,0.E0)); +#32235=LINE('',#32234,#32233); +#32236=CARTESIAN_POINT('',(4.975E1,-7.999126028197E0,-5.912602819740E-2)); +#32237=CARTESIAN_POINT('',(4.979624986489E1,-8.113676719961E0, +-1.736767199610E-1)); +#32238=CARTESIAN_POINT('',(4.984661328025E1,-8.227314146086E0, +-2.873141460863E-1)); +#32239=CARTESIAN_POINT('',(4.990126278734E1,-8.34E0,-4.E-1)); +#32241=CARTESIAN_POINT('',(3.749873721266E1,-8.34E0,-4.E-1)); +#32242=CARTESIAN_POINT('',(3.755338671975E1,-8.227314146086E0, +-2.873141460863E-1)); +#32243=CARTESIAN_POINT('',(3.760375013511E1,-8.113676719961E0, +-1.736767199610E-1)); +#32244=CARTESIAN_POINT('',(3.765E1,-7.999126028197E0,-5.912602819739E-2)); +#32246=DIRECTION('',(-1.784976636950E-1,6.957508835982E-1,6.957508835982E-1)); +#32247=VECTOR('',#32246,8.498160705396E-2); +#32248=CARTESIAN_POINT('',(3.765E1,-7.999126028197E0,-5.912602819739E-2)); +#32249=LINE('',#32248,#32247); +#32250=CARTESIAN_POINT('',(2.820033211851E1,-8.24E0,1.711381477242E0)); +#32251=CARTESIAN_POINT('',(2.809496425907E1,-8.24E0,1.647805194605E0)); +#32252=CARTESIAN_POINT('',(2.792924666521E1,-8.282947320813E0, +1.497655948736E0)); +#32253=CARTESIAN_POINT('',(2.782367081739E1,-8.383269906800E0, +1.316784398836E0)); +#32254=CARTESIAN_POINT('',(2.778545069082E1,-8.447328888010E0, +1.218906932743E0)); +#32256=CARTESIAN_POINT('',(3.151676264006E1,-8.24E0,3.712431183442E0)); +#32257=CARTESIAN_POINT('',(3.138315135034E1,-8.24E0,3.631813534346E0)); +#32258=CARTESIAN_POINT('',(3.115649857500E1,-8.275258355492E0, +3.453877726386E0)); +#32259=CARTESIAN_POINT('',(3.081531491655E1,-8.443217822808E0, +3.051851271950E0)); +#32260=CARTESIAN_POINT('',(3.066233353032E1,-8.658621154123E0, +2.707970156056E0)); +#32261=CARTESIAN_POINT('',(3.060381337451E1,-8.84E0,2.460822762838E0)); +#32263=DIRECTION('',(0.E0,1.E0,0.E0)); +#32264=VECTOR('',#32263,6.E-1); +#32265=CARTESIAN_POINT('',(2.820033211851E1,-8.24E0,1.711381477242E0)); +#32266=LINE('',#32265,#32264); +#32267=DIRECTION('',(8.562155982992E-1,-1.273353435458E-8,5.166186690676E-1)); +#32268=VECTOR('',#32267,3.873359179182E0); +#32269=CARTESIAN_POINT('',(2.820033211851E1,-7.64E0,1.711381477242E0)); +#32270=LINE('',#32269,#32268); +#32271=DIRECTION('',(-4.246753224379E-8,-1.E0,7.038307626858E-8)); +#32272=VECTOR('',#32271,5.999999506784E-1); +#32273=CARTESIAN_POINT('',(3.151676266554E1,-7.640000049322E0, +3.712431141212E0)); +#32274=LINE('',#32273,#32272); +#32275=DIRECTION('',(-8.562155917208E-1,0.E0,-5.166186799703E-1)); +#32276=VECTOR('',#32275,3.873359179181E0); +#32277=CARTESIAN_POINT('',(3.151676264006E1,-8.24E0,3.712431183442E0)); +#32278=LINE('',#32277,#32276); +#32279=CARTESIAN_POINT('',(3.718543247725E1,-7.565523428933E0, +1.451974966247E0)); +#32280=CARTESIAN_POINT('',(3.726114594443E1,-7.613565525550E0, +1.350181575736E0)); +#32281=CARTESIAN_POINT('',(3.731796831218E1,-7.64E0,1.235072088457E0)); +#32282=CARTESIAN_POINT('',(3.735167956289E1,-7.64E0,1.103671867423E0)); +#32284=DIRECTION('',(7.182831669838E-1,-6.957508835982E-1,0.E0)); +#32285=VECTOR('',#32284,8.623776327771E-1); +#32286=CARTESIAN_POINT('',(3.701539964448E1,-7.04E0,0.E0)); +#32287=LINE('',#32286,#32285); +#32288=CARTESIAN_POINT('',(-8.764567522754E0,-7.565523428933E0, +1.451974966247E0)); +#32289=CARTESIAN_POINT('',(-8.688854055572E0,-7.613565525550E0, +1.350181575736E0)); +#32290=CARTESIAN_POINT('',(-8.632031687817E0,-7.64E0,1.235072088457E0)); +#32291=CARTESIAN_POINT('',(-8.598320437114E0,-7.64E0,1.103671867423E0)); +#32293=DIRECTION('',(7.182831669838E-1,-6.957508835982E-1,0.E0)); +#32294=VECTOR('',#32293,8.623776327771E-1); +#32295=CARTESIAN_POINT('',(-8.934600355523E0,-7.04E0,0.E0)); +#32296=LINE('',#32295,#32294); +#32297=CARTESIAN_POINT('',(6.E0,1.59E1,-1.8E0)); +#32298=DIRECTION('',(0.E0,0.E0,1.E0)); +#32299=DIRECTION('',(1.E0,0.E0,0.E0)); +#32300=AXIS2_PLACEMENT_3D('',#32297,#32298,#32299); +#32302=CARTESIAN_POINT('',(6.E0,1.62E1,-1.410077E1)); +#32303=CARTESIAN_POINT('',(6.037369660231E0,1.62E1,-1.410077E1)); +#32304=CARTESIAN_POINT('',(6.089847923342E0,1.618881113717E1, +-1.412001484406E1)); +#32305=CARTESIAN_POINT('',(6.169320752094E0,1.615362478384E1, +-1.418053537179E1)); +#32306=CARTESIAN_POINT('',(6.236491961399E0,1.609347262920E1, +-1.428399707778E1)); +#32307=CARTESIAN_POINT('',(6.286602002613E0,1.600596242673E1, +-1.443451462603E1)); +#32308=CARTESIAN_POINT('',(6.3E0,1.593756551143E1,-1.455215732033E1)); +#32309=CARTESIAN_POINT('',(6.3E0,1.59E1,-1.461677E1)); +#32311=CARTESIAN_POINT('',(4.9E0,1.59E1,-1.461677E1)); +#32312=CARTESIAN_POINT('',(4.9E0,1.593756551143E1,-1.455215732033E1)); +#32313=CARTESIAN_POINT('',(4.913397997387E0,1.600596242673E1, +-1.443451462603E1)); +#32314=CARTESIAN_POINT('',(4.963508038601E0,1.609347262920E1, +-1.428399707778E1)); +#32315=CARTESIAN_POINT('',(5.030679247906E0,1.615362478384E1, +-1.418053537179E1)); +#32316=CARTESIAN_POINT('',(5.110152076658E0,1.618881113717E1, +-1.412001484406E1)); +#32317=CARTESIAN_POINT('',(5.162630339769E0,1.62E1,-1.410077E1)); +#32318=CARTESIAN_POINT('',(5.2E0,1.62E1,-1.410077E1)); +#32320=CARTESIAN_POINT('',(5.2E0,1.59E1,-1.8E0)); +#32321=DIRECTION('',(0.E0,0.E0,1.E0)); +#32322=DIRECTION('',(0.E0,1.E0,0.E0)); +#32323=AXIS2_PLACEMENT_3D('',#32320,#32321,#32322); +#32325=DIRECTION('',(0.E0,0.E0,1.E0)); +#32326=VECTOR('',#32325,1.281677E1); +#32327=CARTESIAN_POINT('',(4.9E0,1.59E1,-1.461677E1)); +#32328=LINE('',#32327,#32326); +#32329=DIRECTION('',(0.E0,-5.026204573745E-1,-8.645071866842E-1)); +#32330=VECTOR('',#32329,3.979145636943E-1); +#32331=CARTESIAN_POINT('',(4.9E0,1.59E1,-1.461677E1)); +#32332=LINE('',#32331,#32330); +#32333=DIRECTION('',(0.E0,0.E0,1.E0)); +#32334=VECTOR('',#32333,1.281677E1); +#32335=CARTESIAN_POINT('',(6.3E0,1.59E1,-1.461677E1)); +#32336=LINE('',#32335,#32334); +#32337=DIRECTION('',(0.E0,5.026204573745E-1,8.645071866842E-1)); +#32338=VECTOR('',#32337,3.979145636943E-1); +#32339=CARTESIAN_POINT('',(6.3E0,1.57E1,-1.496077E1)); +#32340=LINE('',#32339,#32338); +#32341=CARTESIAN_POINT('',(-5.760000012806E1,-1.14E0,-1.205E1)); +#32342=DIRECTION('',(0.E0,0.E0,1.E0)); +#32343=DIRECTION('',(-9.764134700337E-1,2.159090909080E-1,0.E0)); +#32344=AXIS2_PLACEMENT_3D('',#32341,#32342,#32343); +#32346=DIRECTION('',(0.E0,1.E0,0.E0)); +#32347=VECTOR('',#32346,1.1E1); +#32348=CARTESIAN_POINT('',(-6.45E1,-1.1E1,-1.205E1)); +#32349=LINE('',#32348,#32347); +#32350=DIRECTION('',(1.E0,-1.582684140732E-12,0.E0)); +#32351=VECTOR('',#32350,1.744536750143E0); +#32352=CARTESIAN_POINT('',(-6.45E1,-2.289723965987E-12,-1.205E1)); +#32353=LINE('',#32352,#32351); +#32354=DIRECTION('',(0.E0,-9.300519899560E-1,3.674279466493E-1)); +#32355=VECTOR('',#32354,5.394387357223E0); +#32356=CARTESIAN_POINT('',(-6.45E1,5.017060696176E0,-1.403204867010E1)); +#32357=LINE('',#32356,#32355); +#32358=DIRECTION('',(0.E0,1.E0,0.E0)); +#32359=VECTOR('',#32358,1.601706069618E1); +#32360=CARTESIAN_POINT('',(-6.45E1,-1.1E1,-1.405E1)); +#32361=LINE('',#32360,#32359); +#32362=DIRECTION('',(0.E0,8.660254037844E-1,-5.E-1)); +#32363=VECTOR('',#32362,1.558845726812E0); +#32364=CARTESIAN_POINT('',(5.75E1,8.1E0,-2.55E1)); +#32365=LINE('',#32364,#32363); +#32366=CARTESIAN_POINT('',(5.75E1,9.2E0,-2.671243556530E1)); +#32367=DIRECTION('',(-1.E0,0.E0,0.E0)); +#32368=DIRECTION('',(0.E0,5.E-1,8.660254037844E-1)); +#32369=AXIS2_PLACEMENT_3D('',#32366,#32367,#32368); +#32371=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32372=VECTOR('',#32371,2.875644347018E-1); +#32373=CARTESIAN_POINT('',(5.75E1,9.7E0,-2.671243556530E1)); +#32374=LINE('',#32373,#32372); +#32375=DIRECTION('',(0.E0,-1.E0,0.E0)); +#32376=VECTOR('',#32375,1.6E0); +#32377=CARTESIAN_POINT('',(5.75E1,9.7E0,-2.7E1)); +#32378=LINE('',#32377,#32376); +#32379=DIRECTION('',(0.E0,-1.E0,0.E0)); +#32380=VECTOR('',#32379,1.6E0); +#32381=CARTESIAN_POINT('',(-5.75E1,9.7E0,-2.7E1)); +#32382=LINE('',#32381,#32380); +#32383=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32384=VECTOR('',#32383,2.875644347018E-1); +#32385=CARTESIAN_POINT('',(-5.75E1,9.7E0,-2.671243556530E1)); +#32386=LINE('',#32385,#32384); +#32387=CARTESIAN_POINT('',(-5.75E1,9.2E0,-2.671243556530E1)); +#32388=DIRECTION('',(-1.E0,0.E0,0.E0)); +#32389=DIRECTION('',(0.E0,5.E-1,8.660254037844E-1)); +#32390=AXIS2_PLACEMENT_3D('',#32387,#32388,#32389); +#32392=DIRECTION('',(0.E0,8.660254037844E-1,-5.E-1)); +#32393=VECTOR('',#32392,1.558845726812E0); +#32394=CARTESIAN_POINT('',(-5.75E1,8.1E0,-2.55E1)); +#32395=LINE('',#32394,#32393); +#32396=DIRECTION('',(-1.E0,0.E0,0.E0)); +#32397=VECTOR('',#32396,1.15E2); +#32398=CARTESIAN_POINT('',(5.75E1,9.7E0,-2.671243556530E1)); +#32399=LINE('',#32398,#32397); +#32400=DIRECTION('',(-1.E0,0.E0,0.E0)); +#32401=VECTOR('',#32400,1.15E2); +#32402=CARTESIAN_POINT('',(5.75E1,9.45E0,-2.627942286341E1)); +#32403=LINE('',#32402,#32401); +#32404=DIRECTION('',(0.E0,0.E0,1.E0)); +#32405=VECTOR('',#32404,5.7E0); +#32406=CARTESIAN_POINT('',(5.775E1,-1.6E0,-2.93E1)); +#32407=LINE('',#32406,#32405); +#32408=DIRECTION('',(0.E0,0.E0,1.E0)); +#32409=VECTOR('',#32408,5.7E0); +#32410=CARTESIAN_POINT('',(5.775E1,-1.E-1,-2.93E1)); +#32411=LINE('',#32410,#32409); +#32412=DIRECTION('',(0.E0,1.E0,0.E0)); +#32413=VECTOR('',#32412,1.5E0); +#32414=CARTESIAN_POINT('',(5.775E1,-1.6E0,-2.36E1)); +#32415=LINE('',#32414,#32413); +#32416=DIRECTION('',(0.E0,-8.660254037844E-1,5.E-1)); +#32417=VECTOR('',#32416,1.154700538379E0); +#32418=CARTESIAN_POINT('',(5.775E1,-1.25E1,-2.54E1)); +#32419=LINE('',#32418,#32417); +#32420=DIRECTION('',(0.E0,1.E0,0.E0)); +#32421=VECTOR('',#32420,6.3E0); +#32422=CARTESIAN_POINT('',(5.775E1,-1.25E1,-2.54E1)); +#32423=LINE('',#32422,#32421); +#32424=DIRECTION('',(0.E0,0.E0,1.E0)); +#32425=VECTOR('',#32424,1.8E0); +#32426=CARTESIAN_POINT('',(5.775E1,-6.2E0,-2.54E1)); +#32427=LINE('',#32426,#32425); +#32428=DIRECTION('',(0.E0,1.E0,0.E0)); +#32429=VECTOR('',#32428,2.4E0); +#32430=CARTESIAN_POINT('',(5.775E1,-6.2E0,-2.36E1)); +#32431=LINE('',#32430,#32429); +#32432=CARTESIAN_POINT('',(5.775E1,-3.8E0,-2.26E1)); +#32433=DIRECTION('',(1.E0,0.E0,0.E0)); +#32434=DIRECTION('',(0.E0,-1.E0,0.E0)); +#32435=AXIS2_PLACEMENT_3D('',#32432,#32433,#32434); +#32437=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32438=VECTOR('',#32437,2.229473419497E-1); +#32439=CARTESIAN_POINT('',(5.775E1,-4.8E0,-2.237705265805E1)); +#32440=LINE('',#32439,#32438); +#32441=CARTESIAN_POINT('',(5.775E1,-7.7E0,-2.237705265805E1)); +#32442=DIRECTION('',(1.E0,0.E0,0.E0)); +#32443=DIRECTION('',(0.E0,1.E0,0.E0)); +#32444=AXIS2_PLACEMENT_3D('',#32441,#32442,#32443); +#32446=DIRECTION('',(0.E0,-5.E-1,8.660254037844E-1)); +#32447=VECTOR('',#32446,3.776478803290E-1); +#32448=CARTESIAN_POINT('',(5.775E1,-5.188526329025E0,-2.092705265805E1)); +#32449=LINE('',#32448,#32447); +#32450=CARTESIAN_POINT('',(5.775E1,-7.109401076758E0,-2.16E1)); +#32451=DIRECTION('',(1.E0,0.E0,0.E0)); +#32452=DIRECTION('',(0.E0,8.660254037844E-1,5.E-1)); +#32453=AXIS2_PLACEMENT_3D('',#32450,#32451,#32452); +#32455=DIRECTION('',(0.E0,-1.E0,0.E0)); +#32456=VECTOR('',#32455,6.390598923241E0); +#32457=CARTESIAN_POINT('',(5.775E1,-7.109401076758E0,-1.96E1)); +#32458=LINE('',#32457,#32456); +#32459=DIRECTION('',(0.E0,8.660254037844E-1,5.E-1)); +#32460=VECTOR('',#32459,1.154700538379E0); +#32461=CARTESIAN_POINT('',(5.775E1,-1.35E1,-2.877735026919E1)); +#32462=LINE('',#32461,#32460); +#32463=DIRECTION('',(0.E0,0.E0,1.E0)); +#32464=VECTOR('',#32463,1.1E0); +#32465=CARTESIAN_POINT('',(5.775E1,-6.2E0,-2.93E1)); +#32466=LINE('',#32465,#32464); +#32467=DIRECTION('',(0.E0,1.E0,0.E0)); +#32468=VECTOR('',#32467,6.3E0); +#32469=CARTESIAN_POINT('',(5.775E1,-1.25E1,-2.82E1)); +#32470=LINE('',#32469,#32468); +#32471=DIRECTION('',(0.E0,0.E0,1.E0)); +#32472=VECTOR('',#32471,5.7E0); +#32473=CARTESIAN_POINT('',(6.E1,-1.6E0,-2.93E1)); +#32474=LINE('',#32473,#32472); +#32475=DIRECTION('',(0.E0,0.E0,1.E0)); +#32476=VECTOR('',#32475,5.7E0); +#32477=CARTESIAN_POINT('',(6.E1,-1.E-1,-2.93E1)); +#32478=LINE('',#32477,#32476); +#32479=DIRECTION('',(0.E0,0.E0,1.E0)); +#32480=VECTOR('',#32479,5.7E0); +#32481=CARTESIAN_POINT('',(6.E1,1.3E0,-2.93E1)); +#32482=LINE('',#32481,#32480); +#32483=CARTESIAN_POINT('',(5.5E1,1.3E0,-3.64E1)); +#32484=DIRECTION('',(0.E0,0.E0,1.E0)); +#32485=DIRECTION('',(7.332121111929E-1,6.8E-1,0.E0)); +#32486=AXIS2_PLACEMENT_3D('',#32483,#32484,#32485); +#32488=CARTESIAN_POINT('',(5.5E1,1.3E0,-2.93E1)); +#32489=DIRECTION('',(0.E0,0.E0,1.E0)); +#32490=DIRECTION('',(4.999999999999E-2,9.987492177719E-1,0.E0)); +#32491=AXIS2_PLACEMENT_3D('',#32488,#32489,#32490); +#32493=CARTESIAN_POINT('',(5.5E1,1.3E0,-2.93E1)); +#32494=DIRECTION('',(0.E0,0.E0,1.E0)); +#32495=DIRECTION('',(1.E0,0.E0,0.E0)); +#32496=AXIS2_PLACEMENT_3D('',#32493,#32494,#32495); +#32498=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32499=VECTOR('',#32498,7.1E0); +#32500=CARTESIAN_POINT('',(5.866606055596E1,4.7E0,-2.93E1)); +#32501=LINE('',#32500,#32499); +#32502=CARTESIAN_POINT('',(5.866606055596E1,4.7E0,-3.64E1)); +#32503=CARTESIAN_POINT('',(5.866606055596E1,4.7E0,-3.642468272393E1)); +#32504=CARTESIAN_POINT('',(5.866766177687E1,4.703667652101E0, +-3.647425577850E1)); +#32505=CARTESIAN_POINT('',(5.867489398102E1,4.720254996730E0, +-3.654744046325E1)); +#32506=CARTESIAN_POINT('',(5.868693936492E1,4.747954342854E0, +-3.661817168825E1)); +#32507=CARTESIAN_POINT('',(5.870367674631E1,4.786594248483E0, +-3.668478578922E1)); +#32508=CARTESIAN_POINT('',(5.872491748697E1,4.835884288504E0, +-3.674567227826E1)); +#32509=CARTESIAN_POINT('',(5.875019610942E1,4.894914215832E0, +-3.679881971314E1)); +#32510=CARTESIAN_POINT('',(5.877906015634E1,4.962808656551E0, +-3.684265759954E1)); +#32511=CARTESIAN_POINT('',(5.881070443553E1,5.037847598442E0, +-3.687534362723E1)); +#32512=CARTESIAN_POINT('',(5.884406937538E1,5.117652237639E0, +-3.689545518175E1)); +#32513=CARTESIAN_POINT('',(5.886678587674E1,5.172470003810E0,-3.69E1)); +#32514=CARTESIAN_POINT('',(5.887814388593E1,5.2E0,-3.69E1)); +#32516=DIRECTION('',(-1.E0,0.E0,0.E0)); +#32517=VECTOR('',#32516,3.933939444035E0); +#32518=CARTESIAN_POINT('',(6.26E1,4.7E0,-3.64E1)); +#32519=LINE('',#32518,#32517); +#32520=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32521=VECTOR('',#32520,7.1E0); +#32522=CARTESIAN_POINT('',(6.26E1,4.7E0,-2.93E1)); +#32523=LINE('',#32522,#32521); +#32524=DIRECTION('',(0.E0,-1.E0,0.E0)); +#32525=VECTOR('',#32524,2.5E0); +#32526=CARTESIAN_POINT('',(6.26E1,7.2E0,-3.64E1)); +#32527=LINE('',#32526,#32525); +#32528=DIRECTION('',(0.E0,0.E0,1.E0)); +#32529=VECTOR('',#32528,7.1E0); +#32530=CARTESIAN_POINT('',(6.26E1,7.2E0,-3.64E1)); +#32531=LINE('',#32530,#32529); +#32532=CARTESIAN_POINT('',(6.26E1,4.7E0,-3.64E1)); +#32533=CARTESIAN_POINT('',(6.26E1,4.7E0,-3.642085435244E1)); +#32534=CARTESIAN_POINT('',(6.259737214471E1,4.702627855286E0, +-3.646310720082E1)); +#32535=CARTESIAN_POINT('',(6.258519447345E1,4.714805526547E0, +-3.652658061192E1)); +#32536=CARTESIAN_POINT('',(6.256401879382E1,4.735981206183E0, +-3.659044933434E1)); +#32537=CARTESIAN_POINT('',(6.253271240367E1,4.767287596331E0, +-3.665399833416E1)); +#32538=CARTESIAN_POINT('',(6.249035467326E1,4.809645326739E0, +-3.671560253779E1)); +#32539=CARTESIAN_POINT('',(6.243549522438E1,4.864504775624E0, +-3.677378759024E1)); +#32540=CARTESIAN_POINT('',(6.236749180785E1,4.932508192154E0, +-3.682546946403E1)); +#32541=CARTESIAN_POINT('',(6.228691297317E1,5.013087026832E0, +-3.686684128780E1)); +#32542=CARTESIAN_POINT('',(6.219620174153E1,5.103798258470E0, +-3.689372442924E1)); +#32543=CARTESIAN_POINT('',(6.213255493742E1,5.167445062578E0,-3.69E1)); +#32544=CARTESIAN_POINT('',(6.21E1,5.2E0,-3.69E1)); +#32546=CARTESIAN_POINT('',(6.21E1,7.2E0,-3.64E1)); +#32547=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32548=DIRECTION('',(0.E0,1.E0,0.E0)); +#32549=AXIS2_PLACEMENT_3D('',#32546,#32547,#32548); +#32551=CARTESIAN_POINT('',(6.21E1,7.2E0,-3.64E1)); +#32552=DIRECTION('',(1.E0,0.E0,0.E0)); +#32553=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32554=AXIS2_PLACEMENT_3D('',#32551,#32552,#32553); +#32556=CARTESIAN_POINT('',(6.21E1,7.2E0,-3.64E1)); +#32557=DIRECTION('',(0.E0,1.E0,0.E0)); +#32558=DIRECTION('',(1.E0,0.E0,0.E0)); +#32559=AXIS2_PLACEMENT_3D('',#32556,#32557,#32558); +#32561=CARTESIAN_POINT('',(6.21E1,7.2E0,-2.93E1)); +#32562=DIRECTION('',(0.E0,0.E0,1.E0)); +#32563=DIRECTION('',(1.E0,0.E0,0.E0)); +#32564=AXIS2_PLACEMENT_3D('',#32561,#32562,#32563); +#32566=CARTESIAN_POINT('',(5.575E1,7.2E0,-3.64E1)); +#32567=DIRECTION('',(0.E0,1.E0,0.E0)); +#32568=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32569=AXIS2_PLACEMENT_3D('',#32566,#32567,#32568); +#32571=CARTESIAN_POINT('',(5.575E1,7.2E0,-3.64E1)); +#32572=DIRECTION('',(-1.E0,0.E0,0.E0)); +#32573=DIRECTION('',(0.E0,1.E0,-1.421085471520E-14)); +#32574=AXIS2_PLACEMENT_3D('',#32571,#32572,#32573); +#32576=CARTESIAN_POINT('',(5.575E1,7.2E0,-3.64E1)); +#32577=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32578=DIRECTION('',(-1.E0,0.E0,0.E0)); +#32579=AXIS2_PLACEMENT_3D('',#32576,#32577,#32578); +#32581=CARTESIAN_POINT('',(5.575E1,6.748623679426E0,-3.69E1)); +#32582=CARTESIAN_POINT('',(5.571870633977E1,6.721342498926E0,-3.69E1)); +#32583=CARTESIAN_POINT('',(5.565706339102E1,6.667195284540E0, +-3.689419924243E1)); +#32584=CARTESIAN_POINT('',(5.556782805400E1,6.587611987205E0, +-3.686863287358E1)); +#32585=CARTESIAN_POINT('',(5.548738564370E1,6.514806897229E0, +-3.682848686913E1)); +#32586=CARTESIAN_POINT('',(5.541860867564E1,6.451735796467E0, +-3.677746638071E1)); +#32587=CARTESIAN_POINT('',(5.536265377170E1,6.399844712854E0, +-3.671936714097E1)); +#32588=CARTESIAN_POINT('',(5.531912610002E1,6.359111456491E0, +-3.665720355502E1)); +#32589=CARTESIAN_POINT('',(5.528701357147E1,6.328850484500E0, +-3.659305454070E1)); +#32590=CARTESIAN_POINT('',(5.526521966179E1,6.308210185701E0, +-3.652833063221E1)); +#32591=CARTESIAN_POINT('',(5.525269902157E1,6.296314373379E0, +-3.646396625879E1)); +#32592=CARTESIAN_POINT('',(5.525E1,6.293746088860E0,-3.642113347532E1)); +#32593=CARTESIAN_POINT('',(5.525E1,6.293746088860E0,-3.64E1)); +#32595=DIRECTION('',(0.E0,0.E0,1.E0)); +#32596=VECTOR('',#32595,7.1E0); +#32597=CARTESIAN_POINT('',(5.525E1,7.2E0,-3.64E1)); +#32598=LINE('',#32597,#32596); +#32599=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32600=VECTOR('',#32599,7.1E0); +#32601=CARTESIAN_POINT('',(5.525E1,6.293746088860E0,-2.93E1)); +#32602=LINE('',#32601,#32600); +#32603=DIRECTION('',(1.568087545942E-14,1.E0,0.E0)); +#32604=VECTOR('',#32603,9.062539111405E-1); +#32605=CARTESIAN_POINT('',(5.525E1,6.293746088860E0,-3.64E1)); +#32606=LINE('',#32605,#32604); +#32607=CARTESIAN_POINT('',(5.575E1,7.2E0,-2.93E1)); +#32608=DIRECTION('',(0.E0,0.E0,1.E0)); +#32609=DIRECTION('',(0.E0,1.E0,0.E0)); +#32610=AXIS2_PLACEMENT_3D('',#32607,#32608,#32609); +#32612=DIRECTION('',(4.472135954999E-1,-7.745966692415E-1,4.472135955E-1)); +#32613=VECTOR('',#32612,1.290994448736E0); +#32614=CARTESIAN_POINT('',(6.005E1,-1.25E1,-2.54E1)); +#32615=LINE('',#32614,#32613); +#32616=DIRECTION('',(4.472135954999E-1,-7.745966692415E-1,-4.472135955E-1)); +#32617=VECTOR('',#32616,1.290994448736E0); +#32618=CARTESIAN_POINT('',(6.005E1,-1.25E1,-2.82E1)); +#32619=LINE('',#32618,#32617); +#32620=DIRECTION('',(0.E0,0.E0,1.E0)); +#32621=VECTOR('',#32620,5.7E0); +#32622=CARTESIAN_POINT('',(6.005E1,-6.E0,-2.93E1)); +#32623=LINE('',#32622,#32621); +#32624=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32625=VECTOR('',#32624,2.8E0); +#32626=CARTESIAN_POINT('',(6.005E1,-1.25E1,-2.54E1)); +#32627=LINE('',#32626,#32625); +#32628=DIRECTION('',(0.E0,1.E0,0.E0)); +#32629=VECTOR('',#32628,4.1E0); +#32630=CARTESIAN_POINT('',(6.005E1,-1.25E1,-2.82E1)); +#32631=LINE('',#32630,#32629); +#32632=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32633=VECTOR('',#32632,2.8E0); +#32634=CARTESIAN_POINT('',(6.005E1,-8.4E0,-2.54E1)); +#32635=LINE('',#32634,#32633); +#32636=DIRECTION('',(-1.E0,0.E0,0.E0)); +#32637=VECTOR('',#32636,1.2E0); +#32638=CARTESIAN_POINT('',(5.895E1,-6.2E0,-2.54E1)); +#32639=LINE('',#32638,#32637); +#32640=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32641=VECTOR('',#32640,2.8E0); +#32642=CARTESIAN_POINT('',(5.895E1,-6.2E0,-2.54E1)); +#32643=LINE('',#32642,#32641); +#32644=DIRECTION('',(1.E0,0.E0,0.E0)); +#32645=VECTOR('',#32644,1.2E0); +#32646=CARTESIAN_POINT('',(5.775E1,-6.2E0,-2.82E1)); +#32647=LINE('',#32646,#32645); +#32648=DIRECTION('',(0.E0,0.E0,1.E0)); +#32649=VECTOR('',#32648,5.7E0); +#32650=CARTESIAN_POINT('',(6.005E1,-6.2E0,-2.93E1)); +#32651=LINE('',#32650,#32649); +#32652=DIRECTION('',(1.E0,0.E0,0.E0)); +#32653=VECTOR('',#32652,2.3E0); +#32654=CARTESIAN_POINT('',(5.775E1,-1.25E1,-2.54E1)); +#32655=LINE('',#32654,#32653); +#32656=DIRECTION('',(0.E0,1.E0,0.E0)); +#32657=VECTOR('',#32656,4.1E0); +#32658=CARTESIAN_POINT('',(6.005E1,-1.25E1,-2.54E1)); +#32659=LINE('',#32658,#32657); +#32660=DIRECTION('',(-5.214500094540E-1,8.532818336520E-1,0.E0)); +#32661=VECTOR('',#32660,2.109502310973E0); +#32662=CARTESIAN_POINT('',(6.005E1,-8.4E0,-2.54E1)); +#32663=LINE('',#32662,#32661); +#32664=DIRECTION('',(-3.552713678801E-14,-1.E0,0.E0)); +#32665=VECTOR('',#32664,4.E-1); +#32666=CARTESIAN_POINT('',(5.895E1,-6.2E0,-2.54E1)); +#32667=LINE('',#32666,#32665); +#32668=DIRECTION('',(-1.E0,0.E0,0.E0)); +#32669=VECTOR('',#32668,2.3E0); +#32670=CARTESIAN_POINT('',(6.005E1,-1.25E1,-2.82E1)); +#32671=LINE('',#32670,#32669); +#32672=DIRECTION('',(-3.552713678801E-14,-1.E0,0.E0)); +#32673=VECTOR('',#32672,4.E-1); +#32674=CARTESIAN_POINT('',(5.895E1,-6.2E0,-2.82E1)); +#32675=LINE('',#32674,#32673); +#32676=DIRECTION('',(-5.214500094540E-1,8.532818336520E-1,0.E0)); +#32677=VECTOR('',#32676,2.109502310973E0); +#32678=CARTESIAN_POINT('',(6.005E1,-8.4E0,-2.82E1)); +#32679=LINE('',#32678,#32677); +#32680=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32681=VECTOR('',#32680,2.8E0); +#32682=CARTESIAN_POINT('',(5.895E1,-6.6E0,-2.54E1)); +#32683=LINE('',#32682,#32681); +#32684=DIRECTION('',(0.E0,0.E0,1.E0)); +#32685=VECTOR('',#32684,5.7E0); +#32686=CARTESIAN_POINT('',(5.895E1,-4.2E0,-2.93E1)); +#32687=LINE('',#32686,#32685); +#32688=DIRECTION('',(-1.E0,0.E0,0.E0)); +#32689=VECTOR('',#32688,1.155E2); +#32690=CARTESIAN_POINT('',(5.775E1,-3.8E0,-2.36E1)); +#32691=LINE('',#32690,#32689); +#32692=DIRECTION('',(-1.E0,0.E0,0.E0)); +#32693=VECTOR('',#32692,1.155E2); +#32694=CARTESIAN_POINT('',(5.775E1,-4.8E0,-2.26E1)); +#32695=LINE('',#32694,#32693); +#32696=DIRECTION('',(1.E0,0.E0,0.E0)); +#32697=VECTOR('',#32696,1.155E2); +#32698=CARTESIAN_POINT('',(-5.775E1,-4.8E0,-2.237705265805E1)); +#32699=LINE('',#32698,#32697); +#32700=DIRECTION('',(1.E0,0.E0,0.E0)); +#32701=VECTOR('',#32700,1.155E2); +#32702=CARTESIAN_POINT('',(-5.775E1,-5.188526329025E0,-2.092705265805E1)); +#32703=LINE('',#32702,#32701); +#32704=DIRECTION('',(1.E0,0.E0,0.E0)); +#32705=VECTOR('',#32704,1.155E2); +#32706=CARTESIAN_POINT('',(-5.775E1,-5.377350269190E0,-2.06E1)); +#32707=LINE('',#32706,#32705); +#32708=DIRECTION('',(1.E0,0.E0,0.E0)); +#32709=VECTOR('',#32708,1.155E2); +#32710=CARTESIAN_POINT('',(-5.775E1,-7.109401076758E0,-1.96E1)); +#32711=LINE('',#32710,#32709); +#32712=DIRECTION('',(1.E0,0.E0,0.E0)); +#32713=VECTOR('',#32712,2.3E0); +#32714=CARTESIAN_POINT('',(-6.005E1,-1.25E1,-2.54E1)); +#32715=LINE('',#32714,#32713); +#32716=DIRECTION('',(0.E0,-1.E0,0.E0)); +#32717=VECTOR('',#32716,4.E-1); +#32718=CARTESIAN_POINT('',(-5.895E1,-6.2E0,-2.54E1)); +#32719=LINE('',#32718,#32717); +#32720=DIRECTION('',(-5.214500094540E-1,-8.532818336520E-1,0.E0)); +#32721=VECTOR('',#32720,2.109502310973E0); +#32722=CARTESIAN_POINT('',(-5.895E1,-6.6E0,-2.54E1)); +#32723=LINE('',#32722,#32721); +#32724=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32725=VECTOR('',#32724,5.7E0); +#32726=CARTESIAN_POINT('',(-6.005E1,-6.2E0,-2.36E1)); +#32727=LINE('',#32726,#32725); +#32728=DIRECTION('',(-1.E0,0.E0,0.E0)); +#32729=VECTOR('',#32728,1.2E0); +#32730=CARTESIAN_POINT('',(-5.775E1,-6.2E0,-2.82E1)); +#32731=LINE('',#32730,#32729); +#32732=DIRECTION('',(-1.E0,0.E0,0.E0)); +#32733=VECTOR('',#32732,1.2E0); +#32734=CARTESIAN_POINT('',(-5.775E1,-6.2E0,-2.54E1)); +#32735=LINE('',#32734,#32733); +#32736=DIRECTION('',(0.E0,0.E0,1.E0)); +#32737=VECTOR('',#32736,2.8E0); +#32738=CARTESIAN_POINT('',(-6.005E1,-1.25E1,-2.82E1)); +#32739=LINE('',#32738,#32737); +#32740=DIRECTION('',(0.E0,1.E0,0.E0)); +#32741=VECTOR('',#32740,4.1E0); +#32742=CARTESIAN_POINT('',(-6.005E1,-1.25E1,-2.54E1)); +#32743=LINE('',#32742,#32741); +#32744=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32745=VECTOR('',#32744,2.8E0); +#32746=CARTESIAN_POINT('',(-6.005E1,-8.4E0,-2.54E1)); +#32747=LINE('',#32746,#32745); +#32748=DIRECTION('',(0.E0,0.E0,1.E0)); +#32749=VECTOR('',#32748,5.7E0); +#32750=CARTESIAN_POINT('',(-6.005E1,-6.E0,-2.93E1)); +#32751=LINE('',#32750,#32749); +#32752=DIRECTION('',(-4.472135954999E-1,-7.745966692415E-1,4.472135955E-1)); +#32753=VECTOR('',#32752,1.290994448736E0); +#32754=CARTESIAN_POINT('',(-6.005E1,-1.25E1,-2.54E1)); +#32755=LINE('',#32754,#32753); +#32756=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32757=VECTOR('',#32756,2.8E0); +#32758=CARTESIAN_POINT('',(-5.895E1,-6.6E0,-2.54E1)); +#32759=LINE('',#32758,#32757); +#32760=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32761=VECTOR('',#32760,2.8E0); +#32762=CARTESIAN_POINT('',(-5.895E1,-6.2E0,-2.54E1)); +#32763=LINE('',#32762,#32761); +#32764=DIRECTION('',(-1.E0,0.E0,0.E0)); +#32765=VECTOR('',#32764,2.3E0); +#32766=CARTESIAN_POINT('',(-5.775E1,-1.25E1,-2.82E1)); +#32767=LINE('',#32766,#32765); +#32768=DIRECTION('',(0.E0,1.E0,0.E0)); +#32769=VECTOR('',#32768,4.1E0); +#32770=CARTESIAN_POINT('',(-6.005E1,-1.25E1,-2.82E1)); +#32771=LINE('',#32770,#32769); +#32772=DIRECTION('',(-5.214500094540E-1,-8.532818336520E-1,0.E0)); +#32773=VECTOR('',#32772,2.109502310973E0); +#32774=CARTESIAN_POINT('',(-5.895E1,-6.6E0,-2.82E1)); +#32775=LINE('',#32774,#32773); +#32776=DIRECTION('',(0.E0,-1.E0,0.E0)); +#32777=VECTOR('',#32776,4.E-1); +#32778=CARTESIAN_POINT('',(-5.895E1,-6.2E0,-2.82E1)); +#32779=LINE('',#32778,#32777); +#32780=CARTESIAN_POINT('',(1.895E1,6.8E0,-3.64E1)); +#32781=DIRECTION('',(1.E0,0.E0,0.E0)); +#32782=DIRECTION('',(0.E0,-1.E0,0.E0)); +#32783=AXIS2_PLACEMENT_3D('',#32780,#32781,#32782); +#32785=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32786=VECTOR('',#32785,7.1E0); +#32787=CARTESIAN_POINT('',(1.895E1,7.7E0,-2.93E1)); +#32788=LINE('',#32787,#32786); +#32789=CARTESIAN_POINT('',(1.895E1,7.2E0,-3.64E1)); +#32790=DIRECTION('',(1.E0,0.E0,0.E0)); +#32791=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32792=AXIS2_PLACEMENT_3D('',#32789,#32790,#32791); +#32794=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32795=VECTOR('',#32794,2.24E0); +#32796=CARTESIAN_POINT('',(-4.195E1,3.5E0,-2.36E1)); +#32797=LINE('',#32796,#32795); +#32798=DIRECTION('',(0.E0,0.E0,-1.E0)); +#32799=VECTOR('',#32798,2.24E0); +#32800=CARTESIAN_POINT('',(9.7E0,3.5E0,-2.36E1)); +#32801=LINE('',#32800,#32799); +#32802=DIRECTION('',(0.E0,1.E0,0.E0)); +#32803=VECTOR('',#32802,2.8E0); +#32804=CARTESIAN_POINT('',(2.315E1,3.5E0,-2.584E1)); +#32805=LINE('',#32804,#32803); +#32806=DIRECTION('',(-1.E0,0.E0,0.E0)); +#32807=VECTOR('',#32806,3.015E1); +#32808=CARTESIAN_POINT('',(5.33E1,3.5E0,-2.584E1)); +#32809=LINE('',#32808,#32807); +#32810=DIRECTION('',(0.E0,-1.E0,0.E0)); +#32811=VECTOR('',#32810,2.8E0); +#32812=CARTESIAN_POINT('',(5.33E1,6.3E0,-2.584E1)); +#32813=LINE('',#32812,#32811); +#32814=DIRECTION('',(0.E0,1.E0,0.E0)); +#32815=VECTOR('',#32814,2.8E0); +#32816=CARTESIAN_POINT('',(-4.195E1,3.5E0,-2.584E1)); +#32817=LINE('',#32816,#32815); +#32818=DIRECTION('',(-1.E0,0.E0,0.E0)); +#32819=VECTOR('',#32818,5.165E1); +#32820=CARTESIAN_POINT('',(9.7E0,3.5E0,-2.584E1)); +#32821=LINE('',#32820,#32819); +#32822=DIRECTION('',(0.E0,-1.E0,0.E0)); +#32823=VECTOR('',#32822,2.8E0); +#32824=CARTESIAN_POINT('',(9.7E0,6.3E0,-2.584E1)); +#32825=LINE('',#32824,#32823); +#32826=DIRECTION('',(0.E0,1.E0,0.E0)); +#32827=VECTOR('',#32826,6.3E-1); +#32828=CARTESIAN_POINT('',(-4.13E1,4.815E0,-2.584E1)); +#32829=LINE('',#32828,#32827); +#32830=DIRECTION('',(1.E0,0.E0,0.E0)); +#32831=VECTOR('',#32830,9.E-1); +#32832=CARTESIAN_POINT('',(-4.13E1,5.445E0,-2.584E1)); +#32833=LINE('',#32832,#32831); +#32834=DIRECTION('',(1.127845612318E-14,1.E0,0.E0)); +#32835=VECTOR('',#32834,6.3E-1); +#32836=CARTESIAN_POINT('',(-4.04E1,4.815E0,-2.584E1)); +#32837=LINE('',#32836,#32835); +#32838=DIRECTION('',(1.E0,0.E0,0.E0)); +#32839=VECTOR('',#32838,9.E-1); +#32840=CARTESIAN_POINT('',(-4.13E1,4.815E0,-2.584E1)); +#32841=LINE('',#32840,#32839); +#32842=DIRECTION('',(0.E0,1.E0,0.E0)); +#32843=VECTOR('',#32842,6.3E-1); +#32844=CARTESIAN_POINT('',(-3.915E1,4.815E0,-2.584E1)); +#32845=LINE('',#32844,#32843); +#32846=DIRECTION('',(1.E0,0.E0,0.E0)); +#32847=VECTOR('',#32846,9.E-1); +#32848=CARTESIAN_POINT('',(-3.915E1,5.445E0,-2.584E1)); +#32849=LINE('',#32848,#32847); +#32850=DIRECTION('',(0.E0,1.E0,0.E0)); +#32851=VECTOR('',#32850,6.3E-1); +#32852=CARTESIAN_POINT('',(-3.825E1,4.815E0,-2.584E1)); +#32853=LINE('',#32852,#32851); +#32854=DIRECTION('',(1.E0,0.E0,0.E0)); +#32855=VECTOR('',#32854,9.E-1); +#32856=CARTESIAN_POINT('',(-3.915E1,4.815E0,-2.584E1)); +#32857=LINE('',#32856,#32855); +#32858=DIRECTION('',(0.E0,1.E0,0.E0)); +#32859=VECTOR('',#32858,6.3E-1); +#32860=CARTESIAN_POINT('',(-3.7E1,4.815E0,-2.584E1)); +#32861=LINE('',#32860,#32859); +#32862=DIRECTION('',(1.E0,0.E0,0.E0)); +#32863=VECTOR('',#32862,9.E-1); +#32864=CARTESIAN_POINT('',(-3.7E1,5.445E0,-2.584E1)); +#32865=LINE('',#32864,#32863); +#32866=DIRECTION('',(0.E0,1.E0,0.E0)); +#32867=VECTOR('',#32866,6.3E-1); +#32868=CARTESIAN_POINT('',(-3.61E1,4.815E0,-2.584E1)); +#32869=LINE('',#32868,#32867); +#32870=DIRECTION('',(1.E0,0.E0,0.E0)); +#32871=VECTOR('',#32870,9.E-1); +#32872=CARTESIAN_POINT('',(-3.7E1,4.815E0,-2.584E1)); +#32873=LINE('',#32872,#32871); +#32874=DIRECTION('',(0.E0,1.E0,0.E0)); +#32875=VECTOR('',#32874,6.3E-1); +#32876=CARTESIAN_POINT('',(-3.485E1,4.815E0,-2.584E1)); +#32877=LINE('',#32876,#32875); +#32878=DIRECTION('',(1.E0,0.E0,0.E0)); +#32879=VECTOR('',#32878,9.E-1); +#32880=CARTESIAN_POINT('',(-3.485E1,5.445E0,-2.584E1)); +#32881=LINE('',#32880,#32879); +#32882=DIRECTION('',(0.E0,1.E0,0.E0)); +#32883=VECTOR('',#32882,6.3E-1); +#32884=CARTESIAN_POINT('',(-3.395E1,4.815E0,-2.584E1)); +#32885=LINE('',#32884,#32883); +#32886=DIRECTION('',(1.E0,0.E0,0.E0)); +#32887=VECTOR('',#32886,9.E-1); +#32888=CARTESIAN_POINT('',(-3.485E1,4.815E0,-2.584E1)); +#32889=LINE('',#32888,#32887); +#32890=DIRECTION('',(0.E0,1.E0,0.E0)); +#32891=VECTOR('',#32890,6.3E-1); +#32892=CARTESIAN_POINT('',(-3.27E1,4.815E0,-2.584E1)); +#32893=LINE('',#32892,#32891); +#32894=DIRECTION('',(1.E0,0.E0,0.E0)); +#32895=VECTOR('',#32894,9.E-1); +#32896=CARTESIAN_POINT('',(-3.27E1,5.445E0,-2.584E1)); +#32897=LINE('',#32896,#32895); +#32898=DIRECTION('',(0.E0,1.E0,0.E0)); +#32899=VECTOR('',#32898,6.3E-1); +#32900=CARTESIAN_POINT('',(-3.18E1,4.815E0,-2.584E1)); +#32901=LINE('',#32900,#32899); +#32902=DIRECTION('',(1.E0,0.E0,0.E0)); +#32903=VECTOR('',#32902,9.E-1); +#32904=CARTESIAN_POINT('',(-3.27E1,4.815E0,-2.584E1)); +#32905=LINE('',#32904,#32903); +#32906=DIRECTION('',(0.E0,1.E0,0.E0)); +#32907=VECTOR('',#32906,6.3E-1); +#32908=CARTESIAN_POINT('',(-3.055E1,4.815E0,-2.584E1)); +#32909=LINE('',#32908,#32907); +#32910=DIRECTION('',(1.E0,0.E0,0.E0)); +#32911=VECTOR('',#32910,9.E-1); +#32912=CARTESIAN_POINT('',(-3.055E1,5.445E0,-2.584E1)); +#32913=LINE('',#32912,#32911); +#32914=DIRECTION('',(0.E0,1.E0,0.E0)); +#32915=VECTOR('',#32914,6.3E-1); +#32916=CARTESIAN_POINT('',(-2.965E1,4.815E0,-2.584E1)); +#32917=LINE('',#32916,#32915); +#32918=DIRECTION('',(1.E0,0.E0,0.E0)); +#32919=VECTOR('',#32918,9.E-1); +#32920=CARTESIAN_POINT('',(-3.055E1,4.815E0,-2.584E1)); +#32921=LINE('',#32920,#32919); +#32922=DIRECTION('',(0.E0,1.E0,0.E0)); +#32923=VECTOR('',#32922,6.3E-1); +#32924=CARTESIAN_POINT('',(-2.84E1,4.815E0,-2.584E1)); +#32925=LINE('',#32924,#32923); +#32926=DIRECTION('',(1.E0,0.E0,0.E0)); +#32927=VECTOR('',#32926,9.E-1); +#32928=CARTESIAN_POINT('',(-2.84E1,5.445E0,-2.584E1)); +#32929=LINE('',#32928,#32927); +#32930=DIRECTION('',(0.E0,1.E0,0.E0)); +#32931=VECTOR('',#32930,6.3E-1); +#32932=CARTESIAN_POINT('',(-2.75E1,4.815E0,-2.584E1)); +#32933=LINE('',#32932,#32931); +#32934=DIRECTION('',(1.E0,0.E0,0.E0)); +#32935=VECTOR('',#32934,9.E-1); +#32936=CARTESIAN_POINT('',(-2.84E1,4.815E0,-2.584E1)); +#32937=LINE('',#32936,#32935); +#32938=DIRECTION('',(-1.127845612318E-14,1.E0,0.E0)); +#32939=VECTOR('',#32938,6.3E-1); +#32940=CARTESIAN_POINT('',(-2.625E1,4.815E0,-2.584E1)); +#32941=LINE('',#32940,#32939); +#32942=DIRECTION('',(1.E0,0.E0,0.E0)); +#32943=VECTOR('',#32942,9.E-1); +#32944=CARTESIAN_POINT('',(-2.625E1,5.445E0,-2.584E1)); +#32945=LINE('',#32944,#32943); +#32946=DIRECTION('',(0.E0,1.E0,0.E0)); +#32947=VECTOR('',#32946,6.3E-1); +#32948=CARTESIAN_POINT('',(-2.535E1,4.815E0,-2.584E1)); +#32949=LINE('',#32948,#32947); +#32950=DIRECTION('',(1.E0,0.E0,0.E0)); +#32951=VECTOR('',#32950,9.E-1); +#32952=CARTESIAN_POINT('',(-2.625E1,4.815E0,-2.584E1)); +#32953=LINE('',#32952,#32951); +#32954=DIRECTION('',(0.E0,1.E0,0.E0)); +#32955=VECTOR('',#32954,6.3E-1); +#32956=CARTESIAN_POINT('',(-2.41E1,4.815E0,-2.584E1)); +#32957=LINE('',#32956,#32955); +#32958=DIRECTION('',(1.E0,0.E0,0.E0)); +#32959=VECTOR('',#32958,9.E-1); +#32960=CARTESIAN_POINT('',(-2.41E1,5.445E0,-2.584E1)); +#32961=LINE('',#32960,#32959); +#32962=DIRECTION('',(0.E0,1.E0,0.E0)); +#32963=VECTOR('',#32962,6.3E-1); +#32964=CARTESIAN_POINT('',(-2.32E1,4.815E0,-2.584E1)); +#32965=LINE('',#32964,#32963); +#32966=DIRECTION('',(1.E0,0.E0,0.E0)); +#32967=VECTOR('',#32966,9.E-1); +#32968=CARTESIAN_POINT('',(-2.41E1,4.815E0,-2.584E1)); +#32969=LINE('',#32968,#32967); +#32970=DIRECTION('',(0.E0,1.E0,0.E0)); +#32971=VECTOR('',#32970,6.3E-1); +#32972=CARTESIAN_POINT('',(-2.195E1,4.815E0,-2.584E1)); +#32973=LINE('',#32972,#32971); +#32974=DIRECTION('',(1.E0,0.E0,0.E0)); +#32975=VECTOR('',#32974,9.E-1); +#32976=CARTESIAN_POINT('',(-2.195E1,5.445E0,-2.584E1)); +#32977=LINE('',#32976,#32975); +#32978=DIRECTION('',(0.E0,1.E0,0.E0)); +#32979=VECTOR('',#32978,6.3E-1); +#32980=CARTESIAN_POINT('',(-2.105E1,4.815E0,-2.584E1)); +#32981=LINE('',#32980,#32979); +#32982=DIRECTION('',(1.E0,0.E0,0.E0)); +#32983=VECTOR('',#32982,9.E-1); +#32984=CARTESIAN_POINT('',(-2.195E1,4.815E0,-2.584E1)); +#32985=LINE('',#32984,#32983); +#32986=DIRECTION('',(0.E0,1.E0,0.E0)); +#32987=VECTOR('',#32986,6.3E-1); +#32988=CARTESIAN_POINT('',(-1.98E1,4.815E0,-2.584E1)); +#32989=LINE('',#32988,#32987); +#32990=DIRECTION('',(1.E0,0.E0,0.E0)); +#32991=VECTOR('',#32990,9.E-1); +#32992=CARTESIAN_POINT('',(-1.98E1,5.445E0,-2.584E1)); +#32993=LINE('',#32992,#32991); +#32994=DIRECTION('',(0.E0,1.E0,0.E0)); +#32995=VECTOR('',#32994,6.3E-1); +#32996=CARTESIAN_POINT('',(-1.89E1,4.815E0,-2.584E1)); +#32997=LINE('',#32996,#32995); +#32998=DIRECTION('',(1.E0,0.E0,0.E0)); +#32999=VECTOR('',#32998,9.E-1); +#33000=CARTESIAN_POINT('',(-1.98E1,4.815E0,-2.584E1)); +#33001=LINE('',#33000,#32999); +#33002=DIRECTION('',(0.E0,1.E0,0.E0)); +#33003=VECTOR('',#33002,6.3E-1); +#33004=CARTESIAN_POINT('',(-1.765E1,4.815E0,-2.584E1)); +#33005=LINE('',#33004,#33003); +#33006=DIRECTION('',(1.E0,0.E0,0.E0)); +#33007=VECTOR('',#33006,9.E-1); +#33008=CARTESIAN_POINT('',(-1.765E1,5.445E0,-2.584E1)); +#33009=LINE('',#33008,#33007); +#33010=DIRECTION('',(0.E0,1.E0,0.E0)); +#33011=VECTOR('',#33010,6.3E-1); +#33012=CARTESIAN_POINT('',(-1.675E1,4.815E0,-2.584E1)); +#33013=LINE('',#33012,#33011); +#33014=DIRECTION('',(1.E0,0.E0,0.E0)); +#33015=VECTOR('',#33014,9.E-1); +#33016=CARTESIAN_POINT('',(-1.765E1,4.815E0,-2.584E1)); +#33017=LINE('',#33016,#33015); +#33018=DIRECTION('',(0.E0,1.E0,0.E0)); +#33019=VECTOR('',#33018,6.3E-1); +#33020=CARTESIAN_POINT('',(-1.55E1,4.815E0,-2.584E1)); +#33021=LINE('',#33020,#33019); +#33022=DIRECTION('',(1.E0,0.E0,0.E0)); +#33023=VECTOR('',#33022,9.E-1); +#33024=CARTESIAN_POINT('',(-1.55E1,5.445E0,-2.584E1)); +#33025=LINE('',#33024,#33023); +#33026=DIRECTION('',(0.E0,1.E0,0.E0)); +#33027=VECTOR('',#33026,6.3E-1); +#33028=CARTESIAN_POINT('',(-1.46E1,4.815E0,-2.584E1)); +#33029=LINE('',#33028,#33027); +#33030=DIRECTION('',(1.E0,0.E0,0.E0)); +#33031=VECTOR('',#33030,9.E-1); +#33032=CARTESIAN_POINT('',(-1.55E1,4.815E0,-2.584E1)); +#33033=LINE('',#33032,#33031); +#33034=DIRECTION('',(0.E0,1.E0,0.E0)); +#33035=VECTOR('',#33034,6.3E-1); +#33036=CARTESIAN_POINT('',(-1.335E1,4.815E0,-2.584E1)); +#33037=LINE('',#33036,#33035); +#33038=DIRECTION('',(1.E0,0.E0,0.E0)); +#33039=VECTOR('',#33038,9.E-1); +#33040=CARTESIAN_POINT('',(-1.335E1,5.445E0,-2.584E1)); +#33041=LINE('',#33040,#33039); +#33042=DIRECTION('',(0.E0,1.E0,0.E0)); +#33043=VECTOR('',#33042,6.3E-1); +#33044=CARTESIAN_POINT('',(-1.245E1,4.815E0,-2.584E1)); +#33045=LINE('',#33044,#33043); +#33046=DIRECTION('',(1.E0,0.E0,0.E0)); +#33047=VECTOR('',#33046,9.E-1); +#33048=CARTESIAN_POINT('',(-1.335E1,4.815E0,-2.584E1)); +#33049=LINE('',#33048,#33047); +#33050=DIRECTION('',(0.E0,1.E0,0.E0)); +#33051=VECTOR('',#33050,6.3E-1); +#33052=CARTESIAN_POINT('',(-1.12E1,4.815E0,-2.584E1)); +#33053=LINE('',#33052,#33051); +#33054=DIRECTION('',(1.E0,0.E0,0.E0)); +#33055=VECTOR('',#33054,9.E-1); +#33056=CARTESIAN_POINT('',(-1.12E1,5.445E0,-2.584E1)); +#33057=LINE('',#33056,#33055); +#33058=DIRECTION('',(0.E0,1.E0,0.E0)); +#33059=VECTOR('',#33058,6.3E-1); +#33060=CARTESIAN_POINT('',(-1.03E1,4.815E0,-2.584E1)); +#33061=LINE('',#33060,#33059); +#33062=DIRECTION('',(1.E0,0.E0,0.E0)); +#33063=VECTOR('',#33062,9.E-1); +#33064=CARTESIAN_POINT('',(-1.12E1,4.815E0,-2.584E1)); +#33065=LINE('',#33064,#33063); +#33066=DIRECTION('',(0.E0,1.E0,0.E0)); +#33067=VECTOR('',#33066,6.3E-1); +#33068=CARTESIAN_POINT('',(-9.05E0,4.815E0,-2.584E1)); +#33069=LINE('',#33068,#33067); +#33070=DIRECTION('',(1.E0,0.E0,0.E0)); +#33071=VECTOR('',#33070,9.E-1); +#33072=CARTESIAN_POINT('',(-9.05E0,5.445E0,-2.584E1)); +#33073=LINE('',#33072,#33071); +#33074=DIRECTION('',(0.E0,1.E0,0.E0)); +#33075=VECTOR('',#33074,6.3E-1); +#33076=CARTESIAN_POINT('',(-8.15E0,4.815E0,-2.584E1)); +#33077=LINE('',#33076,#33075); +#33078=DIRECTION('',(1.E0,0.E0,0.E0)); +#33079=VECTOR('',#33078,9.E-1); +#33080=CARTESIAN_POINT('',(-9.05E0,4.815E0,-2.584E1)); +#33081=LINE('',#33080,#33079); +#33082=DIRECTION('',(0.E0,1.E0,0.E0)); +#33083=VECTOR('',#33082,6.3E-1); +#33084=CARTESIAN_POINT('',(-6.9E0,4.815E0,-2.584E1)); +#33085=LINE('',#33084,#33083); +#33086=DIRECTION('',(1.E0,0.E0,0.E0)); +#33087=VECTOR('',#33086,9.E-1); +#33088=CARTESIAN_POINT('',(-6.9E0,5.445E0,-2.584E1)); +#33089=LINE('',#33088,#33087); +#33090=DIRECTION('',(0.E0,1.E0,0.E0)); +#33091=VECTOR('',#33090,6.3E-1); +#33092=CARTESIAN_POINT('',(-6.E0,4.815E0,-2.584E1)); +#33093=LINE('',#33092,#33091); +#33094=DIRECTION('',(1.E0,0.E0,0.E0)); +#33095=VECTOR('',#33094,9.E-1); +#33096=CARTESIAN_POINT('',(-6.9E0,4.815E0,-2.584E1)); +#33097=LINE('',#33096,#33095); +#33098=DIRECTION('',(0.E0,1.E0,0.E0)); +#33099=VECTOR('',#33098,6.3E-1); +#33100=CARTESIAN_POINT('',(-4.75E0,4.815E0,-2.584E1)); +#33101=LINE('',#33100,#33099); +#33102=DIRECTION('',(1.E0,0.E0,0.E0)); +#33103=VECTOR('',#33102,9.E-1); +#33104=CARTESIAN_POINT('',(-4.75E0,5.445E0,-2.584E1)); +#33105=LINE('',#33104,#33103); +#33106=DIRECTION('',(0.E0,1.E0,0.E0)); +#33107=VECTOR('',#33106,6.3E-1); +#33108=CARTESIAN_POINT('',(-3.85E0,4.815E0,-2.584E1)); +#33109=LINE('',#33108,#33107); +#33110=DIRECTION('',(1.E0,0.E0,0.E0)); +#33111=VECTOR('',#33110,9.E-1); +#33112=CARTESIAN_POINT('',(-4.75E0,4.815E0,-2.584E1)); +#33113=LINE('',#33112,#33111); +#33114=DIRECTION('',(0.E0,1.E0,0.E0)); +#33115=VECTOR('',#33114,6.3E-1); +#33116=CARTESIAN_POINT('',(-2.6E0,4.815E0,-2.584E1)); +#33117=LINE('',#33116,#33115); +#33118=DIRECTION('',(1.E0,0.E0,0.E0)); +#33119=VECTOR('',#33118,9.E-1); +#33120=CARTESIAN_POINT('',(-2.6E0,5.445E0,-2.584E1)); +#33121=LINE('',#33120,#33119); +#33122=DIRECTION('',(0.E0,1.E0,0.E0)); +#33123=VECTOR('',#33122,6.3E-1); +#33124=CARTESIAN_POINT('',(-1.7E0,4.815E0,-2.584E1)); +#33125=LINE('',#33124,#33123); +#33126=DIRECTION('',(1.E0,0.E0,0.E0)); +#33127=VECTOR('',#33126,9.E-1); +#33128=CARTESIAN_POINT('',(-2.6E0,4.815E0,-2.584E1)); +#33129=LINE('',#33128,#33127); +#33130=DIRECTION('',(0.E0,1.E0,0.E0)); +#33131=VECTOR('',#33130,6.3E-1); +#33132=CARTESIAN_POINT('',(-4.5E-1,4.815E0,-2.584E1)); +#33133=LINE('',#33132,#33131); +#33134=DIRECTION('',(1.E0,0.E0,0.E0)); +#33135=VECTOR('',#33134,9.E-1); +#33136=CARTESIAN_POINT('',(-4.5E-1,5.445E0,-2.584E1)); +#33137=LINE('',#33136,#33135); +#33138=DIRECTION('',(0.E0,1.E0,0.E0)); +#33139=VECTOR('',#33138,6.3E-1); +#33140=CARTESIAN_POINT('',(4.5E-1,4.815E0,-2.584E1)); +#33141=LINE('',#33140,#33139); +#33142=DIRECTION('',(1.E0,0.E0,0.E0)); +#33143=VECTOR('',#33142,9.E-1); +#33144=CARTESIAN_POINT('',(-4.5E-1,4.815E0,-2.584E1)); +#33145=LINE('',#33144,#33143); +#33146=DIRECTION('',(0.E0,1.E0,0.E0)); +#33147=VECTOR('',#33146,6.3E-1); +#33148=CARTESIAN_POINT('',(1.7E0,4.815E0,-2.584E1)); +#33149=LINE('',#33148,#33147); +#33150=DIRECTION('',(1.E0,0.E0,0.E0)); +#33151=VECTOR('',#33150,9.E-1); +#33152=CARTESIAN_POINT('',(1.7E0,5.445E0,-2.584E1)); +#33153=LINE('',#33152,#33151); +#33154=DIRECTION('',(0.E0,1.E0,0.E0)); +#33155=VECTOR('',#33154,6.3E-1); +#33156=CARTESIAN_POINT('',(2.6E0,4.815E0,-2.584E1)); +#33157=LINE('',#33156,#33155); +#33158=DIRECTION('',(1.E0,0.E0,0.E0)); +#33159=VECTOR('',#33158,9.E-1); +#33160=CARTESIAN_POINT('',(1.7E0,4.815E0,-2.584E1)); +#33161=LINE('',#33160,#33159); +#33162=DIRECTION('',(0.E0,1.E0,0.E0)); +#33163=VECTOR('',#33162,6.3E-1); +#33164=CARTESIAN_POINT('',(3.85E0,4.815E0,-2.584E1)); +#33165=LINE('',#33164,#33163); +#33166=DIRECTION('',(1.E0,0.E0,0.E0)); +#33167=VECTOR('',#33166,9.E-1); +#33168=CARTESIAN_POINT('',(3.85E0,5.445E0,-2.584E1)); +#33169=LINE('',#33168,#33167); +#33170=DIRECTION('',(0.E0,1.E0,0.E0)); +#33171=VECTOR('',#33170,6.3E-1); +#33172=CARTESIAN_POINT('',(4.75E0,4.815E0,-2.584E1)); +#33173=LINE('',#33172,#33171); +#33174=DIRECTION('',(1.E0,0.E0,0.E0)); +#33175=VECTOR('',#33174,9.E-1); +#33176=CARTESIAN_POINT('',(3.85E0,4.815E0,-2.584E1)); +#33177=LINE('',#33176,#33175); +#33178=DIRECTION('',(0.E0,1.E0,0.E0)); +#33179=VECTOR('',#33178,6.3E-1); +#33180=CARTESIAN_POINT('',(6.E0,4.815E0,-2.584E1)); +#33181=LINE('',#33180,#33179); +#33182=DIRECTION('',(1.E0,0.E0,0.E0)); +#33183=VECTOR('',#33182,9.E-1); +#33184=CARTESIAN_POINT('',(6.E0,5.445E0,-2.584E1)); +#33185=LINE('',#33184,#33183); +#33186=DIRECTION('',(0.E0,1.E0,0.E0)); +#33187=VECTOR('',#33186,6.3E-1); +#33188=CARTESIAN_POINT('',(6.9E0,4.815E0,-2.584E1)); +#33189=LINE('',#33188,#33187); +#33190=DIRECTION('',(1.E0,0.E0,0.E0)); +#33191=VECTOR('',#33190,9.E-1); +#33192=CARTESIAN_POINT('',(6.E0,4.815E0,-2.584E1)); +#33193=LINE('',#33192,#33191); +#33194=DIRECTION('',(0.E0,1.E0,0.E0)); +#33195=VECTOR('',#33194,6.3E-1); +#33196=CARTESIAN_POINT('',(8.15E0,4.815E0,-2.584E1)); +#33197=LINE('',#33196,#33195); +#33198=DIRECTION('',(1.E0,0.E0,0.E0)); +#33199=VECTOR('',#33198,9.E-1); +#33200=CARTESIAN_POINT('',(8.15E0,5.445E0,-2.584E1)); +#33201=LINE('',#33200,#33199); +#33202=DIRECTION('',(0.E0,1.E0,0.E0)); +#33203=VECTOR('',#33202,6.3E-1); +#33204=CARTESIAN_POINT('',(9.05E0,4.815E0,-2.584E1)); +#33205=LINE('',#33204,#33203); +#33206=DIRECTION('',(1.E0,0.E0,0.E0)); +#33207=VECTOR('',#33206,9.E-1); +#33208=CARTESIAN_POINT('',(8.15E0,4.815E0,-2.584E1)); +#33209=LINE('',#33208,#33207); +#33210=DIRECTION('',(0.E0,1.E0,0.E0)); +#33211=VECTOR('',#33210,6.3E-1); +#33212=CARTESIAN_POINT('',(2.38E1,4.815E0,-2.584E1)); +#33213=LINE('',#33212,#33211); +#33214=DIRECTION('',(1.E0,0.E0,0.E0)); +#33215=VECTOR('',#33214,9.E-1); +#33216=CARTESIAN_POINT('',(2.38E1,5.445E0,-2.584E1)); +#33217=LINE('',#33216,#33215); +#33218=DIRECTION('',(0.E0,1.E0,0.E0)); +#33219=VECTOR('',#33218,6.3E-1); +#33220=CARTESIAN_POINT('',(2.47E1,4.815E0,-2.584E1)); +#33221=LINE('',#33220,#33219); +#33222=DIRECTION('',(1.E0,0.E0,0.E0)); +#33223=VECTOR('',#33222,9.E-1); +#33224=CARTESIAN_POINT('',(2.38E1,4.815E0,-2.584E1)); +#33225=LINE('',#33224,#33223); +#33226=DIRECTION('',(0.E0,1.E0,0.E0)); +#33227=VECTOR('',#33226,6.3E-1); +#33228=CARTESIAN_POINT('',(2.595E1,4.815E0,-2.584E1)); +#33229=LINE('',#33228,#33227); +#33230=DIRECTION('',(1.E0,0.E0,0.E0)); +#33231=VECTOR('',#33230,9.E-1); +#33232=CARTESIAN_POINT('',(2.595E1,5.445E0,-2.584E1)); +#33233=LINE('',#33232,#33231); +#33234=DIRECTION('',(0.E0,1.E0,0.E0)); +#33235=VECTOR('',#33234,6.3E-1); +#33236=CARTESIAN_POINT('',(2.685E1,4.815E0,-2.584E1)); +#33237=LINE('',#33236,#33235); +#33238=DIRECTION('',(1.E0,0.E0,0.E0)); +#33239=VECTOR('',#33238,9.E-1); +#33240=CARTESIAN_POINT('',(2.595E1,4.815E0,-2.584E1)); +#33241=LINE('',#33240,#33239); +#33242=DIRECTION('',(0.E0,1.E0,0.E0)); +#33243=VECTOR('',#33242,6.3E-1); +#33244=CARTESIAN_POINT('',(2.81E1,4.815E0,-2.584E1)); +#33245=LINE('',#33244,#33243); +#33246=DIRECTION('',(1.E0,0.E0,0.E0)); +#33247=VECTOR('',#33246,9.E-1); +#33248=CARTESIAN_POINT('',(2.81E1,5.445E0,-2.584E1)); +#33249=LINE('',#33248,#33247); +#33250=DIRECTION('',(0.E0,1.E0,0.E0)); +#33251=VECTOR('',#33250,6.3E-1); +#33252=CARTESIAN_POINT('',(2.9E1,4.815E0,-2.584E1)); +#33253=LINE('',#33252,#33251); +#33254=DIRECTION('',(1.E0,0.E0,0.E0)); +#33255=VECTOR('',#33254,9.E-1); +#33256=CARTESIAN_POINT('',(2.81E1,4.815E0,-2.584E1)); +#33257=LINE('',#33256,#33255); +#33258=DIRECTION('',(0.E0,1.E0,0.E0)); +#33259=VECTOR('',#33258,6.3E-1); +#33260=CARTESIAN_POINT('',(3.025E1,4.815E0,-2.584E1)); +#33261=LINE('',#33260,#33259); +#33262=DIRECTION('',(1.E0,0.E0,0.E0)); +#33263=VECTOR('',#33262,9.E-1); +#33264=CARTESIAN_POINT('',(3.025E1,5.445E0,-2.584E1)); +#33265=LINE('',#33264,#33263); +#33266=DIRECTION('',(-1.127845612318E-14,1.E0,0.E0)); +#33267=VECTOR('',#33266,6.3E-1); +#33268=CARTESIAN_POINT('',(3.115E1,4.815E0,-2.584E1)); +#33269=LINE('',#33268,#33267); +#33270=DIRECTION('',(1.E0,0.E0,0.E0)); +#33271=VECTOR('',#33270,9.E-1); +#33272=CARTESIAN_POINT('',(3.025E1,4.815E0,-2.584E1)); +#33273=LINE('',#33272,#33271); +#33274=DIRECTION('',(0.E0,1.E0,0.E0)); +#33275=VECTOR('',#33274,6.3E-1); +#33276=CARTESIAN_POINT('',(3.24E1,4.815E0,-2.584E1)); +#33277=LINE('',#33276,#33275); +#33278=DIRECTION('',(1.E0,0.E0,0.E0)); +#33279=VECTOR('',#33278,9.E-1); +#33280=CARTESIAN_POINT('',(3.24E1,5.445E0,-2.584E1)); +#33281=LINE('',#33280,#33279); +#33282=DIRECTION('',(0.E0,1.E0,0.E0)); +#33283=VECTOR('',#33282,6.3E-1); +#33284=CARTESIAN_POINT('',(3.33E1,4.815E0,-2.584E1)); +#33285=LINE('',#33284,#33283); +#33286=DIRECTION('',(1.E0,0.E0,0.E0)); +#33287=VECTOR('',#33286,9.E-1); +#33288=CARTESIAN_POINT('',(3.24E1,4.815E0,-2.584E1)); +#33289=LINE('',#33288,#33287); +#33290=DIRECTION('',(0.E0,1.E0,0.E0)); +#33291=VECTOR('',#33290,6.3E-1); +#33292=CARTESIAN_POINT('',(3.455E1,4.815E0,-2.584E1)); +#33293=LINE('',#33292,#33291); +#33294=DIRECTION('',(1.E0,0.E0,0.E0)); +#33295=VECTOR('',#33294,9.E-1); +#33296=CARTESIAN_POINT('',(3.455E1,5.445E0,-2.584E1)); +#33297=LINE('',#33296,#33295); +#33298=DIRECTION('',(0.E0,1.E0,0.E0)); +#33299=VECTOR('',#33298,6.3E-1); +#33300=CARTESIAN_POINT('',(3.545E1,4.815E0,-2.584E1)); +#33301=LINE('',#33300,#33299); +#33302=DIRECTION('',(1.E0,0.E0,0.E0)); +#33303=VECTOR('',#33302,9.E-1); +#33304=CARTESIAN_POINT('',(3.455E1,4.815E0,-2.584E1)); +#33305=LINE('',#33304,#33303); +#33306=DIRECTION('',(0.E0,1.E0,0.E0)); +#33307=VECTOR('',#33306,6.3E-1); +#33308=CARTESIAN_POINT('',(3.67E1,4.815E0,-2.584E1)); +#33309=LINE('',#33308,#33307); +#33310=DIRECTION('',(1.E0,0.E0,0.E0)); +#33311=VECTOR('',#33310,9.E-1); +#33312=CARTESIAN_POINT('',(3.67E1,5.445E0,-2.584E1)); +#33313=LINE('',#33312,#33311); +#33314=DIRECTION('',(0.E0,1.E0,0.E0)); +#33315=VECTOR('',#33314,6.3E-1); +#33316=CARTESIAN_POINT('',(3.76E1,4.815E0,-2.584E1)); +#33317=LINE('',#33316,#33315); +#33318=DIRECTION('',(1.E0,0.E0,0.E0)); +#33319=VECTOR('',#33318,9.E-1); +#33320=CARTESIAN_POINT('',(3.67E1,4.815E0,-2.584E1)); +#33321=LINE('',#33320,#33319); +#33322=DIRECTION('',(0.E0,1.E0,0.E0)); +#33323=VECTOR('',#33322,6.3E-1); +#33324=CARTESIAN_POINT('',(3.885E1,4.815E0,-2.584E1)); +#33325=LINE('',#33324,#33323); +#33326=DIRECTION('',(1.E0,0.E0,0.E0)); +#33327=VECTOR('',#33326,9.E-1); +#33328=CARTESIAN_POINT('',(3.885E1,5.445E0,-2.584E1)); +#33329=LINE('',#33328,#33327); +#33330=DIRECTION('',(0.E0,1.E0,0.E0)); +#33331=VECTOR('',#33330,6.3E-1); +#33332=CARTESIAN_POINT('',(3.975E1,4.815E0,-2.584E1)); +#33333=LINE('',#33332,#33331); +#33334=DIRECTION('',(1.E0,0.E0,0.E0)); +#33335=VECTOR('',#33334,9.E-1); +#33336=CARTESIAN_POINT('',(3.885E1,4.815E0,-2.584E1)); +#33337=LINE('',#33336,#33335); +#33338=DIRECTION('',(0.E0,1.E0,0.E0)); +#33339=VECTOR('',#33338,6.3E-1); +#33340=CARTESIAN_POINT('',(4.1E1,4.815E0,-2.584E1)); +#33341=LINE('',#33340,#33339); +#33342=DIRECTION('',(1.E0,0.E0,0.E0)); +#33343=VECTOR('',#33342,9.E-1); +#33344=CARTESIAN_POINT('',(4.1E1,5.445E0,-2.584E1)); +#33345=LINE('',#33344,#33343); +#33346=DIRECTION('',(0.E0,1.E0,0.E0)); +#33347=VECTOR('',#33346,6.3E-1); +#33348=CARTESIAN_POINT('',(4.19E1,4.815E0,-2.584E1)); +#33349=LINE('',#33348,#33347); +#33350=DIRECTION('',(1.E0,0.E0,0.E0)); +#33351=VECTOR('',#33350,9.E-1); +#33352=CARTESIAN_POINT('',(4.1E1,4.815E0,-2.584E1)); +#33353=LINE('',#33352,#33351); +#33354=DIRECTION('',(0.E0,1.E0,0.E0)); +#33355=VECTOR('',#33354,6.3E-1); +#33356=CARTESIAN_POINT('',(4.315E1,4.815E0,-2.584E1)); +#33357=LINE('',#33356,#33355); +#33358=DIRECTION('',(1.E0,0.E0,0.E0)); +#33359=VECTOR('',#33358,9.E-1); +#33360=CARTESIAN_POINT('',(4.315E1,5.445E0,-2.584E1)); +#33361=LINE('',#33360,#33359); +#33362=DIRECTION('',(0.E0,1.E0,0.E0)); +#33363=VECTOR('',#33362,6.3E-1); +#33364=CARTESIAN_POINT('',(4.405E1,4.815E0,-2.584E1)); +#33365=LINE('',#33364,#33363); +#33366=DIRECTION('',(1.E0,0.E0,0.E0)); +#33367=VECTOR('',#33366,9.E-1); +#33368=CARTESIAN_POINT('',(4.315E1,4.815E0,-2.584E1)); +#33369=LINE('',#33368,#33367); +#33370=DIRECTION('',(0.E0,1.E0,0.E0)); +#33371=VECTOR('',#33370,6.3E-1); +#33372=CARTESIAN_POINT('',(4.53E1,4.815E0,-2.584E1)); +#33373=LINE('',#33372,#33371); +#33374=DIRECTION('',(1.E0,0.E0,0.E0)); +#33375=VECTOR('',#33374,9.E-1); +#33376=CARTESIAN_POINT('',(4.53E1,5.445E0,-2.584E1)); +#33377=LINE('',#33376,#33375); +#33378=DIRECTION('',(0.E0,1.E0,0.E0)); +#33379=VECTOR('',#33378,6.3E-1); +#33380=CARTESIAN_POINT('',(4.62E1,4.815E0,-2.584E1)); +#33381=LINE('',#33380,#33379); +#33382=DIRECTION('',(1.E0,0.E0,0.E0)); +#33383=VECTOR('',#33382,9.E-1); +#33384=CARTESIAN_POINT('',(4.53E1,4.815E0,-2.584E1)); +#33385=LINE('',#33384,#33383); +#33386=DIRECTION('',(0.E0,1.E0,0.E0)); +#33387=VECTOR('',#33386,6.3E-1); +#33388=CARTESIAN_POINT('',(4.745E1,4.815E0,-2.584E1)); +#33389=LINE('',#33388,#33387); +#33390=DIRECTION('',(1.E0,0.E0,0.E0)); +#33391=VECTOR('',#33390,9.E-1); +#33392=CARTESIAN_POINT('',(4.745E1,5.445E0,-2.584E1)); +#33393=LINE('',#33392,#33391); +#33394=DIRECTION('',(0.E0,1.E0,0.E0)); +#33395=VECTOR('',#33394,6.3E-1); +#33396=CARTESIAN_POINT('',(4.835E1,4.815E0,-2.584E1)); +#33397=LINE('',#33396,#33395); +#33398=DIRECTION('',(1.E0,0.E0,0.E0)); +#33399=VECTOR('',#33398,9.E-1); +#33400=CARTESIAN_POINT('',(4.745E1,4.815E0,-2.584E1)); +#33401=LINE('',#33400,#33399); +#33402=DIRECTION('',(0.E0,1.E0,0.E0)); +#33403=VECTOR('',#33402,6.3E-1); +#33404=CARTESIAN_POINT('',(4.96E1,4.815E0,-2.584E1)); +#33405=LINE('',#33404,#33403); +#33406=DIRECTION('',(1.E0,0.E0,0.E0)); +#33407=VECTOR('',#33406,9.E-1); +#33408=CARTESIAN_POINT('',(4.96E1,5.445E0,-2.584E1)); +#33409=LINE('',#33408,#33407); +#33410=DIRECTION('',(0.E0,1.E0,0.E0)); +#33411=VECTOR('',#33410,6.3E-1); +#33412=CARTESIAN_POINT('',(5.05E1,4.815E0,-2.584E1)); +#33413=LINE('',#33412,#33411); +#33414=DIRECTION('',(1.E0,0.E0,0.E0)); +#33415=VECTOR('',#33414,9.E-1); +#33416=CARTESIAN_POINT('',(4.96E1,4.815E0,-2.584E1)); +#33417=LINE('',#33416,#33415); +#33418=DIRECTION('',(0.E0,1.E0,0.E0)); +#33419=VECTOR('',#33418,6.3E-1); +#33420=CARTESIAN_POINT('',(5.175E1,4.815E0,-2.584E1)); +#33421=LINE('',#33420,#33419); +#33422=DIRECTION('',(1.E0,0.E0,0.E0)); +#33423=VECTOR('',#33422,9.E-1); +#33424=CARTESIAN_POINT('',(5.175E1,5.445E0,-2.584E1)); +#33425=LINE('',#33424,#33423); +#33426=DIRECTION('',(0.E0,1.E0,0.E0)); +#33427=VECTOR('',#33426,6.3E-1); +#33428=CARTESIAN_POINT('',(5.265E1,4.815E0,-2.584E1)); +#33429=LINE('',#33428,#33427); +#33430=DIRECTION('',(1.E0,0.E0,0.E0)); +#33431=VECTOR('',#33430,9.E-1); +#33432=CARTESIAN_POINT('',(5.175E1,4.815E0,-2.584E1)); +#33433=LINE('',#33432,#33431); +#33434=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33435=VECTOR('',#33434,2.24E0); +#33436=CARTESIAN_POINT('',(2.315E1,3.5E0,-2.36E1)); +#33437=LINE('',#33436,#33435); +#33438=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33439=VECTOR('',#33438,2.24E0); +#33440=CARTESIAN_POINT('',(5.33E1,3.5E0,-2.36E1)); +#33441=LINE('',#33440,#33439); +#33442=DIRECTION('',(0.E0,0.E0,1.E0)); +#33443=VECTOR('',#33442,6.3E-1); +#33444=CARTESIAN_POINT('',(-4.13E1,-1.094019237886E1,-3.0895E1)); +#33445=LINE('',#33444,#33443); +#33446=DIRECTION('',(0.E0,1.E0,0.E0)); +#33447=VECTOR('',#33446,1.475519237886E1); +#33448=CARTESIAN_POINT('',(-4.13E1,-1.094019237886E1,-3.0895E1)); +#33449=LINE('',#33448,#33447); +#33450=CARTESIAN_POINT('',(-4.13E1,3.815E0,-2.9265E1)); +#33451=DIRECTION('',(1.E0,0.E0,0.E0)); +#33452=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33453=AXIS2_PLACEMENT_3D('',#33450,#33451,#33452); +#33455=DIRECTION('',(0.E0,0.E0,1.E0)); +#33456=VECTOR('',#33455,3.425E0); +#33457=CARTESIAN_POINT('',(-4.13E1,5.445E0,-2.9265E1)); +#33458=LINE('',#33457,#33456); +#33459=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33460=VECTOR('',#33459,3.425E0); +#33461=CARTESIAN_POINT('',(-4.13E1,4.815E0,-2.584E1)); +#33462=LINE('',#33461,#33460); +#33463=CARTESIAN_POINT('',(-4.13E1,3.815E0,-2.9265E1)); +#33464=DIRECTION('',(-1.E0,0.E0,0.E0)); +#33465=DIRECTION('',(0.E0,1.E0,0.E0)); +#33466=AXIS2_PLACEMENT_3D('',#33463,#33464,#33465); +#33468=DIRECTION('',(0.E0,-1.E0,0.E0)); +#33469=VECTOR('',#33468,1.475519237886E1); +#33470=CARTESIAN_POINT('',(-4.13E1,3.815E0,-3.0265E1)); +#33471=LINE('',#33470,#33469); +#33472=DIRECTION('',(0.E0,0.E0,1.E0)); +#33473=VECTOR('',#33472,6.3E-1); +#33474=CARTESIAN_POINT('',(-4.13E1,-1.094019237886E1,-2.5815E1)); +#33475=LINE('',#33474,#33473); +#33476=DIRECTION('',(0.E0,1.E0,0.E0)); +#33477=VECTOR('',#33476,7.655192378865E0); +#33478=CARTESIAN_POINT('',(-4.13E1,-1.094019237886E1,-2.5815E1)); +#33479=LINE('',#33478,#33477); +#33480=CARTESIAN_POINT('',(-4.13E1,-3.285E0,-2.4685E1)); +#33481=DIRECTION('',(1.E0,0.E0,0.E0)); +#33482=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33483=AXIS2_PLACEMENT_3D('',#33480,#33481,#33482); +#33485=DIRECTION('',(0.E0,0.E0,1.E0)); +#33486=VECTOR('',#33485,1.085E0); +#33487=CARTESIAN_POINT('',(-4.13E1,-2.155E0,-2.4685E1)); +#33488=LINE('',#33487,#33486); +#33489=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33490=VECTOR('',#33489,1.085E0); +#33491=CARTESIAN_POINT('',(-4.13E1,-2.785E0,-2.36E1)); +#33492=LINE('',#33491,#33490); +#33493=CARTESIAN_POINT('',(-4.13E1,-3.285E0,-2.4685E1)); +#33494=DIRECTION('',(-1.E0,0.E0,0.E0)); +#33495=DIRECTION('',(0.E0,1.E0,0.E0)); +#33496=AXIS2_PLACEMENT_3D('',#33493,#33494,#33495); +#33498=DIRECTION('',(0.E0,-1.E0,0.E0)); +#33499=VECTOR('',#33498,7.655192378865E0); +#33500=CARTESIAN_POINT('',(-4.13E1,-3.285E0,-2.5185E1)); +#33501=LINE('',#33500,#33499); +#33502=DIRECTION('',(0.E0,1.E0,0.E0)); +#33503=VECTOR('',#33502,3.7E0); +#33504=CARTESIAN_POINT('',(-4.115E1,-1.72E1,-3.0265E1)); +#33505=LINE('',#33504,#33503); +#33506=DIRECTION('',(0.E0,1.E0,0.E0)); +#33507=VECTOR('',#33506,3.7E0); +#33508=CARTESIAN_POINT('',(-4.055E1,-1.72E1,-3.0265E1)); +#33509=LINE('',#33508,#33507); +#33510=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#33511=VECTOR('',#33510,3.E-1); +#33512=CARTESIAN_POINT('',(-4.13E1,-1.094019237886E1,-3.0265E1)); +#33513=LINE('',#33512,#33511); +#33514=DIRECTION('',(1.E0,0.E0,0.E0)); +#33515=VECTOR('',#33514,9.E-1); +#33516=CARTESIAN_POINT('',(-4.13E1,3.815E0,-3.0265E1)); +#33517=LINE('',#33516,#33515); +#33518=DIRECTION('',(5.E-1,8.660254037845E-1,0.E0)); +#33519=VECTOR('',#33518,3.E-1); +#33520=CARTESIAN_POINT('',(-4.055E1,-1.12E1,-3.0265E1)); +#33521=LINE('',#33520,#33519); +#33522=DIRECTION('',(0.E0,0.E0,1.E0)); +#33523=VECTOR('',#33522,6.3E-1); +#33524=CARTESIAN_POINT('',(-4.115E1,-1.35E1,-3.0895E1)); +#33525=LINE('',#33524,#33523); +#33526=DIRECTION('',(0.E0,0.E0,1.E0)); +#33527=VECTOR('',#33526,6.3E-1); +#33528=CARTESIAN_POINT('',(-4.115E1,-1.35E1,-2.5815E1)); +#33529=LINE('',#33528,#33527); +#33530=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33531=VECTOR('',#33530,6.3E-1); +#33532=CARTESIAN_POINT('',(-4.115E1,-1.72E1,-3.0265E1)); +#33533=LINE('',#33532,#33531); +#33534=DIRECTION('',(1.E0,0.E0,0.E0)); +#33535=VECTOR('',#33534,6.E-1); +#33536=CARTESIAN_POINT('',(-4.115E1,-1.72E1,-3.0265E1)); +#33537=LINE('',#33536,#33535); +#33538=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33539=VECTOR('',#33538,6.3E-1); +#33540=CARTESIAN_POINT('',(-4.055E1,-1.72E1,-3.0265E1)); +#33541=LINE('',#33540,#33539); +#33542=DIRECTION('',(0.E0,1.E0,0.E0)); +#33543=VECTOR('',#33542,3.7E0); +#33544=CARTESIAN_POINT('',(-4.115E1,-1.72E1,-3.0895E1)); +#33545=LINE('',#33544,#33543); +#33546=DIRECTION('',(1.E0,0.E0,0.E0)); +#33547=VECTOR('',#33546,6.E-1); +#33548=CARTESIAN_POINT('',(-4.115E1,-1.72E1,-3.0895E1)); +#33549=LINE('',#33548,#33547); +#33550=DIRECTION('',(0.E0,1.E0,0.E0)); +#33551=VECTOR('',#33550,3.7E0); +#33552=CARTESIAN_POINT('',(-4.055E1,-1.72E1,-3.0895E1)); +#33553=LINE('',#33552,#33551); +#33554=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#33555=VECTOR('',#33554,3.E-1); +#33556=CARTESIAN_POINT('',(-4.13E1,-1.094019237886E1,-3.0895E1)); +#33557=LINE('',#33556,#33555); +#33558=DIRECTION('',(5.E-1,8.660254037845E-1,0.E0)); +#33559=VECTOR('',#33558,3.E-1); +#33560=CARTESIAN_POINT('',(-4.055E1,-1.12E1,-3.0895E1)); +#33561=LINE('',#33560,#33559); +#33562=DIRECTION('',(0.E0,0.E0,1.E0)); +#33563=VECTOR('',#33562,6.3E-1); +#33564=CARTESIAN_POINT('',(-4.055E1,-1.12E1,-3.0895E1)); +#33565=LINE('',#33564,#33563); +#33566=DIRECTION('',(0.E0,0.E0,1.E0)); +#33567=VECTOR('',#33566,6.3E-1); +#33568=CARTESIAN_POINT('',(-4.055E1,-1.12E1,-2.5815E1)); +#33569=LINE('',#33568,#33567); +#33570=DIRECTION('',(1.127845612318E-14,0.E0,1.E0)); +#33571=VECTOR('',#33570,6.3E-1); +#33572=CARTESIAN_POINT('',(-4.04E1,-1.094019237886E1,-3.0895E1)); +#33573=LINE('',#33572,#33571); +#33574=DIRECTION('',(0.E0,-1.E0,0.E0)); +#33575=VECTOR('',#33574,1.475519237886E1); +#33576=CARTESIAN_POINT('',(-4.04E1,3.815E0,-3.0265E1)); +#33577=LINE('',#33576,#33575); +#33578=CARTESIAN_POINT('',(-4.04E1,3.815E0,-2.9265E1)); +#33579=DIRECTION('',(-1.E0,0.E0,0.E0)); +#33580=DIRECTION('',(0.E0,1.E0,0.E0)); +#33581=AXIS2_PLACEMENT_3D('',#33578,#33579,#33580); +#33583=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33584=VECTOR('',#33583,3.425E0); +#33585=CARTESIAN_POINT('',(-4.04E1,4.815E0,-2.584E1)); +#33586=LINE('',#33585,#33584); +#33587=DIRECTION('',(0.E0,0.E0,1.E0)); +#33588=VECTOR('',#33587,3.425E0); +#33589=CARTESIAN_POINT('',(-4.04E1,5.445E0,-2.9265E1)); +#33590=LINE('',#33589,#33588); +#33591=CARTESIAN_POINT('',(-4.04E1,3.815E0,-2.9265E1)); +#33592=DIRECTION('',(1.E0,0.E0,0.E0)); +#33593=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33594=AXIS2_PLACEMENT_3D('',#33591,#33592,#33593); +#33596=DIRECTION('',(0.E0,1.E0,0.E0)); +#33597=VECTOR('',#33596,1.475519237886E1); +#33598=CARTESIAN_POINT('',(-4.04E1,-1.094019237886E1,-3.0895E1)); +#33599=LINE('',#33598,#33597); +#33600=DIRECTION('',(1.127845612318E-14,0.E0,1.E0)); +#33601=VECTOR('',#33600,6.3E-1); +#33602=CARTESIAN_POINT('',(-4.04E1,-1.094019237886E1,-2.5815E1)); +#33603=LINE('',#33602,#33601); +#33604=DIRECTION('',(0.E0,-1.E0,0.E0)); +#33605=VECTOR('',#33604,7.655192378865E0); +#33606=CARTESIAN_POINT('',(-4.04E1,-3.285E0,-2.5185E1)); +#33607=LINE('',#33606,#33605); +#33608=CARTESIAN_POINT('',(-4.04E1,-3.285E0,-2.4685E1)); +#33609=DIRECTION('',(-1.E0,0.E0,0.E0)); +#33610=DIRECTION('',(0.E0,1.E0,0.E0)); +#33611=AXIS2_PLACEMENT_3D('',#33608,#33609,#33610); +#33613=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33614=VECTOR('',#33613,1.085E0); +#33615=CARTESIAN_POINT('',(-4.04E1,-2.785E0,-2.36E1)); +#33616=LINE('',#33615,#33614); +#33617=DIRECTION('',(0.E0,0.E0,1.E0)); +#33618=VECTOR('',#33617,1.085E0); +#33619=CARTESIAN_POINT('',(-4.04E1,-2.155E0,-2.4685E1)); +#33620=LINE('',#33619,#33618); +#33621=CARTESIAN_POINT('',(-4.04E1,-3.285E0,-2.4685E1)); +#33622=DIRECTION('',(1.E0,0.E0,0.E0)); +#33623=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33624=AXIS2_PLACEMENT_3D('',#33621,#33622,#33623); +#33626=DIRECTION('',(0.E0,1.E0,0.E0)); +#33627=VECTOR('',#33626,7.655192378865E0); +#33628=CARTESIAN_POINT('',(-4.04E1,-1.094019237886E1,-2.5815E1)); +#33629=LINE('',#33628,#33627); +#33630=DIRECTION('',(1.E0,0.E0,0.E0)); +#33631=VECTOR('',#33630,9.E-1); +#33632=CARTESIAN_POINT('',(-4.13E1,4.815E0,-2.9265E1)); +#33633=LINE('',#33632,#33631); +#33634=DIRECTION('',(1.E0,0.E0,0.E0)); +#33635=VECTOR('',#33634,9.E-1); +#33636=CARTESIAN_POINT('',(-4.13E1,5.445E0,-2.9265E1)); +#33637=LINE('',#33636,#33635); +#33638=DIRECTION('',(1.E0,0.E0,0.E0)); +#33639=VECTOR('',#33638,9.E-1); +#33640=CARTESIAN_POINT('',(-4.13E1,3.815E0,-3.0895E1)); +#33641=LINE('',#33640,#33639); +#33642=DIRECTION('',(0.E0,1.E0,0.E0)); +#33643=VECTOR('',#33642,3.7E0); +#33644=CARTESIAN_POINT('',(-4.115E1,-1.72E1,-2.5185E1)); +#33645=LINE('',#33644,#33643); +#33646=DIRECTION('',(0.E0,1.E0,0.E0)); +#33647=VECTOR('',#33646,3.7E0); +#33648=CARTESIAN_POINT('',(-4.055E1,-1.72E1,-2.5185E1)); +#33649=LINE('',#33648,#33647); +#33650=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#33651=VECTOR('',#33650,3.E-1); +#33652=CARTESIAN_POINT('',(-4.13E1,-1.094019237886E1,-2.5185E1)); +#33653=LINE('',#33652,#33651); +#33654=DIRECTION('',(1.E0,0.E0,0.E0)); +#33655=VECTOR('',#33654,9.E-1); +#33656=CARTESIAN_POINT('',(-4.13E1,-3.285E0,-2.5185E1)); +#33657=LINE('',#33656,#33655); +#33658=DIRECTION('',(5.E-1,8.660254037845E-1,0.E0)); +#33659=VECTOR('',#33658,3.E-1); +#33660=CARTESIAN_POINT('',(-4.055E1,-1.12E1,-2.5185E1)); +#33661=LINE('',#33660,#33659); +#33662=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33663=VECTOR('',#33662,6.3E-1); +#33664=CARTESIAN_POINT('',(-4.115E1,-1.72E1,-2.5185E1)); +#33665=LINE('',#33664,#33663); +#33666=DIRECTION('',(1.E0,0.E0,0.E0)); +#33667=VECTOR('',#33666,6.E-1); +#33668=CARTESIAN_POINT('',(-4.115E1,-1.72E1,-2.5185E1)); +#33669=LINE('',#33668,#33667); +#33670=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33671=VECTOR('',#33670,6.3E-1); +#33672=CARTESIAN_POINT('',(-4.055E1,-1.72E1,-2.5185E1)); +#33673=LINE('',#33672,#33671); +#33674=DIRECTION('',(0.E0,1.E0,0.E0)); +#33675=VECTOR('',#33674,3.7E0); +#33676=CARTESIAN_POINT('',(-4.115E1,-1.72E1,-2.5815E1)); +#33677=LINE('',#33676,#33675); +#33678=DIRECTION('',(1.E0,0.E0,0.E0)); +#33679=VECTOR('',#33678,6.E-1); +#33680=CARTESIAN_POINT('',(-4.115E1,-1.72E1,-2.5815E1)); +#33681=LINE('',#33680,#33679); +#33682=DIRECTION('',(0.E0,1.E0,0.E0)); +#33683=VECTOR('',#33682,3.7E0); +#33684=CARTESIAN_POINT('',(-4.055E1,-1.72E1,-2.5815E1)); +#33685=LINE('',#33684,#33683); +#33686=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#33687=VECTOR('',#33686,3.E-1); +#33688=CARTESIAN_POINT('',(-4.13E1,-1.094019237886E1,-2.5815E1)); +#33689=LINE('',#33688,#33687); +#33690=DIRECTION('',(5.E-1,8.660254037845E-1,0.E0)); +#33691=VECTOR('',#33690,3.E-1); +#33692=CARTESIAN_POINT('',(-4.055E1,-1.12E1,-2.5815E1)); +#33693=LINE('',#33692,#33691); +#33694=DIRECTION('',(1.E0,0.E0,0.E0)); +#33695=VECTOR('',#33694,9.E-1); +#33696=CARTESIAN_POINT('',(-4.13E1,-3.285E0,-2.5815E1)); +#33697=LINE('',#33696,#33695); +#33698=DIRECTION('',(1.E0,0.E0,0.E0)); +#33699=VECTOR('',#33698,9.E-1); +#33700=CARTESIAN_POINT('',(-4.13E1,-2.155E0,-2.4685E1)); +#33701=LINE('',#33700,#33699); +#33702=DIRECTION('',(1.E0,0.E0,0.E0)); +#33703=VECTOR('',#33702,9.E-1); +#33704=CARTESIAN_POINT('',(-4.13E1,-2.785E0,-2.4685E1)); +#33705=LINE('',#33704,#33703); +#33706=DIRECTION('',(0.E0,0.E0,1.E0)); +#33707=VECTOR('',#33706,6.3E-1); +#33708=CARTESIAN_POINT('',(-3.915E1,-1.094019237886E1,-2.8355E1)); +#33709=LINE('',#33708,#33707); +#33710=DIRECTION('',(0.E0,1.E0,0.E0)); +#33711=VECTOR('',#33710,1.475519237886E1); +#33712=CARTESIAN_POINT('',(-3.915E1,-1.094019237886E1,-2.8355E1)); +#33713=LINE('',#33712,#33711); +#33714=CARTESIAN_POINT('',(-3.915E1,3.815E0,-2.6725E1)); +#33715=DIRECTION('',(1.E0,0.E0,0.E0)); +#33716=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#33717=AXIS2_PLACEMENT_3D('',#33714,#33715,#33716); +#33719=DIRECTION('',(0.E0,0.E0,1.E0)); +#33720=VECTOR('',#33719,8.85E-1); +#33721=CARTESIAN_POINT('',(-3.915E1,5.445E0,-2.6725E1)); +#33722=LINE('',#33721,#33720); +#33723=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33724=VECTOR('',#33723,8.85E-1); +#33725=CARTESIAN_POINT('',(-3.915E1,4.815E0,-2.584E1)); +#33726=LINE('',#33725,#33724); +#33727=CARTESIAN_POINT('',(-3.915E1,3.815E0,-2.6725E1)); +#33728=DIRECTION('',(-1.E0,0.E0,0.E0)); +#33729=DIRECTION('',(0.E0,1.E0,0.E0)); +#33730=AXIS2_PLACEMENT_3D('',#33727,#33728,#33729); +#33732=DIRECTION('',(0.E0,-1.E0,0.E0)); +#33733=VECTOR('',#33732,1.475519237886E1); +#33734=CARTESIAN_POINT('',(-3.915E1,3.815E0,-2.7725E1)); +#33735=LINE('',#33734,#33733); +#33736=DIRECTION('',(0.E0,0.E0,1.E0)); +#33737=VECTOR('',#33736,6.3E-1); +#33738=CARTESIAN_POINT('',(-3.915E1,-1.094019237886E1,-2.5815E1)); +#33739=LINE('',#33738,#33737); +#33740=DIRECTION('',(0.E0,1.E0,0.E0)); +#33741=VECTOR('',#33740,7.655192378865E0); +#33742=CARTESIAN_POINT('',(-3.915E1,-1.094019237886E1,-2.5815E1)); +#33743=LINE('',#33742,#33741); +#33744=CARTESIAN_POINT('',(-3.915E1,-3.285E0,-2.4685E1)); +#33745=DIRECTION('',(1.E0,0.E0,0.E0)); +#33746=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33747=AXIS2_PLACEMENT_3D('',#33744,#33745,#33746); +#33749=DIRECTION('',(0.E0,0.E0,1.E0)); +#33750=VECTOR('',#33749,1.085E0); +#33751=CARTESIAN_POINT('',(-3.915E1,-2.155E0,-2.4685E1)); +#33752=LINE('',#33751,#33750); +#33753=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33754=VECTOR('',#33753,1.085E0); +#33755=CARTESIAN_POINT('',(-3.915E1,-2.785E0,-2.36E1)); +#33756=LINE('',#33755,#33754); +#33757=CARTESIAN_POINT('',(-3.915E1,-3.285E0,-2.4685E1)); +#33758=DIRECTION('',(-1.E0,0.E0,0.E0)); +#33759=DIRECTION('',(0.E0,1.E0,0.E0)); +#33760=AXIS2_PLACEMENT_3D('',#33757,#33758,#33759); +#33762=DIRECTION('',(0.E0,-1.E0,0.E0)); +#33763=VECTOR('',#33762,7.655192378865E0); +#33764=CARTESIAN_POINT('',(-3.915E1,-3.285E0,-2.5185E1)); +#33765=LINE('',#33764,#33763); +#33766=DIRECTION('',(0.E0,1.E0,0.E0)); +#33767=VECTOR('',#33766,3.7E0); +#33768=CARTESIAN_POINT('',(-3.84E1,-1.72E1,-2.7725E1)); +#33769=LINE('',#33768,#33767); +#33770=DIRECTION('',(0.E0,1.E0,0.E0)); +#33771=VECTOR('',#33770,3.7E0); +#33772=CARTESIAN_POINT('',(-3.9E1,-1.72E1,-2.7725E1)); +#33773=LINE('',#33772,#33771); +#33774=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#33775=VECTOR('',#33774,3.E-1); +#33776=CARTESIAN_POINT('',(-3.84E1,-1.12E1,-2.7725E1)); +#33777=LINE('',#33776,#33775); +#33778=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#33779=VECTOR('',#33778,3.E-1); +#33780=CARTESIAN_POINT('',(-3.915E1,-1.094019237886E1,-2.7725E1)); +#33781=LINE('',#33780,#33779); +#33782=DIRECTION('',(1.E0,0.E0,0.E0)); +#33783=VECTOR('',#33782,9.E-1); +#33784=CARTESIAN_POINT('',(-3.915E1,3.815E0,-2.7725E1)); +#33785=LINE('',#33784,#33783); +#33786=DIRECTION('',(0.E0,1.E0,0.E0)); +#33787=VECTOR('',#33786,3.7E0); +#33788=CARTESIAN_POINT('',(-3.84E1,-1.72E1,-2.5185E1)); +#33789=LINE('',#33788,#33787); +#33790=DIRECTION('',(0.E0,1.E0,0.E0)); +#33791=VECTOR('',#33790,3.7E0); +#33792=CARTESIAN_POINT('',(-3.9E1,-1.72E1,-2.5185E1)); +#33793=LINE('',#33792,#33791); +#33794=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#33795=VECTOR('',#33794,3.E-1); +#33796=CARTESIAN_POINT('',(-3.84E1,-1.12E1,-2.5185E1)); +#33797=LINE('',#33796,#33795); +#33798=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#33799=VECTOR('',#33798,3.E-1); +#33800=CARTESIAN_POINT('',(-3.915E1,-1.094019237886E1,-2.5185E1)); +#33801=LINE('',#33800,#33799); +#33802=DIRECTION('',(1.E0,0.E0,0.E0)); +#33803=VECTOR('',#33802,9.E-1); +#33804=CARTESIAN_POINT('',(-3.915E1,-3.285E0,-2.5185E1)); +#33805=LINE('',#33804,#33803); +#33806=DIRECTION('',(-1.127845612318E-14,0.E0,-1.E0)); +#33807=VECTOR('',#33806,6.3E-1); +#33808=CARTESIAN_POINT('',(-3.84E1,-1.72E1,-2.5185E1)); +#33809=LINE('',#33808,#33807); +#33810=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33811=VECTOR('',#33810,6.3E-1); +#33812=CARTESIAN_POINT('',(-3.9E1,-1.72E1,-2.5185E1)); +#33813=LINE('',#33812,#33811); +#33814=DIRECTION('',(1.E0,0.E0,0.E0)); +#33815=VECTOR('',#33814,6.E-1); +#33816=CARTESIAN_POINT('',(-3.9E1,-1.72E1,-2.5185E1)); +#33817=LINE('',#33816,#33815); +#33818=DIRECTION('',(0.E0,1.E0,0.E0)); +#33819=VECTOR('',#33818,3.7E0); +#33820=CARTESIAN_POINT('',(-3.84E1,-1.72E1,-2.5815E1)); +#33821=LINE('',#33820,#33819); +#33822=DIRECTION('',(0.E0,1.E0,0.E0)); +#33823=VECTOR('',#33822,3.7E0); +#33824=CARTESIAN_POINT('',(-3.9E1,-1.72E1,-2.5815E1)); +#33825=LINE('',#33824,#33823); +#33826=DIRECTION('',(1.E0,0.E0,0.E0)); +#33827=VECTOR('',#33826,6.E-1); +#33828=CARTESIAN_POINT('',(-3.9E1,-1.72E1,-2.5815E1)); +#33829=LINE('',#33828,#33827); +#33830=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#33831=VECTOR('',#33830,3.E-1); +#33832=CARTESIAN_POINT('',(-3.84E1,-1.12E1,-2.5815E1)); +#33833=LINE('',#33832,#33831); +#33834=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#33835=VECTOR('',#33834,3.E-1); +#33836=CARTESIAN_POINT('',(-3.915E1,-1.094019237886E1,-2.5815E1)); +#33837=LINE('',#33836,#33835); +#33838=DIRECTION('',(0.E0,0.E0,1.E0)); +#33839=VECTOR('',#33838,6.3E-1); +#33840=CARTESIAN_POINT('',(-3.9E1,-1.35E1,-2.5815E1)); +#33841=LINE('',#33840,#33839); +#33842=DIRECTION('',(0.E0,0.E0,1.E0)); +#33843=VECTOR('',#33842,6.3E-1); +#33844=CARTESIAN_POINT('',(-3.9E1,-1.35E1,-2.8355E1)); +#33845=LINE('',#33844,#33843); +#33846=DIRECTION('',(-1.127845612318E-14,0.E0,-1.E0)); +#33847=VECTOR('',#33846,6.3E-1); +#33848=CARTESIAN_POINT('',(-3.84E1,-1.72E1,-2.7725E1)); +#33849=LINE('',#33848,#33847); +#33850=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33851=VECTOR('',#33850,6.3E-1); +#33852=CARTESIAN_POINT('',(-3.9E1,-1.72E1,-2.7725E1)); +#33853=LINE('',#33852,#33851); +#33854=DIRECTION('',(1.E0,0.E0,0.E0)); +#33855=VECTOR('',#33854,6.E-1); +#33856=CARTESIAN_POINT('',(-3.9E1,-1.72E1,-2.7725E1)); +#33857=LINE('',#33856,#33855); +#33858=DIRECTION('',(0.E0,1.E0,0.E0)); +#33859=VECTOR('',#33858,3.7E0); +#33860=CARTESIAN_POINT('',(-3.84E1,-1.72E1,-2.8355E1)); +#33861=LINE('',#33860,#33859); +#33862=DIRECTION('',(0.E0,1.E0,0.E0)); +#33863=VECTOR('',#33862,3.7E0); +#33864=CARTESIAN_POINT('',(-3.9E1,-1.72E1,-2.8355E1)); +#33865=LINE('',#33864,#33863); +#33866=DIRECTION('',(1.E0,0.E0,0.E0)); +#33867=VECTOR('',#33866,6.E-1); +#33868=CARTESIAN_POINT('',(-3.9E1,-1.72E1,-2.8355E1)); +#33869=LINE('',#33868,#33867); +#33870=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#33871=VECTOR('',#33870,3.E-1); +#33872=CARTESIAN_POINT('',(-3.84E1,-1.12E1,-2.8355E1)); +#33873=LINE('',#33872,#33871); +#33874=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#33875=VECTOR('',#33874,3.E-1); +#33876=CARTESIAN_POINT('',(-3.915E1,-1.094019237886E1,-2.8355E1)); +#33877=LINE('',#33876,#33875); +#33878=DIRECTION('',(0.E0,0.E0,1.E0)); +#33879=VECTOR('',#33878,6.3E-1); +#33880=CARTESIAN_POINT('',(-3.84E1,-1.12E1,-2.8355E1)); +#33881=LINE('',#33880,#33879); +#33882=DIRECTION('',(0.E0,0.E0,1.E0)); +#33883=VECTOR('',#33882,6.3E-1); +#33884=CARTESIAN_POINT('',(-3.84E1,-1.12E1,-2.5815E1)); +#33885=LINE('',#33884,#33883); +#33886=DIRECTION('',(0.E0,0.E0,1.E0)); +#33887=VECTOR('',#33886,6.3E-1); +#33888=CARTESIAN_POINT('',(-3.825E1,-1.094019237886E1,-2.8355E1)); +#33889=LINE('',#33888,#33887); +#33890=DIRECTION('',(0.E0,-1.E0,0.E0)); +#33891=VECTOR('',#33890,1.475519237886E1); +#33892=CARTESIAN_POINT('',(-3.825E1,3.815E0,-2.7725E1)); +#33893=LINE('',#33892,#33891); +#33894=CARTESIAN_POINT('',(-3.825E1,3.815E0,-2.6725E1)); +#33895=DIRECTION('',(-1.E0,0.E0,0.E0)); +#33896=DIRECTION('',(0.E0,1.E0,0.E0)); +#33897=AXIS2_PLACEMENT_3D('',#33894,#33895,#33896); +#33899=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33900=VECTOR('',#33899,8.85E-1); +#33901=CARTESIAN_POINT('',(-3.825E1,4.815E0,-2.584E1)); +#33902=LINE('',#33901,#33900); +#33903=DIRECTION('',(0.E0,0.E0,1.E0)); +#33904=VECTOR('',#33903,8.85E-1); +#33905=CARTESIAN_POINT('',(-3.825E1,5.445E0,-2.6725E1)); +#33906=LINE('',#33905,#33904); +#33907=CARTESIAN_POINT('',(-3.825E1,3.815E0,-2.6725E1)); +#33908=DIRECTION('',(1.E0,0.E0,0.E0)); +#33909=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#33910=AXIS2_PLACEMENT_3D('',#33907,#33908,#33909); +#33912=DIRECTION('',(0.E0,1.E0,0.E0)); +#33913=VECTOR('',#33912,1.475519237886E1); +#33914=CARTESIAN_POINT('',(-3.825E1,-1.094019237886E1,-2.8355E1)); +#33915=LINE('',#33914,#33913); +#33916=DIRECTION('',(0.E0,0.E0,1.E0)); +#33917=VECTOR('',#33916,6.3E-1); +#33918=CARTESIAN_POINT('',(-3.825E1,-1.094019237886E1,-2.5815E1)); +#33919=LINE('',#33918,#33917); +#33920=DIRECTION('',(0.E0,-1.E0,0.E0)); +#33921=VECTOR('',#33920,7.655192378865E0); +#33922=CARTESIAN_POINT('',(-3.825E1,-3.285E0,-2.5185E1)); +#33923=LINE('',#33922,#33921); +#33924=CARTESIAN_POINT('',(-3.825E1,-3.285E0,-2.4685E1)); +#33925=DIRECTION('',(-1.E0,0.E0,0.E0)); +#33926=DIRECTION('',(0.E0,1.E0,0.E0)); +#33927=AXIS2_PLACEMENT_3D('',#33924,#33925,#33926); +#33929=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33930=VECTOR('',#33929,1.085E0); +#33931=CARTESIAN_POINT('',(-3.825E1,-2.785E0,-2.36E1)); +#33932=LINE('',#33931,#33930); +#33933=DIRECTION('',(0.E0,0.E0,1.E0)); +#33934=VECTOR('',#33933,1.085E0); +#33935=CARTESIAN_POINT('',(-3.825E1,-2.155E0,-2.4685E1)); +#33936=LINE('',#33935,#33934); +#33937=CARTESIAN_POINT('',(-3.825E1,-3.285E0,-2.4685E1)); +#33938=DIRECTION('',(1.E0,0.E0,0.E0)); +#33939=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33940=AXIS2_PLACEMENT_3D('',#33937,#33938,#33939); +#33942=DIRECTION('',(0.E0,1.E0,0.E0)); +#33943=VECTOR('',#33942,7.655192378865E0); +#33944=CARTESIAN_POINT('',(-3.825E1,-1.094019237886E1,-2.5815E1)); +#33945=LINE('',#33944,#33943); +#33946=DIRECTION('',(1.E0,0.E0,0.E0)); +#33947=VECTOR('',#33946,9.E-1); +#33948=CARTESIAN_POINT('',(-3.915E1,4.815E0,-2.6725E1)); +#33949=LINE('',#33948,#33947); +#33950=DIRECTION('',(1.E0,0.E0,0.E0)); +#33951=VECTOR('',#33950,9.E-1); +#33952=CARTESIAN_POINT('',(-3.915E1,5.445E0,-2.6725E1)); +#33953=LINE('',#33952,#33951); +#33954=DIRECTION('',(1.E0,0.E0,0.E0)); +#33955=VECTOR('',#33954,9.E-1); +#33956=CARTESIAN_POINT('',(-3.915E1,3.815E0,-2.8355E1)); +#33957=LINE('',#33956,#33955); +#33958=DIRECTION('',(1.E0,0.E0,0.E0)); +#33959=VECTOR('',#33958,9.E-1); +#33960=CARTESIAN_POINT('',(-3.915E1,-2.785E0,-2.4685E1)); +#33961=LINE('',#33960,#33959); +#33962=DIRECTION('',(1.E0,0.E0,0.E0)); +#33963=VECTOR('',#33962,9.E-1); +#33964=CARTESIAN_POINT('',(-3.915E1,-2.155E0,-2.4685E1)); +#33965=LINE('',#33964,#33963); +#33966=DIRECTION('',(1.E0,0.E0,0.E0)); +#33967=VECTOR('',#33966,9.E-1); +#33968=CARTESIAN_POINT('',(-3.915E1,-3.285E0,-2.5815E1)); +#33969=LINE('',#33968,#33967); +#33970=DIRECTION('',(0.E0,0.E0,1.E0)); +#33971=VECTOR('',#33970,6.3E-1); +#33972=CARTESIAN_POINT('',(-3.7E1,-1.094019237886E1,-3.0895E1)); +#33973=LINE('',#33972,#33971); +#33974=DIRECTION('',(0.E0,1.E0,0.E0)); +#33975=VECTOR('',#33974,1.475519237886E1); +#33976=CARTESIAN_POINT('',(-3.7E1,-1.094019237886E1,-3.0895E1)); +#33977=LINE('',#33976,#33975); +#33978=CARTESIAN_POINT('',(-3.7E1,3.815E0,-2.9265E1)); +#33979=DIRECTION('',(1.E0,0.E0,0.E0)); +#33980=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33981=AXIS2_PLACEMENT_3D('',#33978,#33979,#33980); +#33983=DIRECTION('',(0.E0,0.E0,1.E0)); +#33984=VECTOR('',#33983,3.425E0); +#33985=CARTESIAN_POINT('',(-3.7E1,5.445E0,-2.9265E1)); +#33986=LINE('',#33985,#33984); +#33987=DIRECTION('',(0.E0,0.E0,-1.E0)); +#33988=VECTOR('',#33987,3.425E0); +#33989=CARTESIAN_POINT('',(-3.7E1,4.815E0,-2.584E1)); +#33990=LINE('',#33989,#33988); +#33991=CARTESIAN_POINT('',(-3.7E1,3.815E0,-2.9265E1)); +#33992=DIRECTION('',(-1.E0,0.E0,0.E0)); +#33993=DIRECTION('',(0.E0,1.E0,0.E0)); +#33994=AXIS2_PLACEMENT_3D('',#33991,#33992,#33993); +#33996=DIRECTION('',(0.E0,-1.E0,0.E0)); +#33997=VECTOR('',#33996,1.475519237886E1); +#33998=CARTESIAN_POINT('',(-3.7E1,3.815E0,-3.0265E1)); +#33999=LINE('',#33998,#33997); +#34000=DIRECTION('',(0.E0,0.E0,1.E0)); +#34001=VECTOR('',#34000,6.3E-1); +#34002=CARTESIAN_POINT('',(-3.7E1,-1.094019237886E1,-2.5815E1)); +#34003=LINE('',#34002,#34001); +#34004=DIRECTION('',(0.E0,1.E0,0.E0)); +#34005=VECTOR('',#34004,7.655192378865E0); +#34006=CARTESIAN_POINT('',(-3.7E1,-1.094019237886E1,-2.5815E1)); +#34007=LINE('',#34006,#34005); +#34008=CARTESIAN_POINT('',(-3.7E1,-3.285E0,-2.4685E1)); +#34009=DIRECTION('',(1.E0,0.E0,0.E0)); +#34010=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34011=AXIS2_PLACEMENT_3D('',#34008,#34009,#34010); +#34013=DIRECTION('',(0.E0,0.E0,1.E0)); +#34014=VECTOR('',#34013,1.085E0); +#34015=CARTESIAN_POINT('',(-3.7E1,-2.155E0,-2.4685E1)); +#34016=LINE('',#34015,#34014); +#34017=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34018=VECTOR('',#34017,1.085E0); +#34019=CARTESIAN_POINT('',(-3.7E1,-2.785E0,-2.36E1)); +#34020=LINE('',#34019,#34018); +#34021=CARTESIAN_POINT('',(-3.7E1,-3.285E0,-2.4685E1)); +#34022=DIRECTION('',(-1.E0,0.E0,0.E0)); +#34023=DIRECTION('',(0.E0,1.E0,0.E0)); +#34024=AXIS2_PLACEMENT_3D('',#34021,#34022,#34023); +#34026=DIRECTION('',(0.E0,-1.E0,0.E0)); +#34027=VECTOR('',#34026,7.655192378865E0); +#34028=CARTESIAN_POINT('',(-3.7E1,-3.285E0,-2.5185E1)); +#34029=LINE('',#34028,#34027); +#34030=DIRECTION('',(0.E0,1.E0,0.E0)); +#34031=VECTOR('',#34030,3.7E0); +#34032=CARTESIAN_POINT('',(-3.685E1,-1.72E1,-3.0895E1)); +#34033=LINE('',#34032,#34031); +#34034=DIRECTION('',(1.E0,0.E0,0.E0)); +#34035=VECTOR('',#34034,6.E-1); +#34036=CARTESIAN_POINT('',(-3.685E1,-1.72E1,-3.0895E1)); +#34037=LINE('',#34036,#34035); +#34038=DIRECTION('',(0.E0,1.E0,0.E0)); +#34039=VECTOR('',#34038,3.7E0); +#34040=CARTESIAN_POINT('',(-3.625E1,-1.72E1,-3.0895E1)); +#34041=LINE('',#34040,#34039); +#34042=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#34043=VECTOR('',#34042,3.E-1); +#34044=CARTESIAN_POINT('',(-3.7E1,-1.094019237886E1,-3.0895E1)); +#34045=LINE('',#34044,#34043); +#34046=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#34047=VECTOR('',#34046,3.E-1); +#34048=CARTESIAN_POINT('',(-3.625E1,-1.12E1,-3.0895E1)); +#34049=LINE('',#34048,#34047); +#34050=DIRECTION('',(0.E0,0.E0,1.E0)); +#34051=VECTOR('',#34050,6.3E-1); +#34052=CARTESIAN_POINT('',(-3.685E1,-1.35E1,-3.0895E1)); +#34053=LINE('',#34052,#34051); +#34054=DIRECTION('',(0.E0,0.E0,1.E0)); +#34055=VECTOR('',#34054,6.3E-1); +#34056=CARTESIAN_POINT('',(-3.685E1,-1.35E1,-2.5815E1)); +#34057=LINE('',#34056,#34055); +#34058=DIRECTION('',(0.E0,1.E0,0.E0)); +#34059=VECTOR('',#34058,3.7E0); +#34060=CARTESIAN_POINT('',(-3.685E1,-1.72E1,-3.0265E1)); +#34061=LINE('',#34060,#34059); +#34062=DIRECTION('',(0.E0,1.E0,0.E0)); +#34063=VECTOR('',#34062,3.7E0); +#34064=CARTESIAN_POINT('',(-3.625E1,-1.72E1,-3.0265E1)); +#34065=LINE('',#34064,#34063); +#34066=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#34067=VECTOR('',#34066,3.E-1); +#34068=CARTESIAN_POINT('',(-3.7E1,-1.094019237886E1,-3.0265E1)); +#34069=LINE('',#34068,#34067); +#34070=DIRECTION('',(1.E0,0.E0,0.E0)); +#34071=VECTOR('',#34070,9.E-1); +#34072=CARTESIAN_POINT('',(-3.7E1,3.815E0,-3.0265E1)); +#34073=LINE('',#34072,#34071); +#34074=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#34075=VECTOR('',#34074,3.E-1); +#34076=CARTESIAN_POINT('',(-3.625E1,-1.12E1,-3.0265E1)); +#34077=LINE('',#34076,#34075); +#34078=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34079=VECTOR('',#34078,6.3E-1); +#34080=CARTESIAN_POINT('',(-3.685E1,-1.72E1,-3.0265E1)); +#34081=LINE('',#34080,#34079); +#34082=DIRECTION('',(1.E0,0.E0,0.E0)); +#34083=VECTOR('',#34082,6.E-1); +#34084=CARTESIAN_POINT('',(-3.685E1,-1.72E1,-3.0265E1)); +#34085=LINE('',#34084,#34083); +#34086=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34087=VECTOR('',#34086,6.3E-1); +#34088=CARTESIAN_POINT('',(-3.625E1,-1.72E1,-3.0265E1)); +#34089=LINE('',#34088,#34087); +#34090=DIRECTION('',(0.E0,1.E0,0.E0)); +#34091=VECTOR('',#34090,3.7E0); +#34092=CARTESIAN_POINT('',(-3.685E1,-1.72E1,-2.5815E1)); +#34093=LINE('',#34092,#34091); +#34094=DIRECTION('',(1.E0,0.E0,0.E0)); +#34095=VECTOR('',#34094,6.E-1); +#34096=CARTESIAN_POINT('',(-3.685E1,-1.72E1,-2.5815E1)); +#34097=LINE('',#34096,#34095); +#34098=DIRECTION('',(0.E0,1.E0,0.E0)); +#34099=VECTOR('',#34098,3.7E0); +#34100=CARTESIAN_POINT('',(-3.625E1,-1.72E1,-2.5815E1)); +#34101=LINE('',#34100,#34099); +#34102=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#34103=VECTOR('',#34102,3.E-1); +#34104=CARTESIAN_POINT('',(-3.7E1,-1.094019237886E1,-2.5815E1)); +#34105=LINE('',#34104,#34103); +#34106=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#34107=VECTOR('',#34106,3.E-1); +#34108=CARTESIAN_POINT('',(-3.625E1,-1.12E1,-2.5815E1)); +#34109=LINE('',#34108,#34107); +#34110=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34111=VECTOR('',#34110,6.3E-1); +#34112=CARTESIAN_POINT('',(-3.685E1,-1.72E1,-2.5185E1)); +#34113=LINE('',#34112,#34111); +#34114=DIRECTION('',(1.E0,0.E0,0.E0)); +#34115=VECTOR('',#34114,6.E-1); +#34116=CARTESIAN_POINT('',(-3.685E1,-1.72E1,-2.5185E1)); +#34117=LINE('',#34116,#34115); +#34118=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34119=VECTOR('',#34118,6.3E-1); +#34120=CARTESIAN_POINT('',(-3.625E1,-1.72E1,-2.5185E1)); +#34121=LINE('',#34120,#34119); +#34122=DIRECTION('',(0.E0,1.E0,0.E0)); +#34123=VECTOR('',#34122,3.7E0); +#34124=CARTESIAN_POINT('',(-3.685E1,-1.72E1,-2.5185E1)); +#34125=LINE('',#34124,#34123); +#34126=DIRECTION('',(0.E0,1.E0,0.E0)); +#34127=VECTOR('',#34126,3.7E0); +#34128=CARTESIAN_POINT('',(-3.625E1,-1.72E1,-2.5185E1)); +#34129=LINE('',#34128,#34127); +#34130=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#34131=VECTOR('',#34130,3.E-1); +#34132=CARTESIAN_POINT('',(-3.7E1,-1.094019237886E1,-2.5185E1)); +#34133=LINE('',#34132,#34131); +#34134=DIRECTION('',(1.E0,0.E0,0.E0)); +#34135=VECTOR('',#34134,9.E-1); +#34136=CARTESIAN_POINT('',(-3.7E1,-3.285E0,-2.5185E1)); +#34137=LINE('',#34136,#34135); +#34138=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#34139=VECTOR('',#34138,3.E-1); +#34140=CARTESIAN_POINT('',(-3.625E1,-1.12E1,-2.5185E1)); +#34141=LINE('',#34140,#34139); +#34142=DIRECTION('',(1.E0,0.E0,0.E0)); +#34143=VECTOR('',#34142,9.E-1); +#34144=CARTESIAN_POINT('',(-3.7E1,-2.785E0,-2.4685E1)); +#34145=LINE('',#34144,#34143); +#34146=DIRECTION('',(0.E0,0.E0,1.E0)); +#34147=VECTOR('',#34146,6.3E-1); +#34148=CARTESIAN_POINT('',(-3.61E1,-1.094019237886E1,-3.0895E1)); +#34149=LINE('',#34148,#34147); +#34150=DIRECTION('',(0.E0,-1.E0,0.E0)); +#34151=VECTOR('',#34150,1.475519237886E1); +#34152=CARTESIAN_POINT('',(-3.61E1,3.815E0,-3.0265E1)); +#34153=LINE('',#34152,#34151); +#34154=CARTESIAN_POINT('',(-3.61E1,3.815E0,-2.9265E1)); +#34155=DIRECTION('',(-1.E0,0.E0,0.E0)); +#34156=DIRECTION('',(0.E0,1.E0,0.E0)); +#34157=AXIS2_PLACEMENT_3D('',#34154,#34155,#34156); +#34159=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34160=VECTOR('',#34159,3.425E0); +#34161=CARTESIAN_POINT('',(-3.61E1,4.815E0,-2.584E1)); +#34162=LINE('',#34161,#34160); +#34163=DIRECTION('',(0.E0,0.E0,1.E0)); +#34164=VECTOR('',#34163,3.425E0); +#34165=CARTESIAN_POINT('',(-3.61E1,5.445E0,-2.9265E1)); +#34166=LINE('',#34165,#34164); +#34167=CARTESIAN_POINT('',(-3.61E1,3.815E0,-2.9265E1)); +#34168=DIRECTION('',(1.E0,0.E0,0.E0)); +#34169=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34170=AXIS2_PLACEMENT_3D('',#34167,#34168,#34169); +#34172=DIRECTION('',(0.E0,1.E0,0.E0)); +#34173=VECTOR('',#34172,1.475519237886E1); +#34174=CARTESIAN_POINT('',(-3.61E1,-1.094019237886E1,-3.0895E1)); +#34175=LINE('',#34174,#34173); +#34176=DIRECTION('',(0.E0,0.E0,1.E0)); +#34177=VECTOR('',#34176,6.3E-1); +#34178=CARTESIAN_POINT('',(-3.61E1,-1.094019237886E1,-2.5815E1)); +#34179=LINE('',#34178,#34177); +#34180=DIRECTION('',(0.E0,-1.E0,0.E0)); +#34181=VECTOR('',#34180,7.655192378865E0); +#34182=CARTESIAN_POINT('',(-3.61E1,-3.285E0,-2.5185E1)); +#34183=LINE('',#34182,#34181); +#34184=CARTESIAN_POINT('',(-3.61E1,-3.285E0,-2.4685E1)); +#34185=DIRECTION('',(-1.E0,0.E0,0.E0)); +#34186=DIRECTION('',(0.E0,1.E0,0.E0)); +#34187=AXIS2_PLACEMENT_3D('',#34184,#34185,#34186); +#34189=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34190=VECTOR('',#34189,1.085E0); +#34191=CARTESIAN_POINT('',(-3.61E1,-2.785E0,-2.36E1)); +#34192=LINE('',#34191,#34190); +#34193=DIRECTION('',(0.E0,0.E0,1.E0)); +#34194=VECTOR('',#34193,1.085E0); +#34195=CARTESIAN_POINT('',(-3.61E1,-2.155E0,-2.4685E1)); +#34196=LINE('',#34195,#34194); +#34197=CARTESIAN_POINT('',(-3.61E1,-3.285E0,-2.4685E1)); +#34198=DIRECTION('',(1.E0,0.E0,0.E0)); +#34199=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34200=AXIS2_PLACEMENT_3D('',#34197,#34198,#34199); +#34202=DIRECTION('',(0.E0,1.E0,0.E0)); +#34203=VECTOR('',#34202,7.655192378865E0); +#34204=CARTESIAN_POINT('',(-3.61E1,-1.094019237886E1,-2.5815E1)); +#34205=LINE('',#34204,#34203); +#34206=DIRECTION('',(0.E0,0.E0,1.E0)); +#34207=VECTOR('',#34206,6.3E-1); +#34208=CARTESIAN_POINT('',(-3.625E1,-1.12E1,-3.0895E1)); +#34209=LINE('',#34208,#34207); +#34210=DIRECTION('',(0.E0,0.E0,1.E0)); +#34211=VECTOR('',#34210,6.3E-1); +#34212=CARTESIAN_POINT('',(-3.625E1,-1.12E1,-2.5815E1)); +#34213=LINE('',#34212,#34211); +#34214=DIRECTION('',(1.E0,0.E0,0.E0)); +#34215=VECTOR('',#34214,9.E-1); +#34216=CARTESIAN_POINT('',(-3.7E1,4.815E0,-2.9265E1)); +#34217=LINE('',#34216,#34215); +#34218=DIRECTION('',(1.E0,0.E0,0.E0)); +#34219=VECTOR('',#34218,9.E-1); +#34220=CARTESIAN_POINT('',(-3.7E1,5.445E0,-2.9265E1)); +#34221=LINE('',#34220,#34219); +#34222=DIRECTION('',(1.E0,0.E0,0.E0)); +#34223=VECTOR('',#34222,9.E-1); +#34224=CARTESIAN_POINT('',(-3.7E1,3.815E0,-3.0895E1)); +#34225=LINE('',#34224,#34223); +#34226=DIRECTION('',(1.E0,0.E0,0.E0)); +#34227=VECTOR('',#34226,9.E-1); +#34228=CARTESIAN_POINT('',(-3.7E1,-2.155E0,-2.4685E1)); +#34229=LINE('',#34228,#34227); +#34230=DIRECTION('',(1.E0,0.E0,0.E0)); +#34231=VECTOR('',#34230,9.E-1); +#34232=CARTESIAN_POINT('',(-3.7E1,-3.285E0,-2.5815E1)); +#34233=LINE('',#34232,#34231); +#34234=DIRECTION('',(0.E0,0.E0,1.E0)); +#34235=VECTOR('',#34234,6.3E-1); +#34236=CARTESIAN_POINT('',(-3.485E1,-1.094019237886E1,-2.8355E1)); +#34237=LINE('',#34236,#34235); +#34238=DIRECTION('',(0.E0,1.E0,0.E0)); +#34239=VECTOR('',#34238,1.475519237886E1); +#34240=CARTESIAN_POINT('',(-3.485E1,-1.094019237886E1,-2.8355E1)); +#34241=LINE('',#34240,#34239); +#34242=CARTESIAN_POINT('',(-3.485E1,3.815E0,-2.6725E1)); +#34243=DIRECTION('',(1.E0,0.E0,0.E0)); +#34244=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#34245=AXIS2_PLACEMENT_3D('',#34242,#34243,#34244); +#34247=DIRECTION('',(0.E0,0.E0,1.E0)); +#34248=VECTOR('',#34247,8.85E-1); +#34249=CARTESIAN_POINT('',(-3.485E1,5.445E0,-2.6725E1)); +#34250=LINE('',#34249,#34248); +#34251=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34252=VECTOR('',#34251,8.85E-1); +#34253=CARTESIAN_POINT('',(-3.485E1,4.815E0,-2.584E1)); +#34254=LINE('',#34253,#34252); +#34255=CARTESIAN_POINT('',(-3.485E1,3.815E0,-2.6725E1)); +#34256=DIRECTION('',(-1.E0,0.E0,0.E0)); +#34257=DIRECTION('',(0.E0,1.E0,0.E0)); +#34258=AXIS2_PLACEMENT_3D('',#34255,#34256,#34257); +#34260=DIRECTION('',(0.E0,-1.E0,0.E0)); +#34261=VECTOR('',#34260,1.475519237886E1); +#34262=CARTESIAN_POINT('',(-3.485E1,3.815E0,-2.7725E1)); +#34263=LINE('',#34262,#34261); +#34264=DIRECTION('',(0.E0,0.E0,1.E0)); +#34265=VECTOR('',#34264,6.3E-1); +#34266=CARTESIAN_POINT('',(-3.485E1,-1.094019237886E1,-2.5815E1)); +#34267=LINE('',#34266,#34265); +#34268=DIRECTION('',(0.E0,1.E0,0.E0)); +#34269=VECTOR('',#34268,7.655192378865E0); +#34270=CARTESIAN_POINT('',(-3.485E1,-1.094019237886E1,-2.5815E1)); +#34271=LINE('',#34270,#34269); +#34272=CARTESIAN_POINT('',(-3.485E1,-3.285E0,-2.4685E1)); +#34273=DIRECTION('',(1.E0,0.E0,0.E0)); +#34274=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34275=AXIS2_PLACEMENT_3D('',#34272,#34273,#34274); +#34277=DIRECTION('',(0.E0,0.E0,1.E0)); +#34278=VECTOR('',#34277,1.085E0); +#34279=CARTESIAN_POINT('',(-3.485E1,-2.155E0,-2.4685E1)); +#34280=LINE('',#34279,#34278); +#34281=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34282=VECTOR('',#34281,1.085E0); +#34283=CARTESIAN_POINT('',(-3.485E1,-2.785E0,-2.36E1)); +#34284=LINE('',#34283,#34282); +#34285=CARTESIAN_POINT('',(-3.485E1,-3.285E0,-2.4685E1)); +#34286=DIRECTION('',(-1.E0,0.E0,0.E0)); +#34287=DIRECTION('',(0.E0,1.E0,0.E0)); +#34288=AXIS2_PLACEMENT_3D('',#34285,#34286,#34287); +#34290=DIRECTION('',(0.E0,-1.E0,0.E0)); +#34291=VECTOR('',#34290,7.655192378865E0); +#34292=CARTESIAN_POINT('',(-3.485E1,-3.285E0,-2.5185E1)); +#34293=LINE('',#34292,#34291); +#34294=DIRECTION('',(0.E0,1.E0,0.E0)); +#34295=VECTOR('',#34294,3.7E0); +#34296=CARTESIAN_POINT('',(-3.41E1,-1.72E1,-2.8355E1)); +#34297=LINE('',#34296,#34295); +#34298=DIRECTION('',(0.E0,1.E0,0.E0)); +#34299=VECTOR('',#34298,3.7E0); +#34300=CARTESIAN_POINT('',(-3.47E1,-1.72E1,-2.8355E1)); +#34301=LINE('',#34300,#34299); +#34302=DIRECTION('',(1.E0,0.E0,0.E0)); +#34303=VECTOR('',#34302,6.E-1); +#34304=CARTESIAN_POINT('',(-3.47E1,-1.72E1,-2.8355E1)); +#34305=LINE('',#34304,#34303); +#34306=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#34307=VECTOR('',#34306,3.E-1); +#34308=CARTESIAN_POINT('',(-3.41E1,-1.12E1,-2.8355E1)); +#34309=LINE('',#34308,#34307); +#34310=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#34311=VECTOR('',#34310,3.E-1); +#34312=CARTESIAN_POINT('',(-3.485E1,-1.094019237886E1,-2.8355E1)); +#34313=LINE('',#34312,#34311); +#34314=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34315=VECTOR('',#34314,6.3E-1); +#34316=CARTESIAN_POINT('',(-3.41E1,-1.72E1,-2.7725E1)); +#34317=LINE('',#34316,#34315); +#34318=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34319=VECTOR('',#34318,6.3E-1); +#34320=CARTESIAN_POINT('',(-3.47E1,-1.72E1,-2.7725E1)); +#34321=LINE('',#34320,#34319); +#34322=DIRECTION('',(1.E0,0.E0,0.E0)); +#34323=VECTOR('',#34322,6.E-1); +#34324=CARTESIAN_POINT('',(-3.47E1,-1.72E1,-2.7725E1)); +#34325=LINE('',#34324,#34323); +#34326=DIRECTION('',(0.E0,0.E0,1.E0)); +#34327=VECTOR('',#34326,6.3E-1); +#34328=CARTESIAN_POINT('',(-3.47E1,-1.35E1,-2.8355E1)); +#34329=LINE('',#34328,#34327); +#34330=DIRECTION('',(0.E0,0.E0,1.E0)); +#34331=VECTOR('',#34330,6.3E-1); +#34332=CARTESIAN_POINT('',(-3.47E1,-1.35E1,-2.5815E1)); +#34333=LINE('',#34332,#34331); +#34334=DIRECTION('',(0.E0,1.E0,0.E0)); +#34335=VECTOR('',#34334,3.7E0); +#34336=CARTESIAN_POINT('',(-3.41E1,-1.72E1,-2.7725E1)); +#34337=LINE('',#34336,#34335); +#34338=DIRECTION('',(0.E0,1.E0,0.E0)); +#34339=VECTOR('',#34338,3.7E0); +#34340=CARTESIAN_POINT('',(-3.47E1,-1.72E1,-2.7725E1)); +#34341=LINE('',#34340,#34339); +#34342=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#34343=VECTOR('',#34342,3.E-1); +#34344=CARTESIAN_POINT('',(-3.41E1,-1.12E1,-2.7725E1)); +#34345=LINE('',#34344,#34343); +#34346=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#34347=VECTOR('',#34346,3.E-1); +#34348=CARTESIAN_POINT('',(-3.485E1,-1.094019237886E1,-2.7725E1)); +#34349=LINE('',#34348,#34347); +#34350=DIRECTION('',(1.E0,0.E0,0.E0)); +#34351=VECTOR('',#34350,9.E-1); +#34352=CARTESIAN_POINT('',(-3.485E1,3.815E0,-2.7725E1)); +#34353=LINE('',#34352,#34351); +#34354=DIRECTION('',(0.E0,0.E0,1.E0)); +#34355=VECTOR('',#34354,6.3E-1); +#34356=CARTESIAN_POINT('',(-3.41E1,-1.12E1,-2.8355E1)); +#34357=LINE('',#34356,#34355); +#34358=DIRECTION('',(0.E0,0.E0,1.E0)); +#34359=VECTOR('',#34358,6.3E-1); +#34360=CARTESIAN_POINT('',(-3.41E1,-1.12E1,-2.5815E1)); +#34361=LINE('',#34360,#34359); +#34362=DIRECTION('',(0.E0,0.E0,1.E0)); +#34363=VECTOR('',#34362,6.3E-1); +#34364=CARTESIAN_POINT('',(-3.395E1,-1.094019237886E1,-2.8355E1)); +#34365=LINE('',#34364,#34363); +#34366=DIRECTION('',(0.E0,-1.E0,0.E0)); +#34367=VECTOR('',#34366,1.475519237886E1); +#34368=CARTESIAN_POINT('',(-3.395E1,3.815E0,-2.7725E1)); +#34369=LINE('',#34368,#34367); +#34370=CARTESIAN_POINT('',(-3.395E1,3.815E0,-2.6725E1)); +#34371=DIRECTION('',(-1.E0,0.E0,0.E0)); +#34372=DIRECTION('',(0.E0,1.E0,0.E0)); +#34373=AXIS2_PLACEMENT_3D('',#34370,#34371,#34372); +#34375=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34376=VECTOR('',#34375,8.85E-1); +#34377=CARTESIAN_POINT('',(-3.395E1,4.815E0,-2.584E1)); +#34378=LINE('',#34377,#34376); +#34379=DIRECTION('',(0.E0,0.E0,1.E0)); +#34380=VECTOR('',#34379,8.85E-1); +#34381=CARTESIAN_POINT('',(-3.395E1,5.445E0,-2.6725E1)); +#34382=LINE('',#34381,#34380); +#34383=CARTESIAN_POINT('',(-3.395E1,3.815E0,-2.6725E1)); +#34384=DIRECTION('',(1.E0,0.E0,0.E0)); +#34385=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#34386=AXIS2_PLACEMENT_3D('',#34383,#34384,#34385); +#34388=DIRECTION('',(0.E0,1.E0,0.E0)); +#34389=VECTOR('',#34388,1.475519237886E1); +#34390=CARTESIAN_POINT('',(-3.395E1,-1.094019237886E1,-2.8355E1)); +#34391=LINE('',#34390,#34389); +#34392=DIRECTION('',(0.E0,0.E0,1.E0)); +#34393=VECTOR('',#34392,6.3E-1); +#34394=CARTESIAN_POINT('',(-3.395E1,-1.094019237886E1,-2.5815E1)); +#34395=LINE('',#34394,#34393); +#34396=DIRECTION('',(0.E0,-1.E0,0.E0)); +#34397=VECTOR('',#34396,7.655192378865E0); +#34398=CARTESIAN_POINT('',(-3.395E1,-3.285E0,-2.5185E1)); +#34399=LINE('',#34398,#34397); +#34400=CARTESIAN_POINT('',(-3.395E1,-3.285E0,-2.4685E1)); +#34401=DIRECTION('',(-1.E0,0.E0,0.E0)); +#34402=DIRECTION('',(0.E0,1.E0,0.E0)); +#34403=AXIS2_PLACEMENT_3D('',#34400,#34401,#34402); +#34405=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34406=VECTOR('',#34405,1.085E0); +#34407=CARTESIAN_POINT('',(-3.395E1,-2.785E0,-2.36E1)); +#34408=LINE('',#34407,#34406); +#34409=DIRECTION('',(0.E0,0.E0,1.E0)); +#34410=VECTOR('',#34409,1.085E0); +#34411=CARTESIAN_POINT('',(-3.395E1,-2.155E0,-2.4685E1)); +#34412=LINE('',#34411,#34410); +#34413=CARTESIAN_POINT('',(-3.395E1,-3.285E0,-2.4685E1)); +#34414=DIRECTION('',(1.E0,0.E0,0.E0)); +#34415=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34416=AXIS2_PLACEMENT_3D('',#34413,#34414,#34415); +#34418=DIRECTION('',(0.E0,1.E0,0.E0)); +#34419=VECTOR('',#34418,7.655192378865E0); +#34420=CARTESIAN_POINT('',(-3.395E1,-1.094019237886E1,-2.5815E1)); +#34421=LINE('',#34420,#34419); +#34422=DIRECTION('',(1.E0,0.E0,0.E0)); +#34423=VECTOR('',#34422,9.E-1); +#34424=CARTESIAN_POINT('',(-3.485E1,4.815E0,-2.6725E1)); +#34425=LINE('',#34424,#34423); +#34426=DIRECTION('',(1.E0,0.E0,0.E0)); +#34427=VECTOR('',#34426,9.E-1); +#34428=CARTESIAN_POINT('',(-3.485E1,5.445E0,-2.6725E1)); +#34429=LINE('',#34428,#34427); +#34430=DIRECTION('',(1.E0,0.E0,0.E0)); +#34431=VECTOR('',#34430,9.E-1); +#34432=CARTESIAN_POINT('',(-3.485E1,3.815E0,-2.8355E1)); +#34433=LINE('',#34432,#34431); +#34434=DIRECTION('',(0.E0,1.E0,0.E0)); +#34435=VECTOR('',#34434,3.7E0); +#34436=CARTESIAN_POINT('',(-3.41E1,-1.72E1,-2.5185E1)); +#34437=LINE('',#34436,#34435); +#34438=DIRECTION('',(0.E0,1.E0,0.E0)); +#34439=VECTOR('',#34438,3.7E0); +#34440=CARTESIAN_POINT('',(-3.47E1,-1.72E1,-2.5185E1)); +#34441=LINE('',#34440,#34439); +#34442=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#34443=VECTOR('',#34442,3.E-1); +#34444=CARTESIAN_POINT('',(-3.41E1,-1.12E1,-2.5185E1)); +#34445=LINE('',#34444,#34443); +#34446=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#34447=VECTOR('',#34446,3.E-1); +#34448=CARTESIAN_POINT('',(-3.485E1,-1.094019237886E1,-2.5185E1)); +#34449=LINE('',#34448,#34447); +#34450=DIRECTION('',(1.E0,0.E0,0.E0)); +#34451=VECTOR('',#34450,9.E-1); +#34452=CARTESIAN_POINT('',(-3.485E1,-3.285E0,-2.5185E1)); +#34453=LINE('',#34452,#34451); +#34454=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34455=VECTOR('',#34454,6.3E-1); +#34456=CARTESIAN_POINT('',(-3.41E1,-1.72E1,-2.5185E1)); +#34457=LINE('',#34456,#34455); +#34458=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34459=VECTOR('',#34458,6.3E-1); +#34460=CARTESIAN_POINT('',(-3.47E1,-1.72E1,-2.5185E1)); +#34461=LINE('',#34460,#34459); +#34462=DIRECTION('',(1.E0,0.E0,0.E0)); +#34463=VECTOR('',#34462,6.E-1); +#34464=CARTESIAN_POINT('',(-3.47E1,-1.72E1,-2.5185E1)); +#34465=LINE('',#34464,#34463); +#34466=DIRECTION('',(0.E0,1.E0,0.E0)); +#34467=VECTOR('',#34466,3.7E0); +#34468=CARTESIAN_POINT('',(-3.41E1,-1.72E1,-2.5815E1)); +#34469=LINE('',#34468,#34467); +#34470=DIRECTION('',(0.E0,1.E0,0.E0)); +#34471=VECTOR('',#34470,3.7E0); +#34472=CARTESIAN_POINT('',(-3.47E1,-1.72E1,-2.5815E1)); +#34473=LINE('',#34472,#34471); +#34474=DIRECTION('',(1.E0,0.E0,0.E0)); +#34475=VECTOR('',#34474,6.E-1); +#34476=CARTESIAN_POINT('',(-3.47E1,-1.72E1,-2.5815E1)); +#34477=LINE('',#34476,#34475); +#34478=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#34479=VECTOR('',#34478,3.E-1); +#34480=CARTESIAN_POINT('',(-3.41E1,-1.12E1,-2.5815E1)); +#34481=LINE('',#34480,#34479); +#34482=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#34483=VECTOR('',#34482,3.E-1); +#34484=CARTESIAN_POINT('',(-3.485E1,-1.094019237886E1,-2.5815E1)); +#34485=LINE('',#34484,#34483); +#34486=DIRECTION('',(1.E0,0.E0,0.E0)); +#34487=VECTOR('',#34486,9.E-1); +#34488=CARTESIAN_POINT('',(-3.485E1,-3.285E0,-2.5815E1)); +#34489=LINE('',#34488,#34487); +#34490=DIRECTION('',(1.E0,0.E0,0.E0)); +#34491=VECTOR('',#34490,9.E-1); +#34492=CARTESIAN_POINT('',(-3.485E1,-2.155E0,-2.4685E1)); +#34493=LINE('',#34492,#34491); +#34494=DIRECTION('',(1.E0,0.E0,0.E0)); +#34495=VECTOR('',#34494,9.E-1); +#34496=CARTESIAN_POINT('',(-3.485E1,-2.785E0,-2.4685E1)); +#34497=LINE('',#34496,#34495); +#34498=DIRECTION('',(0.E0,0.E0,1.E0)); +#34499=VECTOR('',#34498,6.3E-1); +#34500=CARTESIAN_POINT('',(-3.27E1,-1.094019237886E1,-3.0895E1)); +#34501=LINE('',#34500,#34499); +#34502=DIRECTION('',(0.E0,1.E0,0.E0)); +#34503=VECTOR('',#34502,1.475519237886E1); +#34504=CARTESIAN_POINT('',(-3.27E1,-1.094019237886E1,-3.0895E1)); +#34505=LINE('',#34504,#34503); +#34506=CARTESIAN_POINT('',(-3.27E1,3.815E0,-2.9265E1)); +#34507=DIRECTION('',(1.E0,0.E0,0.E0)); +#34508=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34509=AXIS2_PLACEMENT_3D('',#34506,#34507,#34508); +#34511=DIRECTION('',(0.E0,0.E0,1.E0)); +#34512=VECTOR('',#34511,3.425E0); +#34513=CARTESIAN_POINT('',(-3.27E1,5.445E0,-2.9265E1)); +#34514=LINE('',#34513,#34512); +#34515=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34516=VECTOR('',#34515,3.425E0); +#34517=CARTESIAN_POINT('',(-3.27E1,4.815E0,-2.584E1)); +#34518=LINE('',#34517,#34516); +#34519=CARTESIAN_POINT('',(-3.27E1,3.815E0,-2.9265E1)); +#34520=DIRECTION('',(-1.E0,0.E0,0.E0)); +#34521=DIRECTION('',(0.E0,1.E0,0.E0)); +#34522=AXIS2_PLACEMENT_3D('',#34519,#34520,#34521); +#34524=DIRECTION('',(0.E0,-1.E0,0.E0)); +#34525=VECTOR('',#34524,1.475519237886E1); +#34526=CARTESIAN_POINT('',(-3.27E1,3.815E0,-3.0265E1)); +#34527=LINE('',#34526,#34525); +#34528=DIRECTION('',(0.E0,0.E0,1.E0)); +#34529=VECTOR('',#34528,6.3E-1); +#34530=CARTESIAN_POINT('',(-3.27E1,-1.094019237886E1,-2.5815E1)); +#34531=LINE('',#34530,#34529); +#34532=DIRECTION('',(0.E0,1.E0,0.E0)); +#34533=VECTOR('',#34532,7.655192378865E0); +#34534=CARTESIAN_POINT('',(-3.27E1,-1.094019237886E1,-2.5815E1)); +#34535=LINE('',#34534,#34533); +#34536=CARTESIAN_POINT('',(-3.27E1,-3.285E0,-2.4685E1)); +#34537=DIRECTION('',(1.E0,0.E0,0.E0)); +#34538=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34539=AXIS2_PLACEMENT_3D('',#34536,#34537,#34538); +#34541=DIRECTION('',(0.E0,0.E0,1.E0)); +#34542=VECTOR('',#34541,1.085E0); +#34543=CARTESIAN_POINT('',(-3.27E1,-2.155E0,-2.4685E1)); +#34544=LINE('',#34543,#34542); +#34545=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34546=VECTOR('',#34545,1.085E0); +#34547=CARTESIAN_POINT('',(-3.27E1,-2.785E0,-2.36E1)); +#34548=LINE('',#34547,#34546); +#34549=CARTESIAN_POINT('',(-3.27E1,-3.285E0,-2.4685E1)); +#34550=DIRECTION('',(-1.E0,0.E0,0.E0)); +#34551=DIRECTION('',(0.E0,1.E0,0.E0)); +#34552=AXIS2_PLACEMENT_3D('',#34549,#34550,#34551); +#34554=DIRECTION('',(0.E0,-1.E0,0.E0)); +#34555=VECTOR('',#34554,7.655192378865E0); +#34556=CARTESIAN_POINT('',(-3.27E1,-3.285E0,-2.5185E1)); +#34557=LINE('',#34556,#34555); +#34558=DIRECTION('',(0.E0,1.E0,0.E0)); +#34559=VECTOR('',#34558,3.7E0); +#34560=CARTESIAN_POINT('',(-3.255E1,-1.72E1,-3.0895E1)); +#34561=LINE('',#34560,#34559); +#34562=DIRECTION('',(1.E0,0.E0,0.E0)); +#34563=VECTOR('',#34562,6.E-1); +#34564=CARTESIAN_POINT('',(-3.255E1,-1.72E1,-3.0895E1)); +#34565=LINE('',#34564,#34563); +#34566=DIRECTION('',(0.E0,1.E0,0.E0)); +#34567=VECTOR('',#34566,3.7E0); +#34568=CARTESIAN_POINT('',(-3.195E1,-1.72E1,-3.0895E1)); +#34569=LINE('',#34568,#34567); +#34570=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#34571=VECTOR('',#34570,3.E-1); +#34572=CARTESIAN_POINT('',(-3.27E1,-1.094019237886E1,-3.0895E1)); +#34573=LINE('',#34572,#34571); +#34574=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#34575=VECTOR('',#34574,3.E-1); +#34576=CARTESIAN_POINT('',(-3.195E1,-1.12E1,-3.0895E1)); +#34577=LINE('',#34576,#34575); +#34578=DIRECTION('',(0.E0,0.E0,1.E0)); +#34579=VECTOR('',#34578,6.3E-1); +#34580=CARTESIAN_POINT('',(-3.255E1,-1.35E1,-3.0895E1)); +#34581=LINE('',#34580,#34579); +#34582=DIRECTION('',(0.E0,0.E0,1.E0)); +#34583=VECTOR('',#34582,6.3E-1); +#34584=CARTESIAN_POINT('',(-3.255E1,-1.35E1,-2.5815E1)); +#34585=LINE('',#34584,#34583); +#34586=DIRECTION('',(0.E0,1.E0,0.E0)); +#34587=VECTOR('',#34586,3.7E0); +#34588=CARTESIAN_POINT('',(-3.255E1,-1.72E1,-3.0265E1)); +#34589=LINE('',#34588,#34587); +#34590=DIRECTION('',(0.E0,1.E0,0.E0)); +#34591=VECTOR('',#34590,3.7E0); +#34592=CARTESIAN_POINT('',(-3.195E1,-1.72E1,-3.0265E1)); +#34593=LINE('',#34592,#34591); +#34594=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#34595=VECTOR('',#34594,3.E-1); +#34596=CARTESIAN_POINT('',(-3.27E1,-1.094019237886E1,-3.0265E1)); +#34597=LINE('',#34596,#34595); +#34598=DIRECTION('',(1.E0,0.E0,0.E0)); +#34599=VECTOR('',#34598,9.E-1); +#34600=CARTESIAN_POINT('',(-3.27E1,3.815E0,-3.0265E1)); +#34601=LINE('',#34600,#34599); +#34602=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#34603=VECTOR('',#34602,3.E-1); +#34604=CARTESIAN_POINT('',(-3.195E1,-1.12E1,-3.0265E1)); +#34605=LINE('',#34604,#34603); +#34606=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34607=VECTOR('',#34606,6.3E-1); +#34608=CARTESIAN_POINT('',(-3.255E1,-1.72E1,-3.0265E1)); +#34609=LINE('',#34608,#34607); +#34610=DIRECTION('',(1.E0,0.E0,0.E0)); +#34611=VECTOR('',#34610,6.E-1); +#34612=CARTESIAN_POINT('',(-3.255E1,-1.72E1,-3.0265E1)); +#34613=LINE('',#34612,#34611); +#34614=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34615=VECTOR('',#34614,6.3E-1); +#34616=CARTESIAN_POINT('',(-3.195E1,-1.72E1,-3.0265E1)); +#34617=LINE('',#34616,#34615); +#34618=DIRECTION('',(0.E0,1.E0,0.E0)); +#34619=VECTOR('',#34618,3.7E0); +#34620=CARTESIAN_POINT('',(-3.255E1,-1.72E1,-2.5815E1)); +#34621=LINE('',#34620,#34619); +#34622=DIRECTION('',(1.E0,0.E0,0.E0)); +#34623=VECTOR('',#34622,6.E-1); +#34624=CARTESIAN_POINT('',(-3.255E1,-1.72E1,-2.5815E1)); +#34625=LINE('',#34624,#34623); +#34626=DIRECTION('',(0.E0,1.E0,0.E0)); +#34627=VECTOR('',#34626,3.7E0); +#34628=CARTESIAN_POINT('',(-3.195E1,-1.72E1,-2.5815E1)); +#34629=LINE('',#34628,#34627); +#34630=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#34631=VECTOR('',#34630,3.E-1); +#34632=CARTESIAN_POINT('',(-3.27E1,-1.094019237886E1,-2.5815E1)); +#34633=LINE('',#34632,#34631); +#34634=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#34635=VECTOR('',#34634,3.E-1); +#34636=CARTESIAN_POINT('',(-3.195E1,-1.12E1,-2.5815E1)); +#34637=LINE('',#34636,#34635); +#34638=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34639=VECTOR('',#34638,6.3E-1); +#34640=CARTESIAN_POINT('',(-3.255E1,-1.72E1,-2.5185E1)); +#34641=LINE('',#34640,#34639); +#34642=DIRECTION('',(1.E0,0.E0,0.E0)); +#34643=VECTOR('',#34642,6.E-1); +#34644=CARTESIAN_POINT('',(-3.255E1,-1.72E1,-2.5185E1)); +#34645=LINE('',#34644,#34643); +#34646=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34647=VECTOR('',#34646,6.3E-1); +#34648=CARTESIAN_POINT('',(-3.195E1,-1.72E1,-2.5185E1)); +#34649=LINE('',#34648,#34647); +#34650=DIRECTION('',(0.E0,1.E0,0.E0)); +#34651=VECTOR('',#34650,3.7E0); +#34652=CARTESIAN_POINT('',(-3.255E1,-1.72E1,-2.5185E1)); +#34653=LINE('',#34652,#34651); +#34654=DIRECTION('',(0.E0,1.E0,0.E0)); +#34655=VECTOR('',#34654,3.7E0); +#34656=CARTESIAN_POINT('',(-3.195E1,-1.72E1,-2.5185E1)); +#34657=LINE('',#34656,#34655); +#34658=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#34659=VECTOR('',#34658,3.E-1); +#34660=CARTESIAN_POINT('',(-3.27E1,-1.094019237886E1,-2.5185E1)); +#34661=LINE('',#34660,#34659); +#34662=DIRECTION('',(1.E0,0.E0,0.E0)); +#34663=VECTOR('',#34662,9.E-1); +#34664=CARTESIAN_POINT('',(-3.27E1,-3.285E0,-2.5185E1)); +#34665=LINE('',#34664,#34663); +#34666=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#34667=VECTOR('',#34666,3.E-1); +#34668=CARTESIAN_POINT('',(-3.195E1,-1.12E1,-2.5185E1)); +#34669=LINE('',#34668,#34667); +#34670=DIRECTION('',(1.E0,0.E0,0.E0)); +#34671=VECTOR('',#34670,9.E-1); +#34672=CARTESIAN_POINT('',(-3.27E1,-2.785E0,-2.4685E1)); +#34673=LINE('',#34672,#34671); +#34674=DIRECTION('',(0.E0,0.E0,1.E0)); +#34675=VECTOR('',#34674,6.3E-1); +#34676=CARTESIAN_POINT('',(-3.18E1,-1.094019237886E1,-3.0895E1)); +#34677=LINE('',#34676,#34675); +#34678=DIRECTION('',(0.E0,-1.E0,0.E0)); +#34679=VECTOR('',#34678,1.475519237886E1); +#34680=CARTESIAN_POINT('',(-3.18E1,3.815E0,-3.0265E1)); +#34681=LINE('',#34680,#34679); +#34682=CARTESIAN_POINT('',(-3.18E1,3.815E0,-2.9265E1)); +#34683=DIRECTION('',(-1.E0,0.E0,0.E0)); +#34684=DIRECTION('',(0.E0,1.E0,0.E0)); +#34685=AXIS2_PLACEMENT_3D('',#34682,#34683,#34684); +#34687=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34688=VECTOR('',#34687,3.425E0); +#34689=CARTESIAN_POINT('',(-3.18E1,4.815E0,-2.584E1)); +#34690=LINE('',#34689,#34688); +#34691=DIRECTION('',(0.E0,0.E0,1.E0)); +#34692=VECTOR('',#34691,3.425E0); +#34693=CARTESIAN_POINT('',(-3.18E1,5.445E0,-2.9265E1)); +#34694=LINE('',#34693,#34692); +#34695=CARTESIAN_POINT('',(-3.18E1,3.815E0,-2.9265E1)); +#34696=DIRECTION('',(1.E0,0.E0,0.E0)); +#34697=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34698=AXIS2_PLACEMENT_3D('',#34695,#34696,#34697); +#34700=DIRECTION('',(0.E0,1.E0,0.E0)); +#34701=VECTOR('',#34700,1.475519237886E1); +#34702=CARTESIAN_POINT('',(-3.18E1,-1.094019237886E1,-3.0895E1)); +#34703=LINE('',#34702,#34701); +#34704=DIRECTION('',(0.E0,0.E0,1.E0)); +#34705=VECTOR('',#34704,6.3E-1); +#34706=CARTESIAN_POINT('',(-3.18E1,-1.094019237886E1,-2.5815E1)); +#34707=LINE('',#34706,#34705); +#34708=DIRECTION('',(0.E0,-1.E0,0.E0)); +#34709=VECTOR('',#34708,7.655192378865E0); +#34710=CARTESIAN_POINT('',(-3.18E1,-3.285E0,-2.5185E1)); +#34711=LINE('',#34710,#34709); +#34712=CARTESIAN_POINT('',(-3.18E1,-3.285E0,-2.4685E1)); +#34713=DIRECTION('',(-1.E0,0.E0,0.E0)); +#34714=DIRECTION('',(0.E0,1.E0,0.E0)); +#34715=AXIS2_PLACEMENT_3D('',#34712,#34713,#34714); +#34717=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34718=VECTOR('',#34717,1.085E0); +#34719=CARTESIAN_POINT('',(-3.18E1,-2.785E0,-2.36E1)); +#34720=LINE('',#34719,#34718); +#34721=DIRECTION('',(0.E0,0.E0,1.E0)); +#34722=VECTOR('',#34721,1.085E0); +#34723=CARTESIAN_POINT('',(-3.18E1,-2.155E0,-2.4685E1)); +#34724=LINE('',#34723,#34722); +#34725=CARTESIAN_POINT('',(-3.18E1,-3.285E0,-2.4685E1)); +#34726=DIRECTION('',(1.E0,0.E0,0.E0)); +#34727=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34728=AXIS2_PLACEMENT_3D('',#34725,#34726,#34727); +#34730=DIRECTION('',(0.E0,1.E0,0.E0)); +#34731=VECTOR('',#34730,7.655192378865E0); +#34732=CARTESIAN_POINT('',(-3.18E1,-1.094019237886E1,-2.5815E1)); +#34733=LINE('',#34732,#34731); +#34734=DIRECTION('',(0.E0,0.E0,1.E0)); +#34735=VECTOR('',#34734,6.3E-1); +#34736=CARTESIAN_POINT('',(-3.195E1,-1.12E1,-3.0895E1)); +#34737=LINE('',#34736,#34735); +#34738=DIRECTION('',(0.E0,0.E0,1.E0)); +#34739=VECTOR('',#34738,6.3E-1); +#34740=CARTESIAN_POINT('',(-3.195E1,-1.12E1,-2.5815E1)); +#34741=LINE('',#34740,#34739); +#34742=DIRECTION('',(1.E0,0.E0,0.E0)); +#34743=VECTOR('',#34742,9.E-1); +#34744=CARTESIAN_POINT('',(-3.27E1,4.815E0,-2.9265E1)); +#34745=LINE('',#34744,#34743); +#34746=DIRECTION('',(1.E0,0.E0,0.E0)); +#34747=VECTOR('',#34746,9.E-1); +#34748=CARTESIAN_POINT('',(-3.27E1,5.445E0,-2.9265E1)); +#34749=LINE('',#34748,#34747); +#34750=DIRECTION('',(1.E0,0.E0,0.E0)); +#34751=VECTOR('',#34750,9.E-1); +#34752=CARTESIAN_POINT('',(-3.27E1,3.815E0,-3.0895E1)); +#34753=LINE('',#34752,#34751); +#34754=DIRECTION('',(1.E0,0.E0,0.E0)); +#34755=VECTOR('',#34754,9.E-1); +#34756=CARTESIAN_POINT('',(-3.27E1,-2.155E0,-2.4685E1)); +#34757=LINE('',#34756,#34755); +#34758=DIRECTION('',(1.E0,0.E0,0.E0)); +#34759=VECTOR('',#34758,9.E-1); +#34760=CARTESIAN_POINT('',(-3.27E1,-3.285E0,-2.5815E1)); +#34761=LINE('',#34760,#34759); +#34762=DIRECTION('',(0.E0,0.E0,1.E0)); +#34763=VECTOR('',#34762,6.3E-1); +#34764=CARTESIAN_POINT('',(-3.055E1,-1.094019237886E1,-2.8355E1)); +#34765=LINE('',#34764,#34763); +#34766=DIRECTION('',(0.E0,1.E0,0.E0)); +#34767=VECTOR('',#34766,1.475519237886E1); +#34768=CARTESIAN_POINT('',(-3.055E1,-1.094019237886E1,-2.8355E1)); +#34769=LINE('',#34768,#34767); +#34770=CARTESIAN_POINT('',(-3.055E1,3.815E0,-2.6725E1)); +#34771=DIRECTION('',(1.E0,0.E0,0.E0)); +#34772=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#34773=AXIS2_PLACEMENT_3D('',#34770,#34771,#34772); +#34775=DIRECTION('',(0.E0,0.E0,1.E0)); +#34776=VECTOR('',#34775,8.85E-1); +#34777=CARTESIAN_POINT('',(-3.055E1,5.445E0,-2.6725E1)); +#34778=LINE('',#34777,#34776); +#34779=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34780=VECTOR('',#34779,8.85E-1); +#34781=CARTESIAN_POINT('',(-3.055E1,4.815E0,-2.584E1)); +#34782=LINE('',#34781,#34780); +#34783=CARTESIAN_POINT('',(-3.055E1,3.815E0,-2.6725E1)); +#34784=DIRECTION('',(-1.E0,0.E0,0.E0)); +#34785=DIRECTION('',(0.E0,1.E0,0.E0)); +#34786=AXIS2_PLACEMENT_3D('',#34783,#34784,#34785); +#34788=DIRECTION('',(0.E0,-1.E0,0.E0)); +#34789=VECTOR('',#34788,1.475519237886E1); +#34790=CARTESIAN_POINT('',(-3.055E1,3.815E0,-2.7725E1)); +#34791=LINE('',#34790,#34789); +#34792=DIRECTION('',(0.E0,0.E0,1.E0)); +#34793=VECTOR('',#34792,6.3E-1); +#34794=CARTESIAN_POINT('',(-3.055E1,-1.094019237886E1,-2.5815E1)); +#34795=LINE('',#34794,#34793); +#34796=DIRECTION('',(0.E0,1.E0,0.E0)); +#34797=VECTOR('',#34796,7.655192378865E0); +#34798=CARTESIAN_POINT('',(-3.055E1,-1.094019237886E1,-2.5815E1)); +#34799=LINE('',#34798,#34797); +#34800=CARTESIAN_POINT('',(-3.055E1,-3.285E0,-2.4685E1)); +#34801=DIRECTION('',(1.E0,0.E0,0.E0)); +#34802=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34803=AXIS2_PLACEMENT_3D('',#34800,#34801,#34802); +#34805=DIRECTION('',(0.E0,0.E0,1.E0)); +#34806=VECTOR('',#34805,1.085E0); +#34807=CARTESIAN_POINT('',(-3.055E1,-2.155E0,-2.4685E1)); +#34808=LINE('',#34807,#34806); +#34809=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34810=VECTOR('',#34809,1.085E0); +#34811=CARTESIAN_POINT('',(-3.055E1,-2.785E0,-2.36E1)); +#34812=LINE('',#34811,#34810); +#34813=CARTESIAN_POINT('',(-3.055E1,-3.285E0,-2.4685E1)); +#34814=DIRECTION('',(-1.E0,0.E0,0.E0)); +#34815=DIRECTION('',(0.E0,1.E0,0.E0)); +#34816=AXIS2_PLACEMENT_3D('',#34813,#34814,#34815); +#34818=DIRECTION('',(0.E0,-1.E0,0.E0)); +#34819=VECTOR('',#34818,7.655192378865E0); +#34820=CARTESIAN_POINT('',(-3.055E1,-3.285E0,-2.5185E1)); +#34821=LINE('',#34820,#34819); +#34822=DIRECTION('',(0.E0,1.E0,0.E0)); +#34823=VECTOR('',#34822,3.7E0); +#34824=CARTESIAN_POINT('',(-2.98E1,-1.72E1,-2.8355E1)); +#34825=LINE('',#34824,#34823); +#34826=DIRECTION('',(0.E0,1.E0,0.E0)); +#34827=VECTOR('',#34826,3.7E0); +#34828=CARTESIAN_POINT('',(-3.04E1,-1.72E1,-2.8355E1)); +#34829=LINE('',#34828,#34827); +#34830=DIRECTION('',(1.E0,0.E0,0.E0)); +#34831=VECTOR('',#34830,6.E-1); +#34832=CARTESIAN_POINT('',(-3.04E1,-1.72E1,-2.8355E1)); +#34833=LINE('',#34832,#34831); +#34834=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#34835=VECTOR('',#34834,3.E-1); +#34836=CARTESIAN_POINT('',(-2.98E1,-1.12E1,-2.8355E1)); +#34837=LINE('',#34836,#34835); +#34838=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#34839=VECTOR('',#34838,3.E-1); +#34840=CARTESIAN_POINT('',(-3.055E1,-1.094019237886E1,-2.8355E1)); +#34841=LINE('',#34840,#34839); +#34842=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34843=VECTOR('',#34842,6.3E-1); +#34844=CARTESIAN_POINT('',(-2.98E1,-1.72E1,-2.7725E1)); +#34845=LINE('',#34844,#34843); +#34846=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34847=VECTOR('',#34846,6.3E-1); +#34848=CARTESIAN_POINT('',(-3.04E1,-1.72E1,-2.7725E1)); +#34849=LINE('',#34848,#34847); +#34850=DIRECTION('',(1.E0,0.E0,0.E0)); +#34851=VECTOR('',#34850,6.E-1); +#34852=CARTESIAN_POINT('',(-3.04E1,-1.72E1,-2.7725E1)); +#34853=LINE('',#34852,#34851); +#34854=DIRECTION('',(0.E0,0.E0,1.E0)); +#34855=VECTOR('',#34854,6.3E-1); +#34856=CARTESIAN_POINT('',(-3.04E1,-1.35E1,-2.8355E1)); +#34857=LINE('',#34856,#34855); +#34858=DIRECTION('',(0.E0,0.E0,1.E0)); +#34859=VECTOR('',#34858,6.3E-1); +#34860=CARTESIAN_POINT('',(-3.04E1,-1.35E1,-2.5815E1)); +#34861=LINE('',#34860,#34859); +#34862=DIRECTION('',(0.E0,1.E0,0.E0)); +#34863=VECTOR('',#34862,3.7E0); +#34864=CARTESIAN_POINT('',(-2.98E1,-1.72E1,-2.7725E1)); +#34865=LINE('',#34864,#34863); +#34866=DIRECTION('',(0.E0,1.E0,0.E0)); +#34867=VECTOR('',#34866,3.7E0); +#34868=CARTESIAN_POINT('',(-3.04E1,-1.72E1,-2.7725E1)); +#34869=LINE('',#34868,#34867); +#34870=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#34871=VECTOR('',#34870,3.E-1); +#34872=CARTESIAN_POINT('',(-2.98E1,-1.12E1,-2.7725E1)); +#34873=LINE('',#34872,#34871); +#34874=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#34875=VECTOR('',#34874,3.E-1); +#34876=CARTESIAN_POINT('',(-3.055E1,-1.094019237886E1,-2.7725E1)); +#34877=LINE('',#34876,#34875); +#34878=DIRECTION('',(1.E0,0.E0,0.E0)); +#34879=VECTOR('',#34878,9.E-1); +#34880=CARTESIAN_POINT('',(-3.055E1,3.815E0,-2.7725E1)); +#34881=LINE('',#34880,#34879); +#34882=DIRECTION('',(-1.127845612318E-14,0.E0,1.E0)); +#34883=VECTOR('',#34882,6.3E-1); +#34884=CARTESIAN_POINT('',(-2.98E1,-1.12E1,-2.8355E1)); +#34885=LINE('',#34884,#34883); +#34886=DIRECTION('',(-1.127845612318E-14,0.E0,1.E0)); +#34887=VECTOR('',#34886,6.3E-1); +#34888=CARTESIAN_POINT('',(-2.98E1,-1.12E1,-2.5815E1)); +#34889=LINE('',#34888,#34887); +#34890=DIRECTION('',(0.E0,0.E0,1.E0)); +#34891=VECTOR('',#34890,6.3E-1); +#34892=CARTESIAN_POINT('',(-2.965E1,-1.094019237886E1,-2.8355E1)); +#34893=LINE('',#34892,#34891); +#34894=DIRECTION('',(0.E0,-1.E0,0.E0)); +#34895=VECTOR('',#34894,1.475519237886E1); +#34896=CARTESIAN_POINT('',(-2.965E1,3.815E0,-2.7725E1)); +#34897=LINE('',#34896,#34895); +#34898=CARTESIAN_POINT('',(-2.965E1,3.815E0,-2.6725E1)); +#34899=DIRECTION('',(-1.E0,0.E0,0.E0)); +#34900=DIRECTION('',(0.E0,1.E0,0.E0)); +#34901=AXIS2_PLACEMENT_3D('',#34898,#34899,#34900); +#34903=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34904=VECTOR('',#34903,8.85E-1); +#34905=CARTESIAN_POINT('',(-2.965E1,4.815E0,-2.584E1)); +#34906=LINE('',#34905,#34904); +#34907=DIRECTION('',(0.E0,0.E0,1.E0)); +#34908=VECTOR('',#34907,8.85E-1); +#34909=CARTESIAN_POINT('',(-2.965E1,5.445E0,-2.6725E1)); +#34910=LINE('',#34909,#34908); +#34911=CARTESIAN_POINT('',(-2.965E1,3.815E0,-2.6725E1)); +#34912=DIRECTION('',(1.E0,0.E0,0.E0)); +#34913=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#34914=AXIS2_PLACEMENT_3D('',#34911,#34912,#34913); +#34916=DIRECTION('',(0.E0,1.E0,0.E0)); +#34917=VECTOR('',#34916,1.475519237886E1); +#34918=CARTESIAN_POINT('',(-2.965E1,-1.094019237886E1,-2.8355E1)); +#34919=LINE('',#34918,#34917); +#34920=DIRECTION('',(0.E0,0.E0,1.E0)); +#34921=VECTOR('',#34920,6.3E-1); +#34922=CARTESIAN_POINT('',(-2.965E1,-1.094019237886E1,-2.5815E1)); +#34923=LINE('',#34922,#34921); +#34924=DIRECTION('',(0.E0,-1.E0,0.E0)); +#34925=VECTOR('',#34924,7.655192378865E0); +#34926=CARTESIAN_POINT('',(-2.965E1,-3.285E0,-2.5185E1)); +#34927=LINE('',#34926,#34925); +#34928=CARTESIAN_POINT('',(-2.965E1,-3.285E0,-2.4685E1)); +#34929=DIRECTION('',(-1.E0,0.E0,0.E0)); +#34930=DIRECTION('',(0.E0,1.E0,0.E0)); +#34931=AXIS2_PLACEMENT_3D('',#34928,#34929,#34930); +#34933=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34934=VECTOR('',#34933,1.085E0); +#34935=CARTESIAN_POINT('',(-2.965E1,-2.785E0,-2.36E1)); +#34936=LINE('',#34935,#34934); +#34937=DIRECTION('',(0.E0,0.E0,1.E0)); +#34938=VECTOR('',#34937,1.085E0); +#34939=CARTESIAN_POINT('',(-2.965E1,-2.155E0,-2.4685E1)); +#34940=LINE('',#34939,#34938); +#34941=CARTESIAN_POINT('',(-2.965E1,-3.285E0,-2.4685E1)); +#34942=DIRECTION('',(1.E0,0.E0,0.E0)); +#34943=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34944=AXIS2_PLACEMENT_3D('',#34941,#34942,#34943); +#34946=DIRECTION('',(0.E0,1.E0,0.E0)); +#34947=VECTOR('',#34946,7.655192378865E0); +#34948=CARTESIAN_POINT('',(-2.965E1,-1.094019237886E1,-2.5815E1)); +#34949=LINE('',#34948,#34947); +#34950=DIRECTION('',(1.E0,0.E0,0.E0)); +#34951=VECTOR('',#34950,9.E-1); +#34952=CARTESIAN_POINT('',(-3.055E1,4.815E0,-2.6725E1)); +#34953=LINE('',#34952,#34951); +#34954=DIRECTION('',(1.E0,0.E0,0.E0)); +#34955=VECTOR('',#34954,9.E-1); +#34956=CARTESIAN_POINT('',(-3.055E1,5.445E0,-2.6725E1)); +#34957=LINE('',#34956,#34955); +#34958=DIRECTION('',(1.E0,0.E0,0.E0)); +#34959=VECTOR('',#34958,9.E-1); +#34960=CARTESIAN_POINT('',(-3.055E1,3.815E0,-2.8355E1)); +#34961=LINE('',#34960,#34959); +#34962=DIRECTION('',(0.E0,1.E0,0.E0)); +#34963=VECTOR('',#34962,3.7E0); +#34964=CARTESIAN_POINT('',(-2.98E1,-1.72E1,-2.5185E1)); +#34965=LINE('',#34964,#34963); +#34966=DIRECTION('',(0.E0,1.E0,0.E0)); +#34967=VECTOR('',#34966,3.7E0); +#34968=CARTESIAN_POINT('',(-3.04E1,-1.72E1,-2.5185E1)); +#34969=LINE('',#34968,#34967); +#34970=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#34971=VECTOR('',#34970,3.E-1); +#34972=CARTESIAN_POINT('',(-2.98E1,-1.12E1,-2.5185E1)); +#34973=LINE('',#34972,#34971); +#34974=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#34975=VECTOR('',#34974,3.E-1); +#34976=CARTESIAN_POINT('',(-3.055E1,-1.094019237886E1,-2.5185E1)); +#34977=LINE('',#34976,#34975); +#34978=DIRECTION('',(1.E0,0.E0,0.E0)); +#34979=VECTOR('',#34978,9.E-1); +#34980=CARTESIAN_POINT('',(-3.055E1,-3.285E0,-2.5185E1)); +#34981=LINE('',#34980,#34979); +#34982=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34983=VECTOR('',#34982,6.3E-1); +#34984=CARTESIAN_POINT('',(-2.98E1,-1.72E1,-2.5185E1)); +#34985=LINE('',#34984,#34983); +#34986=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34987=VECTOR('',#34986,6.3E-1); +#34988=CARTESIAN_POINT('',(-3.04E1,-1.72E1,-2.5185E1)); +#34989=LINE('',#34988,#34987); +#34990=DIRECTION('',(1.E0,0.E0,0.E0)); +#34991=VECTOR('',#34990,6.E-1); +#34992=CARTESIAN_POINT('',(-3.04E1,-1.72E1,-2.5185E1)); +#34993=LINE('',#34992,#34991); +#34994=DIRECTION('',(0.E0,1.E0,0.E0)); +#34995=VECTOR('',#34994,3.7E0); +#34996=CARTESIAN_POINT('',(-2.98E1,-1.72E1,-2.5815E1)); +#34997=LINE('',#34996,#34995); +#34998=DIRECTION('',(0.E0,1.E0,0.E0)); +#34999=VECTOR('',#34998,3.7E0); +#35000=CARTESIAN_POINT('',(-3.04E1,-1.72E1,-2.5815E1)); +#35001=LINE('',#35000,#34999); +#35002=DIRECTION('',(1.E0,0.E0,0.E0)); +#35003=VECTOR('',#35002,6.E-1); +#35004=CARTESIAN_POINT('',(-3.04E1,-1.72E1,-2.5815E1)); +#35005=LINE('',#35004,#35003); +#35006=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#35007=VECTOR('',#35006,3.E-1); +#35008=CARTESIAN_POINT('',(-2.98E1,-1.12E1,-2.5815E1)); +#35009=LINE('',#35008,#35007); +#35010=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#35011=VECTOR('',#35010,3.E-1); +#35012=CARTESIAN_POINT('',(-3.055E1,-1.094019237886E1,-2.5815E1)); +#35013=LINE('',#35012,#35011); +#35014=DIRECTION('',(1.E0,0.E0,0.E0)); +#35015=VECTOR('',#35014,9.E-1); +#35016=CARTESIAN_POINT('',(-3.055E1,-3.285E0,-2.5815E1)); +#35017=LINE('',#35016,#35015); +#35018=DIRECTION('',(1.E0,0.E0,0.E0)); +#35019=VECTOR('',#35018,9.E-1); +#35020=CARTESIAN_POINT('',(-3.055E1,-2.155E0,-2.4685E1)); +#35021=LINE('',#35020,#35019); +#35022=DIRECTION('',(1.E0,0.E0,0.E0)); +#35023=VECTOR('',#35022,9.E-1); +#35024=CARTESIAN_POINT('',(-3.055E1,-2.785E0,-2.4685E1)); +#35025=LINE('',#35024,#35023); +#35026=DIRECTION('',(0.E0,0.E0,1.E0)); +#35027=VECTOR('',#35026,6.3E-1); +#35028=CARTESIAN_POINT('',(-2.84E1,-1.094019237886E1,-3.0895E1)); +#35029=LINE('',#35028,#35027); +#35030=DIRECTION('',(0.E0,1.E0,0.E0)); +#35031=VECTOR('',#35030,1.475519237886E1); +#35032=CARTESIAN_POINT('',(-2.84E1,-1.094019237886E1,-3.0895E1)); +#35033=LINE('',#35032,#35031); +#35034=CARTESIAN_POINT('',(-2.84E1,3.815E0,-2.9265E1)); +#35035=DIRECTION('',(1.E0,0.E0,0.E0)); +#35036=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35037=AXIS2_PLACEMENT_3D('',#35034,#35035,#35036); +#35039=DIRECTION('',(0.E0,0.E0,1.E0)); +#35040=VECTOR('',#35039,3.425E0); +#35041=CARTESIAN_POINT('',(-2.84E1,5.445E0,-2.9265E1)); +#35042=LINE('',#35041,#35040); +#35043=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35044=VECTOR('',#35043,3.425E0); +#35045=CARTESIAN_POINT('',(-2.84E1,4.815E0,-2.584E1)); +#35046=LINE('',#35045,#35044); +#35047=CARTESIAN_POINT('',(-2.84E1,3.815E0,-2.9265E1)); +#35048=DIRECTION('',(-1.E0,0.E0,0.E0)); +#35049=DIRECTION('',(0.E0,1.E0,0.E0)); +#35050=AXIS2_PLACEMENT_3D('',#35047,#35048,#35049); +#35052=DIRECTION('',(0.E0,-1.E0,0.E0)); +#35053=VECTOR('',#35052,1.475519237886E1); +#35054=CARTESIAN_POINT('',(-2.84E1,3.815E0,-3.0265E1)); +#35055=LINE('',#35054,#35053); +#35056=DIRECTION('',(0.E0,0.E0,1.E0)); +#35057=VECTOR('',#35056,6.3E-1); +#35058=CARTESIAN_POINT('',(-2.84E1,-1.094019237886E1,-2.5815E1)); +#35059=LINE('',#35058,#35057); +#35060=DIRECTION('',(0.E0,1.E0,0.E0)); +#35061=VECTOR('',#35060,7.655192378865E0); +#35062=CARTESIAN_POINT('',(-2.84E1,-1.094019237886E1,-2.5815E1)); +#35063=LINE('',#35062,#35061); +#35064=CARTESIAN_POINT('',(-2.84E1,-3.285E0,-2.4685E1)); +#35065=DIRECTION('',(1.E0,0.E0,0.E0)); +#35066=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35067=AXIS2_PLACEMENT_3D('',#35064,#35065,#35066); +#35069=DIRECTION('',(0.E0,0.E0,1.E0)); +#35070=VECTOR('',#35069,1.085E0); +#35071=CARTESIAN_POINT('',(-2.84E1,-2.155E0,-2.4685E1)); +#35072=LINE('',#35071,#35070); +#35073=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35074=VECTOR('',#35073,1.085E0); +#35075=CARTESIAN_POINT('',(-2.84E1,-2.785E0,-2.36E1)); +#35076=LINE('',#35075,#35074); +#35077=CARTESIAN_POINT('',(-2.84E1,-3.285E0,-2.4685E1)); +#35078=DIRECTION('',(-1.E0,0.E0,0.E0)); +#35079=DIRECTION('',(0.E0,1.E0,0.E0)); +#35080=AXIS2_PLACEMENT_3D('',#35077,#35078,#35079); +#35082=DIRECTION('',(0.E0,-1.E0,0.E0)); +#35083=VECTOR('',#35082,7.655192378865E0); +#35084=CARTESIAN_POINT('',(-2.84E1,-3.285E0,-2.5185E1)); +#35085=LINE('',#35084,#35083); +#35086=DIRECTION('',(0.E0,1.E0,0.E0)); +#35087=VECTOR('',#35086,3.7E0); +#35088=CARTESIAN_POINT('',(-2.825E1,-1.72E1,-3.0895E1)); +#35089=LINE('',#35088,#35087); +#35090=DIRECTION('',(1.E0,0.E0,0.E0)); +#35091=VECTOR('',#35090,6.E-1); +#35092=CARTESIAN_POINT('',(-2.825E1,-1.72E1,-3.0895E1)); +#35093=LINE('',#35092,#35091); +#35094=DIRECTION('',(0.E0,1.E0,0.E0)); +#35095=VECTOR('',#35094,3.7E0); +#35096=CARTESIAN_POINT('',(-2.765E1,-1.72E1,-3.0895E1)); +#35097=LINE('',#35096,#35095); +#35098=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#35099=VECTOR('',#35098,3.E-1); +#35100=CARTESIAN_POINT('',(-2.84E1,-1.094019237886E1,-3.0895E1)); +#35101=LINE('',#35100,#35099); +#35102=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#35103=VECTOR('',#35102,3.E-1); +#35104=CARTESIAN_POINT('',(-2.765E1,-1.12E1,-3.0895E1)); +#35105=LINE('',#35104,#35103); +#35106=DIRECTION('',(0.E0,0.E0,1.E0)); +#35107=VECTOR('',#35106,6.3E-1); +#35108=CARTESIAN_POINT('',(-2.825E1,-1.35E1,-3.0895E1)); +#35109=LINE('',#35108,#35107); +#35110=DIRECTION('',(0.E0,0.E0,1.E0)); +#35111=VECTOR('',#35110,6.3E-1); +#35112=CARTESIAN_POINT('',(-2.825E1,-1.35E1,-2.5815E1)); +#35113=LINE('',#35112,#35111); +#35114=DIRECTION('',(0.E0,1.E0,0.E0)); +#35115=VECTOR('',#35114,3.7E0); +#35116=CARTESIAN_POINT('',(-2.825E1,-1.72E1,-3.0265E1)); +#35117=LINE('',#35116,#35115); +#35118=DIRECTION('',(0.E0,1.E0,0.E0)); +#35119=VECTOR('',#35118,3.7E0); +#35120=CARTESIAN_POINT('',(-2.765E1,-1.72E1,-3.0265E1)); +#35121=LINE('',#35120,#35119); +#35122=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#35123=VECTOR('',#35122,3.E-1); +#35124=CARTESIAN_POINT('',(-2.84E1,-1.094019237886E1,-3.0265E1)); +#35125=LINE('',#35124,#35123); +#35126=DIRECTION('',(1.E0,0.E0,0.E0)); +#35127=VECTOR('',#35126,9.E-1); +#35128=CARTESIAN_POINT('',(-2.84E1,3.815E0,-3.0265E1)); +#35129=LINE('',#35128,#35127); +#35130=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#35131=VECTOR('',#35130,3.E-1); +#35132=CARTESIAN_POINT('',(-2.765E1,-1.12E1,-3.0265E1)); +#35133=LINE('',#35132,#35131); +#35134=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35135=VECTOR('',#35134,6.3E-1); +#35136=CARTESIAN_POINT('',(-2.825E1,-1.72E1,-3.0265E1)); +#35137=LINE('',#35136,#35135); +#35138=DIRECTION('',(1.E0,0.E0,0.E0)); +#35139=VECTOR('',#35138,6.E-1); +#35140=CARTESIAN_POINT('',(-2.825E1,-1.72E1,-3.0265E1)); +#35141=LINE('',#35140,#35139); +#35142=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35143=VECTOR('',#35142,6.3E-1); +#35144=CARTESIAN_POINT('',(-2.765E1,-1.72E1,-3.0265E1)); +#35145=LINE('',#35144,#35143); +#35146=DIRECTION('',(0.E0,1.E0,0.E0)); +#35147=VECTOR('',#35146,3.7E0); +#35148=CARTESIAN_POINT('',(-2.825E1,-1.72E1,-2.5815E1)); +#35149=LINE('',#35148,#35147); +#35150=DIRECTION('',(1.E0,0.E0,0.E0)); +#35151=VECTOR('',#35150,6.E-1); +#35152=CARTESIAN_POINT('',(-2.825E1,-1.72E1,-2.5815E1)); +#35153=LINE('',#35152,#35151); +#35154=DIRECTION('',(0.E0,1.E0,0.E0)); +#35155=VECTOR('',#35154,3.7E0); +#35156=CARTESIAN_POINT('',(-2.765E1,-1.72E1,-2.5815E1)); +#35157=LINE('',#35156,#35155); +#35158=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#35159=VECTOR('',#35158,3.E-1); +#35160=CARTESIAN_POINT('',(-2.84E1,-1.094019237886E1,-2.5815E1)); +#35161=LINE('',#35160,#35159); +#35162=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#35163=VECTOR('',#35162,3.E-1); +#35164=CARTESIAN_POINT('',(-2.765E1,-1.12E1,-2.5815E1)); +#35165=LINE('',#35164,#35163); +#35166=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35167=VECTOR('',#35166,6.3E-1); +#35168=CARTESIAN_POINT('',(-2.825E1,-1.72E1,-2.5185E1)); +#35169=LINE('',#35168,#35167); +#35170=DIRECTION('',(1.E0,0.E0,0.E0)); +#35171=VECTOR('',#35170,6.E-1); +#35172=CARTESIAN_POINT('',(-2.825E1,-1.72E1,-2.5185E1)); +#35173=LINE('',#35172,#35171); +#35174=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35175=VECTOR('',#35174,6.3E-1); +#35176=CARTESIAN_POINT('',(-2.765E1,-1.72E1,-2.5185E1)); +#35177=LINE('',#35176,#35175); +#35178=DIRECTION('',(0.E0,1.E0,0.E0)); +#35179=VECTOR('',#35178,3.7E0); +#35180=CARTESIAN_POINT('',(-2.825E1,-1.72E1,-2.5185E1)); +#35181=LINE('',#35180,#35179); +#35182=DIRECTION('',(0.E0,1.E0,0.E0)); +#35183=VECTOR('',#35182,3.7E0); +#35184=CARTESIAN_POINT('',(-2.765E1,-1.72E1,-2.5185E1)); +#35185=LINE('',#35184,#35183); +#35186=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#35187=VECTOR('',#35186,3.E-1); +#35188=CARTESIAN_POINT('',(-2.84E1,-1.094019237886E1,-2.5185E1)); +#35189=LINE('',#35188,#35187); +#35190=DIRECTION('',(1.E0,0.E0,0.E0)); +#35191=VECTOR('',#35190,9.E-1); +#35192=CARTESIAN_POINT('',(-2.84E1,-3.285E0,-2.5185E1)); +#35193=LINE('',#35192,#35191); +#35194=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#35195=VECTOR('',#35194,3.E-1); +#35196=CARTESIAN_POINT('',(-2.765E1,-1.12E1,-2.5185E1)); +#35197=LINE('',#35196,#35195); +#35198=DIRECTION('',(1.E0,0.E0,0.E0)); +#35199=VECTOR('',#35198,9.E-1); +#35200=CARTESIAN_POINT('',(-2.84E1,-2.785E0,-2.4685E1)); +#35201=LINE('',#35200,#35199); +#35202=DIRECTION('',(0.E0,0.E0,1.E0)); +#35203=VECTOR('',#35202,6.3E-1); +#35204=CARTESIAN_POINT('',(-2.75E1,-1.094019237886E1,-3.0895E1)); +#35205=LINE('',#35204,#35203); +#35206=DIRECTION('',(0.E0,-1.E0,0.E0)); +#35207=VECTOR('',#35206,1.475519237886E1); +#35208=CARTESIAN_POINT('',(-2.75E1,3.815E0,-3.0265E1)); +#35209=LINE('',#35208,#35207); +#35210=CARTESIAN_POINT('',(-2.75E1,3.815E0,-2.9265E1)); +#35211=DIRECTION('',(-1.E0,0.E0,0.E0)); +#35212=DIRECTION('',(0.E0,1.E0,0.E0)); +#35213=AXIS2_PLACEMENT_3D('',#35210,#35211,#35212); +#35215=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35216=VECTOR('',#35215,3.425E0); +#35217=CARTESIAN_POINT('',(-2.75E1,4.815E0,-2.584E1)); +#35218=LINE('',#35217,#35216); +#35219=DIRECTION('',(0.E0,0.E0,1.E0)); +#35220=VECTOR('',#35219,3.425E0); +#35221=CARTESIAN_POINT('',(-2.75E1,5.445E0,-2.9265E1)); +#35222=LINE('',#35221,#35220); +#35223=CARTESIAN_POINT('',(-2.75E1,3.815E0,-2.9265E1)); +#35224=DIRECTION('',(1.E0,0.E0,0.E0)); +#35225=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35226=AXIS2_PLACEMENT_3D('',#35223,#35224,#35225); +#35228=DIRECTION('',(0.E0,1.E0,0.E0)); +#35229=VECTOR('',#35228,1.475519237886E1); +#35230=CARTESIAN_POINT('',(-2.75E1,-1.094019237886E1,-3.0895E1)); +#35231=LINE('',#35230,#35229); +#35232=DIRECTION('',(0.E0,0.E0,1.E0)); +#35233=VECTOR('',#35232,6.3E-1); +#35234=CARTESIAN_POINT('',(-2.75E1,-1.094019237886E1,-2.5815E1)); +#35235=LINE('',#35234,#35233); +#35236=DIRECTION('',(0.E0,-1.E0,0.E0)); +#35237=VECTOR('',#35236,7.655192378865E0); +#35238=CARTESIAN_POINT('',(-2.75E1,-3.285E0,-2.5185E1)); +#35239=LINE('',#35238,#35237); +#35240=CARTESIAN_POINT('',(-2.75E1,-3.285E0,-2.4685E1)); +#35241=DIRECTION('',(-1.E0,0.E0,0.E0)); +#35242=DIRECTION('',(0.E0,1.E0,0.E0)); +#35243=AXIS2_PLACEMENT_3D('',#35240,#35241,#35242); +#35245=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35246=VECTOR('',#35245,1.085E0); +#35247=CARTESIAN_POINT('',(-2.75E1,-2.785E0,-2.36E1)); +#35248=LINE('',#35247,#35246); +#35249=DIRECTION('',(0.E0,0.E0,1.E0)); +#35250=VECTOR('',#35249,1.085E0); +#35251=CARTESIAN_POINT('',(-2.75E1,-2.155E0,-2.4685E1)); +#35252=LINE('',#35251,#35250); +#35253=CARTESIAN_POINT('',(-2.75E1,-3.285E0,-2.4685E1)); +#35254=DIRECTION('',(1.E0,0.E0,0.E0)); +#35255=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35256=AXIS2_PLACEMENT_3D('',#35253,#35254,#35255); +#35258=DIRECTION('',(0.E0,1.E0,0.E0)); +#35259=VECTOR('',#35258,7.655192378865E0); +#35260=CARTESIAN_POINT('',(-2.75E1,-1.094019237886E1,-2.5815E1)); +#35261=LINE('',#35260,#35259); +#35262=DIRECTION('',(0.E0,0.E0,1.E0)); +#35263=VECTOR('',#35262,6.3E-1); +#35264=CARTESIAN_POINT('',(-2.765E1,-1.12E1,-3.0895E1)); +#35265=LINE('',#35264,#35263); +#35266=DIRECTION('',(0.E0,0.E0,1.E0)); +#35267=VECTOR('',#35266,6.3E-1); +#35268=CARTESIAN_POINT('',(-2.765E1,-1.12E1,-2.5815E1)); +#35269=LINE('',#35268,#35267); +#35270=DIRECTION('',(1.E0,0.E0,0.E0)); +#35271=VECTOR('',#35270,9.E-1); +#35272=CARTESIAN_POINT('',(-2.84E1,4.815E0,-2.9265E1)); +#35273=LINE('',#35272,#35271); +#35274=DIRECTION('',(1.E0,0.E0,0.E0)); +#35275=VECTOR('',#35274,9.E-1); +#35276=CARTESIAN_POINT('',(-2.84E1,5.445E0,-2.9265E1)); +#35277=LINE('',#35276,#35275); +#35278=DIRECTION('',(1.E0,0.E0,0.E0)); +#35279=VECTOR('',#35278,9.E-1); +#35280=CARTESIAN_POINT('',(-2.84E1,3.815E0,-3.0895E1)); +#35281=LINE('',#35280,#35279); +#35282=DIRECTION('',(1.E0,0.E0,0.E0)); +#35283=VECTOR('',#35282,9.E-1); +#35284=CARTESIAN_POINT('',(-2.84E1,-2.155E0,-2.4685E1)); +#35285=LINE('',#35284,#35283); +#35286=DIRECTION('',(1.E0,0.E0,0.E0)); +#35287=VECTOR('',#35286,9.E-1); +#35288=CARTESIAN_POINT('',(-2.84E1,-3.285E0,-2.5815E1)); +#35289=LINE('',#35288,#35287); +#35290=DIRECTION('',(0.E0,0.E0,1.E0)); +#35291=VECTOR('',#35290,6.3E-1); +#35292=CARTESIAN_POINT('',(-2.625E1,-1.094019237886E1,-2.8355E1)); +#35293=LINE('',#35292,#35291); +#35294=DIRECTION('',(0.E0,1.E0,0.E0)); +#35295=VECTOR('',#35294,1.475519237886E1); +#35296=CARTESIAN_POINT('',(-2.625E1,-1.094019237886E1,-2.8355E1)); +#35297=LINE('',#35296,#35295); +#35298=CARTESIAN_POINT('',(-2.625E1,3.815E0,-2.6725E1)); +#35299=DIRECTION('',(1.E0,0.E0,0.E0)); +#35300=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#35301=AXIS2_PLACEMENT_3D('',#35298,#35299,#35300); +#35303=DIRECTION('',(0.E0,0.E0,1.E0)); +#35304=VECTOR('',#35303,8.85E-1); +#35305=CARTESIAN_POINT('',(-2.625E1,5.445E0,-2.6725E1)); +#35306=LINE('',#35305,#35304); +#35307=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35308=VECTOR('',#35307,8.85E-1); +#35309=CARTESIAN_POINT('',(-2.625E1,4.815E0,-2.584E1)); +#35310=LINE('',#35309,#35308); +#35311=CARTESIAN_POINT('',(-2.625E1,3.815E0,-2.6725E1)); +#35312=DIRECTION('',(-1.E0,0.E0,0.E0)); +#35313=DIRECTION('',(0.E0,1.E0,0.E0)); +#35314=AXIS2_PLACEMENT_3D('',#35311,#35312,#35313); +#35316=DIRECTION('',(0.E0,-1.E0,0.E0)); +#35317=VECTOR('',#35316,1.475519237886E1); +#35318=CARTESIAN_POINT('',(-2.625E1,3.815E0,-2.7725E1)); +#35319=LINE('',#35318,#35317); +#35320=DIRECTION('',(0.E0,0.E0,1.E0)); +#35321=VECTOR('',#35320,6.3E-1); +#35322=CARTESIAN_POINT('',(-2.625E1,-1.094019237886E1,-2.5815E1)); +#35323=LINE('',#35322,#35321); +#35324=DIRECTION('',(0.E0,1.E0,0.E0)); +#35325=VECTOR('',#35324,7.655192378865E0); +#35326=CARTESIAN_POINT('',(-2.625E1,-1.094019237886E1,-2.5815E1)); +#35327=LINE('',#35326,#35325); +#35328=CARTESIAN_POINT('',(-2.625E1,-3.285E0,-2.4685E1)); +#35329=DIRECTION('',(1.E0,0.E0,0.E0)); +#35330=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35331=AXIS2_PLACEMENT_3D('',#35328,#35329,#35330); +#35333=DIRECTION('',(0.E0,0.E0,1.E0)); +#35334=VECTOR('',#35333,1.085E0); +#35335=CARTESIAN_POINT('',(-2.625E1,-2.155E0,-2.4685E1)); +#35336=LINE('',#35335,#35334); +#35337=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35338=VECTOR('',#35337,1.085E0); +#35339=CARTESIAN_POINT('',(-2.625E1,-2.785E0,-2.36E1)); +#35340=LINE('',#35339,#35338); +#35341=CARTESIAN_POINT('',(-2.625E1,-3.285E0,-2.4685E1)); +#35342=DIRECTION('',(-1.E0,0.E0,0.E0)); +#35343=DIRECTION('',(0.E0,1.E0,0.E0)); +#35344=AXIS2_PLACEMENT_3D('',#35341,#35342,#35343); +#35346=DIRECTION('',(0.E0,-1.E0,0.E0)); +#35347=VECTOR('',#35346,7.655192378865E0); +#35348=CARTESIAN_POINT('',(-2.625E1,-3.285E0,-2.5185E1)); +#35349=LINE('',#35348,#35347); +#35350=DIRECTION('',(0.E0,1.E0,0.E0)); +#35351=VECTOR('',#35350,3.7E0); +#35352=CARTESIAN_POINT('',(-2.55E1,-1.72E1,-2.8355E1)); +#35353=LINE('',#35352,#35351); +#35354=DIRECTION('',(0.E0,1.E0,0.E0)); +#35355=VECTOR('',#35354,3.7E0); +#35356=CARTESIAN_POINT('',(-2.61E1,-1.72E1,-2.8355E1)); +#35357=LINE('',#35356,#35355); +#35358=DIRECTION('',(1.E0,0.E0,0.E0)); +#35359=VECTOR('',#35358,6.E-1); +#35360=CARTESIAN_POINT('',(-2.61E1,-1.72E1,-2.8355E1)); +#35361=LINE('',#35360,#35359); +#35362=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#35363=VECTOR('',#35362,3.E-1); +#35364=CARTESIAN_POINT('',(-2.55E1,-1.12E1,-2.8355E1)); +#35365=LINE('',#35364,#35363); +#35366=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#35367=VECTOR('',#35366,3.E-1); +#35368=CARTESIAN_POINT('',(-2.625E1,-1.094019237886E1,-2.8355E1)); +#35369=LINE('',#35368,#35367); +#35370=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35371=VECTOR('',#35370,6.3E-1); +#35372=CARTESIAN_POINT('',(-2.55E1,-1.72E1,-2.7725E1)); +#35373=LINE('',#35372,#35371); +#35374=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35375=VECTOR('',#35374,6.3E-1); +#35376=CARTESIAN_POINT('',(-2.61E1,-1.72E1,-2.7725E1)); +#35377=LINE('',#35376,#35375); +#35378=DIRECTION('',(1.E0,0.E0,0.E0)); +#35379=VECTOR('',#35378,6.E-1); +#35380=CARTESIAN_POINT('',(-2.61E1,-1.72E1,-2.7725E1)); +#35381=LINE('',#35380,#35379); +#35382=DIRECTION('',(0.E0,0.E0,1.E0)); +#35383=VECTOR('',#35382,6.3E-1); +#35384=CARTESIAN_POINT('',(-2.61E1,-1.35E1,-2.8355E1)); +#35385=LINE('',#35384,#35383); +#35386=DIRECTION('',(0.E0,0.E0,1.E0)); +#35387=VECTOR('',#35386,6.3E-1); +#35388=CARTESIAN_POINT('',(-2.61E1,-1.35E1,-2.5815E1)); +#35389=LINE('',#35388,#35387); +#35390=DIRECTION('',(0.E0,1.E0,0.E0)); +#35391=VECTOR('',#35390,3.7E0); +#35392=CARTESIAN_POINT('',(-2.55E1,-1.72E1,-2.7725E1)); +#35393=LINE('',#35392,#35391); +#35394=DIRECTION('',(0.E0,1.E0,0.E0)); +#35395=VECTOR('',#35394,3.7E0); +#35396=CARTESIAN_POINT('',(-2.61E1,-1.72E1,-2.7725E1)); +#35397=LINE('',#35396,#35395); +#35398=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#35399=VECTOR('',#35398,3.E-1); +#35400=CARTESIAN_POINT('',(-2.55E1,-1.12E1,-2.7725E1)); +#35401=LINE('',#35400,#35399); +#35402=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#35403=VECTOR('',#35402,3.E-1); +#35404=CARTESIAN_POINT('',(-2.625E1,-1.094019237886E1,-2.7725E1)); +#35405=LINE('',#35404,#35403); +#35406=DIRECTION('',(1.E0,0.E0,0.E0)); +#35407=VECTOR('',#35406,9.E-1); +#35408=CARTESIAN_POINT('',(-2.625E1,3.815E0,-2.7725E1)); +#35409=LINE('',#35408,#35407); +#35410=DIRECTION('',(0.E0,0.E0,1.E0)); +#35411=VECTOR('',#35410,6.3E-1); +#35412=CARTESIAN_POINT('',(-2.55E1,-1.12E1,-2.8355E1)); +#35413=LINE('',#35412,#35411); +#35414=DIRECTION('',(0.E0,0.E0,1.E0)); +#35415=VECTOR('',#35414,6.3E-1); +#35416=CARTESIAN_POINT('',(-2.55E1,-1.12E1,-2.5815E1)); +#35417=LINE('',#35416,#35415); +#35418=DIRECTION('',(0.E0,0.E0,1.E0)); +#35419=VECTOR('',#35418,6.3E-1); +#35420=CARTESIAN_POINT('',(-2.535E1,-1.094019237886E1,-2.8355E1)); +#35421=LINE('',#35420,#35419); +#35422=DIRECTION('',(0.E0,-1.E0,0.E0)); +#35423=VECTOR('',#35422,1.475519237886E1); +#35424=CARTESIAN_POINT('',(-2.535E1,3.815E0,-2.7725E1)); +#35425=LINE('',#35424,#35423); +#35426=CARTESIAN_POINT('',(-2.535E1,3.815E0,-2.6725E1)); +#35427=DIRECTION('',(-1.E0,0.E0,0.E0)); +#35428=DIRECTION('',(0.E0,1.E0,0.E0)); +#35429=AXIS2_PLACEMENT_3D('',#35426,#35427,#35428); +#35431=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35432=VECTOR('',#35431,8.85E-1); +#35433=CARTESIAN_POINT('',(-2.535E1,4.815E0,-2.584E1)); +#35434=LINE('',#35433,#35432); +#35435=DIRECTION('',(0.E0,0.E0,1.E0)); +#35436=VECTOR('',#35435,8.85E-1); +#35437=CARTESIAN_POINT('',(-2.535E1,5.445E0,-2.6725E1)); +#35438=LINE('',#35437,#35436); +#35439=CARTESIAN_POINT('',(-2.535E1,3.815E0,-2.6725E1)); +#35440=DIRECTION('',(1.E0,0.E0,0.E0)); +#35441=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#35442=AXIS2_PLACEMENT_3D('',#35439,#35440,#35441); +#35444=DIRECTION('',(0.E0,1.E0,0.E0)); +#35445=VECTOR('',#35444,1.475519237886E1); +#35446=CARTESIAN_POINT('',(-2.535E1,-1.094019237886E1,-2.8355E1)); +#35447=LINE('',#35446,#35445); +#35448=DIRECTION('',(0.E0,0.E0,1.E0)); +#35449=VECTOR('',#35448,6.3E-1); +#35450=CARTESIAN_POINT('',(-2.535E1,-1.094019237886E1,-2.5815E1)); +#35451=LINE('',#35450,#35449); +#35452=DIRECTION('',(0.E0,-1.E0,0.E0)); +#35453=VECTOR('',#35452,7.655192378865E0); +#35454=CARTESIAN_POINT('',(-2.535E1,-3.285E0,-2.5185E1)); +#35455=LINE('',#35454,#35453); +#35456=CARTESIAN_POINT('',(-2.535E1,-3.285E0,-2.4685E1)); +#35457=DIRECTION('',(-1.E0,0.E0,0.E0)); +#35458=DIRECTION('',(0.E0,1.E0,0.E0)); +#35459=AXIS2_PLACEMENT_3D('',#35456,#35457,#35458); +#35461=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35462=VECTOR('',#35461,1.085E0); +#35463=CARTESIAN_POINT('',(-2.535E1,-2.785E0,-2.36E1)); +#35464=LINE('',#35463,#35462); +#35465=DIRECTION('',(0.E0,0.E0,1.E0)); +#35466=VECTOR('',#35465,1.085E0); +#35467=CARTESIAN_POINT('',(-2.535E1,-2.155E0,-2.4685E1)); +#35468=LINE('',#35467,#35466); +#35469=CARTESIAN_POINT('',(-2.535E1,-3.285E0,-2.4685E1)); +#35470=DIRECTION('',(1.E0,0.E0,0.E0)); +#35471=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35472=AXIS2_PLACEMENT_3D('',#35469,#35470,#35471); +#35474=DIRECTION('',(0.E0,1.E0,0.E0)); +#35475=VECTOR('',#35474,7.655192378865E0); +#35476=CARTESIAN_POINT('',(-2.535E1,-1.094019237886E1,-2.5815E1)); +#35477=LINE('',#35476,#35475); +#35478=DIRECTION('',(1.E0,0.E0,0.E0)); +#35479=VECTOR('',#35478,9.E-1); +#35480=CARTESIAN_POINT('',(-2.625E1,4.815E0,-2.6725E1)); +#35481=LINE('',#35480,#35479); +#35482=DIRECTION('',(1.E0,0.E0,0.E0)); +#35483=VECTOR('',#35482,9.E-1); +#35484=CARTESIAN_POINT('',(-2.625E1,5.445E0,-2.6725E1)); +#35485=LINE('',#35484,#35483); +#35486=DIRECTION('',(1.E0,0.E0,0.E0)); +#35487=VECTOR('',#35486,9.E-1); +#35488=CARTESIAN_POINT('',(-2.625E1,3.815E0,-2.8355E1)); +#35489=LINE('',#35488,#35487); +#35490=DIRECTION('',(0.E0,1.E0,0.E0)); +#35491=VECTOR('',#35490,3.7E0); +#35492=CARTESIAN_POINT('',(-2.55E1,-1.72E1,-2.5185E1)); +#35493=LINE('',#35492,#35491); +#35494=DIRECTION('',(0.E0,1.E0,0.E0)); +#35495=VECTOR('',#35494,3.7E0); +#35496=CARTESIAN_POINT('',(-2.61E1,-1.72E1,-2.5185E1)); +#35497=LINE('',#35496,#35495); +#35498=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#35499=VECTOR('',#35498,3.E-1); +#35500=CARTESIAN_POINT('',(-2.55E1,-1.12E1,-2.5185E1)); +#35501=LINE('',#35500,#35499); +#35502=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#35503=VECTOR('',#35502,3.E-1); +#35504=CARTESIAN_POINT('',(-2.625E1,-1.094019237886E1,-2.5185E1)); +#35505=LINE('',#35504,#35503); +#35506=DIRECTION('',(1.E0,0.E0,0.E0)); +#35507=VECTOR('',#35506,9.E-1); +#35508=CARTESIAN_POINT('',(-2.625E1,-3.285E0,-2.5185E1)); +#35509=LINE('',#35508,#35507); +#35510=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35511=VECTOR('',#35510,6.3E-1); +#35512=CARTESIAN_POINT('',(-2.55E1,-1.72E1,-2.5185E1)); +#35513=LINE('',#35512,#35511); +#35514=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35515=VECTOR('',#35514,6.3E-1); +#35516=CARTESIAN_POINT('',(-2.61E1,-1.72E1,-2.5185E1)); +#35517=LINE('',#35516,#35515); +#35518=DIRECTION('',(1.E0,0.E0,0.E0)); +#35519=VECTOR('',#35518,6.E-1); +#35520=CARTESIAN_POINT('',(-2.61E1,-1.72E1,-2.5185E1)); +#35521=LINE('',#35520,#35519); +#35522=DIRECTION('',(0.E0,1.E0,0.E0)); +#35523=VECTOR('',#35522,3.7E0); +#35524=CARTESIAN_POINT('',(-2.55E1,-1.72E1,-2.5815E1)); +#35525=LINE('',#35524,#35523); +#35526=DIRECTION('',(0.E0,1.E0,0.E0)); +#35527=VECTOR('',#35526,3.7E0); +#35528=CARTESIAN_POINT('',(-2.61E1,-1.72E1,-2.5815E1)); +#35529=LINE('',#35528,#35527); +#35530=DIRECTION('',(1.E0,0.E0,0.E0)); +#35531=VECTOR('',#35530,6.E-1); +#35532=CARTESIAN_POINT('',(-2.61E1,-1.72E1,-2.5815E1)); +#35533=LINE('',#35532,#35531); +#35534=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#35535=VECTOR('',#35534,3.E-1); +#35536=CARTESIAN_POINT('',(-2.55E1,-1.12E1,-2.5815E1)); +#35537=LINE('',#35536,#35535); +#35538=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#35539=VECTOR('',#35538,3.E-1); +#35540=CARTESIAN_POINT('',(-2.625E1,-1.094019237886E1,-2.5815E1)); +#35541=LINE('',#35540,#35539); +#35542=DIRECTION('',(1.E0,0.E0,0.E0)); +#35543=VECTOR('',#35542,9.E-1); +#35544=CARTESIAN_POINT('',(-2.625E1,-3.285E0,-2.5815E1)); +#35545=LINE('',#35544,#35543); +#35546=DIRECTION('',(1.E0,0.E0,0.E0)); +#35547=VECTOR('',#35546,9.E-1); +#35548=CARTESIAN_POINT('',(-2.625E1,-2.155E0,-2.4685E1)); +#35549=LINE('',#35548,#35547); +#35550=DIRECTION('',(1.E0,0.E0,0.E0)); +#35551=VECTOR('',#35550,9.E-1); +#35552=CARTESIAN_POINT('',(-2.625E1,-2.785E0,-2.4685E1)); +#35553=LINE('',#35552,#35551); +#35554=DIRECTION('',(0.E0,0.E0,1.E0)); +#35555=VECTOR('',#35554,6.3E-1); +#35556=CARTESIAN_POINT('',(-2.41E1,-1.094019237886E1,-3.0895E1)); +#35557=LINE('',#35556,#35555); +#35558=DIRECTION('',(0.E0,1.E0,0.E0)); +#35559=VECTOR('',#35558,1.475519237886E1); +#35560=CARTESIAN_POINT('',(-2.41E1,-1.094019237886E1,-3.0895E1)); +#35561=LINE('',#35560,#35559); +#35562=CARTESIAN_POINT('',(-2.41E1,3.815E0,-2.9265E1)); +#35563=DIRECTION('',(1.E0,0.E0,0.E0)); +#35564=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35565=AXIS2_PLACEMENT_3D('',#35562,#35563,#35564); +#35567=DIRECTION('',(0.E0,0.E0,1.E0)); +#35568=VECTOR('',#35567,3.425E0); +#35569=CARTESIAN_POINT('',(-2.41E1,5.445E0,-2.9265E1)); +#35570=LINE('',#35569,#35568); +#35571=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35572=VECTOR('',#35571,3.425E0); +#35573=CARTESIAN_POINT('',(-2.41E1,4.815E0,-2.584E1)); +#35574=LINE('',#35573,#35572); +#35575=CARTESIAN_POINT('',(-2.41E1,3.815E0,-2.9265E1)); +#35576=DIRECTION('',(-1.E0,0.E0,0.E0)); +#35577=DIRECTION('',(0.E0,1.E0,0.E0)); +#35578=AXIS2_PLACEMENT_3D('',#35575,#35576,#35577); +#35580=DIRECTION('',(0.E0,-1.E0,0.E0)); +#35581=VECTOR('',#35580,1.475519237886E1); +#35582=CARTESIAN_POINT('',(-2.41E1,3.815E0,-3.0265E1)); +#35583=LINE('',#35582,#35581); +#35584=DIRECTION('',(0.E0,0.E0,1.E0)); +#35585=VECTOR('',#35584,6.3E-1); +#35586=CARTESIAN_POINT('',(-2.41E1,-1.094019237886E1,-2.5815E1)); +#35587=LINE('',#35586,#35585); +#35588=DIRECTION('',(0.E0,1.E0,0.E0)); +#35589=VECTOR('',#35588,7.655192378865E0); +#35590=CARTESIAN_POINT('',(-2.41E1,-1.094019237886E1,-2.5815E1)); +#35591=LINE('',#35590,#35589); +#35592=CARTESIAN_POINT('',(-2.41E1,-3.285E0,-2.4685E1)); +#35593=DIRECTION('',(1.E0,0.E0,0.E0)); +#35594=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35595=AXIS2_PLACEMENT_3D('',#35592,#35593,#35594); +#35597=DIRECTION('',(0.E0,0.E0,1.E0)); +#35598=VECTOR('',#35597,1.085E0); +#35599=CARTESIAN_POINT('',(-2.41E1,-2.155E0,-2.4685E1)); +#35600=LINE('',#35599,#35598); +#35601=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35602=VECTOR('',#35601,1.085E0); +#35603=CARTESIAN_POINT('',(-2.41E1,-2.785E0,-2.36E1)); +#35604=LINE('',#35603,#35602); +#35605=CARTESIAN_POINT('',(-2.41E1,-3.285E0,-2.4685E1)); +#35606=DIRECTION('',(-1.E0,0.E0,0.E0)); +#35607=DIRECTION('',(0.E0,1.E0,0.E0)); +#35608=AXIS2_PLACEMENT_3D('',#35605,#35606,#35607); +#35610=DIRECTION('',(0.E0,-1.E0,0.E0)); +#35611=VECTOR('',#35610,7.655192378865E0); +#35612=CARTESIAN_POINT('',(-2.41E1,-3.285E0,-2.5185E1)); +#35613=LINE('',#35612,#35611); +#35614=DIRECTION('',(0.E0,1.E0,0.E0)); +#35615=VECTOR('',#35614,3.7E0); +#35616=CARTESIAN_POINT('',(-2.395E1,-1.72E1,-3.0895E1)); +#35617=LINE('',#35616,#35615); +#35618=DIRECTION('',(1.E0,0.E0,0.E0)); +#35619=VECTOR('',#35618,6.E-1); +#35620=CARTESIAN_POINT('',(-2.395E1,-1.72E1,-3.0895E1)); +#35621=LINE('',#35620,#35619); +#35622=DIRECTION('',(0.E0,1.E0,0.E0)); +#35623=VECTOR('',#35622,3.7E0); +#35624=CARTESIAN_POINT('',(-2.335E1,-1.72E1,-3.0895E1)); +#35625=LINE('',#35624,#35623); +#35626=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#35627=VECTOR('',#35626,3.E-1); +#35628=CARTESIAN_POINT('',(-2.41E1,-1.094019237886E1,-3.0895E1)); +#35629=LINE('',#35628,#35627); +#35630=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#35631=VECTOR('',#35630,3.E-1); +#35632=CARTESIAN_POINT('',(-2.335E1,-1.12E1,-3.0895E1)); +#35633=LINE('',#35632,#35631); +#35634=DIRECTION('',(0.E0,0.E0,1.E0)); +#35635=VECTOR('',#35634,6.3E-1); +#35636=CARTESIAN_POINT('',(-2.395E1,-1.35E1,-3.0895E1)); +#35637=LINE('',#35636,#35635); +#35638=DIRECTION('',(0.E0,0.E0,1.E0)); +#35639=VECTOR('',#35638,6.3E-1); +#35640=CARTESIAN_POINT('',(-2.395E1,-1.35E1,-2.5815E1)); +#35641=LINE('',#35640,#35639); +#35642=DIRECTION('',(0.E0,1.E0,0.E0)); +#35643=VECTOR('',#35642,3.7E0); +#35644=CARTESIAN_POINT('',(-2.395E1,-1.72E1,-3.0265E1)); +#35645=LINE('',#35644,#35643); +#35646=DIRECTION('',(0.E0,1.E0,0.E0)); +#35647=VECTOR('',#35646,3.7E0); +#35648=CARTESIAN_POINT('',(-2.335E1,-1.72E1,-3.0265E1)); +#35649=LINE('',#35648,#35647); +#35650=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#35651=VECTOR('',#35650,3.E-1); +#35652=CARTESIAN_POINT('',(-2.41E1,-1.094019237886E1,-3.0265E1)); +#35653=LINE('',#35652,#35651); +#35654=DIRECTION('',(1.E0,0.E0,0.E0)); +#35655=VECTOR('',#35654,9.E-1); +#35656=CARTESIAN_POINT('',(-2.41E1,3.815E0,-3.0265E1)); +#35657=LINE('',#35656,#35655); +#35658=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#35659=VECTOR('',#35658,3.E-1); +#35660=CARTESIAN_POINT('',(-2.335E1,-1.12E1,-3.0265E1)); +#35661=LINE('',#35660,#35659); +#35662=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35663=VECTOR('',#35662,6.3E-1); +#35664=CARTESIAN_POINT('',(-2.395E1,-1.72E1,-3.0265E1)); +#35665=LINE('',#35664,#35663); +#35666=DIRECTION('',(1.E0,0.E0,0.E0)); +#35667=VECTOR('',#35666,6.E-1); +#35668=CARTESIAN_POINT('',(-2.395E1,-1.72E1,-3.0265E1)); +#35669=LINE('',#35668,#35667); +#35670=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35671=VECTOR('',#35670,6.3E-1); +#35672=CARTESIAN_POINT('',(-2.335E1,-1.72E1,-3.0265E1)); +#35673=LINE('',#35672,#35671); +#35674=DIRECTION('',(0.E0,1.E0,0.E0)); +#35675=VECTOR('',#35674,3.7E0); +#35676=CARTESIAN_POINT('',(-2.395E1,-1.72E1,-2.5815E1)); +#35677=LINE('',#35676,#35675); +#35678=DIRECTION('',(1.E0,0.E0,0.E0)); +#35679=VECTOR('',#35678,6.E-1); +#35680=CARTESIAN_POINT('',(-2.395E1,-1.72E1,-2.5815E1)); +#35681=LINE('',#35680,#35679); +#35682=DIRECTION('',(0.E0,1.E0,0.E0)); +#35683=VECTOR('',#35682,3.7E0); +#35684=CARTESIAN_POINT('',(-2.335E1,-1.72E1,-2.5815E1)); +#35685=LINE('',#35684,#35683); +#35686=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#35687=VECTOR('',#35686,3.E-1); +#35688=CARTESIAN_POINT('',(-2.41E1,-1.094019237886E1,-2.5815E1)); +#35689=LINE('',#35688,#35687); +#35690=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#35691=VECTOR('',#35690,3.E-1); +#35692=CARTESIAN_POINT('',(-2.335E1,-1.12E1,-2.5815E1)); +#35693=LINE('',#35692,#35691); +#35694=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35695=VECTOR('',#35694,6.3E-1); +#35696=CARTESIAN_POINT('',(-2.395E1,-1.72E1,-2.5185E1)); +#35697=LINE('',#35696,#35695); +#35698=DIRECTION('',(1.E0,0.E0,0.E0)); +#35699=VECTOR('',#35698,6.E-1); +#35700=CARTESIAN_POINT('',(-2.395E1,-1.72E1,-2.5185E1)); +#35701=LINE('',#35700,#35699); +#35702=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35703=VECTOR('',#35702,6.3E-1); +#35704=CARTESIAN_POINT('',(-2.335E1,-1.72E1,-2.5185E1)); +#35705=LINE('',#35704,#35703); +#35706=DIRECTION('',(0.E0,1.E0,0.E0)); +#35707=VECTOR('',#35706,3.7E0); +#35708=CARTESIAN_POINT('',(-2.395E1,-1.72E1,-2.5185E1)); +#35709=LINE('',#35708,#35707); +#35710=DIRECTION('',(0.E0,1.E0,0.E0)); +#35711=VECTOR('',#35710,3.7E0); +#35712=CARTESIAN_POINT('',(-2.335E1,-1.72E1,-2.5185E1)); +#35713=LINE('',#35712,#35711); +#35714=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#35715=VECTOR('',#35714,3.E-1); +#35716=CARTESIAN_POINT('',(-2.41E1,-1.094019237886E1,-2.5185E1)); +#35717=LINE('',#35716,#35715); +#35718=DIRECTION('',(1.E0,0.E0,0.E0)); +#35719=VECTOR('',#35718,9.E-1); +#35720=CARTESIAN_POINT('',(-2.41E1,-3.285E0,-2.5185E1)); +#35721=LINE('',#35720,#35719); +#35722=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#35723=VECTOR('',#35722,3.E-1); +#35724=CARTESIAN_POINT('',(-2.335E1,-1.12E1,-2.5185E1)); +#35725=LINE('',#35724,#35723); +#35726=DIRECTION('',(1.E0,0.E0,0.E0)); +#35727=VECTOR('',#35726,9.E-1); +#35728=CARTESIAN_POINT('',(-2.41E1,-2.785E0,-2.4685E1)); +#35729=LINE('',#35728,#35727); +#35730=DIRECTION('',(0.E0,0.E0,1.E0)); +#35731=VECTOR('',#35730,6.3E-1); +#35732=CARTESIAN_POINT('',(-2.32E1,-1.094019237886E1,-3.0895E1)); +#35733=LINE('',#35732,#35731); +#35734=DIRECTION('',(0.E0,-1.E0,0.E0)); +#35735=VECTOR('',#35734,1.475519237886E1); +#35736=CARTESIAN_POINT('',(-2.32E1,3.815E0,-3.0265E1)); +#35737=LINE('',#35736,#35735); +#35738=CARTESIAN_POINT('',(-2.32E1,3.815E0,-2.9265E1)); +#35739=DIRECTION('',(-1.E0,0.E0,0.E0)); +#35740=DIRECTION('',(0.E0,1.E0,0.E0)); +#35741=AXIS2_PLACEMENT_3D('',#35738,#35739,#35740); +#35743=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35744=VECTOR('',#35743,3.425E0); +#35745=CARTESIAN_POINT('',(-2.32E1,4.815E0,-2.584E1)); +#35746=LINE('',#35745,#35744); +#35747=DIRECTION('',(0.E0,0.E0,1.E0)); +#35748=VECTOR('',#35747,3.425E0); +#35749=CARTESIAN_POINT('',(-2.32E1,5.445E0,-2.9265E1)); +#35750=LINE('',#35749,#35748); +#35751=CARTESIAN_POINT('',(-2.32E1,3.815E0,-2.9265E1)); +#35752=DIRECTION('',(1.E0,0.E0,0.E0)); +#35753=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35754=AXIS2_PLACEMENT_3D('',#35751,#35752,#35753); +#35756=DIRECTION('',(0.E0,1.E0,0.E0)); +#35757=VECTOR('',#35756,1.475519237886E1); +#35758=CARTESIAN_POINT('',(-2.32E1,-1.094019237886E1,-3.0895E1)); +#35759=LINE('',#35758,#35757); +#35760=DIRECTION('',(0.E0,0.E0,1.E0)); +#35761=VECTOR('',#35760,6.3E-1); +#35762=CARTESIAN_POINT('',(-2.32E1,-1.094019237886E1,-2.5815E1)); +#35763=LINE('',#35762,#35761); +#35764=DIRECTION('',(0.E0,-1.E0,0.E0)); +#35765=VECTOR('',#35764,7.655192378865E0); +#35766=CARTESIAN_POINT('',(-2.32E1,-3.285E0,-2.5185E1)); +#35767=LINE('',#35766,#35765); +#35768=CARTESIAN_POINT('',(-2.32E1,-3.285E0,-2.4685E1)); +#35769=DIRECTION('',(-1.E0,0.E0,0.E0)); +#35770=DIRECTION('',(0.E0,1.E0,0.E0)); +#35771=AXIS2_PLACEMENT_3D('',#35768,#35769,#35770); +#35773=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35774=VECTOR('',#35773,1.085E0); +#35775=CARTESIAN_POINT('',(-2.32E1,-2.785E0,-2.36E1)); +#35776=LINE('',#35775,#35774); +#35777=DIRECTION('',(0.E0,0.E0,1.E0)); +#35778=VECTOR('',#35777,1.085E0); +#35779=CARTESIAN_POINT('',(-2.32E1,-2.155E0,-2.4685E1)); +#35780=LINE('',#35779,#35778); +#35781=CARTESIAN_POINT('',(-2.32E1,-3.285E0,-2.4685E1)); +#35782=DIRECTION('',(1.E0,0.E0,0.E0)); +#35783=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35784=AXIS2_PLACEMENT_3D('',#35781,#35782,#35783); +#35786=DIRECTION('',(0.E0,1.E0,0.E0)); +#35787=VECTOR('',#35786,7.655192378865E0); +#35788=CARTESIAN_POINT('',(-2.32E1,-1.094019237886E1,-2.5815E1)); +#35789=LINE('',#35788,#35787); +#35790=DIRECTION('',(0.E0,0.E0,1.E0)); +#35791=VECTOR('',#35790,6.3E-1); +#35792=CARTESIAN_POINT('',(-2.335E1,-1.12E1,-3.0895E1)); +#35793=LINE('',#35792,#35791); +#35794=DIRECTION('',(0.E0,0.E0,1.E0)); +#35795=VECTOR('',#35794,6.3E-1); +#35796=CARTESIAN_POINT('',(-2.335E1,-1.12E1,-2.5815E1)); +#35797=LINE('',#35796,#35795); +#35798=DIRECTION('',(1.E0,0.E0,0.E0)); +#35799=VECTOR('',#35798,9.E-1); +#35800=CARTESIAN_POINT('',(-2.41E1,4.815E0,-2.9265E1)); +#35801=LINE('',#35800,#35799); +#35802=DIRECTION('',(1.E0,0.E0,0.E0)); +#35803=VECTOR('',#35802,9.E-1); +#35804=CARTESIAN_POINT('',(-2.41E1,5.445E0,-2.9265E1)); +#35805=LINE('',#35804,#35803); +#35806=DIRECTION('',(1.E0,0.E0,0.E0)); +#35807=VECTOR('',#35806,9.E-1); +#35808=CARTESIAN_POINT('',(-2.41E1,3.815E0,-3.0895E1)); +#35809=LINE('',#35808,#35807); +#35810=DIRECTION('',(1.E0,0.E0,0.E0)); +#35811=VECTOR('',#35810,9.E-1); +#35812=CARTESIAN_POINT('',(-2.41E1,-2.155E0,-2.4685E1)); +#35813=LINE('',#35812,#35811); +#35814=DIRECTION('',(1.E0,0.E0,0.E0)); +#35815=VECTOR('',#35814,9.E-1); +#35816=CARTESIAN_POINT('',(-2.41E1,-3.285E0,-2.5815E1)); +#35817=LINE('',#35816,#35815); +#35818=DIRECTION('',(0.E0,0.E0,1.E0)); +#35819=VECTOR('',#35818,6.3E-1); +#35820=CARTESIAN_POINT('',(-2.195E1,-1.094019237886E1,-2.8355E1)); +#35821=LINE('',#35820,#35819); +#35822=DIRECTION('',(0.E0,1.E0,0.E0)); +#35823=VECTOR('',#35822,1.475519237886E1); +#35824=CARTESIAN_POINT('',(-2.195E1,-1.094019237886E1,-2.8355E1)); +#35825=LINE('',#35824,#35823); +#35826=CARTESIAN_POINT('',(-2.195E1,3.815E0,-2.6725E1)); +#35827=DIRECTION('',(1.E0,0.E0,0.E0)); +#35828=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#35829=AXIS2_PLACEMENT_3D('',#35826,#35827,#35828); +#35831=DIRECTION('',(0.E0,0.E0,1.E0)); +#35832=VECTOR('',#35831,8.85E-1); +#35833=CARTESIAN_POINT('',(-2.195E1,5.445E0,-2.6725E1)); +#35834=LINE('',#35833,#35832); +#35835=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35836=VECTOR('',#35835,8.85E-1); +#35837=CARTESIAN_POINT('',(-2.195E1,4.815E0,-2.584E1)); +#35838=LINE('',#35837,#35836); +#35839=CARTESIAN_POINT('',(-2.195E1,3.815E0,-2.6725E1)); +#35840=DIRECTION('',(-1.E0,0.E0,0.E0)); +#35841=DIRECTION('',(0.E0,1.E0,0.E0)); +#35842=AXIS2_PLACEMENT_3D('',#35839,#35840,#35841); +#35844=DIRECTION('',(0.E0,-1.E0,0.E0)); +#35845=VECTOR('',#35844,1.475519237886E1); +#35846=CARTESIAN_POINT('',(-2.195E1,3.815E0,-2.7725E1)); +#35847=LINE('',#35846,#35845); +#35848=DIRECTION('',(0.E0,0.E0,1.E0)); +#35849=VECTOR('',#35848,6.3E-1); +#35850=CARTESIAN_POINT('',(-2.195E1,-1.094019237886E1,-2.5815E1)); +#35851=LINE('',#35850,#35849); +#35852=DIRECTION('',(0.E0,1.E0,0.E0)); +#35853=VECTOR('',#35852,7.655192378865E0); +#35854=CARTESIAN_POINT('',(-2.195E1,-1.094019237886E1,-2.5815E1)); +#35855=LINE('',#35854,#35853); +#35856=CARTESIAN_POINT('',(-2.195E1,-3.285E0,-2.4685E1)); +#35857=DIRECTION('',(1.E0,0.E0,0.E0)); +#35858=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35859=AXIS2_PLACEMENT_3D('',#35856,#35857,#35858); +#35861=DIRECTION('',(0.E0,0.E0,1.E0)); +#35862=VECTOR('',#35861,1.085E0); +#35863=CARTESIAN_POINT('',(-2.195E1,-2.155E0,-2.4685E1)); +#35864=LINE('',#35863,#35862); +#35865=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35866=VECTOR('',#35865,1.085E0); +#35867=CARTESIAN_POINT('',(-2.195E1,-2.785E0,-2.36E1)); +#35868=LINE('',#35867,#35866); +#35869=CARTESIAN_POINT('',(-2.195E1,-3.285E0,-2.4685E1)); +#35870=DIRECTION('',(-1.E0,0.E0,0.E0)); +#35871=DIRECTION('',(0.E0,1.E0,0.E0)); +#35872=AXIS2_PLACEMENT_3D('',#35869,#35870,#35871); +#35874=DIRECTION('',(0.E0,-1.E0,0.E0)); +#35875=VECTOR('',#35874,7.655192378865E0); +#35876=CARTESIAN_POINT('',(-2.195E1,-3.285E0,-2.5185E1)); +#35877=LINE('',#35876,#35875); +#35878=DIRECTION('',(0.E0,1.E0,0.E0)); +#35879=VECTOR('',#35878,3.7E0); +#35880=CARTESIAN_POINT('',(-2.12E1,-1.72E1,-2.8355E1)); +#35881=LINE('',#35880,#35879); +#35882=DIRECTION('',(0.E0,1.E0,0.E0)); +#35883=VECTOR('',#35882,3.7E0); +#35884=CARTESIAN_POINT('',(-2.18E1,-1.72E1,-2.8355E1)); +#35885=LINE('',#35884,#35883); +#35886=DIRECTION('',(1.E0,0.E0,0.E0)); +#35887=VECTOR('',#35886,6.E-1); +#35888=CARTESIAN_POINT('',(-2.18E1,-1.72E1,-2.8355E1)); +#35889=LINE('',#35888,#35887); +#35890=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#35891=VECTOR('',#35890,3.E-1); +#35892=CARTESIAN_POINT('',(-2.12E1,-1.12E1,-2.8355E1)); +#35893=LINE('',#35892,#35891); +#35894=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#35895=VECTOR('',#35894,3.E-1); +#35896=CARTESIAN_POINT('',(-2.195E1,-1.094019237886E1,-2.8355E1)); +#35897=LINE('',#35896,#35895); +#35898=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35899=VECTOR('',#35898,6.3E-1); +#35900=CARTESIAN_POINT('',(-2.12E1,-1.72E1,-2.7725E1)); +#35901=LINE('',#35900,#35899); +#35902=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35903=VECTOR('',#35902,6.3E-1); +#35904=CARTESIAN_POINT('',(-2.18E1,-1.72E1,-2.7725E1)); +#35905=LINE('',#35904,#35903); +#35906=DIRECTION('',(1.E0,0.E0,0.E0)); +#35907=VECTOR('',#35906,6.E-1); +#35908=CARTESIAN_POINT('',(-2.18E1,-1.72E1,-2.7725E1)); +#35909=LINE('',#35908,#35907); +#35910=DIRECTION('',(0.E0,0.E0,1.E0)); +#35911=VECTOR('',#35910,6.3E-1); +#35912=CARTESIAN_POINT('',(-2.18E1,-1.35E1,-2.8355E1)); +#35913=LINE('',#35912,#35911); +#35914=DIRECTION('',(0.E0,0.E0,1.E0)); +#35915=VECTOR('',#35914,6.3E-1); +#35916=CARTESIAN_POINT('',(-2.18E1,-1.35E1,-2.5815E1)); +#35917=LINE('',#35916,#35915); +#35918=DIRECTION('',(0.E0,1.E0,0.E0)); +#35919=VECTOR('',#35918,3.7E0); +#35920=CARTESIAN_POINT('',(-2.12E1,-1.72E1,-2.7725E1)); +#35921=LINE('',#35920,#35919); +#35922=DIRECTION('',(0.E0,1.E0,0.E0)); +#35923=VECTOR('',#35922,3.7E0); +#35924=CARTESIAN_POINT('',(-2.18E1,-1.72E1,-2.7725E1)); +#35925=LINE('',#35924,#35923); +#35926=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#35927=VECTOR('',#35926,3.E-1); +#35928=CARTESIAN_POINT('',(-2.12E1,-1.12E1,-2.7725E1)); +#35929=LINE('',#35928,#35927); +#35930=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#35931=VECTOR('',#35930,3.E-1); +#35932=CARTESIAN_POINT('',(-2.195E1,-1.094019237886E1,-2.7725E1)); +#35933=LINE('',#35932,#35931); +#35934=DIRECTION('',(1.E0,0.E0,0.E0)); +#35935=VECTOR('',#35934,9.E-1); +#35936=CARTESIAN_POINT('',(-2.195E1,3.815E0,-2.7725E1)); +#35937=LINE('',#35936,#35935); +#35938=DIRECTION('',(0.E0,0.E0,1.E0)); +#35939=VECTOR('',#35938,6.3E-1); +#35940=CARTESIAN_POINT('',(-2.12E1,-1.12E1,-2.8355E1)); +#35941=LINE('',#35940,#35939); +#35942=DIRECTION('',(0.E0,0.E0,1.E0)); +#35943=VECTOR('',#35942,6.3E-1); +#35944=CARTESIAN_POINT('',(-2.12E1,-1.12E1,-2.5815E1)); +#35945=LINE('',#35944,#35943); +#35946=DIRECTION('',(0.E0,0.E0,1.E0)); +#35947=VECTOR('',#35946,6.3E-1); +#35948=CARTESIAN_POINT('',(-2.105E1,-1.094019237886E1,-2.8355E1)); +#35949=LINE('',#35948,#35947); +#35950=DIRECTION('',(0.E0,-1.E0,0.E0)); +#35951=VECTOR('',#35950,1.475519237886E1); +#35952=CARTESIAN_POINT('',(-2.105E1,3.815E0,-2.7725E1)); +#35953=LINE('',#35952,#35951); +#35954=CARTESIAN_POINT('',(-2.105E1,3.815E0,-2.6725E1)); +#35955=DIRECTION('',(-1.E0,0.E0,0.E0)); +#35956=DIRECTION('',(0.E0,1.E0,0.E0)); +#35957=AXIS2_PLACEMENT_3D('',#35954,#35955,#35956); +#35959=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35960=VECTOR('',#35959,8.85E-1); +#35961=CARTESIAN_POINT('',(-2.105E1,4.815E0,-2.584E1)); +#35962=LINE('',#35961,#35960); +#35963=DIRECTION('',(0.E0,0.E0,1.E0)); +#35964=VECTOR('',#35963,8.85E-1); +#35965=CARTESIAN_POINT('',(-2.105E1,5.445E0,-2.6725E1)); +#35966=LINE('',#35965,#35964); +#35967=CARTESIAN_POINT('',(-2.105E1,3.815E0,-2.6725E1)); +#35968=DIRECTION('',(1.E0,0.E0,0.E0)); +#35969=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#35970=AXIS2_PLACEMENT_3D('',#35967,#35968,#35969); +#35972=DIRECTION('',(0.E0,1.E0,0.E0)); +#35973=VECTOR('',#35972,1.475519237886E1); +#35974=CARTESIAN_POINT('',(-2.105E1,-1.094019237886E1,-2.8355E1)); +#35975=LINE('',#35974,#35973); +#35976=DIRECTION('',(0.E0,0.E0,1.E0)); +#35977=VECTOR('',#35976,6.3E-1); +#35978=CARTESIAN_POINT('',(-2.105E1,-1.094019237886E1,-2.5815E1)); +#35979=LINE('',#35978,#35977); +#35980=DIRECTION('',(0.E0,-1.E0,0.E0)); +#35981=VECTOR('',#35980,7.655192378865E0); +#35982=CARTESIAN_POINT('',(-2.105E1,-3.285E0,-2.5185E1)); +#35983=LINE('',#35982,#35981); +#35984=CARTESIAN_POINT('',(-2.105E1,-3.285E0,-2.4685E1)); +#35985=DIRECTION('',(-1.E0,0.E0,0.E0)); +#35986=DIRECTION('',(0.E0,1.E0,0.E0)); +#35987=AXIS2_PLACEMENT_3D('',#35984,#35985,#35986); +#35989=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35990=VECTOR('',#35989,1.085E0); +#35991=CARTESIAN_POINT('',(-2.105E1,-2.785E0,-2.36E1)); +#35992=LINE('',#35991,#35990); +#35993=DIRECTION('',(0.E0,0.E0,1.E0)); +#35994=VECTOR('',#35993,1.085E0); +#35995=CARTESIAN_POINT('',(-2.105E1,-2.155E0,-2.4685E1)); +#35996=LINE('',#35995,#35994); +#35997=CARTESIAN_POINT('',(-2.105E1,-3.285E0,-2.4685E1)); +#35998=DIRECTION('',(1.E0,0.E0,0.E0)); +#35999=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36000=AXIS2_PLACEMENT_3D('',#35997,#35998,#35999); +#36002=DIRECTION('',(0.E0,1.E0,0.E0)); +#36003=VECTOR('',#36002,7.655192378865E0); +#36004=CARTESIAN_POINT('',(-2.105E1,-1.094019237886E1,-2.5815E1)); +#36005=LINE('',#36004,#36003); +#36006=DIRECTION('',(1.E0,0.E0,0.E0)); +#36007=VECTOR('',#36006,9.E-1); +#36008=CARTESIAN_POINT('',(-2.195E1,4.815E0,-2.6725E1)); +#36009=LINE('',#36008,#36007); +#36010=DIRECTION('',(1.E0,0.E0,0.E0)); +#36011=VECTOR('',#36010,9.E-1); +#36012=CARTESIAN_POINT('',(-2.195E1,5.445E0,-2.6725E1)); +#36013=LINE('',#36012,#36011); +#36014=DIRECTION('',(1.E0,0.E0,0.E0)); +#36015=VECTOR('',#36014,9.E-1); +#36016=CARTESIAN_POINT('',(-2.195E1,3.815E0,-2.8355E1)); +#36017=LINE('',#36016,#36015); +#36018=DIRECTION('',(0.E0,1.E0,0.E0)); +#36019=VECTOR('',#36018,3.7E0); +#36020=CARTESIAN_POINT('',(-2.12E1,-1.72E1,-2.5185E1)); +#36021=LINE('',#36020,#36019); +#36022=DIRECTION('',(0.E0,1.E0,0.E0)); +#36023=VECTOR('',#36022,3.7E0); +#36024=CARTESIAN_POINT('',(-2.18E1,-1.72E1,-2.5185E1)); +#36025=LINE('',#36024,#36023); +#36026=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#36027=VECTOR('',#36026,3.E-1); +#36028=CARTESIAN_POINT('',(-2.12E1,-1.12E1,-2.5185E1)); +#36029=LINE('',#36028,#36027); +#36030=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#36031=VECTOR('',#36030,3.E-1); +#36032=CARTESIAN_POINT('',(-2.195E1,-1.094019237886E1,-2.5185E1)); +#36033=LINE('',#36032,#36031); +#36034=DIRECTION('',(1.E0,0.E0,0.E0)); +#36035=VECTOR('',#36034,9.E-1); +#36036=CARTESIAN_POINT('',(-2.195E1,-3.285E0,-2.5185E1)); +#36037=LINE('',#36036,#36035); +#36038=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36039=VECTOR('',#36038,6.3E-1); +#36040=CARTESIAN_POINT('',(-2.12E1,-1.72E1,-2.5185E1)); +#36041=LINE('',#36040,#36039); +#36042=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36043=VECTOR('',#36042,6.3E-1); +#36044=CARTESIAN_POINT('',(-2.18E1,-1.72E1,-2.5185E1)); +#36045=LINE('',#36044,#36043); +#36046=DIRECTION('',(1.E0,0.E0,0.E0)); +#36047=VECTOR('',#36046,6.E-1); +#36048=CARTESIAN_POINT('',(-2.18E1,-1.72E1,-2.5185E1)); +#36049=LINE('',#36048,#36047); +#36050=DIRECTION('',(0.E0,1.E0,0.E0)); +#36051=VECTOR('',#36050,3.7E0); +#36052=CARTESIAN_POINT('',(-2.12E1,-1.72E1,-2.5815E1)); +#36053=LINE('',#36052,#36051); +#36054=DIRECTION('',(0.E0,1.E0,0.E0)); +#36055=VECTOR('',#36054,3.7E0); +#36056=CARTESIAN_POINT('',(-2.18E1,-1.72E1,-2.5815E1)); +#36057=LINE('',#36056,#36055); +#36058=DIRECTION('',(1.E0,0.E0,0.E0)); +#36059=VECTOR('',#36058,6.E-1); +#36060=CARTESIAN_POINT('',(-2.18E1,-1.72E1,-2.5815E1)); +#36061=LINE('',#36060,#36059); +#36062=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#36063=VECTOR('',#36062,3.E-1); +#36064=CARTESIAN_POINT('',(-2.12E1,-1.12E1,-2.5815E1)); +#36065=LINE('',#36064,#36063); +#36066=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#36067=VECTOR('',#36066,3.E-1); +#36068=CARTESIAN_POINT('',(-2.195E1,-1.094019237886E1,-2.5815E1)); +#36069=LINE('',#36068,#36067); +#36070=DIRECTION('',(1.E0,0.E0,0.E0)); +#36071=VECTOR('',#36070,9.E-1); +#36072=CARTESIAN_POINT('',(-2.195E1,-3.285E0,-2.5815E1)); +#36073=LINE('',#36072,#36071); +#36074=DIRECTION('',(1.E0,0.E0,0.E0)); +#36075=VECTOR('',#36074,9.E-1); +#36076=CARTESIAN_POINT('',(-2.195E1,-2.155E0,-2.4685E1)); +#36077=LINE('',#36076,#36075); +#36078=DIRECTION('',(1.E0,0.E0,0.E0)); +#36079=VECTOR('',#36078,9.E-1); +#36080=CARTESIAN_POINT('',(-2.195E1,-2.785E0,-2.4685E1)); +#36081=LINE('',#36080,#36079); +#36082=DIRECTION('',(0.E0,0.E0,1.E0)); +#36083=VECTOR('',#36082,6.3E-1); +#36084=CARTESIAN_POINT('',(-1.98E1,-1.094019237886E1,-3.0895E1)); +#36085=LINE('',#36084,#36083); +#36086=DIRECTION('',(0.E0,1.E0,0.E0)); +#36087=VECTOR('',#36086,1.475519237886E1); +#36088=CARTESIAN_POINT('',(-1.98E1,-1.094019237886E1,-3.0895E1)); +#36089=LINE('',#36088,#36087); +#36090=CARTESIAN_POINT('',(-1.98E1,3.815E0,-2.9265E1)); +#36091=DIRECTION('',(1.E0,0.E0,0.E0)); +#36092=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36093=AXIS2_PLACEMENT_3D('',#36090,#36091,#36092); +#36095=DIRECTION('',(0.E0,0.E0,1.E0)); +#36096=VECTOR('',#36095,3.425E0); +#36097=CARTESIAN_POINT('',(-1.98E1,5.445E0,-2.9265E1)); +#36098=LINE('',#36097,#36096); +#36099=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36100=VECTOR('',#36099,3.425E0); +#36101=CARTESIAN_POINT('',(-1.98E1,4.815E0,-2.584E1)); +#36102=LINE('',#36101,#36100); +#36103=CARTESIAN_POINT('',(-1.98E1,3.815E0,-2.9265E1)); +#36104=DIRECTION('',(-1.E0,0.E0,0.E0)); +#36105=DIRECTION('',(0.E0,1.E0,0.E0)); +#36106=AXIS2_PLACEMENT_3D('',#36103,#36104,#36105); +#36108=DIRECTION('',(0.E0,-1.E0,0.E0)); +#36109=VECTOR('',#36108,1.475519237886E1); +#36110=CARTESIAN_POINT('',(-1.98E1,3.815E0,-3.0265E1)); +#36111=LINE('',#36110,#36109); +#36112=DIRECTION('',(0.E0,0.E0,1.E0)); +#36113=VECTOR('',#36112,6.3E-1); +#36114=CARTESIAN_POINT('',(-1.98E1,-1.094019237886E1,-2.5815E1)); +#36115=LINE('',#36114,#36113); +#36116=DIRECTION('',(0.E0,1.E0,0.E0)); +#36117=VECTOR('',#36116,7.655192378865E0); +#36118=CARTESIAN_POINT('',(-1.98E1,-1.094019237886E1,-2.5815E1)); +#36119=LINE('',#36118,#36117); +#36120=CARTESIAN_POINT('',(-1.98E1,-3.285E0,-2.4685E1)); +#36121=DIRECTION('',(1.E0,0.E0,0.E0)); +#36122=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36123=AXIS2_PLACEMENT_3D('',#36120,#36121,#36122); +#36125=DIRECTION('',(0.E0,0.E0,1.E0)); +#36126=VECTOR('',#36125,1.085E0); +#36127=CARTESIAN_POINT('',(-1.98E1,-2.155E0,-2.4685E1)); +#36128=LINE('',#36127,#36126); +#36129=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36130=VECTOR('',#36129,1.085E0); +#36131=CARTESIAN_POINT('',(-1.98E1,-2.785E0,-2.36E1)); +#36132=LINE('',#36131,#36130); +#36133=CARTESIAN_POINT('',(-1.98E1,-3.285E0,-2.4685E1)); +#36134=DIRECTION('',(-1.E0,0.E0,0.E0)); +#36135=DIRECTION('',(0.E0,1.E0,0.E0)); +#36136=AXIS2_PLACEMENT_3D('',#36133,#36134,#36135); +#36138=DIRECTION('',(0.E0,-1.E0,0.E0)); +#36139=VECTOR('',#36138,7.655192378865E0); +#36140=CARTESIAN_POINT('',(-1.98E1,-3.285E0,-2.5185E1)); +#36141=LINE('',#36140,#36139); +#36142=DIRECTION('',(0.E0,1.E0,0.E0)); +#36143=VECTOR('',#36142,3.7E0); +#36144=CARTESIAN_POINT('',(-1.965E1,-1.72E1,-3.0895E1)); +#36145=LINE('',#36144,#36143); +#36146=DIRECTION('',(1.E0,0.E0,0.E0)); +#36147=VECTOR('',#36146,6.E-1); +#36148=CARTESIAN_POINT('',(-1.965E1,-1.72E1,-3.0895E1)); +#36149=LINE('',#36148,#36147); +#36150=DIRECTION('',(0.E0,1.E0,0.E0)); +#36151=VECTOR('',#36150,3.7E0); +#36152=CARTESIAN_POINT('',(-1.905E1,-1.72E1,-3.0895E1)); +#36153=LINE('',#36152,#36151); +#36154=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#36155=VECTOR('',#36154,3.E-1); +#36156=CARTESIAN_POINT('',(-1.98E1,-1.094019237886E1,-3.0895E1)); +#36157=LINE('',#36156,#36155); +#36158=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#36159=VECTOR('',#36158,3.E-1); +#36160=CARTESIAN_POINT('',(-1.905E1,-1.12E1,-3.0895E1)); +#36161=LINE('',#36160,#36159); +#36162=DIRECTION('',(0.E0,0.E0,1.E0)); +#36163=VECTOR('',#36162,6.3E-1); +#36164=CARTESIAN_POINT('',(-1.965E1,-1.35E1,-3.0895E1)); +#36165=LINE('',#36164,#36163); +#36166=DIRECTION('',(0.E0,0.E0,1.E0)); +#36167=VECTOR('',#36166,6.3E-1); +#36168=CARTESIAN_POINT('',(-1.965E1,-1.35E1,-2.5815E1)); +#36169=LINE('',#36168,#36167); +#36170=DIRECTION('',(0.E0,1.E0,0.E0)); +#36171=VECTOR('',#36170,3.7E0); +#36172=CARTESIAN_POINT('',(-1.965E1,-1.72E1,-3.0265E1)); +#36173=LINE('',#36172,#36171); +#36174=DIRECTION('',(0.E0,1.E0,0.E0)); +#36175=VECTOR('',#36174,3.7E0); +#36176=CARTESIAN_POINT('',(-1.905E1,-1.72E1,-3.0265E1)); +#36177=LINE('',#36176,#36175); +#36178=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#36179=VECTOR('',#36178,3.E-1); +#36180=CARTESIAN_POINT('',(-1.98E1,-1.094019237886E1,-3.0265E1)); +#36181=LINE('',#36180,#36179); +#36182=DIRECTION('',(1.E0,0.E0,0.E0)); +#36183=VECTOR('',#36182,9.E-1); +#36184=CARTESIAN_POINT('',(-1.98E1,3.815E0,-3.0265E1)); +#36185=LINE('',#36184,#36183); +#36186=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#36187=VECTOR('',#36186,3.E-1); +#36188=CARTESIAN_POINT('',(-1.905E1,-1.12E1,-3.0265E1)); +#36189=LINE('',#36188,#36187); +#36190=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36191=VECTOR('',#36190,6.3E-1); +#36192=CARTESIAN_POINT('',(-1.965E1,-1.72E1,-3.0265E1)); +#36193=LINE('',#36192,#36191); +#36194=DIRECTION('',(1.E0,0.E0,0.E0)); +#36195=VECTOR('',#36194,6.E-1); +#36196=CARTESIAN_POINT('',(-1.965E1,-1.72E1,-3.0265E1)); +#36197=LINE('',#36196,#36195); +#36198=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36199=VECTOR('',#36198,6.3E-1); +#36200=CARTESIAN_POINT('',(-1.905E1,-1.72E1,-3.0265E1)); +#36201=LINE('',#36200,#36199); +#36202=DIRECTION('',(0.E0,1.E0,0.E0)); +#36203=VECTOR('',#36202,3.7E0); +#36204=CARTESIAN_POINT('',(-1.965E1,-1.72E1,-2.5815E1)); +#36205=LINE('',#36204,#36203); +#36206=DIRECTION('',(1.E0,0.E0,0.E0)); +#36207=VECTOR('',#36206,6.E-1); +#36208=CARTESIAN_POINT('',(-1.965E1,-1.72E1,-2.5815E1)); +#36209=LINE('',#36208,#36207); +#36210=DIRECTION('',(0.E0,1.E0,0.E0)); +#36211=VECTOR('',#36210,3.7E0); +#36212=CARTESIAN_POINT('',(-1.905E1,-1.72E1,-2.5815E1)); +#36213=LINE('',#36212,#36211); +#36214=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#36215=VECTOR('',#36214,3.E-1); +#36216=CARTESIAN_POINT('',(-1.98E1,-1.094019237886E1,-2.5815E1)); +#36217=LINE('',#36216,#36215); +#36218=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#36219=VECTOR('',#36218,3.E-1); +#36220=CARTESIAN_POINT('',(-1.905E1,-1.12E1,-2.5815E1)); +#36221=LINE('',#36220,#36219); +#36222=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36223=VECTOR('',#36222,6.3E-1); +#36224=CARTESIAN_POINT('',(-1.965E1,-1.72E1,-2.5185E1)); +#36225=LINE('',#36224,#36223); +#36226=DIRECTION('',(1.E0,0.E0,0.E0)); +#36227=VECTOR('',#36226,6.E-1); +#36228=CARTESIAN_POINT('',(-1.965E1,-1.72E1,-2.5185E1)); +#36229=LINE('',#36228,#36227); +#36230=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36231=VECTOR('',#36230,6.3E-1); +#36232=CARTESIAN_POINT('',(-1.905E1,-1.72E1,-2.5185E1)); +#36233=LINE('',#36232,#36231); +#36234=DIRECTION('',(0.E0,1.E0,0.E0)); +#36235=VECTOR('',#36234,3.7E0); +#36236=CARTESIAN_POINT('',(-1.965E1,-1.72E1,-2.5185E1)); +#36237=LINE('',#36236,#36235); +#36238=DIRECTION('',(0.E0,1.E0,0.E0)); +#36239=VECTOR('',#36238,3.7E0); +#36240=CARTESIAN_POINT('',(-1.905E1,-1.72E1,-2.5185E1)); +#36241=LINE('',#36240,#36239); +#36242=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#36243=VECTOR('',#36242,3.E-1); +#36244=CARTESIAN_POINT('',(-1.98E1,-1.094019237886E1,-2.5185E1)); +#36245=LINE('',#36244,#36243); +#36246=DIRECTION('',(1.E0,0.E0,0.E0)); +#36247=VECTOR('',#36246,9.E-1); +#36248=CARTESIAN_POINT('',(-1.98E1,-3.285E0,-2.5185E1)); +#36249=LINE('',#36248,#36247); +#36250=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#36251=VECTOR('',#36250,3.E-1); +#36252=CARTESIAN_POINT('',(-1.905E1,-1.12E1,-2.5185E1)); +#36253=LINE('',#36252,#36251); +#36254=DIRECTION('',(1.E0,0.E0,0.E0)); +#36255=VECTOR('',#36254,9.E-1); +#36256=CARTESIAN_POINT('',(-1.98E1,-2.785E0,-2.4685E1)); +#36257=LINE('',#36256,#36255); +#36258=DIRECTION('',(0.E0,0.E0,1.E0)); +#36259=VECTOR('',#36258,6.3E-1); +#36260=CARTESIAN_POINT('',(-1.89E1,-1.094019237886E1,-3.0895E1)); +#36261=LINE('',#36260,#36259); +#36262=DIRECTION('',(0.E0,-1.E0,0.E0)); +#36263=VECTOR('',#36262,1.475519237886E1); +#36264=CARTESIAN_POINT('',(-1.89E1,3.815E0,-3.0265E1)); +#36265=LINE('',#36264,#36263); +#36266=CARTESIAN_POINT('',(-1.89E1,3.815E0,-2.9265E1)); +#36267=DIRECTION('',(-1.E0,0.E0,0.E0)); +#36268=DIRECTION('',(0.E0,1.E0,0.E0)); +#36269=AXIS2_PLACEMENT_3D('',#36266,#36267,#36268); +#36271=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36272=VECTOR('',#36271,3.425E0); +#36273=CARTESIAN_POINT('',(-1.89E1,4.815E0,-2.584E1)); +#36274=LINE('',#36273,#36272); +#36275=DIRECTION('',(0.E0,0.E0,1.E0)); +#36276=VECTOR('',#36275,3.425E0); +#36277=CARTESIAN_POINT('',(-1.89E1,5.445E0,-2.9265E1)); +#36278=LINE('',#36277,#36276); +#36279=CARTESIAN_POINT('',(-1.89E1,3.815E0,-2.9265E1)); +#36280=DIRECTION('',(1.E0,0.E0,0.E0)); +#36281=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36282=AXIS2_PLACEMENT_3D('',#36279,#36280,#36281); +#36284=DIRECTION('',(0.E0,1.E0,0.E0)); +#36285=VECTOR('',#36284,1.475519237886E1); +#36286=CARTESIAN_POINT('',(-1.89E1,-1.094019237886E1,-3.0895E1)); +#36287=LINE('',#36286,#36285); +#36288=DIRECTION('',(0.E0,0.E0,1.E0)); +#36289=VECTOR('',#36288,6.3E-1); +#36290=CARTESIAN_POINT('',(-1.89E1,-1.094019237886E1,-2.5815E1)); +#36291=LINE('',#36290,#36289); +#36292=DIRECTION('',(0.E0,-1.E0,0.E0)); +#36293=VECTOR('',#36292,7.655192378865E0); +#36294=CARTESIAN_POINT('',(-1.89E1,-3.285E0,-2.5185E1)); +#36295=LINE('',#36294,#36293); +#36296=CARTESIAN_POINT('',(-1.89E1,-3.285E0,-2.4685E1)); +#36297=DIRECTION('',(-1.E0,0.E0,0.E0)); +#36298=DIRECTION('',(0.E0,1.E0,0.E0)); +#36299=AXIS2_PLACEMENT_3D('',#36296,#36297,#36298); +#36301=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36302=VECTOR('',#36301,1.085E0); +#36303=CARTESIAN_POINT('',(-1.89E1,-2.785E0,-2.36E1)); +#36304=LINE('',#36303,#36302); +#36305=DIRECTION('',(0.E0,0.E0,1.E0)); +#36306=VECTOR('',#36305,1.085E0); +#36307=CARTESIAN_POINT('',(-1.89E1,-2.155E0,-2.4685E1)); +#36308=LINE('',#36307,#36306); +#36309=CARTESIAN_POINT('',(-1.89E1,-3.285E0,-2.4685E1)); +#36310=DIRECTION('',(1.E0,0.E0,0.E0)); +#36311=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36312=AXIS2_PLACEMENT_3D('',#36309,#36310,#36311); +#36314=DIRECTION('',(0.E0,1.E0,0.E0)); +#36315=VECTOR('',#36314,7.655192378865E0); +#36316=CARTESIAN_POINT('',(-1.89E1,-1.094019237886E1,-2.5815E1)); +#36317=LINE('',#36316,#36315); +#36318=DIRECTION('',(0.E0,0.E0,1.E0)); +#36319=VECTOR('',#36318,6.3E-1); +#36320=CARTESIAN_POINT('',(-1.905E1,-1.12E1,-3.0895E1)); +#36321=LINE('',#36320,#36319); +#36322=DIRECTION('',(0.E0,0.E0,1.E0)); +#36323=VECTOR('',#36322,6.3E-1); +#36324=CARTESIAN_POINT('',(-1.905E1,-1.12E1,-2.5815E1)); +#36325=LINE('',#36324,#36323); +#36326=DIRECTION('',(1.E0,0.E0,0.E0)); +#36327=VECTOR('',#36326,9.E-1); +#36328=CARTESIAN_POINT('',(-1.98E1,4.815E0,-2.9265E1)); +#36329=LINE('',#36328,#36327); +#36330=DIRECTION('',(1.E0,0.E0,0.E0)); +#36331=VECTOR('',#36330,9.E-1); +#36332=CARTESIAN_POINT('',(-1.98E1,5.445E0,-2.9265E1)); +#36333=LINE('',#36332,#36331); +#36334=DIRECTION('',(1.E0,0.E0,0.E0)); +#36335=VECTOR('',#36334,9.E-1); +#36336=CARTESIAN_POINT('',(-1.98E1,3.815E0,-3.0895E1)); +#36337=LINE('',#36336,#36335); +#36338=DIRECTION('',(1.E0,0.E0,0.E0)); +#36339=VECTOR('',#36338,9.E-1); +#36340=CARTESIAN_POINT('',(-1.98E1,-2.155E0,-2.4685E1)); +#36341=LINE('',#36340,#36339); +#36342=DIRECTION('',(1.E0,0.E0,0.E0)); +#36343=VECTOR('',#36342,9.E-1); +#36344=CARTESIAN_POINT('',(-1.98E1,-3.285E0,-2.5815E1)); +#36345=LINE('',#36344,#36343); +#36346=DIRECTION('',(0.E0,0.E0,1.E0)); +#36347=VECTOR('',#36346,6.3E-1); +#36348=CARTESIAN_POINT('',(-1.765E1,-1.094019237886E1,-2.8355E1)); +#36349=LINE('',#36348,#36347); +#36350=DIRECTION('',(0.E0,1.E0,0.E0)); +#36351=VECTOR('',#36350,1.475519237886E1); +#36352=CARTESIAN_POINT('',(-1.765E1,-1.094019237886E1,-2.8355E1)); +#36353=LINE('',#36352,#36351); +#36354=CARTESIAN_POINT('',(-1.765E1,3.815E0,-2.6725E1)); +#36355=DIRECTION('',(1.E0,0.E0,0.E0)); +#36356=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#36357=AXIS2_PLACEMENT_3D('',#36354,#36355,#36356); +#36359=DIRECTION('',(0.E0,0.E0,1.E0)); +#36360=VECTOR('',#36359,8.85E-1); +#36361=CARTESIAN_POINT('',(-1.765E1,5.445E0,-2.6725E1)); +#36362=LINE('',#36361,#36360); +#36363=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36364=VECTOR('',#36363,8.85E-1); +#36365=CARTESIAN_POINT('',(-1.765E1,4.815E0,-2.584E1)); +#36366=LINE('',#36365,#36364); +#36367=CARTESIAN_POINT('',(-1.765E1,3.815E0,-2.6725E1)); +#36368=DIRECTION('',(-1.E0,0.E0,0.E0)); +#36369=DIRECTION('',(0.E0,1.E0,0.E0)); +#36370=AXIS2_PLACEMENT_3D('',#36367,#36368,#36369); +#36372=DIRECTION('',(0.E0,-1.E0,0.E0)); +#36373=VECTOR('',#36372,1.475519237886E1); +#36374=CARTESIAN_POINT('',(-1.765E1,3.815E0,-2.7725E1)); +#36375=LINE('',#36374,#36373); +#36376=DIRECTION('',(0.E0,0.E0,1.E0)); +#36377=VECTOR('',#36376,6.3E-1); +#36378=CARTESIAN_POINT('',(-1.765E1,-1.094019237886E1,-2.5815E1)); +#36379=LINE('',#36378,#36377); +#36380=DIRECTION('',(0.E0,1.E0,0.E0)); +#36381=VECTOR('',#36380,7.655192378865E0); +#36382=CARTESIAN_POINT('',(-1.765E1,-1.094019237886E1,-2.5815E1)); +#36383=LINE('',#36382,#36381); +#36384=CARTESIAN_POINT('',(-1.765E1,-3.285E0,-2.4685E1)); +#36385=DIRECTION('',(1.E0,0.E0,0.E0)); +#36386=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36387=AXIS2_PLACEMENT_3D('',#36384,#36385,#36386); +#36389=DIRECTION('',(0.E0,0.E0,1.E0)); +#36390=VECTOR('',#36389,1.085E0); +#36391=CARTESIAN_POINT('',(-1.765E1,-2.155E0,-2.4685E1)); +#36392=LINE('',#36391,#36390); +#36393=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36394=VECTOR('',#36393,1.085E0); +#36395=CARTESIAN_POINT('',(-1.765E1,-2.785E0,-2.36E1)); +#36396=LINE('',#36395,#36394); +#36397=CARTESIAN_POINT('',(-1.765E1,-3.285E0,-2.4685E1)); +#36398=DIRECTION('',(-1.E0,0.E0,0.E0)); +#36399=DIRECTION('',(0.E0,1.E0,0.E0)); +#36400=AXIS2_PLACEMENT_3D('',#36397,#36398,#36399); +#36402=DIRECTION('',(0.E0,-1.E0,0.E0)); +#36403=VECTOR('',#36402,7.655192378865E0); +#36404=CARTESIAN_POINT('',(-1.765E1,-3.285E0,-2.5185E1)); +#36405=LINE('',#36404,#36403); +#36406=DIRECTION('',(0.E0,1.E0,0.E0)); +#36407=VECTOR('',#36406,3.7E0); +#36408=CARTESIAN_POINT('',(-1.69E1,-1.72E1,-2.8355E1)); +#36409=LINE('',#36408,#36407); +#36410=DIRECTION('',(0.E0,1.E0,0.E0)); +#36411=VECTOR('',#36410,3.7E0); +#36412=CARTESIAN_POINT('',(-1.75E1,-1.72E1,-2.8355E1)); +#36413=LINE('',#36412,#36411); +#36414=DIRECTION('',(1.E0,0.E0,0.E0)); +#36415=VECTOR('',#36414,6.E-1); +#36416=CARTESIAN_POINT('',(-1.75E1,-1.72E1,-2.8355E1)); +#36417=LINE('',#36416,#36415); +#36418=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#36419=VECTOR('',#36418,3.E-1); +#36420=CARTESIAN_POINT('',(-1.69E1,-1.12E1,-2.8355E1)); +#36421=LINE('',#36420,#36419); +#36422=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#36423=VECTOR('',#36422,3.E-1); +#36424=CARTESIAN_POINT('',(-1.765E1,-1.094019237886E1,-2.8355E1)); +#36425=LINE('',#36424,#36423); +#36426=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36427=VECTOR('',#36426,6.3E-1); +#36428=CARTESIAN_POINT('',(-1.69E1,-1.72E1,-2.7725E1)); +#36429=LINE('',#36428,#36427); +#36430=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36431=VECTOR('',#36430,6.3E-1); +#36432=CARTESIAN_POINT('',(-1.75E1,-1.72E1,-2.7725E1)); +#36433=LINE('',#36432,#36431); +#36434=DIRECTION('',(1.E0,0.E0,0.E0)); +#36435=VECTOR('',#36434,6.E-1); +#36436=CARTESIAN_POINT('',(-1.75E1,-1.72E1,-2.7725E1)); +#36437=LINE('',#36436,#36435); +#36438=DIRECTION('',(0.E0,0.E0,1.E0)); +#36439=VECTOR('',#36438,6.3E-1); +#36440=CARTESIAN_POINT('',(-1.75E1,-1.35E1,-2.8355E1)); +#36441=LINE('',#36440,#36439); +#36442=DIRECTION('',(0.E0,0.E0,1.E0)); +#36443=VECTOR('',#36442,6.3E-1); +#36444=CARTESIAN_POINT('',(-1.75E1,-1.35E1,-2.5815E1)); +#36445=LINE('',#36444,#36443); +#36446=DIRECTION('',(0.E0,1.E0,0.E0)); +#36447=VECTOR('',#36446,3.7E0); +#36448=CARTESIAN_POINT('',(-1.69E1,-1.72E1,-2.7725E1)); +#36449=LINE('',#36448,#36447); +#36450=DIRECTION('',(0.E0,1.E0,0.E0)); +#36451=VECTOR('',#36450,3.7E0); +#36452=CARTESIAN_POINT('',(-1.75E1,-1.72E1,-2.7725E1)); +#36453=LINE('',#36452,#36451); +#36454=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#36455=VECTOR('',#36454,3.E-1); +#36456=CARTESIAN_POINT('',(-1.69E1,-1.12E1,-2.7725E1)); +#36457=LINE('',#36456,#36455); +#36458=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#36459=VECTOR('',#36458,3.E-1); +#36460=CARTESIAN_POINT('',(-1.765E1,-1.094019237886E1,-2.7725E1)); +#36461=LINE('',#36460,#36459); +#36462=DIRECTION('',(1.E0,0.E0,0.E0)); +#36463=VECTOR('',#36462,9.E-1); +#36464=CARTESIAN_POINT('',(-1.765E1,3.815E0,-2.7725E1)); +#36465=LINE('',#36464,#36463); +#36466=DIRECTION('',(0.E0,0.E0,1.E0)); +#36467=VECTOR('',#36466,6.3E-1); +#36468=CARTESIAN_POINT('',(-1.69E1,-1.12E1,-2.8355E1)); +#36469=LINE('',#36468,#36467); +#36470=DIRECTION('',(0.E0,0.E0,1.E0)); +#36471=VECTOR('',#36470,6.3E-1); +#36472=CARTESIAN_POINT('',(-1.69E1,-1.12E1,-2.5815E1)); +#36473=LINE('',#36472,#36471); +#36474=DIRECTION('',(0.E0,0.E0,1.E0)); +#36475=VECTOR('',#36474,6.3E-1); +#36476=CARTESIAN_POINT('',(-1.675E1,-1.094019237886E1,-2.8355E1)); +#36477=LINE('',#36476,#36475); +#36478=DIRECTION('',(0.E0,-1.E0,0.E0)); +#36479=VECTOR('',#36478,1.475519237886E1); +#36480=CARTESIAN_POINT('',(-1.675E1,3.815E0,-2.7725E1)); +#36481=LINE('',#36480,#36479); +#36482=CARTESIAN_POINT('',(-1.675E1,3.815E0,-2.6725E1)); +#36483=DIRECTION('',(-1.E0,0.E0,0.E0)); +#36484=DIRECTION('',(0.E0,1.E0,0.E0)); +#36485=AXIS2_PLACEMENT_3D('',#36482,#36483,#36484); +#36487=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36488=VECTOR('',#36487,8.85E-1); +#36489=CARTESIAN_POINT('',(-1.675E1,4.815E0,-2.584E1)); +#36490=LINE('',#36489,#36488); +#36491=DIRECTION('',(0.E0,0.E0,1.E0)); +#36492=VECTOR('',#36491,8.85E-1); +#36493=CARTESIAN_POINT('',(-1.675E1,5.445E0,-2.6725E1)); +#36494=LINE('',#36493,#36492); +#36495=CARTESIAN_POINT('',(-1.675E1,3.815E0,-2.6725E1)); +#36496=DIRECTION('',(1.E0,0.E0,0.E0)); +#36497=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#36498=AXIS2_PLACEMENT_3D('',#36495,#36496,#36497); +#36500=DIRECTION('',(0.E0,1.E0,0.E0)); +#36501=VECTOR('',#36500,1.475519237886E1); +#36502=CARTESIAN_POINT('',(-1.675E1,-1.094019237886E1,-2.8355E1)); +#36503=LINE('',#36502,#36501); +#36504=DIRECTION('',(0.E0,0.E0,1.E0)); +#36505=VECTOR('',#36504,6.3E-1); +#36506=CARTESIAN_POINT('',(-1.675E1,-1.094019237886E1,-2.5815E1)); +#36507=LINE('',#36506,#36505); +#36508=DIRECTION('',(0.E0,-1.E0,0.E0)); +#36509=VECTOR('',#36508,7.655192378865E0); +#36510=CARTESIAN_POINT('',(-1.675E1,-3.285E0,-2.5185E1)); +#36511=LINE('',#36510,#36509); +#36512=CARTESIAN_POINT('',(-1.675E1,-3.285E0,-2.4685E1)); +#36513=DIRECTION('',(-1.E0,0.E0,0.E0)); +#36514=DIRECTION('',(0.E0,1.E0,0.E0)); +#36515=AXIS2_PLACEMENT_3D('',#36512,#36513,#36514); +#36517=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36518=VECTOR('',#36517,1.085E0); +#36519=CARTESIAN_POINT('',(-1.675E1,-2.785E0,-2.36E1)); +#36520=LINE('',#36519,#36518); +#36521=DIRECTION('',(0.E0,0.E0,1.E0)); +#36522=VECTOR('',#36521,1.085E0); +#36523=CARTESIAN_POINT('',(-1.675E1,-2.155E0,-2.4685E1)); +#36524=LINE('',#36523,#36522); +#36525=CARTESIAN_POINT('',(-1.675E1,-3.285E0,-2.4685E1)); +#36526=DIRECTION('',(1.E0,0.E0,0.E0)); +#36527=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36528=AXIS2_PLACEMENT_3D('',#36525,#36526,#36527); +#36530=DIRECTION('',(0.E0,1.E0,0.E0)); +#36531=VECTOR('',#36530,7.655192378865E0); +#36532=CARTESIAN_POINT('',(-1.675E1,-1.094019237886E1,-2.5815E1)); +#36533=LINE('',#36532,#36531); +#36534=DIRECTION('',(1.E0,0.E0,0.E0)); +#36535=VECTOR('',#36534,9.E-1); +#36536=CARTESIAN_POINT('',(-1.765E1,4.815E0,-2.6725E1)); +#36537=LINE('',#36536,#36535); +#36538=DIRECTION('',(1.E0,0.E0,0.E0)); +#36539=VECTOR('',#36538,9.E-1); +#36540=CARTESIAN_POINT('',(-1.765E1,5.445E0,-2.6725E1)); +#36541=LINE('',#36540,#36539); +#36542=DIRECTION('',(1.E0,0.E0,0.E0)); +#36543=VECTOR('',#36542,9.E-1); +#36544=CARTESIAN_POINT('',(-1.765E1,3.815E0,-2.8355E1)); +#36545=LINE('',#36544,#36543); +#36546=DIRECTION('',(0.E0,1.E0,0.E0)); +#36547=VECTOR('',#36546,3.7E0); +#36548=CARTESIAN_POINT('',(-1.69E1,-1.72E1,-2.5185E1)); +#36549=LINE('',#36548,#36547); +#36550=DIRECTION('',(0.E0,1.E0,0.E0)); +#36551=VECTOR('',#36550,3.7E0); +#36552=CARTESIAN_POINT('',(-1.75E1,-1.72E1,-2.5185E1)); +#36553=LINE('',#36552,#36551); +#36554=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#36555=VECTOR('',#36554,3.E-1); +#36556=CARTESIAN_POINT('',(-1.69E1,-1.12E1,-2.5185E1)); +#36557=LINE('',#36556,#36555); +#36558=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#36559=VECTOR('',#36558,3.E-1); +#36560=CARTESIAN_POINT('',(-1.765E1,-1.094019237886E1,-2.5185E1)); +#36561=LINE('',#36560,#36559); +#36562=DIRECTION('',(1.E0,0.E0,0.E0)); +#36563=VECTOR('',#36562,9.E-1); +#36564=CARTESIAN_POINT('',(-1.765E1,-3.285E0,-2.5185E1)); +#36565=LINE('',#36564,#36563); +#36566=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36567=VECTOR('',#36566,6.3E-1); +#36568=CARTESIAN_POINT('',(-1.69E1,-1.72E1,-2.5185E1)); +#36569=LINE('',#36568,#36567); +#36570=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36571=VECTOR('',#36570,6.3E-1); +#36572=CARTESIAN_POINT('',(-1.75E1,-1.72E1,-2.5185E1)); +#36573=LINE('',#36572,#36571); +#36574=DIRECTION('',(1.E0,0.E0,0.E0)); +#36575=VECTOR('',#36574,6.E-1); +#36576=CARTESIAN_POINT('',(-1.75E1,-1.72E1,-2.5185E1)); +#36577=LINE('',#36576,#36575); +#36578=DIRECTION('',(0.E0,1.E0,0.E0)); +#36579=VECTOR('',#36578,3.7E0); +#36580=CARTESIAN_POINT('',(-1.69E1,-1.72E1,-2.5815E1)); +#36581=LINE('',#36580,#36579); +#36582=DIRECTION('',(0.E0,1.E0,0.E0)); +#36583=VECTOR('',#36582,3.7E0); +#36584=CARTESIAN_POINT('',(-1.75E1,-1.72E1,-2.5815E1)); +#36585=LINE('',#36584,#36583); +#36586=DIRECTION('',(1.E0,0.E0,0.E0)); +#36587=VECTOR('',#36586,6.E-1); +#36588=CARTESIAN_POINT('',(-1.75E1,-1.72E1,-2.5815E1)); +#36589=LINE('',#36588,#36587); +#36590=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#36591=VECTOR('',#36590,3.E-1); +#36592=CARTESIAN_POINT('',(-1.69E1,-1.12E1,-2.5815E1)); +#36593=LINE('',#36592,#36591); +#36594=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#36595=VECTOR('',#36594,3.E-1); +#36596=CARTESIAN_POINT('',(-1.765E1,-1.094019237886E1,-2.5815E1)); +#36597=LINE('',#36596,#36595); +#36598=DIRECTION('',(1.E0,0.E0,0.E0)); +#36599=VECTOR('',#36598,9.E-1); +#36600=CARTESIAN_POINT('',(-1.765E1,-3.285E0,-2.5815E1)); +#36601=LINE('',#36600,#36599); +#36602=DIRECTION('',(1.E0,0.E0,0.E0)); +#36603=VECTOR('',#36602,9.E-1); +#36604=CARTESIAN_POINT('',(-1.765E1,-2.155E0,-2.4685E1)); +#36605=LINE('',#36604,#36603); +#36606=DIRECTION('',(1.E0,0.E0,0.E0)); +#36607=VECTOR('',#36606,9.E-1); +#36608=CARTESIAN_POINT('',(-1.765E1,-2.785E0,-2.4685E1)); +#36609=LINE('',#36608,#36607); +#36610=DIRECTION('',(0.E0,0.E0,1.E0)); +#36611=VECTOR('',#36610,6.3E-1); +#36612=CARTESIAN_POINT('',(-1.55E1,-1.094019237886E1,-3.0895E1)); +#36613=LINE('',#36612,#36611); +#36614=DIRECTION('',(0.E0,1.E0,0.E0)); +#36615=VECTOR('',#36614,1.475519237886E1); +#36616=CARTESIAN_POINT('',(-1.55E1,-1.094019237886E1,-3.0895E1)); +#36617=LINE('',#36616,#36615); +#36618=CARTESIAN_POINT('',(-1.55E1,3.815E0,-2.9265E1)); +#36619=DIRECTION('',(1.E0,0.E0,0.E0)); +#36620=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36621=AXIS2_PLACEMENT_3D('',#36618,#36619,#36620); +#36623=DIRECTION('',(0.E0,0.E0,1.E0)); +#36624=VECTOR('',#36623,3.425E0); +#36625=CARTESIAN_POINT('',(-1.55E1,5.445E0,-2.9265E1)); +#36626=LINE('',#36625,#36624); +#36627=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36628=VECTOR('',#36627,3.425E0); +#36629=CARTESIAN_POINT('',(-1.55E1,4.815E0,-2.584E1)); +#36630=LINE('',#36629,#36628); +#36631=CARTESIAN_POINT('',(-1.55E1,3.815E0,-2.9265E1)); +#36632=DIRECTION('',(-1.E0,0.E0,0.E0)); +#36633=DIRECTION('',(0.E0,1.E0,0.E0)); +#36634=AXIS2_PLACEMENT_3D('',#36631,#36632,#36633); +#36636=DIRECTION('',(0.E0,-1.E0,0.E0)); +#36637=VECTOR('',#36636,1.475519237886E1); +#36638=CARTESIAN_POINT('',(-1.55E1,3.815E0,-3.0265E1)); +#36639=LINE('',#36638,#36637); +#36640=DIRECTION('',(0.E0,0.E0,1.E0)); +#36641=VECTOR('',#36640,6.3E-1); +#36642=CARTESIAN_POINT('',(-1.55E1,-1.094019237886E1,-2.5815E1)); +#36643=LINE('',#36642,#36641); +#36644=DIRECTION('',(0.E0,1.E0,0.E0)); +#36645=VECTOR('',#36644,7.655192378865E0); +#36646=CARTESIAN_POINT('',(-1.55E1,-1.094019237886E1,-2.5815E1)); +#36647=LINE('',#36646,#36645); +#36648=CARTESIAN_POINT('',(-1.55E1,-3.285E0,-2.4685E1)); +#36649=DIRECTION('',(1.E0,0.E0,0.E0)); +#36650=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36651=AXIS2_PLACEMENT_3D('',#36648,#36649,#36650); +#36653=DIRECTION('',(0.E0,0.E0,1.E0)); +#36654=VECTOR('',#36653,1.085E0); +#36655=CARTESIAN_POINT('',(-1.55E1,-2.155E0,-2.4685E1)); +#36656=LINE('',#36655,#36654); +#36657=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36658=VECTOR('',#36657,1.085E0); +#36659=CARTESIAN_POINT('',(-1.55E1,-2.785E0,-2.36E1)); +#36660=LINE('',#36659,#36658); +#36661=CARTESIAN_POINT('',(-1.55E1,-3.285E0,-2.4685E1)); +#36662=DIRECTION('',(-1.E0,0.E0,0.E0)); +#36663=DIRECTION('',(0.E0,1.E0,0.E0)); +#36664=AXIS2_PLACEMENT_3D('',#36661,#36662,#36663); +#36666=DIRECTION('',(0.E0,-1.E0,0.E0)); +#36667=VECTOR('',#36666,7.655192378865E0); +#36668=CARTESIAN_POINT('',(-1.55E1,-3.285E0,-2.5185E1)); +#36669=LINE('',#36668,#36667); +#36670=DIRECTION('',(0.E0,1.E0,0.E0)); +#36671=VECTOR('',#36670,3.7E0); +#36672=CARTESIAN_POINT('',(-1.535E1,-1.72E1,-3.0895E1)); +#36673=LINE('',#36672,#36671); +#36674=DIRECTION('',(1.E0,0.E0,0.E0)); +#36675=VECTOR('',#36674,6.E-1); +#36676=CARTESIAN_POINT('',(-1.535E1,-1.72E1,-3.0895E1)); +#36677=LINE('',#36676,#36675); +#36678=DIRECTION('',(0.E0,1.E0,0.E0)); +#36679=VECTOR('',#36678,3.7E0); +#36680=CARTESIAN_POINT('',(-1.475E1,-1.72E1,-3.0895E1)); +#36681=LINE('',#36680,#36679); +#36682=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#36683=VECTOR('',#36682,3.E-1); +#36684=CARTESIAN_POINT('',(-1.55E1,-1.094019237886E1,-3.0895E1)); +#36685=LINE('',#36684,#36683); +#36686=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#36687=VECTOR('',#36686,3.E-1); +#36688=CARTESIAN_POINT('',(-1.475E1,-1.12E1,-3.0895E1)); +#36689=LINE('',#36688,#36687); +#36690=DIRECTION('',(0.E0,0.E0,1.E0)); +#36691=VECTOR('',#36690,6.3E-1); +#36692=CARTESIAN_POINT('',(-1.535E1,-1.35E1,-3.0895E1)); +#36693=LINE('',#36692,#36691); +#36694=DIRECTION('',(0.E0,0.E0,1.E0)); +#36695=VECTOR('',#36694,6.3E-1); +#36696=CARTESIAN_POINT('',(-1.535E1,-1.35E1,-2.5815E1)); +#36697=LINE('',#36696,#36695); +#36698=DIRECTION('',(0.E0,1.E0,0.E0)); +#36699=VECTOR('',#36698,3.7E0); +#36700=CARTESIAN_POINT('',(-1.535E1,-1.72E1,-3.0265E1)); +#36701=LINE('',#36700,#36699); +#36702=DIRECTION('',(0.E0,1.E0,0.E0)); +#36703=VECTOR('',#36702,3.7E0); +#36704=CARTESIAN_POINT('',(-1.475E1,-1.72E1,-3.0265E1)); +#36705=LINE('',#36704,#36703); +#36706=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#36707=VECTOR('',#36706,3.E-1); +#36708=CARTESIAN_POINT('',(-1.55E1,-1.094019237886E1,-3.0265E1)); +#36709=LINE('',#36708,#36707); +#36710=DIRECTION('',(1.E0,0.E0,0.E0)); +#36711=VECTOR('',#36710,9.E-1); +#36712=CARTESIAN_POINT('',(-1.55E1,3.815E0,-3.0265E1)); +#36713=LINE('',#36712,#36711); +#36714=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#36715=VECTOR('',#36714,3.E-1); +#36716=CARTESIAN_POINT('',(-1.475E1,-1.12E1,-3.0265E1)); +#36717=LINE('',#36716,#36715); +#36718=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36719=VECTOR('',#36718,6.3E-1); +#36720=CARTESIAN_POINT('',(-1.535E1,-1.72E1,-3.0265E1)); +#36721=LINE('',#36720,#36719); +#36722=DIRECTION('',(1.E0,0.E0,0.E0)); +#36723=VECTOR('',#36722,6.E-1); +#36724=CARTESIAN_POINT('',(-1.535E1,-1.72E1,-3.0265E1)); +#36725=LINE('',#36724,#36723); +#36726=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36727=VECTOR('',#36726,6.3E-1); +#36728=CARTESIAN_POINT('',(-1.475E1,-1.72E1,-3.0265E1)); +#36729=LINE('',#36728,#36727); +#36730=DIRECTION('',(0.E0,1.E0,0.E0)); +#36731=VECTOR('',#36730,3.7E0); +#36732=CARTESIAN_POINT('',(-1.535E1,-1.72E1,-2.5815E1)); +#36733=LINE('',#36732,#36731); +#36734=DIRECTION('',(1.E0,0.E0,0.E0)); +#36735=VECTOR('',#36734,6.E-1); +#36736=CARTESIAN_POINT('',(-1.535E1,-1.72E1,-2.5815E1)); +#36737=LINE('',#36736,#36735); +#36738=DIRECTION('',(0.E0,1.E0,0.E0)); +#36739=VECTOR('',#36738,3.7E0); +#36740=CARTESIAN_POINT('',(-1.475E1,-1.72E1,-2.5815E1)); +#36741=LINE('',#36740,#36739); +#36742=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#36743=VECTOR('',#36742,3.E-1); +#36744=CARTESIAN_POINT('',(-1.55E1,-1.094019237886E1,-2.5815E1)); +#36745=LINE('',#36744,#36743); +#36746=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#36747=VECTOR('',#36746,3.E-1); +#36748=CARTESIAN_POINT('',(-1.475E1,-1.12E1,-2.5815E1)); +#36749=LINE('',#36748,#36747); +#36750=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36751=VECTOR('',#36750,6.3E-1); +#36752=CARTESIAN_POINT('',(-1.535E1,-1.72E1,-2.5185E1)); +#36753=LINE('',#36752,#36751); +#36754=DIRECTION('',(1.E0,0.E0,0.E0)); +#36755=VECTOR('',#36754,6.E-1); +#36756=CARTESIAN_POINT('',(-1.535E1,-1.72E1,-2.5185E1)); +#36757=LINE('',#36756,#36755); +#36758=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36759=VECTOR('',#36758,6.3E-1); +#36760=CARTESIAN_POINT('',(-1.475E1,-1.72E1,-2.5185E1)); +#36761=LINE('',#36760,#36759); +#36762=DIRECTION('',(0.E0,1.E0,0.E0)); +#36763=VECTOR('',#36762,3.7E0); +#36764=CARTESIAN_POINT('',(-1.535E1,-1.72E1,-2.5185E1)); +#36765=LINE('',#36764,#36763); +#36766=DIRECTION('',(0.E0,1.E0,0.E0)); +#36767=VECTOR('',#36766,3.7E0); +#36768=CARTESIAN_POINT('',(-1.475E1,-1.72E1,-2.5185E1)); +#36769=LINE('',#36768,#36767); +#36770=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#36771=VECTOR('',#36770,3.E-1); +#36772=CARTESIAN_POINT('',(-1.55E1,-1.094019237886E1,-2.5185E1)); +#36773=LINE('',#36772,#36771); +#36774=DIRECTION('',(1.E0,0.E0,0.E0)); +#36775=VECTOR('',#36774,9.E-1); +#36776=CARTESIAN_POINT('',(-1.55E1,-3.285E0,-2.5185E1)); +#36777=LINE('',#36776,#36775); +#36778=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#36779=VECTOR('',#36778,3.E-1); +#36780=CARTESIAN_POINT('',(-1.475E1,-1.12E1,-2.5185E1)); +#36781=LINE('',#36780,#36779); +#36782=DIRECTION('',(1.E0,0.E0,0.E0)); +#36783=VECTOR('',#36782,9.E-1); +#36784=CARTESIAN_POINT('',(-1.55E1,-2.785E0,-2.4685E1)); +#36785=LINE('',#36784,#36783); +#36786=DIRECTION('',(0.E0,0.E0,1.E0)); +#36787=VECTOR('',#36786,6.3E-1); +#36788=CARTESIAN_POINT('',(-1.46E1,-1.094019237886E1,-3.0895E1)); +#36789=LINE('',#36788,#36787); +#36790=DIRECTION('',(0.E0,-1.E0,0.E0)); +#36791=VECTOR('',#36790,1.475519237886E1); +#36792=CARTESIAN_POINT('',(-1.46E1,3.815E0,-3.0265E1)); +#36793=LINE('',#36792,#36791); +#36794=CARTESIAN_POINT('',(-1.46E1,3.815E0,-2.9265E1)); +#36795=DIRECTION('',(-1.E0,0.E0,0.E0)); +#36796=DIRECTION('',(0.E0,1.E0,0.E0)); +#36797=AXIS2_PLACEMENT_3D('',#36794,#36795,#36796); +#36799=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36800=VECTOR('',#36799,3.425E0); +#36801=CARTESIAN_POINT('',(-1.46E1,4.815E0,-2.584E1)); +#36802=LINE('',#36801,#36800); +#36803=DIRECTION('',(0.E0,0.E0,1.E0)); +#36804=VECTOR('',#36803,3.425E0); +#36805=CARTESIAN_POINT('',(-1.46E1,5.445E0,-2.9265E1)); +#36806=LINE('',#36805,#36804); +#36807=CARTESIAN_POINT('',(-1.46E1,3.815E0,-2.9265E1)); +#36808=DIRECTION('',(1.E0,0.E0,0.E0)); +#36809=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36810=AXIS2_PLACEMENT_3D('',#36807,#36808,#36809); +#36812=DIRECTION('',(0.E0,1.E0,0.E0)); +#36813=VECTOR('',#36812,1.475519237886E1); +#36814=CARTESIAN_POINT('',(-1.46E1,-1.094019237886E1,-3.0895E1)); +#36815=LINE('',#36814,#36813); +#36816=DIRECTION('',(0.E0,0.E0,1.E0)); +#36817=VECTOR('',#36816,6.3E-1); +#36818=CARTESIAN_POINT('',(-1.46E1,-1.094019237886E1,-2.5815E1)); +#36819=LINE('',#36818,#36817); +#36820=DIRECTION('',(0.E0,-1.E0,0.E0)); +#36821=VECTOR('',#36820,7.655192378865E0); +#36822=CARTESIAN_POINT('',(-1.46E1,-3.285E0,-2.5185E1)); +#36823=LINE('',#36822,#36821); +#36824=CARTESIAN_POINT('',(-1.46E1,-3.285E0,-2.4685E1)); +#36825=DIRECTION('',(-1.E0,0.E0,0.E0)); +#36826=DIRECTION('',(0.E0,1.E0,0.E0)); +#36827=AXIS2_PLACEMENT_3D('',#36824,#36825,#36826); +#36829=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36830=VECTOR('',#36829,1.085E0); +#36831=CARTESIAN_POINT('',(-1.46E1,-2.785E0,-2.36E1)); +#36832=LINE('',#36831,#36830); +#36833=DIRECTION('',(0.E0,0.E0,1.E0)); +#36834=VECTOR('',#36833,1.085E0); +#36835=CARTESIAN_POINT('',(-1.46E1,-2.155E0,-2.4685E1)); +#36836=LINE('',#36835,#36834); +#36837=CARTESIAN_POINT('',(-1.46E1,-3.285E0,-2.4685E1)); +#36838=DIRECTION('',(1.E0,0.E0,0.E0)); +#36839=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36840=AXIS2_PLACEMENT_3D('',#36837,#36838,#36839); +#36842=DIRECTION('',(0.E0,1.E0,0.E0)); +#36843=VECTOR('',#36842,7.655192378865E0); +#36844=CARTESIAN_POINT('',(-1.46E1,-1.094019237886E1,-2.5815E1)); +#36845=LINE('',#36844,#36843); +#36846=DIRECTION('',(0.E0,0.E0,1.E0)); +#36847=VECTOR('',#36846,6.3E-1); +#36848=CARTESIAN_POINT('',(-1.475E1,-1.12E1,-3.0895E1)); +#36849=LINE('',#36848,#36847); +#36850=DIRECTION('',(0.E0,0.E0,1.E0)); +#36851=VECTOR('',#36850,6.3E-1); +#36852=CARTESIAN_POINT('',(-1.475E1,-1.12E1,-2.5815E1)); +#36853=LINE('',#36852,#36851); +#36854=DIRECTION('',(1.E0,0.E0,0.E0)); +#36855=VECTOR('',#36854,9.E-1); +#36856=CARTESIAN_POINT('',(-1.55E1,4.815E0,-2.9265E1)); +#36857=LINE('',#36856,#36855); +#36858=DIRECTION('',(1.E0,0.E0,0.E0)); +#36859=VECTOR('',#36858,9.E-1); +#36860=CARTESIAN_POINT('',(-1.55E1,5.445E0,-2.9265E1)); +#36861=LINE('',#36860,#36859); +#36862=DIRECTION('',(1.E0,0.E0,0.E0)); +#36863=VECTOR('',#36862,9.E-1); +#36864=CARTESIAN_POINT('',(-1.55E1,3.815E0,-3.0895E1)); +#36865=LINE('',#36864,#36863); +#36866=DIRECTION('',(1.E0,0.E0,0.E0)); +#36867=VECTOR('',#36866,9.E-1); +#36868=CARTESIAN_POINT('',(-1.55E1,-2.155E0,-2.4685E1)); +#36869=LINE('',#36868,#36867); +#36870=DIRECTION('',(1.E0,0.E0,0.E0)); +#36871=VECTOR('',#36870,9.E-1); +#36872=CARTESIAN_POINT('',(-1.55E1,-3.285E0,-2.5815E1)); +#36873=LINE('',#36872,#36871); +#36874=DIRECTION('',(0.E0,0.E0,1.E0)); +#36875=VECTOR('',#36874,6.3E-1); +#36876=CARTESIAN_POINT('',(-1.335E1,-1.094019237886E1,-2.8355E1)); +#36877=LINE('',#36876,#36875); +#36878=DIRECTION('',(0.E0,1.E0,0.E0)); +#36879=VECTOR('',#36878,1.475519237886E1); +#36880=CARTESIAN_POINT('',(-1.335E1,-1.094019237886E1,-2.8355E1)); +#36881=LINE('',#36880,#36879); +#36882=CARTESIAN_POINT('',(-1.335E1,3.815E0,-2.6725E1)); +#36883=DIRECTION('',(1.E0,0.E0,0.E0)); +#36884=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#36885=AXIS2_PLACEMENT_3D('',#36882,#36883,#36884); +#36887=DIRECTION('',(0.E0,0.E0,1.E0)); +#36888=VECTOR('',#36887,8.85E-1); +#36889=CARTESIAN_POINT('',(-1.335E1,5.445E0,-2.6725E1)); +#36890=LINE('',#36889,#36888); +#36891=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36892=VECTOR('',#36891,8.85E-1); +#36893=CARTESIAN_POINT('',(-1.335E1,4.815E0,-2.584E1)); +#36894=LINE('',#36893,#36892); +#36895=CARTESIAN_POINT('',(-1.335E1,3.815E0,-2.6725E1)); +#36896=DIRECTION('',(-1.E0,0.E0,0.E0)); +#36897=DIRECTION('',(0.E0,1.E0,0.E0)); +#36898=AXIS2_PLACEMENT_3D('',#36895,#36896,#36897); +#36900=DIRECTION('',(0.E0,-1.E0,0.E0)); +#36901=VECTOR('',#36900,1.475519237886E1); +#36902=CARTESIAN_POINT('',(-1.335E1,3.815E0,-2.7725E1)); +#36903=LINE('',#36902,#36901); +#36904=DIRECTION('',(0.E0,0.E0,1.E0)); +#36905=VECTOR('',#36904,6.3E-1); +#36906=CARTESIAN_POINT('',(-1.335E1,-1.094019237886E1,-2.5815E1)); +#36907=LINE('',#36906,#36905); +#36908=DIRECTION('',(0.E0,1.E0,0.E0)); +#36909=VECTOR('',#36908,7.655192378865E0); +#36910=CARTESIAN_POINT('',(-1.335E1,-1.094019237886E1,-2.5815E1)); +#36911=LINE('',#36910,#36909); +#36912=CARTESIAN_POINT('',(-1.335E1,-3.285E0,-2.4685E1)); +#36913=DIRECTION('',(1.E0,0.E0,0.E0)); +#36914=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36915=AXIS2_PLACEMENT_3D('',#36912,#36913,#36914); +#36917=DIRECTION('',(0.E0,0.E0,1.E0)); +#36918=VECTOR('',#36917,1.085E0); +#36919=CARTESIAN_POINT('',(-1.335E1,-2.155E0,-2.4685E1)); +#36920=LINE('',#36919,#36918); +#36921=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36922=VECTOR('',#36921,1.085E0); +#36923=CARTESIAN_POINT('',(-1.335E1,-2.785E0,-2.36E1)); +#36924=LINE('',#36923,#36922); +#36925=CARTESIAN_POINT('',(-1.335E1,-3.285E0,-2.4685E1)); +#36926=DIRECTION('',(-1.E0,0.E0,0.E0)); +#36927=DIRECTION('',(0.E0,1.E0,0.E0)); +#36928=AXIS2_PLACEMENT_3D('',#36925,#36926,#36927); +#36930=DIRECTION('',(0.E0,-1.E0,0.E0)); +#36931=VECTOR('',#36930,7.655192378865E0); +#36932=CARTESIAN_POINT('',(-1.335E1,-3.285E0,-2.5185E1)); +#36933=LINE('',#36932,#36931); +#36934=DIRECTION('',(0.E0,1.E0,0.E0)); +#36935=VECTOR('',#36934,3.7E0); +#36936=CARTESIAN_POINT('',(-1.26E1,-1.72E1,-2.8355E1)); +#36937=LINE('',#36936,#36935); +#36938=DIRECTION('',(0.E0,1.E0,0.E0)); +#36939=VECTOR('',#36938,3.7E0); +#36940=CARTESIAN_POINT('',(-1.32E1,-1.72E1,-2.8355E1)); +#36941=LINE('',#36940,#36939); +#36942=DIRECTION('',(1.E0,0.E0,0.E0)); +#36943=VECTOR('',#36942,6.E-1); +#36944=CARTESIAN_POINT('',(-1.32E1,-1.72E1,-2.8355E1)); +#36945=LINE('',#36944,#36943); +#36946=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#36947=VECTOR('',#36946,3.E-1); +#36948=CARTESIAN_POINT('',(-1.26E1,-1.12E1,-2.8355E1)); +#36949=LINE('',#36948,#36947); +#36950=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#36951=VECTOR('',#36950,3.E-1); +#36952=CARTESIAN_POINT('',(-1.335E1,-1.094019237886E1,-2.8355E1)); +#36953=LINE('',#36952,#36951); +#36954=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36955=VECTOR('',#36954,6.3E-1); +#36956=CARTESIAN_POINT('',(-1.26E1,-1.72E1,-2.7725E1)); +#36957=LINE('',#36956,#36955); +#36958=DIRECTION('',(0.E0,0.E0,-1.E0)); +#36959=VECTOR('',#36958,6.3E-1); +#36960=CARTESIAN_POINT('',(-1.32E1,-1.72E1,-2.7725E1)); +#36961=LINE('',#36960,#36959); +#36962=DIRECTION('',(1.E0,0.E0,0.E0)); +#36963=VECTOR('',#36962,6.E-1); +#36964=CARTESIAN_POINT('',(-1.32E1,-1.72E1,-2.7725E1)); +#36965=LINE('',#36964,#36963); +#36966=DIRECTION('',(0.E0,0.E0,1.E0)); +#36967=VECTOR('',#36966,6.3E-1); +#36968=CARTESIAN_POINT('',(-1.32E1,-1.35E1,-2.8355E1)); +#36969=LINE('',#36968,#36967); +#36970=DIRECTION('',(0.E0,0.E0,1.E0)); +#36971=VECTOR('',#36970,6.3E-1); +#36972=CARTESIAN_POINT('',(-1.32E1,-1.35E1,-2.5815E1)); +#36973=LINE('',#36972,#36971); +#36974=DIRECTION('',(0.E0,1.E0,0.E0)); +#36975=VECTOR('',#36974,3.7E0); +#36976=CARTESIAN_POINT('',(-1.26E1,-1.72E1,-2.7725E1)); +#36977=LINE('',#36976,#36975); +#36978=DIRECTION('',(0.E0,1.E0,0.E0)); +#36979=VECTOR('',#36978,3.7E0); +#36980=CARTESIAN_POINT('',(-1.32E1,-1.72E1,-2.7725E1)); +#36981=LINE('',#36980,#36979); +#36982=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#36983=VECTOR('',#36982,3.E-1); +#36984=CARTESIAN_POINT('',(-1.26E1,-1.12E1,-2.7725E1)); +#36985=LINE('',#36984,#36983); +#36986=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#36987=VECTOR('',#36986,3.E-1); +#36988=CARTESIAN_POINT('',(-1.335E1,-1.094019237886E1,-2.7725E1)); +#36989=LINE('',#36988,#36987); +#36990=DIRECTION('',(1.E0,0.E0,0.E0)); +#36991=VECTOR('',#36990,9.E-1); +#36992=CARTESIAN_POINT('',(-1.335E1,3.815E0,-2.7725E1)); +#36993=LINE('',#36992,#36991); +#36994=DIRECTION('',(0.E0,0.E0,1.E0)); +#36995=VECTOR('',#36994,6.3E-1); +#36996=CARTESIAN_POINT('',(-1.26E1,-1.12E1,-2.8355E1)); +#36997=LINE('',#36996,#36995); +#36998=DIRECTION('',(0.E0,0.E0,1.E0)); +#36999=VECTOR('',#36998,6.3E-1); +#37000=CARTESIAN_POINT('',(-1.26E1,-1.12E1,-2.5815E1)); +#37001=LINE('',#37000,#36999); +#37002=DIRECTION('',(0.E0,0.E0,1.E0)); +#37003=VECTOR('',#37002,6.3E-1); +#37004=CARTESIAN_POINT('',(-1.245E1,-1.094019237886E1,-2.8355E1)); +#37005=LINE('',#37004,#37003); +#37006=DIRECTION('',(0.E0,-1.E0,0.E0)); +#37007=VECTOR('',#37006,1.475519237886E1); +#37008=CARTESIAN_POINT('',(-1.245E1,3.815E0,-2.7725E1)); +#37009=LINE('',#37008,#37007); +#37010=CARTESIAN_POINT('',(-1.245E1,3.815E0,-2.6725E1)); +#37011=DIRECTION('',(-1.E0,0.E0,0.E0)); +#37012=DIRECTION('',(0.E0,1.E0,0.E0)); +#37013=AXIS2_PLACEMENT_3D('',#37010,#37011,#37012); +#37015=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37016=VECTOR('',#37015,8.85E-1); +#37017=CARTESIAN_POINT('',(-1.245E1,4.815E0,-2.584E1)); +#37018=LINE('',#37017,#37016); +#37019=DIRECTION('',(0.E0,0.E0,1.E0)); +#37020=VECTOR('',#37019,8.85E-1); +#37021=CARTESIAN_POINT('',(-1.245E1,5.445E0,-2.6725E1)); +#37022=LINE('',#37021,#37020); +#37023=CARTESIAN_POINT('',(-1.245E1,3.815E0,-2.6725E1)); +#37024=DIRECTION('',(1.E0,0.E0,0.E0)); +#37025=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#37026=AXIS2_PLACEMENT_3D('',#37023,#37024,#37025); +#37028=DIRECTION('',(0.E0,1.E0,0.E0)); +#37029=VECTOR('',#37028,1.475519237886E1); +#37030=CARTESIAN_POINT('',(-1.245E1,-1.094019237886E1,-2.8355E1)); +#37031=LINE('',#37030,#37029); +#37032=DIRECTION('',(0.E0,0.E0,1.E0)); +#37033=VECTOR('',#37032,6.3E-1); +#37034=CARTESIAN_POINT('',(-1.245E1,-1.094019237886E1,-2.5815E1)); +#37035=LINE('',#37034,#37033); +#37036=DIRECTION('',(0.E0,-1.E0,0.E0)); +#37037=VECTOR('',#37036,7.655192378865E0); +#37038=CARTESIAN_POINT('',(-1.245E1,-3.285E0,-2.5185E1)); +#37039=LINE('',#37038,#37037); +#37040=CARTESIAN_POINT('',(-1.245E1,-3.285E0,-2.4685E1)); +#37041=DIRECTION('',(-1.E0,0.E0,0.E0)); +#37042=DIRECTION('',(0.E0,1.E0,0.E0)); +#37043=AXIS2_PLACEMENT_3D('',#37040,#37041,#37042); +#37045=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37046=VECTOR('',#37045,1.085E0); +#37047=CARTESIAN_POINT('',(-1.245E1,-2.785E0,-2.36E1)); +#37048=LINE('',#37047,#37046); +#37049=DIRECTION('',(0.E0,0.E0,1.E0)); +#37050=VECTOR('',#37049,1.085E0); +#37051=CARTESIAN_POINT('',(-1.245E1,-2.155E0,-2.4685E1)); +#37052=LINE('',#37051,#37050); +#37053=CARTESIAN_POINT('',(-1.245E1,-3.285E0,-2.4685E1)); +#37054=DIRECTION('',(1.E0,0.E0,0.E0)); +#37055=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37056=AXIS2_PLACEMENT_3D('',#37053,#37054,#37055); +#37058=DIRECTION('',(0.E0,1.E0,0.E0)); +#37059=VECTOR('',#37058,7.655192378865E0); +#37060=CARTESIAN_POINT('',(-1.245E1,-1.094019237886E1,-2.5815E1)); +#37061=LINE('',#37060,#37059); +#37062=DIRECTION('',(1.E0,0.E0,0.E0)); +#37063=VECTOR('',#37062,9.E-1); +#37064=CARTESIAN_POINT('',(-1.335E1,4.815E0,-2.6725E1)); +#37065=LINE('',#37064,#37063); +#37066=DIRECTION('',(1.E0,0.E0,0.E0)); +#37067=VECTOR('',#37066,9.E-1); +#37068=CARTESIAN_POINT('',(-1.335E1,5.445E0,-2.6725E1)); +#37069=LINE('',#37068,#37067); +#37070=DIRECTION('',(1.E0,0.E0,0.E0)); +#37071=VECTOR('',#37070,9.E-1); +#37072=CARTESIAN_POINT('',(-1.335E1,3.815E0,-2.8355E1)); +#37073=LINE('',#37072,#37071); +#37074=DIRECTION('',(0.E0,1.E0,0.E0)); +#37075=VECTOR('',#37074,3.7E0); +#37076=CARTESIAN_POINT('',(-1.26E1,-1.72E1,-2.5185E1)); +#37077=LINE('',#37076,#37075); +#37078=DIRECTION('',(0.E0,1.E0,0.E0)); +#37079=VECTOR('',#37078,3.7E0); +#37080=CARTESIAN_POINT('',(-1.32E1,-1.72E1,-2.5185E1)); +#37081=LINE('',#37080,#37079); +#37082=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#37083=VECTOR('',#37082,3.E-1); +#37084=CARTESIAN_POINT('',(-1.26E1,-1.12E1,-2.5185E1)); +#37085=LINE('',#37084,#37083); +#37086=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#37087=VECTOR('',#37086,3.E-1); +#37088=CARTESIAN_POINT('',(-1.335E1,-1.094019237886E1,-2.5185E1)); +#37089=LINE('',#37088,#37087); +#37090=DIRECTION('',(1.E0,0.E0,0.E0)); +#37091=VECTOR('',#37090,9.E-1); +#37092=CARTESIAN_POINT('',(-1.335E1,-3.285E0,-2.5185E1)); +#37093=LINE('',#37092,#37091); +#37094=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37095=VECTOR('',#37094,6.3E-1); +#37096=CARTESIAN_POINT('',(-1.26E1,-1.72E1,-2.5185E1)); +#37097=LINE('',#37096,#37095); +#37098=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37099=VECTOR('',#37098,6.3E-1); +#37100=CARTESIAN_POINT('',(-1.32E1,-1.72E1,-2.5185E1)); +#37101=LINE('',#37100,#37099); +#37102=DIRECTION('',(1.E0,0.E0,0.E0)); +#37103=VECTOR('',#37102,6.E-1); +#37104=CARTESIAN_POINT('',(-1.32E1,-1.72E1,-2.5185E1)); +#37105=LINE('',#37104,#37103); +#37106=DIRECTION('',(0.E0,1.E0,0.E0)); +#37107=VECTOR('',#37106,3.7E0); +#37108=CARTESIAN_POINT('',(-1.26E1,-1.72E1,-2.5815E1)); +#37109=LINE('',#37108,#37107); +#37110=DIRECTION('',(0.E0,1.E0,0.E0)); +#37111=VECTOR('',#37110,3.7E0); +#37112=CARTESIAN_POINT('',(-1.32E1,-1.72E1,-2.5815E1)); +#37113=LINE('',#37112,#37111); +#37114=DIRECTION('',(1.E0,0.E0,0.E0)); +#37115=VECTOR('',#37114,6.E-1); +#37116=CARTESIAN_POINT('',(-1.32E1,-1.72E1,-2.5815E1)); +#37117=LINE('',#37116,#37115); +#37118=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#37119=VECTOR('',#37118,3.E-1); +#37120=CARTESIAN_POINT('',(-1.26E1,-1.12E1,-2.5815E1)); +#37121=LINE('',#37120,#37119); +#37122=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#37123=VECTOR('',#37122,3.E-1); +#37124=CARTESIAN_POINT('',(-1.335E1,-1.094019237886E1,-2.5815E1)); +#37125=LINE('',#37124,#37123); +#37126=DIRECTION('',(1.E0,0.E0,0.E0)); +#37127=VECTOR('',#37126,9.E-1); +#37128=CARTESIAN_POINT('',(-1.335E1,-3.285E0,-2.5815E1)); +#37129=LINE('',#37128,#37127); +#37130=DIRECTION('',(1.E0,0.E0,0.E0)); +#37131=VECTOR('',#37130,9.E-1); +#37132=CARTESIAN_POINT('',(-1.335E1,-2.155E0,-2.4685E1)); +#37133=LINE('',#37132,#37131); +#37134=DIRECTION('',(1.E0,0.E0,0.E0)); +#37135=VECTOR('',#37134,9.E-1); +#37136=CARTESIAN_POINT('',(-1.335E1,-2.785E0,-2.4685E1)); +#37137=LINE('',#37136,#37135); +#37138=DIRECTION('',(0.E0,0.E0,1.E0)); +#37139=VECTOR('',#37138,6.3E-1); +#37140=CARTESIAN_POINT('',(-1.12E1,-1.094019237886E1,-3.0895E1)); +#37141=LINE('',#37140,#37139); +#37142=DIRECTION('',(0.E0,1.E0,0.E0)); +#37143=VECTOR('',#37142,1.475519237886E1); +#37144=CARTESIAN_POINT('',(-1.12E1,-1.094019237886E1,-3.0895E1)); +#37145=LINE('',#37144,#37143); +#37146=CARTESIAN_POINT('',(-1.12E1,3.815E0,-2.9265E1)); +#37147=DIRECTION('',(1.E0,0.E0,0.E0)); +#37148=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37149=AXIS2_PLACEMENT_3D('',#37146,#37147,#37148); +#37151=DIRECTION('',(0.E0,0.E0,1.E0)); +#37152=VECTOR('',#37151,3.425E0); +#37153=CARTESIAN_POINT('',(-1.12E1,5.445E0,-2.9265E1)); +#37154=LINE('',#37153,#37152); +#37155=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37156=VECTOR('',#37155,3.425E0); +#37157=CARTESIAN_POINT('',(-1.12E1,4.815E0,-2.584E1)); +#37158=LINE('',#37157,#37156); +#37159=CARTESIAN_POINT('',(-1.12E1,3.815E0,-2.9265E1)); +#37160=DIRECTION('',(-1.E0,0.E0,0.E0)); +#37161=DIRECTION('',(0.E0,1.E0,0.E0)); +#37162=AXIS2_PLACEMENT_3D('',#37159,#37160,#37161); +#37164=DIRECTION('',(0.E0,-1.E0,0.E0)); +#37165=VECTOR('',#37164,1.475519237886E1); +#37166=CARTESIAN_POINT('',(-1.12E1,3.815E0,-3.0265E1)); +#37167=LINE('',#37166,#37165); +#37168=DIRECTION('',(0.E0,0.E0,1.E0)); +#37169=VECTOR('',#37168,6.3E-1); +#37170=CARTESIAN_POINT('',(-1.12E1,-1.094019237886E1,-2.5815E1)); +#37171=LINE('',#37170,#37169); +#37172=DIRECTION('',(0.E0,1.E0,0.E0)); +#37173=VECTOR('',#37172,7.655192378865E0); +#37174=CARTESIAN_POINT('',(-1.12E1,-1.094019237886E1,-2.5815E1)); +#37175=LINE('',#37174,#37173); +#37176=CARTESIAN_POINT('',(-1.12E1,-3.285E0,-2.4685E1)); +#37177=DIRECTION('',(1.E0,0.E0,0.E0)); +#37178=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37179=AXIS2_PLACEMENT_3D('',#37176,#37177,#37178); +#37181=DIRECTION('',(0.E0,0.E0,1.E0)); +#37182=VECTOR('',#37181,1.085E0); +#37183=CARTESIAN_POINT('',(-1.12E1,-2.155E0,-2.4685E1)); +#37184=LINE('',#37183,#37182); +#37185=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37186=VECTOR('',#37185,1.085E0); +#37187=CARTESIAN_POINT('',(-1.12E1,-2.785E0,-2.36E1)); +#37188=LINE('',#37187,#37186); +#37189=CARTESIAN_POINT('',(-1.12E1,-3.285E0,-2.4685E1)); +#37190=DIRECTION('',(-1.E0,0.E0,0.E0)); +#37191=DIRECTION('',(0.E0,1.E0,0.E0)); +#37192=AXIS2_PLACEMENT_3D('',#37189,#37190,#37191); +#37194=DIRECTION('',(0.E0,-1.E0,0.E0)); +#37195=VECTOR('',#37194,7.655192378865E0); +#37196=CARTESIAN_POINT('',(-1.12E1,-3.285E0,-2.5185E1)); +#37197=LINE('',#37196,#37195); +#37198=DIRECTION('',(0.E0,1.E0,0.E0)); +#37199=VECTOR('',#37198,3.7E0); +#37200=CARTESIAN_POINT('',(-1.105E1,-1.72E1,-3.0895E1)); +#37201=LINE('',#37200,#37199); +#37202=DIRECTION('',(1.E0,0.E0,0.E0)); +#37203=VECTOR('',#37202,6.E-1); +#37204=CARTESIAN_POINT('',(-1.105E1,-1.72E1,-3.0895E1)); +#37205=LINE('',#37204,#37203); +#37206=DIRECTION('',(0.E0,1.E0,0.E0)); +#37207=VECTOR('',#37206,3.7E0); +#37208=CARTESIAN_POINT('',(-1.045E1,-1.72E1,-3.0895E1)); +#37209=LINE('',#37208,#37207); +#37210=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#37211=VECTOR('',#37210,3.E-1); +#37212=CARTESIAN_POINT('',(-1.12E1,-1.094019237886E1,-3.0895E1)); +#37213=LINE('',#37212,#37211); +#37214=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#37215=VECTOR('',#37214,3.E-1); +#37216=CARTESIAN_POINT('',(-1.045E1,-1.12E1,-3.0895E1)); +#37217=LINE('',#37216,#37215); +#37218=DIRECTION('',(0.E0,0.E0,1.E0)); +#37219=VECTOR('',#37218,6.3E-1); +#37220=CARTESIAN_POINT('',(-1.105E1,-1.35E1,-3.0895E1)); +#37221=LINE('',#37220,#37219); +#37222=DIRECTION('',(0.E0,0.E0,1.E0)); +#37223=VECTOR('',#37222,6.3E-1); +#37224=CARTESIAN_POINT('',(-1.105E1,-1.35E1,-2.5815E1)); +#37225=LINE('',#37224,#37223); +#37226=DIRECTION('',(0.E0,1.E0,0.E0)); +#37227=VECTOR('',#37226,3.7E0); +#37228=CARTESIAN_POINT('',(-1.105E1,-1.72E1,-3.0265E1)); +#37229=LINE('',#37228,#37227); +#37230=DIRECTION('',(0.E0,1.E0,0.E0)); +#37231=VECTOR('',#37230,3.7E0); +#37232=CARTESIAN_POINT('',(-1.045E1,-1.72E1,-3.0265E1)); +#37233=LINE('',#37232,#37231); +#37234=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#37235=VECTOR('',#37234,3.E-1); +#37236=CARTESIAN_POINT('',(-1.12E1,-1.094019237886E1,-3.0265E1)); +#37237=LINE('',#37236,#37235); +#37238=DIRECTION('',(1.E0,0.E0,0.E0)); +#37239=VECTOR('',#37238,9.E-1); +#37240=CARTESIAN_POINT('',(-1.12E1,3.815E0,-3.0265E1)); +#37241=LINE('',#37240,#37239); +#37242=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#37243=VECTOR('',#37242,3.E-1); +#37244=CARTESIAN_POINT('',(-1.045E1,-1.12E1,-3.0265E1)); +#37245=LINE('',#37244,#37243); +#37246=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37247=VECTOR('',#37246,6.3E-1); +#37248=CARTESIAN_POINT('',(-1.105E1,-1.72E1,-3.0265E1)); +#37249=LINE('',#37248,#37247); +#37250=DIRECTION('',(1.E0,0.E0,0.E0)); +#37251=VECTOR('',#37250,6.E-1); +#37252=CARTESIAN_POINT('',(-1.105E1,-1.72E1,-3.0265E1)); +#37253=LINE('',#37252,#37251); +#37254=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37255=VECTOR('',#37254,6.3E-1); +#37256=CARTESIAN_POINT('',(-1.045E1,-1.72E1,-3.0265E1)); +#37257=LINE('',#37256,#37255); +#37258=DIRECTION('',(0.E0,1.E0,0.E0)); +#37259=VECTOR('',#37258,3.7E0); +#37260=CARTESIAN_POINT('',(-1.105E1,-1.72E1,-2.5815E1)); +#37261=LINE('',#37260,#37259); +#37262=DIRECTION('',(1.E0,0.E0,0.E0)); +#37263=VECTOR('',#37262,6.E-1); +#37264=CARTESIAN_POINT('',(-1.105E1,-1.72E1,-2.5815E1)); +#37265=LINE('',#37264,#37263); +#37266=DIRECTION('',(0.E0,1.E0,0.E0)); +#37267=VECTOR('',#37266,3.7E0); +#37268=CARTESIAN_POINT('',(-1.045E1,-1.72E1,-2.5815E1)); +#37269=LINE('',#37268,#37267); +#37270=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#37271=VECTOR('',#37270,3.E-1); +#37272=CARTESIAN_POINT('',(-1.12E1,-1.094019237886E1,-2.5815E1)); +#37273=LINE('',#37272,#37271); +#37274=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#37275=VECTOR('',#37274,3.E-1); +#37276=CARTESIAN_POINT('',(-1.045E1,-1.12E1,-2.5815E1)); +#37277=LINE('',#37276,#37275); +#37278=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37279=VECTOR('',#37278,6.3E-1); +#37280=CARTESIAN_POINT('',(-1.105E1,-1.72E1,-2.5185E1)); +#37281=LINE('',#37280,#37279); +#37282=DIRECTION('',(1.E0,0.E0,0.E0)); +#37283=VECTOR('',#37282,6.E-1); +#37284=CARTESIAN_POINT('',(-1.105E1,-1.72E1,-2.5185E1)); +#37285=LINE('',#37284,#37283); +#37286=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37287=VECTOR('',#37286,6.3E-1); +#37288=CARTESIAN_POINT('',(-1.045E1,-1.72E1,-2.5185E1)); +#37289=LINE('',#37288,#37287); +#37290=DIRECTION('',(0.E0,1.E0,0.E0)); +#37291=VECTOR('',#37290,3.7E0); +#37292=CARTESIAN_POINT('',(-1.105E1,-1.72E1,-2.5185E1)); +#37293=LINE('',#37292,#37291); +#37294=DIRECTION('',(0.E0,1.E0,0.E0)); +#37295=VECTOR('',#37294,3.7E0); +#37296=CARTESIAN_POINT('',(-1.045E1,-1.72E1,-2.5185E1)); +#37297=LINE('',#37296,#37295); +#37298=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#37299=VECTOR('',#37298,3.E-1); +#37300=CARTESIAN_POINT('',(-1.12E1,-1.094019237886E1,-2.5185E1)); +#37301=LINE('',#37300,#37299); +#37302=DIRECTION('',(1.E0,0.E0,0.E0)); +#37303=VECTOR('',#37302,9.E-1); +#37304=CARTESIAN_POINT('',(-1.12E1,-3.285E0,-2.5185E1)); +#37305=LINE('',#37304,#37303); +#37306=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#37307=VECTOR('',#37306,3.E-1); +#37308=CARTESIAN_POINT('',(-1.045E1,-1.12E1,-2.5185E1)); +#37309=LINE('',#37308,#37307); +#37310=DIRECTION('',(1.E0,0.E0,0.E0)); +#37311=VECTOR('',#37310,9.E-1); +#37312=CARTESIAN_POINT('',(-1.12E1,-2.785E0,-2.4685E1)); +#37313=LINE('',#37312,#37311); +#37314=DIRECTION('',(0.E0,0.E0,1.E0)); +#37315=VECTOR('',#37314,6.3E-1); +#37316=CARTESIAN_POINT('',(-1.03E1,-1.094019237886E1,-3.0895E1)); +#37317=LINE('',#37316,#37315); +#37318=DIRECTION('',(0.E0,-1.E0,0.E0)); +#37319=VECTOR('',#37318,1.475519237886E1); +#37320=CARTESIAN_POINT('',(-1.03E1,3.815E0,-3.0265E1)); +#37321=LINE('',#37320,#37319); +#37322=CARTESIAN_POINT('',(-1.03E1,3.815E0,-2.9265E1)); +#37323=DIRECTION('',(-1.E0,0.E0,0.E0)); +#37324=DIRECTION('',(0.E0,1.E0,0.E0)); +#37325=AXIS2_PLACEMENT_3D('',#37322,#37323,#37324); +#37327=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37328=VECTOR('',#37327,3.425E0); +#37329=CARTESIAN_POINT('',(-1.03E1,4.815E0,-2.584E1)); +#37330=LINE('',#37329,#37328); +#37331=DIRECTION('',(0.E0,0.E0,1.E0)); +#37332=VECTOR('',#37331,3.425E0); +#37333=CARTESIAN_POINT('',(-1.03E1,5.445E0,-2.9265E1)); +#37334=LINE('',#37333,#37332); +#37335=CARTESIAN_POINT('',(-1.03E1,3.815E0,-2.9265E1)); +#37336=DIRECTION('',(1.E0,0.E0,0.E0)); +#37337=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37338=AXIS2_PLACEMENT_3D('',#37335,#37336,#37337); +#37340=DIRECTION('',(0.E0,1.E0,0.E0)); +#37341=VECTOR('',#37340,1.475519237886E1); +#37342=CARTESIAN_POINT('',(-1.03E1,-1.094019237886E1,-3.0895E1)); +#37343=LINE('',#37342,#37341); +#37344=DIRECTION('',(0.E0,0.E0,1.E0)); +#37345=VECTOR('',#37344,6.3E-1); +#37346=CARTESIAN_POINT('',(-1.03E1,-1.094019237886E1,-2.5815E1)); +#37347=LINE('',#37346,#37345); +#37348=DIRECTION('',(0.E0,-1.E0,0.E0)); +#37349=VECTOR('',#37348,7.655192378865E0); +#37350=CARTESIAN_POINT('',(-1.03E1,-3.285E0,-2.5185E1)); +#37351=LINE('',#37350,#37349); +#37352=CARTESIAN_POINT('',(-1.03E1,-3.285E0,-2.4685E1)); +#37353=DIRECTION('',(-1.E0,0.E0,0.E0)); +#37354=DIRECTION('',(0.E0,1.E0,0.E0)); +#37355=AXIS2_PLACEMENT_3D('',#37352,#37353,#37354); +#37357=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37358=VECTOR('',#37357,1.085E0); +#37359=CARTESIAN_POINT('',(-1.03E1,-2.785E0,-2.36E1)); +#37360=LINE('',#37359,#37358); +#37361=DIRECTION('',(0.E0,0.E0,1.E0)); +#37362=VECTOR('',#37361,1.085E0); +#37363=CARTESIAN_POINT('',(-1.03E1,-2.155E0,-2.4685E1)); +#37364=LINE('',#37363,#37362); +#37365=CARTESIAN_POINT('',(-1.03E1,-3.285E0,-2.4685E1)); +#37366=DIRECTION('',(1.E0,0.E0,0.E0)); +#37367=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37368=AXIS2_PLACEMENT_3D('',#37365,#37366,#37367); +#37370=DIRECTION('',(0.E0,1.E0,0.E0)); +#37371=VECTOR('',#37370,7.655192378865E0); +#37372=CARTESIAN_POINT('',(-1.03E1,-1.094019237886E1,-2.5815E1)); +#37373=LINE('',#37372,#37371); +#37374=DIRECTION('',(0.E0,0.E0,1.E0)); +#37375=VECTOR('',#37374,6.3E-1); +#37376=CARTESIAN_POINT('',(-1.045E1,-1.12E1,-3.0895E1)); +#37377=LINE('',#37376,#37375); +#37378=DIRECTION('',(0.E0,0.E0,1.E0)); +#37379=VECTOR('',#37378,6.3E-1); +#37380=CARTESIAN_POINT('',(-1.045E1,-1.12E1,-2.5815E1)); +#37381=LINE('',#37380,#37379); +#37382=DIRECTION('',(1.E0,0.E0,0.E0)); +#37383=VECTOR('',#37382,9.E-1); +#37384=CARTESIAN_POINT('',(-1.12E1,4.815E0,-2.9265E1)); +#37385=LINE('',#37384,#37383); +#37386=DIRECTION('',(1.E0,0.E0,0.E0)); +#37387=VECTOR('',#37386,9.E-1); +#37388=CARTESIAN_POINT('',(-1.12E1,5.445E0,-2.9265E1)); +#37389=LINE('',#37388,#37387); +#37390=DIRECTION('',(1.E0,0.E0,0.E0)); +#37391=VECTOR('',#37390,9.E-1); +#37392=CARTESIAN_POINT('',(-1.12E1,3.815E0,-3.0895E1)); +#37393=LINE('',#37392,#37391); +#37394=DIRECTION('',(1.E0,0.E0,0.E0)); +#37395=VECTOR('',#37394,9.E-1); +#37396=CARTESIAN_POINT('',(-1.12E1,-2.155E0,-2.4685E1)); +#37397=LINE('',#37396,#37395); +#37398=DIRECTION('',(1.E0,0.E0,0.E0)); +#37399=VECTOR('',#37398,9.E-1); +#37400=CARTESIAN_POINT('',(-1.12E1,-3.285E0,-2.5815E1)); +#37401=LINE('',#37400,#37399); +#37402=DIRECTION('',(0.E0,0.E0,1.E0)); +#37403=VECTOR('',#37402,6.3E-1); +#37404=CARTESIAN_POINT('',(-9.05E0,-1.094019237886E1,-2.8355E1)); +#37405=LINE('',#37404,#37403); +#37406=DIRECTION('',(0.E0,1.E0,0.E0)); +#37407=VECTOR('',#37406,1.475519237886E1); +#37408=CARTESIAN_POINT('',(-9.05E0,-1.094019237886E1,-2.8355E1)); +#37409=LINE('',#37408,#37407); +#37410=CARTESIAN_POINT('',(-9.05E0,3.815E0,-2.6725E1)); +#37411=DIRECTION('',(1.E0,0.E0,0.E0)); +#37412=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#37413=AXIS2_PLACEMENT_3D('',#37410,#37411,#37412); +#37415=DIRECTION('',(0.E0,0.E0,1.E0)); +#37416=VECTOR('',#37415,8.85E-1); +#37417=CARTESIAN_POINT('',(-9.05E0,5.445E0,-2.6725E1)); +#37418=LINE('',#37417,#37416); +#37419=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37420=VECTOR('',#37419,8.85E-1); +#37421=CARTESIAN_POINT('',(-9.05E0,4.815E0,-2.584E1)); +#37422=LINE('',#37421,#37420); +#37423=CARTESIAN_POINT('',(-9.05E0,3.815E0,-2.6725E1)); +#37424=DIRECTION('',(-1.E0,0.E0,0.E0)); +#37425=DIRECTION('',(0.E0,1.E0,0.E0)); +#37426=AXIS2_PLACEMENT_3D('',#37423,#37424,#37425); +#37428=DIRECTION('',(0.E0,-1.E0,0.E0)); +#37429=VECTOR('',#37428,1.475519237886E1); +#37430=CARTESIAN_POINT('',(-9.05E0,3.815E0,-2.7725E1)); +#37431=LINE('',#37430,#37429); +#37432=DIRECTION('',(0.E0,0.E0,1.E0)); +#37433=VECTOR('',#37432,6.3E-1); +#37434=CARTESIAN_POINT('',(-9.05E0,-1.094019237886E1,-2.5815E1)); +#37435=LINE('',#37434,#37433); +#37436=DIRECTION('',(0.E0,1.E0,0.E0)); +#37437=VECTOR('',#37436,7.655192378865E0); +#37438=CARTESIAN_POINT('',(-9.05E0,-1.094019237886E1,-2.5815E1)); +#37439=LINE('',#37438,#37437); +#37440=CARTESIAN_POINT('',(-9.05E0,-3.285E0,-2.4685E1)); +#37441=DIRECTION('',(1.E0,0.E0,0.E0)); +#37442=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37443=AXIS2_PLACEMENT_3D('',#37440,#37441,#37442); +#37445=DIRECTION('',(0.E0,0.E0,1.E0)); +#37446=VECTOR('',#37445,1.085E0); +#37447=CARTESIAN_POINT('',(-9.05E0,-2.155E0,-2.4685E1)); +#37448=LINE('',#37447,#37446); +#37449=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37450=VECTOR('',#37449,1.085E0); +#37451=CARTESIAN_POINT('',(-9.05E0,-2.785E0,-2.36E1)); +#37452=LINE('',#37451,#37450); +#37453=CARTESIAN_POINT('',(-9.05E0,-3.285E0,-2.4685E1)); +#37454=DIRECTION('',(-1.E0,0.E0,0.E0)); +#37455=DIRECTION('',(0.E0,1.E0,0.E0)); +#37456=AXIS2_PLACEMENT_3D('',#37453,#37454,#37455); +#37458=DIRECTION('',(0.E0,-1.E0,0.E0)); +#37459=VECTOR('',#37458,7.655192378865E0); +#37460=CARTESIAN_POINT('',(-9.05E0,-3.285E0,-2.5185E1)); +#37461=LINE('',#37460,#37459); +#37462=DIRECTION('',(0.E0,1.E0,0.E0)); +#37463=VECTOR('',#37462,3.7E0); +#37464=CARTESIAN_POINT('',(-8.3E0,-1.72E1,-2.8355E1)); +#37465=LINE('',#37464,#37463); +#37466=DIRECTION('',(0.E0,1.E0,0.E0)); +#37467=VECTOR('',#37466,3.7E0); +#37468=CARTESIAN_POINT('',(-8.9E0,-1.72E1,-2.8355E1)); +#37469=LINE('',#37468,#37467); +#37470=DIRECTION('',(1.E0,0.E0,0.E0)); +#37471=VECTOR('',#37470,6.E-1); +#37472=CARTESIAN_POINT('',(-8.9E0,-1.72E1,-2.8355E1)); +#37473=LINE('',#37472,#37471); +#37474=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#37475=VECTOR('',#37474,3.E-1); +#37476=CARTESIAN_POINT('',(-8.3E0,-1.12E1,-2.8355E1)); +#37477=LINE('',#37476,#37475); +#37478=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#37479=VECTOR('',#37478,3.E-1); +#37480=CARTESIAN_POINT('',(-9.05E0,-1.094019237886E1,-2.8355E1)); +#37481=LINE('',#37480,#37479); +#37482=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37483=VECTOR('',#37482,6.3E-1); +#37484=CARTESIAN_POINT('',(-8.3E0,-1.72E1,-2.7725E1)); +#37485=LINE('',#37484,#37483); +#37486=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37487=VECTOR('',#37486,6.3E-1); +#37488=CARTESIAN_POINT('',(-8.9E0,-1.72E1,-2.7725E1)); +#37489=LINE('',#37488,#37487); +#37490=DIRECTION('',(1.E0,0.E0,0.E0)); +#37491=VECTOR('',#37490,6.E-1); +#37492=CARTESIAN_POINT('',(-8.9E0,-1.72E1,-2.7725E1)); +#37493=LINE('',#37492,#37491); +#37494=DIRECTION('',(0.E0,0.E0,1.E0)); +#37495=VECTOR('',#37494,6.3E-1); +#37496=CARTESIAN_POINT('',(-8.9E0,-1.35E1,-2.8355E1)); +#37497=LINE('',#37496,#37495); +#37498=DIRECTION('',(0.E0,0.E0,1.E0)); +#37499=VECTOR('',#37498,6.3E-1); +#37500=CARTESIAN_POINT('',(-8.9E0,-1.35E1,-2.5815E1)); +#37501=LINE('',#37500,#37499); +#37502=DIRECTION('',(0.E0,1.E0,0.E0)); +#37503=VECTOR('',#37502,3.7E0); +#37504=CARTESIAN_POINT('',(-8.3E0,-1.72E1,-2.7725E1)); +#37505=LINE('',#37504,#37503); +#37506=DIRECTION('',(0.E0,1.E0,0.E0)); +#37507=VECTOR('',#37506,3.7E0); +#37508=CARTESIAN_POINT('',(-8.9E0,-1.72E1,-2.7725E1)); +#37509=LINE('',#37508,#37507); +#37510=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#37511=VECTOR('',#37510,3.E-1); +#37512=CARTESIAN_POINT('',(-8.3E0,-1.12E1,-2.7725E1)); +#37513=LINE('',#37512,#37511); +#37514=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#37515=VECTOR('',#37514,3.E-1); +#37516=CARTESIAN_POINT('',(-9.05E0,-1.094019237886E1,-2.7725E1)); +#37517=LINE('',#37516,#37515); +#37518=DIRECTION('',(1.E0,0.E0,0.E0)); +#37519=VECTOR('',#37518,9.E-1); +#37520=CARTESIAN_POINT('',(-9.05E0,3.815E0,-2.7725E1)); +#37521=LINE('',#37520,#37519); +#37522=DIRECTION('',(0.E0,0.E0,1.E0)); +#37523=VECTOR('',#37522,6.3E-1); +#37524=CARTESIAN_POINT('',(-8.3E0,-1.12E1,-2.8355E1)); +#37525=LINE('',#37524,#37523); +#37526=DIRECTION('',(0.E0,0.E0,1.E0)); +#37527=VECTOR('',#37526,6.3E-1); +#37528=CARTESIAN_POINT('',(-8.3E0,-1.12E1,-2.5815E1)); +#37529=LINE('',#37528,#37527); +#37530=DIRECTION('',(0.E0,0.E0,1.E0)); +#37531=VECTOR('',#37530,6.3E-1); +#37532=CARTESIAN_POINT('',(-8.15E0,-1.094019237886E1,-2.8355E1)); +#37533=LINE('',#37532,#37531); +#37534=DIRECTION('',(0.E0,-1.E0,0.E0)); +#37535=VECTOR('',#37534,1.475519237886E1); +#37536=CARTESIAN_POINT('',(-8.15E0,3.815E0,-2.7725E1)); +#37537=LINE('',#37536,#37535); +#37538=CARTESIAN_POINT('',(-8.15E0,3.815E0,-2.6725E1)); +#37539=DIRECTION('',(-1.E0,0.E0,0.E0)); +#37540=DIRECTION('',(0.E0,1.E0,0.E0)); +#37541=AXIS2_PLACEMENT_3D('',#37538,#37539,#37540); +#37543=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37544=VECTOR('',#37543,8.85E-1); +#37545=CARTESIAN_POINT('',(-8.15E0,4.815E0,-2.584E1)); +#37546=LINE('',#37545,#37544); +#37547=DIRECTION('',(0.E0,0.E0,1.E0)); +#37548=VECTOR('',#37547,8.85E-1); +#37549=CARTESIAN_POINT('',(-8.15E0,5.445E0,-2.6725E1)); +#37550=LINE('',#37549,#37548); +#37551=CARTESIAN_POINT('',(-8.15E0,3.815E0,-2.6725E1)); +#37552=DIRECTION('',(1.E0,0.E0,0.E0)); +#37553=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#37554=AXIS2_PLACEMENT_3D('',#37551,#37552,#37553); +#37556=DIRECTION('',(0.E0,1.E0,0.E0)); +#37557=VECTOR('',#37556,1.475519237886E1); +#37558=CARTESIAN_POINT('',(-8.15E0,-1.094019237886E1,-2.8355E1)); +#37559=LINE('',#37558,#37557); +#37560=DIRECTION('',(0.E0,0.E0,1.E0)); +#37561=VECTOR('',#37560,6.3E-1); +#37562=CARTESIAN_POINT('',(-8.15E0,-1.094019237886E1,-2.5815E1)); +#37563=LINE('',#37562,#37561); +#37564=DIRECTION('',(0.E0,-1.E0,0.E0)); +#37565=VECTOR('',#37564,7.655192378865E0); +#37566=CARTESIAN_POINT('',(-8.15E0,-3.285E0,-2.5185E1)); +#37567=LINE('',#37566,#37565); +#37568=CARTESIAN_POINT('',(-8.15E0,-3.285E0,-2.4685E1)); +#37569=DIRECTION('',(-1.E0,0.E0,0.E0)); +#37570=DIRECTION('',(0.E0,1.E0,0.E0)); +#37571=AXIS2_PLACEMENT_3D('',#37568,#37569,#37570); +#37573=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37574=VECTOR('',#37573,1.085E0); +#37575=CARTESIAN_POINT('',(-8.15E0,-2.785E0,-2.36E1)); +#37576=LINE('',#37575,#37574); +#37577=DIRECTION('',(0.E0,0.E0,1.E0)); +#37578=VECTOR('',#37577,1.085E0); +#37579=CARTESIAN_POINT('',(-8.15E0,-2.155E0,-2.4685E1)); +#37580=LINE('',#37579,#37578); +#37581=CARTESIAN_POINT('',(-8.15E0,-3.285E0,-2.4685E1)); +#37582=DIRECTION('',(1.E0,0.E0,0.E0)); +#37583=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37584=AXIS2_PLACEMENT_3D('',#37581,#37582,#37583); +#37586=DIRECTION('',(0.E0,1.E0,0.E0)); +#37587=VECTOR('',#37586,7.655192378865E0); +#37588=CARTESIAN_POINT('',(-8.15E0,-1.094019237886E1,-2.5815E1)); +#37589=LINE('',#37588,#37587); +#37590=DIRECTION('',(1.E0,0.E0,0.E0)); +#37591=VECTOR('',#37590,9.E-1); +#37592=CARTESIAN_POINT('',(-9.05E0,4.815E0,-2.6725E1)); +#37593=LINE('',#37592,#37591); +#37594=DIRECTION('',(1.E0,0.E0,0.E0)); +#37595=VECTOR('',#37594,9.E-1); +#37596=CARTESIAN_POINT('',(-9.05E0,5.445E0,-2.6725E1)); +#37597=LINE('',#37596,#37595); +#37598=DIRECTION('',(1.E0,0.E0,0.E0)); +#37599=VECTOR('',#37598,9.E-1); +#37600=CARTESIAN_POINT('',(-9.05E0,3.815E0,-2.8355E1)); +#37601=LINE('',#37600,#37599); +#37602=DIRECTION('',(0.E0,1.E0,0.E0)); +#37603=VECTOR('',#37602,3.7E0); +#37604=CARTESIAN_POINT('',(-8.3E0,-1.72E1,-2.5185E1)); +#37605=LINE('',#37604,#37603); +#37606=DIRECTION('',(0.E0,1.E0,0.E0)); +#37607=VECTOR('',#37606,3.7E0); +#37608=CARTESIAN_POINT('',(-8.9E0,-1.72E1,-2.5185E1)); +#37609=LINE('',#37608,#37607); +#37610=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#37611=VECTOR('',#37610,3.E-1); +#37612=CARTESIAN_POINT('',(-8.3E0,-1.12E1,-2.5185E1)); +#37613=LINE('',#37612,#37611); +#37614=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#37615=VECTOR('',#37614,3.E-1); +#37616=CARTESIAN_POINT('',(-9.05E0,-1.094019237886E1,-2.5185E1)); +#37617=LINE('',#37616,#37615); +#37618=DIRECTION('',(1.E0,0.E0,0.E0)); +#37619=VECTOR('',#37618,9.E-1); +#37620=CARTESIAN_POINT('',(-9.05E0,-3.285E0,-2.5185E1)); +#37621=LINE('',#37620,#37619); +#37622=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37623=VECTOR('',#37622,6.3E-1); +#37624=CARTESIAN_POINT('',(-8.3E0,-1.72E1,-2.5185E1)); +#37625=LINE('',#37624,#37623); +#37626=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37627=VECTOR('',#37626,6.3E-1); +#37628=CARTESIAN_POINT('',(-8.9E0,-1.72E1,-2.5185E1)); +#37629=LINE('',#37628,#37627); +#37630=DIRECTION('',(1.E0,0.E0,0.E0)); +#37631=VECTOR('',#37630,6.E-1); +#37632=CARTESIAN_POINT('',(-8.9E0,-1.72E1,-2.5185E1)); +#37633=LINE('',#37632,#37631); +#37634=DIRECTION('',(0.E0,1.E0,0.E0)); +#37635=VECTOR('',#37634,3.7E0); +#37636=CARTESIAN_POINT('',(-8.3E0,-1.72E1,-2.5815E1)); +#37637=LINE('',#37636,#37635); +#37638=DIRECTION('',(0.E0,1.E0,0.E0)); +#37639=VECTOR('',#37638,3.7E0); +#37640=CARTESIAN_POINT('',(-8.9E0,-1.72E1,-2.5815E1)); +#37641=LINE('',#37640,#37639); +#37642=DIRECTION('',(1.E0,0.E0,0.E0)); +#37643=VECTOR('',#37642,6.E-1); +#37644=CARTESIAN_POINT('',(-8.9E0,-1.72E1,-2.5815E1)); +#37645=LINE('',#37644,#37643); +#37646=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#37647=VECTOR('',#37646,3.E-1); +#37648=CARTESIAN_POINT('',(-8.3E0,-1.12E1,-2.5815E1)); +#37649=LINE('',#37648,#37647); +#37650=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#37651=VECTOR('',#37650,3.E-1); +#37652=CARTESIAN_POINT('',(-9.05E0,-1.094019237886E1,-2.5815E1)); +#37653=LINE('',#37652,#37651); +#37654=DIRECTION('',(1.E0,0.E0,0.E0)); +#37655=VECTOR('',#37654,9.E-1); +#37656=CARTESIAN_POINT('',(-9.05E0,-3.285E0,-2.5815E1)); +#37657=LINE('',#37656,#37655); +#37658=DIRECTION('',(1.E0,0.E0,0.E0)); +#37659=VECTOR('',#37658,9.E-1); +#37660=CARTESIAN_POINT('',(-9.05E0,-2.155E0,-2.4685E1)); +#37661=LINE('',#37660,#37659); +#37662=DIRECTION('',(1.E0,0.E0,0.E0)); +#37663=VECTOR('',#37662,9.E-1); +#37664=CARTESIAN_POINT('',(-9.05E0,-2.785E0,-2.4685E1)); +#37665=LINE('',#37664,#37663); +#37666=DIRECTION('',(0.E0,0.E0,1.E0)); +#37667=VECTOR('',#37666,6.3E-1); +#37668=CARTESIAN_POINT('',(-6.9E0,-1.094019237886E1,-3.0895E1)); +#37669=LINE('',#37668,#37667); +#37670=DIRECTION('',(0.E0,1.E0,0.E0)); +#37671=VECTOR('',#37670,1.475519237886E1); +#37672=CARTESIAN_POINT('',(-6.9E0,-1.094019237886E1,-3.0895E1)); +#37673=LINE('',#37672,#37671); +#37674=CARTESIAN_POINT('',(-6.9E0,3.815E0,-2.9265E1)); +#37675=DIRECTION('',(1.E0,0.E0,0.E0)); +#37676=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37677=AXIS2_PLACEMENT_3D('',#37674,#37675,#37676); +#37679=DIRECTION('',(0.E0,0.E0,1.E0)); +#37680=VECTOR('',#37679,3.425E0); +#37681=CARTESIAN_POINT('',(-6.9E0,5.445E0,-2.9265E1)); +#37682=LINE('',#37681,#37680); +#37683=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37684=VECTOR('',#37683,3.425E0); +#37685=CARTESIAN_POINT('',(-6.9E0,4.815E0,-2.584E1)); +#37686=LINE('',#37685,#37684); +#37687=CARTESIAN_POINT('',(-6.9E0,3.815E0,-2.9265E1)); +#37688=DIRECTION('',(-1.E0,0.E0,0.E0)); +#37689=DIRECTION('',(0.E0,1.E0,0.E0)); +#37690=AXIS2_PLACEMENT_3D('',#37687,#37688,#37689); +#37692=DIRECTION('',(0.E0,-1.E0,0.E0)); +#37693=VECTOR('',#37692,1.475519237886E1); +#37694=CARTESIAN_POINT('',(-6.9E0,3.815E0,-3.0265E1)); +#37695=LINE('',#37694,#37693); +#37696=DIRECTION('',(0.E0,0.E0,1.E0)); +#37697=VECTOR('',#37696,6.3E-1); +#37698=CARTESIAN_POINT('',(-6.9E0,-1.094019237886E1,-2.5815E1)); +#37699=LINE('',#37698,#37697); +#37700=DIRECTION('',(0.E0,1.E0,0.E0)); +#37701=VECTOR('',#37700,7.655192378865E0); +#37702=CARTESIAN_POINT('',(-6.9E0,-1.094019237886E1,-2.5815E1)); +#37703=LINE('',#37702,#37701); +#37704=CARTESIAN_POINT('',(-6.9E0,-3.285E0,-2.4685E1)); +#37705=DIRECTION('',(1.E0,0.E0,0.E0)); +#37706=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37707=AXIS2_PLACEMENT_3D('',#37704,#37705,#37706); +#37709=DIRECTION('',(0.E0,0.E0,1.E0)); +#37710=VECTOR('',#37709,1.085E0); +#37711=CARTESIAN_POINT('',(-6.9E0,-2.155E0,-2.4685E1)); +#37712=LINE('',#37711,#37710); +#37713=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37714=VECTOR('',#37713,1.085E0); +#37715=CARTESIAN_POINT('',(-6.9E0,-2.785E0,-2.36E1)); +#37716=LINE('',#37715,#37714); +#37717=CARTESIAN_POINT('',(-6.9E0,-3.285E0,-2.4685E1)); +#37718=DIRECTION('',(-1.E0,0.E0,0.E0)); +#37719=DIRECTION('',(0.E0,1.E0,0.E0)); +#37720=AXIS2_PLACEMENT_3D('',#37717,#37718,#37719); +#37722=DIRECTION('',(0.E0,-1.E0,0.E0)); +#37723=VECTOR('',#37722,7.655192378865E0); +#37724=CARTESIAN_POINT('',(-6.9E0,-3.285E0,-2.5185E1)); +#37725=LINE('',#37724,#37723); +#37726=DIRECTION('',(0.E0,1.E0,0.E0)); +#37727=VECTOR('',#37726,3.7E0); +#37728=CARTESIAN_POINT('',(-6.75E0,-1.72E1,-3.0895E1)); +#37729=LINE('',#37728,#37727); +#37730=DIRECTION('',(1.E0,0.E0,0.E0)); +#37731=VECTOR('',#37730,6.E-1); +#37732=CARTESIAN_POINT('',(-6.75E0,-1.72E1,-3.0895E1)); +#37733=LINE('',#37732,#37731); +#37734=DIRECTION('',(0.E0,1.E0,0.E0)); +#37735=VECTOR('',#37734,3.7E0); +#37736=CARTESIAN_POINT('',(-6.15E0,-1.72E1,-3.0895E1)); +#37737=LINE('',#37736,#37735); +#37738=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#37739=VECTOR('',#37738,3.E-1); +#37740=CARTESIAN_POINT('',(-6.9E0,-1.094019237886E1,-3.0895E1)); +#37741=LINE('',#37740,#37739); +#37742=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#37743=VECTOR('',#37742,3.E-1); +#37744=CARTESIAN_POINT('',(-6.15E0,-1.12E1,-3.0895E1)); +#37745=LINE('',#37744,#37743); +#37746=DIRECTION('',(0.E0,0.E0,1.E0)); +#37747=VECTOR('',#37746,6.3E-1); +#37748=CARTESIAN_POINT('',(-6.75E0,-1.35E1,-3.0895E1)); +#37749=LINE('',#37748,#37747); +#37750=DIRECTION('',(0.E0,0.E0,1.E0)); +#37751=VECTOR('',#37750,6.3E-1); +#37752=CARTESIAN_POINT('',(-6.75E0,-1.35E1,-2.5815E1)); +#37753=LINE('',#37752,#37751); +#37754=DIRECTION('',(0.E0,1.E0,0.E0)); +#37755=VECTOR('',#37754,3.7E0); +#37756=CARTESIAN_POINT('',(-6.75E0,-1.72E1,-3.0265E1)); +#37757=LINE('',#37756,#37755); +#37758=DIRECTION('',(0.E0,1.E0,0.E0)); +#37759=VECTOR('',#37758,3.7E0); +#37760=CARTESIAN_POINT('',(-6.15E0,-1.72E1,-3.0265E1)); +#37761=LINE('',#37760,#37759); +#37762=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#37763=VECTOR('',#37762,3.E-1); +#37764=CARTESIAN_POINT('',(-6.9E0,-1.094019237886E1,-3.0265E1)); +#37765=LINE('',#37764,#37763); +#37766=DIRECTION('',(1.E0,0.E0,0.E0)); +#37767=VECTOR('',#37766,9.E-1); +#37768=CARTESIAN_POINT('',(-6.9E0,3.815E0,-3.0265E1)); +#37769=LINE('',#37768,#37767); +#37770=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#37771=VECTOR('',#37770,3.E-1); +#37772=CARTESIAN_POINT('',(-6.15E0,-1.12E1,-3.0265E1)); +#37773=LINE('',#37772,#37771); +#37774=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37775=VECTOR('',#37774,6.3E-1); +#37776=CARTESIAN_POINT('',(-6.75E0,-1.72E1,-3.0265E1)); +#37777=LINE('',#37776,#37775); +#37778=DIRECTION('',(1.E0,0.E0,0.E0)); +#37779=VECTOR('',#37778,6.E-1); +#37780=CARTESIAN_POINT('',(-6.75E0,-1.72E1,-3.0265E1)); +#37781=LINE('',#37780,#37779); +#37782=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37783=VECTOR('',#37782,6.3E-1); +#37784=CARTESIAN_POINT('',(-6.15E0,-1.72E1,-3.0265E1)); +#37785=LINE('',#37784,#37783); +#37786=DIRECTION('',(0.E0,1.E0,0.E0)); +#37787=VECTOR('',#37786,3.7E0); +#37788=CARTESIAN_POINT('',(-6.75E0,-1.72E1,-2.5815E1)); +#37789=LINE('',#37788,#37787); +#37790=DIRECTION('',(1.E0,0.E0,0.E0)); +#37791=VECTOR('',#37790,6.E-1); +#37792=CARTESIAN_POINT('',(-6.75E0,-1.72E1,-2.5815E1)); +#37793=LINE('',#37792,#37791); +#37794=DIRECTION('',(0.E0,1.E0,0.E0)); +#37795=VECTOR('',#37794,3.7E0); +#37796=CARTESIAN_POINT('',(-6.15E0,-1.72E1,-2.5815E1)); +#37797=LINE('',#37796,#37795); +#37798=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#37799=VECTOR('',#37798,3.E-1); +#37800=CARTESIAN_POINT('',(-6.9E0,-1.094019237886E1,-2.5815E1)); +#37801=LINE('',#37800,#37799); +#37802=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#37803=VECTOR('',#37802,3.E-1); +#37804=CARTESIAN_POINT('',(-6.15E0,-1.12E1,-2.5815E1)); +#37805=LINE('',#37804,#37803); +#37806=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37807=VECTOR('',#37806,6.3E-1); +#37808=CARTESIAN_POINT('',(-6.75E0,-1.72E1,-2.5185E1)); +#37809=LINE('',#37808,#37807); +#37810=DIRECTION('',(1.E0,0.E0,0.E0)); +#37811=VECTOR('',#37810,6.E-1); +#37812=CARTESIAN_POINT('',(-6.75E0,-1.72E1,-2.5185E1)); +#37813=LINE('',#37812,#37811); +#37814=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37815=VECTOR('',#37814,6.3E-1); +#37816=CARTESIAN_POINT('',(-6.15E0,-1.72E1,-2.5185E1)); +#37817=LINE('',#37816,#37815); +#37818=DIRECTION('',(0.E0,1.E0,0.E0)); +#37819=VECTOR('',#37818,3.7E0); +#37820=CARTESIAN_POINT('',(-6.75E0,-1.72E1,-2.5185E1)); +#37821=LINE('',#37820,#37819); +#37822=DIRECTION('',(0.E0,1.E0,0.E0)); +#37823=VECTOR('',#37822,3.7E0); +#37824=CARTESIAN_POINT('',(-6.15E0,-1.72E1,-2.5185E1)); +#37825=LINE('',#37824,#37823); +#37826=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#37827=VECTOR('',#37826,3.E-1); +#37828=CARTESIAN_POINT('',(-6.9E0,-1.094019237886E1,-2.5185E1)); +#37829=LINE('',#37828,#37827); +#37830=DIRECTION('',(1.E0,0.E0,0.E0)); +#37831=VECTOR('',#37830,9.E-1); +#37832=CARTESIAN_POINT('',(-6.9E0,-3.285E0,-2.5185E1)); +#37833=LINE('',#37832,#37831); +#37834=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#37835=VECTOR('',#37834,3.E-1); +#37836=CARTESIAN_POINT('',(-6.15E0,-1.12E1,-2.5185E1)); +#37837=LINE('',#37836,#37835); +#37838=DIRECTION('',(1.E0,0.E0,0.E0)); +#37839=VECTOR('',#37838,9.E-1); +#37840=CARTESIAN_POINT('',(-6.9E0,-2.785E0,-2.4685E1)); +#37841=LINE('',#37840,#37839); +#37842=DIRECTION('',(0.E0,0.E0,1.E0)); +#37843=VECTOR('',#37842,6.3E-1); +#37844=CARTESIAN_POINT('',(-6.E0,-1.094019237886E1,-3.0895E1)); +#37845=LINE('',#37844,#37843); +#37846=DIRECTION('',(0.E0,-1.E0,0.E0)); +#37847=VECTOR('',#37846,1.475519237886E1); +#37848=CARTESIAN_POINT('',(-6.E0,3.815E0,-3.0265E1)); +#37849=LINE('',#37848,#37847); +#37850=CARTESIAN_POINT('',(-6.E0,3.815E0,-2.9265E1)); +#37851=DIRECTION('',(-1.E0,0.E0,0.E0)); +#37852=DIRECTION('',(0.E0,1.E0,0.E0)); +#37853=AXIS2_PLACEMENT_3D('',#37850,#37851,#37852); +#37855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37856=VECTOR('',#37855,3.425E0); +#37857=CARTESIAN_POINT('',(-6.E0,4.815E0,-2.584E1)); +#37858=LINE('',#37857,#37856); +#37859=DIRECTION('',(0.E0,0.E0,1.E0)); +#37860=VECTOR('',#37859,3.425E0); +#37861=CARTESIAN_POINT('',(-6.E0,5.445E0,-2.9265E1)); +#37862=LINE('',#37861,#37860); +#37863=CARTESIAN_POINT('',(-6.E0,3.815E0,-2.9265E1)); +#37864=DIRECTION('',(1.E0,0.E0,0.E0)); +#37865=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37866=AXIS2_PLACEMENT_3D('',#37863,#37864,#37865); +#37868=DIRECTION('',(0.E0,1.E0,0.E0)); +#37869=VECTOR('',#37868,1.475519237886E1); +#37870=CARTESIAN_POINT('',(-6.E0,-1.094019237886E1,-3.0895E1)); +#37871=LINE('',#37870,#37869); +#37872=DIRECTION('',(0.E0,0.E0,1.E0)); +#37873=VECTOR('',#37872,6.3E-1); +#37874=CARTESIAN_POINT('',(-6.E0,-1.094019237886E1,-2.5815E1)); +#37875=LINE('',#37874,#37873); +#37876=DIRECTION('',(0.E0,-1.E0,0.E0)); +#37877=VECTOR('',#37876,7.655192378865E0); +#37878=CARTESIAN_POINT('',(-6.E0,-3.285E0,-2.5185E1)); +#37879=LINE('',#37878,#37877); +#37880=CARTESIAN_POINT('',(-6.E0,-3.285E0,-2.4685E1)); +#37881=DIRECTION('',(-1.E0,0.E0,0.E0)); +#37882=DIRECTION('',(0.E0,1.E0,0.E0)); +#37883=AXIS2_PLACEMENT_3D('',#37880,#37881,#37882); +#37885=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37886=VECTOR('',#37885,1.085E0); +#37887=CARTESIAN_POINT('',(-6.E0,-2.785E0,-2.36E1)); +#37888=LINE('',#37887,#37886); +#37889=DIRECTION('',(0.E0,0.E0,1.E0)); +#37890=VECTOR('',#37889,1.085E0); +#37891=CARTESIAN_POINT('',(-6.E0,-2.155E0,-2.4685E1)); +#37892=LINE('',#37891,#37890); +#37893=CARTESIAN_POINT('',(-6.E0,-3.285E0,-2.4685E1)); +#37894=DIRECTION('',(1.E0,0.E0,0.E0)); +#37895=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37896=AXIS2_PLACEMENT_3D('',#37893,#37894,#37895); +#37898=DIRECTION('',(0.E0,1.E0,0.E0)); +#37899=VECTOR('',#37898,7.655192378865E0); +#37900=CARTESIAN_POINT('',(-6.E0,-1.094019237886E1,-2.5815E1)); +#37901=LINE('',#37900,#37899); +#37902=DIRECTION('',(0.E0,0.E0,1.E0)); +#37903=VECTOR('',#37902,6.3E-1); +#37904=CARTESIAN_POINT('',(-6.15E0,-1.12E1,-3.0895E1)); +#37905=LINE('',#37904,#37903); +#37906=DIRECTION('',(0.E0,0.E0,1.E0)); +#37907=VECTOR('',#37906,6.3E-1); +#37908=CARTESIAN_POINT('',(-6.15E0,-1.12E1,-2.5815E1)); +#37909=LINE('',#37908,#37907); +#37910=DIRECTION('',(1.E0,0.E0,0.E0)); +#37911=VECTOR('',#37910,9.E-1); +#37912=CARTESIAN_POINT('',(-6.9E0,4.815E0,-2.9265E1)); +#37913=LINE('',#37912,#37911); +#37914=DIRECTION('',(1.E0,0.E0,0.E0)); +#37915=VECTOR('',#37914,9.E-1); +#37916=CARTESIAN_POINT('',(-6.9E0,5.445E0,-2.9265E1)); +#37917=LINE('',#37916,#37915); +#37918=DIRECTION('',(1.E0,0.E0,0.E0)); +#37919=VECTOR('',#37918,9.E-1); +#37920=CARTESIAN_POINT('',(-6.9E0,3.815E0,-3.0895E1)); +#37921=LINE('',#37920,#37919); +#37922=DIRECTION('',(1.E0,0.E0,0.E0)); +#37923=VECTOR('',#37922,9.E-1); +#37924=CARTESIAN_POINT('',(-6.9E0,-2.155E0,-2.4685E1)); +#37925=LINE('',#37924,#37923); +#37926=DIRECTION('',(1.E0,0.E0,0.E0)); +#37927=VECTOR('',#37926,9.E-1); +#37928=CARTESIAN_POINT('',(-6.9E0,-3.285E0,-2.5815E1)); +#37929=LINE('',#37928,#37927); +#37930=DIRECTION('',(0.E0,0.E0,1.E0)); +#37931=VECTOR('',#37930,6.3E-1); +#37932=CARTESIAN_POINT('',(-4.75E0,-1.094019237886E1,-2.8355E1)); +#37933=LINE('',#37932,#37931); +#37934=DIRECTION('',(0.E0,1.E0,0.E0)); +#37935=VECTOR('',#37934,1.475519237886E1); +#37936=CARTESIAN_POINT('',(-4.75E0,-1.094019237886E1,-2.8355E1)); +#37937=LINE('',#37936,#37935); +#37938=CARTESIAN_POINT('',(-4.75E0,3.815E0,-2.6725E1)); +#37939=DIRECTION('',(1.E0,0.E0,0.E0)); +#37940=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#37941=AXIS2_PLACEMENT_3D('',#37938,#37939,#37940); +#37943=DIRECTION('',(0.E0,0.E0,1.E0)); +#37944=VECTOR('',#37943,8.85E-1); +#37945=CARTESIAN_POINT('',(-4.75E0,5.445E0,-2.6725E1)); +#37946=LINE('',#37945,#37944); +#37947=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37948=VECTOR('',#37947,8.85E-1); +#37949=CARTESIAN_POINT('',(-4.75E0,4.815E0,-2.584E1)); +#37950=LINE('',#37949,#37948); +#37951=CARTESIAN_POINT('',(-4.75E0,3.815E0,-2.6725E1)); +#37952=DIRECTION('',(-1.E0,0.E0,0.E0)); +#37953=DIRECTION('',(0.E0,1.E0,0.E0)); +#37954=AXIS2_PLACEMENT_3D('',#37951,#37952,#37953); +#37956=DIRECTION('',(0.E0,-1.E0,0.E0)); +#37957=VECTOR('',#37956,1.475519237886E1); +#37958=CARTESIAN_POINT('',(-4.75E0,3.815E0,-2.7725E1)); +#37959=LINE('',#37958,#37957); +#37960=DIRECTION('',(0.E0,0.E0,1.E0)); +#37961=VECTOR('',#37960,6.3E-1); +#37962=CARTESIAN_POINT('',(-4.75E0,-1.094019237886E1,-2.5815E1)); +#37963=LINE('',#37962,#37961); +#37964=DIRECTION('',(0.E0,1.E0,0.E0)); +#37965=VECTOR('',#37964,7.655192378865E0); +#37966=CARTESIAN_POINT('',(-4.75E0,-1.094019237886E1,-2.5815E1)); +#37967=LINE('',#37966,#37965); +#37968=CARTESIAN_POINT('',(-4.75E0,-3.285E0,-2.4685E1)); +#37969=DIRECTION('',(1.E0,0.E0,0.E0)); +#37970=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37971=AXIS2_PLACEMENT_3D('',#37968,#37969,#37970); +#37973=DIRECTION('',(0.E0,0.E0,1.E0)); +#37974=VECTOR('',#37973,1.085E0); +#37975=CARTESIAN_POINT('',(-4.75E0,-2.155E0,-2.4685E1)); +#37976=LINE('',#37975,#37974); +#37977=DIRECTION('',(0.E0,0.E0,-1.E0)); +#37978=VECTOR('',#37977,1.085E0); +#37979=CARTESIAN_POINT('',(-4.75E0,-2.785E0,-2.36E1)); +#37980=LINE('',#37979,#37978); +#37981=CARTESIAN_POINT('',(-4.75E0,-3.285E0,-2.4685E1)); +#37982=DIRECTION('',(-1.E0,0.E0,0.E0)); +#37983=DIRECTION('',(0.E0,1.E0,0.E0)); +#37984=AXIS2_PLACEMENT_3D('',#37981,#37982,#37983); +#37986=DIRECTION('',(0.E0,-1.E0,0.E0)); +#37987=VECTOR('',#37986,7.655192378865E0); +#37988=CARTESIAN_POINT('',(-4.75E0,-3.285E0,-2.5185E1)); +#37989=LINE('',#37988,#37987); +#37990=DIRECTION('',(0.E0,1.E0,0.E0)); +#37991=VECTOR('',#37990,3.7E0); +#37992=CARTESIAN_POINT('',(-4.E0,-1.72E1,-2.8355E1)); +#37993=LINE('',#37992,#37991); +#37994=DIRECTION('',(0.E0,1.E0,0.E0)); +#37995=VECTOR('',#37994,3.7E0); +#37996=CARTESIAN_POINT('',(-4.6E0,-1.72E1,-2.8355E1)); +#37997=LINE('',#37996,#37995); +#37998=DIRECTION('',(1.E0,0.E0,0.E0)); +#37999=VECTOR('',#37998,6.E-1); +#38000=CARTESIAN_POINT('',(-4.6E0,-1.72E1,-2.8355E1)); +#38001=LINE('',#38000,#37999); +#38002=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#38003=VECTOR('',#38002,3.E-1); +#38004=CARTESIAN_POINT('',(-4.E0,-1.12E1,-2.8355E1)); +#38005=LINE('',#38004,#38003); +#38006=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#38007=VECTOR('',#38006,3.E-1); +#38008=CARTESIAN_POINT('',(-4.75E0,-1.094019237886E1,-2.8355E1)); +#38009=LINE('',#38008,#38007); +#38010=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38011=VECTOR('',#38010,6.3E-1); +#38012=CARTESIAN_POINT('',(-4.E0,-1.72E1,-2.7725E1)); +#38013=LINE('',#38012,#38011); +#38014=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38015=VECTOR('',#38014,6.3E-1); +#38016=CARTESIAN_POINT('',(-4.6E0,-1.72E1,-2.7725E1)); +#38017=LINE('',#38016,#38015); +#38018=DIRECTION('',(1.E0,0.E0,0.E0)); +#38019=VECTOR('',#38018,6.E-1); +#38020=CARTESIAN_POINT('',(-4.6E0,-1.72E1,-2.7725E1)); +#38021=LINE('',#38020,#38019); +#38022=DIRECTION('',(0.E0,0.E0,1.E0)); +#38023=VECTOR('',#38022,6.3E-1); +#38024=CARTESIAN_POINT('',(-4.6E0,-1.35E1,-2.8355E1)); +#38025=LINE('',#38024,#38023); +#38026=DIRECTION('',(0.E0,0.E0,1.E0)); +#38027=VECTOR('',#38026,6.3E-1); +#38028=CARTESIAN_POINT('',(-4.6E0,-1.35E1,-2.5815E1)); +#38029=LINE('',#38028,#38027); +#38030=DIRECTION('',(0.E0,1.E0,0.E0)); +#38031=VECTOR('',#38030,3.7E0); +#38032=CARTESIAN_POINT('',(-4.E0,-1.72E1,-2.7725E1)); +#38033=LINE('',#38032,#38031); +#38034=DIRECTION('',(0.E0,1.E0,0.E0)); +#38035=VECTOR('',#38034,3.7E0); +#38036=CARTESIAN_POINT('',(-4.6E0,-1.72E1,-2.7725E1)); +#38037=LINE('',#38036,#38035); +#38038=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#38039=VECTOR('',#38038,3.E-1); +#38040=CARTESIAN_POINT('',(-4.E0,-1.12E1,-2.7725E1)); +#38041=LINE('',#38040,#38039); +#38042=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#38043=VECTOR('',#38042,3.E-1); +#38044=CARTESIAN_POINT('',(-4.75E0,-1.094019237886E1,-2.7725E1)); +#38045=LINE('',#38044,#38043); +#38046=DIRECTION('',(1.E0,0.E0,0.E0)); +#38047=VECTOR('',#38046,9.E-1); +#38048=CARTESIAN_POINT('',(-4.75E0,3.815E0,-2.7725E1)); +#38049=LINE('',#38048,#38047); +#38050=DIRECTION('',(0.E0,0.E0,1.E0)); +#38051=VECTOR('',#38050,6.3E-1); +#38052=CARTESIAN_POINT('',(-4.E0,-1.12E1,-2.8355E1)); +#38053=LINE('',#38052,#38051); +#38054=DIRECTION('',(0.E0,0.E0,1.E0)); +#38055=VECTOR('',#38054,6.3E-1); +#38056=CARTESIAN_POINT('',(-4.E0,-1.12E1,-2.5815E1)); +#38057=LINE('',#38056,#38055); +#38058=DIRECTION('',(0.E0,0.E0,1.E0)); +#38059=VECTOR('',#38058,6.3E-1); +#38060=CARTESIAN_POINT('',(-3.85E0,-1.094019237886E1,-2.8355E1)); +#38061=LINE('',#38060,#38059); +#38062=DIRECTION('',(0.E0,-1.E0,0.E0)); +#38063=VECTOR('',#38062,1.475519237886E1); +#38064=CARTESIAN_POINT('',(-3.85E0,3.815E0,-2.7725E1)); +#38065=LINE('',#38064,#38063); +#38066=CARTESIAN_POINT('',(-3.85E0,3.815E0,-2.6725E1)); +#38067=DIRECTION('',(-1.E0,0.E0,0.E0)); +#38068=DIRECTION('',(0.E0,1.E0,0.E0)); +#38069=AXIS2_PLACEMENT_3D('',#38066,#38067,#38068); +#38071=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38072=VECTOR('',#38071,8.85E-1); +#38073=CARTESIAN_POINT('',(-3.85E0,4.815E0,-2.584E1)); +#38074=LINE('',#38073,#38072); +#38075=DIRECTION('',(0.E0,0.E0,1.E0)); +#38076=VECTOR('',#38075,8.85E-1); +#38077=CARTESIAN_POINT('',(-3.85E0,5.445E0,-2.6725E1)); +#38078=LINE('',#38077,#38076); +#38079=CARTESIAN_POINT('',(-3.85E0,3.815E0,-2.6725E1)); +#38080=DIRECTION('',(1.E0,0.E0,0.E0)); +#38081=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#38082=AXIS2_PLACEMENT_3D('',#38079,#38080,#38081); +#38084=DIRECTION('',(0.E0,1.E0,0.E0)); +#38085=VECTOR('',#38084,1.475519237886E1); +#38086=CARTESIAN_POINT('',(-3.85E0,-1.094019237886E1,-2.8355E1)); +#38087=LINE('',#38086,#38085); +#38088=DIRECTION('',(0.E0,0.E0,1.E0)); +#38089=VECTOR('',#38088,6.3E-1); +#38090=CARTESIAN_POINT('',(-3.85E0,-1.094019237886E1,-2.5815E1)); +#38091=LINE('',#38090,#38089); +#38092=DIRECTION('',(0.E0,-1.E0,0.E0)); +#38093=VECTOR('',#38092,7.655192378865E0); +#38094=CARTESIAN_POINT('',(-3.85E0,-3.285E0,-2.5185E1)); +#38095=LINE('',#38094,#38093); +#38096=CARTESIAN_POINT('',(-3.85E0,-3.285E0,-2.4685E1)); +#38097=DIRECTION('',(-1.E0,0.E0,0.E0)); +#38098=DIRECTION('',(0.E0,1.E0,0.E0)); +#38099=AXIS2_PLACEMENT_3D('',#38096,#38097,#38098); +#38101=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38102=VECTOR('',#38101,1.085E0); +#38103=CARTESIAN_POINT('',(-3.85E0,-2.785E0,-2.36E1)); +#38104=LINE('',#38103,#38102); +#38105=DIRECTION('',(0.E0,0.E0,1.E0)); +#38106=VECTOR('',#38105,1.085E0); +#38107=CARTESIAN_POINT('',(-3.85E0,-2.155E0,-2.4685E1)); +#38108=LINE('',#38107,#38106); +#38109=CARTESIAN_POINT('',(-3.85E0,-3.285E0,-2.4685E1)); +#38110=DIRECTION('',(1.E0,0.E0,0.E0)); +#38111=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38112=AXIS2_PLACEMENT_3D('',#38109,#38110,#38111); +#38114=DIRECTION('',(0.E0,1.E0,0.E0)); +#38115=VECTOR('',#38114,7.655192378865E0); +#38116=CARTESIAN_POINT('',(-3.85E0,-1.094019237886E1,-2.5815E1)); +#38117=LINE('',#38116,#38115); +#38118=DIRECTION('',(1.E0,0.E0,0.E0)); +#38119=VECTOR('',#38118,9.E-1); +#38120=CARTESIAN_POINT('',(-4.75E0,4.815E0,-2.6725E1)); +#38121=LINE('',#38120,#38119); +#38122=DIRECTION('',(1.E0,0.E0,0.E0)); +#38123=VECTOR('',#38122,9.E-1); +#38124=CARTESIAN_POINT('',(-4.75E0,5.445E0,-2.6725E1)); +#38125=LINE('',#38124,#38123); +#38126=DIRECTION('',(1.E0,0.E0,0.E0)); +#38127=VECTOR('',#38126,9.E-1); +#38128=CARTESIAN_POINT('',(-4.75E0,3.815E0,-2.8355E1)); +#38129=LINE('',#38128,#38127); +#38130=DIRECTION('',(0.E0,1.E0,0.E0)); +#38131=VECTOR('',#38130,3.7E0); +#38132=CARTESIAN_POINT('',(-4.E0,-1.72E1,-2.5185E1)); +#38133=LINE('',#38132,#38131); +#38134=DIRECTION('',(0.E0,1.E0,0.E0)); +#38135=VECTOR('',#38134,3.7E0); +#38136=CARTESIAN_POINT('',(-4.6E0,-1.72E1,-2.5185E1)); +#38137=LINE('',#38136,#38135); +#38138=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#38139=VECTOR('',#38138,3.E-1); +#38140=CARTESIAN_POINT('',(-4.E0,-1.12E1,-2.5185E1)); +#38141=LINE('',#38140,#38139); +#38142=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#38143=VECTOR('',#38142,3.E-1); +#38144=CARTESIAN_POINT('',(-4.75E0,-1.094019237886E1,-2.5185E1)); +#38145=LINE('',#38144,#38143); +#38146=DIRECTION('',(1.E0,0.E0,0.E0)); +#38147=VECTOR('',#38146,9.E-1); +#38148=CARTESIAN_POINT('',(-4.75E0,-3.285E0,-2.5185E1)); +#38149=LINE('',#38148,#38147); +#38150=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38151=VECTOR('',#38150,6.3E-1); +#38152=CARTESIAN_POINT('',(-4.E0,-1.72E1,-2.5185E1)); +#38153=LINE('',#38152,#38151); +#38154=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38155=VECTOR('',#38154,6.3E-1); +#38156=CARTESIAN_POINT('',(-4.6E0,-1.72E1,-2.5185E1)); +#38157=LINE('',#38156,#38155); +#38158=DIRECTION('',(1.E0,0.E0,0.E0)); +#38159=VECTOR('',#38158,6.E-1); +#38160=CARTESIAN_POINT('',(-4.6E0,-1.72E1,-2.5185E1)); +#38161=LINE('',#38160,#38159); +#38162=DIRECTION('',(0.E0,1.E0,0.E0)); +#38163=VECTOR('',#38162,3.7E0); +#38164=CARTESIAN_POINT('',(-4.E0,-1.72E1,-2.5815E1)); +#38165=LINE('',#38164,#38163); +#38166=DIRECTION('',(0.E0,1.E0,0.E0)); +#38167=VECTOR('',#38166,3.7E0); +#38168=CARTESIAN_POINT('',(-4.6E0,-1.72E1,-2.5815E1)); +#38169=LINE('',#38168,#38167); +#38170=DIRECTION('',(1.E0,0.E0,0.E0)); +#38171=VECTOR('',#38170,6.E-1); +#38172=CARTESIAN_POINT('',(-4.6E0,-1.72E1,-2.5815E1)); +#38173=LINE('',#38172,#38171); +#38174=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#38175=VECTOR('',#38174,3.E-1); +#38176=CARTESIAN_POINT('',(-4.E0,-1.12E1,-2.5815E1)); +#38177=LINE('',#38176,#38175); +#38178=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#38179=VECTOR('',#38178,3.E-1); +#38180=CARTESIAN_POINT('',(-4.75E0,-1.094019237886E1,-2.5815E1)); +#38181=LINE('',#38180,#38179); +#38182=DIRECTION('',(1.E0,0.E0,0.E0)); +#38183=VECTOR('',#38182,9.E-1); +#38184=CARTESIAN_POINT('',(-4.75E0,-3.285E0,-2.5815E1)); +#38185=LINE('',#38184,#38183); +#38186=DIRECTION('',(1.E0,0.E0,0.E0)); +#38187=VECTOR('',#38186,9.E-1); +#38188=CARTESIAN_POINT('',(-4.75E0,-2.155E0,-2.4685E1)); +#38189=LINE('',#38188,#38187); +#38190=DIRECTION('',(1.E0,0.E0,0.E0)); +#38191=VECTOR('',#38190,9.E-1); +#38192=CARTESIAN_POINT('',(-4.75E0,-2.785E0,-2.4685E1)); +#38193=LINE('',#38192,#38191); +#38194=DIRECTION('',(0.E0,0.E0,1.E0)); +#38195=VECTOR('',#38194,6.3E-1); +#38196=CARTESIAN_POINT('',(-2.6E0,-1.094019237886E1,-3.0895E1)); +#38197=LINE('',#38196,#38195); +#38198=DIRECTION('',(0.E0,1.E0,0.E0)); +#38199=VECTOR('',#38198,1.475519237886E1); +#38200=CARTESIAN_POINT('',(-2.6E0,-1.094019237886E1,-3.0895E1)); +#38201=LINE('',#38200,#38199); +#38202=CARTESIAN_POINT('',(-2.6E0,3.815E0,-2.9265E1)); +#38203=DIRECTION('',(1.E0,0.E0,0.E0)); +#38204=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38205=AXIS2_PLACEMENT_3D('',#38202,#38203,#38204); +#38207=DIRECTION('',(0.E0,0.E0,1.E0)); +#38208=VECTOR('',#38207,3.425E0); +#38209=CARTESIAN_POINT('',(-2.6E0,5.445E0,-2.9265E1)); +#38210=LINE('',#38209,#38208); +#38211=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38212=VECTOR('',#38211,3.425E0); +#38213=CARTESIAN_POINT('',(-2.6E0,4.815E0,-2.584E1)); +#38214=LINE('',#38213,#38212); +#38215=CARTESIAN_POINT('',(-2.6E0,3.815E0,-2.9265E1)); +#38216=DIRECTION('',(-1.E0,0.E0,0.E0)); +#38217=DIRECTION('',(0.E0,1.E0,0.E0)); +#38218=AXIS2_PLACEMENT_3D('',#38215,#38216,#38217); +#38220=DIRECTION('',(0.E0,-1.E0,0.E0)); +#38221=VECTOR('',#38220,1.475519237886E1); +#38222=CARTESIAN_POINT('',(-2.6E0,3.815E0,-3.0265E1)); +#38223=LINE('',#38222,#38221); +#38224=DIRECTION('',(0.E0,0.E0,1.E0)); +#38225=VECTOR('',#38224,6.3E-1); +#38226=CARTESIAN_POINT('',(-2.6E0,-1.094019237886E1,-2.5815E1)); +#38227=LINE('',#38226,#38225); +#38228=DIRECTION('',(0.E0,1.E0,0.E0)); +#38229=VECTOR('',#38228,7.655192378865E0); +#38230=CARTESIAN_POINT('',(-2.6E0,-1.094019237886E1,-2.5815E1)); +#38231=LINE('',#38230,#38229); +#38232=CARTESIAN_POINT('',(-2.6E0,-3.285E0,-2.4685E1)); +#38233=DIRECTION('',(1.E0,0.E0,0.E0)); +#38234=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38235=AXIS2_PLACEMENT_3D('',#38232,#38233,#38234); +#38237=DIRECTION('',(0.E0,0.E0,1.E0)); +#38238=VECTOR('',#38237,1.085E0); +#38239=CARTESIAN_POINT('',(-2.6E0,-2.155E0,-2.4685E1)); +#38240=LINE('',#38239,#38238); +#38241=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38242=VECTOR('',#38241,1.085E0); +#38243=CARTESIAN_POINT('',(-2.6E0,-2.785E0,-2.36E1)); +#38244=LINE('',#38243,#38242); +#38245=CARTESIAN_POINT('',(-2.6E0,-3.285E0,-2.4685E1)); +#38246=DIRECTION('',(-1.E0,0.E0,0.E0)); +#38247=DIRECTION('',(0.E0,1.E0,0.E0)); +#38248=AXIS2_PLACEMENT_3D('',#38245,#38246,#38247); +#38250=DIRECTION('',(0.E0,-1.E0,0.E0)); +#38251=VECTOR('',#38250,7.655192378865E0); +#38252=CARTESIAN_POINT('',(-2.6E0,-3.285E0,-2.5185E1)); +#38253=LINE('',#38252,#38251); +#38254=DIRECTION('',(0.E0,1.E0,0.E0)); +#38255=VECTOR('',#38254,3.7E0); +#38256=CARTESIAN_POINT('',(-2.45E0,-1.72E1,-3.0895E1)); +#38257=LINE('',#38256,#38255); +#38258=DIRECTION('',(1.E0,0.E0,0.E0)); +#38259=VECTOR('',#38258,6.E-1); +#38260=CARTESIAN_POINT('',(-2.45E0,-1.72E1,-3.0895E1)); +#38261=LINE('',#38260,#38259); +#38262=DIRECTION('',(0.E0,1.E0,0.E0)); +#38263=VECTOR('',#38262,3.7E0); +#38264=CARTESIAN_POINT('',(-1.85E0,-1.72E1,-3.0895E1)); +#38265=LINE('',#38264,#38263); +#38266=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#38267=VECTOR('',#38266,3.E-1); +#38268=CARTESIAN_POINT('',(-2.6E0,-1.094019237886E1,-3.0895E1)); +#38269=LINE('',#38268,#38267); +#38270=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#38271=VECTOR('',#38270,3.E-1); +#38272=CARTESIAN_POINT('',(-1.85E0,-1.12E1,-3.0895E1)); +#38273=LINE('',#38272,#38271); +#38274=DIRECTION('',(0.E0,0.E0,1.E0)); +#38275=VECTOR('',#38274,6.3E-1); +#38276=CARTESIAN_POINT('',(-2.45E0,-1.35E1,-3.0895E1)); +#38277=LINE('',#38276,#38275); +#38278=DIRECTION('',(0.E0,0.E0,1.E0)); +#38279=VECTOR('',#38278,6.3E-1); +#38280=CARTESIAN_POINT('',(-2.45E0,-1.35E1,-2.5815E1)); +#38281=LINE('',#38280,#38279); +#38282=DIRECTION('',(0.E0,1.E0,0.E0)); +#38283=VECTOR('',#38282,3.7E0); +#38284=CARTESIAN_POINT('',(-2.45E0,-1.72E1,-3.0265E1)); +#38285=LINE('',#38284,#38283); +#38286=DIRECTION('',(0.E0,1.E0,0.E0)); +#38287=VECTOR('',#38286,3.7E0); +#38288=CARTESIAN_POINT('',(-1.85E0,-1.72E1,-3.0265E1)); +#38289=LINE('',#38288,#38287); +#38290=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#38291=VECTOR('',#38290,3.E-1); +#38292=CARTESIAN_POINT('',(-2.6E0,-1.094019237886E1,-3.0265E1)); +#38293=LINE('',#38292,#38291); +#38294=DIRECTION('',(1.E0,0.E0,0.E0)); +#38295=VECTOR('',#38294,9.E-1); +#38296=CARTESIAN_POINT('',(-2.6E0,3.815E0,-3.0265E1)); +#38297=LINE('',#38296,#38295); +#38298=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#38299=VECTOR('',#38298,3.E-1); +#38300=CARTESIAN_POINT('',(-1.85E0,-1.12E1,-3.0265E1)); +#38301=LINE('',#38300,#38299); +#38302=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38303=VECTOR('',#38302,6.3E-1); +#38304=CARTESIAN_POINT('',(-2.45E0,-1.72E1,-3.0265E1)); +#38305=LINE('',#38304,#38303); +#38306=DIRECTION('',(1.E0,0.E0,0.E0)); +#38307=VECTOR('',#38306,6.E-1); +#38308=CARTESIAN_POINT('',(-2.45E0,-1.72E1,-3.0265E1)); +#38309=LINE('',#38308,#38307); +#38310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38311=VECTOR('',#38310,6.3E-1); +#38312=CARTESIAN_POINT('',(-1.85E0,-1.72E1,-3.0265E1)); +#38313=LINE('',#38312,#38311); +#38314=DIRECTION('',(0.E0,1.E0,0.E0)); +#38315=VECTOR('',#38314,3.7E0); +#38316=CARTESIAN_POINT('',(-2.45E0,-1.72E1,-2.5815E1)); +#38317=LINE('',#38316,#38315); +#38318=DIRECTION('',(1.E0,0.E0,0.E0)); +#38319=VECTOR('',#38318,6.E-1); +#38320=CARTESIAN_POINT('',(-2.45E0,-1.72E1,-2.5815E1)); +#38321=LINE('',#38320,#38319); +#38322=DIRECTION('',(0.E0,1.E0,0.E0)); +#38323=VECTOR('',#38322,3.7E0); +#38324=CARTESIAN_POINT('',(-1.85E0,-1.72E1,-2.5815E1)); +#38325=LINE('',#38324,#38323); +#38326=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#38327=VECTOR('',#38326,3.E-1); +#38328=CARTESIAN_POINT('',(-2.6E0,-1.094019237886E1,-2.5815E1)); +#38329=LINE('',#38328,#38327); +#38330=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#38331=VECTOR('',#38330,3.E-1); +#38332=CARTESIAN_POINT('',(-1.85E0,-1.12E1,-2.5815E1)); +#38333=LINE('',#38332,#38331); +#38334=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38335=VECTOR('',#38334,6.3E-1); +#38336=CARTESIAN_POINT('',(-2.45E0,-1.72E1,-2.5185E1)); +#38337=LINE('',#38336,#38335); +#38338=DIRECTION('',(1.E0,0.E0,0.E0)); +#38339=VECTOR('',#38338,6.E-1); +#38340=CARTESIAN_POINT('',(-2.45E0,-1.72E1,-2.5185E1)); +#38341=LINE('',#38340,#38339); +#38342=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38343=VECTOR('',#38342,6.3E-1); +#38344=CARTESIAN_POINT('',(-1.85E0,-1.72E1,-2.5185E1)); +#38345=LINE('',#38344,#38343); +#38346=DIRECTION('',(0.E0,1.E0,0.E0)); +#38347=VECTOR('',#38346,3.7E0); +#38348=CARTESIAN_POINT('',(-2.45E0,-1.72E1,-2.5185E1)); +#38349=LINE('',#38348,#38347); +#38350=DIRECTION('',(0.E0,1.E0,0.E0)); +#38351=VECTOR('',#38350,3.7E0); +#38352=CARTESIAN_POINT('',(-1.85E0,-1.72E1,-2.5185E1)); +#38353=LINE('',#38352,#38351); +#38354=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#38355=VECTOR('',#38354,3.E-1); +#38356=CARTESIAN_POINT('',(-2.6E0,-1.094019237886E1,-2.5185E1)); +#38357=LINE('',#38356,#38355); +#38358=DIRECTION('',(1.E0,0.E0,0.E0)); +#38359=VECTOR('',#38358,9.E-1); +#38360=CARTESIAN_POINT('',(-2.6E0,-3.285E0,-2.5185E1)); +#38361=LINE('',#38360,#38359); +#38362=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#38363=VECTOR('',#38362,3.E-1); +#38364=CARTESIAN_POINT('',(-1.85E0,-1.12E1,-2.5185E1)); +#38365=LINE('',#38364,#38363); +#38366=DIRECTION('',(1.E0,0.E0,0.E0)); +#38367=VECTOR('',#38366,9.E-1); +#38368=CARTESIAN_POINT('',(-2.6E0,-2.785E0,-2.4685E1)); +#38369=LINE('',#38368,#38367); +#38370=DIRECTION('',(0.E0,0.E0,1.E0)); +#38371=VECTOR('',#38370,6.3E-1); +#38372=CARTESIAN_POINT('',(-1.7E0,-1.094019237886E1,-3.0895E1)); +#38373=LINE('',#38372,#38371); +#38374=DIRECTION('',(0.E0,-1.E0,0.E0)); +#38375=VECTOR('',#38374,1.475519237886E1); +#38376=CARTESIAN_POINT('',(-1.7E0,3.815E0,-3.0265E1)); +#38377=LINE('',#38376,#38375); +#38378=CARTESIAN_POINT('',(-1.7E0,3.815E0,-2.9265E1)); +#38379=DIRECTION('',(-1.E0,0.E0,0.E0)); +#38380=DIRECTION('',(0.E0,1.E0,0.E0)); +#38381=AXIS2_PLACEMENT_3D('',#38378,#38379,#38380); +#38383=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38384=VECTOR('',#38383,3.425E0); +#38385=CARTESIAN_POINT('',(-1.7E0,4.815E0,-2.584E1)); +#38386=LINE('',#38385,#38384); +#38387=DIRECTION('',(0.E0,0.E0,1.E0)); +#38388=VECTOR('',#38387,3.425E0); +#38389=CARTESIAN_POINT('',(-1.7E0,5.445E0,-2.9265E1)); +#38390=LINE('',#38389,#38388); +#38391=CARTESIAN_POINT('',(-1.7E0,3.815E0,-2.9265E1)); +#38392=DIRECTION('',(1.E0,0.E0,0.E0)); +#38393=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38394=AXIS2_PLACEMENT_3D('',#38391,#38392,#38393); +#38396=DIRECTION('',(0.E0,1.E0,0.E0)); +#38397=VECTOR('',#38396,1.475519237886E1); +#38398=CARTESIAN_POINT('',(-1.7E0,-1.094019237886E1,-3.0895E1)); +#38399=LINE('',#38398,#38397); +#38400=DIRECTION('',(0.E0,0.E0,1.E0)); +#38401=VECTOR('',#38400,6.3E-1); +#38402=CARTESIAN_POINT('',(-1.7E0,-1.094019237886E1,-2.5815E1)); +#38403=LINE('',#38402,#38401); +#38404=DIRECTION('',(0.E0,-1.E0,0.E0)); +#38405=VECTOR('',#38404,7.655192378865E0); +#38406=CARTESIAN_POINT('',(-1.7E0,-3.285E0,-2.5185E1)); +#38407=LINE('',#38406,#38405); +#38408=CARTESIAN_POINT('',(-1.7E0,-3.285E0,-2.4685E1)); +#38409=DIRECTION('',(-1.E0,0.E0,0.E0)); +#38410=DIRECTION('',(0.E0,1.E0,0.E0)); +#38411=AXIS2_PLACEMENT_3D('',#38408,#38409,#38410); +#38413=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38414=VECTOR('',#38413,1.085E0); +#38415=CARTESIAN_POINT('',(-1.7E0,-2.785E0,-2.36E1)); +#38416=LINE('',#38415,#38414); +#38417=DIRECTION('',(0.E0,0.E0,1.E0)); +#38418=VECTOR('',#38417,1.085E0); +#38419=CARTESIAN_POINT('',(-1.7E0,-2.155E0,-2.4685E1)); +#38420=LINE('',#38419,#38418); +#38421=CARTESIAN_POINT('',(-1.7E0,-3.285E0,-2.4685E1)); +#38422=DIRECTION('',(1.E0,0.E0,0.E0)); +#38423=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38424=AXIS2_PLACEMENT_3D('',#38421,#38422,#38423); +#38426=DIRECTION('',(0.E0,1.E0,0.E0)); +#38427=VECTOR('',#38426,7.655192378865E0); +#38428=CARTESIAN_POINT('',(-1.7E0,-1.094019237886E1,-2.5815E1)); +#38429=LINE('',#38428,#38427); +#38430=DIRECTION('',(0.E0,0.E0,1.E0)); +#38431=VECTOR('',#38430,6.3E-1); +#38432=CARTESIAN_POINT('',(-1.85E0,-1.12E1,-3.0895E1)); +#38433=LINE('',#38432,#38431); +#38434=DIRECTION('',(0.E0,0.E0,1.E0)); +#38435=VECTOR('',#38434,6.3E-1); +#38436=CARTESIAN_POINT('',(-1.85E0,-1.12E1,-2.5815E1)); +#38437=LINE('',#38436,#38435); +#38438=DIRECTION('',(1.E0,0.E0,0.E0)); +#38439=VECTOR('',#38438,9.E-1); +#38440=CARTESIAN_POINT('',(-2.6E0,4.815E0,-2.9265E1)); +#38441=LINE('',#38440,#38439); +#38442=DIRECTION('',(1.E0,0.E0,0.E0)); +#38443=VECTOR('',#38442,9.E-1); +#38444=CARTESIAN_POINT('',(-2.6E0,5.445E0,-2.9265E1)); +#38445=LINE('',#38444,#38443); +#38446=DIRECTION('',(1.E0,0.E0,0.E0)); +#38447=VECTOR('',#38446,9.E-1); +#38448=CARTESIAN_POINT('',(-2.6E0,3.815E0,-3.0895E1)); +#38449=LINE('',#38448,#38447); +#38450=DIRECTION('',(1.E0,0.E0,0.E0)); +#38451=VECTOR('',#38450,9.E-1); +#38452=CARTESIAN_POINT('',(-2.6E0,-2.155E0,-2.4685E1)); +#38453=LINE('',#38452,#38451); +#38454=DIRECTION('',(1.E0,0.E0,0.E0)); +#38455=VECTOR('',#38454,9.E-1); +#38456=CARTESIAN_POINT('',(-2.6E0,-3.285E0,-2.5815E1)); +#38457=LINE('',#38456,#38455); +#38458=DIRECTION('',(0.E0,0.E0,1.E0)); +#38459=VECTOR('',#38458,6.3E-1); +#38460=CARTESIAN_POINT('',(-4.5E-1,-1.094019237886E1,-2.8355E1)); +#38461=LINE('',#38460,#38459); +#38462=DIRECTION('',(0.E0,1.E0,0.E0)); +#38463=VECTOR('',#38462,1.475519237886E1); +#38464=CARTESIAN_POINT('',(-4.5E-1,-1.094019237886E1,-2.8355E1)); +#38465=LINE('',#38464,#38463); +#38466=CARTESIAN_POINT('',(-4.5E-1,3.815E0,-2.6725E1)); +#38467=DIRECTION('',(1.E0,0.E0,0.E0)); +#38468=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#38469=AXIS2_PLACEMENT_3D('',#38466,#38467,#38468); +#38471=DIRECTION('',(0.E0,0.E0,1.E0)); +#38472=VECTOR('',#38471,8.85E-1); +#38473=CARTESIAN_POINT('',(-4.5E-1,5.445E0,-2.6725E1)); +#38474=LINE('',#38473,#38472); +#38475=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38476=VECTOR('',#38475,8.85E-1); +#38477=CARTESIAN_POINT('',(-4.5E-1,4.815E0,-2.584E1)); +#38478=LINE('',#38477,#38476); +#38479=CARTESIAN_POINT('',(-4.5E-1,3.815E0,-2.6725E1)); +#38480=DIRECTION('',(-1.E0,0.E0,0.E0)); +#38481=DIRECTION('',(0.E0,1.E0,0.E0)); +#38482=AXIS2_PLACEMENT_3D('',#38479,#38480,#38481); +#38484=DIRECTION('',(0.E0,-1.E0,0.E0)); +#38485=VECTOR('',#38484,1.475519237886E1); +#38486=CARTESIAN_POINT('',(-4.5E-1,3.815E0,-2.7725E1)); +#38487=LINE('',#38486,#38485); +#38488=DIRECTION('',(0.E0,0.E0,1.E0)); +#38489=VECTOR('',#38488,6.3E-1); +#38490=CARTESIAN_POINT('',(-4.5E-1,-1.094019237886E1,-2.5815E1)); +#38491=LINE('',#38490,#38489); +#38492=DIRECTION('',(0.E0,1.E0,0.E0)); +#38493=VECTOR('',#38492,7.655192378865E0); +#38494=CARTESIAN_POINT('',(-4.5E-1,-1.094019237886E1,-2.5815E1)); +#38495=LINE('',#38494,#38493); +#38496=CARTESIAN_POINT('',(-4.5E-1,-3.285E0,-2.4685E1)); +#38497=DIRECTION('',(1.E0,0.E0,0.E0)); +#38498=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38499=AXIS2_PLACEMENT_3D('',#38496,#38497,#38498); +#38501=DIRECTION('',(0.E0,0.E0,1.E0)); +#38502=VECTOR('',#38501,1.085E0); +#38503=CARTESIAN_POINT('',(-4.5E-1,-2.155E0,-2.4685E1)); +#38504=LINE('',#38503,#38502); +#38505=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38506=VECTOR('',#38505,1.085E0); +#38507=CARTESIAN_POINT('',(-4.5E-1,-2.785E0,-2.36E1)); +#38508=LINE('',#38507,#38506); +#38509=CARTESIAN_POINT('',(-4.5E-1,-3.285E0,-2.4685E1)); +#38510=DIRECTION('',(-1.E0,0.E0,0.E0)); +#38511=DIRECTION('',(0.E0,1.E0,0.E0)); +#38512=AXIS2_PLACEMENT_3D('',#38509,#38510,#38511); +#38514=DIRECTION('',(0.E0,-1.E0,0.E0)); +#38515=VECTOR('',#38514,7.655192378865E0); +#38516=CARTESIAN_POINT('',(-4.5E-1,-3.285E0,-2.5185E1)); +#38517=LINE('',#38516,#38515); +#38518=DIRECTION('',(0.E0,1.E0,0.E0)); +#38519=VECTOR('',#38518,3.7E0); +#38520=CARTESIAN_POINT('',(3.E-1,-1.72E1,-2.8355E1)); +#38521=LINE('',#38520,#38519); +#38522=DIRECTION('',(0.E0,1.E0,0.E0)); +#38523=VECTOR('',#38522,3.7E0); +#38524=CARTESIAN_POINT('',(-3.E-1,-1.72E1,-2.8355E1)); +#38525=LINE('',#38524,#38523); +#38526=DIRECTION('',(1.E0,0.E0,0.E0)); +#38527=VECTOR('',#38526,6.E-1); +#38528=CARTESIAN_POINT('',(-3.E-1,-1.72E1,-2.8355E1)); +#38529=LINE('',#38528,#38527); +#38530=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#38531=VECTOR('',#38530,3.E-1); +#38532=CARTESIAN_POINT('',(3.E-1,-1.12E1,-2.8355E1)); +#38533=LINE('',#38532,#38531); +#38534=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#38535=VECTOR('',#38534,3.E-1); +#38536=CARTESIAN_POINT('',(-4.5E-1,-1.094019237886E1,-2.8355E1)); +#38537=LINE('',#38536,#38535); +#38538=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38539=VECTOR('',#38538,6.3E-1); +#38540=CARTESIAN_POINT('',(3.E-1,-1.72E1,-2.7725E1)); +#38541=LINE('',#38540,#38539); +#38542=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38543=VECTOR('',#38542,6.3E-1); +#38544=CARTESIAN_POINT('',(-3.E-1,-1.72E1,-2.7725E1)); +#38545=LINE('',#38544,#38543); +#38546=DIRECTION('',(1.E0,0.E0,0.E0)); +#38547=VECTOR('',#38546,6.E-1); +#38548=CARTESIAN_POINT('',(-3.E-1,-1.72E1,-2.7725E1)); +#38549=LINE('',#38548,#38547); +#38550=DIRECTION('',(0.E0,0.E0,1.E0)); +#38551=VECTOR('',#38550,6.3E-1); +#38552=CARTESIAN_POINT('',(-3.E-1,-1.35E1,-2.8355E1)); +#38553=LINE('',#38552,#38551); +#38554=DIRECTION('',(0.E0,0.E0,1.E0)); +#38555=VECTOR('',#38554,6.3E-1); +#38556=CARTESIAN_POINT('',(-3.E-1,-1.35E1,-2.5815E1)); +#38557=LINE('',#38556,#38555); +#38558=DIRECTION('',(0.E0,1.E0,0.E0)); +#38559=VECTOR('',#38558,3.7E0); +#38560=CARTESIAN_POINT('',(3.E-1,-1.72E1,-2.7725E1)); +#38561=LINE('',#38560,#38559); +#38562=DIRECTION('',(0.E0,1.E0,0.E0)); +#38563=VECTOR('',#38562,3.7E0); +#38564=CARTESIAN_POINT('',(-3.E-1,-1.72E1,-2.7725E1)); +#38565=LINE('',#38564,#38563); +#38566=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#38567=VECTOR('',#38566,3.E-1); +#38568=CARTESIAN_POINT('',(3.E-1,-1.12E1,-2.7725E1)); +#38569=LINE('',#38568,#38567); +#38570=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#38571=VECTOR('',#38570,3.E-1); +#38572=CARTESIAN_POINT('',(-4.5E-1,-1.094019237886E1,-2.7725E1)); +#38573=LINE('',#38572,#38571); +#38574=DIRECTION('',(1.E0,0.E0,0.E0)); +#38575=VECTOR('',#38574,9.E-1); +#38576=CARTESIAN_POINT('',(-4.5E-1,3.815E0,-2.7725E1)); +#38577=LINE('',#38576,#38575); +#38578=DIRECTION('',(0.E0,0.E0,1.E0)); +#38579=VECTOR('',#38578,6.3E-1); +#38580=CARTESIAN_POINT('',(3.E-1,-1.12E1,-2.8355E1)); +#38581=LINE('',#38580,#38579); +#38582=DIRECTION('',(0.E0,0.E0,1.E0)); +#38583=VECTOR('',#38582,6.3E-1); +#38584=CARTESIAN_POINT('',(3.E-1,-1.12E1,-2.5815E1)); +#38585=LINE('',#38584,#38583); +#38586=DIRECTION('',(0.E0,0.E0,1.E0)); +#38587=VECTOR('',#38586,6.3E-1); +#38588=CARTESIAN_POINT('',(4.5E-1,-1.094019237886E1,-2.8355E1)); +#38589=LINE('',#38588,#38587); +#38590=DIRECTION('',(0.E0,-1.E0,0.E0)); +#38591=VECTOR('',#38590,1.475519237886E1); +#38592=CARTESIAN_POINT('',(4.5E-1,3.815E0,-2.7725E1)); +#38593=LINE('',#38592,#38591); +#38594=CARTESIAN_POINT('',(4.5E-1,3.815E0,-2.6725E1)); +#38595=DIRECTION('',(-1.E0,0.E0,0.E0)); +#38596=DIRECTION('',(0.E0,1.E0,0.E0)); +#38597=AXIS2_PLACEMENT_3D('',#38594,#38595,#38596); +#38599=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38600=VECTOR('',#38599,8.85E-1); +#38601=CARTESIAN_POINT('',(4.5E-1,4.815E0,-2.584E1)); +#38602=LINE('',#38601,#38600); +#38603=DIRECTION('',(0.E0,0.E0,1.E0)); +#38604=VECTOR('',#38603,8.85E-1); +#38605=CARTESIAN_POINT('',(4.5E-1,5.445E0,-2.6725E1)); +#38606=LINE('',#38605,#38604); +#38607=CARTESIAN_POINT('',(4.5E-1,3.815E0,-2.6725E1)); +#38608=DIRECTION('',(1.E0,0.E0,0.E0)); +#38609=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#38610=AXIS2_PLACEMENT_3D('',#38607,#38608,#38609); +#38612=DIRECTION('',(0.E0,1.E0,0.E0)); +#38613=VECTOR('',#38612,1.475519237886E1); +#38614=CARTESIAN_POINT('',(4.5E-1,-1.094019237886E1,-2.8355E1)); +#38615=LINE('',#38614,#38613); +#38616=DIRECTION('',(0.E0,0.E0,1.E0)); +#38617=VECTOR('',#38616,6.3E-1); +#38618=CARTESIAN_POINT('',(4.5E-1,-1.094019237886E1,-2.5815E1)); +#38619=LINE('',#38618,#38617); +#38620=DIRECTION('',(0.E0,-1.E0,0.E0)); +#38621=VECTOR('',#38620,7.655192378865E0); +#38622=CARTESIAN_POINT('',(4.5E-1,-3.285E0,-2.5185E1)); +#38623=LINE('',#38622,#38621); +#38624=CARTESIAN_POINT('',(4.5E-1,-3.285E0,-2.4685E1)); +#38625=DIRECTION('',(-1.E0,0.E0,0.E0)); +#38626=DIRECTION('',(0.E0,1.E0,0.E0)); +#38627=AXIS2_PLACEMENT_3D('',#38624,#38625,#38626); +#38629=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38630=VECTOR('',#38629,1.085E0); +#38631=CARTESIAN_POINT('',(4.5E-1,-2.785E0,-2.36E1)); +#38632=LINE('',#38631,#38630); +#38633=DIRECTION('',(0.E0,0.E0,1.E0)); +#38634=VECTOR('',#38633,1.085E0); +#38635=CARTESIAN_POINT('',(4.5E-1,-2.155E0,-2.4685E1)); +#38636=LINE('',#38635,#38634); +#38637=CARTESIAN_POINT('',(4.5E-1,-3.285E0,-2.4685E1)); +#38638=DIRECTION('',(1.E0,0.E0,0.E0)); +#38639=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38640=AXIS2_PLACEMENT_3D('',#38637,#38638,#38639); +#38642=DIRECTION('',(0.E0,1.E0,0.E0)); +#38643=VECTOR('',#38642,7.655192378865E0); +#38644=CARTESIAN_POINT('',(4.5E-1,-1.094019237886E1,-2.5815E1)); +#38645=LINE('',#38644,#38643); +#38646=DIRECTION('',(1.E0,0.E0,0.E0)); +#38647=VECTOR('',#38646,9.E-1); +#38648=CARTESIAN_POINT('',(-4.5E-1,4.815E0,-2.6725E1)); +#38649=LINE('',#38648,#38647); +#38650=DIRECTION('',(1.E0,0.E0,0.E0)); +#38651=VECTOR('',#38650,9.E-1); +#38652=CARTESIAN_POINT('',(-4.5E-1,5.445E0,-2.6725E1)); +#38653=LINE('',#38652,#38651); +#38654=DIRECTION('',(1.E0,0.E0,0.E0)); +#38655=VECTOR('',#38654,9.E-1); +#38656=CARTESIAN_POINT('',(-4.5E-1,3.815E0,-2.8355E1)); +#38657=LINE('',#38656,#38655); +#38658=DIRECTION('',(0.E0,1.E0,0.E0)); +#38659=VECTOR('',#38658,3.7E0); +#38660=CARTESIAN_POINT('',(3.E-1,-1.72E1,-2.5185E1)); +#38661=LINE('',#38660,#38659); +#38662=DIRECTION('',(0.E0,1.E0,0.E0)); +#38663=VECTOR('',#38662,3.7E0); +#38664=CARTESIAN_POINT('',(-3.E-1,-1.72E1,-2.5185E1)); +#38665=LINE('',#38664,#38663); +#38666=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#38667=VECTOR('',#38666,3.E-1); +#38668=CARTESIAN_POINT('',(3.E-1,-1.12E1,-2.5185E1)); +#38669=LINE('',#38668,#38667); +#38670=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#38671=VECTOR('',#38670,3.E-1); +#38672=CARTESIAN_POINT('',(-4.5E-1,-1.094019237886E1,-2.5185E1)); +#38673=LINE('',#38672,#38671); +#38674=DIRECTION('',(1.E0,0.E0,0.E0)); +#38675=VECTOR('',#38674,9.E-1); +#38676=CARTESIAN_POINT('',(-4.5E-1,-3.285E0,-2.5185E1)); +#38677=LINE('',#38676,#38675); +#38678=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38679=VECTOR('',#38678,6.3E-1); +#38680=CARTESIAN_POINT('',(3.E-1,-1.72E1,-2.5185E1)); +#38681=LINE('',#38680,#38679); +#38682=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38683=VECTOR('',#38682,6.3E-1); +#38684=CARTESIAN_POINT('',(-3.E-1,-1.72E1,-2.5185E1)); +#38685=LINE('',#38684,#38683); +#38686=DIRECTION('',(1.E0,0.E0,0.E0)); +#38687=VECTOR('',#38686,6.E-1); +#38688=CARTESIAN_POINT('',(-3.E-1,-1.72E1,-2.5185E1)); +#38689=LINE('',#38688,#38687); +#38690=DIRECTION('',(0.E0,1.E0,0.E0)); +#38691=VECTOR('',#38690,3.7E0); +#38692=CARTESIAN_POINT('',(3.E-1,-1.72E1,-2.5815E1)); +#38693=LINE('',#38692,#38691); +#38694=DIRECTION('',(0.E0,1.E0,0.E0)); +#38695=VECTOR('',#38694,3.7E0); +#38696=CARTESIAN_POINT('',(-3.E-1,-1.72E1,-2.5815E1)); +#38697=LINE('',#38696,#38695); +#38698=DIRECTION('',(1.E0,0.E0,0.E0)); +#38699=VECTOR('',#38698,6.E-1); +#38700=CARTESIAN_POINT('',(-3.E-1,-1.72E1,-2.5815E1)); +#38701=LINE('',#38700,#38699); +#38702=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#38703=VECTOR('',#38702,3.E-1); +#38704=CARTESIAN_POINT('',(3.E-1,-1.12E1,-2.5815E1)); +#38705=LINE('',#38704,#38703); +#38706=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#38707=VECTOR('',#38706,3.E-1); +#38708=CARTESIAN_POINT('',(-4.5E-1,-1.094019237886E1,-2.5815E1)); +#38709=LINE('',#38708,#38707); +#38710=DIRECTION('',(1.E0,0.E0,0.E0)); +#38711=VECTOR('',#38710,9.E-1); +#38712=CARTESIAN_POINT('',(-4.5E-1,-3.285E0,-2.5815E1)); +#38713=LINE('',#38712,#38711); +#38714=DIRECTION('',(1.E0,0.E0,0.E0)); +#38715=VECTOR('',#38714,9.E-1); +#38716=CARTESIAN_POINT('',(-4.5E-1,-2.155E0,-2.4685E1)); +#38717=LINE('',#38716,#38715); +#38718=DIRECTION('',(1.E0,0.E0,0.E0)); +#38719=VECTOR('',#38718,9.E-1); +#38720=CARTESIAN_POINT('',(-4.5E-1,-2.785E0,-2.4685E1)); +#38721=LINE('',#38720,#38719); +#38722=DIRECTION('',(0.E0,0.E0,1.E0)); +#38723=VECTOR('',#38722,6.3E-1); +#38724=CARTESIAN_POINT('',(1.7E0,-1.094019237886E1,-3.0895E1)); +#38725=LINE('',#38724,#38723); +#38726=DIRECTION('',(0.E0,1.E0,0.E0)); +#38727=VECTOR('',#38726,1.475519237886E1); +#38728=CARTESIAN_POINT('',(1.7E0,-1.094019237886E1,-3.0895E1)); +#38729=LINE('',#38728,#38727); +#38730=CARTESIAN_POINT('',(1.7E0,3.815E0,-2.9265E1)); +#38731=DIRECTION('',(1.E0,0.E0,0.E0)); +#38732=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38733=AXIS2_PLACEMENT_3D('',#38730,#38731,#38732); +#38735=DIRECTION('',(0.E0,0.E0,1.E0)); +#38736=VECTOR('',#38735,3.425E0); +#38737=CARTESIAN_POINT('',(1.7E0,5.445E0,-2.9265E1)); +#38738=LINE('',#38737,#38736); +#38739=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38740=VECTOR('',#38739,3.425E0); +#38741=CARTESIAN_POINT('',(1.7E0,4.815E0,-2.584E1)); +#38742=LINE('',#38741,#38740); +#38743=CARTESIAN_POINT('',(1.7E0,3.815E0,-2.9265E1)); +#38744=DIRECTION('',(-1.E0,0.E0,0.E0)); +#38745=DIRECTION('',(0.E0,1.E0,0.E0)); +#38746=AXIS2_PLACEMENT_3D('',#38743,#38744,#38745); +#38748=DIRECTION('',(0.E0,-1.E0,0.E0)); +#38749=VECTOR('',#38748,1.475519237886E1); +#38750=CARTESIAN_POINT('',(1.7E0,3.815E0,-3.0265E1)); +#38751=LINE('',#38750,#38749); +#38752=DIRECTION('',(0.E0,0.E0,1.E0)); +#38753=VECTOR('',#38752,6.3E-1); +#38754=CARTESIAN_POINT('',(1.7E0,-1.094019237886E1,-2.5815E1)); +#38755=LINE('',#38754,#38753); +#38756=DIRECTION('',(0.E0,1.E0,0.E0)); +#38757=VECTOR('',#38756,7.655192378865E0); +#38758=CARTESIAN_POINT('',(1.7E0,-1.094019237886E1,-2.5815E1)); +#38759=LINE('',#38758,#38757); +#38760=CARTESIAN_POINT('',(1.7E0,-3.285E0,-2.4685E1)); +#38761=DIRECTION('',(1.E0,0.E0,0.E0)); +#38762=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38763=AXIS2_PLACEMENT_3D('',#38760,#38761,#38762); +#38765=DIRECTION('',(0.E0,0.E0,1.E0)); +#38766=VECTOR('',#38765,1.085E0); +#38767=CARTESIAN_POINT('',(1.7E0,-2.155E0,-2.4685E1)); +#38768=LINE('',#38767,#38766); +#38769=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38770=VECTOR('',#38769,1.085E0); +#38771=CARTESIAN_POINT('',(1.7E0,-2.785E0,-2.36E1)); +#38772=LINE('',#38771,#38770); +#38773=CARTESIAN_POINT('',(1.7E0,-3.285E0,-2.4685E1)); +#38774=DIRECTION('',(-1.E0,0.E0,0.E0)); +#38775=DIRECTION('',(0.E0,1.E0,0.E0)); +#38776=AXIS2_PLACEMENT_3D('',#38773,#38774,#38775); +#38778=DIRECTION('',(0.E0,-1.E0,0.E0)); +#38779=VECTOR('',#38778,7.655192378865E0); +#38780=CARTESIAN_POINT('',(1.7E0,-3.285E0,-2.5185E1)); +#38781=LINE('',#38780,#38779); +#38782=DIRECTION('',(0.E0,1.E0,0.E0)); +#38783=VECTOR('',#38782,3.7E0); +#38784=CARTESIAN_POINT('',(1.85E0,-1.72E1,-3.0895E1)); +#38785=LINE('',#38784,#38783); +#38786=DIRECTION('',(1.E0,0.E0,0.E0)); +#38787=VECTOR('',#38786,6.E-1); +#38788=CARTESIAN_POINT('',(1.85E0,-1.72E1,-3.0895E1)); +#38789=LINE('',#38788,#38787); +#38790=DIRECTION('',(0.E0,1.E0,0.E0)); +#38791=VECTOR('',#38790,3.7E0); +#38792=CARTESIAN_POINT('',(2.45E0,-1.72E1,-3.0895E1)); +#38793=LINE('',#38792,#38791); +#38794=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#38795=VECTOR('',#38794,3.E-1); +#38796=CARTESIAN_POINT('',(1.7E0,-1.094019237886E1,-3.0895E1)); +#38797=LINE('',#38796,#38795); +#38798=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#38799=VECTOR('',#38798,3.E-1); +#38800=CARTESIAN_POINT('',(2.45E0,-1.12E1,-3.0895E1)); +#38801=LINE('',#38800,#38799); +#38802=DIRECTION('',(0.E0,0.E0,1.E0)); +#38803=VECTOR('',#38802,6.3E-1); +#38804=CARTESIAN_POINT('',(1.85E0,-1.35E1,-3.0895E1)); +#38805=LINE('',#38804,#38803); +#38806=DIRECTION('',(0.E0,0.E0,1.E0)); +#38807=VECTOR('',#38806,6.3E-1); +#38808=CARTESIAN_POINT('',(1.85E0,-1.35E1,-2.5815E1)); +#38809=LINE('',#38808,#38807); +#38810=DIRECTION('',(0.E0,1.E0,0.E0)); +#38811=VECTOR('',#38810,3.7E0); +#38812=CARTESIAN_POINT('',(1.85E0,-1.72E1,-3.0265E1)); +#38813=LINE('',#38812,#38811); +#38814=DIRECTION('',(0.E0,1.E0,0.E0)); +#38815=VECTOR('',#38814,3.7E0); +#38816=CARTESIAN_POINT('',(2.45E0,-1.72E1,-3.0265E1)); +#38817=LINE('',#38816,#38815); +#38818=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#38819=VECTOR('',#38818,3.E-1); +#38820=CARTESIAN_POINT('',(1.7E0,-1.094019237886E1,-3.0265E1)); +#38821=LINE('',#38820,#38819); +#38822=DIRECTION('',(1.E0,0.E0,0.E0)); +#38823=VECTOR('',#38822,9.E-1); +#38824=CARTESIAN_POINT('',(1.7E0,3.815E0,-3.0265E1)); +#38825=LINE('',#38824,#38823); +#38826=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#38827=VECTOR('',#38826,3.E-1); +#38828=CARTESIAN_POINT('',(2.45E0,-1.12E1,-3.0265E1)); +#38829=LINE('',#38828,#38827); +#38830=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38831=VECTOR('',#38830,6.3E-1); +#38832=CARTESIAN_POINT('',(1.85E0,-1.72E1,-3.0265E1)); +#38833=LINE('',#38832,#38831); +#38834=DIRECTION('',(1.E0,0.E0,0.E0)); +#38835=VECTOR('',#38834,6.E-1); +#38836=CARTESIAN_POINT('',(1.85E0,-1.72E1,-3.0265E1)); +#38837=LINE('',#38836,#38835); +#38838=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38839=VECTOR('',#38838,6.3E-1); +#38840=CARTESIAN_POINT('',(2.45E0,-1.72E1,-3.0265E1)); +#38841=LINE('',#38840,#38839); +#38842=DIRECTION('',(0.E0,1.E0,0.E0)); +#38843=VECTOR('',#38842,3.7E0); +#38844=CARTESIAN_POINT('',(1.85E0,-1.72E1,-2.5815E1)); +#38845=LINE('',#38844,#38843); +#38846=DIRECTION('',(1.E0,0.E0,0.E0)); +#38847=VECTOR('',#38846,6.E-1); +#38848=CARTESIAN_POINT('',(1.85E0,-1.72E1,-2.5815E1)); +#38849=LINE('',#38848,#38847); +#38850=DIRECTION('',(0.E0,1.E0,0.E0)); +#38851=VECTOR('',#38850,3.7E0); +#38852=CARTESIAN_POINT('',(2.45E0,-1.72E1,-2.5815E1)); +#38853=LINE('',#38852,#38851); +#38854=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#38855=VECTOR('',#38854,3.E-1); +#38856=CARTESIAN_POINT('',(1.7E0,-1.094019237886E1,-2.5815E1)); +#38857=LINE('',#38856,#38855); +#38858=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#38859=VECTOR('',#38858,3.E-1); +#38860=CARTESIAN_POINT('',(2.45E0,-1.12E1,-2.5815E1)); +#38861=LINE('',#38860,#38859); +#38862=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38863=VECTOR('',#38862,6.3E-1); +#38864=CARTESIAN_POINT('',(1.85E0,-1.72E1,-2.5185E1)); +#38865=LINE('',#38864,#38863); +#38866=DIRECTION('',(1.E0,0.E0,0.E0)); +#38867=VECTOR('',#38866,6.E-1); +#38868=CARTESIAN_POINT('',(1.85E0,-1.72E1,-2.5185E1)); +#38869=LINE('',#38868,#38867); +#38870=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38871=VECTOR('',#38870,6.3E-1); +#38872=CARTESIAN_POINT('',(2.45E0,-1.72E1,-2.5185E1)); +#38873=LINE('',#38872,#38871); +#38874=DIRECTION('',(0.E0,1.E0,0.E0)); +#38875=VECTOR('',#38874,3.7E0); +#38876=CARTESIAN_POINT('',(1.85E0,-1.72E1,-2.5185E1)); +#38877=LINE('',#38876,#38875); +#38878=DIRECTION('',(0.E0,1.E0,0.E0)); +#38879=VECTOR('',#38878,3.7E0); +#38880=CARTESIAN_POINT('',(2.45E0,-1.72E1,-2.5185E1)); +#38881=LINE('',#38880,#38879); +#38882=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#38883=VECTOR('',#38882,3.E-1); +#38884=CARTESIAN_POINT('',(1.7E0,-1.094019237886E1,-2.5185E1)); +#38885=LINE('',#38884,#38883); +#38886=DIRECTION('',(1.E0,0.E0,0.E0)); +#38887=VECTOR('',#38886,9.E-1); +#38888=CARTESIAN_POINT('',(1.7E0,-3.285E0,-2.5185E1)); +#38889=LINE('',#38888,#38887); +#38890=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#38891=VECTOR('',#38890,3.E-1); +#38892=CARTESIAN_POINT('',(2.45E0,-1.12E1,-2.5185E1)); +#38893=LINE('',#38892,#38891); +#38894=DIRECTION('',(1.E0,0.E0,0.E0)); +#38895=VECTOR('',#38894,9.E-1); +#38896=CARTESIAN_POINT('',(1.7E0,-2.785E0,-2.4685E1)); +#38897=LINE('',#38896,#38895); +#38898=DIRECTION('',(0.E0,0.E0,1.E0)); +#38899=VECTOR('',#38898,6.3E-1); +#38900=CARTESIAN_POINT('',(2.6E0,-1.094019237886E1,-3.0895E1)); +#38901=LINE('',#38900,#38899); +#38902=DIRECTION('',(0.E0,-1.E0,0.E0)); +#38903=VECTOR('',#38902,1.475519237886E1); +#38904=CARTESIAN_POINT('',(2.6E0,3.815E0,-3.0265E1)); +#38905=LINE('',#38904,#38903); +#38906=CARTESIAN_POINT('',(2.6E0,3.815E0,-2.9265E1)); +#38907=DIRECTION('',(-1.E0,0.E0,0.E0)); +#38908=DIRECTION('',(0.E0,1.E0,0.E0)); +#38909=AXIS2_PLACEMENT_3D('',#38906,#38907,#38908); +#38911=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38912=VECTOR('',#38911,3.425E0); +#38913=CARTESIAN_POINT('',(2.6E0,4.815E0,-2.584E1)); +#38914=LINE('',#38913,#38912); +#38915=DIRECTION('',(0.E0,0.E0,1.E0)); +#38916=VECTOR('',#38915,3.425E0); +#38917=CARTESIAN_POINT('',(2.6E0,5.445E0,-2.9265E1)); +#38918=LINE('',#38917,#38916); +#38919=CARTESIAN_POINT('',(2.6E0,3.815E0,-2.9265E1)); +#38920=DIRECTION('',(1.E0,0.E0,0.E0)); +#38921=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38922=AXIS2_PLACEMENT_3D('',#38919,#38920,#38921); +#38924=DIRECTION('',(0.E0,1.E0,0.E0)); +#38925=VECTOR('',#38924,1.475519237886E1); +#38926=CARTESIAN_POINT('',(2.6E0,-1.094019237886E1,-3.0895E1)); +#38927=LINE('',#38926,#38925); +#38928=DIRECTION('',(0.E0,0.E0,1.E0)); +#38929=VECTOR('',#38928,6.3E-1); +#38930=CARTESIAN_POINT('',(2.6E0,-1.094019237886E1,-2.5815E1)); +#38931=LINE('',#38930,#38929); +#38932=DIRECTION('',(0.E0,-1.E0,0.E0)); +#38933=VECTOR('',#38932,7.655192378865E0); +#38934=CARTESIAN_POINT('',(2.6E0,-3.285E0,-2.5185E1)); +#38935=LINE('',#38934,#38933); +#38936=CARTESIAN_POINT('',(2.6E0,-3.285E0,-2.4685E1)); +#38937=DIRECTION('',(-1.E0,0.E0,0.E0)); +#38938=DIRECTION('',(0.E0,1.E0,0.E0)); +#38939=AXIS2_PLACEMENT_3D('',#38936,#38937,#38938); +#38941=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38942=VECTOR('',#38941,1.085E0); +#38943=CARTESIAN_POINT('',(2.6E0,-2.785E0,-2.36E1)); +#38944=LINE('',#38943,#38942); +#38945=DIRECTION('',(0.E0,0.E0,1.E0)); +#38946=VECTOR('',#38945,1.085E0); +#38947=CARTESIAN_POINT('',(2.6E0,-2.155E0,-2.4685E1)); +#38948=LINE('',#38947,#38946); +#38949=CARTESIAN_POINT('',(2.6E0,-3.285E0,-2.4685E1)); +#38950=DIRECTION('',(1.E0,0.E0,0.E0)); +#38951=DIRECTION('',(0.E0,0.E0,-1.E0)); +#38952=AXIS2_PLACEMENT_3D('',#38949,#38950,#38951); +#38954=DIRECTION('',(0.E0,1.E0,0.E0)); +#38955=VECTOR('',#38954,7.655192378865E0); +#38956=CARTESIAN_POINT('',(2.6E0,-1.094019237886E1,-2.5815E1)); +#38957=LINE('',#38956,#38955); +#38958=DIRECTION('',(0.E0,0.E0,1.E0)); +#38959=VECTOR('',#38958,6.3E-1); +#38960=CARTESIAN_POINT('',(2.45E0,-1.12E1,-3.0895E1)); +#38961=LINE('',#38960,#38959); +#38962=DIRECTION('',(0.E0,0.E0,1.E0)); +#38963=VECTOR('',#38962,6.3E-1); +#38964=CARTESIAN_POINT('',(2.45E0,-1.12E1,-2.5815E1)); +#38965=LINE('',#38964,#38963); +#38966=DIRECTION('',(1.E0,0.E0,0.E0)); +#38967=VECTOR('',#38966,9.E-1); +#38968=CARTESIAN_POINT('',(1.7E0,4.815E0,-2.9265E1)); +#38969=LINE('',#38968,#38967); +#38970=DIRECTION('',(1.E0,0.E0,0.E0)); +#38971=VECTOR('',#38970,9.E-1); +#38972=CARTESIAN_POINT('',(1.7E0,5.445E0,-2.9265E1)); +#38973=LINE('',#38972,#38971); +#38974=DIRECTION('',(1.E0,0.E0,0.E0)); +#38975=VECTOR('',#38974,9.E-1); +#38976=CARTESIAN_POINT('',(1.7E0,3.815E0,-3.0895E1)); +#38977=LINE('',#38976,#38975); +#38978=DIRECTION('',(1.E0,0.E0,0.E0)); +#38979=VECTOR('',#38978,9.E-1); +#38980=CARTESIAN_POINT('',(1.7E0,-2.155E0,-2.4685E1)); +#38981=LINE('',#38980,#38979); +#38982=DIRECTION('',(1.E0,0.E0,0.E0)); +#38983=VECTOR('',#38982,9.E-1); +#38984=CARTESIAN_POINT('',(1.7E0,-3.285E0,-2.5815E1)); +#38985=LINE('',#38984,#38983); +#38986=DIRECTION('',(0.E0,0.E0,1.E0)); +#38987=VECTOR('',#38986,6.3E-1); +#38988=CARTESIAN_POINT('',(3.85E0,-1.094019237886E1,-2.8355E1)); +#38989=LINE('',#38988,#38987); +#38990=DIRECTION('',(0.E0,1.E0,0.E0)); +#38991=VECTOR('',#38990,1.475519237886E1); +#38992=CARTESIAN_POINT('',(3.85E0,-1.094019237886E1,-2.8355E1)); +#38993=LINE('',#38992,#38991); +#38994=CARTESIAN_POINT('',(3.85E0,3.815E0,-2.6725E1)); +#38995=DIRECTION('',(1.E0,0.E0,0.E0)); +#38996=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#38997=AXIS2_PLACEMENT_3D('',#38994,#38995,#38996); +#38999=DIRECTION('',(0.E0,0.E0,1.E0)); +#39000=VECTOR('',#38999,8.85E-1); +#39001=CARTESIAN_POINT('',(3.85E0,5.445E0,-2.6725E1)); +#39002=LINE('',#39001,#39000); +#39003=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39004=VECTOR('',#39003,8.85E-1); +#39005=CARTESIAN_POINT('',(3.85E0,4.815E0,-2.584E1)); +#39006=LINE('',#39005,#39004); +#39007=CARTESIAN_POINT('',(3.85E0,3.815E0,-2.6725E1)); +#39008=DIRECTION('',(-1.E0,0.E0,0.E0)); +#39009=DIRECTION('',(0.E0,1.E0,0.E0)); +#39010=AXIS2_PLACEMENT_3D('',#39007,#39008,#39009); +#39012=DIRECTION('',(0.E0,-1.E0,0.E0)); +#39013=VECTOR('',#39012,1.475519237886E1); +#39014=CARTESIAN_POINT('',(3.85E0,3.815E0,-2.7725E1)); +#39015=LINE('',#39014,#39013); +#39016=DIRECTION('',(0.E0,0.E0,1.E0)); +#39017=VECTOR('',#39016,6.3E-1); +#39018=CARTESIAN_POINT('',(3.85E0,-1.094019237886E1,-2.5815E1)); +#39019=LINE('',#39018,#39017); +#39020=DIRECTION('',(0.E0,1.E0,0.E0)); +#39021=VECTOR('',#39020,7.655192378865E0); +#39022=CARTESIAN_POINT('',(3.85E0,-1.094019237886E1,-2.5815E1)); +#39023=LINE('',#39022,#39021); +#39024=CARTESIAN_POINT('',(3.85E0,-3.285E0,-2.4685E1)); +#39025=DIRECTION('',(1.E0,0.E0,0.E0)); +#39026=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39027=AXIS2_PLACEMENT_3D('',#39024,#39025,#39026); +#39029=DIRECTION('',(0.E0,0.E0,1.E0)); +#39030=VECTOR('',#39029,1.085E0); +#39031=CARTESIAN_POINT('',(3.85E0,-2.155E0,-2.4685E1)); +#39032=LINE('',#39031,#39030); +#39033=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39034=VECTOR('',#39033,1.085E0); +#39035=CARTESIAN_POINT('',(3.85E0,-2.785E0,-2.36E1)); +#39036=LINE('',#39035,#39034); +#39037=CARTESIAN_POINT('',(3.85E0,-3.285E0,-2.4685E1)); +#39038=DIRECTION('',(-1.E0,0.E0,0.E0)); +#39039=DIRECTION('',(0.E0,1.E0,0.E0)); +#39040=AXIS2_PLACEMENT_3D('',#39037,#39038,#39039); +#39042=DIRECTION('',(0.E0,-1.E0,0.E0)); +#39043=VECTOR('',#39042,7.655192378865E0); +#39044=CARTESIAN_POINT('',(3.85E0,-3.285E0,-2.5185E1)); +#39045=LINE('',#39044,#39043); +#39046=DIRECTION('',(0.E0,1.E0,0.E0)); +#39047=VECTOR('',#39046,3.7E0); +#39048=CARTESIAN_POINT('',(4.6E0,-1.72E1,-2.8355E1)); +#39049=LINE('',#39048,#39047); +#39050=DIRECTION('',(0.E0,1.E0,0.E0)); +#39051=VECTOR('',#39050,3.7E0); +#39052=CARTESIAN_POINT('',(4.E0,-1.72E1,-2.8355E1)); +#39053=LINE('',#39052,#39051); +#39054=DIRECTION('',(1.E0,0.E0,0.E0)); +#39055=VECTOR('',#39054,6.E-1); +#39056=CARTESIAN_POINT('',(4.E0,-1.72E1,-2.8355E1)); +#39057=LINE('',#39056,#39055); +#39058=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#39059=VECTOR('',#39058,3.E-1); +#39060=CARTESIAN_POINT('',(4.6E0,-1.12E1,-2.8355E1)); +#39061=LINE('',#39060,#39059); +#39062=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#39063=VECTOR('',#39062,3.E-1); +#39064=CARTESIAN_POINT('',(3.85E0,-1.094019237886E1,-2.8355E1)); +#39065=LINE('',#39064,#39063); +#39066=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39067=VECTOR('',#39066,6.3E-1); +#39068=CARTESIAN_POINT('',(4.6E0,-1.72E1,-2.7725E1)); +#39069=LINE('',#39068,#39067); +#39070=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39071=VECTOR('',#39070,6.3E-1); +#39072=CARTESIAN_POINT('',(4.E0,-1.72E1,-2.7725E1)); +#39073=LINE('',#39072,#39071); +#39074=DIRECTION('',(1.E0,0.E0,0.E0)); +#39075=VECTOR('',#39074,6.E-1); +#39076=CARTESIAN_POINT('',(4.E0,-1.72E1,-2.7725E1)); +#39077=LINE('',#39076,#39075); +#39078=DIRECTION('',(0.E0,0.E0,1.E0)); +#39079=VECTOR('',#39078,6.3E-1); +#39080=CARTESIAN_POINT('',(4.E0,-1.35E1,-2.8355E1)); +#39081=LINE('',#39080,#39079); +#39082=DIRECTION('',(0.E0,0.E0,1.E0)); +#39083=VECTOR('',#39082,6.3E-1); +#39084=CARTESIAN_POINT('',(4.E0,-1.35E1,-2.5815E1)); +#39085=LINE('',#39084,#39083); +#39086=DIRECTION('',(0.E0,1.E0,0.E0)); +#39087=VECTOR('',#39086,3.7E0); +#39088=CARTESIAN_POINT('',(4.6E0,-1.72E1,-2.7725E1)); +#39089=LINE('',#39088,#39087); +#39090=DIRECTION('',(0.E0,1.E0,0.E0)); +#39091=VECTOR('',#39090,3.7E0); +#39092=CARTESIAN_POINT('',(4.E0,-1.72E1,-2.7725E1)); +#39093=LINE('',#39092,#39091); +#39094=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#39095=VECTOR('',#39094,3.E-1); +#39096=CARTESIAN_POINT('',(4.6E0,-1.12E1,-2.7725E1)); +#39097=LINE('',#39096,#39095); +#39098=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#39099=VECTOR('',#39098,3.E-1); +#39100=CARTESIAN_POINT('',(3.85E0,-1.094019237886E1,-2.7725E1)); +#39101=LINE('',#39100,#39099); +#39102=DIRECTION('',(1.E0,0.E0,0.E0)); +#39103=VECTOR('',#39102,9.E-1); +#39104=CARTESIAN_POINT('',(3.85E0,3.815E0,-2.7725E1)); +#39105=LINE('',#39104,#39103); +#39106=DIRECTION('',(0.E0,0.E0,1.E0)); +#39107=VECTOR('',#39106,6.3E-1); +#39108=CARTESIAN_POINT('',(4.6E0,-1.12E1,-2.8355E1)); +#39109=LINE('',#39108,#39107); +#39110=DIRECTION('',(0.E0,0.E0,1.E0)); +#39111=VECTOR('',#39110,6.3E-1); +#39112=CARTESIAN_POINT('',(4.6E0,-1.12E1,-2.5815E1)); +#39113=LINE('',#39112,#39111); +#39114=DIRECTION('',(0.E0,0.E0,1.E0)); +#39115=VECTOR('',#39114,6.3E-1); +#39116=CARTESIAN_POINT('',(4.75E0,-1.094019237886E1,-2.8355E1)); +#39117=LINE('',#39116,#39115); +#39118=DIRECTION('',(0.E0,-1.E0,0.E0)); +#39119=VECTOR('',#39118,1.475519237886E1); +#39120=CARTESIAN_POINT('',(4.75E0,3.815E0,-2.7725E1)); +#39121=LINE('',#39120,#39119); +#39122=CARTESIAN_POINT('',(4.75E0,3.815E0,-2.6725E1)); +#39123=DIRECTION('',(-1.E0,0.E0,0.E0)); +#39124=DIRECTION('',(0.E0,1.E0,0.E0)); +#39125=AXIS2_PLACEMENT_3D('',#39122,#39123,#39124); +#39127=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39128=VECTOR('',#39127,8.85E-1); +#39129=CARTESIAN_POINT('',(4.75E0,4.815E0,-2.584E1)); +#39130=LINE('',#39129,#39128); +#39131=DIRECTION('',(0.E0,0.E0,1.E0)); +#39132=VECTOR('',#39131,8.85E-1); +#39133=CARTESIAN_POINT('',(4.75E0,5.445E0,-2.6725E1)); +#39134=LINE('',#39133,#39132); +#39135=CARTESIAN_POINT('',(4.75E0,3.815E0,-2.6725E1)); +#39136=DIRECTION('',(1.E0,0.E0,0.E0)); +#39137=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#39138=AXIS2_PLACEMENT_3D('',#39135,#39136,#39137); +#39140=DIRECTION('',(0.E0,1.E0,0.E0)); +#39141=VECTOR('',#39140,1.475519237886E1); +#39142=CARTESIAN_POINT('',(4.75E0,-1.094019237886E1,-2.8355E1)); +#39143=LINE('',#39142,#39141); +#39144=DIRECTION('',(0.E0,0.E0,1.E0)); +#39145=VECTOR('',#39144,6.3E-1); +#39146=CARTESIAN_POINT('',(4.75E0,-1.094019237886E1,-2.5815E1)); +#39147=LINE('',#39146,#39145); +#39148=DIRECTION('',(0.E0,-1.E0,0.E0)); +#39149=VECTOR('',#39148,7.655192378865E0); +#39150=CARTESIAN_POINT('',(4.75E0,-3.285E0,-2.5185E1)); +#39151=LINE('',#39150,#39149); +#39152=CARTESIAN_POINT('',(4.75E0,-3.285E0,-2.4685E1)); +#39153=DIRECTION('',(-1.E0,0.E0,0.E0)); +#39154=DIRECTION('',(0.E0,1.E0,0.E0)); +#39155=AXIS2_PLACEMENT_3D('',#39152,#39153,#39154); +#39157=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39158=VECTOR('',#39157,1.085E0); +#39159=CARTESIAN_POINT('',(4.75E0,-2.785E0,-2.36E1)); +#39160=LINE('',#39159,#39158); +#39161=DIRECTION('',(0.E0,0.E0,1.E0)); +#39162=VECTOR('',#39161,1.085E0); +#39163=CARTESIAN_POINT('',(4.75E0,-2.155E0,-2.4685E1)); +#39164=LINE('',#39163,#39162); +#39165=CARTESIAN_POINT('',(4.75E0,-3.285E0,-2.4685E1)); +#39166=DIRECTION('',(1.E0,0.E0,0.E0)); +#39167=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39168=AXIS2_PLACEMENT_3D('',#39165,#39166,#39167); +#39170=DIRECTION('',(0.E0,1.E0,0.E0)); +#39171=VECTOR('',#39170,7.655192378865E0); +#39172=CARTESIAN_POINT('',(4.75E0,-1.094019237886E1,-2.5815E1)); +#39173=LINE('',#39172,#39171); +#39174=DIRECTION('',(1.E0,0.E0,0.E0)); +#39175=VECTOR('',#39174,9.E-1); +#39176=CARTESIAN_POINT('',(3.85E0,4.815E0,-2.6725E1)); +#39177=LINE('',#39176,#39175); +#39178=DIRECTION('',(1.E0,0.E0,0.E0)); +#39179=VECTOR('',#39178,9.E-1); +#39180=CARTESIAN_POINT('',(3.85E0,5.445E0,-2.6725E1)); +#39181=LINE('',#39180,#39179); +#39182=DIRECTION('',(1.E0,0.E0,0.E0)); +#39183=VECTOR('',#39182,9.E-1); +#39184=CARTESIAN_POINT('',(3.85E0,3.815E0,-2.8355E1)); +#39185=LINE('',#39184,#39183); +#39186=DIRECTION('',(0.E0,1.E0,0.E0)); +#39187=VECTOR('',#39186,3.7E0); +#39188=CARTESIAN_POINT('',(4.6E0,-1.72E1,-2.5185E1)); +#39189=LINE('',#39188,#39187); +#39190=DIRECTION('',(0.E0,1.E0,0.E0)); +#39191=VECTOR('',#39190,3.7E0); +#39192=CARTESIAN_POINT('',(4.E0,-1.72E1,-2.5185E1)); +#39193=LINE('',#39192,#39191); +#39194=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#39195=VECTOR('',#39194,3.E-1); +#39196=CARTESIAN_POINT('',(4.6E0,-1.12E1,-2.5185E1)); +#39197=LINE('',#39196,#39195); +#39198=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#39199=VECTOR('',#39198,3.E-1); +#39200=CARTESIAN_POINT('',(3.85E0,-1.094019237886E1,-2.5185E1)); +#39201=LINE('',#39200,#39199); +#39202=DIRECTION('',(1.E0,0.E0,0.E0)); +#39203=VECTOR('',#39202,9.E-1); +#39204=CARTESIAN_POINT('',(3.85E0,-3.285E0,-2.5185E1)); +#39205=LINE('',#39204,#39203); +#39206=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39207=VECTOR('',#39206,6.3E-1); +#39208=CARTESIAN_POINT('',(4.6E0,-1.72E1,-2.5185E1)); +#39209=LINE('',#39208,#39207); +#39210=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39211=VECTOR('',#39210,6.3E-1); +#39212=CARTESIAN_POINT('',(4.E0,-1.72E1,-2.5185E1)); +#39213=LINE('',#39212,#39211); +#39214=DIRECTION('',(1.E0,0.E0,0.E0)); +#39215=VECTOR('',#39214,6.E-1); +#39216=CARTESIAN_POINT('',(4.E0,-1.72E1,-2.5185E1)); +#39217=LINE('',#39216,#39215); +#39218=DIRECTION('',(0.E0,1.E0,0.E0)); +#39219=VECTOR('',#39218,3.7E0); +#39220=CARTESIAN_POINT('',(4.6E0,-1.72E1,-2.5815E1)); +#39221=LINE('',#39220,#39219); +#39222=DIRECTION('',(0.E0,1.E0,0.E0)); +#39223=VECTOR('',#39222,3.7E0); +#39224=CARTESIAN_POINT('',(4.E0,-1.72E1,-2.5815E1)); +#39225=LINE('',#39224,#39223); +#39226=DIRECTION('',(1.E0,0.E0,0.E0)); +#39227=VECTOR('',#39226,6.E-1); +#39228=CARTESIAN_POINT('',(4.E0,-1.72E1,-2.5815E1)); +#39229=LINE('',#39228,#39227); +#39230=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#39231=VECTOR('',#39230,3.E-1); +#39232=CARTESIAN_POINT('',(4.6E0,-1.12E1,-2.5815E1)); +#39233=LINE('',#39232,#39231); +#39234=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#39235=VECTOR('',#39234,3.E-1); +#39236=CARTESIAN_POINT('',(3.85E0,-1.094019237886E1,-2.5815E1)); +#39237=LINE('',#39236,#39235); +#39238=DIRECTION('',(1.E0,0.E0,0.E0)); +#39239=VECTOR('',#39238,9.E-1); +#39240=CARTESIAN_POINT('',(3.85E0,-3.285E0,-2.5815E1)); +#39241=LINE('',#39240,#39239); +#39242=DIRECTION('',(1.E0,0.E0,0.E0)); +#39243=VECTOR('',#39242,9.E-1); +#39244=CARTESIAN_POINT('',(3.85E0,-2.155E0,-2.4685E1)); +#39245=LINE('',#39244,#39243); +#39246=DIRECTION('',(1.E0,0.E0,0.E0)); +#39247=VECTOR('',#39246,9.E-1); +#39248=CARTESIAN_POINT('',(3.85E0,-2.785E0,-2.4685E1)); +#39249=LINE('',#39248,#39247); +#39250=DIRECTION('',(0.E0,0.E0,1.E0)); +#39251=VECTOR('',#39250,6.3E-1); +#39252=CARTESIAN_POINT('',(6.E0,-1.094019237886E1,-3.0895E1)); +#39253=LINE('',#39252,#39251); +#39254=DIRECTION('',(0.E0,1.E0,0.E0)); +#39255=VECTOR('',#39254,1.475519237886E1); +#39256=CARTESIAN_POINT('',(6.E0,-1.094019237886E1,-3.0895E1)); +#39257=LINE('',#39256,#39255); +#39258=CARTESIAN_POINT('',(6.E0,3.815E0,-2.9265E1)); +#39259=DIRECTION('',(1.E0,0.E0,0.E0)); +#39260=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39261=AXIS2_PLACEMENT_3D('',#39258,#39259,#39260); +#39263=DIRECTION('',(0.E0,0.E0,1.E0)); +#39264=VECTOR('',#39263,3.425E0); +#39265=CARTESIAN_POINT('',(6.E0,5.445E0,-2.9265E1)); +#39266=LINE('',#39265,#39264); +#39267=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39268=VECTOR('',#39267,3.425E0); +#39269=CARTESIAN_POINT('',(6.E0,4.815E0,-2.584E1)); +#39270=LINE('',#39269,#39268); +#39271=CARTESIAN_POINT('',(6.E0,3.815E0,-2.9265E1)); +#39272=DIRECTION('',(-1.E0,0.E0,0.E0)); +#39273=DIRECTION('',(0.E0,1.E0,0.E0)); +#39274=AXIS2_PLACEMENT_3D('',#39271,#39272,#39273); +#39276=DIRECTION('',(0.E0,-1.E0,0.E0)); +#39277=VECTOR('',#39276,1.475519237886E1); +#39278=CARTESIAN_POINT('',(6.E0,3.815E0,-3.0265E1)); +#39279=LINE('',#39278,#39277); +#39280=DIRECTION('',(0.E0,0.E0,1.E0)); +#39281=VECTOR('',#39280,6.3E-1); +#39282=CARTESIAN_POINT('',(6.E0,-1.094019237886E1,-2.5815E1)); +#39283=LINE('',#39282,#39281); +#39284=DIRECTION('',(0.E0,1.E0,0.E0)); +#39285=VECTOR('',#39284,7.655192378865E0); +#39286=CARTESIAN_POINT('',(6.E0,-1.094019237886E1,-2.5815E1)); +#39287=LINE('',#39286,#39285); +#39288=CARTESIAN_POINT('',(6.E0,-3.285E0,-2.4685E1)); +#39289=DIRECTION('',(1.E0,0.E0,0.E0)); +#39290=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39291=AXIS2_PLACEMENT_3D('',#39288,#39289,#39290); +#39293=DIRECTION('',(0.E0,0.E0,1.E0)); +#39294=VECTOR('',#39293,1.085E0); +#39295=CARTESIAN_POINT('',(6.E0,-2.155E0,-2.4685E1)); +#39296=LINE('',#39295,#39294); +#39297=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39298=VECTOR('',#39297,1.085E0); +#39299=CARTESIAN_POINT('',(6.E0,-2.785E0,-2.36E1)); +#39300=LINE('',#39299,#39298); +#39301=CARTESIAN_POINT('',(6.E0,-3.285E0,-2.4685E1)); +#39302=DIRECTION('',(-1.E0,0.E0,0.E0)); +#39303=DIRECTION('',(0.E0,1.E0,0.E0)); +#39304=AXIS2_PLACEMENT_3D('',#39301,#39302,#39303); +#39306=DIRECTION('',(0.E0,-1.E0,0.E0)); +#39307=VECTOR('',#39306,7.655192378865E0); +#39308=CARTESIAN_POINT('',(6.E0,-3.285E0,-2.5185E1)); +#39309=LINE('',#39308,#39307); +#39310=DIRECTION('',(0.E0,1.E0,0.E0)); +#39311=VECTOR('',#39310,3.7E0); +#39312=CARTESIAN_POINT('',(6.15E0,-1.72E1,-3.0895E1)); +#39313=LINE('',#39312,#39311); +#39314=DIRECTION('',(1.E0,0.E0,0.E0)); +#39315=VECTOR('',#39314,6.E-1); +#39316=CARTESIAN_POINT('',(6.15E0,-1.72E1,-3.0895E1)); +#39317=LINE('',#39316,#39315); +#39318=DIRECTION('',(0.E0,1.E0,0.E0)); +#39319=VECTOR('',#39318,3.7E0); +#39320=CARTESIAN_POINT('',(6.75E0,-1.72E1,-3.0895E1)); +#39321=LINE('',#39320,#39319); +#39322=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#39323=VECTOR('',#39322,3.E-1); +#39324=CARTESIAN_POINT('',(6.E0,-1.094019237886E1,-3.0895E1)); +#39325=LINE('',#39324,#39323); +#39326=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#39327=VECTOR('',#39326,3.E-1); +#39328=CARTESIAN_POINT('',(6.75E0,-1.12E1,-3.0895E1)); +#39329=LINE('',#39328,#39327); +#39330=DIRECTION('',(0.E0,0.E0,1.E0)); +#39331=VECTOR('',#39330,6.3E-1); +#39332=CARTESIAN_POINT('',(6.15E0,-1.35E1,-3.0895E1)); +#39333=LINE('',#39332,#39331); +#39334=DIRECTION('',(0.E0,0.E0,1.E0)); +#39335=VECTOR('',#39334,6.3E-1); +#39336=CARTESIAN_POINT('',(6.15E0,-1.35E1,-2.5815E1)); +#39337=LINE('',#39336,#39335); +#39338=DIRECTION('',(0.E0,1.E0,0.E0)); +#39339=VECTOR('',#39338,3.7E0); +#39340=CARTESIAN_POINT('',(6.15E0,-1.72E1,-3.0265E1)); +#39341=LINE('',#39340,#39339); +#39342=DIRECTION('',(0.E0,1.E0,0.E0)); +#39343=VECTOR('',#39342,3.7E0); +#39344=CARTESIAN_POINT('',(6.75E0,-1.72E1,-3.0265E1)); +#39345=LINE('',#39344,#39343); +#39346=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#39347=VECTOR('',#39346,3.E-1); +#39348=CARTESIAN_POINT('',(6.E0,-1.094019237886E1,-3.0265E1)); +#39349=LINE('',#39348,#39347); +#39350=DIRECTION('',(1.E0,0.E0,0.E0)); +#39351=VECTOR('',#39350,9.E-1); +#39352=CARTESIAN_POINT('',(6.E0,3.815E0,-3.0265E1)); +#39353=LINE('',#39352,#39351); +#39354=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#39355=VECTOR('',#39354,3.E-1); +#39356=CARTESIAN_POINT('',(6.75E0,-1.12E1,-3.0265E1)); +#39357=LINE('',#39356,#39355); +#39358=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39359=VECTOR('',#39358,6.3E-1); +#39360=CARTESIAN_POINT('',(6.15E0,-1.72E1,-3.0265E1)); +#39361=LINE('',#39360,#39359); +#39362=DIRECTION('',(1.E0,0.E0,0.E0)); +#39363=VECTOR('',#39362,6.E-1); +#39364=CARTESIAN_POINT('',(6.15E0,-1.72E1,-3.0265E1)); +#39365=LINE('',#39364,#39363); +#39366=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39367=VECTOR('',#39366,6.3E-1); +#39368=CARTESIAN_POINT('',(6.75E0,-1.72E1,-3.0265E1)); +#39369=LINE('',#39368,#39367); +#39370=DIRECTION('',(0.E0,1.E0,0.E0)); +#39371=VECTOR('',#39370,3.7E0); +#39372=CARTESIAN_POINT('',(6.15E0,-1.72E1,-2.5815E1)); +#39373=LINE('',#39372,#39371); +#39374=DIRECTION('',(1.E0,0.E0,0.E0)); +#39375=VECTOR('',#39374,6.E-1); +#39376=CARTESIAN_POINT('',(6.15E0,-1.72E1,-2.5815E1)); +#39377=LINE('',#39376,#39375); +#39378=DIRECTION('',(0.E0,1.E0,0.E0)); +#39379=VECTOR('',#39378,3.7E0); +#39380=CARTESIAN_POINT('',(6.75E0,-1.72E1,-2.5815E1)); +#39381=LINE('',#39380,#39379); +#39382=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#39383=VECTOR('',#39382,3.E-1); +#39384=CARTESIAN_POINT('',(6.E0,-1.094019237886E1,-2.5815E1)); +#39385=LINE('',#39384,#39383); +#39386=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#39387=VECTOR('',#39386,3.E-1); +#39388=CARTESIAN_POINT('',(6.75E0,-1.12E1,-2.5815E1)); +#39389=LINE('',#39388,#39387); +#39390=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39391=VECTOR('',#39390,6.3E-1); +#39392=CARTESIAN_POINT('',(6.15E0,-1.72E1,-2.5185E1)); +#39393=LINE('',#39392,#39391); +#39394=DIRECTION('',(1.E0,0.E0,0.E0)); +#39395=VECTOR('',#39394,6.E-1); +#39396=CARTESIAN_POINT('',(6.15E0,-1.72E1,-2.5185E1)); +#39397=LINE('',#39396,#39395); +#39398=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39399=VECTOR('',#39398,6.3E-1); +#39400=CARTESIAN_POINT('',(6.75E0,-1.72E1,-2.5185E1)); +#39401=LINE('',#39400,#39399); +#39402=DIRECTION('',(0.E0,1.E0,0.E0)); +#39403=VECTOR('',#39402,3.7E0); +#39404=CARTESIAN_POINT('',(6.15E0,-1.72E1,-2.5185E1)); +#39405=LINE('',#39404,#39403); +#39406=DIRECTION('',(0.E0,1.E0,0.E0)); +#39407=VECTOR('',#39406,3.7E0); +#39408=CARTESIAN_POINT('',(6.75E0,-1.72E1,-2.5185E1)); +#39409=LINE('',#39408,#39407); +#39410=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#39411=VECTOR('',#39410,3.E-1); +#39412=CARTESIAN_POINT('',(6.E0,-1.094019237886E1,-2.5185E1)); +#39413=LINE('',#39412,#39411); +#39414=DIRECTION('',(1.E0,0.E0,0.E0)); +#39415=VECTOR('',#39414,9.E-1); +#39416=CARTESIAN_POINT('',(6.E0,-3.285E0,-2.5185E1)); +#39417=LINE('',#39416,#39415); +#39418=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#39419=VECTOR('',#39418,3.E-1); +#39420=CARTESIAN_POINT('',(6.75E0,-1.12E1,-2.5185E1)); +#39421=LINE('',#39420,#39419); +#39422=DIRECTION('',(1.E0,0.E0,0.E0)); +#39423=VECTOR('',#39422,9.E-1); +#39424=CARTESIAN_POINT('',(6.E0,-2.785E0,-2.4685E1)); +#39425=LINE('',#39424,#39423); +#39426=DIRECTION('',(0.E0,0.E0,1.E0)); +#39427=VECTOR('',#39426,6.3E-1); +#39428=CARTESIAN_POINT('',(6.9E0,-1.094019237886E1,-3.0895E1)); +#39429=LINE('',#39428,#39427); +#39430=DIRECTION('',(0.E0,-1.E0,0.E0)); +#39431=VECTOR('',#39430,1.475519237886E1); +#39432=CARTESIAN_POINT('',(6.9E0,3.815E0,-3.0265E1)); +#39433=LINE('',#39432,#39431); +#39434=CARTESIAN_POINT('',(6.9E0,3.815E0,-2.9265E1)); +#39435=DIRECTION('',(-1.E0,0.E0,0.E0)); +#39436=DIRECTION('',(0.E0,1.E0,0.E0)); +#39437=AXIS2_PLACEMENT_3D('',#39434,#39435,#39436); +#39439=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39440=VECTOR('',#39439,3.425E0); +#39441=CARTESIAN_POINT('',(6.9E0,4.815E0,-2.584E1)); +#39442=LINE('',#39441,#39440); +#39443=DIRECTION('',(0.E0,0.E0,1.E0)); +#39444=VECTOR('',#39443,3.425E0); +#39445=CARTESIAN_POINT('',(6.9E0,5.445E0,-2.9265E1)); +#39446=LINE('',#39445,#39444); +#39447=CARTESIAN_POINT('',(6.9E0,3.815E0,-2.9265E1)); +#39448=DIRECTION('',(1.E0,0.E0,0.E0)); +#39449=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39450=AXIS2_PLACEMENT_3D('',#39447,#39448,#39449); +#39452=DIRECTION('',(0.E0,1.E0,0.E0)); +#39453=VECTOR('',#39452,1.475519237886E1); +#39454=CARTESIAN_POINT('',(6.9E0,-1.094019237886E1,-3.0895E1)); +#39455=LINE('',#39454,#39453); +#39456=DIRECTION('',(0.E0,0.E0,1.E0)); +#39457=VECTOR('',#39456,6.3E-1); +#39458=CARTESIAN_POINT('',(6.9E0,-1.094019237886E1,-2.5815E1)); +#39459=LINE('',#39458,#39457); +#39460=DIRECTION('',(0.E0,-1.E0,0.E0)); +#39461=VECTOR('',#39460,7.655192378865E0); +#39462=CARTESIAN_POINT('',(6.9E0,-3.285E0,-2.5185E1)); +#39463=LINE('',#39462,#39461); +#39464=CARTESIAN_POINT('',(6.9E0,-3.285E0,-2.4685E1)); +#39465=DIRECTION('',(-1.E0,0.E0,0.E0)); +#39466=DIRECTION('',(0.E0,1.E0,0.E0)); +#39467=AXIS2_PLACEMENT_3D('',#39464,#39465,#39466); +#39469=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39470=VECTOR('',#39469,1.085E0); +#39471=CARTESIAN_POINT('',(6.9E0,-2.785E0,-2.36E1)); +#39472=LINE('',#39471,#39470); +#39473=DIRECTION('',(0.E0,0.E0,1.E0)); +#39474=VECTOR('',#39473,1.085E0); +#39475=CARTESIAN_POINT('',(6.9E0,-2.155E0,-2.4685E1)); +#39476=LINE('',#39475,#39474); +#39477=CARTESIAN_POINT('',(6.9E0,-3.285E0,-2.4685E1)); +#39478=DIRECTION('',(1.E0,0.E0,0.E0)); +#39479=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39480=AXIS2_PLACEMENT_3D('',#39477,#39478,#39479); +#39482=DIRECTION('',(0.E0,1.E0,0.E0)); +#39483=VECTOR('',#39482,7.655192378865E0); +#39484=CARTESIAN_POINT('',(6.9E0,-1.094019237886E1,-2.5815E1)); +#39485=LINE('',#39484,#39483); +#39486=DIRECTION('',(0.E0,0.E0,1.E0)); +#39487=VECTOR('',#39486,6.3E-1); +#39488=CARTESIAN_POINT('',(6.75E0,-1.12E1,-3.0895E1)); +#39489=LINE('',#39488,#39487); +#39490=DIRECTION('',(0.E0,0.E0,1.E0)); +#39491=VECTOR('',#39490,6.3E-1); +#39492=CARTESIAN_POINT('',(6.75E0,-1.12E1,-2.5815E1)); +#39493=LINE('',#39492,#39491); +#39494=DIRECTION('',(1.E0,0.E0,0.E0)); +#39495=VECTOR('',#39494,9.E-1); +#39496=CARTESIAN_POINT('',(6.E0,4.815E0,-2.9265E1)); +#39497=LINE('',#39496,#39495); +#39498=DIRECTION('',(1.E0,0.E0,0.E0)); +#39499=VECTOR('',#39498,9.E-1); +#39500=CARTESIAN_POINT('',(6.E0,5.445E0,-2.9265E1)); +#39501=LINE('',#39500,#39499); +#39502=DIRECTION('',(1.E0,0.E0,0.E0)); +#39503=VECTOR('',#39502,9.E-1); +#39504=CARTESIAN_POINT('',(6.E0,3.815E0,-3.0895E1)); +#39505=LINE('',#39504,#39503); +#39506=DIRECTION('',(1.E0,0.E0,0.E0)); +#39507=VECTOR('',#39506,9.E-1); +#39508=CARTESIAN_POINT('',(6.E0,-2.155E0,-2.4685E1)); +#39509=LINE('',#39508,#39507); +#39510=DIRECTION('',(1.E0,0.E0,0.E0)); +#39511=VECTOR('',#39510,9.E-1); +#39512=CARTESIAN_POINT('',(6.E0,-3.285E0,-2.5815E1)); +#39513=LINE('',#39512,#39511); +#39514=DIRECTION('',(0.E0,0.E0,1.E0)); +#39515=VECTOR('',#39514,6.3E-1); +#39516=CARTESIAN_POINT('',(8.15E0,-1.094019237886E1,-2.8355E1)); +#39517=LINE('',#39516,#39515); +#39518=DIRECTION('',(0.E0,1.E0,0.E0)); +#39519=VECTOR('',#39518,1.475519237886E1); +#39520=CARTESIAN_POINT('',(8.15E0,-1.094019237886E1,-2.8355E1)); +#39521=LINE('',#39520,#39519); +#39522=CARTESIAN_POINT('',(8.15E0,3.815E0,-2.6725E1)); +#39523=DIRECTION('',(1.E0,0.E0,0.E0)); +#39524=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#39525=AXIS2_PLACEMENT_3D('',#39522,#39523,#39524); +#39527=DIRECTION('',(0.E0,0.E0,1.E0)); +#39528=VECTOR('',#39527,8.85E-1); +#39529=CARTESIAN_POINT('',(8.15E0,5.445E0,-2.6725E1)); +#39530=LINE('',#39529,#39528); +#39531=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39532=VECTOR('',#39531,8.85E-1); +#39533=CARTESIAN_POINT('',(8.15E0,4.815E0,-2.584E1)); +#39534=LINE('',#39533,#39532); +#39535=CARTESIAN_POINT('',(8.15E0,3.815E0,-2.6725E1)); +#39536=DIRECTION('',(-1.E0,0.E0,0.E0)); +#39537=DIRECTION('',(0.E0,1.E0,0.E0)); +#39538=AXIS2_PLACEMENT_3D('',#39535,#39536,#39537); +#39540=DIRECTION('',(0.E0,-1.E0,0.E0)); +#39541=VECTOR('',#39540,1.475519237886E1); +#39542=CARTESIAN_POINT('',(8.15E0,3.815E0,-2.7725E1)); +#39543=LINE('',#39542,#39541); +#39544=DIRECTION('',(0.E0,0.E0,1.E0)); +#39545=VECTOR('',#39544,6.3E-1); +#39546=CARTESIAN_POINT('',(8.15E0,-1.094019237886E1,-2.5815E1)); +#39547=LINE('',#39546,#39545); +#39548=DIRECTION('',(0.E0,1.E0,0.E0)); +#39549=VECTOR('',#39548,7.655192378865E0); +#39550=CARTESIAN_POINT('',(8.15E0,-1.094019237886E1,-2.5815E1)); +#39551=LINE('',#39550,#39549); +#39552=CARTESIAN_POINT('',(8.15E0,-3.285E0,-2.4685E1)); +#39553=DIRECTION('',(1.E0,0.E0,0.E0)); +#39554=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39555=AXIS2_PLACEMENT_3D('',#39552,#39553,#39554); +#39557=DIRECTION('',(0.E0,0.E0,1.E0)); +#39558=VECTOR('',#39557,1.085E0); +#39559=CARTESIAN_POINT('',(8.15E0,-2.155E0,-2.4685E1)); +#39560=LINE('',#39559,#39558); +#39561=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39562=VECTOR('',#39561,1.085E0); +#39563=CARTESIAN_POINT('',(8.15E0,-2.785E0,-2.36E1)); +#39564=LINE('',#39563,#39562); +#39565=CARTESIAN_POINT('',(8.15E0,-3.285E0,-2.4685E1)); +#39566=DIRECTION('',(-1.E0,0.E0,0.E0)); +#39567=DIRECTION('',(0.E0,1.E0,0.E0)); +#39568=AXIS2_PLACEMENT_3D('',#39565,#39566,#39567); +#39570=DIRECTION('',(0.E0,-1.E0,0.E0)); +#39571=VECTOR('',#39570,7.655192378865E0); +#39572=CARTESIAN_POINT('',(8.15E0,-3.285E0,-2.5185E1)); +#39573=LINE('',#39572,#39571); +#39574=DIRECTION('',(0.E0,1.E0,0.E0)); +#39575=VECTOR('',#39574,3.7E0); +#39576=CARTESIAN_POINT('',(8.9E0,-1.72E1,-2.8355E1)); +#39577=LINE('',#39576,#39575); +#39578=DIRECTION('',(0.E0,1.E0,0.E0)); +#39579=VECTOR('',#39578,3.7E0); +#39580=CARTESIAN_POINT('',(8.3E0,-1.72E1,-2.8355E1)); +#39581=LINE('',#39580,#39579); +#39582=DIRECTION('',(1.E0,0.E0,0.E0)); +#39583=VECTOR('',#39582,6.E-1); +#39584=CARTESIAN_POINT('',(8.3E0,-1.72E1,-2.8355E1)); +#39585=LINE('',#39584,#39583); +#39586=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#39587=VECTOR('',#39586,3.E-1); +#39588=CARTESIAN_POINT('',(8.9E0,-1.12E1,-2.8355E1)); +#39589=LINE('',#39588,#39587); +#39590=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#39591=VECTOR('',#39590,3.E-1); +#39592=CARTESIAN_POINT('',(8.15E0,-1.094019237886E1,-2.8355E1)); +#39593=LINE('',#39592,#39591); +#39594=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39595=VECTOR('',#39594,6.3E-1); +#39596=CARTESIAN_POINT('',(8.9E0,-1.72E1,-2.7725E1)); +#39597=LINE('',#39596,#39595); +#39598=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39599=VECTOR('',#39598,6.3E-1); +#39600=CARTESIAN_POINT('',(8.3E0,-1.72E1,-2.7725E1)); +#39601=LINE('',#39600,#39599); +#39602=DIRECTION('',(1.E0,0.E0,0.E0)); +#39603=VECTOR('',#39602,6.E-1); +#39604=CARTESIAN_POINT('',(8.3E0,-1.72E1,-2.7725E1)); +#39605=LINE('',#39604,#39603); +#39606=DIRECTION('',(0.E0,0.E0,1.E0)); +#39607=VECTOR('',#39606,6.3E-1); +#39608=CARTESIAN_POINT('',(8.3E0,-1.35E1,-2.8355E1)); +#39609=LINE('',#39608,#39607); +#39610=DIRECTION('',(0.E0,0.E0,1.E0)); +#39611=VECTOR('',#39610,6.3E-1); +#39612=CARTESIAN_POINT('',(8.3E0,-1.35E1,-2.5815E1)); +#39613=LINE('',#39612,#39611); +#39614=DIRECTION('',(0.E0,1.E0,0.E0)); +#39615=VECTOR('',#39614,3.7E0); +#39616=CARTESIAN_POINT('',(8.9E0,-1.72E1,-2.7725E1)); +#39617=LINE('',#39616,#39615); +#39618=DIRECTION('',(0.E0,1.E0,0.E0)); +#39619=VECTOR('',#39618,3.7E0); +#39620=CARTESIAN_POINT('',(8.3E0,-1.72E1,-2.7725E1)); +#39621=LINE('',#39620,#39619); +#39622=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#39623=VECTOR('',#39622,3.E-1); +#39624=CARTESIAN_POINT('',(8.9E0,-1.12E1,-2.7725E1)); +#39625=LINE('',#39624,#39623); +#39626=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#39627=VECTOR('',#39626,3.E-1); +#39628=CARTESIAN_POINT('',(8.15E0,-1.094019237886E1,-2.7725E1)); +#39629=LINE('',#39628,#39627); +#39630=DIRECTION('',(1.E0,0.E0,0.E0)); +#39631=VECTOR('',#39630,9.E-1); +#39632=CARTESIAN_POINT('',(8.15E0,3.815E0,-2.7725E1)); +#39633=LINE('',#39632,#39631); +#39634=DIRECTION('',(0.E0,0.E0,1.E0)); +#39635=VECTOR('',#39634,6.3E-1); +#39636=CARTESIAN_POINT('',(8.9E0,-1.12E1,-2.8355E1)); +#39637=LINE('',#39636,#39635); +#39638=DIRECTION('',(0.E0,0.E0,1.E0)); +#39639=VECTOR('',#39638,6.3E-1); +#39640=CARTESIAN_POINT('',(8.9E0,-1.12E1,-2.5815E1)); +#39641=LINE('',#39640,#39639); +#39642=DIRECTION('',(0.E0,0.E0,1.E0)); +#39643=VECTOR('',#39642,6.3E-1); +#39644=CARTESIAN_POINT('',(9.05E0,-1.094019237886E1,-2.8355E1)); +#39645=LINE('',#39644,#39643); +#39646=DIRECTION('',(0.E0,-1.E0,0.E0)); +#39647=VECTOR('',#39646,1.475519237886E1); +#39648=CARTESIAN_POINT('',(9.05E0,3.815E0,-2.7725E1)); +#39649=LINE('',#39648,#39647); +#39650=CARTESIAN_POINT('',(9.05E0,3.815E0,-2.6725E1)); +#39651=DIRECTION('',(-1.E0,0.E0,0.E0)); +#39652=DIRECTION('',(0.E0,1.E0,0.E0)); +#39653=AXIS2_PLACEMENT_3D('',#39650,#39651,#39652); +#39655=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39656=VECTOR('',#39655,8.85E-1); +#39657=CARTESIAN_POINT('',(9.05E0,4.815E0,-2.584E1)); +#39658=LINE('',#39657,#39656); +#39659=DIRECTION('',(0.E0,0.E0,1.E0)); +#39660=VECTOR('',#39659,8.85E-1); +#39661=CARTESIAN_POINT('',(9.05E0,5.445E0,-2.6725E1)); +#39662=LINE('',#39661,#39660); +#39663=CARTESIAN_POINT('',(9.05E0,3.815E0,-2.6725E1)); +#39664=DIRECTION('',(1.E0,0.E0,0.E0)); +#39665=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#39666=AXIS2_PLACEMENT_3D('',#39663,#39664,#39665); +#39668=DIRECTION('',(0.E0,1.E0,0.E0)); +#39669=VECTOR('',#39668,1.475519237886E1); +#39670=CARTESIAN_POINT('',(9.05E0,-1.094019237886E1,-2.8355E1)); +#39671=LINE('',#39670,#39669); +#39672=DIRECTION('',(0.E0,0.E0,1.E0)); +#39673=VECTOR('',#39672,6.3E-1); +#39674=CARTESIAN_POINT('',(9.05E0,-1.094019237886E1,-2.5815E1)); +#39675=LINE('',#39674,#39673); +#39676=DIRECTION('',(0.E0,-1.E0,0.E0)); +#39677=VECTOR('',#39676,7.655192378865E0); +#39678=CARTESIAN_POINT('',(9.05E0,-3.285E0,-2.5185E1)); +#39679=LINE('',#39678,#39677); +#39680=CARTESIAN_POINT('',(9.05E0,-3.285E0,-2.4685E1)); +#39681=DIRECTION('',(-1.E0,0.E0,0.E0)); +#39682=DIRECTION('',(0.E0,1.E0,0.E0)); +#39683=AXIS2_PLACEMENT_3D('',#39680,#39681,#39682); +#39685=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39686=VECTOR('',#39685,1.085E0); +#39687=CARTESIAN_POINT('',(9.05E0,-2.785E0,-2.36E1)); +#39688=LINE('',#39687,#39686); +#39689=DIRECTION('',(0.E0,0.E0,1.E0)); +#39690=VECTOR('',#39689,1.085E0); +#39691=CARTESIAN_POINT('',(9.05E0,-2.155E0,-2.4685E1)); +#39692=LINE('',#39691,#39690); +#39693=CARTESIAN_POINT('',(9.05E0,-3.285E0,-2.4685E1)); +#39694=DIRECTION('',(1.E0,0.E0,0.E0)); +#39695=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39696=AXIS2_PLACEMENT_3D('',#39693,#39694,#39695); +#39698=DIRECTION('',(0.E0,1.E0,0.E0)); +#39699=VECTOR('',#39698,7.655192378865E0); +#39700=CARTESIAN_POINT('',(9.05E0,-1.094019237886E1,-2.5815E1)); +#39701=LINE('',#39700,#39699); +#39702=DIRECTION('',(1.E0,0.E0,0.E0)); +#39703=VECTOR('',#39702,9.E-1); +#39704=CARTESIAN_POINT('',(8.15E0,4.815E0,-2.6725E1)); +#39705=LINE('',#39704,#39703); +#39706=DIRECTION('',(1.E0,0.E0,0.E0)); +#39707=VECTOR('',#39706,9.E-1); +#39708=CARTESIAN_POINT('',(8.15E0,5.445E0,-2.6725E1)); +#39709=LINE('',#39708,#39707); +#39710=DIRECTION('',(1.E0,0.E0,0.E0)); +#39711=VECTOR('',#39710,9.E-1); +#39712=CARTESIAN_POINT('',(8.15E0,3.815E0,-2.8355E1)); +#39713=LINE('',#39712,#39711); +#39714=DIRECTION('',(0.E0,1.E0,0.E0)); +#39715=VECTOR('',#39714,3.7E0); +#39716=CARTESIAN_POINT('',(8.9E0,-1.72E1,-2.5185E1)); +#39717=LINE('',#39716,#39715); +#39718=DIRECTION('',(0.E0,1.E0,0.E0)); +#39719=VECTOR('',#39718,3.7E0); +#39720=CARTESIAN_POINT('',(8.3E0,-1.72E1,-2.5185E1)); +#39721=LINE('',#39720,#39719); +#39722=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#39723=VECTOR('',#39722,3.E-1); +#39724=CARTESIAN_POINT('',(8.9E0,-1.12E1,-2.5185E1)); +#39725=LINE('',#39724,#39723); +#39726=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#39727=VECTOR('',#39726,3.E-1); +#39728=CARTESIAN_POINT('',(8.15E0,-1.094019237886E1,-2.5185E1)); +#39729=LINE('',#39728,#39727); +#39730=DIRECTION('',(1.E0,0.E0,0.E0)); +#39731=VECTOR('',#39730,9.E-1); +#39732=CARTESIAN_POINT('',(8.15E0,-3.285E0,-2.5185E1)); +#39733=LINE('',#39732,#39731); +#39734=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39735=VECTOR('',#39734,6.3E-1); +#39736=CARTESIAN_POINT('',(8.9E0,-1.72E1,-2.5185E1)); +#39737=LINE('',#39736,#39735); +#39738=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39739=VECTOR('',#39738,6.3E-1); +#39740=CARTESIAN_POINT('',(8.3E0,-1.72E1,-2.5185E1)); +#39741=LINE('',#39740,#39739); +#39742=DIRECTION('',(1.E0,0.E0,0.E0)); +#39743=VECTOR('',#39742,6.E-1); +#39744=CARTESIAN_POINT('',(8.3E0,-1.72E1,-2.5185E1)); +#39745=LINE('',#39744,#39743); +#39746=DIRECTION('',(0.E0,1.E0,0.E0)); +#39747=VECTOR('',#39746,3.7E0); +#39748=CARTESIAN_POINT('',(8.9E0,-1.72E1,-2.5815E1)); +#39749=LINE('',#39748,#39747); +#39750=DIRECTION('',(0.E0,1.E0,0.E0)); +#39751=VECTOR('',#39750,3.7E0); +#39752=CARTESIAN_POINT('',(8.3E0,-1.72E1,-2.5815E1)); +#39753=LINE('',#39752,#39751); +#39754=DIRECTION('',(1.E0,0.E0,0.E0)); +#39755=VECTOR('',#39754,6.E-1); +#39756=CARTESIAN_POINT('',(8.3E0,-1.72E1,-2.5815E1)); +#39757=LINE('',#39756,#39755); +#39758=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#39759=VECTOR('',#39758,3.E-1); +#39760=CARTESIAN_POINT('',(8.9E0,-1.12E1,-2.5815E1)); +#39761=LINE('',#39760,#39759); +#39762=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#39763=VECTOR('',#39762,3.E-1); +#39764=CARTESIAN_POINT('',(8.15E0,-1.094019237886E1,-2.5815E1)); +#39765=LINE('',#39764,#39763); +#39766=DIRECTION('',(1.E0,0.E0,0.E0)); +#39767=VECTOR('',#39766,9.E-1); +#39768=CARTESIAN_POINT('',(8.15E0,-3.285E0,-2.5815E1)); +#39769=LINE('',#39768,#39767); +#39770=DIRECTION('',(1.E0,0.E0,0.E0)); +#39771=VECTOR('',#39770,9.E-1); +#39772=CARTESIAN_POINT('',(8.15E0,-2.155E0,-2.4685E1)); +#39773=LINE('',#39772,#39771); +#39774=DIRECTION('',(1.E0,0.E0,0.E0)); +#39775=VECTOR('',#39774,9.E-1); +#39776=CARTESIAN_POINT('',(8.15E0,-2.785E0,-2.4685E1)); +#39777=LINE('',#39776,#39775); +#39778=DIRECTION('',(0.E0,0.E0,1.E0)); +#39779=VECTOR('',#39778,6.3E-1); +#39780=CARTESIAN_POINT('',(2.38E1,-1.094019237886E1,-3.0895E1)); +#39781=LINE('',#39780,#39779); +#39782=DIRECTION('',(0.E0,1.E0,0.E0)); +#39783=VECTOR('',#39782,1.475519237886E1); +#39784=CARTESIAN_POINT('',(2.38E1,-1.094019237886E1,-3.0895E1)); +#39785=LINE('',#39784,#39783); +#39786=CARTESIAN_POINT('',(2.38E1,3.815E0,-2.9265E1)); +#39787=DIRECTION('',(1.E0,0.E0,0.E0)); +#39788=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39789=AXIS2_PLACEMENT_3D('',#39786,#39787,#39788); +#39791=DIRECTION('',(0.E0,0.E0,1.E0)); +#39792=VECTOR('',#39791,3.425E0); +#39793=CARTESIAN_POINT('',(2.38E1,5.445E0,-2.9265E1)); +#39794=LINE('',#39793,#39792); +#39795=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39796=VECTOR('',#39795,3.425E0); +#39797=CARTESIAN_POINT('',(2.38E1,4.815E0,-2.584E1)); +#39798=LINE('',#39797,#39796); +#39799=CARTESIAN_POINT('',(2.38E1,3.815E0,-2.9265E1)); +#39800=DIRECTION('',(-1.E0,0.E0,0.E0)); +#39801=DIRECTION('',(0.E0,1.E0,0.E0)); +#39802=AXIS2_PLACEMENT_3D('',#39799,#39800,#39801); +#39804=DIRECTION('',(0.E0,-1.E0,0.E0)); +#39805=VECTOR('',#39804,1.475519237886E1); +#39806=CARTESIAN_POINT('',(2.38E1,3.815E0,-3.0265E1)); +#39807=LINE('',#39806,#39805); +#39808=DIRECTION('',(0.E0,0.E0,1.E0)); +#39809=VECTOR('',#39808,6.3E-1); +#39810=CARTESIAN_POINT('',(2.38E1,-1.094019237886E1,-2.5815E1)); +#39811=LINE('',#39810,#39809); +#39812=DIRECTION('',(0.E0,1.E0,0.E0)); +#39813=VECTOR('',#39812,7.655192378865E0); +#39814=CARTESIAN_POINT('',(2.38E1,-1.094019237886E1,-2.5815E1)); +#39815=LINE('',#39814,#39813); +#39816=CARTESIAN_POINT('',(2.38E1,-3.285E0,-2.4685E1)); +#39817=DIRECTION('',(1.E0,0.E0,0.E0)); +#39818=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39819=AXIS2_PLACEMENT_3D('',#39816,#39817,#39818); +#39821=DIRECTION('',(0.E0,0.E0,1.E0)); +#39822=VECTOR('',#39821,1.085E0); +#39823=CARTESIAN_POINT('',(2.38E1,-2.155E0,-2.4685E1)); +#39824=LINE('',#39823,#39822); +#39825=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39826=VECTOR('',#39825,1.085E0); +#39827=CARTESIAN_POINT('',(2.38E1,-2.785E0,-2.36E1)); +#39828=LINE('',#39827,#39826); +#39829=CARTESIAN_POINT('',(2.38E1,-3.285E0,-2.4685E1)); +#39830=DIRECTION('',(-1.E0,0.E0,0.E0)); +#39831=DIRECTION('',(0.E0,1.E0,0.E0)); +#39832=AXIS2_PLACEMENT_3D('',#39829,#39830,#39831); +#39834=DIRECTION('',(0.E0,-1.E0,0.E0)); +#39835=VECTOR('',#39834,7.655192378865E0); +#39836=CARTESIAN_POINT('',(2.38E1,-3.285E0,-2.5185E1)); +#39837=LINE('',#39836,#39835); +#39838=DIRECTION('',(0.E0,1.E0,0.E0)); +#39839=VECTOR('',#39838,3.7E0); +#39840=CARTESIAN_POINT('',(2.395E1,-1.72E1,-3.0895E1)); +#39841=LINE('',#39840,#39839); +#39842=DIRECTION('',(1.E0,0.E0,0.E0)); +#39843=VECTOR('',#39842,6.E-1); +#39844=CARTESIAN_POINT('',(2.395E1,-1.72E1,-3.0895E1)); +#39845=LINE('',#39844,#39843); +#39846=DIRECTION('',(0.E0,1.E0,0.E0)); +#39847=VECTOR('',#39846,3.7E0); +#39848=CARTESIAN_POINT('',(2.455E1,-1.72E1,-3.0895E1)); +#39849=LINE('',#39848,#39847); +#39850=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#39851=VECTOR('',#39850,3.E-1); +#39852=CARTESIAN_POINT('',(2.38E1,-1.094019237886E1,-3.0895E1)); +#39853=LINE('',#39852,#39851); +#39854=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#39855=VECTOR('',#39854,3.E-1); +#39856=CARTESIAN_POINT('',(2.455E1,-1.12E1,-3.0895E1)); +#39857=LINE('',#39856,#39855); +#39858=DIRECTION('',(0.E0,0.E0,1.E0)); +#39859=VECTOR('',#39858,6.3E-1); +#39860=CARTESIAN_POINT('',(2.395E1,-1.35E1,-3.0895E1)); +#39861=LINE('',#39860,#39859); +#39862=DIRECTION('',(0.E0,0.E0,1.E0)); +#39863=VECTOR('',#39862,6.3E-1); +#39864=CARTESIAN_POINT('',(2.395E1,-1.35E1,-2.5815E1)); +#39865=LINE('',#39864,#39863); +#39866=DIRECTION('',(0.E0,1.E0,0.E0)); +#39867=VECTOR('',#39866,3.7E0); +#39868=CARTESIAN_POINT('',(2.395E1,-1.72E1,-3.0265E1)); +#39869=LINE('',#39868,#39867); +#39870=DIRECTION('',(0.E0,1.E0,0.E0)); +#39871=VECTOR('',#39870,3.7E0); +#39872=CARTESIAN_POINT('',(2.455E1,-1.72E1,-3.0265E1)); +#39873=LINE('',#39872,#39871); +#39874=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#39875=VECTOR('',#39874,3.E-1); +#39876=CARTESIAN_POINT('',(2.38E1,-1.094019237886E1,-3.0265E1)); +#39877=LINE('',#39876,#39875); +#39878=DIRECTION('',(1.E0,0.E0,0.E0)); +#39879=VECTOR('',#39878,9.E-1); +#39880=CARTESIAN_POINT('',(2.38E1,3.815E0,-3.0265E1)); +#39881=LINE('',#39880,#39879); +#39882=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#39883=VECTOR('',#39882,3.E-1); +#39884=CARTESIAN_POINT('',(2.455E1,-1.12E1,-3.0265E1)); +#39885=LINE('',#39884,#39883); +#39886=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39887=VECTOR('',#39886,6.3E-1); +#39888=CARTESIAN_POINT('',(2.395E1,-1.72E1,-3.0265E1)); +#39889=LINE('',#39888,#39887); +#39890=DIRECTION('',(1.E0,0.E0,0.E0)); +#39891=VECTOR('',#39890,6.E-1); +#39892=CARTESIAN_POINT('',(2.395E1,-1.72E1,-3.0265E1)); +#39893=LINE('',#39892,#39891); +#39894=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39895=VECTOR('',#39894,6.3E-1); +#39896=CARTESIAN_POINT('',(2.455E1,-1.72E1,-3.0265E1)); +#39897=LINE('',#39896,#39895); +#39898=DIRECTION('',(0.E0,1.E0,0.E0)); +#39899=VECTOR('',#39898,3.7E0); +#39900=CARTESIAN_POINT('',(2.395E1,-1.72E1,-2.5815E1)); +#39901=LINE('',#39900,#39899); +#39902=DIRECTION('',(1.E0,0.E0,0.E0)); +#39903=VECTOR('',#39902,6.E-1); +#39904=CARTESIAN_POINT('',(2.395E1,-1.72E1,-2.5815E1)); +#39905=LINE('',#39904,#39903); +#39906=DIRECTION('',(0.E0,1.E0,0.E0)); +#39907=VECTOR('',#39906,3.7E0); +#39908=CARTESIAN_POINT('',(2.455E1,-1.72E1,-2.5815E1)); +#39909=LINE('',#39908,#39907); +#39910=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#39911=VECTOR('',#39910,3.E-1); +#39912=CARTESIAN_POINT('',(2.38E1,-1.094019237886E1,-2.5815E1)); +#39913=LINE('',#39912,#39911); +#39914=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#39915=VECTOR('',#39914,3.E-1); +#39916=CARTESIAN_POINT('',(2.455E1,-1.12E1,-2.5815E1)); +#39917=LINE('',#39916,#39915); +#39918=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39919=VECTOR('',#39918,6.3E-1); +#39920=CARTESIAN_POINT('',(2.395E1,-1.72E1,-2.5185E1)); +#39921=LINE('',#39920,#39919); +#39922=DIRECTION('',(1.E0,0.E0,0.E0)); +#39923=VECTOR('',#39922,6.E-1); +#39924=CARTESIAN_POINT('',(2.395E1,-1.72E1,-2.5185E1)); +#39925=LINE('',#39924,#39923); +#39926=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39927=VECTOR('',#39926,6.3E-1); +#39928=CARTESIAN_POINT('',(2.455E1,-1.72E1,-2.5185E1)); +#39929=LINE('',#39928,#39927); +#39930=DIRECTION('',(0.E0,1.E0,0.E0)); +#39931=VECTOR('',#39930,3.7E0); +#39932=CARTESIAN_POINT('',(2.395E1,-1.72E1,-2.5185E1)); +#39933=LINE('',#39932,#39931); +#39934=DIRECTION('',(0.E0,1.E0,0.E0)); +#39935=VECTOR('',#39934,3.7E0); +#39936=CARTESIAN_POINT('',(2.455E1,-1.72E1,-2.5185E1)); +#39937=LINE('',#39936,#39935); +#39938=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#39939=VECTOR('',#39938,3.E-1); +#39940=CARTESIAN_POINT('',(2.38E1,-1.094019237886E1,-2.5185E1)); +#39941=LINE('',#39940,#39939); +#39942=DIRECTION('',(1.E0,0.E0,0.E0)); +#39943=VECTOR('',#39942,9.E-1); +#39944=CARTESIAN_POINT('',(2.38E1,-3.285E0,-2.5185E1)); +#39945=LINE('',#39944,#39943); +#39946=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#39947=VECTOR('',#39946,3.E-1); +#39948=CARTESIAN_POINT('',(2.455E1,-1.12E1,-2.5185E1)); +#39949=LINE('',#39948,#39947); +#39950=DIRECTION('',(1.E0,0.E0,0.E0)); +#39951=VECTOR('',#39950,9.E-1); +#39952=CARTESIAN_POINT('',(2.38E1,-2.785E0,-2.4685E1)); +#39953=LINE('',#39952,#39951); +#39954=DIRECTION('',(0.E0,0.E0,1.E0)); +#39955=VECTOR('',#39954,6.3E-1); +#39956=CARTESIAN_POINT('',(2.47E1,-1.094019237886E1,-3.0895E1)); +#39957=LINE('',#39956,#39955); +#39958=DIRECTION('',(0.E0,-1.E0,0.E0)); +#39959=VECTOR('',#39958,1.475519237886E1); +#39960=CARTESIAN_POINT('',(2.47E1,3.815E0,-3.0265E1)); +#39961=LINE('',#39960,#39959); +#39962=CARTESIAN_POINT('',(2.47E1,3.815E0,-2.9265E1)); +#39963=DIRECTION('',(-1.E0,0.E0,0.E0)); +#39964=DIRECTION('',(0.E0,1.E0,0.E0)); +#39965=AXIS2_PLACEMENT_3D('',#39962,#39963,#39964); +#39967=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39968=VECTOR('',#39967,3.425E0); +#39969=CARTESIAN_POINT('',(2.47E1,4.815E0,-2.584E1)); +#39970=LINE('',#39969,#39968); +#39971=DIRECTION('',(0.E0,0.E0,1.E0)); +#39972=VECTOR('',#39971,3.425E0); +#39973=CARTESIAN_POINT('',(2.47E1,5.445E0,-2.9265E1)); +#39974=LINE('',#39973,#39972); +#39975=CARTESIAN_POINT('',(2.47E1,3.815E0,-2.9265E1)); +#39976=DIRECTION('',(1.E0,0.E0,0.E0)); +#39977=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39978=AXIS2_PLACEMENT_3D('',#39975,#39976,#39977); +#39980=DIRECTION('',(0.E0,1.E0,0.E0)); +#39981=VECTOR('',#39980,1.475519237886E1); +#39982=CARTESIAN_POINT('',(2.47E1,-1.094019237886E1,-3.0895E1)); +#39983=LINE('',#39982,#39981); +#39984=DIRECTION('',(0.E0,0.E0,1.E0)); +#39985=VECTOR('',#39984,6.3E-1); +#39986=CARTESIAN_POINT('',(2.47E1,-1.094019237886E1,-2.5815E1)); +#39987=LINE('',#39986,#39985); +#39988=DIRECTION('',(0.E0,-1.E0,0.E0)); +#39989=VECTOR('',#39988,7.655192378865E0); +#39990=CARTESIAN_POINT('',(2.47E1,-3.285E0,-2.5185E1)); +#39991=LINE('',#39990,#39989); +#39992=CARTESIAN_POINT('',(2.47E1,-3.285E0,-2.4685E1)); +#39993=DIRECTION('',(-1.E0,0.E0,0.E0)); +#39994=DIRECTION('',(0.E0,1.E0,0.E0)); +#39995=AXIS2_PLACEMENT_3D('',#39992,#39993,#39994); +#39997=DIRECTION('',(0.E0,0.E0,-1.E0)); +#39998=VECTOR('',#39997,1.085E0); +#39999=CARTESIAN_POINT('',(2.47E1,-2.785E0,-2.36E1)); +#40000=LINE('',#39999,#39998); +#40001=DIRECTION('',(0.E0,0.E0,1.E0)); +#40002=VECTOR('',#40001,1.085E0); +#40003=CARTESIAN_POINT('',(2.47E1,-2.155E0,-2.4685E1)); +#40004=LINE('',#40003,#40002); +#40005=CARTESIAN_POINT('',(2.47E1,-3.285E0,-2.4685E1)); +#40006=DIRECTION('',(1.E0,0.E0,0.E0)); +#40007=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40008=AXIS2_PLACEMENT_3D('',#40005,#40006,#40007); +#40010=DIRECTION('',(0.E0,1.E0,0.E0)); +#40011=VECTOR('',#40010,7.655192378865E0); +#40012=CARTESIAN_POINT('',(2.47E1,-1.094019237886E1,-2.5815E1)); +#40013=LINE('',#40012,#40011); +#40014=DIRECTION('',(0.E0,0.E0,1.E0)); +#40015=VECTOR('',#40014,6.3E-1); +#40016=CARTESIAN_POINT('',(2.455E1,-1.12E1,-3.0895E1)); +#40017=LINE('',#40016,#40015); +#40018=DIRECTION('',(0.E0,0.E0,1.E0)); +#40019=VECTOR('',#40018,6.3E-1); +#40020=CARTESIAN_POINT('',(2.455E1,-1.12E1,-2.5815E1)); +#40021=LINE('',#40020,#40019); +#40022=DIRECTION('',(1.E0,0.E0,0.E0)); +#40023=VECTOR('',#40022,9.E-1); +#40024=CARTESIAN_POINT('',(2.38E1,4.815E0,-2.9265E1)); +#40025=LINE('',#40024,#40023); +#40026=DIRECTION('',(1.E0,0.E0,0.E0)); +#40027=VECTOR('',#40026,9.E-1); +#40028=CARTESIAN_POINT('',(2.38E1,5.445E0,-2.9265E1)); +#40029=LINE('',#40028,#40027); +#40030=DIRECTION('',(1.E0,0.E0,0.E0)); +#40031=VECTOR('',#40030,9.E-1); +#40032=CARTESIAN_POINT('',(2.38E1,3.815E0,-3.0895E1)); +#40033=LINE('',#40032,#40031); +#40034=DIRECTION('',(1.E0,0.E0,0.E0)); +#40035=VECTOR('',#40034,9.E-1); +#40036=CARTESIAN_POINT('',(2.38E1,-2.155E0,-2.4685E1)); +#40037=LINE('',#40036,#40035); +#40038=DIRECTION('',(1.E0,0.E0,0.E0)); +#40039=VECTOR('',#40038,9.E-1); +#40040=CARTESIAN_POINT('',(2.38E1,-3.285E0,-2.5815E1)); +#40041=LINE('',#40040,#40039); +#40042=DIRECTION('',(0.E0,0.E0,1.E0)); +#40043=VECTOR('',#40042,6.3E-1); +#40044=CARTESIAN_POINT('',(2.595E1,-1.094019237886E1,-2.8355E1)); +#40045=LINE('',#40044,#40043); +#40046=DIRECTION('',(0.E0,1.E0,0.E0)); +#40047=VECTOR('',#40046,1.475519237886E1); +#40048=CARTESIAN_POINT('',(2.595E1,-1.094019237886E1,-2.8355E1)); +#40049=LINE('',#40048,#40047); +#40050=CARTESIAN_POINT('',(2.595E1,3.815E0,-2.6725E1)); +#40051=DIRECTION('',(1.E0,0.E0,0.E0)); +#40052=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#40053=AXIS2_PLACEMENT_3D('',#40050,#40051,#40052); +#40055=DIRECTION('',(0.E0,0.E0,1.E0)); +#40056=VECTOR('',#40055,8.85E-1); +#40057=CARTESIAN_POINT('',(2.595E1,5.445E0,-2.6725E1)); +#40058=LINE('',#40057,#40056); +#40059=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40060=VECTOR('',#40059,8.85E-1); +#40061=CARTESIAN_POINT('',(2.595E1,4.815E0,-2.584E1)); +#40062=LINE('',#40061,#40060); +#40063=CARTESIAN_POINT('',(2.595E1,3.815E0,-2.6725E1)); +#40064=DIRECTION('',(-1.E0,0.E0,0.E0)); +#40065=DIRECTION('',(0.E0,1.E0,0.E0)); +#40066=AXIS2_PLACEMENT_3D('',#40063,#40064,#40065); +#40068=DIRECTION('',(0.E0,-1.E0,0.E0)); +#40069=VECTOR('',#40068,1.475519237886E1); +#40070=CARTESIAN_POINT('',(2.595E1,3.815E0,-2.7725E1)); +#40071=LINE('',#40070,#40069); +#40072=DIRECTION('',(0.E0,0.E0,1.E0)); +#40073=VECTOR('',#40072,6.3E-1); +#40074=CARTESIAN_POINT('',(2.595E1,-1.094019237886E1,-2.5815E1)); +#40075=LINE('',#40074,#40073); +#40076=DIRECTION('',(0.E0,1.E0,0.E0)); +#40077=VECTOR('',#40076,7.655192378865E0); +#40078=CARTESIAN_POINT('',(2.595E1,-1.094019237886E1,-2.5815E1)); +#40079=LINE('',#40078,#40077); +#40080=CARTESIAN_POINT('',(2.595E1,-3.285E0,-2.4685E1)); +#40081=DIRECTION('',(1.E0,0.E0,0.E0)); +#40082=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40083=AXIS2_PLACEMENT_3D('',#40080,#40081,#40082); +#40085=DIRECTION('',(0.E0,0.E0,1.E0)); +#40086=VECTOR('',#40085,1.085E0); +#40087=CARTESIAN_POINT('',(2.595E1,-2.155E0,-2.4685E1)); +#40088=LINE('',#40087,#40086); +#40089=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40090=VECTOR('',#40089,1.085E0); +#40091=CARTESIAN_POINT('',(2.595E1,-2.785E0,-2.36E1)); +#40092=LINE('',#40091,#40090); +#40093=CARTESIAN_POINT('',(2.595E1,-3.285E0,-2.4685E1)); +#40094=DIRECTION('',(-1.E0,0.E0,0.E0)); +#40095=DIRECTION('',(0.E0,1.E0,0.E0)); +#40096=AXIS2_PLACEMENT_3D('',#40093,#40094,#40095); +#40098=DIRECTION('',(0.E0,-1.E0,0.E0)); +#40099=VECTOR('',#40098,7.655192378865E0); +#40100=CARTESIAN_POINT('',(2.595E1,-3.285E0,-2.5185E1)); +#40101=LINE('',#40100,#40099); +#40102=DIRECTION('',(0.E0,1.E0,0.E0)); +#40103=VECTOR('',#40102,3.7E0); +#40104=CARTESIAN_POINT('',(2.67E1,-1.72E1,-2.8355E1)); +#40105=LINE('',#40104,#40103); +#40106=DIRECTION('',(0.E0,1.E0,0.E0)); +#40107=VECTOR('',#40106,3.7E0); +#40108=CARTESIAN_POINT('',(2.61E1,-1.72E1,-2.8355E1)); +#40109=LINE('',#40108,#40107); +#40110=DIRECTION('',(1.E0,0.E0,0.E0)); +#40111=VECTOR('',#40110,6.E-1); +#40112=CARTESIAN_POINT('',(2.61E1,-1.72E1,-2.8355E1)); +#40113=LINE('',#40112,#40111); +#40114=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#40115=VECTOR('',#40114,3.E-1); +#40116=CARTESIAN_POINT('',(2.67E1,-1.12E1,-2.8355E1)); +#40117=LINE('',#40116,#40115); +#40118=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#40119=VECTOR('',#40118,3.E-1); +#40120=CARTESIAN_POINT('',(2.595E1,-1.094019237886E1,-2.8355E1)); +#40121=LINE('',#40120,#40119); +#40122=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40123=VECTOR('',#40122,6.3E-1); +#40124=CARTESIAN_POINT('',(2.67E1,-1.72E1,-2.7725E1)); +#40125=LINE('',#40124,#40123); +#40126=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40127=VECTOR('',#40126,6.3E-1); +#40128=CARTESIAN_POINT('',(2.61E1,-1.72E1,-2.7725E1)); +#40129=LINE('',#40128,#40127); +#40130=DIRECTION('',(1.E0,0.E0,0.E0)); +#40131=VECTOR('',#40130,6.E-1); +#40132=CARTESIAN_POINT('',(2.61E1,-1.72E1,-2.7725E1)); +#40133=LINE('',#40132,#40131); +#40134=DIRECTION('',(0.E0,0.E0,1.E0)); +#40135=VECTOR('',#40134,6.3E-1); +#40136=CARTESIAN_POINT('',(2.61E1,-1.35E1,-2.8355E1)); +#40137=LINE('',#40136,#40135); +#40138=DIRECTION('',(0.E0,0.E0,1.E0)); +#40139=VECTOR('',#40138,6.3E-1); +#40140=CARTESIAN_POINT('',(2.61E1,-1.35E1,-2.5815E1)); +#40141=LINE('',#40140,#40139); +#40142=DIRECTION('',(0.E0,1.E0,0.E0)); +#40143=VECTOR('',#40142,3.7E0); +#40144=CARTESIAN_POINT('',(2.67E1,-1.72E1,-2.7725E1)); +#40145=LINE('',#40144,#40143); +#40146=DIRECTION('',(0.E0,1.E0,0.E0)); +#40147=VECTOR('',#40146,3.7E0); +#40148=CARTESIAN_POINT('',(2.61E1,-1.72E1,-2.7725E1)); +#40149=LINE('',#40148,#40147); +#40150=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#40151=VECTOR('',#40150,3.E-1); +#40152=CARTESIAN_POINT('',(2.67E1,-1.12E1,-2.7725E1)); +#40153=LINE('',#40152,#40151); +#40154=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#40155=VECTOR('',#40154,3.E-1); +#40156=CARTESIAN_POINT('',(2.595E1,-1.094019237886E1,-2.7725E1)); +#40157=LINE('',#40156,#40155); +#40158=DIRECTION('',(1.E0,0.E0,0.E0)); +#40159=VECTOR('',#40158,9.E-1); +#40160=CARTESIAN_POINT('',(2.595E1,3.815E0,-2.7725E1)); +#40161=LINE('',#40160,#40159); +#40162=DIRECTION('',(0.E0,0.E0,1.E0)); +#40163=VECTOR('',#40162,6.3E-1); +#40164=CARTESIAN_POINT('',(2.67E1,-1.12E1,-2.8355E1)); +#40165=LINE('',#40164,#40163); +#40166=DIRECTION('',(0.E0,0.E0,1.E0)); +#40167=VECTOR('',#40166,6.3E-1); +#40168=CARTESIAN_POINT('',(2.67E1,-1.12E1,-2.5815E1)); +#40169=LINE('',#40168,#40167); +#40170=DIRECTION('',(0.E0,0.E0,1.E0)); +#40171=VECTOR('',#40170,6.3E-1); +#40172=CARTESIAN_POINT('',(2.685E1,-1.094019237886E1,-2.8355E1)); +#40173=LINE('',#40172,#40171); +#40174=DIRECTION('',(0.E0,-1.E0,0.E0)); +#40175=VECTOR('',#40174,1.475519237886E1); +#40176=CARTESIAN_POINT('',(2.685E1,3.815E0,-2.7725E1)); +#40177=LINE('',#40176,#40175); +#40178=CARTESIAN_POINT('',(2.685E1,3.815E0,-2.6725E1)); +#40179=DIRECTION('',(-1.E0,0.E0,0.E0)); +#40180=DIRECTION('',(0.E0,1.E0,0.E0)); +#40181=AXIS2_PLACEMENT_3D('',#40178,#40179,#40180); +#40183=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40184=VECTOR('',#40183,8.85E-1); +#40185=CARTESIAN_POINT('',(2.685E1,4.815E0,-2.584E1)); +#40186=LINE('',#40185,#40184); +#40187=DIRECTION('',(0.E0,0.E0,1.E0)); +#40188=VECTOR('',#40187,8.85E-1); +#40189=CARTESIAN_POINT('',(2.685E1,5.445E0,-2.6725E1)); +#40190=LINE('',#40189,#40188); +#40191=CARTESIAN_POINT('',(2.685E1,3.815E0,-2.6725E1)); +#40192=DIRECTION('',(1.E0,0.E0,0.E0)); +#40193=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#40194=AXIS2_PLACEMENT_3D('',#40191,#40192,#40193); +#40196=DIRECTION('',(0.E0,1.E0,0.E0)); +#40197=VECTOR('',#40196,1.475519237886E1); +#40198=CARTESIAN_POINT('',(2.685E1,-1.094019237886E1,-2.8355E1)); +#40199=LINE('',#40198,#40197); +#40200=DIRECTION('',(0.E0,0.E0,1.E0)); +#40201=VECTOR('',#40200,6.3E-1); +#40202=CARTESIAN_POINT('',(2.685E1,-1.094019237886E1,-2.5815E1)); +#40203=LINE('',#40202,#40201); +#40204=DIRECTION('',(0.E0,-1.E0,0.E0)); +#40205=VECTOR('',#40204,7.655192378865E0); +#40206=CARTESIAN_POINT('',(2.685E1,-3.285E0,-2.5185E1)); +#40207=LINE('',#40206,#40205); +#40208=CARTESIAN_POINT('',(2.685E1,-3.285E0,-2.4685E1)); +#40209=DIRECTION('',(-1.E0,0.E0,0.E0)); +#40210=DIRECTION('',(0.E0,1.E0,0.E0)); +#40211=AXIS2_PLACEMENT_3D('',#40208,#40209,#40210); +#40213=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40214=VECTOR('',#40213,1.085E0); +#40215=CARTESIAN_POINT('',(2.685E1,-2.785E0,-2.36E1)); +#40216=LINE('',#40215,#40214); +#40217=DIRECTION('',(0.E0,0.E0,1.E0)); +#40218=VECTOR('',#40217,1.085E0); +#40219=CARTESIAN_POINT('',(2.685E1,-2.155E0,-2.4685E1)); +#40220=LINE('',#40219,#40218); +#40221=CARTESIAN_POINT('',(2.685E1,-3.285E0,-2.4685E1)); +#40222=DIRECTION('',(1.E0,0.E0,0.E0)); +#40223=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40224=AXIS2_PLACEMENT_3D('',#40221,#40222,#40223); +#40226=DIRECTION('',(0.E0,1.E0,0.E0)); +#40227=VECTOR('',#40226,7.655192378865E0); +#40228=CARTESIAN_POINT('',(2.685E1,-1.094019237886E1,-2.5815E1)); +#40229=LINE('',#40228,#40227); +#40230=DIRECTION('',(1.E0,0.E0,0.E0)); +#40231=VECTOR('',#40230,9.E-1); +#40232=CARTESIAN_POINT('',(2.595E1,4.815E0,-2.6725E1)); +#40233=LINE('',#40232,#40231); +#40234=DIRECTION('',(1.E0,0.E0,0.E0)); +#40235=VECTOR('',#40234,9.E-1); +#40236=CARTESIAN_POINT('',(2.595E1,5.445E0,-2.6725E1)); +#40237=LINE('',#40236,#40235); +#40238=DIRECTION('',(1.E0,0.E0,0.E0)); +#40239=VECTOR('',#40238,9.E-1); +#40240=CARTESIAN_POINT('',(2.595E1,3.815E0,-2.8355E1)); +#40241=LINE('',#40240,#40239); +#40242=DIRECTION('',(0.E0,1.E0,0.E0)); +#40243=VECTOR('',#40242,3.7E0); +#40244=CARTESIAN_POINT('',(2.67E1,-1.72E1,-2.5185E1)); +#40245=LINE('',#40244,#40243); +#40246=DIRECTION('',(0.E0,1.E0,0.E0)); +#40247=VECTOR('',#40246,3.7E0); +#40248=CARTESIAN_POINT('',(2.61E1,-1.72E1,-2.5185E1)); +#40249=LINE('',#40248,#40247); +#40250=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#40251=VECTOR('',#40250,3.E-1); +#40252=CARTESIAN_POINT('',(2.67E1,-1.12E1,-2.5185E1)); +#40253=LINE('',#40252,#40251); +#40254=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#40255=VECTOR('',#40254,3.E-1); +#40256=CARTESIAN_POINT('',(2.595E1,-1.094019237886E1,-2.5185E1)); +#40257=LINE('',#40256,#40255); +#40258=DIRECTION('',(1.E0,0.E0,0.E0)); +#40259=VECTOR('',#40258,9.E-1); +#40260=CARTESIAN_POINT('',(2.595E1,-3.285E0,-2.5185E1)); +#40261=LINE('',#40260,#40259); +#40262=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40263=VECTOR('',#40262,6.3E-1); +#40264=CARTESIAN_POINT('',(2.67E1,-1.72E1,-2.5185E1)); +#40265=LINE('',#40264,#40263); +#40266=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40267=VECTOR('',#40266,6.3E-1); +#40268=CARTESIAN_POINT('',(2.61E1,-1.72E1,-2.5185E1)); +#40269=LINE('',#40268,#40267); +#40270=DIRECTION('',(1.E0,0.E0,0.E0)); +#40271=VECTOR('',#40270,6.E-1); +#40272=CARTESIAN_POINT('',(2.61E1,-1.72E1,-2.5185E1)); +#40273=LINE('',#40272,#40271); +#40274=DIRECTION('',(0.E0,1.E0,0.E0)); +#40275=VECTOR('',#40274,3.7E0); +#40276=CARTESIAN_POINT('',(2.67E1,-1.72E1,-2.5815E1)); +#40277=LINE('',#40276,#40275); +#40278=DIRECTION('',(0.E0,1.E0,0.E0)); +#40279=VECTOR('',#40278,3.7E0); +#40280=CARTESIAN_POINT('',(2.61E1,-1.72E1,-2.5815E1)); +#40281=LINE('',#40280,#40279); +#40282=DIRECTION('',(1.E0,0.E0,0.E0)); +#40283=VECTOR('',#40282,6.E-1); +#40284=CARTESIAN_POINT('',(2.61E1,-1.72E1,-2.5815E1)); +#40285=LINE('',#40284,#40283); +#40286=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#40287=VECTOR('',#40286,3.E-1); +#40288=CARTESIAN_POINT('',(2.67E1,-1.12E1,-2.5815E1)); +#40289=LINE('',#40288,#40287); +#40290=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#40291=VECTOR('',#40290,3.E-1); +#40292=CARTESIAN_POINT('',(2.595E1,-1.094019237886E1,-2.5815E1)); +#40293=LINE('',#40292,#40291); +#40294=DIRECTION('',(1.E0,0.E0,0.E0)); +#40295=VECTOR('',#40294,9.E-1); +#40296=CARTESIAN_POINT('',(2.595E1,-3.285E0,-2.5815E1)); +#40297=LINE('',#40296,#40295); +#40298=DIRECTION('',(1.E0,0.E0,0.E0)); +#40299=VECTOR('',#40298,9.E-1); +#40300=CARTESIAN_POINT('',(2.595E1,-2.155E0,-2.4685E1)); +#40301=LINE('',#40300,#40299); +#40302=DIRECTION('',(1.E0,0.E0,0.E0)); +#40303=VECTOR('',#40302,9.E-1); +#40304=CARTESIAN_POINT('',(2.595E1,-2.785E0,-2.4685E1)); +#40305=LINE('',#40304,#40303); +#40306=DIRECTION('',(0.E0,0.E0,1.E0)); +#40307=VECTOR('',#40306,6.3E-1); +#40308=CARTESIAN_POINT('',(2.81E1,-1.094019237886E1,-3.0895E1)); +#40309=LINE('',#40308,#40307); +#40310=DIRECTION('',(0.E0,1.E0,0.E0)); +#40311=VECTOR('',#40310,1.475519237886E1); +#40312=CARTESIAN_POINT('',(2.81E1,-1.094019237886E1,-3.0895E1)); +#40313=LINE('',#40312,#40311); +#40314=CARTESIAN_POINT('',(2.81E1,3.815E0,-2.9265E1)); +#40315=DIRECTION('',(1.E0,0.E0,0.E0)); +#40316=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40317=AXIS2_PLACEMENT_3D('',#40314,#40315,#40316); +#40319=DIRECTION('',(0.E0,0.E0,1.E0)); +#40320=VECTOR('',#40319,3.425E0); +#40321=CARTESIAN_POINT('',(2.81E1,5.445E0,-2.9265E1)); +#40322=LINE('',#40321,#40320); +#40323=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40324=VECTOR('',#40323,3.425E0); +#40325=CARTESIAN_POINT('',(2.81E1,4.815E0,-2.584E1)); +#40326=LINE('',#40325,#40324); +#40327=CARTESIAN_POINT('',(2.81E1,3.815E0,-2.9265E1)); +#40328=DIRECTION('',(-1.E0,0.E0,0.E0)); +#40329=DIRECTION('',(0.E0,1.E0,0.E0)); +#40330=AXIS2_PLACEMENT_3D('',#40327,#40328,#40329); +#40332=DIRECTION('',(0.E0,-1.E0,0.E0)); +#40333=VECTOR('',#40332,1.475519237886E1); +#40334=CARTESIAN_POINT('',(2.81E1,3.815E0,-3.0265E1)); +#40335=LINE('',#40334,#40333); +#40336=DIRECTION('',(0.E0,0.E0,1.E0)); +#40337=VECTOR('',#40336,6.3E-1); +#40338=CARTESIAN_POINT('',(2.81E1,-1.094019237886E1,-2.5815E1)); +#40339=LINE('',#40338,#40337); +#40340=DIRECTION('',(0.E0,1.E0,0.E0)); +#40341=VECTOR('',#40340,7.655192378865E0); +#40342=CARTESIAN_POINT('',(2.81E1,-1.094019237886E1,-2.5815E1)); +#40343=LINE('',#40342,#40341); +#40344=CARTESIAN_POINT('',(2.81E1,-3.285E0,-2.4685E1)); +#40345=DIRECTION('',(1.E0,0.E0,0.E0)); +#40346=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40347=AXIS2_PLACEMENT_3D('',#40344,#40345,#40346); +#40349=DIRECTION('',(0.E0,0.E0,1.E0)); +#40350=VECTOR('',#40349,1.085E0); +#40351=CARTESIAN_POINT('',(2.81E1,-2.155E0,-2.4685E1)); +#40352=LINE('',#40351,#40350); +#40353=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40354=VECTOR('',#40353,1.085E0); +#40355=CARTESIAN_POINT('',(2.81E1,-2.785E0,-2.36E1)); +#40356=LINE('',#40355,#40354); +#40357=CARTESIAN_POINT('',(2.81E1,-3.285E0,-2.4685E1)); +#40358=DIRECTION('',(-1.E0,0.E0,0.E0)); +#40359=DIRECTION('',(0.E0,1.E0,0.E0)); +#40360=AXIS2_PLACEMENT_3D('',#40357,#40358,#40359); +#40362=DIRECTION('',(0.E0,-1.E0,0.E0)); +#40363=VECTOR('',#40362,7.655192378865E0); +#40364=CARTESIAN_POINT('',(2.81E1,-3.285E0,-2.5185E1)); +#40365=LINE('',#40364,#40363); +#40366=DIRECTION('',(0.E0,1.E0,0.E0)); +#40367=VECTOR('',#40366,3.7E0); +#40368=CARTESIAN_POINT('',(2.825E1,-1.72E1,-3.0895E1)); +#40369=LINE('',#40368,#40367); +#40370=DIRECTION('',(1.E0,0.E0,0.E0)); +#40371=VECTOR('',#40370,6.E-1); +#40372=CARTESIAN_POINT('',(2.825E1,-1.72E1,-3.0895E1)); +#40373=LINE('',#40372,#40371); +#40374=DIRECTION('',(0.E0,1.E0,0.E0)); +#40375=VECTOR('',#40374,3.7E0); +#40376=CARTESIAN_POINT('',(2.885E1,-1.72E1,-3.0895E1)); +#40377=LINE('',#40376,#40375); +#40378=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#40379=VECTOR('',#40378,3.E-1); +#40380=CARTESIAN_POINT('',(2.81E1,-1.094019237886E1,-3.0895E1)); +#40381=LINE('',#40380,#40379); +#40382=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#40383=VECTOR('',#40382,3.E-1); +#40384=CARTESIAN_POINT('',(2.885E1,-1.12E1,-3.0895E1)); +#40385=LINE('',#40384,#40383); +#40386=DIRECTION('',(0.E0,0.E0,1.E0)); +#40387=VECTOR('',#40386,6.3E-1); +#40388=CARTESIAN_POINT('',(2.825E1,-1.35E1,-3.0895E1)); +#40389=LINE('',#40388,#40387); +#40390=DIRECTION('',(0.E0,0.E0,1.E0)); +#40391=VECTOR('',#40390,6.3E-1); +#40392=CARTESIAN_POINT('',(2.825E1,-1.35E1,-2.5815E1)); +#40393=LINE('',#40392,#40391); +#40394=DIRECTION('',(0.E0,1.E0,0.E0)); +#40395=VECTOR('',#40394,3.7E0); +#40396=CARTESIAN_POINT('',(2.825E1,-1.72E1,-3.0265E1)); +#40397=LINE('',#40396,#40395); +#40398=DIRECTION('',(0.E0,1.E0,0.E0)); +#40399=VECTOR('',#40398,3.7E0); +#40400=CARTESIAN_POINT('',(2.885E1,-1.72E1,-3.0265E1)); +#40401=LINE('',#40400,#40399); +#40402=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#40403=VECTOR('',#40402,3.E-1); +#40404=CARTESIAN_POINT('',(2.81E1,-1.094019237886E1,-3.0265E1)); +#40405=LINE('',#40404,#40403); +#40406=DIRECTION('',(1.E0,0.E0,0.E0)); +#40407=VECTOR('',#40406,9.E-1); +#40408=CARTESIAN_POINT('',(2.81E1,3.815E0,-3.0265E1)); +#40409=LINE('',#40408,#40407); +#40410=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#40411=VECTOR('',#40410,3.E-1); +#40412=CARTESIAN_POINT('',(2.885E1,-1.12E1,-3.0265E1)); +#40413=LINE('',#40412,#40411); +#40414=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40415=VECTOR('',#40414,6.3E-1); +#40416=CARTESIAN_POINT('',(2.825E1,-1.72E1,-3.0265E1)); +#40417=LINE('',#40416,#40415); +#40418=DIRECTION('',(1.E0,0.E0,0.E0)); +#40419=VECTOR('',#40418,6.E-1); +#40420=CARTESIAN_POINT('',(2.825E1,-1.72E1,-3.0265E1)); +#40421=LINE('',#40420,#40419); +#40422=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40423=VECTOR('',#40422,6.3E-1); +#40424=CARTESIAN_POINT('',(2.885E1,-1.72E1,-3.0265E1)); +#40425=LINE('',#40424,#40423); +#40426=DIRECTION('',(0.E0,1.E0,0.E0)); +#40427=VECTOR('',#40426,3.7E0); +#40428=CARTESIAN_POINT('',(2.825E1,-1.72E1,-2.5815E1)); +#40429=LINE('',#40428,#40427); +#40430=DIRECTION('',(1.E0,0.E0,0.E0)); +#40431=VECTOR('',#40430,6.E-1); +#40432=CARTESIAN_POINT('',(2.825E1,-1.72E1,-2.5815E1)); +#40433=LINE('',#40432,#40431); +#40434=DIRECTION('',(0.E0,1.E0,0.E0)); +#40435=VECTOR('',#40434,3.7E0); +#40436=CARTESIAN_POINT('',(2.885E1,-1.72E1,-2.5815E1)); +#40437=LINE('',#40436,#40435); +#40438=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#40439=VECTOR('',#40438,3.E-1); +#40440=CARTESIAN_POINT('',(2.81E1,-1.094019237886E1,-2.5815E1)); +#40441=LINE('',#40440,#40439); +#40442=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#40443=VECTOR('',#40442,3.E-1); +#40444=CARTESIAN_POINT('',(2.885E1,-1.12E1,-2.5815E1)); +#40445=LINE('',#40444,#40443); +#40446=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40447=VECTOR('',#40446,6.3E-1); +#40448=CARTESIAN_POINT('',(2.825E1,-1.72E1,-2.5185E1)); +#40449=LINE('',#40448,#40447); +#40450=DIRECTION('',(1.E0,0.E0,0.E0)); +#40451=VECTOR('',#40450,6.E-1); +#40452=CARTESIAN_POINT('',(2.825E1,-1.72E1,-2.5185E1)); +#40453=LINE('',#40452,#40451); +#40454=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40455=VECTOR('',#40454,6.3E-1); +#40456=CARTESIAN_POINT('',(2.885E1,-1.72E1,-2.5185E1)); +#40457=LINE('',#40456,#40455); +#40458=DIRECTION('',(0.E0,1.E0,0.E0)); +#40459=VECTOR('',#40458,3.7E0); +#40460=CARTESIAN_POINT('',(2.825E1,-1.72E1,-2.5185E1)); +#40461=LINE('',#40460,#40459); +#40462=DIRECTION('',(0.E0,1.E0,0.E0)); +#40463=VECTOR('',#40462,3.7E0); +#40464=CARTESIAN_POINT('',(2.885E1,-1.72E1,-2.5185E1)); +#40465=LINE('',#40464,#40463); +#40466=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#40467=VECTOR('',#40466,3.E-1); +#40468=CARTESIAN_POINT('',(2.81E1,-1.094019237886E1,-2.5185E1)); +#40469=LINE('',#40468,#40467); +#40470=DIRECTION('',(1.E0,0.E0,0.E0)); +#40471=VECTOR('',#40470,9.E-1); +#40472=CARTESIAN_POINT('',(2.81E1,-3.285E0,-2.5185E1)); +#40473=LINE('',#40472,#40471); +#40474=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#40475=VECTOR('',#40474,3.E-1); +#40476=CARTESIAN_POINT('',(2.885E1,-1.12E1,-2.5185E1)); +#40477=LINE('',#40476,#40475); +#40478=DIRECTION('',(1.E0,0.E0,0.E0)); +#40479=VECTOR('',#40478,9.E-1); +#40480=CARTESIAN_POINT('',(2.81E1,-2.785E0,-2.4685E1)); +#40481=LINE('',#40480,#40479); +#40482=DIRECTION('',(0.E0,0.E0,1.E0)); +#40483=VECTOR('',#40482,6.3E-1); +#40484=CARTESIAN_POINT('',(2.9E1,-1.094019237886E1,-3.0895E1)); +#40485=LINE('',#40484,#40483); +#40486=DIRECTION('',(0.E0,-1.E0,0.E0)); +#40487=VECTOR('',#40486,1.475519237886E1); +#40488=CARTESIAN_POINT('',(2.9E1,3.815E0,-3.0265E1)); +#40489=LINE('',#40488,#40487); +#40490=CARTESIAN_POINT('',(2.9E1,3.815E0,-2.9265E1)); +#40491=DIRECTION('',(-1.E0,0.E0,0.E0)); +#40492=DIRECTION('',(0.E0,1.E0,0.E0)); +#40493=AXIS2_PLACEMENT_3D('',#40490,#40491,#40492); +#40495=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40496=VECTOR('',#40495,3.425E0); +#40497=CARTESIAN_POINT('',(2.9E1,4.815E0,-2.584E1)); +#40498=LINE('',#40497,#40496); +#40499=DIRECTION('',(0.E0,0.E0,1.E0)); +#40500=VECTOR('',#40499,3.425E0); +#40501=CARTESIAN_POINT('',(2.9E1,5.445E0,-2.9265E1)); +#40502=LINE('',#40501,#40500); +#40503=CARTESIAN_POINT('',(2.9E1,3.815E0,-2.9265E1)); +#40504=DIRECTION('',(1.E0,0.E0,0.E0)); +#40505=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40506=AXIS2_PLACEMENT_3D('',#40503,#40504,#40505); +#40508=DIRECTION('',(0.E0,1.E0,0.E0)); +#40509=VECTOR('',#40508,1.475519237886E1); +#40510=CARTESIAN_POINT('',(2.9E1,-1.094019237886E1,-3.0895E1)); +#40511=LINE('',#40510,#40509); +#40512=DIRECTION('',(0.E0,0.E0,1.E0)); +#40513=VECTOR('',#40512,6.3E-1); +#40514=CARTESIAN_POINT('',(2.9E1,-1.094019237886E1,-2.5815E1)); +#40515=LINE('',#40514,#40513); +#40516=DIRECTION('',(0.E0,-1.E0,0.E0)); +#40517=VECTOR('',#40516,7.655192378865E0); +#40518=CARTESIAN_POINT('',(2.9E1,-3.285E0,-2.5185E1)); +#40519=LINE('',#40518,#40517); +#40520=CARTESIAN_POINT('',(2.9E1,-3.285E0,-2.4685E1)); +#40521=DIRECTION('',(-1.E0,0.E0,0.E0)); +#40522=DIRECTION('',(0.E0,1.E0,0.E0)); +#40523=AXIS2_PLACEMENT_3D('',#40520,#40521,#40522); +#40525=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40526=VECTOR('',#40525,1.085E0); +#40527=CARTESIAN_POINT('',(2.9E1,-2.785E0,-2.36E1)); +#40528=LINE('',#40527,#40526); +#40529=DIRECTION('',(0.E0,0.E0,1.E0)); +#40530=VECTOR('',#40529,1.085E0); +#40531=CARTESIAN_POINT('',(2.9E1,-2.155E0,-2.4685E1)); +#40532=LINE('',#40531,#40530); +#40533=CARTESIAN_POINT('',(2.9E1,-3.285E0,-2.4685E1)); +#40534=DIRECTION('',(1.E0,0.E0,0.E0)); +#40535=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40536=AXIS2_PLACEMENT_3D('',#40533,#40534,#40535); +#40538=DIRECTION('',(0.E0,1.E0,0.E0)); +#40539=VECTOR('',#40538,7.655192378865E0); +#40540=CARTESIAN_POINT('',(2.9E1,-1.094019237886E1,-2.5815E1)); +#40541=LINE('',#40540,#40539); +#40542=DIRECTION('',(0.E0,0.E0,1.E0)); +#40543=VECTOR('',#40542,6.3E-1); +#40544=CARTESIAN_POINT('',(2.885E1,-1.12E1,-3.0895E1)); +#40545=LINE('',#40544,#40543); +#40546=DIRECTION('',(0.E0,0.E0,1.E0)); +#40547=VECTOR('',#40546,6.3E-1); +#40548=CARTESIAN_POINT('',(2.885E1,-1.12E1,-2.5815E1)); +#40549=LINE('',#40548,#40547); +#40550=DIRECTION('',(1.E0,0.E0,0.E0)); +#40551=VECTOR('',#40550,9.E-1); +#40552=CARTESIAN_POINT('',(2.81E1,4.815E0,-2.9265E1)); +#40553=LINE('',#40552,#40551); +#40554=DIRECTION('',(1.E0,0.E0,0.E0)); +#40555=VECTOR('',#40554,9.E-1); +#40556=CARTESIAN_POINT('',(2.81E1,5.445E0,-2.9265E1)); +#40557=LINE('',#40556,#40555); +#40558=DIRECTION('',(1.E0,0.E0,0.E0)); +#40559=VECTOR('',#40558,9.E-1); +#40560=CARTESIAN_POINT('',(2.81E1,3.815E0,-3.0895E1)); +#40561=LINE('',#40560,#40559); +#40562=DIRECTION('',(1.E0,0.E0,0.E0)); +#40563=VECTOR('',#40562,9.E-1); +#40564=CARTESIAN_POINT('',(2.81E1,-2.155E0,-2.4685E1)); +#40565=LINE('',#40564,#40563); +#40566=DIRECTION('',(1.E0,0.E0,0.E0)); +#40567=VECTOR('',#40566,9.E-1); +#40568=CARTESIAN_POINT('',(2.81E1,-3.285E0,-2.5815E1)); +#40569=LINE('',#40568,#40567); +#40570=DIRECTION('',(0.E0,0.E0,1.E0)); +#40571=VECTOR('',#40570,6.3E-1); +#40572=CARTESIAN_POINT('',(3.025E1,-1.094019237886E1,-2.8355E1)); +#40573=LINE('',#40572,#40571); +#40574=DIRECTION('',(0.E0,1.E0,0.E0)); +#40575=VECTOR('',#40574,1.475519237886E1); +#40576=CARTESIAN_POINT('',(3.025E1,-1.094019237886E1,-2.8355E1)); +#40577=LINE('',#40576,#40575); +#40578=CARTESIAN_POINT('',(3.025E1,3.815E0,-2.6725E1)); +#40579=DIRECTION('',(1.E0,0.E0,0.E0)); +#40580=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#40581=AXIS2_PLACEMENT_3D('',#40578,#40579,#40580); +#40583=DIRECTION('',(0.E0,0.E0,1.E0)); +#40584=VECTOR('',#40583,8.85E-1); +#40585=CARTESIAN_POINT('',(3.025E1,5.445E0,-2.6725E1)); +#40586=LINE('',#40585,#40584); +#40587=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40588=VECTOR('',#40587,8.85E-1); +#40589=CARTESIAN_POINT('',(3.025E1,4.815E0,-2.584E1)); +#40590=LINE('',#40589,#40588); +#40591=CARTESIAN_POINT('',(3.025E1,3.815E0,-2.6725E1)); +#40592=DIRECTION('',(-1.E0,0.E0,0.E0)); +#40593=DIRECTION('',(0.E0,1.E0,0.E0)); +#40594=AXIS2_PLACEMENT_3D('',#40591,#40592,#40593); +#40596=DIRECTION('',(0.E0,-1.E0,0.E0)); +#40597=VECTOR('',#40596,1.475519237886E1); +#40598=CARTESIAN_POINT('',(3.025E1,3.815E0,-2.7725E1)); +#40599=LINE('',#40598,#40597); +#40600=DIRECTION('',(0.E0,0.E0,1.E0)); +#40601=VECTOR('',#40600,6.3E-1); +#40602=CARTESIAN_POINT('',(3.025E1,-1.094019237886E1,-2.5815E1)); +#40603=LINE('',#40602,#40601); +#40604=DIRECTION('',(0.E0,1.E0,0.E0)); +#40605=VECTOR('',#40604,7.655192378865E0); +#40606=CARTESIAN_POINT('',(3.025E1,-1.094019237886E1,-2.5815E1)); +#40607=LINE('',#40606,#40605); +#40608=CARTESIAN_POINT('',(3.025E1,-3.285E0,-2.4685E1)); +#40609=DIRECTION('',(1.E0,0.E0,0.E0)); +#40610=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40611=AXIS2_PLACEMENT_3D('',#40608,#40609,#40610); +#40613=DIRECTION('',(0.E0,0.E0,1.E0)); +#40614=VECTOR('',#40613,1.085E0); +#40615=CARTESIAN_POINT('',(3.025E1,-2.155E0,-2.4685E1)); +#40616=LINE('',#40615,#40614); +#40617=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40618=VECTOR('',#40617,1.085E0); +#40619=CARTESIAN_POINT('',(3.025E1,-2.785E0,-2.36E1)); +#40620=LINE('',#40619,#40618); +#40621=CARTESIAN_POINT('',(3.025E1,-3.285E0,-2.4685E1)); +#40622=DIRECTION('',(-1.E0,0.E0,0.E0)); +#40623=DIRECTION('',(0.E0,1.E0,0.E0)); +#40624=AXIS2_PLACEMENT_3D('',#40621,#40622,#40623); +#40626=DIRECTION('',(0.E0,-1.E0,0.E0)); +#40627=VECTOR('',#40626,7.655192378865E0); +#40628=CARTESIAN_POINT('',(3.025E1,-3.285E0,-2.5185E1)); +#40629=LINE('',#40628,#40627); +#40630=DIRECTION('',(0.E0,1.E0,0.E0)); +#40631=VECTOR('',#40630,3.7E0); +#40632=CARTESIAN_POINT('',(3.1E1,-1.72E1,-2.8355E1)); +#40633=LINE('',#40632,#40631); +#40634=DIRECTION('',(0.E0,1.E0,0.E0)); +#40635=VECTOR('',#40634,3.7E0); +#40636=CARTESIAN_POINT('',(3.04E1,-1.72E1,-2.8355E1)); +#40637=LINE('',#40636,#40635); +#40638=DIRECTION('',(1.E0,0.E0,0.E0)); +#40639=VECTOR('',#40638,6.E-1); +#40640=CARTESIAN_POINT('',(3.04E1,-1.72E1,-2.8355E1)); +#40641=LINE('',#40640,#40639); +#40642=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#40643=VECTOR('',#40642,3.E-1); +#40644=CARTESIAN_POINT('',(3.1E1,-1.12E1,-2.8355E1)); +#40645=LINE('',#40644,#40643); +#40646=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#40647=VECTOR('',#40646,3.E-1); +#40648=CARTESIAN_POINT('',(3.025E1,-1.094019237886E1,-2.8355E1)); +#40649=LINE('',#40648,#40647); +#40650=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40651=VECTOR('',#40650,6.3E-1); +#40652=CARTESIAN_POINT('',(3.1E1,-1.72E1,-2.7725E1)); +#40653=LINE('',#40652,#40651); +#40654=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40655=VECTOR('',#40654,6.3E-1); +#40656=CARTESIAN_POINT('',(3.04E1,-1.72E1,-2.7725E1)); +#40657=LINE('',#40656,#40655); +#40658=DIRECTION('',(1.E0,0.E0,0.E0)); +#40659=VECTOR('',#40658,6.E-1); +#40660=CARTESIAN_POINT('',(3.04E1,-1.72E1,-2.7725E1)); +#40661=LINE('',#40660,#40659); +#40662=DIRECTION('',(0.E0,0.E0,1.E0)); +#40663=VECTOR('',#40662,6.3E-1); +#40664=CARTESIAN_POINT('',(3.04E1,-1.35E1,-2.8355E1)); +#40665=LINE('',#40664,#40663); +#40666=DIRECTION('',(0.E0,0.E0,1.E0)); +#40667=VECTOR('',#40666,6.3E-1); +#40668=CARTESIAN_POINT('',(3.04E1,-1.35E1,-2.5815E1)); +#40669=LINE('',#40668,#40667); +#40670=DIRECTION('',(0.E0,1.E0,0.E0)); +#40671=VECTOR('',#40670,3.7E0); +#40672=CARTESIAN_POINT('',(3.1E1,-1.72E1,-2.7725E1)); +#40673=LINE('',#40672,#40671); +#40674=DIRECTION('',(0.E0,1.E0,0.E0)); +#40675=VECTOR('',#40674,3.7E0); +#40676=CARTESIAN_POINT('',(3.04E1,-1.72E1,-2.7725E1)); +#40677=LINE('',#40676,#40675); +#40678=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#40679=VECTOR('',#40678,3.E-1); +#40680=CARTESIAN_POINT('',(3.1E1,-1.12E1,-2.7725E1)); +#40681=LINE('',#40680,#40679); +#40682=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#40683=VECTOR('',#40682,3.E-1); +#40684=CARTESIAN_POINT('',(3.025E1,-1.094019237886E1,-2.7725E1)); +#40685=LINE('',#40684,#40683); +#40686=DIRECTION('',(1.E0,0.E0,0.E0)); +#40687=VECTOR('',#40686,9.E-1); +#40688=CARTESIAN_POINT('',(3.025E1,3.815E0,-2.7725E1)); +#40689=LINE('',#40688,#40687); +#40690=DIRECTION('',(0.E0,0.E0,1.E0)); +#40691=VECTOR('',#40690,6.3E-1); +#40692=CARTESIAN_POINT('',(3.1E1,-1.12E1,-2.8355E1)); +#40693=LINE('',#40692,#40691); +#40694=DIRECTION('',(0.E0,0.E0,1.E0)); +#40695=VECTOR('',#40694,6.3E-1); +#40696=CARTESIAN_POINT('',(3.1E1,-1.12E1,-2.5815E1)); +#40697=LINE('',#40696,#40695); +#40698=DIRECTION('',(0.E0,0.E0,1.E0)); +#40699=VECTOR('',#40698,6.3E-1); +#40700=CARTESIAN_POINT('',(3.115E1,-1.094019237886E1,-2.8355E1)); +#40701=LINE('',#40700,#40699); +#40702=DIRECTION('',(0.E0,-1.E0,0.E0)); +#40703=VECTOR('',#40702,1.475519237886E1); +#40704=CARTESIAN_POINT('',(3.115E1,3.815E0,-2.7725E1)); +#40705=LINE('',#40704,#40703); +#40706=CARTESIAN_POINT('',(3.115E1,3.815E0,-2.6725E1)); +#40707=DIRECTION('',(-1.E0,0.E0,0.E0)); +#40708=DIRECTION('',(0.E0,1.E0,0.E0)); +#40709=AXIS2_PLACEMENT_3D('',#40706,#40707,#40708); +#40711=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40712=VECTOR('',#40711,8.85E-1); +#40713=CARTESIAN_POINT('',(3.115E1,4.815E0,-2.584E1)); +#40714=LINE('',#40713,#40712); +#40715=DIRECTION('',(0.E0,0.E0,1.E0)); +#40716=VECTOR('',#40715,8.85E-1); +#40717=CARTESIAN_POINT('',(3.115E1,5.445E0,-2.6725E1)); +#40718=LINE('',#40717,#40716); +#40719=CARTESIAN_POINT('',(3.115E1,3.815E0,-2.6725E1)); +#40720=DIRECTION('',(1.E0,0.E0,0.E0)); +#40721=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#40722=AXIS2_PLACEMENT_3D('',#40719,#40720,#40721); +#40724=DIRECTION('',(0.E0,1.E0,0.E0)); +#40725=VECTOR('',#40724,1.475519237886E1); +#40726=CARTESIAN_POINT('',(3.115E1,-1.094019237886E1,-2.8355E1)); +#40727=LINE('',#40726,#40725); +#40728=DIRECTION('',(0.E0,0.E0,1.E0)); +#40729=VECTOR('',#40728,6.3E-1); +#40730=CARTESIAN_POINT('',(3.115E1,-1.094019237886E1,-2.5815E1)); +#40731=LINE('',#40730,#40729); +#40732=DIRECTION('',(0.E0,-1.E0,0.E0)); +#40733=VECTOR('',#40732,7.655192378865E0); +#40734=CARTESIAN_POINT('',(3.115E1,-3.285E0,-2.5185E1)); +#40735=LINE('',#40734,#40733); +#40736=CARTESIAN_POINT('',(3.115E1,-3.285E0,-2.4685E1)); +#40737=DIRECTION('',(-1.E0,0.E0,0.E0)); +#40738=DIRECTION('',(0.E0,1.E0,0.E0)); +#40739=AXIS2_PLACEMENT_3D('',#40736,#40737,#40738); +#40741=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40742=VECTOR('',#40741,1.085E0); +#40743=CARTESIAN_POINT('',(3.115E1,-2.785E0,-2.36E1)); +#40744=LINE('',#40743,#40742); +#40745=DIRECTION('',(0.E0,0.E0,1.E0)); +#40746=VECTOR('',#40745,1.085E0); +#40747=CARTESIAN_POINT('',(3.115E1,-2.155E0,-2.4685E1)); +#40748=LINE('',#40747,#40746); +#40749=CARTESIAN_POINT('',(3.115E1,-3.285E0,-2.4685E1)); +#40750=DIRECTION('',(1.E0,0.E0,0.E0)); +#40751=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40752=AXIS2_PLACEMENT_3D('',#40749,#40750,#40751); +#40754=DIRECTION('',(0.E0,1.E0,0.E0)); +#40755=VECTOR('',#40754,7.655192378865E0); +#40756=CARTESIAN_POINT('',(3.115E1,-1.094019237886E1,-2.5815E1)); +#40757=LINE('',#40756,#40755); +#40758=DIRECTION('',(1.E0,0.E0,0.E0)); +#40759=VECTOR('',#40758,9.E-1); +#40760=CARTESIAN_POINT('',(3.025E1,4.815E0,-2.6725E1)); +#40761=LINE('',#40760,#40759); +#40762=DIRECTION('',(1.E0,0.E0,0.E0)); +#40763=VECTOR('',#40762,9.E-1); +#40764=CARTESIAN_POINT('',(3.025E1,5.445E0,-2.6725E1)); +#40765=LINE('',#40764,#40763); +#40766=DIRECTION('',(1.E0,0.E0,0.E0)); +#40767=VECTOR('',#40766,9.E-1); +#40768=CARTESIAN_POINT('',(3.025E1,3.815E0,-2.8355E1)); +#40769=LINE('',#40768,#40767); +#40770=DIRECTION('',(0.E0,1.E0,0.E0)); +#40771=VECTOR('',#40770,3.7E0); +#40772=CARTESIAN_POINT('',(3.1E1,-1.72E1,-2.5185E1)); +#40773=LINE('',#40772,#40771); +#40774=DIRECTION('',(0.E0,1.E0,0.E0)); +#40775=VECTOR('',#40774,3.7E0); +#40776=CARTESIAN_POINT('',(3.04E1,-1.72E1,-2.5185E1)); +#40777=LINE('',#40776,#40775); +#40778=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#40779=VECTOR('',#40778,3.E-1); +#40780=CARTESIAN_POINT('',(3.1E1,-1.12E1,-2.5185E1)); +#40781=LINE('',#40780,#40779); +#40782=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#40783=VECTOR('',#40782,3.E-1); +#40784=CARTESIAN_POINT('',(3.025E1,-1.094019237886E1,-2.5185E1)); +#40785=LINE('',#40784,#40783); +#40786=DIRECTION('',(1.E0,0.E0,0.E0)); +#40787=VECTOR('',#40786,9.E-1); +#40788=CARTESIAN_POINT('',(3.025E1,-3.285E0,-2.5185E1)); +#40789=LINE('',#40788,#40787); +#40790=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40791=VECTOR('',#40790,6.3E-1); +#40792=CARTESIAN_POINT('',(3.1E1,-1.72E1,-2.5185E1)); +#40793=LINE('',#40792,#40791); +#40794=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40795=VECTOR('',#40794,6.3E-1); +#40796=CARTESIAN_POINT('',(3.04E1,-1.72E1,-2.5185E1)); +#40797=LINE('',#40796,#40795); +#40798=DIRECTION('',(1.E0,0.E0,0.E0)); +#40799=VECTOR('',#40798,6.E-1); +#40800=CARTESIAN_POINT('',(3.04E1,-1.72E1,-2.5185E1)); +#40801=LINE('',#40800,#40799); +#40802=DIRECTION('',(0.E0,1.E0,0.E0)); +#40803=VECTOR('',#40802,3.7E0); +#40804=CARTESIAN_POINT('',(3.1E1,-1.72E1,-2.5815E1)); +#40805=LINE('',#40804,#40803); +#40806=DIRECTION('',(0.E0,1.E0,0.E0)); +#40807=VECTOR('',#40806,3.7E0); +#40808=CARTESIAN_POINT('',(3.04E1,-1.72E1,-2.5815E1)); +#40809=LINE('',#40808,#40807); +#40810=DIRECTION('',(1.E0,0.E0,0.E0)); +#40811=VECTOR('',#40810,6.E-1); +#40812=CARTESIAN_POINT('',(3.04E1,-1.72E1,-2.5815E1)); +#40813=LINE('',#40812,#40811); +#40814=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#40815=VECTOR('',#40814,3.E-1); +#40816=CARTESIAN_POINT('',(3.1E1,-1.12E1,-2.5815E1)); +#40817=LINE('',#40816,#40815); +#40818=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#40819=VECTOR('',#40818,3.E-1); +#40820=CARTESIAN_POINT('',(3.025E1,-1.094019237886E1,-2.5815E1)); +#40821=LINE('',#40820,#40819); +#40822=DIRECTION('',(1.E0,0.E0,0.E0)); +#40823=VECTOR('',#40822,9.E-1); +#40824=CARTESIAN_POINT('',(3.025E1,-3.285E0,-2.5815E1)); +#40825=LINE('',#40824,#40823); +#40826=DIRECTION('',(1.E0,0.E0,0.E0)); +#40827=VECTOR('',#40826,9.E-1); +#40828=CARTESIAN_POINT('',(3.025E1,-2.155E0,-2.4685E1)); +#40829=LINE('',#40828,#40827); +#40830=DIRECTION('',(1.E0,0.E0,0.E0)); +#40831=VECTOR('',#40830,9.E-1); +#40832=CARTESIAN_POINT('',(3.025E1,-2.785E0,-2.4685E1)); +#40833=LINE('',#40832,#40831); +#40834=DIRECTION('',(0.E0,0.E0,1.E0)); +#40835=VECTOR('',#40834,6.3E-1); +#40836=CARTESIAN_POINT('',(3.24E1,-1.094019237886E1,-3.0895E1)); +#40837=LINE('',#40836,#40835); +#40838=DIRECTION('',(0.E0,1.E0,0.E0)); +#40839=VECTOR('',#40838,1.475519237886E1); +#40840=CARTESIAN_POINT('',(3.24E1,-1.094019237886E1,-3.0895E1)); +#40841=LINE('',#40840,#40839); +#40842=CARTESIAN_POINT('',(3.24E1,3.815E0,-2.9265E1)); +#40843=DIRECTION('',(1.E0,0.E0,0.E0)); +#40844=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40845=AXIS2_PLACEMENT_3D('',#40842,#40843,#40844); +#40847=DIRECTION('',(0.E0,0.E0,1.E0)); +#40848=VECTOR('',#40847,3.425E0); +#40849=CARTESIAN_POINT('',(3.24E1,5.445E0,-2.9265E1)); +#40850=LINE('',#40849,#40848); +#40851=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40852=VECTOR('',#40851,3.425E0); +#40853=CARTESIAN_POINT('',(3.24E1,4.815E0,-2.584E1)); +#40854=LINE('',#40853,#40852); +#40855=CARTESIAN_POINT('',(3.24E1,3.815E0,-2.9265E1)); +#40856=DIRECTION('',(-1.E0,0.E0,0.E0)); +#40857=DIRECTION('',(0.E0,1.E0,0.E0)); +#40858=AXIS2_PLACEMENT_3D('',#40855,#40856,#40857); +#40860=DIRECTION('',(0.E0,-1.E0,0.E0)); +#40861=VECTOR('',#40860,1.475519237886E1); +#40862=CARTESIAN_POINT('',(3.24E1,3.815E0,-3.0265E1)); +#40863=LINE('',#40862,#40861); +#40864=DIRECTION('',(0.E0,0.E0,1.E0)); +#40865=VECTOR('',#40864,6.3E-1); +#40866=CARTESIAN_POINT('',(3.24E1,-1.094019237886E1,-2.5815E1)); +#40867=LINE('',#40866,#40865); +#40868=DIRECTION('',(0.E0,1.E0,0.E0)); +#40869=VECTOR('',#40868,7.655192378865E0); +#40870=CARTESIAN_POINT('',(3.24E1,-1.094019237886E1,-2.5815E1)); +#40871=LINE('',#40870,#40869); +#40872=CARTESIAN_POINT('',(3.24E1,-3.285E0,-2.4685E1)); +#40873=DIRECTION('',(1.E0,0.E0,0.E0)); +#40874=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40875=AXIS2_PLACEMENT_3D('',#40872,#40873,#40874); +#40877=DIRECTION('',(0.E0,0.E0,1.E0)); +#40878=VECTOR('',#40877,1.085E0); +#40879=CARTESIAN_POINT('',(3.24E1,-2.155E0,-2.4685E1)); +#40880=LINE('',#40879,#40878); +#40881=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40882=VECTOR('',#40881,1.085E0); +#40883=CARTESIAN_POINT('',(3.24E1,-2.785E0,-2.36E1)); +#40884=LINE('',#40883,#40882); +#40885=CARTESIAN_POINT('',(3.24E1,-3.285E0,-2.4685E1)); +#40886=DIRECTION('',(-1.E0,0.E0,0.E0)); +#40887=DIRECTION('',(0.E0,1.E0,0.E0)); +#40888=AXIS2_PLACEMENT_3D('',#40885,#40886,#40887); +#40890=DIRECTION('',(0.E0,-1.E0,0.E0)); +#40891=VECTOR('',#40890,7.655192378865E0); +#40892=CARTESIAN_POINT('',(3.24E1,-3.285E0,-2.5185E1)); +#40893=LINE('',#40892,#40891); +#40894=DIRECTION('',(0.E0,1.E0,0.E0)); +#40895=VECTOR('',#40894,3.7E0); +#40896=CARTESIAN_POINT('',(3.255E1,-1.72E1,-3.0895E1)); +#40897=LINE('',#40896,#40895); +#40898=DIRECTION('',(1.E0,0.E0,0.E0)); +#40899=VECTOR('',#40898,6.E-1); +#40900=CARTESIAN_POINT('',(3.255E1,-1.72E1,-3.0895E1)); +#40901=LINE('',#40900,#40899); +#40902=DIRECTION('',(0.E0,1.E0,0.E0)); +#40903=VECTOR('',#40902,3.7E0); +#40904=CARTESIAN_POINT('',(3.315E1,-1.72E1,-3.0895E1)); +#40905=LINE('',#40904,#40903); +#40906=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#40907=VECTOR('',#40906,3.E-1); +#40908=CARTESIAN_POINT('',(3.24E1,-1.094019237886E1,-3.0895E1)); +#40909=LINE('',#40908,#40907); +#40910=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#40911=VECTOR('',#40910,3.E-1); +#40912=CARTESIAN_POINT('',(3.315E1,-1.12E1,-3.0895E1)); +#40913=LINE('',#40912,#40911); +#40914=DIRECTION('',(0.E0,0.E0,1.E0)); +#40915=VECTOR('',#40914,6.3E-1); +#40916=CARTESIAN_POINT('',(3.255E1,-1.35E1,-3.0895E1)); +#40917=LINE('',#40916,#40915); +#40918=DIRECTION('',(0.E0,0.E0,1.E0)); +#40919=VECTOR('',#40918,6.3E-1); +#40920=CARTESIAN_POINT('',(3.255E1,-1.35E1,-2.5815E1)); +#40921=LINE('',#40920,#40919); +#40922=DIRECTION('',(0.E0,1.E0,0.E0)); +#40923=VECTOR('',#40922,3.7E0); +#40924=CARTESIAN_POINT('',(3.255E1,-1.72E1,-3.0265E1)); +#40925=LINE('',#40924,#40923); +#40926=DIRECTION('',(0.E0,1.E0,0.E0)); +#40927=VECTOR('',#40926,3.7E0); +#40928=CARTESIAN_POINT('',(3.315E1,-1.72E1,-3.0265E1)); +#40929=LINE('',#40928,#40927); +#40930=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#40931=VECTOR('',#40930,3.E-1); +#40932=CARTESIAN_POINT('',(3.24E1,-1.094019237886E1,-3.0265E1)); +#40933=LINE('',#40932,#40931); +#40934=DIRECTION('',(1.E0,0.E0,0.E0)); +#40935=VECTOR('',#40934,9.E-1); +#40936=CARTESIAN_POINT('',(3.24E1,3.815E0,-3.0265E1)); +#40937=LINE('',#40936,#40935); +#40938=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#40939=VECTOR('',#40938,3.E-1); +#40940=CARTESIAN_POINT('',(3.315E1,-1.12E1,-3.0265E1)); +#40941=LINE('',#40940,#40939); +#40942=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40943=VECTOR('',#40942,6.3E-1); +#40944=CARTESIAN_POINT('',(3.255E1,-1.72E1,-3.0265E1)); +#40945=LINE('',#40944,#40943); +#40946=DIRECTION('',(1.E0,0.E0,0.E0)); +#40947=VECTOR('',#40946,6.E-1); +#40948=CARTESIAN_POINT('',(3.255E1,-1.72E1,-3.0265E1)); +#40949=LINE('',#40948,#40947); +#40950=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40951=VECTOR('',#40950,6.3E-1); +#40952=CARTESIAN_POINT('',(3.315E1,-1.72E1,-3.0265E1)); +#40953=LINE('',#40952,#40951); +#40954=DIRECTION('',(0.E0,1.E0,0.E0)); +#40955=VECTOR('',#40954,3.7E0); +#40956=CARTESIAN_POINT('',(3.255E1,-1.72E1,-2.5815E1)); +#40957=LINE('',#40956,#40955); +#40958=DIRECTION('',(1.E0,0.E0,0.E0)); +#40959=VECTOR('',#40958,6.E-1); +#40960=CARTESIAN_POINT('',(3.255E1,-1.72E1,-2.5815E1)); +#40961=LINE('',#40960,#40959); +#40962=DIRECTION('',(0.E0,1.E0,0.E0)); +#40963=VECTOR('',#40962,3.7E0); +#40964=CARTESIAN_POINT('',(3.315E1,-1.72E1,-2.5815E1)); +#40965=LINE('',#40964,#40963); +#40966=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#40967=VECTOR('',#40966,3.E-1); +#40968=CARTESIAN_POINT('',(3.24E1,-1.094019237886E1,-2.5815E1)); +#40969=LINE('',#40968,#40967); +#40970=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#40971=VECTOR('',#40970,3.E-1); +#40972=CARTESIAN_POINT('',(3.315E1,-1.12E1,-2.5815E1)); +#40973=LINE('',#40972,#40971); +#40974=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40975=VECTOR('',#40974,6.3E-1); +#40976=CARTESIAN_POINT('',(3.255E1,-1.72E1,-2.5185E1)); +#40977=LINE('',#40976,#40975); +#40978=DIRECTION('',(1.E0,0.E0,0.E0)); +#40979=VECTOR('',#40978,6.E-1); +#40980=CARTESIAN_POINT('',(3.255E1,-1.72E1,-2.5185E1)); +#40981=LINE('',#40980,#40979); +#40982=DIRECTION('',(0.E0,0.E0,-1.E0)); +#40983=VECTOR('',#40982,6.3E-1); +#40984=CARTESIAN_POINT('',(3.315E1,-1.72E1,-2.5185E1)); +#40985=LINE('',#40984,#40983); +#40986=DIRECTION('',(0.E0,1.E0,0.E0)); +#40987=VECTOR('',#40986,3.7E0); +#40988=CARTESIAN_POINT('',(3.255E1,-1.72E1,-2.5185E1)); +#40989=LINE('',#40988,#40987); +#40990=DIRECTION('',(0.E0,1.E0,0.E0)); +#40991=VECTOR('',#40990,3.7E0); +#40992=CARTESIAN_POINT('',(3.315E1,-1.72E1,-2.5185E1)); +#40993=LINE('',#40992,#40991); +#40994=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#40995=VECTOR('',#40994,3.E-1); +#40996=CARTESIAN_POINT('',(3.24E1,-1.094019237886E1,-2.5185E1)); +#40997=LINE('',#40996,#40995); +#40998=DIRECTION('',(1.E0,0.E0,0.E0)); +#40999=VECTOR('',#40998,9.E-1); +#41000=CARTESIAN_POINT('',(3.24E1,-3.285E0,-2.5185E1)); +#41001=LINE('',#41000,#40999); +#41002=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#41003=VECTOR('',#41002,3.E-1); +#41004=CARTESIAN_POINT('',(3.315E1,-1.12E1,-2.5185E1)); +#41005=LINE('',#41004,#41003); +#41006=DIRECTION('',(1.E0,0.E0,0.E0)); +#41007=VECTOR('',#41006,9.E-1); +#41008=CARTESIAN_POINT('',(3.24E1,-2.785E0,-2.4685E1)); +#41009=LINE('',#41008,#41007); +#41010=DIRECTION('',(0.E0,0.E0,1.E0)); +#41011=VECTOR('',#41010,6.3E-1); +#41012=CARTESIAN_POINT('',(3.33E1,-1.094019237886E1,-3.0895E1)); +#41013=LINE('',#41012,#41011); +#41014=DIRECTION('',(0.E0,-1.E0,0.E0)); +#41015=VECTOR('',#41014,1.475519237886E1); +#41016=CARTESIAN_POINT('',(3.33E1,3.815E0,-3.0265E1)); +#41017=LINE('',#41016,#41015); +#41018=CARTESIAN_POINT('',(3.33E1,3.815E0,-2.9265E1)); +#41019=DIRECTION('',(-1.E0,0.E0,0.E0)); +#41020=DIRECTION('',(0.E0,1.E0,0.E0)); +#41021=AXIS2_PLACEMENT_3D('',#41018,#41019,#41020); +#41023=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41024=VECTOR('',#41023,3.425E0); +#41025=CARTESIAN_POINT('',(3.33E1,4.815E0,-2.584E1)); +#41026=LINE('',#41025,#41024); +#41027=DIRECTION('',(0.E0,0.E0,1.E0)); +#41028=VECTOR('',#41027,3.425E0); +#41029=CARTESIAN_POINT('',(3.33E1,5.445E0,-2.9265E1)); +#41030=LINE('',#41029,#41028); +#41031=CARTESIAN_POINT('',(3.33E1,3.815E0,-2.9265E1)); +#41032=DIRECTION('',(1.E0,0.E0,0.E0)); +#41033=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41034=AXIS2_PLACEMENT_3D('',#41031,#41032,#41033); +#41036=DIRECTION('',(0.E0,1.E0,0.E0)); +#41037=VECTOR('',#41036,1.475519237886E1); +#41038=CARTESIAN_POINT('',(3.33E1,-1.094019237886E1,-3.0895E1)); +#41039=LINE('',#41038,#41037); +#41040=DIRECTION('',(0.E0,0.E0,1.E0)); +#41041=VECTOR('',#41040,6.3E-1); +#41042=CARTESIAN_POINT('',(3.33E1,-1.094019237886E1,-2.5815E1)); +#41043=LINE('',#41042,#41041); +#41044=DIRECTION('',(0.E0,-1.E0,0.E0)); +#41045=VECTOR('',#41044,7.655192378865E0); +#41046=CARTESIAN_POINT('',(3.33E1,-3.285E0,-2.5185E1)); +#41047=LINE('',#41046,#41045); +#41048=CARTESIAN_POINT('',(3.33E1,-3.285E0,-2.4685E1)); +#41049=DIRECTION('',(-1.E0,0.E0,0.E0)); +#41050=DIRECTION('',(0.E0,1.E0,0.E0)); +#41051=AXIS2_PLACEMENT_3D('',#41048,#41049,#41050); +#41053=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41054=VECTOR('',#41053,1.085E0); +#41055=CARTESIAN_POINT('',(3.33E1,-2.785E0,-2.36E1)); +#41056=LINE('',#41055,#41054); +#41057=DIRECTION('',(0.E0,0.E0,1.E0)); +#41058=VECTOR('',#41057,1.085E0); +#41059=CARTESIAN_POINT('',(3.33E1,-2.155E0,-2.4685E1)); +#41060=LINE('',#41059,#41058); +#41061=CARTESIAN_POINT('',(3.33E1,-3.285E0,-2.4685E1)); +#41062=DIRECTION('',(1.E0,0.E0,0.E0)); +#41063=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41064=AXIS2_PLACEMENT_3D('',#41061,#41062,#41063); +#41066=DIRECTION('',(0.E0,1.E0,0.E0)); +#41067=VECTOR('',#41066,7.655192378865E0); +#41068=CARTESIAN_POINT('',(3.33E1,-1.094019237886E1,-2.5815E1)); +#41069=LINE('',#41068,#41067); +#41070=DIRECTION('',(0.E0,0.E0,1.E0)); +#41071=VECTOR('',#41070,6.3E-1); +#41072=CARTESIAN_POINT('',(3.315E1,-1.12E1,-3.0895E1)); +#41073=LINE('',#41072,#41071); +#41074=DIRECTION('',(0.E0,0.E0,1.E0)); +#41075=VECTOR('',#41074,6.3E-1); +#41076=CARTESIAN_POINT('',(3.315E1,-1.12E1,-2.5815E1)); +#41077=LINE('',#41076,#41075); +#41078=DIRECTION('',(1.E0,0.E0,0.E0)); +#41079=VECTOR('',#41078,9.E-1); +#41080=CARTESIAN_POINT('',(3.24E1,4.815E0,-2.9265E1)); +#41081=LINE('',#41080,#41079); +#41082=DIRECTION('',(1.E0,0.E0,0.E0)); +#41083=VECTOR('',#41082,9.E-1); +#41084=CARTESIAN_POINT('',(3.24E1,5.445E0,-2.9265E1)); +#41085=LINE('',#41084,#41083); +#41086=DIRECTION('',(1.E0,0.E0,0.E0)); +#41087=VECTOR('',#41086,9.E-1); +#41088=CARTESIAN_POINT('',(3.24E1,3.815E0,-3.0895E1)); +#41089=LINE('',#41088,#41087); +#41090=DIRECTION('',(1.E0,0.E0,0.E0)); +#41091=VECTOR('',#41090,9.E-1); +#41092=CARTESIAN_POINT('',(3.24E1,-2.155E0,-2.4685E1)); +#41093=LINE('',#41092,#41091); +#41094=DIRECTION('',(1.E0,0.E0,0.E0)); +#41095=VECTOR('',#41094,9.E-1); +#41096=CARTESIAN_POINT('',(3.24E1,-3.285E0,-2.5815E1)); +#41097=LINE('',#41096,#41095); +#41098=DIRECTION('',(0.E0,0.E0,1.E0)); +#41099=VECTOR('',#41098,6.3E-1); +#41100=CARTESIAN_POINT('',(3.455E1,-1.094019237886E1,-2.8355E1)); +#41101=LINE('',#41100,#41099); +#41102=DIRECTION('',(0.E0,1.E0,0.E0)); +#41103=VECTOR('',#41102,1.475519237886E1); +#41104=CARTESIAN_POINT('',(3.455E1,-1.094019237886E1,-2.8355E1)); +#41105=LINE('',#41104,#41103); +#41106=CARTESIAN_POINT('',(3.455E1,3.815E0,-2.6725E1)); +#41107=DIRECTION('',(1.E0,0.E0,0.E0)); +#41108=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#41109=AXIS2_PLACEMENT_3D('',#41106,#41107,#41108); +#41111=DIRECTION('',(0.E0,0.E0,1.E0)); +#41112=VECTOR('',#41111,8.85E-1); +#41113=CARTESIAN_POINT('',(3.455E1,5.445E0,-2.6725E1)); +#41114=LINE('',#41113,#41112); +#41115=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41116=VECTOR('',#41115,8.85E-1); +#41117=CARTESIAN_POINT('',(3.455E1,4.815E0,-2.584E1)); +#41118=LINE('',#41117,#41116); +#41119=CARTESIAN_POINT('',(3.455E1,3.815E0,-2.6725E1)); +#41120=DIRECTION('',(-1.E0,0.E0,0.E0)); +#41121=DIRECTION('',(0.E0,1.E0,0.E0)); +#41122=AXIS2_PLACEMENT_3D('',#41119,#41120,#41121); +#41124=DIRECTION('',(0.E0,-1.E0,0.E0)); +#41125=VECTOR('',#41124,1.475519237886E1); +#41126=CARTESIAN_POINT('',(3.455E1,3.815E0,-2.7725E1)); +#41127=LINE('',#41126,#41125); +#41128=DIRECTION('',(0.E0,0.E0,1.E0)); +#41129=VECTOR('',#41128,6.3E-1); +#41130=CARTESIAN_POINT('',(3.455E1,-1.094019237886E1,-2.5815E1)); +#41131=LINE('',#41130,#41129); +#41132=DIRECTION('',(0.E0,1.E0,0.E0)); +#41133=VECTOR('',#41132,7.655192378865E0); +#41134=CARTESIAN_POINT('',(3.455E1,-1.094019237886E1,-2.5815E1)); +#41135=LINE('',#41134,#41133); +#41136=CARTESIAN_POINT('',(3.455E1,-3.285E0,-2.4685E1)); +#41137=DIRECTION('',(1.E0,0.E0,0.E0)); +#41138=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41139=AXIS2_PLACEMENT_3D('',#41136,#41137,#41138); +#41141=DIRECTION('',(0.E0,0.E0,1.E0)); +#41142=VECTOR('',#41141,1.085E0); +#41143=CARTESIAN_POINT('',(3.455E1,-2.155E0,-2.4685E1)); +#41144=LINE('',#41143,#41142); +#41145=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41146=VECTOR('',#41145,1.085E0); +#41147=CARTESIAN_POINT('',(3.455E1,-2.785E0,-2.36E1)); +#41148=LINE('',#41147,#41146); +#41149=CARTESIAN_POINT('',(3.455E1,-3.285E0,-2.4685E1)); +#41150=DIRECTION('',(-1.E0,0.E0,0.E0)); +#41151=DIRECTION('',(0.E0,1.E0,0.E0)); +#41152=AXIS2_PLACEMENT_3D('',#41149,#41150,#41151); +#41154=DIRECTION('',(0.E0,-1.E0,0.E0)); +#41155=VECTOR('',#41154,7.655192378865E0); +#41156=CARTESIAN_POINT('',(3.455E1,-3.285E0,-2.5185E1)); +#41157=LINE('',#41156,#41155); +#41158=DIRECTION('',(0.E0,1.E0,0.E0)); +#41159=VECTOR('',#41158,3.7E0); +#41160=CARTESIAN_POINT('',(3.53E1,-1.72E1,-2.8355E1)); +#41161=LINE('',#41160,#41159); +#41162=DIRECTION('',(0.E0,1.E0,0.E0)); +#41163=VECTOR('',#41162,3.7E0); +#41164=CARTESIAN_POINT('',(3.47E1,-1.72E1,-2.8355E1)); +#41165=LINE('',#41164,#41163); +#41166=DIRECTION('',(1.E0,0.E0,0.E0)); +#41167=VECTOR('',#41166,6.E-1); +#41168=CARTESIAN_POINT('',(3.47E1,-1.72E1,-2.8355E1)); +#41169=LINE('',#41168,#41167); +#41170=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#41171=VECTOR('',#41170,3.E-1); +#41172=CARTESIAN_POINT('',(3.53E1,-1.12E1,-2.8355E1)); +#41173=LINE('',#41172,#41171); +#41174=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#41175=VECTOR('',#41174,3.E-1); +#41176=CARTESIAN_POINT('',(3.455E1,-1.094019237886E1,-2.8355E1)); +#41177=LINE('',#41176,#41175); +#41178=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41179=VECTOR('',#41178,6.3E-1); +#41180=CARTESIAN_POINT('',(3.53E1,-1.72E1,-2.7725E1)); +#41181=LINE('',#41180,#41179); +#41182=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41183=VECTOR('',#41182,6.3E-1); +#41184=CARTESIAN_POINT('',(3.47E1,-1.72E1,-2.7725E1)); +#41185=LINE('',#41184,#41183); +#41186=DIRECTION('',(1.E0,0.E0,0.E0)); +#41187=VECTOR('',#41186,6.E-1); +#41188=CARTESIAN_POINT('',(3.47E1,-1.72E1,-2.7725E1)); +#41189=LINE('',#41188,#41187); +#41190=DIRECTION('',(0.E0,0.E0,1.E0)); +#41191=VECTOR('',#41190,6.3E-1); +#41192=CARTESIAN_POINT('',(3.47E1,-1.35E1,-2.8355E1)); +#41193=LINE('',#41192,#41191); +#41194=DIRECTION('',(0.E0,0.E0,1.E0)); +#41195=VECTOR('',#41194,6.3E-1); +#41196=CARTESIAN_POINT('',(3.47E1,-1.35E1,-2.5815E1)); +#41197=LINE('',#41196,#41195); +#41198=DIRECTION('',(0.E0,1.E0,0.E0)); +#41199=VECTOR('',#41198,3.7E0); +#41200=CARTESIAN_POINT('',(3.53E1,-1.72E1,-2.7725E1)); +#41201=LINE('',#41200,#41199); +#41202=DIRECTION('',(0.E0,1.E0,0.E0)); +#41203=VECTOR('',#41202,3.7E0); +#41204=CARTESIAN_POINT('',(3.47E1,-1.72E1,-2.7725E1)); +#41205=LINE('',#41204,#41203); +#41206=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#41207=VECTOR('',#41206,3.E-1); +#41208=CARTESIAN_POINT('',(3.53E1,-1.12E1,-2.7725E1)); +#41209=LINE('',#41208,#41207); +#41210=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#41211=VECTOR('',#41210,3.E-1); +#41212=CARTESIAN_POINT('',(3.455E1,-1.094019237886E1,-2.7725E1)); +#41213=LINE('',#41212,#41211); +#41214=DIRECTION('',(1.E0,0.E0,0.E0)); +#41215=VECTOR('',#41214,9.E-1); +#41216=CARTESIAN_POINT('',(3.455E1,3.815E0,-2.7725E1)); +#41217=LINE('',#41216,#41215); +#41218=DIRECTION('',(0.E0,0.E0,1.E0)); +#41219=VECTOR('',#41218,6.3E-1); +#41220=CARTESIAN_POINT('',(3.53E1,-1.12E1,-2.8355E1)); +#41221=LINE('',#41220,#41219); +#41222=DIRECTION('',(0.E0,0.E0,1.E0)); +#41223=VECTOR('',#41222,6.3E-1); +#41224=CARTESIAN_POINT('',(3.53E1,-1.12E1,-2.5815E1)); +#41225=LINE('',#41224,#41223); +#41226=DIRECTION('',(0.E0,0.E0,1.E0)); +#41227=VECTOR('',#41226,6.3E-1); +#41228=CARTESIAN_POINT('',(3.545E1,-1.094019237886E1,-2.8355E1)); +#41229=LINE('',#41228,#41227); +#41230=DIRECTION('',(0.E0,-1.E0,0.E0)); +#41231=VECTOR('',#41230,1.475519237886E1); +#41232=CARTESIAN_POINT('',(3.545E1,3.815E0,-2.7725E1)); +#41233=LINE('',#41232,#41231); +#41234=CARTESIAN_POINT('',(3.545E1,3.815E0,-2.6725E1)); +#41235=DIRECTION('',(-1.E0,0.E0,0.E0)); +#41236=DIRECTION('',(0.E0,1.E0,0.E0)); +#41237=AXIS2_PLACEMENT_3D('',#41234,#41235,#41236); +#41239=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41240=VECTOR('',#41239,8.85E-1); +#41241=CARTESIAN_POINT('',(3.545E1,4.815E0,-2.584E1)); +#41242=LINE('',#41241,#41240); +#41243=DIRECTION('',(0.E0,0.E0,1.E0)); +#41244=VECTOR('',#41243,8.85E-1); +#41245=CARTESIAN_POINT('',(3.545E1,5.445E0,-2.6725E1)); +#41246=LINE('',#41245,#41244); +#41247=CARTESIAN_POINT('',(3.545E1,3.815E0,-2.6725E1)); +#41248=DIRECTION('',(1.E0,0.E0,0.E0)); +#41249=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#41250=AXIS2_PLACEMENT_3D('',#41247,#41248,#41249); +#41252=DIRECTION('',(0.E0,1.E0,0.E0)); +#41253=VECTOR('',#41252,1.475519237886E1); +#41254=CARTESIAN_POINT('',(3.545E1,-1.094019237886E1,-2.8355E1)); +#41255=LINE('',#41254,#41253); +#41256=DIRECTION('',(0.E0,0.E0,1.E0)); +#41257=VECTOR('',#41256,6.3E-1); +#41258=CARTESIAN_POINT('',(3.545E1,-1.094019237886E1,-2.5815E1)); +#41259=LINE('',#41258,#41257); +#41260=DIRECTION('',(0.E0,-1.E0,0.E0)); +#41261=VECTOR('',#41260,7.655192378865E0); +#41262=CARTESIAN_POINT('',(3.545E1,-3.285E0,-2.5185E1)); +#41263=LINE('',#41262,#41261); +#41264=CARTESIAN_POINT('',(3.545E1,-3.285E0,-2.4685E1)); +#41265=DIRECTION('',(-1.E0,0.E0,0.E0)); +#41266=DIRECTION('',(0.E0,1.E0,0.E0)); +#41267=AXIS2_PLACEMENT_3D('',#41264,#41265,#41266); +#41269=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41270=VECTOR('',#41269,1.085E0); +#41271=CARTESIAN_POINT('',(3.545E1,-2.785E0,-2.36E1)); +#41272=LINE('',#41271,#41270); +#41273=DIRECTION('',(0.E0,0.E0,1.E0)); +#41274=VECTOR('',#41273,1.085E0); +#41275=CARTESIAN_POINT('',(3.545E1,-2.155E0,-2.4685E1)); +#41276=LINE('',#41275,#41274); +#41277=CARTESIAN_POINT('',(3.545E1,-3.285E0,-2.4685E1)); +#41278=DIRECTION('',(1.E0,0.E0,0.E0)); +#41279=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41280=AXIS2_PLACEMENT_3D('',#41277,#41278,#41279); +#41282=DIRECTION('',(0.E0,1.E0,0.E0)); +#41283=VECTOR('',#41282,7.655192378865E0); +#41284=CARTESIAN_POINT('',(3.545E1,-1.094019237886E1,-2.5815E1)); +#41285=LINE('',#41284,#41283); +#41286=DIRECTION('',(1.E0,0.E0,0.E0)); +#41287=VECTOR('',#41286,9.E-1); +#41288=CARTESIAN_POINT('',(3.455E1,4.815E0,-2.6725E1)); +#41289=LINE('',#41288,#41287); +#41290=DIRECTION('',(1.E0,0.E0,0.E0)); +#41291=VECTOR('',#41290,9.E-1); +#41292=CARTESIAN_POINT('',(3.455E1,5.445E0,-2.6725E1)); +#41293=LINE('',#41292,#41291); +#41294=DIRECTION('',(1.E0,0.E0,0.E0)); +#41295=VECTOR('',#41294,9.E-1); +#41296=CARTESIAN_POINT('',(3.455E1,3.815E0,-2.8355E1)); +#41297=LINE('',#41296,#41295); +#41298=DIRECTION('',(0.E0,1.E0,0.E0)); +#41299=VECTOR('',#41298,3.7E0); +#41300=CARTESIAN_POINT('',(3.53E1,-1.72E1,-2.5185E1)); +#41301=LINE('',#41300,#41299); +#41302=DIRECTION('',(0.E0,1.E0,0.E0)); +#41303=VECTOR('',#41302,3.7E0); +#41304=CARTESIAN_POINT('',(3.47E1,-1.72E1,-2.5185E1)); +#41305=LINE('',#41304,#41303); +#41306=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#41307=VECTOR('',#41306,3.E-1); +#41308=CARTESIAN_POINT('',(3.53E1,-1.12E1,-2.5185E1)); +#41309=LINE('',#41308,#41307); +#41310=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#41311=VECTOR('',#41310,3.E-1); +#41312=CARTESIAN_POINT('',(3.455E1,-1.094019237886E1,-2.5185E1)); +#41313=LINE('',#41312,#41311); +#41314=DIRECTION('',(1.E0,0.E0,0.E0)); +#41315=VECTOR('',#41314,9.E-1); +#41316=CARTESIAN_POINT('',(3.455E1,-3.285E0,-2.5185E1)); +#41317=LINE('',#41316,#41315); +#41318=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41319=VECTOR('',#41318,6.3E-1); +#41320=CARTESIAN_POINT('',(3.53E1,-1.72E1,-2.5185E1)); +#41321=LINE('',#41320,#41319); +#41322=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41323=VECTOR('',#41322,6.3E-1); +#41324=CARTESIAN_POINT('',(3.47E1,-1.72E1,-2.5185E1)); +#41325=LINE('',#41324,#41323); +#41326=DIRECTION('',(1.E0,0.E0,0.E0)); +#41327=VECTOR('',#41326,6.E-1); +#41328=CARTESIAN_POINT('',(3.47E1,-1.72E1,-2.5185E1)); +#41329=LINE('',#41328,#41327); +#41330=DIRECTION('',(0.E0,1.E0,0.E0)); +#41331=VECTOR('',#41330,3.7E0); +#41332=CARTESIAN_POINT('',(3.53E1,-1.72E1,-2.5815E1)); +#41333=LINE('',#41332,#41331); +#41334=DIRECTION('',(0.E0,1.E0,0.E0)); +#41335=VECTOR('',#41334,3.7E0); +#41336=CARTESIAN_POINT('',(3.47E1,-1.72E1,-2.5815E1)); +#41337=LINE('',#41336,#41335); +#41338=DIRECTION('',(1.E0,0.E0,0.E0)); +#41339=VECTOR('',#41338,6.E-1); +#41340=CARTESIAN_POINT('',(3.47E1,-1.72E1,-2.5815E1)); +#41341=LINE('',#41340,#41339); +#41342=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#41343=VECTOR('',#41342,3.E-1); +#41344=CARTESIAN_POINT('',(3.53E1,-1.12E1,-2.5815E1)); +#41345=LINE('',#41344,#41343); +#41346=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#41347=VECTOR('',#41346,3.E-1); +#41348=CARTESIAN_POINT('',(3.455E1,-1.094019237886E1,-2.5815E1)); +#41349=LINE('',#41348,#41347); +#41350=DIRECTION('',(1.E0,0.E0,0.E0)); +#41351=VECTOR('',#41350,9.E-1); +#41352=CARTESIAN_POINT('',(3.455E1,-3.285E0,-2.5815E1)); +#41353=LINE('',#41352,#41351); +#41354=DIRECTION('',(1.E0,0.E0,0.E0)); +#41355=VECTOR('',#41354,9.E-1); +#41356=CARTESIAN_POINT('',(3.455E1,-2.155E0,-2.4685E1)); +#41357=LINE('',#41356,#41355); +#41358=DIRECTION('',(1.E0,0.E0,0.E0)); +#41359=VECTOR('',#41358,9.E-1); +#41360=CARTESIAN_POINT('',(3.455E1,-2.785E0,-2.4685E1)); +#41361=LINE('',#41360,#41359); +#41362=DIRECTION('',(0.E0,0.E0,1.E0)); +#41363=VECTOR('',#41362,6.3E-1); +#41364=CARTESIAN_POINT('',(3.67E1,-1.094019237886E1,-3.0895E1)); +#41365=LINE('',#41364,#41363); +#41366=DIRECTION('',(0.E0,1.E0,0.E0)); +#41367=VECTOR('',#41366,1.475519237886E1); +#41368=CARTESIAN_POINT('',(3.67E1,-1.094019237886E1,-3.0895E1)); +#41369=LINE('',#41368,#41367); +#41370=CARTESIAN_POINT('',(3.67E1,3.815E0,-2.9265E1)); +#41371=DIRECTION('',(1.E0,0.E0,0.E0)); +#41372=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41373=AXIS2_PLACEMENT_3D('',#41370,#41371,#41372); +#41375=DIRECTION('',(0.E0,0.E0,1.E0)); +#41376=VECTOR('',#41375,3.425E0); +#41377=CARTESIAN_POINT('',(3.67E1,5.445E0,-2.9265E1)); +#41378=LINE('',#41377,#41376); +#41379=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41380=VECTOR('',#41379,3.425E0); +#41381=CARTESIAN_POINT('',(3.67E1,4.815E0,-2.584E1)); +#41382=LINE('',#41381,#41380); +#41383=CARTESIAN_POINT('',(3.67E1,3.815E0,-2.9265E1)); +#41384=DIRECTION('',(-1.E0,0.E0,0.E0)); +#41385=DIRECTION('',(0.E0,1.E0,0.E0)); +#41386=AXIS2_PLACEMENT_3D('',#41383,#41384,#41385); +#41388=DIRECTION('',(0.E0,-1.E0,0.E0)); +#41389=VECTOR('',#41388,1.475519237886E1); +#41390=CARTESIAN_POINT('',(3.67E1,3.815E0,-3.0265E1)); +#41391=LINE('',#41390,#41389); +#41392=DIRECTION('',(0.E0,0.E0,1.E0)); +#41393=VECTOR('',#41392,6.3E-1); +#41394=CARTESIAN_POINT('',(3.67E1,-1.094019237886E1,-2.5815E1)); +#41395=LINE('',#41394,#41393); +#41396=DIRECTION('',(0.E0,1.E0,0.E0)); +#41397=VECTOR('',#41396,7.655192378865E0); +#41398=CARTESIAN_POINT('',(3.67E1,-1.094019237886E1,-2.5815E1)); +#41399=LINE('',#41398,#41397); +#41400=CARTESIAN_POINT('',(3.67E1,-3.285E0,-2.4685E1)); +#41401=DIRECTION('',(1.E0,0.E0,0.E0)); +#41402=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41403=AXIS2_PLACEMENT_3D('',#41400,#41401,#41402); +#41405=DIRECTION('',(0.E0,0.E0,1.E0)); +#41406=VECTOR('',#41405,1.085E0); +#41407=CARTESIAN_POINT('',(3.67E1,-2.155E0,-2.4685E1)); +#41408=LINE('',#41407,#41406); +#41409=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41410=VECTOR('',#41409,1.085E0); +#41411=CARTESIAN_POINT('',(3.67E1,-2.785E0,-2.36E1)); +#41412=LINE('',#41411,#41410); +#41413=CARTESIAN_POINT('',(3.67E1,-3.285E0,-2.4685E1)); +#41414=DIRECTION('',(-1.E0,0.E0,0.E0)); +#41415=DIRECTION('',(0.E0,1.E0,0.E0)); +#41416=AXIS2_PLACEMENT_3D('',#41413,#41414,#41415); +#41418=DIRECTION('',(0.E0,-1.E0,0.E0)); +#41419=VECTOR('',#41418,7.655192378865E0); +#41420=CARTESIAN_POINT('',(3.67E1,-3.285E0,-2.5185E1)); +#41421=LINE('',#41420,#41419); +#41422=DIRECTION('',(0.E0,1.E0,0.E0)); +#41423=VECTOR('',#41422,3.7E0); +#41424=CARTESIAN_POINT('',(3.685E1,-1.72E1,-3.0895E1)); +#41425=LINE('',#41424,#41423); +#41426=DIRECTION('',(1.E0,0.E0,0.E0)); +#41427=VECTOR('',#41426,6.E-1); +#41428=CARTESIAN_POINT('',(3.685E1,-1.72E1,-3.0895E1)); +#41429=LINE('',#41428,#41427); +#41430=DIRECTION('',(0.E0,1.E0,0.E0)); +#41431=VECTOR('',#41430,3.7E0); +#41432=CARTESIAN_POINT('',(3.745E1,-1.72E1,-3.0895E1)); +#41433=LINE('',#41432,#41431); +#41434=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#41435=VECTOR('',#41434,3.E-1); +#41436=CARTESIAN_POINT('',(3.67E1,-1.094019237886E1,-3.0895E1)); +#41437=LINE('',#41436,#41435); +#41438=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#41439=VECTOR('',#41438,3.E-1); +#41440=CARTESIAN_POINT('',(3.745E1,-1.12E1,-3.0895E1)); +#41441=LINE('',#41440,#41439); +#41442=DIRECTION('',(0.E0,0.E0,1.E0)); +#41443=VECTOR('',#41442,6.3E-1); +#41444=CARTESIAN_POINT('',(3.685E1,-1.35E1,-3.0895E1)); +#41445=LINE('',#41444,#41443); +#41446=DIRECTION('',(0.E0,0.E0,1.E0)); +#41447=VECTOR('',#41446,6.3E-1); +#41448=CARTESIAN_POINT('',(3.685E1,-1.35E1,-2.5815E1)); +#41449=LINE('',#41448,#41447); +#41450=DIRECTION('',(0.E0,1.E0,0.E0)); +#41451=VECTOR('',#41450,3.7E0); +#41452=CARTESIAN_POINT('',(3.685E1,-1.72E1,-3.0265E1)); +#41453=LINE('',#41452,#41451); +#41454=DIRECTION('',(0.E0,1.E0,0.E0)); +#41455=VECTOR('',#41454,3.7E0); +#41456=CARTESIAN_POINT('',(3.745E1,-1.72E1,-3.0265E1)); +#41457=LINE('',#41456,#41455); +#41458=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#41459=VECTOR('',#41458,3.E-1); +#41460=CARTESIAN_POINT('',(3.67E1,-1.094019237886E1,-3.0265E1)); +#41461=LINE('',#41460,#41459); +#41462=DIRECTION('',(1.E0,0.E0,0.E0)); +#41463=VECTOR('',#41462,9.E-1); +#41464=CARTESIAN_POINT('',(3.67E1,3.815E0,-3.0265E1)); +#41465=LINE('',#41464,#41463); +#41466=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#41467=VECTOR('',#41466,3.E-1); +#41468=CARTESIAN_POINT('',(3.745E1,-1.12E1,-3.0265E1)); +#41469=LINE('',#41468,#41467); +#41470=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41471=VECTOR('',#41470,6.3E-1); +#41472=CARTESIAN_POINT('',(3.685E1,-1.72E1,-3.0265E1)); +#41473=LINE('',#41472,#41471); +#41474=DIRECTION('',(1.E0,0.E0,0.E0)); +#41475=VECTOR('',#41474,6.E-1); +#41476=CARTESIAN_POINT('',(3.685E1,-1.72E1,-3.0265E1)); +#41477=LINE('',#41476,#41475); +#41478=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41479=VECTOR('',#41478,6.3E-1); +#41480=CARTESIAN_POINT('',(3.745E1,-1.72E1,-3.0265E1)); +#41481=LINE('',#41480,#41479); +#41482=DIRECTION('',(0.E0,1.E0,0.E0)); +#41483=VECTOR('',#41482,3.7E0); +#41484=CARTESIAN_POINT('',(3.685E1,-1.72E1,-2.5815E1)); +#41485=LINE('',#41484,#41483); +#41486=DIRECTION('',(1.E0,0.E0,0.E0)); +#41487=VECTOR('',#41486,6.E-1); +#41488=CARTESIAN_POINT('',(3.685E1,-1.72E1,-2.5815E1)); +#41489=LINE('',#41488,#41487); +#41490=DIRECTION('',(0.E0,1.E0,0.E0)); +#41491=VECTOR('',#41490,3.7E0); +#41492=CARTESIAN_POINT('',(3.745E1,-1.72E1,-2.5815E1)); +#41493=LINE('',#41492,#41491); +#41494=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#41495=VECTOR('',#41494,3.E-1); +#41496=CARTESIAN_POINT('',(3.67E1,-1.094019237886E1,-2.5815E1)); +#41497=LINE('',#41496,#41495); +#41498=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#41499=VECTOR('',#41498,3.E-1); +#41500=CARTESIAN_POINT('',(3.745E1,-1.12E1,-2.5815E1)); +#41501=LINE('',#41500,#41499); +#41502=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41503=VECTOR('',#41502,6.3E-1); +#41504=CARTESIAN_POINT('',(3.685E1,-1.72E1,-2.5185E1)); +#41505=LINE('',#41504,#41503); +#41506=DIRECTION('',(1.E0,0.E0,0.E0)); +#41507=VECTOR('',#41506,6.E-1); +#41508=CARTESIAN_POINT('',(3.685E1,-1.72E1,-2.5185E1)); +#41509=LINE('',#41508,#41507); +#41510=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41511=VECTOR('',#41510,6.3E-1); +#41512=CARTESIAN_POINT('',(3.745E1,-1.72E1,-2.5185E1)); +#41513=LINE('',#41512,#41511); +#41514=DIRECTION('',(0.E0,1.E0,0.E0)); +#41515=VECTOR('',#41514,3.7E0); +#41516=CARTESIAN_POINT('',(3.685E1,-1.72E1,-2.5185E1)); +#41517=LINE('',#41516,#41515); +#41518=DIRECTION('',(0.E0,1.E0,0.E0)); +#41519=VECTOR('',#41518,3.7E0); +#41520=CARTESIAN_POINT('',(3.745E1,-1.72E1,-2.5185E1)); +#41521=LINE('',#41520,#41519); +#41522=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#41523=VECTOR('',#41522,3.E-1); +#41524=CARTESIAN_POINT('',(3.67E1,-1.094019237886E1,-2.5185E1)); +#41525=LINE('',#41524,#41523); +#41526=DIRECTION('',(1.E0,0.E0,0.E0)); +#41527=VECTOR('',#41526,9.E-1); +#41528=CARTESIAN_POINT('',(3.67E1,-3.285E0,-2.5185E1)); +#41529=LINE('',#41528,#41527); +#41530=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#41531=VECTOR('',#41530,3.E-1); +#41532=CARTESIAN_POINT('',(3.745E1,-1.12E1,-2.5185E1)); +#41533=LINE('',#41532,#41531); +#41534=DIRECTION('',(1.E0,0.E0,0.E0)); +#41535=VECTOR('',#41534,9.E-1); +#41536=CARTESIAN_POINT('',(3.67E1,-2.785E0,-2.4685E1)); +#41537=LINE('',#41536,#41535); +#41538=DIRECTION('',(1.127845612318E-14,0.E0,1.E0)); +#41539=VECTOR('',#41538,6.3E-1); +#41540=CARTESIAN_POINT('',(3.76E1,-1.094019237886E1,-3.0895E1)); +#41541=LINE('',#41540,#41539); +#41542=DIRECTION('',(0.E0,-1.E0,0.E0)); +#41543=VECTOR('',#41542,1.475519237886E1); +#41544=CARTESIAN_POINT('',(3.76E1,3.815E0,-3.0265E1)); +#41545=LINE('',#41544,#41543); +#41546=CARTESIAN_POINT('',(3.76E1,3.815E0,-2.9265E1)); +#41547=DIRECTION('',(-1.E0,0.E0,0.E0)); +#41548=DIRECTION('',(0.E0,1.E0,0.E0)); +#41549=AXIS2_PLACEMENT_3D('',#41546,#41547,#41548); +#41551=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41552=VECTOR('',#41551,3.425E0); +#41553=CARTESIAN_POINT('',(3.76E1,4.815E0,-2.584E1)); +#41554=LINE('',#41553,#41552); +#41555=DIRECTION('',(0.E0,0.E0,1.E0)); +#41556=VECTOR('',#41555,3.425E0); +#41557=CARTESIAN_POINT('',(3.76E1,5.445E0,-2.9265E1)); +#41558=LINE('',#41557,#41556); +#41559=CARTESIAN_POINT('',(3.76E1,3.815E0,-2.9265E1)); +#41560=DIRECTION('',(1.E0,0.E0,0.E0)); +#41561=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41562=AXIS2_PLACEMENT_3D('',#41559,#41560,#41561); +#41564=DIRECTION('',(0.E0,1.E0,0.E0)); +#41565=VECTOR('',#41564,1.475519237886E1); +#41566=CARTESIAN_POINT('',(3.76E1,-1.094019237886E1,-3.0895E1)); +#41567=LINE('',#41566,#41565); +#41568=DIRECTION('',(1.127845612318E-14,0.E0,1.E0)); +#41569=VECTOR('',#41568,6.3E-1); +#41570=CARTESIAN_POINT('',(3.76E1,-1.094019237886E1,-2.5815E1)); +#41571=LINE('',#41570,#41569); +#41572=DIRECTION('',(0.E0,-1.E0,0.E0)); +#41573=VECTOR('',#41572,7.655192378865E0); +#41574=CARTESIAN_POINT('',(3.76E1,-3.285E0,-2.5185E1)); +#41575=LINE('',#41574,#41573); +#41576=CARTESIAN_POINT('',(3.76E1,-3.285E0,-2.4685E1)); +#41577=DIRECTION('',(-1.E0,0.E0,0.E0)); +#41578=DIRECTION('',(0.E0,1.E0,0.E0)); +#41579=AXIS2_PLACEMENT_3D('',#41576,#41577,#41578); +#41581=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41582=VECTOR('',#41581,1.085E0); +#41583=CARTESIAN_POINT('',(3.76E1,-2.785E0,-2.36E1)); +#41584=LINE('',#41583,#41582); +#41585=DIRECTION('',(0.E0,0.E0,1.E0)); +#41586=VECTOR('',#41585,1.085E0); +#41587=CARTESIAN_POINT('',(3.76E1,-2.155E0,-2.4685E1)); +#41588=LINE('',#41587,#41586); +#41589=CARTESIAN_POINT('',(3.76E1,-3.285E0,-2.4685E1)); +#41590=DIRECTION('',(1.E0,0.E0,0.E0)); +#41591=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41592=AXIS2_PLACEMENT_3D('',#41589,#41590,#41591); +#41594=DIRECTION('',(0.E0,1.E0,0.E0)); +#41595=VECTOR('',#41594,7.655192378865E0); +#41596=CARTESIAN_POINT('',(3.76E1,-1.094019237886E1,-2.5815E1)); +#41597=LINE('',#41596,#41595); +#41598=DIRECTION('',(-1.127845612318E-14,0.E0,1.E0)); +#41599=VECTOR('',#41598,6.3E-1); +#41600=CARTESIAN_POINT('',(3.745E1,-1.12E1,-3.0895E1)); +#41601=LINE('',#41600,#41599); +#41602=DIRECTION('',(-1.127845612318E-14,0.E0,1.E0)); +#41603=VECTOR('',#41602,6.3E-1); +#41604=CARTESIAN_POINT('',(3.745E1,-1.12E1,-2.5815E1)); +#41605=LINE('',#41604,#41603); +#41606=DIRECTION('',(1.E0,0.E0,0.E0)); +#41607=VECTOR('',#41606,9.E-1); +#41608=CARTESIAN_POINT('',(3.67E1,4.815E0,-2.9265E1)); +#41609=LINE('',#41608,#41607); +#41610=DIRECTION('',(1.E0,0.E0,0.E0)); +#41611=VECTOR('',#41610,9.E-1); +#41612=CARTESIAN_POINT('',(3.67E1,5.445E0,-2.9265E1)); +#41613=LINE('',#41612,#41611); +#41614=DIRECTION('',(1.E0,0.E0,0.E0)); +#41615=VECTOR('',#41614,9.E-1); +#41616=CARTESIAN_POINT('',(3.67E1,3.815E0,-3.0895E1)); +#41617=LINE('',#41616,#41615); +#41618=DIRECTION('',(1.E0,0.E0,0.E0)); +#41619=VECTOR('',#41618,9.E-1); +#41620=CARTESIAN_POINT('',(3.67E1,-2.155E0,-2.4685E1)); +#41621=LINE('',#41620,#41619); +#41622=DIRECTION('',(1.E0,0.E0,0.E0)); +#41623=VECTOR('',#41622,9.E-1); +#41624=CARTESIAN_POINT('',(3.67E1,-3.285E0,-2.5815E1)); +#41625=LINE('',#41624,#41623); +#41626=DIRECTION('',(0.E0,0.E0,1.E0)); +#41627=VECTOR('',#41626,6.3E-1); +#41628=CARTESIAN_POINT('',(3.885E1,-1.094019237886E1,-2.8355E1)); +#41629=LINE('',#41628,#41627); +#41630=DIRECTION('',(0.E0,1.E0,0.E0)); +#41631=VECTOR('',#41630,1.475519237886E1); +#41632=CARTESIAN_POINT('',(3.885E1,-1.094019237886E1,-2.8355E1)); +#41633=LINE('',#41632,#41631); +#41634=CARTESIAN_POINT('',(3.885E1,3.815E0,-2.6725E1)); +#41635=DIRECTION('',(1.E0,0.E0,0.E0)); +#41636=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#41637=AXIS2_PLACEMENT_3D('',#41634,#41635,#41636); +#41639=DIRECTION('',(0.E0,0.E0,1.E0)); +#41640=VECTOR('',#41639,8.85E-1); +#41641=CARTESIAN_POINT('',(3.885E1,5.445E0,-2.6725E1)); +#41642=LINE('',#41641,#41640); +#41643=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41644=VECTOR('',#41643,8.85E-1); +#41645=CARTESIAN_POINT('',(3.885E1,4.815E0,-2.584E1)); +#41646=LINE('',#41645,#41644); +#41647=CARTESIAN_POINT('',(3.885E1,3.815E0,-2.6725E1)); +#41648=DIRECTION('',(-1.E0,0.E0,0.E0)); +#41649=DIRECTION('',(0.E0,1.E0,0.E0)); +#41650=AXIS2_PLACEMENT_3D('',#41647,#41648,#41649); +#41652=DIRECTION('',(0.E0,-1.E0,0.E0)); +#41653=VECTOR('',#41652,1.475519237886E1); +#41654=CARTESIAN_POINT('',(3.885E1,3.815E0,-2.7725E1)); +#41655=LINE('',#41654,#41653); +#41656=DIRECTION('',(0.E0,0.E0,1.E0)); +#41657=VECTOR('',#41656,6.3E-1); +#41658=CARTESIAN_POINT('',(3.885E1,-1.094019237886E1,-2.5815E1)); +#41659=LINE('',#41658,#41657); +#41660=DIRECTION('',(0.E0,1.E0,0.E0)); +#41661=VECTOR('',#41660,7.655192378865E0); +#41662=CARTESIAN_POINT('',(3.885E1,-1.094019237886E1,-2.5815E1)); +#41663=LINE('',#41662,#41661); +#41664=CARTESIAN_POINT('',(3.885E1,-3.285E0,-2.4685E1)); +#41665=DIRECTION('',(1.E0,0.E0,0.E0)); +#41666=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41667=AXIS2_PLACEMENT_3D('',#41664,#41665,#41666); +#41669=DIRECTION('',(0.E0,0.E0,1.E0)); +#41670=VECTOR('',#41669,1.085E0); +#41671=CARTESIAN_POINT('',(3.885E1,-2.155E0,-2.4685E1)); +#41672=LINE('',#41671,#41670); +#41673=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41674=VECTOR('',#41673,1.085E0); +#41675=CARTESIAN_POINT('',(3.885E1,-2.785E0,-2.36E1)); +#41676=LINE('',#41675,#41674); +#41677=CARTESIAN_POINT('',(3.885E1,-3.285E0,-2.4685E1)); +#41678=DIRECTION('',(-1.E0,0.E0,0.E0)); +#41679=DIRECTION('',(0.E0,1.E0,0.E0)); +#41680=AXIS2_PLACEMENT_3D('',#41677,#41678,#41679); +#41682=DIRECTION('',(0.E0,-1.E0,0.E0)); +#41683=VECTOR('',#41682,7.655192378865E0); +#41684=CARTESIAN_POINT('',(3.885E1,-3.285E0,-2.5185E1)); +#41685=LINE('',#41684,#41683); +#41686=DIRECTION('',(0.E0,1.E0,0.E0)); +#41687=VECTOR('',#41686,3.7E0); +#41688=CARTESIAN_POINT('',(3.96E1,-1.72E1,-2.8355E1)); +#41689=LINE('',#41688,#41687); +#41690=DIRECTION('',(0.E0,1.E0,0.E0)); +#41691=VECTOR('',#41690,3.7E0); +#41692=CARTESIAN_POINT('',(3.9E1,-1.72E1,-2.8355E1)); +#41693=LINE('',#41692,#41691); +#41694=DIRECTION('',(1.E0,0.E0,0.E0)); +#41695=VECTOR('',#41694,6.E-1); +#41696=CARTESIAN_POINT('',(3.9E1,-1.72E1,-2.8355E1)); +#41697=LINE('',#41696,#41695); +#41698=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#41699=VECTOR('',#41698,3.E-1); +#41700=CARTESIAN_POINT('',(3.96E1,-1.12E1,-2.8355E1)); +#41701=LINE('',#41700,#41699); +#41702=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#41703=VECTOR('',#41702,3.E-1); +#41704=CARTESIAN_POINT('',(3.885E1,-1.094019237886E1,-2.8355E1)); +#41705=LINE('',#41704,#41703); +#41706=DIRECTION('',(1.127845612318E-14,0.E0,-1.E0)); +#41707=VECTOR('',#41706,6.3E-1); +#41708=CARTESIAN_POINT('',(3.96E1,-1.72E1,-2.7725E1)); +#41709=LINE('',#41708,#41707); +#41710=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41711=VECTOR('',#41710,6.3E-1); +#41712=CARTESIAN_POINT('',(3.9E1,-1.72E1,-2.7725E1)); +#41713=LINE('',#41712,#41711); +#41714=DIRECTION('',(1.E0,0.E0,0.E0)); +#41715=VECTOR('',#41714,6.E-1); +#41716=CARTESIAN_POINT('',(3.9E1,-1.72E1,-2.7725E1)); +#41717=LINE('',#41716,#41715); +#41718=DIRECTION('',(0.E0,0.E0,1.E0)); +#41719=VECTOR('',#41718,6.3E-1); +#41720=CARTESIAN_POINT('',(3.9E1,-1.35E1,-2.8355E1)); +#41721=LINE('',#41720,#41719); +#41722=DIRECTION('',(0.E0,0.E0,1.E0)); +#41723=VECTOR('',#41722,6.3E-1); +#41724=CARTESIAN_POINT('',(3.9E1,-1.35E1,-2.5815E1)); +#41725=LINE('',#41724,#41723); +#41726=DIRECTION('',(0.E0,1.E0,0.E0)); +#41727=VECTOR('',#41726,3.7E0); +#41728=CARTESIAN_POINT('',(3.96E1,-1.72E1,-2.7725E1)); +#41729=LINE('',#41728,#41727); +#41730=DIRECTION('',(0.E0,1.E0,0.E0)); +#41731=VECTOR('',#41730,3.7E0); +#41732=CARTESIAN_POINT('',(3.9E1,-1.72E1,-2.7725E1)); +#41733=LINE('',#41732,#41731); +#41734=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#41735=VECTOR('',#41734,3.E-1); +#41736=CARTESIAN_POINT('',(3.96E1,-1.12E1,-2.7725E1)); +#41737=LINE('',#41736,#41735); +#41738=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#41739=VECTOR('',#41738,3.E-1); +#41740=CARTESIAN_POINT('',(3.885E1,-1.094019237886E1,-2.7725E1)); +#41741=LINE('',#41740,#41739); +#41742=DIRECTION('',(1.E0,0.E0,0.E0)); +#41743=VECTOR('',#41742,9.E-1); +#41744=CARTESIAN_POINT('',(3.885E1,3.815E0,-2.7725E1)); +#41745=LINE('',#41744,#41743); +#41746=DIRECTION('',(0.E0,0.E0,1.E0)); +#41747=VECTOR('',#41746,6.3E-1); +#41748=CARTESIAN_POINT('',(3.96E1,-1.12E1,-2.8355E1)); +#41749=LINE('',#41748,#41747); +#41750=DIRECTION('',(0.E0,0.E0,1.E0)); +#41751=VECTOR('',#41750,6.3E-1); +#41752=CARTESIAN_POINT('',(3.96E1,-1.12E1,-2.5815E1)); +#41753=LINE('',#41752,#41751); +#41754=DIRECTION('',(0.E0,0.E0,1.E0)); +#41755=VECTOR('',#41754,6.3E-1); +#41756=CARTESIAN_POINT('',(3.975E1,-1.094019237886E1,-2.8355E1)); +#41757=LINE('',#41756,#41755); +#41758=DIRECTION('',(0.E0,-1.E0,0.E0)); +#41759=VECTOR('',#41758,1.475519237886E1); +#41760=CARTESIAN_POINT('',(3.975E1,3.815E0,-2.7725E1)); +#41761=LINE('',#41760,#41759); +#41762=CARTESIAN_POINT('',(3.975E1,3.815E0,-2.6725E1)); +#41763=DIRECTION('',(-1.E0,0.E0,0.E0)); +#41764=DIRECTION('',(0.E0,1.E0,0.E0)); +#41765=AXIS2_PLACEMENT_3D('',#41762,#41763,#41764); +#41767=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41768=VECTOR('',#41767,8.85E-1); +#41769=CARTESIAN_POINT('',(3.975E1,4.815E0,-2.584E1)); +#41770=LINE('',#41769,#41768); +#41771=DIRECTION('',(0.E0,0.E0,1.E0)); +#41772=VECTOR('',#41771,8.85E-1); +#41773=CARTESIAN_POINT('',(3.975E1,5.445E0,-2.6725E1)); +#41774=LINE('',#41773,#41772); +#41775=CARTESIAN_POINT('',(3.975E1,3.815E0,-2.6725E1)); +#41776=DIRECTION('',(1.E0,0.E0,0.E0)); +#41777=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#41778=AXIS2_PLACEMENT_3D('',#41775,#41776,#41777); +#41780=DIRECTION('',(0.E0,1.E0,0.E0)); +#41781=VECTOR('',#41780,1.475519237886E1); +#41782=CARTESIAN_POINT('',(3.975E1,-1.094019237886E1,-2.8355E1)); +#41783=LINE('',#41782,#41781); +#41784=DIRECTION('',(0.E0,0.E0,1.E0)); +#41785=VECTOR('',#41784,6.3E-1); +#41786=CARTESIAN_POINT('',(3.975E1,-1.094019237886E1,-2.5815E1)); +#41787=LINE('',#41786,#41785); +#41788=DIRECTION('',(0.E0,-1.E0,0.E0)); +#41789=VECTOR('',#41788,7.655192378865E0); +#41790=CARTESIAN_POINT('',(3.975E1,-3.285E0,-2.5185E1)); +#41791=LINE('',#41790,#41789); +#41792=CARTESIAN_POINT('',(3.975E1,-3.285E0,-2.4685E1)); +#41793=DIRECTION('',(-1.E0,0.E0,0.E0)); +#41794=DIRECTION('',(0.E0,1.E0,0.E0)); +#41795=AXIS2_PLACEMENT_3D('',#41792,#41793,#41794); +#41797=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41798=VECTOR('',#41797,1.085E0); +#41799=CARTESIAN_POINT('',(3.975E1,-2.785E0,-2.36E1)); +#41800=LINE('',#41799,#41798); +#41801=DIRECTION('',(0.E0,0.E0,1.E0)); +#41802=VECTOR('',#41801,1.085E0); +#41803=CARTESIAN_POINT('',(3.975E1,-2.155E0,-2.4685E1)); +#41804=LINE('',#41803,#41802); +#41805=CARTESIAN_POINT('',(3.975E1,-3.285E0,-2.4685E1)); +#41806=DIRECTION('',(1.E0,0.E0,0.E0)); +#41807=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41808=AXIS2_PLACEMENT_3D('',#41805,#41806,#41807); +#41810=DIRECTION('',(0.E0,1.E0,0.E0)); +#41811=VECTOR('',#41810,7.655192378865E0); +#41812=CARTESIAN_POINT('',(3.975E1,-1.094019237886E1,-2.5815E1)); +#41813=LINE('',#41812,#41811); +#41814=DIRECTION('',(1.E0,0.E0,0.E0)); +#41815=VECTOR('',#41814,9.E-1); +#41816=CARTESIAN_POINT('',(3.885E1,4.815E0,-2.6725E1)); +#41817=LINE('',#41816,#41815); +#41818=DIRECTION('',(1.E0,0.E0,0.E0)); +#41819=VECTOR('',#41818,9.E-1); +#41820=CARTESIAN_POINT('',(3.885E1,5.445E0,-2.6725E1)); +#41821=LINE('',#41820,#41819); +#41822=DIRECTION('',(1.E0,0.E0,0.E0)); +#41823=VECTOR('',#41822,9.E-1); +#41824=CARTESIAN_POINT('',(3.885E1,3.815E0,-2.8355E1)); +#41825=LINE('',#41824,#41823); +#41826=DIRECTION('',(0.E0,1.E0,0.E0)); +#41827=VECTOR('',#41826,3.7E0); +#41828=CARTESIAN_POINT('',(3.96E1,-1.72E1,-2.5185E1)); +#41829=LINE('',#41828,#41827); +#41830=DIRECTION('',(0.E0,1.E0,0.E0)); +#41831=VECTOR('',#41830,3.7E0); +#41832=CARTESIAN_POINT('',(3.9E1,-1.72E1,-2.5185E1)); +#41833=LINE('',#41832,#41831); +#41834=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#41835=VECTOR('',#41834,3.E-1); +#41836=CARTESIAN_POINT('',(3.96E1,-1.12E1,-2.5185E1)); +#41837=LINE('',#41836,#41835); +#41838=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#41839=VECTOR('',#41838,3.E-1); +#41840=CARTESIAN_POINT('',(3.885E1,-1.094019237886E1,-2.5185E1)); +#41841=LINE('',#41840,#41839); +#41842=DIRECTION('',(1.E0,0.E0,0.E0)); +#41843=VECTOR('',#41842,9.E-1); +#41844=CARTESIAN_POINT('',(3.885E1,-3.285E0,-2.5185E1)); +#41845=LINE('',#41844,#41843); +#41846=DIRECTION('',(1.127845612318E-14,0.E0,-1.E0)); +#41847=VECTOR('',#41846,6.3E-1); +#41848=CARTESIAN_POINT('',(3.96E1,-1.72E1,-2.5185E1)); +#41849=LINE('',#41848,#41847); +#41850=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41851=VECTOR('',#41850,6.3E-1); +#41852=CARTESIAN_POINT('',(3.9E1,-1.72E1,-2.5185E1)); +#41853=LINE('',#41852,#41851); +#41854=DIRECTION('',(1.E0,0.E0,0.E0)); +#41855=VECTOR('',#41854,6.E-1); +#41856=CARTESIAN_POINT('',(3.9E1,-1.72E1,-2.5185E1)); +#41857=LINE('',#41856,#41855); +#41858=DIRECTION('',(0.E0,1.E0,0.E0)); +#41859=VECTOR('',#41858,3.7E0); +#41860=CARTESIAN_POINT('',(3.96E1,-1.72E1,-2.5815E1)); +#41861=LINE('',#41860,#41859); +#41862=DIRECTION('',(0.E0,1.E0,0.E0)); +#41863=VECTOR('',#41862,3.7E0); +#41864=CARTESIAN_POINT('',(3.9E1,-1.72E1,-2.5815E1)); +#41865=LINE('',#41864,#41863); +#41866=DIRECTION('',(1.E0,0.E0,0.E0)); +#41867=VECTOR('',#41866,6.E-1); +#41868=CARTESIAN_POINT('',(3.9E1,-1.72E1,-2.5815E1)); +#41869=LINE('',#41868,#41867); +#41870=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#41871=VECTOR('',#41870,3.E-1); +#41872=CARTESIAN_POINT('',(3.96E1,-1.12E1,-2.5815E1)); +#41873=LINE('',#41872,#41871); +#41874=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#41875=VECTOR('',#41874,3.E-1); +#41876=CARTESIAN_POINT('',(3.885E1,-1.094019237886E1,-2.5815E1)); +#41877=LINE('',#41876,#41875); +#41878=DIRECTION('',(1.E0,0.E0,0.E0)); +#41879=VECTOR('',#41878,9.E-1); +#41880=CARTESIAN_POINT('',(3.885E1,-3.285E0,-2.5815E1)); +#41881=LINE('',#41880,#41879); +#41882=DIRECTION('',(1.E0,0.E0,0.E0)); +#41883=VECTOR('',#41882,9.E-1); +#41884=CARTESIAN_POINT('',(3.885E1,-2.155E0,-2.4685E1)); +#41885=LINE('',#41884,#41883); +#41886=DIRECTION('',(1.E0,0.E0,0.E0)); +#41887=VECTOR('',#41886,9.E-1); +#41888=CARTESIAN_POINT('',(3.885E1,-2.785E0,-2.4685E1)); +#41889=LINE('',#41888,#41887); +#41890=DIRECTION('',(0.E0,0.E0,1.E0)); +#41891=VECTOR('',#41890,6.3E-1); +#41892=CARTESIAN_POINT('',(4.1E1,-1.094019237886E1,-3.0895E1)); +#41893=LINE('',#41892,#41891); +#41894=DIRECTION('',(0.E0,1.E0,0.E0)); +#41895=VECTOR('',#41894,1.475519237886E1); +#41896=CARTESIAN_POINT('',(4.1E1,-1.094019237886E1,-3.0895E1)); +#41897=LINE('',#41896,#41895); +#41898=CARTESIAN_POINT('',(4.1E1,3.815E0,-2.9265E1)); +#41899=DIRECTION('',(1.E0,0.E0,0.E0)); +#41900=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41901=AXIS2_PLACEMENT_3D('',#41898,#41899,#41900); +#41903=DIRECTION('',(0.E0,0.E0,1.E0)); +#41904=VECTOR('',#41903,3.425E0); +#41905=CARTESIAN_POINT('',(4.1E1,5.445E0,-2.9265E1)); +#41906=LINE('',#41905,#41904); +#41907=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41908=VECTOR('',#41907,3.425E0); +#41909=CARTESIAN_POINT('',(4.1E1,4.815E0,-2.584E1)); +#41910=LINE('',#41909,#41908); +#41911=CARTESIAN_POINT('',(4.1E1,3.815E0,-2.9265E1)); +#41912=DIRECTION('',(-1.E0,0.E0,0.E0)); +#41913=DIRECTION('',(0.E0,1.E0,0.E0)); +#41914=AXIS2_PLACEMENT_3D('',#41911,#41912,#41913); +#41916=DIRECTION('',(0.E0,-1.E0,0.E0)); +#41917=VECTOR('',#41916,1.475519237886E1); +#41918=CARTESIAN_POINT('',(4.1E1,3.815E0,-3.0265E1)); +#41919=LINE('',#41918,#41917); +#41920=DIRECTION('',(0.E0,0.E0,1.E0)); +#41921=VECTOR('',#41920,6.3E-1); +#41922=CARTESIAN_POINT('',(4.1E1,-1.094019237886E1,-2.5815E1)); +#41923=LINE('',#41922,#41921); +#41924=DIRECTION('',(0.E0,1.E0,0.E0)); +#41925=VECTOR('',#41924,7.655192378865E0); +#41926=CARTESIAN_POINT('',(4.1E1,-1.094019237886E1,-2.5815E1)); +#41927=LINE('',#41926,#41925); +#41928=CARTESIAN_POINT('',(4.1E1,-3.285E0,-2.4685E1)); +#41929=DIRECTION('',(1.E0,0.E0,0.E0)); +#41930=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41931=AXIS2_PLACEMENT_3D('',#41928,#41929,#41930); +#41933=DIRECTION('',(0.E0,0.E0,1.E0)); +#41934=VECTOR('',#41933,1.085E0); +#41935=CARTESIAN_POINT('',(4.1E1,-2.155E0,-2.4685E1)); +#41936=LINE('',#41935,#41934); +#41937=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41938=VECTOR('',#41937,1.085E0); +#41939=CARTESIAN_POINT('',(4.1E1,-2.785E0,-2.36E1)); +#41940=LINE('',#41939,#41938); +#41941=CARTESIAN_POINT('',(4.1E1,-3.285E0,-2.4685E1)); +#41942=DIRECTION('',(-1.E0,0.E0,0.E0)); +#41943=DIRECTION('',(0.E0,1.E0,0.E0)); +#41944=AXIS2_PLACEMENT_3D('',#41941,#41942,#41943); +#41946=DIRECTION('',(0.E0,-1.E0,0.E0)); +#41947=VECTOR('',#41946,7.655192378865E0); +#41948=CARTESIAN_POINT('',(4.1E1,-3.285E0,-2.5185E1)); +#41949=LINE('',#41948,#41947); +#41950=DIRECTION('',(0.E0,1.E0,0.E0)); +#41951=VECTOR('',#41950,3.7E0); +#41952=CARTESIAN_POINT('',(4.115E1,-1.72E1,-3.0895E1)); +#41953=LINE('',#41952,#41951); +#41954=DIRECTION('',(1.E0,0.E0,0.E0)); +#41955=VECTOR('',#41954,6.E-1); +#41956=CARTESIAN_POINT('',(4.115E1,-1.72E1,-3.0895E1)); +#41957=LINE('',#41956,#41955); +#41958=DIRECTION('',(0.E0,1.E0,0.E0)); +#41959=VECTOR('',#41958,3.7E0); +#41960=CARTESIAN_POINT('',(4.175E1,-1.72E1,-3.0895E1)); +#41961=LINE('',#41960,#41959); +#41962=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#41963=VECTOR('',#41962,3.E-1); +#41964=CARTESIAN_POINT('',(4.1E1,-1.094019237886E1,-3.0895E1)); +#41965=LINE('',#41964,#41963); +#41966=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#41967=VECTOR('',#41966,3.E-1); +#41968=CARTESIAN_POINT('',(4.175E1,-1.12E1,-3.0895E1)); +#41969=LINE('',#41968,#41967); +#41970=DIRECTION('',(0.E0,0.E0,1.E0)); +#41971=VECTOR('',#41970,6.3E-1); +#41972=CARTESIAN_POINT('',(4.115E1,-1.35E1,-3.0895E1)); +#41973=LINE('',#41972,#41971); +#41974=DIRECTION('',(0.E0,0.E0,1.E0)); +#41975=VECTOR('',#41974,6.3E-1); +#41976=CARTESIAN_POINT('',(4.115E1,-1.35E1,-2.5815E1)); +#41977=LINE('',#41976,#41975); +#41978=DIRECTION('',(0.E0,1.E0,0.E0)); +#41979=VECTOR('',#41978,3.7E0); +#41980=CARTESIAN_POINT('',(4.115E1,-1.72E1,-3.0265E1)); +#41981=LINE('',#41980,#41979); +#41982=DIRECTION('',(0.E0,1.E0,0.E0)); +#41983=VECTOR('',#41982,3.7E0); +#41984=CARTESIAN_POINT('',(4.175E1,-1.72E1,-3.0265E1)); +#41985=LINE('',#41984,#41983); +#41986=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#41987=VECTOR('',#41986,3.E-1); +#41988=CARTESIAN_POINT('',(4.1E1,-1.094019237886E1,-3.0265E1)); +#41989=LINE('',#41988,#41987); +#41990=DIRECTION('',(1.E0,0.E0,0.E0)); +#41991=VECTOR('',#41990,9.E-1); +#41992=CARTESIAN_POINT('',(4.1E1,3.815E0,-3.0265E1)); +#41993=LINE('',#41992,#41991); +#41994=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#41995=VECTOR('',#41994,3.E-1); +#41996=CARTESIAN_POINT('',(4.175E1,-1.12E1,-3.0265E1)); +#41997=LINE('',#41996,#41995); +#41998=DIRECTION('',(0.E0,0.E0,-1.E0)); +#41999=VECTOR('',#41998,6.3E-1); +#42000=CARTESIAN_POINT('',(4.115E1,-1.72E1,-3.0265E1)); +#42001=LINE('',#42000,#41999); +#42002=DIRECTION('',(1.E0,0.E0,0.E0)); +#42003=VECTOR('',#42002,6.E-1); +#42004=CARTESIAN_POINT('',(4.115E1,-1.72E1,-3.0265E1)); +#42005=LINE('',#42004,#42003); +#42006=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42007=VECTOR('',#42006,6.3E-1); +#42008=CARTESIAN_POINT('',(4.175E1,-1.72E1,-3.0265E1)); +#42009=LINE('',#42008,#42007); +#42010=DIRECTION('',(0.E0,1.E0,0.E0)); +#42011=VECTOR('',#42010,3.7E0); +#42012=CARTESIAN_POINT('',(4.115E1,-1.72E1,-2.5815E1)); +#42013=LINE('',#42012,#42011); +#42014=DIRECTION('',(1.E0,0.E0,0.E0)); +#42015=VECTOR('',#42014,6.E-1); +#42016=CARTESIAN_POINT('',(4.115E1,-1.72E1,-2.5815E1)); +#42017=LINE('',#42016,#42015); +#42018=DIRECTION('',(0.E0,1.E0,0.E0)); +#42019=VECTOR('',#42018,3.7E0); +#42020=CARTESIAN_POINT('',(4.175E1,-1.72E1,-2.5815E1)); +#42021=LINE('',#42020,#42019); +#42022=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#42023=VECTOR('',#42022,3.E-1); +#42024=CARTESIAN_POINT('',(4.1E1,-1.094019237886E1,-2.5815E1)); +#42025=LINE('',#42024,#42023); +#42026=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#42027=VECTOR('',#42026,3.E-1); +#42028=CARTESIAN_POINT('',(4.175E1,-1.12E1,-2.5815E1)); +#42029=LINE('',#42028,#42027); +#42030=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42031=VECTOR('',#42030,6.3E-1); +#42032=CARTESIAN_POINT('',(4.115E1,-1.72E1,-2.5185E1)); +#42033=LINE('',#42032,#42031); +#42034=DIRECTION('',(1.E0,0.E0,0.E0)); +#42035=VECTOR('',#42034,6.E-1); +#42036=CARTESIAN_POINT('',(4.115E1,-1.72E1,-2.5185E1)); +#42037=LINE('',#42036,#42035); +#42038=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42039=VECTOR('',#42038,6.3E-1); +#42040=CARTESIAN_POINT('',(4.175E1,-1.72E1,-2.5185E1)); +#42041=LINE('',#42040,#42039); +#42042=DIRECTION('',(0.E0,1.E0,0.E0)); +#42043=VECTOR('',#42042,3.7E0); +#42044=CARTESIAN_POINT('',(4.115E1,-1.72E1,-2.5185E1)); +#42045=LINE('',#42044,#42043); +#42046=DIRECTION('',(0.E0,1.E0,0.E0)); +#42047=VECTOR('',#42046,3.7E0); +#42048=CARTESIAN_POINT('',(4.175E1,-1.72E1,-2.5185E1)); +#42049=LINE('',#42048,#42047); +#42050=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#42051=VECTOR('',#42050,3.E-1); +#42052=CARTESIAN_POINT('',(4.1E1,-1.094019237886E1,-2.5185E1)); +#42053=LINE('',#42052,#42051); +#42054=DIRECTION('',(1.E0,0.E0,0.E0)); +#42055=VECTOR('',#42054,9.E-1); +#42056=CARTESIAN_POINT('',(4.1E1,-3.285E0,-2.5185E1)); +#42057=LINE('',#42056,#42055); +#42058=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#42059=VECTOR('',#42058,3.E-1); +#42060=CARTESIAN_POINT('',(4.175E1,-1.12E1,-2.5185E1)); +#42061=LINE('',#42060,#42059); +#42062=DIRECTION('',(1.E0,0.E0,0.E0)); +#42063=VECTOR('',#42062,9.E-1); +#42064=CARTESIAN_POINT('',(4.1E1,-2.785E0,-2.4685E1)); +#42065=LINE('',#42064,#42063); +#42066=DIRECTION('',(0.E0,0.E0,1.E0)); +#42067=VECTOR('',#42066,6.3E-1); +#42068=CARTESIAN_POINT('',(4.19E1,-1.094019237886E1,-3.0895E1)); +#42069=LINE('',#42068,#42067); +#42070=DIRECTION('',(0.E0,-1.E0,0.E0)); +#42071=VECTOR('',#42070,1.475519237886E1); +#42072=CARTESIAN_POINT('',(4.19E1,3.815E0,-3.0265E1)); +#42073=LINE('',#42072,#42071); +#42074=CARTESIAN_POINT('',(4.19E1,3.815E0,-2.9265E1)); +#42075=DIRECTION('',(-1.E0,0.E0,0.E0)); +#42076=DIRECTION('',(0.E0,1.E0,0.E0)); +#42077=AXIS2_PLACEMENT_3D('',#42074,#42075,#42076); +#42079=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42080=VECTOR('',#42079,3.425E0); +#42081=CARTESIAN_POINT('',(4.19E1,4.815E0,-2.584E1)); +#42082=LINE('',#42081,#42080); +#42083=DIRECTION('',(0.E0,0.E0,1.E0)); +#42084=VECTOR('',#42083,3.425E0); +#42085=CARTESIAN_POINT('',(4.19E1,5.445E0,-2.9265E1)); +#42086=LINE('',#42085,#42084); +#42087=CARTESIAN_POINT('',(4.19E1,3.815E0,-2.9265E1)); +#42088=DIRECTION('',(1.E0,0.E0,0.E0)); +#42089=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42090=AXIS2_PLACEMENT_3D('',#42087,#42088,#42089); +#42092=DIRECTION('',(0.E0,1.E0,0.E0)); +#42093=VECTOR('',#42092,1.475519237886E1); +#42094=CARTESIAN_POINT('',(4.19E1,-1.094019237886E1,-3.0895E1)); +#42095=LINE('',#42094,#42093); +#42096=DIRECTION('',(0.E0,0.E0,1.E0)); +#42097=VECTOR('',#42096,6.3E-1); +#42098=CARTESIAN_POINT('',(4.19E1,-1.094019237886E1,-2.5815E1)); +#42099=LINE('',#42098,#42097); +#42100=DIRECTION('',(0.E0,-1.E0,0.E0)); +#42101=VECTOR('',#42100,7.655192378865E0); +#42102=CARTESIAN_POINT('',(4.19E1,-3.285E0,-2.5185E1)); +#42103=LINE('',#42102,#42101); +#42104=CARTESIAN_POINT('',(4.19E1,-3.285E0,-2.4685E1)); +#42105=DIRECTION('',(-1.E0,0.E0,0.E0)); +#42106=DIRECTION('',(0.E0,1.E0,0.E0)); +#42107=AXIS2_PLACEMENT_3D('',#42104,#42105,#42106); +#42109=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42110=VECTOR('',#42109,1.085E0); +#42111=CARTESIAN_POINT('',(4.19E1,-2.785E0,-2.36E1)); +#42112=LINE('',#42111,#42110); +#42113=DIRECTION('',(0.E0,0.E0,1.E0)); +#42114=VECTOR('',#42113,1.085E0); +#42115=CARTESIAN_POINT('',(4.19E1,-2.155E0,-2.4685E1)); +#42116=LINE('',#42115,#42114); +#42117=CARTESIAN_POINT('',(4.19E1,-3.285E0,-2.4685E1)); +#42118=DIRECTION('',(1.E0,0.E0,0.E0)); +#42119=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42120=AXIS2_PLACEMENT_3D('',#42117,#42118,#42119); +#42122=DIRECTION('',(0.E0,1.E0,0.E0)); +#42123=VECTOR('',#42122,7.655192378865E0); +#42124=CARTESIAN_POINT('',(4.19E1,-1.094019237886E1,-2.5815E1)); +#42125=LINE('',#42124,#42123); +#42126=DIRECTION('',(0.E0,0.E0,1.E0)); +#42127=VECTOR('',#42126,6.3E-1); +#42128=CARTESIAN_POINT('',(4.175E1,-1.12E1,-3.0895E1)); +#42129=LINE('',#42128,#42127); +#42130=DIRECTION('',(0.E0,0.E0,1.E0)); +#42131=VECTOR('',#42130,6.3E-1); +#42132=CARTESIAN_POINT('',(4.175E1,-1.12E1,-2.5815E1)); +#42133=LINE('',#42132,#42131); +#42134=DIRECTION('',(1.E0,0.E0,0.E0)); +#42135=VECTOR('',#42134,9.E-1); +#42136=CARTESIAN_POINT('',(4.1E1,4.815E0,-2.9265E1)); +#42137=LINE('',#42136,#42135); +#42138=DIRECTION('',(1.E0,0.E0,0.E0)); +#42139=VECTOR('',#42138,9.E-1); +#42140=CARTESIAN_POINT('',(4.1E1,5.445E0,-2.9265E1)); +#42141=LINE('',#42140,#42139); +#42142=DIRECTION('',(1.E0,0.E0,0.E0)); +#42143=VECTOR('',#42142,9.E-1); +#42144=CARTESIAN_POINT('',(4.1E1,3.815E0,-3.0895E1)); +#42145=LINE('',#42144,#42143); +#42146=DIRECTION('',(1.E0,0.E0,0.E0)); +#42147=VECTOR('',#42146,9.E-1); +#42148=CARTESIAN_POINT('',(4.1E1,-2.155E0,-2.4685E1)); +#42149=LINE('',#42148,#42147); +#42150=DIRECTION('',(1.E0,0.E0,0.E0)); +#42151=VECTOR('',#42150,9.E-1); +#42152=CARTESIAN_POINT('',(4.1E1,-3.285E0,-2.5815E1)); +#42153=LINE('',#42152,#42151); +#42154=DIRECTION('',(0.E0,0.E0,1.E0)); +#42155=VECTOR('',#42154,6.3E-1); +#42156=CARTESIAN_POINT('',(4.315E1,-1.094019237886E1,-2.8355E1)); +#42157=LINE('',#42156,#42155); +#42158=DIRECTION('',(0.E0,1.E0,0.E0)); +#42159=VECTOR('',#42158,1.475519237886E1); +#42160=CARTESIAN_POINT('',(4.315E1,-1.094019237886E1,-2.8355E1)); +#42161=LINE('',#42160,#42159); +#42162=CARTESIAN_POINT('',(4.315E1,3.815E0,-2.6725E1)); +#42163=DIRECTION('',(1.E0,0.E0,0.E0)); +#42164=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#42165=AXIS2_PLACEMENT_3D('',#42162,#42163,#42164); +#42167=DIRECTION('',(0.E0,0.E0,1.E0)); +#42168=VECTOR('',#42167,8.85E-1); +#42169=CARTESIAN_POINT('',(4.315E1,5.445E0,-2.6725E1)); +#42170=LINE('',#42169,#42168); +#42171=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42172=VECTOR('',#42171,8.85E-1); +#42173=CARTESIAN_POINT('',(4.315E1,4.815E0,-2.584E1)); +#42174=LINE('',#42173,#42172); +#42175=CARTESIAN_POINT('',(4.315E1,3.815E0,-2.6725E1)); +#42176=DIRECTION('',(-1.E0,0.E0,0.E0)); +#42177=DIRECTION('',(0.E0,1.E0,0.E0)); +#42178=AXIS2_PLACEMENT_3D('',#42175,#42176,#42177); +#42180=DIRECTION('',(0.E0,-1.E0,0.E0)); +#42181=VECTOR('',#42180,1.475519237886E1); +#42182=CARTESIAN_POINT('',(4.315E1,3.815E0,-2.7725E1)); +#42183=LINE('',#42182,#42181); +#42184=DIRECTION('',(0.E0,0.E0,1.E0)); +#42185=VECTOR('',#42184,6.3E-1); +#42186=CARTESIAN_POINT('',(4.315E1,-1.094019237886E1,-2.5815E1)); +#42187=LINE('',#42186,#42185); +#42188=DIRECTION('',(0.E0,1.E0,0.E0)); +#42189=VECTOR('',#42188,7.655192378865E0); +#42190=CARTESIAN_POINT('',(4.315E1,-1.094019237886E1,-2.5815E1)); +#42191=LINE('',#42190,#42189); +#42192=CARTESIAN_POINT('',(4.315E1,-3.285E0,-2.4685E1)); +#42193=DIRECTION('',(1.E0,0.E0,0.E0)); +#42194=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42195=AXIS2_PLACEMENT_3D('',#42192,#42193,#42194); +#42197=DIRECTION('',(0.E0,0.E0,1.E0)); +#42198=VECTOR('',#42197,1.085E0); +#42199=CARTESIAN_POINT('',(4.315E1,-2.155E0,-2.4685E1)); +#42200=LINE('',#42199,#42198); +#42201=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42202=VECTOR('',#42201,1.085E0); +#42203=CARTESIAN_POINT('',(4.315E1,-2.785E0,-2.36E1)); +#42204=LINE('',#42203,#42202); +#42205=CARTESIAN_POINT('',(4.315E1,-3.285E0,-2.4685E1)); +#42206=DIRECTION('',(-1.E0,0.E0,0.E0)); +#42207=DIRECTION('',(0.E0,1.E0,0.E0)); +#42208=AXIS2_PLACEMENT_3D('',#42205,#42206,#42207); +#42210=DIRECTION('',(0.E0,-1.E0,0.E0)); +#42211=VECTOR('',#42210,7.655192378865E0); +#42212=CARTESIAN_POINT('',(4.315E1,-3.285E0,-2.5185E1)); +#42213=LINE('',#42212,#42211); +#42214=DIRECTION('',(0.E0,1.E0,0.E0)); +#42215=VECTOR('',#42214,3.7E0); +#42216=CARTESIAN_POINT('',(4.39E1,-1.72E1,-2.8355E1)); +#42217=LINE('',#42216,#42215); +#42218=DIRECTION('',(0.E0,1.E0,0.E0)); +#42219=VECTOR('',#42218,3.7E0); +#42220=CARTESIAN_POINT('',(4.33E1,-1.72E1,-2.8355E1)); +#42221=LINE('',#42220,#42219); +#42222=DIRECTION('',(1.E0,0.E0,0.E0)); +#42223=VECTOR('',#42222,6.E-1); +#42224=CARTESIAN_POINT('',(4.33E1,-1.72E1,-2.8355E1)); +#42225=LINE('',#42224,#42223); +#42226=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#42227=VECTOR('',#42226,3.E-1); +#42228=CARTESIAN_POINT('',(4.39E1,-1.12E1,-2.8355E1)); +#42229=LINE('',#42228,#42227); +#42230=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#42231=VECTOR('',#42230,3.E-1); +#42232=CARTESIAN_POINT('',(4.315E1,-1.094019237886E1,-2.8355E1)); +#42233=LINE('',#42232,#42231); +#42234=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42235=VECTOR('',#42234,6.3E-1); +#42236=CARTESIAN_POINT('',(4.39E1,-1.72E1,-2.7725E1)); +#42237=LINE('',#42236,#42235); +#42238=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42239=VECTOR('',#42238,6.3E-1); +#42240=CARTESIAN_POINT('',(4.33E1,-1.72E1,-2.7725E1)); +#42241=LINE('',#42240,#42239); +#42242=DIRECTION('',(1.E0,0.E0,0.E0)); +#42243=VECTOR('',#42242,6.E-1); +#42244=CARTESIAN_POINT('',(4.33E1,-1.72E1,-2.7725E1)); +#42245=LINE('',#42244,#42243); +#42246=DIRECTION('',(0.E0,0.E0,1.E0)); +#42247=VECTOR('',#42246,6.3E-1); +#42248=CARTESIAN_POINT('',(4.33E1,-1.35E1,-2.8355E1)); +#42249=LINE('',#42248,#42247); +#42250=DIRECTION('',(0.E0,0.E0,1.E0)); +#42251=VECTOR('',#42250,6.3E-1); +#42252=CARTESIAN_POINT('',(4.33E1,-1.35E1,-2.5815E1)); +#42253=LINE('',#42252,#42251); +#42254=DIRECTION('',(0.E0,1.E0,0.E0)); +#42255=VECTOR('',#42254,3.7E0); +#42256=CARTESIAN_POINT('',(4.39E1,-1.72E1,-2.7725E1)); +#42257=LINE('',#42256,#42255); +#42258=DIRECTION('',(0.E0,1.E0,0.E0)); +#42259=VECTOR('',#42258,3.7E0); +#42260=CARTESIAN_POINT('',(4.33E1,-1.72E1,-2.7725E1)); +#42261=LINE('',#42260,#42259); +#42262=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#42263=VECTOR('',#42262,3.E-1); +#42264=CARTESIAN_POINT('',(4.39E1,-1.12E1,-2.7725E1)); +#42265=LINE('',#42264,#42263); +#42266=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#42267=VECTOR('',#42266,3.E-1); +#42268=CARTESIAN_POINT('',(4.315E1,-1.094019237886E1,-2.7725E1)); +#42269=LINE('',#42268,#42267); +#42270=DIRECTION('',(1.E0,0.E0,0.E0)); +#42271=VECTOR('',#42270,9.E-1); +#42272=CARTESIAN_POINT('',(4.315E1,3.815E0,-2.7725E1)); +#42273=LINE('',#42272,#42271); +#42274=DIRECTION('',(0.E0,0.E0,1.E0)); +#42275=VECTOR('',#42274,6.3E-1); +#42276=CARTESIAN_POINT('',(4.39E1,-1.12E1,-2.8355E1)); +#42277=LINE('',#42276,#42275); +#42278=DIRECTION('',(0.E0,0.E0,1.E0)); +#42279=VECTOR('',#42278,6.3E-1); +#42280=CARTESIAN_POINT('',(4.39E1,-1.12E1,-2.5815E1)); +#42281=LINE('',#42280,#42279); +#42282=DIRECTION('',(0.E0,0.E0,1.E0)); +#42283=VECTOR('',#42282,6.3E-1); +#42284=CARTESIAN_POINT('',(4.405E1,-1.094019237886E1,-2.8355E1)); +#42285=LINE('',#42284,#42283); +#42286=DIRECTION('',(0.E0,-1.E0,0.E0)); +#42287=VECTOR('',#42286,1.475519237886E1); +#42288=CARTESIAN_POINT('',(4.405E1,3.815E0,-2.7725E1)); +#42289=LINE('',#42288,#42287); +#42290=CARTESIAN_POINT('',(4.405E1,3.815E0,-2.6725E1)); +#42291=DIRECTION('',(-1.E0,0.E0,0.E0)); +#42292=DIRECTION('',(0.E0,1.E0,0.E0)); +#42293=AXIS2_PLACEMENT_3D('',#42290,#42291,#42292); +#42295=DIRECTION('',(-1.605746295503E-14,0.E0,-1.E0)); +#42296=VECTOR('',#42295,8.85E-1); +#42297=CARTESIAN_POINT('',(4.405E1,4.815E0,-2.584E1)); +#42298=LINE('',#42297,#42296); +#42299=DIRECTION('',(1.605746295503E-14,0.E0,1.E0)); +#42300=VECTOR('',#42299,8.85E-1); +#42301=CARTESIAN_POINT('',(4.405E1,5.445E0,-2.6725E1)); +#42302=LINE('',#42301,#42300); +#42303=CARTESIAN_POINT('',(4.405E1,3.815E0,-2.6725E1)); +#42304=DIRECTION('',(1.E0,0.E0,0.E0)); +#42305=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#42306=AXIS2_PLACEMENT_3D('',#42303,#42304,#42305); +#42308=DIRECTION('',(0.E0,1.E0,0.E0)); +#42309=VECTOR('',#42308,1.475519237886E1); +#42310=CARTESIAN_POINT('',(4.405E1,-1.094019237886E1,-2.8355E1)); +#42311=LINE('',#42310,#42309); +#42312=DIRECTION('',(0.E0,0.E0,1.E0)); +#42313=VECTOR('',#42312,6.3E-1); +#42314=CARTESIAN_POINT('',(4.405E1,-1.094019237886E1,-2.5815E1)); +#42315=LINE('',#42314,#42313); +#42316=DIRECTION('',(0.E0,-1.E0,0.E0)); +#42317=VECTOR('',#42316,7.655192378865E0); +#42318=CARTESIAN_POINT('',(4.405E1,-3.285E0,-2.5185E1)); +#42319=LINE('',#42318,#42317); +#42320=CARTESIAN_POINT('',(4.405E1,-3.285E0,-2.4685E1)); +#42321=DIRECTION('',(-1.E0,0.E0,0.E0)); +#42322=DIRECTION('',(0.E0,1.E0,0.E0)); +#42323=AXIS2_PLACEMENT_3D('',#42320,#42321,#42322); +#42325=DIRECTION('',(-1.309756194949E-14,0.E0,-1.E0)); +#42326=VECTOR('',#42325,1.085E0); +#42327=CARTESIAN_POINT('',(4.405E1,-2.785E0,-2.36E1)); +#42328=LINE('',#42327,#42326); +#42329=DIRECTION('',(1.309756194949E-14,0.E0,1.E0)); +#42330=VECTOR('',#42329,1.085E0); +#42331=CARTESIAN_POINT('',(4.405E1,-2.155E0,-2.4685E1)); +#42332=LINE('',#42331,#42330); +#42333=CARTESIAN_POINT('',(4.405E1,-3.285E0,-2.4685E1)); +#42334=DIRECTION('',(1.E0,0.E0,0.E0)); +#42335=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42336=AXIS2_PLACEMENT_3D('',#42333,#42334,#42335); +#42338=DIRECTION('',(0.E0,1.E0,0.E0)); +#42339=VECTOR('',#42338,7.655192378865E0); +#42340=CARTESIAN_POINT('',(4.405E1,-1.094019237886E1,-2.5815E1)); +#42341=LINE('',#42340,#42339); +#42342=DIRECTION('',(1.E0,0.E0,0.E0)); +#42343=VECTOR('',#42342,9.E-1); +#42344=CARTESIAN_POINT('',(4.315E1,4.815E0,-2.6725E1)); +#42345=LINE('',#42344,#42343); +#42346=DIRECTION('',(1.E0,0.E0,0.E0)); +#42347=VECTOR('',#42346,9.E-1); +#42348=CARTESIAN_POINT('',(4.315E1,5.445E0,-2.6725E1)); +#42349=LINE('',#42348,#42347); +#42350=DIRECTION('',(1.E0,0.E0,0.E0)); +#42351=VECTOR('',#42350,9.E-1); +#42352=CARTESIAN_POINT('',(4.315E1,3.815E0,-2.8355E1)); +#42353=LINE('',#42352,#42351); +#42354=DIRECTION('',(0.E0,1.E0,0.E0)); +#42355=VECTOR('',#42354,3.7E0); +#42356=CARTESIAN_POINT('',(4.39E1,-1.72E1,-2.5185E1)); +#42357=LINE('',#42356,#42355); +#42358=DIRECTION('',(0.E0,1.E0,0.E0)); +#42359=VECTOR('',#42358,3.7E0); +#42360=CARTESIAN_POINT('',(4.33E1,-1.72E1,-2.5185E1)); +#42361=LINE('',#42360,#42359); +#42362=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#42363=VECTOR('',#42362,3.E-1); +#42364=CARTESIAN_POINT('',(4.39E1,-1.12E1,-2.5185E1)); +#42365=LINE('',#42364,#42363); +#42366=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#42367=VECTOR('',#42366,3.E-1); +#42368=CARTESIAN_POINT('',(4.315E1,-1.094019237886E1,-2.5185E1)); +#42369=LINE('',#42368,#42367); +#42370=DIRECTION('',(1.E0,0.E0,0.E0)); +#42371=VECTOR('',#42370,9.E-1); +#42372=CARTESIAN_POINT('',(4.315E1,-3.285E0,-2.5185E1)); +#42373=LINE('',#42372,#42371); +#42374=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42375=VECTOR('',#42374,6.3E-1); +#42376=CARTESIAN_POINT('',(4.39E1,-1.72E1,-2.5185E1)); +#42377=LINE('',#42376,#42375); +#42378=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42379=VECTOR('',#42378,6.3E-1); +#42380=CARTESIAN_POINT('',(4.33E1,-1.72E1,-2.5185E1)); +#42381=LINE('',#42380,#42379); +#42382=DIRECTION('',(1.E0,0.E0,0.E0)); +#42383=VECTOR('',#42382,6.E-1); +#42384=CARTESIAN_POINT('',(4.33E1,-1.72E1,-2.5185E1)); +#42385=LINE('',#42384,#42383); +#42386=DIRECTION('',(0.E0,1.E0,0.E0)); +#42387=VECTOR('',#42386,3.7E0); +#42388=CARTESIAN_POINT('',(4.39E1,-1.72E1,-2.5815E1)); +#42389=LINE('',#42388,#42387); +#42390=DIRECTION('',(0.E0,1.E0,0.E0)); +#42391=VECTOR('',#42390,3.7E0); +#42392=CARTESIAN_POINT('',(4.33E1,-1.72E1,-2.5815E1)); +#42393=LINE('',#42392,#42391); +#42394=DIRECTION('',(1.E0,0.E0,0.E0)); +#42395=VECTOR('',#42394,6.E-1); +#42396=CARTESIAN_POINT('',(4.33E1,-1.72E1,-2.5815E1)); +#42397=LINE('',#42396,#42395); +#42398=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#42399=VECTOR('',#42398,3.E-1); +#42400=CARTESIAN_POINT('',(4.39E1,-1.12E1,-2.5815E1)); +#42401=LINE('',#42400,#42399); +#42402=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#42403=VECTOR('',#42402,3.E-1); +#42404=CARTESIAN_POINT('',(4.315E1,-1.094019237886E1,-2.5815E1)); +#42405=LINE('',#42404,#42403); +#42406=DIRECTION('',(1.E0,0.E0,0.E0)); +#42407=VECTOR('',#42406,9.E-1); +#42408=CARTESIAN_POINT('',(4.315E1,-3.285E0,-2.5815E1)); +#42409=LINE('',#42408,#42407); +#42410=DIRECTION('',(1.E0,0.E0,0.E0)); +#42411=VECTOR('',#42410,9.E-1); +#42412=CARTESIAN_POINT('',(4.315E1,-2.155E0,-2.4685E1)); +#42413=LINE('',#42412,#42411); +#42414=DIRECTION('',(1.E0,0.E0,0.E0)); +#42415=VECTOR('',#42414,9.E-1); +#42416=CARTESIAN_POINT('',(4.315E1,-2.785E0,-2.4685E1)); +#42417=LINE('',#42416,#42415); +#42418=DIRECTION('',(0.E0,0.E0,1.E0)); +#42419=VECTOR('',#42418,6.3E-1); +#42420=CARTESIAN_POINT('',(4.53E1,-1.094019237886E1,-3.0895E1)); +#42421=LINE('',#42420,#42419); +#42422=DIRECTION('',(0.E0,1.E0,0.E0)); +#42423=VECTOR('',#42422,1.475519237886E1); +#42424=CARTESIAN_POINT('',(4.53E1,-1.094019237886E1,-3.0895E1)); +#42425=LINE('',#42424,#42423); +#42426=CARTESIAN_POINT('',(4.53E1,3.815E0,-2.9265E1)); +#42427=DIRECTION('',(1.E0,0.E0,0.E0)); +#42428=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42429=AXIS2_PLACEMENT_3D('',#42426,#42427,#42428); +#42431=DIRECTION('',(0.E0,0.E0,1.E0)); +#42432=VECTOR('',#42431,3.425E0); +#42433=CARTESIAN_POINT('',(4.53E1,5.445E0,-2.9265E1)); +#42434=LINE('',#42433,#42432); +#42435=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42436=VECTOR('',#42435,3.425E0); +#42437=CARTESIAN_POINT('',(4.53E1,4.815E0,-2.584E1)); +#42438=LINE('',#42437,#42436); +#42439=CARTESIAN_POINT('',(4.53E1,3.815E0,-2.9265E1)); +#42440=DIRECTION('',(-1.E0,0.E0,0.E0)); +#42441=DIRECTION('',(0.E0,1.E0,0.E0)); +#42442=AXIS2_PLACEMENT_3D('',#42439,#42440,#42441); +#42444=DIRECTION('',(0.E0,-1.E0,0.E0)); +#42445=VECTOR('',#42444,1.475519237886E1); +#42446=CARTESIAN_POINT('',(4.53E1,3.815E0,-3.0265E1)); +#42447=LINE('',#42446,#42445); +#42448=DIRECTION('',(0.E0,0.E0,1.E0)); +#42449=VECTOR('',#42448,6.3E-1); +#42450=CARTESIAN_POINT('',(4.53E1,-1.094019237886E1,-2.5815E1)); +#42451=LINE('',#42450,#42449); +#42452=DIRECTION('',(0.E0,1.E0,0.E0)); +#42453=VECTOR('',#42452,7.655192378865E0); +#42454=CARTESIAN_POINT('',(4.53E1,-1.094019237886E1,-2.5815E1)); +#42455=LINE('',#42454,#42453); +#42456=CARTESIAN_POINT('',(4.53E1,-3.285E0,-2.4685E1)); +#42457=DIRECTION('',(1.E0,0.E0,0.E0)); +#42458=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42459=AXIS2_PLACEMENT_3D('',#42456,#42457,#42458); +#42461=DIRECTION('',(1.309756194949E-14,0.E0,1.E0)); +#42462=VECTOR('',#42461,1.085E0); +#42463=CARTESIAN_POINT('',(4.53E1,-2.155E0,-2.4685E1)); +#42464=LINE('',#42463,#42462); +#42465=DIRECTION('',(-1.309756194949E-14,0.E0,-1.E0)); +#42466=VECTOR('',#42465,1.085E0); +#42467=CARTESIAN_POINT('',(4.53E1,-2.785E0,-2.36E1)); +#42468=LINE('',#42467,#42466); +#42469=CARTESIAN_POINT('',(4.53E1,-3.285E0,-2.4685E1)); +#42470=DIRECTION('',(-1.E0,0.E0,0.E0)); +#42471=DIRECTION('',(0.E0,1.E0,0.E0)); +#42472=AXIS2_PLACEMENT_3D('',#42469,#42470,#42471); +#42474=DIRECTION('',(0.E0,-1.E0,0.E0)); +#42475=VECTOR('',#42474,7.655192378865E0); +#42476=CARTESIAN_POINT('',(4.53E1,-3.285E0,-2.5185E1)); +#42477=LINE('',#42476,#42475); +#42478=DIRECTION('',(0.E0,1.E0,0.E0)); +#42479=VECTOR('',#42478,3.7E0); +#42480=CARTESIAN_POINT('',(4.545E1,-1.72E1,-3.0895E1)); +#42481=LINE('',#42480,#42479); +#42482=DIRECTION('',(1.E0,0.E0,0.E0)); +#42483=VECTOR('',#42482,6.E-1); +#42484=CARTESIAN_POINT('',(4.545E1,-1.72E1,-3.0895E1)); +#42485=LINE('',#42484,#42483); +#42486=DIRECTION('',(0.E0,1.E0,0.E0)); +#42487=VECTOR('',#42486,3.7E0); +#42488=CARTESIAN_POINT('',(4.605E1,-1.72E1,-3.0895E1)); +#42489=LINE('',#42488,#42487); +#42490=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#42491=VECTOR('',#42490,3.E-1); +#42492=CARTESIAN_POINT('',(4.53E1,-1.094019237886E1,-3.0895E1)); +#42493=LINE('',#42492,#42491); +#42494=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#42495=VECTOR('',#42494,3.E-1); +#42496=CARTESIAN_POINT('',(4.605E1,-1.12E1,-3.0895E1)); +#42497=LINE('',#42496,#42495); +#42498=DIRECTION('',(0.E0,0.E0,1.E0)); +#42499=VECTOR('',#42498,6.3E-1); +#42500=CARTESIAN_POINT('',(4.545E1,-1.35E1,-3.0895E1)); +#42501=LINE('',#42500,#42499); +#42502=DIRECTION('',(0.E0,0.E0,1.E0)); +#42503=VECTOR('',#42502,6.3E-1); +#42504=CARTESIAN_POINT('',(4.545E1,-1.35E1,-2.5815E1)); +#42505=LINE('',#42504,#42503); +#42506=DIRECTION('',(0.E0,1.E0,0.E0)); +#42507=VECTOR('',#42506,3.7E0); +#42508=CARTESIAN_POINT('',(4.545E1,-1.72E1,-3.0265E1)); +#42509=LINE('',#42508,#42507); +#42510=DIRECTION('',(0.E0,1.E0,0.E0)); +#42511=VECTOR('',#42510,3.7E0); +#42512=CARTESIAN_POINT('',(4.605E1,-1.72E1,-3.0265E1)); +#42513=LINE('',#42512,#42511); +#42514=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#42515=VECTOR('',#42514,3.E-1); +#42516=CARTESIAN_POINT('',(4.53E1,-1.094019237886E1,-3.0265E1)); +#42517=LINE('',#42516,#42515); +#42518=DIRECTION('',(1.E0,0.E0,0.E0)); +#42519=VECTOR('',#42518,9.E-1); +#42520=CARTESIAN_POINT('',(4.53E1,3.815E0,-3.0265E1)); +#42521=LINE('',#42520,#42519); +#42522=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#42523=VECTOR('',#42522,3.E-1); +#42524=CARTESIAN_POINT('',(4.605E1,-1.12E1,-3.0265E1)); +#42525=LINE('',#42524,#42523); +#42526=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42527=VECTOR('',#42526,6.3E-1); +#42528=CARTESIAN_POINT('',(4.545E1,-1.72E1,-3.0265E1)); +#42529=LINE('',#42528,#42527); +#42530=DIRECTION('',(1.E0,0.E0,0.E0)); +#42531=VECTOR('',#42530,6.E-1); +#42532=CARTESIAN_POINT('',(4.545E1,-1.72E1,-3.0265E1)); +#42533=LINE('',#42532,#42531); +#42534=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42535=VECTOR('',#42534,6.3E-1); +#42536=CARTESIAN_POINT('',(4.605E1,-1.72E1,-3.0265E1)); +#42537=LINE('',#42536,#42535); +#42538=DIRECTION('',(0.E0,1.E0,0.E0)); +#42539=VECTOR('',#42538,3.7E0); +#42540=CARTESIAN_POINT('',(4.545E1,-1.72E1,-2.5815E1)); +#42541=LINE('',#42540,#42539); +#42542=DIRECTION('',(1.E0,0.E0,0.E0)); +#42543=VECTOR('',#42542,6.E-1); +#42544=CARTESIAN_POINT('',(4.545E1,-1.72E1,-2.5815E1)); +#42545=LINE('',#42544,#42543); +#42546=DIRECTION('',(0.E0,1.E0,0.E0)); +#42547=VECTOR('',#42546,3.7E0); +#42548=CARTESIAN_POINT('',(4.605E1,-1.72E1,-2.5815E1)); +#42549=LINE('',#42548,#42547); +#42550=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#42551=VECTOR('',#42550,3.E-1); +#42552=CARTESIAN_POINT('',(4.53E1,-1.094019237886E1,-2.5815E1)); +#42553=LINE('',#42552,#42551); +#42554=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#42555=VECTOR('',#42554,3.E-1); +#42556=CARTESIAN_POINT('',(4.605E1,-1.12E1,-2.5815E1)); +#42557=LINE('',#42556,#42555); +#42558=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42559=VECTOR('',#42558,6.3E-1); +#42560=CARTESIAN_POINT('',(4.545E1,-1.72E1,-2.5185E1)); +#42561=LINE('',#42560,#42559); +#42562=DIRECTION('',(1.E0,0.E0,0.E0)); +#42563=VECTOR('',#42562,6.E-1); +#42564=CARTESIAN_POINT('',(4.545E1,-1.72E1,-2.5185E1)); +#42565=LINE('',#42564,#42563); +#42566=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42567=VECTOR('',#42566,6.3E-1); +#42568=CARTESIAN_POINT('',(4.605E1,-1.72E1,-2.5185E1)); +#42569=LINE('',#42568,#42567); +#42570=DIRECTION('',(0.E0,1.E0,0.E0)); +#42571=VECTOR('',#42570,3.7E0); +#42572=CARTESIAN_POINT('',(4.545E1,-1.72E1,-2.5185E1)); +#42573=LINE('',#42572,#42571); +#42574=DIRECTION('',(0.E0,1.E0,0.E0)); +#42575=VECTOR('',#42574,3.7E0); +#42576=CARTESIAN_POINT('',(4.605E1,-1.72E1,-2.5185E1)); +#42577=LINE('',#42576,#42575); +#42578=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#42579=VECTOR('',#42578,3.E-1); +#42580=CARTESIAN_POINT('',(4.53E1,-1.094019237886E1,-2.5185E1)); +#42581=LINE('',#42580,#42579); +#42582=DIRECTION('',(1.E0,0.E0,0.E0)); +#42583=VECTOR('',#42582,9.E-1); +#42584=CARTESIAN_POINT('',(4.53E1,-3.285E0,-2.5185E1)); +#42585=LINE('',#42584,#42583); +#42586=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#42587=VECTOR('',#42586,3.E-1); +#42588=CARTESIAN_POINT('',(4.605E1,-1.12E1,-2.5185E1)); +#42589=LINE('',#42588,#42587); +#42590=DIRECTION('',(1.E0,0.E0,0.E0)); +#42591=VECTOR('',#42590,9.E-1); +#42592=CARTESIAN_POINT('',(4.53E1,-2.785E0,-2.4685E1)); +#42593=LINE('',#42592,#42591); +#42594=DIRECTION('',(0.E0,0.E0,1.E0)); +#42595=VECTOR('',#42594,6.3E-1); +#42596=CARTESIAN_POINT('',(4.62E1,-1.094019237886E1,-3.0895E1)); +#42597=LINE('',#42596,#42595); +#42598=DIRECTION('',(0.E0,-1.E0,0.E0)); +#42599=VECTOR('',#42598,1.475519237886E1); +#42600=CARTESIAN_POINT('',(4.62E1,3.815E0,-3.0265E1)); +#42601=LINE('',#42600,#42599); +#42602=CARTESIAN_POINT('',(4.62E1,3.815E0,-2.9265E1)); +#42603=DIRECTION('',(-1.E0,0.E0,0.E0)); +#42604=DIRECTION('',(0.E0,1.E0,0.E0)); +#42605=AXIS2_PLACEMENT_3D('',#42602,#42603,#42604); +#42607=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42608=VECTOR('',#42607,3.425E0); +#42609=CARTESIAN_POINT('',(4.62E1,4.815E0,-2.584E1)); +#42610=LINE('',#42609,#42608); +#42611=DIRECTION('',(0.E0,0.E0,1.E0)); +#42612=VECTOR('',#42611,3.425E0); +#42613=CARTESIAN_POINT('',(4.62E1,5.445E0,-2.9265E1)); +#42614=LINE('',#42613,#42612); +#42615=CARTESIAN_POINT('',(4.62E1,3.815E0,-2.9265E1)); +#42616=DIRECTION('',(1.E0,0.E0,0.E0)); +#42617=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42618=AXIS2_PLACEMENT_3D('',#42615,#42616,#42617); +#42620=DIRECTION('',(0.E0,1.E0,0.E0)); +#42621=VECTOR('',#42620,1.475519237886E1); +#42622=CARTESIAN_POINT('',(4.62E1,-1.094019237886E1,-3.0895E1)); +#42623=LINE('',#42622,#42621); +#42624=DIRECTION('',(0.E0,0.E0,1.E0)); +#42625=VECTOR('',#42624,6.3E-1); +#42626=CARTESIAN_POINT('',(4.62E1,-1.094019237886E1,-2.5815E1)); +#42627=LINE('',#42626,#42625); +#42628=DIRECTION('',(0.E0,-1.E0,0.E0)); +#42629=VECTOR('',#42628,7.655192378865E0); +#42630=CARTESIAN_POINT('',(4.62E1,-3.285E0,-2.5185E1)); +#42631=LINE('',#42630,#42629); +#42632=CARTESIAN_POINT('',(4.62E1,-3.285E0,-2.4685E1)); +#42633=DIRECTION('',(-1.E0,0.E0,0.E0)); +#42634=DIRECTION('',(0.E0,1.E0,0.E0)); +#42635=AXIS2_PLACEMENT_3D('',#42632,#42633,#42634); +#42637=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42638=VECTOR('',#42637,1.085E0); +#42639=CARTESIAN_POINT('',(4.62E1,-2.785E0,-2.36E1)); +#42640=LINE('',#42639,#42638); +#42641=DIRECTION('',(0.E0,0.E0,1.E0)); +#42642=VECTOR('',#42641,1.085E0); +#42643=CARTESIAN_POINT('',(4.62E1,-2.155E0,-2.4685E1)); +#42644=LINE('',#42643,#42642); +#42645=CARTESIAN_POINT('',(4.62E1,-3.285E0,-2.4685E1)); +#42646=DIRECTION('',(1.E0,0.E0,0.E0)); +#42647=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42648=AXIS2_PLACEMENT_3D('',#42645,#42646,#42647); +#42650=DIRECTION('',(0.E0,1.E0,0.E0)); +#42651=VECTOR('',#42650,7.655192378865E0); +#42652=CARTESIAN_POINT('',(4.62E1,-1.094019237886E1,-2.5815E1)); +#42653=LINE('',#42652,#42651); +#42654=DIRECTION('',(0.E0,0.E0,1.E0)); +#42655=VECTOR('',#42654,6.3E-1); +#42656=CARTESIAN_POINT('',(4.605E1,-1.12E1,-3.0895E1)); +#42657=LINE('',#42656,#42655); +#42658=DIRECTION('',(0.E0,0.E0,1.E0)); +#42659=VECTOR('',#42658,6.3E-1); +#42660=CARTESIAN_POINT('',(4.605E1,-1.12E1,-2.5815E1)); +#42661=LINE('',#42660,#42659); +#42662=DIRECTION('',(1.E0,0.E0,0.E0)); +#42663=VECTOR('',#42662,9.E-1); +#42664=CARTESIAN_POINT('',(4.53E1,4.815E0,-2.9265E1)); +#42665=LINE('',#42664,#42663); +#42666=DIRECTION('',(1.E0,0.E0,0.E0)); +#42667=VECTOR('',#42666,9.E-1); +#42668=CARTESIAN_POINT('',(4.53E1,5.445E0,-2.9265E1)); +#42669=LINE('',#42668,#42667); +#42670=DIRECTION('',(1.E0,0.E0,0.E0)); +#42671=VECTOR('',#42670,9.E-1); +#42672=CARTESIAN_POINT('',(4.53E1,3.815E0,-3.0895E1)); +#42673=LINE('',#42672,#42671); +#42674=DIRECTION('',(1.E0,0.E0,0.E0)); +#42675=VECTOR('',#42674,9.E-1); +#42676=CARTESIAN_POINT('',(4.53E1,-2.155E0,-2.4685E1)); +#42677=LINE('',#42676,#42675); +#42678=DIRECTION('',(1.E0,0.E0,0.E0)); +#42679=VECTOR('',#42678,9.E-1); +#42680=CARTESIAN_POINT('',(4.53E1,-3.285E0,-2.5815E1)); +#42681=LINE('',#42680,#42679); +#42682=DIRECTION('',(0.E0,0.E0,1.E0)); +#42683=VECTOR('',#42682,6.3E-1); +#42684=CARTESIAN_POINT('',(4.745E1,-1.094019237886E1,-2.8355E1)); +#42685=LINE('',#42684,#42683); +#42686=DIRECTION('',(0.E0,1.E0,0.E0)); +#42687=VECTOR('',#42686,1.475519237886E1); +#42688=CARTESIAN_POINT('',(4.745E1,-1.094019237886E1,-2.8355E1)); +#42689=LINE('',#42688,#42687); +#42690=CARTESIAN_POINT('',(4.745E1,3.815E0,-2.6725E1)); +#42691=DIRECTION('',(1.E0,0.E0,0.E0)); +#42692=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#42693=AXIS2_PLACEMENT_3D('',#42690,#42691,#42692); +#42695=DIRECTION('',(0.E0,0.E0,1.E0)); +#42696=VECTOR('',#42695,8.85E-1); +#42697=CARTESIAN_POINT('',(4.745E1,5.445E0,-2.6725E1)); +#42698=LINE('',#42697,#42696); +#42699=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42700=VECTOR('',#42699,8.85E-1); +#42701=CARTESIAN_POINT('',(4.745E1,4.815E0,-2.584E1)); +#42702=LINE('',#42701,#42700); +#42703=CARTESIAN_POINT('',(4.745E1,3.815E0,-2.6725E1)); +#42704=DIRECTION('',(-1.E0,0.E0,0.E0)); +#42705=DIRECTION('',(0.E0,1.E0,0.E0)); +#42706=AXIS2_PLACEMENT_3D('',#42703,#42704,#42705); +#42708=DIRECTION('',(0.E0,-1.E0,0.E0)); +#42709=VECTOR('',#42708,1.475519237886E1); +#42710=CARTESIAN_POINT('',(4.745E1,3.815E0,-2.7725E1)); +#42711=LINE('',#42710,#42709); +#42712=DIRECTION('',(0.E0,0.E0,1.E0)); +#42713=VECTOR('',#42712,6.3E-1); +#42714=CARTESIAN_POINT('',(4.745E1,-1.094019237886E1,-2.5815E1)); +#42715=LINE('',#42714,#42713); +#42716=DIRECTION('',(0.E0,1.E0,0.E0)); +#42717=VECTOR('',#42716,7.655192378865E0); +#42718=CARTESIAN_POINT('',(4.745E1,-1.094019237886E1,-2.5815E1)); +#42719=LINE('',#42718,#42717); +#42720=CARTESIAN_POINT('',(4.745E1,-3.285E0,-2.4685E1)); +#42721=DIRECTION('',(1.E0,0.E0,0.E0)); +#42722=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42723=AXIS2_PLACEMENT_3D('',#42720,#42721,#42722); +#42725=DIRECTION('',(0.E0,0.E0,1.E0)); +#42726=VECTOR('',#42725,1.085E0); +#42727=CARTESIAN_POINT('',(4.745E1,-2.155E0,-2.4685E1)); +#42728=LINE('',#42727,#42726); +#42729=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42730=VECTOR('',#42729,1.085E0); +#42731=CARTESIAN_POINT('',(4.745E1,-2.785E0,-2.36E1)); +#42732=LINE('',#42731,#42730); +#42733=CARTESIAN_POINT('',(4.745E1,-3.285E0,-2.4685E1)); +#42734=DIRECTION('',(-1.E0,0.E0,0.E0)); +#42735=DIRECTION('',(0.E0,1.E0,0.E0)); +#42736=AXIS2_PLACEMENT_3D('',#42733,#42734,#42735); +#42738=DIRECTION('',(0.E0,-1.E0,0.E0)); +#42739=VECTOR('',#42738,7.655192378865E0); +#42740=CARTESIAN_POINT('',(4.745E1,-3.285E0,-2.5185E1)); +#42741=LINE('',#42740,#42739); +#42742=DIRECTION('',(0.E0,1.E0,0.E0)); +#42743=VECTOR('',#42742,3.7E0); +#42744=CARTESIAN_POINT('',(4.82E1,-1.72E1,-2.8355E1)); +#42745=LINE('',#42744,#42743); +#42746=DIRECTION('',(0.E0,1.E0,0.E0)); +#42747=VECTOR('',#42746,3.7E0); +#42748=CARTESIAN_POINT('',(4.76E1,-1.72E1,-2.8355E1)); +#42749=LINE('',#42748,#42747); +#42750=DIRECTION('',(1.E0,0.E0,0.E0)); +#42751=VECTOR('',#42750,6.E-1); +#42752=CARTESIAN_POINT('',(4.76E1,-1.72E1,-2.8355E1)); +#42753=LINE('',#42752,#42751); +#42754=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#42755=VECTOR('',#42754,3.E-1); +#42756=CARTESIAN_POINT('',(4.82E1,-1.12E1,-2.8355E1)); +#42757=LINE('',#42756,#42755); +#42758=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#42759=VECTOR('',#42758,3.E-1); +#42760=CARTESIAN_POINT('',(4.745E1,-1.094019237886E1,-2.8355E1)); +#42761=LINE('',#42760,#42759); +#42762=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42763=VECTOR('',#42762,6.3E-1); +#42764=CARTESIAN_POINT('',(4.82E1,-1.72E1,-2.7725E1)); +#42765=LINE('',#42764,#42763); +#42766=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42767=VECTOR('',#42766,6.3E-1); +#42768=CARTESIAN_POINT('',(4.76E1,-1.72E1,-2.7725E1)); +#42769=LINE('',#42768,#42767); +#42770=DIRECTION('',(1.E0,0.E0,0.E0)); +#42771=VECTOR('',#42770,6.E-1); +#42772=CARTESIAN_POINT('',(4.76E1,-1.72E1,-2.7725E1)); +#42773=LINE('',#42772,#42771); +#42774=DIRECTION('',(0.E0,0.E0,1.E0)); +#42775=VECTOR('',#42774,6.3E-1); +#42776=CARTESIAN_POINT('',(4.76E1,-1.35E1,-2.8355E1)); +#42777=LINE('',#42776,#42775); +#42778=DIRECTION('',(0.E0,0.E0,1.E0)); +#42779=VECTOR('',#42778,6.3E-1); +#42780=CARTESIAN_POINT('',(4.76E1,-1.35E1,-2.5815E1)); +#42781=LINE('',#42780,#42779); +#42782=DIRECTION('',(0.E0,1.E0,0.E0)); +#42783=VECTOR('',#42782,3.7E0); +#42784=CARTESIAN_POINT('',(4.82E1,-1.72E1,-2.7725E1)); +#42785=LINE('',#42784,#42783); +#42786=DIRECTION('',(0.E0,1.E0,0.E0)); +#42787=VECTOR('',#42786,3.7E0); +#42788=CARTESIAN_POINT('',(4.76E1,-1.72E1,-2.7725E1)); +#42789=LINE('',#42788,#42787); +#42790=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#42791=VECTOR('',#42790,3.E-1); +#42792=CARTESIAN_POINT('',(4.82E1,-1.12E1,-2.7725E1)); +#42793=LINE('',#42792,#42791); +#42794=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#42795=VECTOR('',#42794,3.E-1); +#42796=CARTESIAN_POINT('',(4.745E1,-1.094019237886E1,-2.7725E1)); +#42797=LINE('',#42796,#42795); +#42798=DIRECTION('',(1.E0,0.E0,0.E0)); +#42799=VECTOR('',#42798,9.E-1); +#42800=CARTESIAN_POINT('',(4.745E1,3.815E0,-2.7725E1)); +#42801=LINE('',#42800,#42799); +#42802=DIRECTION('',(-1.127845612318E-14,0.E0,1.E0)); +#42803=VECTOR('',#42802,6.3E-1); +#42804=CARTESIAN_POINT('',(4.82E1,-1.12E1,-2.8355E1)); +#42805=LINE('',#42804,#42803); +#42806=DIRECTION('',(-1.127845612318E-14,0.E0,1.E0)); +#42807=VECTOR('',#42806,6.3E-1); +#42808=CARTESIAN_POINT('',(4.82E1,-1.12E1,-2.5815E1)); +#42809=LINE('',#42808,#42807); +#42810=DIRECTION('',(0.E0,0.E0,1.E0)); +#42811=VECTOR('',#42810,6.3E-1); +#42812=CARTESIAN_POINT('',(4.835E1,-1.094019237886E1,-2.8355E1)); +#42813=LINE('',#42812,#42811); +#42814=DIRECTION('',(0.E0,-1.E0,0.E0)); +#42815=VECTOR('',#42814,1.475519237886E1); +#42816=CARTESIAN_POINT('',(4.835E1,3.815E0,-2.7725E1)); +#42817=LINE('',#42816,#42815); +#42818=CARTESIAN_POINT('',(4.835E1,3.815E0,-2.6725E1)); +#42819=DIRECTION('',(-1.E0,0.E0,0.E0)); +#42820=DIRECTION('',(0.E0,1.E0,0.E0)); +#42821=AXIS2_PLACEMENT_3D('',#42818,#42819,#42820); +#42823=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42824=VECTOR('',#42823,8.85E-1); +#42825=CARTESIAN_POINT('',(4.835E1,4.815E0,-2.584E1)); +#42826=LINE('',#42825,#42824); +#42827=DIRECTION('',(0.E0,0.E0,1.E0)); +#42828=VECTOR('',#42827,8.85E-1); +#42829=CARTESIAN_POINT('',(4.835E1,5.445E0,-2.6725E1)); +#42830=LINE('',#42829,#42828); +#42831=CARTESIAN_POINT('',(4.835E1,3.815E0,-2.6725E1)); +#42832=DIRECTION('',(1.E0,0.E0,0.E0)); +#42833=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#42834=AXIS2_PLACEMENT_3D('',#42831,#42832,#42833); +#42836=DIRECTION('',(0.E0,1.E0,0.E0)); +#42837=VECTOR('',#42836,1.475519237886E1); +#42838=CARTESIAN_POINT('',(4.835E1,-1.094019237886E1,-2.8355E1)); +#42839=LINE('',#42838,#42837); +#42840=DIRECTION('',(0.E0,0.E0,1.E0)); +#42841=VECTOR('',#42840,6.3E-1); +#42842=CARTESIAN_POINT('',(4.835E1,-1.094019237886E1,-2.5815E1)); +#42843=LINE('',#42842,#42841); +#42844=DIRECTION('',(0.E0,-1.E0,0.E0)); +#42845=VECTOR('',#42844,7.655192378865E0); +#42846=CARTESIAN_POINT('',(4.835E1,-3.285E0,-2.5185E1)); +#42847=LINE('',#42846,#42845); +#42848=CARTESIAN_POINT('',(4.835E1,-3.285E0,-2.4685E1)); +#42849=DIRECTION('',(-1.E0,0.E0,0.E0)); +#42850=DIRECTION('',(0.E0,1.E0,0.E0)); +#42851=AXIS2_PLACEMENT_3D('',#42848,#42849,#42850); +#42853=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42854=VECTOR('',#42853,1.085E0); +#42855=CARTESIAN_POINT('',(4.835E1,-2.785E0,-2.36E1)); +#42856=LINE('',#42855,#42854); +#42857=DIRECTION('',(0.E0,0.E0,1.E0)); +#42858=VECTOR('',#42857,1.085E0); +#42859=CARTESIAN_POINT('',(4.835E1,-2.155E0,-2.4685E1)); +#42860=LINE('',#42859,#42858); +#42861=CARTESIAN_POINT('',(4.835E1,-3.285E0,-2.4685E1)); +#42862=DIRECTION('',(1.E0,0.E0,0.E0)); +#42863=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42864=AXIS2_PLACEMENT_3D('',#42861,#42862,#42863); +#42866=DIRECTION('',(0.E0,1.E0,0.E0)); +#42867=VECTOR('',#42866,7.655192378865E0); +#42868=CARTESIAN_POINT('',(4.835E1,-1.094019237886E1,-2.5815E1)); +#42869=LINE('',#42868,#42867); +#42870=DIRECTION('',(1.E0,0.E0,0.E0)); +#42871=VECTOR('',#42870,9.E-1); +#42872=CARTESIAN_POINT('',(4.745E1,4.815E0,-2.6725E1)); +#42873=LINE('',#42872,#42871); +#42874=DIRECTION('',(1.E0,0.E0,0.E0)); +#42875=VECTOR('',#42874,9.E-1); +#42876=CARTESIAN_POINT('',(4.745E1,5.445E0,-2.6725E1)); +#42877=LINE('',#42876,#42875); +#42878=DIRECTION('',(1.E0,0.E0,0.E0)); +#42879=VECTOR('',#42878,9.E-1); +#42880=CARTESIAN_POINT('',(4.745E1,3.815E0,-2.8355E1)); +#42881=LINE('',#42880,#42879); +#42882=DIRECTION('',(0.E0,1.E0,0.E0)); +#42883=VECTOR('',#42882,3.7E0); +#42884=CARTESIAN_POINT('',(4.82E1,-1.72E1,-2.5185E1)); +#42885=LINE('',#42884,#42883); +#42886=DIRECTION('',(0.E0,1.E0,0.E0)); +#42887=VECTOR('',#42886,3.7E0); +#42888=CARTESIAN_POINT('',(4.76E1,-1.72E1,-2.5185E1)); +#42889=LINE('',#42888,#42887); +#42890=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#42891=VECTOR('',#42890,3.E-1); +#42892=CARTESIAN_POINT('',(4.82E1,-1.12E1,-2.5185E1)); +#42893=LINE('',#42892,#42891); +#42894=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#42895=VECTOR('',#42894,3.E-1); +#42896=CARTESIAN_POINT('',(4.745E1,-1.094019237886E1,-2.5185E1)); +#42897=LINE('',#42896,#42895); +#42898=DIRECTION('',(1.E0,0.E0,0.E0)); +#42899=VECTOR('',#42898,9.E-1); +#42900=CARTESIAN_POINT('',(4.745E1,-3.285E0,-2.5185E1)); +#42901=LINE('',#42900,#42899); +#42902=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42903=VECTOR('',#42902,6.3E-1); +#42904=CARTESIAN_POINT('',(4.82E1,-1.72E1,-2.5185E1)); +#42905=LINE('',#42904,#42903); +#42906=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42907=VECTOR('',#42906,6.3E-1); +#42908=CARTESIAN_POINT('',(4.76E1,-1.72E1,-2.5185E1)); +#42909=LINE('',#42908,#42907); +#42910=DIRECTION('',(1.E0,0.E0,0.E0)); +#42911=VECTOR('',#42910,6.E-1); +#42912=CARTESIAN_POINT('',(4.76E1,-1.72E1,-2.5185E1)); +#42913=LINE('',#42912,#42911); +#42914=DIRECTION('',(0.E0,1.E0,0.E0)); +#42915=VECTOR('',#42914,3.7E0); +#42916=CARTESIAN_POINT('',(4.82E1,-1.72E1,-2.5815E1)); +#42917=LINE('',#42916,#42915); +#42918=DIRECTION('',(0.E0,1.E0,0.E0)); +#42919=VECTOR('',#42918,3.7E0); +#42920=CARTESIAN_POINT('',(4.76E1,-1.72E1,-2.5815E1)); +#42921=LINE('',#42920,#42919); +#42922=DIRECTION('',(1.E0,0.E0,0.E0)); +#42923=VECTOR('',#42922,6.E-1); +#42924=CARTESIAN_POINT('',(4.76E1,-1.72E1,-2.5815E1)); +#42925=LINE('',#42924,#42923); +#42926=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#42927=VECTOR('',#42926,3.E-1); +#42928=CARTESIAN_POINT('',(4.82E1,-1.12E1,-2.5815E1)); +#42929=LINE('',#42928,#42927); +#42930=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#42931=VECTOR('',#42930,3.E-1); +#42932=CARTESIAN_POINT('',(4.745E1,-1.094019237886E1,-2.5815E1)); +#42933=LINE('',#42932,#42931); +#42934=DIRECTION('',(1.E0,0.E0,0.E0)); +#42935=VECTOR('',#42934,9.E-1); +#42936=CARTESIAN_POINT('',(4.745E1,-3.285E0,-2.5815E1)); +#42937=LINE('',#42936,#42935); +#42938=DIRECTION('',(1.E0,0.E0,0.E0)); +#42939=VECTOR('',#42938,9.E-1); +#42940=CARTESIAN_POINT('',(4.745E1,-2.155E0,-2.4685E1)); +#42941=LINE('',#42940,#42939); +#42942=DIRECTION('',(1.E0,0.E0,0.E0)); +#42943=VECTOR('',#42942,9.E-1); +#42944=CARTESIAN_POINT('',(4.745E1,-2.785E0,-2.4685E1)); +#42945=LINE('',#42944,#42943); +#42946=DIRECTION('',(0.E0,0.E0,1.E0)); +#42947=VECTOR('',#42946,6.3E-1); +#42948=CARTESIAN_POINT('',(4.96E1,-1.094019237886E1,-3.0895E1)); +#42949=LINE('',#42948,#42947); +#42950=DIRECTION('',(0.E0,1.E0,0.E0)); +#42951=VECTOR('',#42950,1.475519237886E1); +#42952=CARTESIAN_POINT('',(4.96E1,-1.094019237886E1,-3.0895E1)); +#42953=LINE('',#42952,#42951); +#42954=CARTESIAN_POINT('',(4.96E1,3.815E0,-2.9265E1)); +#42955=DIRECTION('',(1.E0,0.E0,0.E0)); +#42956=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42957=AXIS2_PLACEMENT_3D('',#42954,#42955,#42956); +#42959=DIRECTION('',(0.E0,0.E0,1.E0)); +#42960=VECTOR('',#42959,3.425E0); +#42961=CARTESIAN_POINT('',(4.96E1,5.445E0,-2.9265E1)); +#42962=LINE('',#42961,#42960); +#42963=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42964=VECTOR('',#42963,3.425E0); +#42965=CARTESIAN_POINT('',(4.96E1,4.815E0,-2.584E1)); +#42966=LINE('',#42965,#42964); +#42967=CARTESIAN_POINT('',(4.96E1,3.815E0,-2.9265E1)); +#42968=DIRECTION('',(-1.E0,0.E0,0.E0)); +#42969=DIRECTION('',(0.E0,1.E0,0.E0)); +#42970=AXIS2_PLACEMENT_3D('',#42967,#42968,#42969); +#42972=DIRECTION('',(0.E0,-1.E0,0.E0)); +#42973=VECTOR('',#42972,1.475519237886E1); +#42974=CARTESIAN_POINT('',(4.96E1,3.815E0,-3.0265E1)); +#42975=LINE('',#42974,#42973); +#42976=DIRECTION('',(0.E0,0.E0,1.E0)); +#42977=VECTOR('',#42976,6.3E-1); +#42978=CARTESIAN_POINT('',(4.96E1,-1.094019237886E1,-2.5815E1)); +#42979=LINE('',#42978,#42977); +#42980=DIRECTION('',(0.E0,1.E0,0.E0)); +#42981=VECTOR('',#42980,7.655192378865E0); +#42982=CARTESIAN_POINT('',(4.96E1,-1.094019237886E1,-2.5815E1)); +#42983=LINE('',#42982,#42981); +#42984=CARTESIAN_POINT('',(4.96E1,-3.285E0,-2.4685E1)); +#42985=DIRECTION('',(1.E0,0.E0,0.E0)); +#42986=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42987=AXIS2_PLACEMENT_3D('',#42984,#42985,#42986); +#42989=DIRECTION('',(0.E0,0.E0,1.E0)); +#42990=VECTOR('',#42989,1.085E0); +#42991=CARTESIAN_POINT('',(4.96E1,-2.155E0,-2.4685E1)); +#42992=LINE('',#42991,#42990); +#42993=DIRECTION('',(0.E0,0.E0,-1.E0)); +#42994=VECTOR('',#42993,1.085E0); +#42995=CARTESIAN_POINT('',(4.96E1,-2.785E0,-2.36E1)); +#42996=LINE('',#42995,#42994); +#42997=CARTESIAN_POINT('',(4.96E1,-3.285E0,-2.4685E1)); +#42998=DIRECTION('',(-1.E0,0.E0,0.E0)); +#42999=DIRECTION('',(0.E0,1.E0,0.E0)); +#43000=AXIS2_PLACEMENT_3D('',#42997,#42998,#42999); +#43002=DIRECTION('',(0.E0,-1.E0,0.E0)); +#43003=VECTOR('',#43002,7.655192378865E0); +#43004=CARTESIAN_POINT('',(4.96E1,-3.285E0,-2.5185E1)); +#43005=LINE('',#43004,#43003); +#43006=DIRECTION('',(0.E0,1.E0,0.E0)); +#43007=VECTOR('',#43006,3.7E0); +#43008=CARTESIAN_POINT('',(4.975E1,-1.72E1,-3.0895E1)); +#43009=LINE('',#43008,#43007); +#43010=DIRECTION('',(1.E0,0.E0,0.E0)); +#43011=VECTOR('',#43010,6.E-1); +#43012=CARTESIAN_POINT('',(4.975E1,-1.72E1,-3.0895E1)); +#43013=LINE('',#43012,#43011); +#43014=DIRECTION('',(0.E0,1.E0,0.E0)); +#43015=VECTOR('',#43014,3.7E0); +#43016=CARTESIAN_POINT('',(5.035E1,-1.72E1,-3.0895E1)); +#43017=LINE('',#43016,#43015); +#43018=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#43019=VECTOR('',#43018,3.E-1); +#43020=CARTESIAN_POINT('',(4.96E1,-1.094019237886E1,-3.0895E1)); +#43021=LINE('',#43020,#43019); +#43022=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#43023=VECTOR('',#43022,3.E-1); +#43024=CARTESIAN_POINT('',(5.035E1,-1.12E1,-3.0895E1)); +#43025=LINE('',#43024,#43023); +#43026=DIRECTION('',(0.E0,0.E0,1.E0)); +#43027=VECTOR('',#43026,6.3E-1); +#43028=CARTESIAN_POINT('',(4.975E1,-1.35E1,-3.0895E1)); +#43029=LINE('',#43028,#43027); +#43030=DIRECTION('',(0.E0,0.E0,1.E0)); +#43031=VECTOR('',#43030,6.3E-1); +#43032=CARTESIAN_POINT('',(4.975E1,-1.35E1,-2.5815E1)); +#43033=LINE('',#43032,#43031); +#43034=DIRECTION('',(0.E0,1.E0,0.E0)); +#43035=VECTOR('',#43034,3.7E0); +#43036=CARTESIAN_POINT('',(4.975E1,-1.72E1,-3.0265E1)); +#43037=LINE('',#43036,#43035); +#43038=DIRECTION('',(0.E0,1.E0,0.E0)); +#43039=VECTOR('',#43038,3.7E0); +#43040=CARTESIAN_POINT('',(5.035E1,-1.72E1,-3.0265E1)); +#43041=LINE('',#43040,#43039); +#43042=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#43043=VECTOR('',#43042,3.E-1); +#43044=CARTESIAN_POINT('',(4.96E1,-1.094019237886E1,-3.0265E1)); +#43045=LINE('',#43044,#43043); +#43046=DIRECTION('',(1.E0,0.E0,0.E0)); +#43047=VECTOR('',#43046,9.E-1); +#43048=CARTESIAN_POINT('',(4.96E1,3.815E0,-3.0265E1)); +#43049=LINE('',#43048,#43047); +#43050=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#43051=VECTOR('',#43050,3.E-1); +#43052=CARTESIAN_POINT('',(5.035E1,-1.12E1,-3.0265E1)); +#43053=LINE('',#43052,#43051); +#43054=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43055=VECTOR('',#43054,6.3E-1); +#43056=CARTESIAN_POINT('',(4.975E1,-1.72E1,-3.0265E1)); +#43057=LINE('',#43056,#43055); +#43058=DIRECTION('',(1.E0,0.E0,0.E0)); +#43059=VECTOR('',#43058,6.E-1); +#43060=CARTESIAN_POINT('',(4.975E1,-1.72E1,-3.0265E1)); +#43061=LINE('',#43060,#43059); +#43062=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43063=VECTOR('',#43062,6.3E-1); +#43064=CARTESIAN_POINT('',(5.035E1,-1.72E1,-3.0265E1)); +#43065=LINE('',#43064,#43063); +#43066=DIRECTION('',(0.E0,1.E0,0.E0)); +#43067=VECTOR('',#43066,3.7E0); +#43068=CARTESIAN_POINT('',(4.975E1,-1.72E1,-2.5815E1)); +#43069=LINE('',#43068,#43067); +#43070=DIRECTION('',(1.E0,0.E0,0.E0)); +#43071=VECTOR('',#43070,6.E-1); +#43072=CARTESIAN_POINT('',(4.975E1,-1.72E1,-2.5815E1)); +#43073=LINE('',#43072,#43071); +#43074=DIRECTION('',(0.E0,1.E0,0.E0)); +#43075=VECTOR('',#43074,3.7E0); +#43076=CARTESIAN_POINT('',(5.035E1,-1.72E1,-2.5815E1)); +#43077=LINE('',#43076,#43075); +#43078=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#43079=VECTOR('',#43078,3.E-1); +#43080=CARTESIAN_POINT('',(4.96E1,-1.094019237886E1,-2.5815E1)); +#43081=LINE('',#43080,#43079); +#43082=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#43083=VECTOR('',#43082,3.E-1); +#43084=CARTESIAN_POINT('',(5.035E1,-1.12E1,-2.5815E1)); +#43085=LINE('',#43084,#43083); +#43086=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43087=VECTOR('',#43086,6.3E-1); +#43088=CARTESIAN_POINT('',(4.975E1,-1.72E1,-2.5185E1)); +#43089=LINE('',#43088,#43087); +#43090=DIRECTION('',(1.E0,0.E0,0.E0)); +#43091=VECTOR('',#43090,6.E-1); +#43092=CARTESIAN_POINT('',(4.975E1,-1.72E1,-2.5185E1)); +#43093=LINE('',#43092,#43091); +#43094=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43095=VECTOR('',#43094,6.3E-1); +#43096=CARTESIAN_POINT('',(5.035E1,-1.72E1,-2.5185E1)); +#43097=LINE('',#43096,#43095); +#43098=DIRECTION('',(0.E0,1.E0,0.E0)); +#43099=VECTOR('',#43098,3.7E0); +#43100=CARTESIAN_POINT('',(4.975E1,-1.72E1,-2.5185E1)); +#43101=LINE('',#43100,#43099); +#43102=DIRECTION('',(0.E0,1.E0,0.E0)); +#43103=VECTOR('',#43102,3.7E0); +#43104=CARTESIAN_POINT('',(5.035E1,-1.72E1,-2.5185E1)); +#43105=LINE('',#43104,#43103); +#43106=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#43107=VECTOR('',#43106,3.E-1); +#43108=CARTESIAN_POINT('',(4.96E1,-1.094019237886E1,-2.5185E1)); +#43109=LINE('',#43108,#43107); +#43110=DIRECTION('',(1.E0,0.E0,0.E0)); +#43111=VECTOR('',#43110,9.E-1); +#43112=CARTESIAN_POINT('',(4.96E1,-3.285E0,-2.5185E1)); +#43113=LINE('',#43112,#43111); +#43114=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#43115=VECTOR('',#43114,3.E-1); +#43116=CARTESIAN_POINT('',(5.035E1,-1.12E1,-2.5185E1)); +#43117=LINE('',#43116,#43115); +#43118=DIRECTION('',(1.E0,0.E0,0.E0)); +#43119=VECTOR('',#43118,9.E-1); +#43120=CARTESIAN_POINT('',(4.96E1,-2.785E0,-2.4685E1)); +#43121=LINE('',#43120,#43119); +#43122=DIRECTION('',(0.E0,0.E0,1.E0)); +#43123=VECTOR('',#43122,6.3E-1); +#43124=CARTESIAN_POINT('',(5.05E1,-1.094019237886E1,-3.0895E1)); +#43125=LINE('',#43124,#43123); +#43126=DIRECTION('',(0.E0,-1.E0,0.E0)); +#43127=VECTOR('',#43126,1.475519237886E1); +#43128=CARTESIAN_POINT('',(5.05E1,3.815E0,-3.0265E1)); +#43129=LINE('',#43128,#43127); +#43130=CARTESIAN_POINT('',(5.05E1,3.815E0,-2.9265E1)); +#43131=DIRECTION('',(-1.E0,0.E0,0.E0)); +#43132=DIRECTION('',(0.E0,1.E0,0.E0)); +#43133=AXIS2_PLACEMENT_3D('',#43130,#43131,#43132); +#43135=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43136=VECTOR('',#43135,3.425E0); +#43137=CARTESIAN_POINT('',(5.05E1,4.815E0,-2.584E1)); +#43138=LINE('',#43137,#43136); +#43139=DIRECTION('',(0.E0,0.E0,1.E0)); +#43140=VECTOR('',#43139,3.425E0); +#43141=CARTESIAN_POINT('',(5.05E1,5.445E0,-2.9265E1)); +#43142=LINE('',#43141,#43140); +#43143=CARTESIAN_POINT('',(5.05E1,3.815E0,-2.9265E1)); +#43144=DIRECTION('',(1.E0,0.E0,0.E0)); +#43145=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43146=AXIS2_PLACEMENT_3D('',#43143,#43144,#43145); +#43148=DIRECTION('',(0.E0,1.E0,0.E0)); +#43149=VECTOR('',#43148,1.475519237886E1); +#43150=CARTESIAN_POINT('',(5.05E1,-1.094019237886E1,-3.0895E1)); +#43151=LINE('',#43150,#43149); +#43152=DIRECTION('',(0.E0,0.E0,1.E0)); +#43153=VECTOR('',#43152,6.3E-1); +#43154=CARTESIAN_POINT('',(5.05E1,-1.094019237886E1,-2.5815E1)); +#43155=LINE('',#43154,#43153); +#43156=DIRECTION('',(0.E0,-1.E0,0.E0)); +#43157=VECTOR('',#43156,7.655192378865E0); +#43158=CARTESIAN_POINT('',(5.05E1,-3.285E0,-2.5185E1)); +#43159=LINE('',#43158,#43157); +#43160=CARTESIAN_POINT('',(5.05E1,-3.285E0,-2.4685E1)); +#43161=DIRECTION('',(-1.E0,0.E0,0.E0)); +#43162=DIRECTION('',(0.E0,1.E0,0.E0)); +#43163=AXIS2_PLACEMENT_3D('',#43160,#43161,#43162); +#43165=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43166=VECTOR('',#43165,1.085E0); +#43167=CARTESIAN_POINT('',(5.05E1,-2.785E0,-2.36E1)); +#43168=LINE('',#43167,#43166); +#43169=DIRECTION('',(0.E0,0.E0,1.E0)); +#43170=VECTOR('',#43169,1.085E0); +#43171=CARTESIAN_POINT('',(5.05E1,-2.155E0,-2.4685E1)); +#43172=LINE('',#43171,#43170); +#43173=CARTESIAN_POINT('',(5.05E1,-3.285E0,-2.4685E1)); +#43174=DIRECTION('',(1.E0,0.E0,0.E0)); +#43175=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43176=AXIS2_PLACEMENT_3D('',#43173,#43174,#43175); +#43178=DIRECTION('',(0.E0,1.E0,0.E0)); +#43179=VECTOR('',#43178,7.655192378865E0); +#43180=CARTESIAN_POINT('',(5.05E1,-1.094019237886E1,-2.5815E1)); +#43181=LINE('',#43180,#43179); +#43182=DIRECTION('',(0.E0,0.E0,1.E0)); +#43183=VECTOR('',#43182,6.3E-1); +#43184=CARTESIAN_POINT('',(5.035E1,-1.12E1,-3.0895E1)); +#43185=LINE('',#43184,#43183); +#43186=DIRECTION('',(0.E0,0.E0,1.E0)); +#43187=VECTOR('',#43186,6.3E-1); +#43188=CARTESIAN_POINT('',(5.035E1,-1.12E1,-2.5815E1)); +#43189=LINE('',#43188,#43187); +#43190=DIRECTION('',(1.E0,0.E0,0.E0)); +#43191=VECTOR('',#43190,9.E-1); +#43192=CARTESIAN_POINT('',(4.96E1,4.815E0,-2.9265E1)); +#43193=LINE('',#43192,#43191); +#43194=DIRECTION('',(1.E0,0.E0,0.E0)); +#43195=VECTOR('',#43194,9.E-1); +#43196=CARTESIAN_POINT('',(4.96E1,5.445E0,-2.9265E1)); +#43197=LINE('',#43196,#43195); +#43198=DIRECTION('',(1.E0,0.E0,0.E0)); +#43199=VECTOR('',#43198,9.E-1); +#43200=CARTESIAN_POINT('',(4.96E1,3.815E0,-3.0895E1)); +#43201=LINE('',#43200,#43199); +#43202=DIRECTION('',(1.E0,0.E0,0.E0)); +#43203=VECTOR('',#43202,9.E-1); +#43204=CARTESIAN_POINT('',(4.96E1,-2.155E0,-2.4685E1)); +#43205=LINE('',#43204,#43203); +#43206=DIRECTION('',(1.E0,0.E0,0.E0)); +#43207=VECTOR('',#43206,9.E-1); +#43208=CARTESIAN_POINT('',(4.96E1,-3.285E0,-2.5815E1)); +#43209=LINE('',#43208,#43207); +#43210=DIRECTION('',(0.E0,0.E0,1.E0)); +#43211=VECTOR('',#43210,6.3E-1); +#43212=CARTESIAN_POINT('',(5.175E1,-1.094019237886E1,-2.8355E1)); +#43213=LINE('',#43212,#43211); +#43214=DIRECTION('',(0.E0,1.E0,0.E0)); +#43215=VECTOR('',#43214,1.475519237886E1); +#43216=CARTESIAN_POINT('',(5.175E1,-1.094019237886E1,-2.8355E1)); +#43217=LINE('',#43216,#43215); +#43218=CARTESIAN_POINT('',(5.175E1,3.815E0,-2.6725E1)); +#43219=DIRECTION('',(1.E0,0.E0,0.E0)); +#43220=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#43221=AXIS2_PLACEMENT_3D('',#43218,#43219,#43220); +#43223=DIRECTION('',(0.E0,0.E0,1.E0)); +#43224=VECTOR('',#43223,8.85E-1); +#43225=CARTESIAN_POINT('',(5.175E1,5.445E0,-2.6725E1)); +#43226=LINE('',#43225,#43224); +#43227=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43228=VECTOR('',#43227,8.85E-1); +#43229=CARTESIAN_POINT('',(5.175E1,4.815E0,-2.584E1)); +#43230=LINE('',#43229,#43228); +#43231=CARTESIAN_POINT('',(5.175E1,3.815E0,-2.6725E1)); +#43232=DIRECTION('',(-1.E0,0.E0,0.E0)); +#43233=DIRECTION('',(0.E0,1.E0,0.E0)); +#43234=AXIS2_PLACEMENT_3D('',#43231,#43232,#43233); +#43236=DIRECTION('',(0.E0,-1.E0,0.E0)); +#43237=VECTOR('',#43236,1.475519237886E1); +#43238=CARTESIAN_POINT('',(5.175E1,3.815E0,-2.7725E1)); +#43239=LINE('',#43238,#43237); +#43240=DIRECTION('',(0.E0,0.E0,1.E0)); +#43241=VECTOR('',#43240,6.3E-1); +#43242=CARTESIAN_POINT('',(5.175E1,-1.094019237886E1,-2.5815E1)); +#43243=LINE('',#43242,#43241); +#43244=DIRECTION('',(0.E0,1.E0,0.E0)); +#43245=VECTOR('',#43244,7.655192378865E0); +#43246=CARTESIAN_POINT('',(5.175E1,-1.094019237886E1,-2.5815E1)); +#43247=LINE('',#43246,#43245); +#43248=CARTESIAN_POINT('',(5.175E1,-3.285E0,-2.4685E1)); +#43249=DIRECTION('',(1.E0,0.E0,0.E0)); +#43250=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43251=AXIS2_PLACEMENT_3D('',#43248,#43249,#43250); +#43253=DIRECTION('',(0.E0,0.E0,1.E0)); +#43254=VECTOR('',#43253,1.085E0); +#43255=CARTESIAN_POINT('',(5.175E1,-2.155E0,-2.4685E1)); +#43256=LINE('',#43255,#43254); +#43257=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43258=VECTOR('',#43257,1.085E0); +#43259=CARTESIAN_POINT('',(5.175E1,-2.785E0,-2.36E1)); +#43260=LINE('',#43259,#43258); +#43261=CARTESIAN_POINT('',(5.175E1,-3.285E0,-2.4685E1)); +#43262=DIRECTION('',(-1.E0,0.E0,0.E0)); +#43263=DIRECTION('',(0.E0,1.E0,0.E0)); +#43264=AXIS2_PLACEMENT_3D('',#43261,#43262,#43263); +#43266=DIRECTION('',(0.E0,-1.E0,0.E0)); +#43267=VECTOR('',#43266,7.655192378865E0); +#43268=CARTESIAN_POINT('',(5.175E1,-3.285E0,-2.5185E1)); +#43269=LINE('',#43268,#43267); +#43270=DIRECTION('',(0.E0,1.E0,0.E0)); +#43271=VECTOR('',#43270,3.7E0); +#43272=CARTESIAN_POINT('',(5.25E1,-1.72E1,-2.8355E1)); +#43273=LINE('',#43272,#43271); +#43274=DIRECTION('',(0.E0,1.E0,0.E0)); +#43275=VECTOR('',#43274,3.7E0); +#43276=CARTESIAN_POINT('',(5.19E1,-1.72E1,-2.8355E1)); +#43277=LINE('',#43276,#43275); +#43278=DIRECTION('',(1.E0,0.E0,0.E0)); +#43279=VECTOR('',#43278,6.E-1); +#43280=CARTESIAN_POINT('',(5.19E1,-1.72E1,-2.8355E1)); +#43281=LINE('',#43280,#43279); +#43282=DIRECTION('',(5.E-1,8.660254037845E-1,0.E0)); +#43283=VECTOR('',#43282,3.E-1); +#43284=CARTESIAN_POINT('',(5.25E1,-1.12E1,-2.8355E1)); +#43285=LINE('',#43284,#43283); +#43286=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#43287=VECTOR('',#43286,3.E-1); +#43288=CARTESIAN_POINT('',(5.175E1,-1.094019237886E1,-2.8355E1)); +#43289=LINE('',#43288,#43287); +#43290=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43291=VECTOR('',#43290,6.3E-1); +#43292=CARTESIAN_POINT('',(5.25E1,-1.72E1,-2.7725E1)); +#43293=LINE('',#43292,#43291); +#43294=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43295=VECTOR('',#43294,6.3E-1); +#43296=CARTESIAN_POINT('',(5.19E1,-1.72E1,-2.7725E1)); +#43297=LINE('',#43296,#43295); +#43298=DIRECTION('',(1.E0,0.E0,0.E0)); +#43299=VECTOR('',#43298,6.E-1); +#43300=CARTESIAN_POINT('',(5.19E1,-1.72E1,-2.7725E1)); +#43301=LINE('',#43300,#43299); +#43302=DIRECTION('',(0.E0,0.E0,1.E0)); +#43303=VECTOR('',#43302,6.3E-1); +#43304=CARTESIAN_POINT('',(5.19E1,-1.35E1,-2.8355E1)); +#43305=LINE('',#43304,#43303); +#43306=DIRECTION('',(0.E0,0.E0,1.E0)); +#43307=VECTOR('',#43306,6.3E-1); +#43308=CARTESIAN_POINT('',(5.19E1,-1.35E1,-2.5815E1)); +#43309=LINE('',#43308,#43307); +#43310=DIRECTION('',(0.E0,1.E0,0.E0)); +#43311=VECTOR('',#43310,3.7E0); +#43312=CARTESIAN_POINT('',(5.25E1,-1.72E1,-2.7725E1)); +#43313=LINE('',#43312,#43311); +#43314=DIRECTION('',(0.E0,1.E0,0.E0)); +#43315=VECTOR('',#43314,3.7E0); +#43316=CARTESIAN_POINT('',(5.19E1,-1.72E1,-2.7725E1)); +#43317=LINE('',#43316,#43315); +#43318=DIRECTION('',(5.E-1,8.660254037845E-1,0.E0)); +#43319=VECTOR('',#43318,3.E-1); +#43320=CARTESIAN_POINT('',(5.25E1,-1.12E1,-2.7725E1)); +#43321=LINE('',#43320,#43319); +#43322=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#43323=VECTOR('',#43322,3.E-1); +#43324=CARTESIAN_POINT('',(5.175E1,-1.094019237886E1,-2.7725E1)); +#43325=LINE('',#43324,#43323); +#43326=DIRECTION('',(1.E0,0.E0,0.E0)); +#43327=VECTOR('',#43326,9.E-1); +#43328=CARTESIAN_POINT('',(5.175E1,3.815E0,-2.7725E1)); +#43329=LINE('',#43328,#43327); +#43330=DIRECTION('',(0.E0,0.E0,1.E0)); +#43331=VECTOR('',#43330,6.3E-1); +#43332=CARTESIAN_POINT('',(5.25E1,-1.12E1,-2.8355E1)); +#43333=LINE('',#43332,#43331); +#43334=DIRECTION('',(0.E0,0.E0,1.E0)); +#43335=VECTOR('',#43334,6.3E-1); +#43336=CARTESIAN_POINT('',(5.25E1,-1.12E1,-2.5815E1)); +#43337=LINE('',#43336,#43335); +#43338=DIRECTION('',(0.E0,0.E0,1.E0)); +#43339=VECTOR('',#43338,6.3E-1); +#43340=CARTESIAN_POINT('',(5.265E1,-1.094019237886E1,-2.8355E1)); +#43341=LINE('',#43340,#43339); +#43342=DIRECTION('',(0.E0,-1.E0,0.E0)); +#43343=VECTOR('',#43342,1.475519237886E1); +#43344=CARTESIAN_POINT('',(5.265E1,3.815E0,-2.7725E1)); +#43345=LINE('',#43344,#43343); +#43346=CARTESIAN_POINT('',(5.265E1,3.815E0,-2.6725E1)); +#43347=DIRECTION('',(-1.E0,0.E0,0.E0)); +#43348=DIRECTION('',(0.E0,1.E0,0.E0)); +#43349=AXIS2_PLACEMENT_3D('',#43346,#43347,#43348); +#43351=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43352=VECTOR('',#43351,8.85E-1); +#43353=CARTESIAN_POINT('',(5.265E1,4.815E0,-2.584E1)); +#43354=LINE('',#43353,#43352); +#43355=DIRECTION('',(0.E0,0.E0,1.E0)); +#43356=VECTOR('',#43355,8.85E-1); +#43357=CARTESIAN_POINT('',(5.265E1,5.445E0,-2.6725E1)); +#43358=LINE('',#43357,#43356); +#43359=CARTESIAN_POINT('',(5.265E1,3.815E0,-2.6725E1)); +#43360=DIRECTION('',(1.E0,0.E0,0.E0)); +#43361=DIRECTION('',(0.E0,3.323857889675E-14,-1.E0)); +#43362=AXIS2_PLACEMENT_3D('',#43359,#43360,#43361); +#43364=DIRECTION('',(0.E0,1.E0,0.E0)); +#43365=VECTOR('',#43364,1.475519237886E1); +#43366=CARTESIAN_POINT('',(5.265E1,-1.094019237886E1,-2.8355E1)); +#43367=LINE('',#43366,#43365); +#43368=DIRECTION('',(0.E0,0.E0,1.E0)); +#43369=VECTOR('',#43368,6.3E-1); +#43370=CARTESIAN_POINT('',(5.265E1,-1.094019237886E1,-2.5815E1)); +#43371=LINE('',#43370,#43369); +#43372=DIRECTION('',(0.E0,-1.E0,0.E0)); +#43373=VECTOR('',#43372,7.655192378865E0); +#43374=CARTESIAN_POINT('',(5.265E1,-3.285E0,-2.5185E1)); +#43375=LINE('',#43374,#43373); +#43376=CARTESIAN_POINT('',(5.265E1,-3.285E0,-2.4685E1)); +#43377=DIRECTION('',(-1.E0,0.E0,0.E0)); +#43378=DIRECTION('',(0.E0,1.E0,0.E0)); +#43379=AXIS2_PLACEMENT_3D('',#43376,#43377,#43378); +#43381=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43382=VECTOR('',#43381,1.085E0); +#43383=CARTESIAN_POINT('',(5.265E1,-2.785E0,-2.36E1)); +#43384=LINE('',#43383,#43382); +#43385=DIRECTION('',(0.E0,0.E0,1.E0)); +#43386=VECTOR('',#43385,1.085E0); +#43387=CARTESIAN_POINT('',(5.265E1,-2.155E0,-2.4685E1)); +#43388=LINE('',#43387,#43386); +#43389=CARTESIAN_POINT('',(5.265E1,-3.285E0,-2.4685E1)); +#43390=DIRECTION('',(1.E0,0.E0,0.E0)); +#43391=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43392=AXIS2_PLACEMENT_3D('',#43389,#43390,#43391); +#43394=DIRECTION('',(0.E0,1.E0,0.E0)); +#43395=VECTOR('',#43394,7.655192378865E0); +#43396=CARTESIAN_POINT('',(5.265E1,-1.094019237886E1,-2.5815E1)); +#43397=LINE('',#43396,#43395); +#43398=DIRECTION('',(1.E0,0.E0,0.E0)); +#43399=VECTOR('',#43398,9.E-1); +#43400=CARTESIAN_POINT('',(5.175E1,4.815E0,-2.6725E1)); +#43401=LINE('',#43400,#43399); +#43402=DIRECTION('',(1.E0,0.E0,0.E0)); +#43403=VECTOR('',#43402,9.E-1); +#43404=CARTESIAN_POINT('',(5.175E1,5.445E0,-2.6725E1)); +#43405=LINE('',#43404,#43403); +#43406=DIRECTION('',(1.E0,0.E0,0.E0)); +#43407=VECTOR('',#43406,9.E-1); +#43408=CARTESIAN_POINT('',(5.175E1,3.815E0,-2.8355E1)); +#43409=LINE('',#43408,#43407); +#43410=DIRECTION('',(0.E0,1.E0,0.E0)); +#43411=VECTOR('',#43410,3.7E0); +#43412=CARTESIAN_POINT('',(5.25E1,-1.72E1,-2.5185E1)); +#43413=LINE('',#43412,#43411); +#43414=DIRECTION('',(0.E0,1.E0,0.E0)); +#43415=VECTOR('',#43414,3.7E0); +#43416=CARTESIAN_POINT('',(5.19E1,-1.72E1,-2.5185E1)); +#43417=LINE('',#43416,#43415); +#43418=DIRECTION('',(5.E-1,8.660254037845E-1,0.E0)); +#43419=VECTOR('',#43418,3.E-1); +#43420=CARTESIAN_POINT('',(5.25E1,-1.12E1,-2.5185E1)); +#43421=LINE('',#43420,#43419); +#43422=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#43423=VECTOR('',#43422,3.E-1); +#43424=CARTESIAN_POINT('',(5.175E1,-1.094019237886E1,-2.5185E1)); +#43425=LINE('',#43424,#43423); +#43426=DIRECTION('',(1.E0,0.E0,0.E0)); +#43427=VECTOR('',#43426,9.E-1); +#43428=CARTESIAN_POINT('',(5.175E1,-3.285E0,-2.5185E1)); +#43429=LINE('',#43428,#43427); +#43430=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43431=VECTOR('',#43430,6.3E-1); +#43432=CARTESIAN_POINT('',(5.25E1,-1.72E1,-2.5185E1)); +#43433=LINE('',#43432,#43431); +#43434=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43435=VECTOR('',#43434,6.3E-1); +#43436=CARTESIAN_POINT('',(5.19E1,-1.72E1,-2.5185E1)); +#43437=LINE('',#43436,#43435); +#43438=DIRECTION('',(1.E0,0.E0,0.E0)); +#43439=VECTOR('',#43438,6.E-1); +#43440=CARTESIAN_POINT('',(5.19E1,-1.72E1,-2.5185E1)); +#43441=LINE('',#43440,#43439); +#43442=DIRECTION('',(0.E0,1.E0,0.E0)); +#43443=VECTOR('',#43442,3.7E0); +#43444=CARTESIAN_POINT('',(5.25E1,-1.72E1,-2.5815E1)); +#43445=LINE('',#43444,#43443); +#43446=DIRECTION('',(0.E0,1.E0,0.E0)); +#43447=VECTOR('',#43446,3.7E0); +#43448=CARTESIAN_POINT('',(5.19E1,-1.72E1,-2.5815E1)); +#43449=LINE('',#43448,#43447); +#43450=DIRECTION('',(1.E0,0.E0,0.E0)); +#43451=VECTOR('',#43450,6.E-1); +#43452=CARTESIAN_POINT('',(5.19E1,-1.72E1,-2.5815E1)); +#43453=LINE('',#43452,#43451); +#43454=DIRECTION('',(5.E-1,8.660254037845E-1,0.E0)); +#43455=VECTOR('',#43454,3.E-1); +#43456=CARTESIAN_POINT('',(5.25E1,-1.12E1,-2.5815E1)); +#43457=LINE('',#43456,#43455); +#43458=DIRECTION('',(5.E-1,-8.660254037845E-1,0.E0)); +#43459=VECTOR('',#43458,3.E-1); +#43460=CARTESIAN_POINT('',(5.175E1,-1.094019237886E1,-2.5815E1)); +#43461=LINE('',#43460,#43459); +#43462=DIRECTION('',(1.E0,0.E0,0.E0)); +#43463=VECTOR('',#43462,9.E-1); +#43464=CARTESIAN_POINT('',(5.175E1,-3.285E0,-2.5815E1)); +#43465=LINE('',#43464,#43463); +#43466=DIRECTION('',(1.E0,0.E0,0.E0)); +#43467=VECTOR('',#43466,9.E-1); +#43468=CARTESIAN_POINT('',(5.175E1,-2.155E0,-2.4685E1)); +#43469=LINE('',#43468,#43467); +#43470=DIRECTION('',(1.E0,0.E0,0.E0)); +#43471=VECTOR('',#43470,9.E-1); +#43472=CARTESIAN_POINT('',(5.175E1,-2.785E0,-2.4685E1)); +#43473=LINE('',#43472,#43471); +#43474=DIRECTION('',(0.E0,3.919874566021E-1,-9.199705614130E-1)); +#43475=VECTOR('',#43474,1.250039999360E1); +#43476=CARTESIAN_POINT('',(1.595E1,1.4E0,-2.36E1)); +#43477=LINE('',#43476,#43475); +#43478=DIRECTION('',(0.E0,3.919874566021E-1,-9.199705614130E-1)); +#43479=VECTOR('',#43478,1.250039999360E1); +#43480=CARTESIAN_POINT('',(1.695E1,1.4E0,-2.36E1)); +#43481=LINE('',#43480,#43479); +#43482=DIRECTION('',(0.E0,1.E0,0.E0)); +#43483=VECTOR('',#43482,8.089487298108E0); +#43484=CARTESIAN_POINT('',(-4.895E1,-1.076698729811E1,-3.33075E1)); +#43485=LINE('',#43484,#43483); +#43486=DIRECTION('',(0.E0,0.E0,1.E0)); +#43487=VECTOR('',#43486,6.15E-1); +#43488=CARTESIAN_POINT('',(-4.895E1,-1.076698729811E1,-3.33075E1)); +#43489=LINE('',#43488,#43487); +#43490=DIRECTION('',(0.E0,1.E0,0.E0)); +#43491=VECTOR('',#43490,8.089487298108E0); +#43492=CARTESIAN_POINT('',(-4.895E1,-1.076698729811E1,-3.26925E1)); +#43493=LINE('',#43492,#43491); +#43494=CARTESIAN_POINT('',(-4.895E1,-2.6775E0,-3.16925E1)); +#43495=DIRECTION('',(-1.E0,0.E0,0.E0)); +#43496=DIRECTION('',(0.E0,1.E0,0.E0)); +#43497=AXIS2_PLACEMENT_3D('',#43494,#43495,#43496); +#43499=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43500=VECTOR('',#43499,8.0925E0); +#43501=CARTESIAN_POINT('',(-4.895E1,-1.6775E0,-2.36E1)); +#43502=LINE('',#43501,#43500); +#43503=DIRECTION('',(0.E0,0.E0,1.E0)); +#43504=VECTOR('',#43503,8.0925E0); +#43505=CARTESIAN_POINT('',(-4.895E1,-1.0625E0,-3.16925E1)); +#43506=LINE('',#43505,#43504); +#43507=CARTESIAN_POINT('',(-4.895E1,-2.6775E0,-3.16925E1)); +#43508=DIRECTION('',(1.E0,0.E0,0.E0)); +#43509=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43510=AXIS2_PLACEMENT_3D('',#43507,#43508,#43509); +#43512=DIRECTION('',(0.E0,1.E0,0.E0)); +#43513=VECTOR('',#43512,3.7E0); +#43514=CARTESIAN_POINT('',(-4.92E1,-1.72E1,-3.33075E1)); +#43515=LINE('',#43514,#43513); +#43516=DIRECTION('',(0.E0,1.E0,0.E0)); +#43517=VECTOR('',#43516,3.7E0); +#43518=CARTESIAN_POINT('',(-5.E1,-1.72E1,-3.33075E1)); +#43519=LINE('',#43518,#43517); +#43520=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#43521=VECTOR('',#43520,5.E-1); +#43522=CARTESIAN_POINT('',(-4.92E1,-1.12E1,-3.33075E1)); +#43523=LINE('',#43522,#43521); +#43524=DIRECTION('',(1.E0,0.E0,0.E0)); +#43525=VECTOR('',#43524,1.3E0); +#43526=CARTESIAN_POINT('',(-5.025E1,-2.6775E0,-3.33075E1)); +#43527=LINE('',#43526,#43525); +#43528=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#43529=VECTOR('',#43528,5.E-1); +#43530=CARTESIAN_POINT('',(-5.025E1,-1.076698729811E1,-3.33075E1)); +#43531=LINE('',#43530,#43529); +#43532=DIRECTION('',(0.E0,0.E0,1.E0)); +#43533=VECTOR('',#43532,6.15E-1); +#43534=CARTESIAN_POINT('',(-4.92E1,-1.72E1,-3.33075E1)); +#43535=LINE('',#43534,#43533); +#43536=DIRECTION('',(1.E0,0.E0,0.E0)); +#43537=VECTOR('',#43536,8.E-1); +#43538=CARTESIAN_POINT('',(-5.E1,-1.72E1,-3.33075E1)); +#43539=LINE('',#43538,#43537); +#43540=DIRECTION('',(0.E0,0.E0,1.E0)); +#43541=VECTOR('',#43540,6.15E-1); +#43542=CARTESIAN_POINT('',(-5.E1,-1.72E1,-3.33075E1)); +#43543=LINE('',#43542,#43541); +#43544=DIRECTION('',(0.E0,0.E0,1.E0)); +#43545=VECTOR('',#43544,6.15E-1); +#43546=CARTESIAN_POINT('',(-5.E1,-1.35E1,-3.33075E1)); +#43547=LINE('',#43546,#43545); +#43548=DIRECTION('',(0.E0,1.E0,0.E0)); +#43549=VECTOR('',#43548,3.7E0); +#43550=CARTESIAN_POINT('',(-4.92E1,-1.72E1,-3.26925E1)); +#43551=LINE('',#43550,#43549); +#43552=DIRECTION('',(1.E0,0.E0,0.E0)); +#43553=VECTOR('',#43552,8.E-1); +#43554=CARTESIAN_POINT('',(-5.E1,-1.72E1,-3.26925E1)); +#43555=LINE('',#43554,#43553); +#43556=DIRECTION('',(0.E0,1.E0,0.E0)); +#43557=VECTOR('',#43556,3.7E0); +#43558=CARTESIAN_POINT('',(-5.E1,-1.72E1,-3.26925E1)); +#43559=LINE('',#43558,#43557); +#43560=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#43561=VECTOR('',#43560,5.E-1); +#43562=CARTESIAN_POINT('',(-5.025E1,-1.076698729811E1,-3.26925E1)); +#43563=LINE('',#43562,#43561); +#43564=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#43565=VECTOR('',#43564,5.E-1); +#43566=CARTESIAN_POINT('',(-4.92E1,-1.12E1,-3.26925E1)); +#43567=LINE('',#43566,#43565); +#43568=DIRECTION('',(0.E0,-1.E0,0.E0)); +#43569=VECTOR('',#43568,8.089487298108E0); +#43570=CARTESIAN_POINT('',(-5.025E1,-2.6775E0,-3.33075E1)); +#43571=LINE('',#43570,#43569); +#43572=CARTESIAN_POINT('',(-5.025E1,-2.6775E0,-3.16925E1)); +#43573=DIRECTION('',(-1.E0,0.E0,0.E0)); +#43574=DIRECTION('',(0.E0,1.E0,0.E0)); +#43575=AXIS2_PLACEMENT_3D('',#43572,#43573,#43574); +#43577=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43578=VECTOR('',#43577,8.0925E0); +#43579=CARTESIAN_POINT('',(-5.025E1,-1.0625E0,-2.36E1)); +#43580=LINE('',#43579,#43578); +#43581=DIRECTION('',(0.E0,0.E0,1.E0)); +#43582=VECTOR('',#43581,8.0925E0); +#43583=CARTESIAN_POINT('',(-5.025E1,-1.6775E0,-3.16925E1)); +#43584=LINE('',#43583,#43582); +#43585=CARTESIAN_POINT('',(-5.025E1,-2.6775E0,-3.16925E1)); +#43586=DIRECTION('',(1.E0,0.E0,0.E0)); +#43587=DIRECTION('',(0.E0,9.059419880941E-14,-1.E0)); +#43588=AXIS2_PLACEMENT_3D('',#43585,#43586,#43587); +#43590=DIRECTION('',(0.E0,1.E0,0.E0)); +#43591=VECTOR('',#43590,8.089487298108E0); +#43592=CARTESIAN_POINT('',(-5.025E1,-1.076698729811E1,-3.26925E1)); +#43593=LINE('',#43592,#43591); +#43594=DIRECTION('',(0.E0,0.E0,1.E0)); +#43595=VECTOR('',#43594,6.15E-1); +#43596=CARTESIAN_POINT('',(-5.025E1,-1.076698729811E1,-3.33075E1)); +#43597=LINE('',#43596,#43595); +#43598=DIRECTION('',(1.E0,0.E0,0.E0)); +#43599=VECTOR('',#43598,1.3E0); +#43600=CARTESIAN_POINT('',(-5.025E1,-1.0625E0,-3.16925E1)); +#43601=LINE('',#43600,#43599); +#43602=DIRECTION('',(1.E0,0.E0,0.E0)); +#43603=VECTOR('',#43602,1.3E0); +#43604=CARTESIAN_POINT('',(-5.025E1,-1.6775E0,-3.16925E1)); +#43605=LINE('',#43604,#43603); +#43606=DIRECTION('',(1.E0,0.E0,0.E0)); +#43607=VECTOR('',#43606,1.3E0); +#43608=CARTESIAN_POINT('',(-5.025E1,-2.6775E0,-3.26925E1)); +#43609=LINE('',#43608,#43607); +#43610=DIRECTION('',(0.E0,0.E0,1.E0)); +#43611=VECTOR('',#43610,6.15E-1); +#43612=CARTESIAN_POINT('',(-4.92E1,-1.12E1,-3.33075E1)); +#43613=LINE('',#43612,#43611); +#43614=DIRECTION('',(0.E0,1.E0,0.E0)); +#43615=VECTOR('',#43614,8.089487298108E0); +#43616=CARTESIAN_POINT('',(-5.545E1,-1.076698729811E1,-3.33075E1)); +#43617=LINE('',#43616,#43615); +#43618=DIRECTION('',(0.E0,0.E0,1.E0)); +#43619=VECTOR('',#43618,6.15E-1); +#43620=CARTESIAN_POINT('',(-5.545E1,-1.076698729811E1,-3.33075E1)); +#43621=LINE('',#43620,#43619); +#43622=DIRECTION('',(0.E0,1.E0,0.E0)); +#43623=VECTOR('',#43622,8.089487298108E0); +#43624=CARTESIAN_POINT('',(-5.545E1,-1.076698729811E1,-3.26925E1)); +#43625=LINE('',#43624,#43623); +#43626=CARTESIAN_POINT('',(-5.545E1,-2.6775E0,-3.16925E1)); +#43627=DIRECTION('',(-1.E0,0.E0,0.E0)); +#43628=DIRECTION('',(0.E0,1.E0,0.E0)); +#43629=AXIS2_PLACEMENT_3D('',#43626,#43627,#43628); +#43631=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43632=VECTOR('',#43631,8.0925E0); +#43633=CARTESIAN_POINT('',(-5.545E1,-1.6775E0,-2.36E1)); +#43634=LINE('',#43633,#43632); +#43635=DIRECTION('',(0.E0,0.E0,1.E0)); +#43636=VECTOR('',#43635,8.0925E0); +#43637=CARTESIAN_POINT('',(-5.545E1,-1.0625E0,-3.16925E1)); +#43638=LINE('',#43637,#43636); +#43639=CARTESIAN_POINT('',(-5.545E1,-2.6775E0,-3.16925E1)); +#43640=DIRECTION('',(1.E0,0.E0,0.E0)); +#43641=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43642=AXIS2_PLACEMENT_3D('',#43639,#43640,#43641); +#43644=DIRECTION('',(0.E0,1.E0,0.E0)); +#43645=VECTOR('',#43644,3.7E0); +#43646=CARTESIAN_POINT('',(-5.65E1,-1.72E1,-3.33075E1)); +#43647=LINE('',#43646,#43645); +#43648=DIRECTION('',(0.E0,1.E0,0.E0)); +#43649=VECTOR('',#43648,3.7E0); +#43650=CARTESIAN_POINT('',(-5.57E1,-1.72E1,-3.33075E1)); +#43651=LINE('',#43650,#43649); +#43652=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#43653=VECTOR('',#43652,5.E-1); +#43654=CARTESIAN_POINT('',(-5.57E1,-1.12E1,-3.33075E1)); +#43655=LINE('',#43654,#43653); +#43656=DIRECTION('',(1.E0,0.E0,0.E0)); +#43657=VECTOR('',#43656,1.3E0); +#43658=CARTESIAN_POINT('',(-5.675E1,-2.6775E0,-3.33075E1)); +#43659=LINE('',#43658,#43657); +#43660=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#43661=VECTOR('',#43660,5.E-1); +#43662=CARTESIAN_POINT('',(-5.675E1,-1.076698729811E1,-3.33075E1)); +#43663=LINE('',#43662,#43661); +#43664=DIRECTION('',(0.E0,0.E0,1.E0)); +#43665=VECTOR('',#43664,6.15E-1); +#43666=CARTESIAN_POINT('',(-5.65E1,-1.35E1,-3.33075E1)); +#43667=LINE('',#43666,#43665); +#43668=DIRECTION('',(0.E0,1.E0,0.E0)); +#43669=VECTOR('',#43668,3.7E0); +#43670=CARTESIAN_POINT('',(-5.65E1,-1.72E1,-3.26925E1)); +#43671=LINE('',#43670,#43669); +#43672=DIRECTION('',(0.E0,1.E0,0.E0)); +#43673=VECTOR('',#43672,3.7E0); +#43674=CARTESIAN_POINT('',(-5.57E1,-1.72E1,-3.26925E1)); +#43675=LINE('',#43674,#43673); +#43676=DIRECTION('',(1.E0,0.E0,0.E0)); +#43677=VECTOR('',#43676,8.E-1); +#43678=CARTESIAN_POINT('',(-5.65E1,-1.72E1,-3.26925E1)); +#43679=LINE('',#43678,#43677); +#43680=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#43681=VECTOR('',#43680,5.E-1); +#43682=CARTESIAN_POINT('',(-5.675E1,-1.076698729811E1,-3.26925E1)); +#43683=LINE('',#43682,#43681); +#43684=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#43685=VECTOR('',#43684,5.E-1); +#43686=CARTESIAN_POINT('',(-5.57E1,-1.12E1,-3.26925E1)); +#43687=LINE('',#43686,#43685); +#43688=DIRECTION('',(0.E0,0.E0,1.E0)); +#43689=VECTOR('',#43688,6.15E-1); +#43690=CARTESIAN_POINT('',(-5.65E1,-1.72E1,-3.33075E1)); +#43691=LINE('',#43690,#43689); +#43692=DIRECTION('',(0.E0,0.E0,1.E0)); +#43693=VECTOR('',#43692,6.15E-1); +#43694=CARTESIAN_POINT('',(-5.57E1,-1.72E1,-3.33075E1)); +#43695=LINE('',#43694,#43693); +#43696=DIRECTION('',(1.E0,0.E0,0.E0)); +#43697=VECTOR('',#43696,8.E-1); +#43698=CARTESIAN_POINT('',(-5.65E1,-1.72E1,-3.33075E1)); +#43699=LINE('',#43698,#43697); +#43700=DIRECTION('',(0.E0,-1.E0,0.E0)); +#43701=VECTOR('',#43700,8.089487298108E0); +#43702=CARTESIAN_POINT('',(-5.675E1,-2.6775E0,-3.33075E1)); +#43703=LINE('',#43702,#43701); +#43704=CARTESIAN_POINT('',(-5.675E1,-2.6775E0,-3.16925E1)); +#43705=DIRECTION('',(-1.E0,0.E0,0.E0)); +#43706=DIRECTION('',(0.E0,1.E0,0.E0)); +#43707=AXIS2_PLACEMENT_3D('',#43704,#43705,#43706); +#43709=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43710=VECTOR('',#43709,8.0925E0); +#43711=CARTESIAN_POINT('',(-5.675E1,-1.0625E0,-2.36E1)); +#43712=LINE('',#43711,#43710); +#43713=DIRECTION('',(0.E0,0.E0,1.E0)); +#43714=VECTOR('',#43713,8.0925E0); +#43715=CARTESIAN_POINT('',(-5.675E1,-1.6775E0,-3.16925E1)); +#43716=LINE('',#43715,#43714); +#43717=CARTESIAN_POINT('',(-5.675E1,-2.6775E0,-3.16925E1)); +#43718=DIRECTION('',(1.E0,0.E0,0.E0)); +#43719=DIRECTION('',(0.E0,9.059419880941E-14,-1.E0)); +#43720=AXIS2_PLACEMENT_3D('',#43717,#43718,#43719); +#43722=DIRECTION('',(0.E0,1.E0,0.E0)); +#43723=VECTOR('',#43722,8.089487298108E0); +#43724=CARTESIAN_POINT('',(-5.675E1,-1.076698729811E1,-3.26925E1)); +#43725=LINE('',#43724,#43723); +#43726=DIRECTION('',(0.E0,0.E0,1.E0)); +#43727=VECTOR('',#43726,6.15E-1); +#43728=CARTESIAN_POINT('',(-5.675E1,-1.076698729811E1,-3.33075E1)); +#43729=LINE('',#43728,#43727); +#43730=DIRECTION('',(1.E0,0.E0,0.E0)); +#43731=VECTOR('',#43730,1.3E0); +#43732=CARTESIAN_POINT('',(-5.675E1,-1.0625E0,-3.16925E1)); +#43733=LINE('',#43732,#43731); +#43734=DIRECTION('',(1.E0,0.E0,0.E0)); +#43735=VECTOR('',#43734,1.3E0); +#43736=CARTESIAN_POINT('',(-5.675E1,-1.6775E0,-3.16925E1)); +#43737=LINE('',#43736,#43735); +#43738=DIRECTION('',(1.E0,0.E0,0.E0)); +#43739=VECTOR('',#43738,1.3E0); +#43740=CARTESIAN_POINT('',(-5.675E1,-2.6775E0,-3.26925E1)); +#43741=LINE('',#43740,#43739); +#43742=DIRECTION('',(0.E0,0.E0,1.E0)); +#43743=VECTOR('',#43742,6.15E-1); +#43744=CARTESIAN_POINT('',(-5.57E1,-1.12E1,-3.33075E1)); +#43745=LINE('',#43744,#43743); +#43746=DIRECTION('',(0.E0,0.E0,1.E0)); +#43747=VECTOR('',#43746,6.15E-1); +#43748=CARTESIAN_POINT('',(-4.57E1,-1.076698729811E1,-2.58075E1)); +#43749=LINE('',#43748,#43747); +#43750=DIRECTION('',(0.E0,1.E0,0.E0)); +#43751=VECTOR('',#43750,1.428948729811E1); +#43752=CARTESIAN_POINT('',(-4.57E1,-1.076698729811E1,-2.51925E1)); +#43753=LINE('',#43752,#43751); +#43754=CARTESIAN_POINT('',(-4.57E1,3.5225E0,-2.43925E1)); +#43755=DIRECTION('',(-1.E0,0.E0,0.E0)); +#43756=DIRECTION('',(0.E0,1.E0,0.E0)); +#43757=AXIS2_PLACEMENT_3D('',#43754,#43755,#43756); +#43759=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43760=VECTOR('',#43759,7.925E-1); +#43761=CARTESIAN_POINT('',(-4.57E1,4.3225E0,-2.36E1)); +#43762=LINE('',#43761,#43760); +#43763=DIRECTION('',(0.E0,0.E0,1.E0)); +#43764=VECTOR('',#43763,7.925E-1); +#43765=CARTESIAN_POINT('',(-4.57E1,4.9375E0,-2.43925E1)); +#43766=LINE('',#43765,#43764); +#43767=CARTESIAN_POINT('',(-4.57E1,3.5225E0,-2.43925E1)); +#43768=DIRECTION('',(1.E0,0.E0,0.E0)); +#43769=DIRECTION('',(0.E0,-3.515052403054E-14,-1.E0)); +#43770=AXIS2_PLACEMENT_3D('',#43767,#43768,#43769); +#43772=DIRECTION('',(0.E0,1.E0,0.E0)); +#43773=VECTOR('',#43772,1.428948729811E1); +#43774=CARTESIAN_POINT('',(-4.57E1,-1.076698729811E1,-2.58075E1)); +#43775=LINE('',#43774,#43773); +#43776=DIRECTION('',(-2.310708083773E-14,0.E0,1.E0)); +#43777=VECTOR('',#43776,6.15E-1); +#43778=CARTESIAN_POINT('',(-4.595E1,-1.12E1,-2.58075E1)); +#43779=LINE('',#43778,#43777); +#43780=DIRECTION('',(0.E0,1.E0,0.E0)); +#43781=VECTOR('',#43780,3.7E0); +#43782=CARTESIAN_POINT('',(-4.595E1,-1.72E1,-2.58075E1)); +#43783=LINE('',#43782,#43781); +#43784=DIRECTION('',(0.E0,1.E0,0.E0)); +#43785=VECTOR('',#43784,3.7E0); +#43786=CARTESIAN_POINT('',(-4.675E1,-1.72E1,-2.58075E1)); +#43787=LINE('',#43786,#43785); +#43788=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#43789=VECTOR('',#43788,5.E-1); +#43790=CARTESIAN_POINT('',(-4.595E1,-1.12E1,-2.58075E1)); +#43791=LINE('',#43790,#43789); +#43792=DIRECTION('',(1.E0,0.E0,0.E0)); +#43793=VECTOR('',#43792,1.3E0); +#43794=CARTESIAN_POINT('',(-4.7E1,3.5225E0,-2.58075E1)); +#43795=LINE('',#43794,#43793); +#43796=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#43797=VECTOR('',#43796,5.E-1); +#43798=CARTESIAN_POINT('',(-4.7E1,-1.076698729811E1,-2.58075E1)); +#43799=LINE('',#43798,#43797); +#43800=DIRECTION('',(0.E0,0.E0,1.E0)); +#43801=VECTOR('',#43800,6.15E-1); +#43802=CARTESIAN_POINT('',(-4.595E1,-1.72E1,-2.58075E1)); +#43803=LINE('',#43802,#43801); +#43804=DIRECTION('',(1.E0,0.E0,0.E0)); +#43805=VECTOR('',#43804,8.E-1); +#43806=CARTESIAN_POINT('',(-4.675E1,-1.72E1,-2.58075E1)); +#43807=LINE('',#43806,#43805); +#43808=DIRECTION('',(0.E0,0.E0,1.E0)); +#43809=VECTOR('',#43808,6.15E-1); +#43810=CARTESIAN_POINT('',(-4.675E1,-1.72E1,-2.58075E1)); +#43811=LINE('',#43810,#43809); +#43812=DIRECTION('',(0.E0,0.E0,1.E0)); +#43813=VECTOR('',#43812,6.15E-1); +#43814=CARTESIAN_POINT('',(-4.675E1,-1.35E1,-2.58075E1)); +#43815=LINE('',#43814,#43813); +#43816=DIRECTION('',(0.E0,1.E0,0.E0)); +#43817=VECTOR('',#43816,3.7E0); +#43818=CARTESIAN_POINT('',(-4.595E1,-1.72E1,-2.51925E1)); +#43819=LINE('',#43818,#43817); +#43820=DIRECTION('',(1.E0,0.E0,0.E0)); +#43821=VECTOR('',#43820,8.E-1); +#43822=CARTESIAN_POINT('',(-4.675E1,-1.72E1,-2.51925E1)); +#43823=LINE('',#43822,#43821); +#43824=DIRECTION('',(0.E0,1.E0,0.E0)); +#43825=VECTOR('',#43824,3.7E0); +#43826=CARTESIAN_POINT('',(-4.675E1,-1.72E1,-2.51925E1)); +#43827=LINE('',#43826,#43825); +#43828=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#43829=VECTOR('',#43828,5.E-1); +#43830=CARTESIAN_POINT('',(-4.595E1,-1.12E1,-2.51925E1)); +#43831=LINE('',#43830,#43829); +#43832=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#43833=VECTOR('',#43832,5.E-1); +#43834=CARTESIAN_POINT('',(-4.7E1,-1.076698729811E1,-2.51925E1)); +#43835=LINE('',#43834,#43833); +#43836=DIRECTION('',(0.E0,0.E0,1.E0)); +#43837=VECTOR('',#43836,6.15E-1); +#43838=CARTESIAN_POINT('',(-4.7E1,-1.076698729811E1,-2.58075E1)); +#43839=LINE('',#43838,#43837); +#43840=DIRECTION('',(0.E0,-1.E0,0.E0)); +#43841=VECTOR('',#43840,1.428948729811E1); +#43842=CARTESIAN_POINT('',(-4.7E1,3.5225E0,-2.58075E1)); +#43843=LINE('',#43842,#43841); +#43844=CARTESIAN_POINT('',(-4.7E1,3.5225E0,-2.43925E1)); +#43845=DIRECTION('',(-1.E0,0.E0,0.E0)); +#43846=DIRECTION('',(0.E0,1.E0,-4.519353089640E-14)); +#43847=AXIS2_PLACEMENT_3D('',#43844,#43845,#43846); +#43849=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43850=VECTOR('',#43849,7.925E-1); +#43851=CARTESIAN_POINT('',(-4.7E1,4.9375E0,-2.36E1)); +#43852=LINE('',#43851,#43850); +#43853=DIRECTION('',(0.E0,0.E0,1.E0)); +#43854=VECTOR('',#43853,7.925E-1); +#43855=CARTESIAN_POINT('',(-4.7E1,4.3225E0,-2.43925E1)); +#43856=LINE('',#43855,#43854); +#43857=CARTESIAN_POINT('',(-4.7E1,3.5225E0,-2.43925E1)); +#43858=DIRECTION('',(1.E0,0.E0,0.E0)); +#43859=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43860=AXIS2_PLACEMENT_3D('',#43857,#43858,#43859); +#43862=DIRECTION('',(0.E0,1.E0,0.E0)); +#43863=VECTOR('',#43862,1.428948729811E1); +#43864=CARTESIAN_POINT('',(-4.7E1,-1.076698729811E1,-2.51925E1)); +#43865=LINE('',#43864,#43863); +#43866=DIRECTION('',(1.E0,0.E0,0.E0)); +#43867=VECTOR('',#43866,1.3E0); +#43868=CARTESIAN_POINT('',(-4.7E1,4.9375E0,-2.43925E1)); +#43869=LINE('',#43868,#43867); +#43870=DIRECTION('',(1.E0,0.E0,0.E0)); +#43871=VECTOR('',#43870,1.3E0); +#43872=CARTESIAN_POINT('',(-4.7E1,4.3225E0,-2.43925E1)); +#43873=LINE('',#43872,#43871); +#43874=DIRECTION('',(1.E0,0.E0,0.E0)); +#43875=VECTOR('',#43874,1.3E0); +#43876=CARTESIAN_POINT('',(-4.7E1,3.5225E0,-2.51925E1)); +#43877=LINE('',#43876,#43875); +#43878=DIRECTION('',(0.E0,0.E0,1.E0)); +#43879=VECTOR('',#43878,6.15E-1); +#43880=CARTESIAN_POINT('',(-5.22E1,-1.076698729811E1,-2.58075E1)); +#43881=LINE('',#43880,#43879); +#43882=DIRECTION('',(0.E0,1.E0,0.E0)); +#43883=VECTOR('',#43882,1.428948729811E1); +#43884=CARTESIAN_POINT('',(-5.22E1,-1.076698729811E1,-2.51925E1)); +#43885=LINE('',#43884,#43883); +#43886=CARTESIAN_POINT('',(-5.22E1,3.5225E0,-2.43925E1)); +#43887=DIRECTION('',(-1.E0,0.E0,0.E0)); +#43888=DIRECTION('',(0.E0,1.E0,0.E0)); +#43889=AXIS2_PLACEMENT_3D('',#43886,#43887,#43888); +#43891=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43892=VECTOR('',#43891,7.925E-1); +#43893=CARTESIAN_POINT('',(-5.22E1,4.3225E0,-2.36E1)); +#43894=LINE('',#43893,#43892); +#43895=DIRECTION('',(0.E0,0.E0,1.E0)); +#43896=VECTOR('',#43895,7.925E-1); +#43897=CARTESIAN_POINT('',(-5.22E1,4.9375E0,-2.43925E1)); +#43898=LINE('',#43897,#43896); +#43899=CARTESIAN_POINT('',(-5.22E1,3.5225E0,-2.43925E1)); +#43900=DIRECTION('',(1.E0,0.E0,0.E0)); +#43901=DIRECTION('',(0.E0,-3.515052403054E-14,-1.E0)); +#43902=AXIS2_PLACEMENT_3D('',#43899,#43900,#43901); +#43904=DIRECTION('',(0.E0,1.E0,0.E0)); +#43905=VECTOR('',#43904,1.428948729811E1); +#43906=CARTESIAN_POINT('',(-5.22E1,-1.076698729811E1,-2.58075E1)); +#43907=LINE('',#43906,#43905); +#43908=DIRECTION('',(0.E0,0.E0,1.E0)); +#43909=VECTOR('',#43908,6.15E-1); +#43910=CARTESIAN_POINT('',(-5.245E1,-1.12E1,-2.58075E1)); +#43911=LINE('',#43910,#43909); +#43912=DIRECTION('',(0.E0,1.E0,0.E0)); +#43913=VECTOR('',#43912,3.7E0); +#43914=CARTESIAN_POINT('',(-5.325E1,-1.72E1,-2.58075E1)); +#43915=LINE('',#43914,#43913); +#43916=DIRECTION('',(0.E0,1.E0,0.E0)); +#43917=VECTOR('',#43916,3.7E0); +#43918=CARTESIAN_POINT('',(-5.245E1,-1.72E1,-2.58075E1)); +#43919=LINE('',#43918,#43917); +#43920=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#43921=VECTOR('',#43920,5.E-1); +#43922=CARTESIAN_POINT('',(-5.35E1,-1.076698729811E1,-2.58075E1)); +#43923=LINE('',#43922,#43921); +#43924=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#43925=VECTOR('',#43924,5.E-1); +#43926=CARTESIAN_POINT('',(-5.245E1,-1.12E1,-2.58075E1)); +#43927=LINE('',#43926,#43925); +#43928=DIRECTION('',(1.E0,0.E0,0.E0)); +#43929=VECTOR('',#43928,1.3E0); +#43930=CARTESIAN_POINT('',(-5.35E1,3.5225E0,-2.58075E1)); +#43931=LINE('',#43930,#43929); +#43932=DIRECTION('',(0.E0,0.E0,1.E0)); +#43933=VECTOR('',#43932,6.15E-1); +#43934=CARTESIAN_POINT('',(-5.325E1,-1.35E1,-2.58075E1)); +#43935=LINE('',#43934,#43933); +#43936=DIRECTION('',(0.E0,1.E0,0.E0)); +#43937=VECTOR('',#43936,3.7E0); +#43938=CARTESIAN_POINT('',(-5.325E1,-1.72E1,-2.51925E1)); +#43939=LINE('',#43938,#43937); +#43940=DIRECTION('',(0.E0,1.E0,0.E0)); +#43941=VECTOR('',#43940,3.7E0); +#43942=CARTESIAN_POINT('',(-5.245E1,-1.72E1,-2.51925E1)); +#43943=LINE('',#43942,#43941); +#43944=DIRECTION('',(1.E0,0.E0,0.E0)); +#43945=VECTOR('',#43944,8.E-1); +#43946=CARTESIAN_POINT('',(-5.325E1,-1.72E1,-2.51925E1)); +#43947=LINE('',#43946,#43945); +#43948=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#43949=VECTOR('',#43948,5.E-1); +#43950=CARTESIAN_POINT('',(-5.35E1,-1.076698729811E1,-2.51925E1)); +#43951=LINE('',#43950,#43949); +#43952=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#43953=VECTOR('',#43952,5.E-1); +#43954=CARTESIAN_POINT('',(-5.245E1,-1.12E1,-2.51925E1)); +#43955=LINE('',#43954,#43953); +#43956=DIRECTION('',(0.E0,0.E0,1.E0)); +#43957=VECTOR('',#43956,6.15E-1); +#43958=CARTESIAN_POINT('',(-5.325E1,-1.72E1,-2.58075E1)); +#43959=LINE('',#43958,#43957); +#43960=DIRECTION('',(0.E0,0.E0,1.E0)); +#43961=VECTOR('',#43960,6.15E-1); +#43962=CARTESIAN_POINT('',(-5.245E1,-1.72E1,-2.58075E1)); +#43963=LINE('',#43962,#43961); +#43964=DIRECTION('',(1.E0,0.E0,0.E0)); +#43965=VECTOR('',#43964,8.E-1); +#43966=CARTESIAN_POINT('',(-5.325E1,-1.72E1,-2.58075E1)); +#43967=LINE('',#43966,#43965); +#43968=DIRECTION('',(0.E0,0.E0,1.E0)); +#43969=VECTOR('',#43968,6.15E-1); +#43970=CARTESIAN_POINT('',(-5.35E1,-1.076698729811E1,-2.58075E1)); +#43971=LINE('',#43970,#43969); +#43972=DIRECTION('',(0.E0,-1.E0,0.E0)); +#43973=VECTOR('',#43972,1.428948729811E1); +#43974=CARTESIAN_POINT('',(-5.35E1,3.5225E0,-2.58075E1)); +#43975=LINE('',#43974,#43973); +#43976=CARTESIAN_POINT('',(-5.35E1,3.5225E0,-2.43925E1)); +#43977=DIRECTION('',(-1.E0,0.E0,0.E0)); +#43978=DIRECTION('',(0.E0,1.E0,-4.519353089640E-14)); +#43979=AXIS2_PLACEMENT_3D('',#43976,#43977,#43978); +#43981=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43982=VECTOR('',#43981,7.925E-1); +#43983=CARTESIAN_POINT('',(-5.35E1,4.9375E0,-2.36E1)); +#43984=LINE('',#43983,#43982); +#43985=DIRECTION('',(0.E0,0.E0,1.E0)); +#43986=VECTOR('',#43985,7.925E-1); +#43987=CARTESIAN_POINT('',(-5.35E1,4.3225E0,-2.43925E1)); +#43988=LINE('',#43987,#43986); +#43989=CARTESIAN_POINT('',(-5.35E1,3.5225E0,-2.43925E1)); +#43990=DIRECTION('',(1.E0,0.E0,0.E0)); +#43991=DIRECTION('',(0.E0,0.E0,-1.E0)); +#43992=AXIS2_PLACEMENT_3D('',#43989,#43990,#43991); +#43994=DIRECTION('',(0.E0,1.E0,0.E0)); +#43995=VECTOR('',#43994,1.428948729811E1); +#43996=CARTESIAN_POINT('',(-5.35E1,-1.076698729811E1,-2.51925E1)); +#43997=LINE('',#43996,#43995); +#43998=DIRECTION('',(1.E0,0.E0,0.E0)); +#43999=VECTOR('',#43998,1.3E0); +#44000=CARTESIAN_POINT('',(-5.35E1,4.9375E0,-2.43925E1)); +#44001=LINE('',#44000,#43999); +#44002=DIRECTION('',(1.E0,0.E0,0.E0)); +#44003=VECTOR('',#44002,1.3E0); +#44004=CARTESIAN_POINT('',(-5.35E1,4.3225E0,-2.43925E1)); +#44005=LINE('',#44004,#44003); +#44006=DIRECTION('',(1.E0,0.E0,0.E0)); +#44007=VECTOR('',#44006,1.3E0); +#44008=CARTESIAN_POINT('',(-5.35E1,3.5225E0,-2.51925E1)); +#44009=LINE('',#44008,#44007); +#44010=DIRECTION('',(0.E0,-1.E0,0.E0)); +#44011=VECTOR('',#44010,2.3E0); +#44012=CARTESIAN_POINT('',(-5.71E1,-1.12E1,-2.815E1)); +#44013=LINE('',#44012,#44011); +#44014=DIRECTION('',(0.E0,-1.E0,0.E0)); +#44015=VECTOR('',#44014,2.3E0); +#44016=CARTESIAN_POINT('',(-5.71E1,-1.12E1,-2.955E1)); +#44017=LINE('',#44016,#44015); +#44018=DIRECTION('',(0.E0,-1.E0,0.E0)); +#44019=VECTOR('',#44018,2.3E0); +#44020=CARTESIAN_POINT('',(-6.26E1,-1.12E1,-2.955E1)); +#44021=LINE('',#44020,#44019); +#44022=DIRECTION('',(0.E0,-1.E0,0.E0)); +#44023=VECTOR('',#44022,2.3E0); +#44024=CARTESIAN_POINT('',(-6.26E1,-1.12E1,-3.295E1)); +#44025=LINE('',#44024,#44023); +#44026=DIRECTION('',(0.E0,-1.E0,0.E0)); +#44027=VECTOR('',#44026,2.3E0); +#44028=CARTESIAN_POINT('',(-5.92E1,-1.12E1,-3.295E1)); +#44029=LINE('',#44028,#44027); +#44030=DIRECTION('',(0.E0,-1.E0,0.E0)); +#44031=VECTOR('',#44030,2.3E0); +#44032=CARTESIAN_POINT('',(-5.92E1,-1.12E1,-3.495E1)); +#44033=LINE('',#44032,#44031); +#44034=DIRECTION('',(0.E0,-1.E0,0.E0)); +#44035=VECTOR('',#44034,2.3E0); +#44036=CARTESIAN_POINT('',(-4.65E1,-1.12E1,-3.495E1)); +#44037=LINE('',#44036,#44035); +#44038=DIRECTION('',(0.E0,-1.E0,0.E0)); +#44039=VECTOR('',#44038,2.3E0); +#44040=CARTESIAN_POINT('',(-4.65E1,-1.12E1,-3.245E1)); +#44041=LINE('',#44040,#44039); +#44042=DIRECTION('',(0.E0,-1.E0,0.E0)); +#44043=VECTOR('',#44042,2.3E0); +#44044=CARTESIAN_POINT('',(5.67E1,-1.12E1,-3.245E1)); +#44045=LINE('',#44044,#44043); +#44046=DIRECTION('',(0.E0,-1.E0,0.E0)); +#44047=VECTOR('',#44046,2.3E0); +#44048=CARTESIAN_POINT('',(5.72E1,-1.12E1,-3.295E1)); +#44049=LINE('',#44048,#44047); +#44050=DIRECTION('',(0.E0,-1.E0,0.E0)); +#44051=VECTOR('',#44050,2.3E0); +#44052=CARTESIAN_POINT('',(6.26E1,-1.12E1,-3.295E1)); +#44053=LINE('',#44052,#44051); +#44054=DIRECTION('',(0.E0,-1.E0,0.E0)); +#44055=VECTOR('',#44054,2.3E0); +#44056=CARTESIAN_POINT('',(6.26E1,-1.12E1,-2.955E1)); +#44057=LINE('',#44056,#44055); +#44058=DIRECTION('',(0.E0,-1.E0,0.E0)); +#44059=VECTOR('',#44058,2.3E0); +#44060=CARTESIAN_POINT('',(5.71E1,-1.12E1,-2.955E1)); +#44061=LINE('',#44060,#44059); +#44062=CARTESIAN_POINT('',(6.830649670485E1,-1.35E1,-8.5E0)); +#44063=CARTESIAN_POINT('',(-6.830649670485E1,-1.35E1,-8.5E0)); +#44064=VERTEX_POINT('',#44062); +#44065=VERTEX_POINT('',#44063); +#44066=CARTESIAN_POINT('',(-6.929105257383E1,-1.232492932611E1,-8.5E0)); +#44067=VERTEX_POINT('',#44066); +#44068=CARTESIAN_POINT('',(-6.528568916857E1,1.020028269554E1,-8.5E0)); +#44069=VERTEX_POINT('',#44068); +#44070=CARTESIAN_POINT('',(-6.134746569265E1,1.35E1,-8.5E0)); +#44071=VERTEX_POINT('',#44070); +#44072=CARTESIAN_POINT('',(6.134746569265E1,1.35E1,-8.5E0)); +#44073=CARTESIAN_POINT('',(6.528568916857E1,1.020028269554E1,-8.5E0)); +#44074=VERTEX_POINT('',#44072); +#44075=VERTEX_POINT('',#44073); +#44076=CARTESIAN_POINT('',(6.929105257383E1,-1.232492932611E1,-8.5E0)); +#44077=VERTEX_POINT('',#44076); +#44078=CARTESIAN_POINT('',(-6.830649670485E1,-1.35E1,-9.65E0)); +#44079=CARTESIAN_POINT('',(-6.929105257383E1,-1.232492932611E1,-9.65E0)); +#44080=VERTEX_POINT('',#44078); +#44081=VERTEX_POINT('',#44079); +#44082=CARTESIAN_POINT('',(-6.528568916857E1,1.020028269554E1,-9.65E0)); +#44083=CARTESIAN_POINT('',(-6.134746569265E1,1.35E1,-9.65E0)); +#44084=VERTEX_POINT('',#44082); +#44085=VERTEX_POINT('',#44083); +#44086=CARTESIAN_POINT('',(6.134746569265E1,1.35E1,-9.65E0)); +#44087=CARTESIAN_POINT('',(6.528568916857E1,1.020028269554E1,-9.65E0)); +#44088=VERTEX_POINT('',#44086); +#44089=VERTEX_POINT('',#44087); +#44090=CARTESIAN_POINT('',(6.929105257383E1,-1.232492932611E1,-9.65E0)); +#44091=CARTESIAN_POINT('',(6.830649670485E1,-1.35E1,-9.65E0)); +#44092=VERTEX_POINT('',#44090); +#44093=VERTEX_POINT('',#44091); +#44094=CARTESIAN_POINT('',(-7.193891E1,-1.1E1,-1.405E1)); +#44095=CARTESIAN_POINT('',(-6.45E1,-1.1E1,-1.405E1)); +#44096=VERTEX_POINT('',#44094); +#44097=VERTEX_POINT('',#44095); +#44098=CARTESIAN_POINT('',(-6.45E1,-1.1E1,-1.205E1)); +#44099=VERTEX_POINT('',#44098); +#44100=CARTESIAN_POINT('',(-6.26E1,-1.1E1,-1.205E1)); +#44101=VERTEX_POINT('',#44100); +#44102=CARTESIAN_POINT('',(-6.45E1,5.017060696176E0,-1.405E1)); +#44103=VERTEX_POINT('',#44102); +#44104=CARTESIAN_POINT('',(7.193891E1,-1.1E1,-1.405E1)); +#44105=CARTESIAN_POINT('',(7.193891E1,-1.1E1,-2.93E1)); +#44106=VERTEX_POINT('',#44104); +#44107=VERTEX_POINT('',#44105); +#44108=CARTESIAN_POINT('',(-7.193891E1,-1.1E1,-2.93E1)); +#44109=VERTEX_POINT('',#44108); +#44110=CARTESIAN_POINT('',(6.26E1,-1.35E1,-1.08E1)); +#44111=CARTESIAN_POINT('',(-6.26E1,-1.35E1,-1.08E1)); +#44112=VERTEX_POINT('',#44110); +#44113=VERTEX_POINT('',#44111); +#44114=CARTESIAN_POINT('',(6.26E1,-1.1E1,-1.08E1)); +#44115=CARTESIAN_POINT('',(-6.26E1,-1.1E1,-1.08E1)); +#44116=VERTEX_POINT('',#44114); +#44117=VERTEX_POINT('',#44115); +#44118=CARTESIAN_POINT('',(6.26E1,-1.35E1,-9.65E0)); +#44119=VERTEX_POINT('',#44118); +#44120=CARTESIAN_POINT('',(-6.26E1,-1.35E1,-9.65E0)); +#44121=VERTEX_POINT('',#44120); +#44122=CARTESIAN_POINT('',(-5.784916443889E1,1.208656653416E1,-8.5E0)); +#44123=CARTESIAN_POINT('',(-6.332041156695E1,1.946566534159E0,-8.5E0)); +#44124=VERTEX_POINT('',#44122); +#44125=VERTEX_POINT('',#44123); +#44126=CARTESIAN_POINT('',(-5.760000012806E1,-7.64E0,-8.5E0)); +#44127=VERTEX_POINT('',#44126); +#44128=CARTESIAN_POINT('',(8.680376934006E0,-7.64E0,-8.5E0)); +#44129=VERTEX_POINT('',#44128); +#44130=CARTESIAN_POINT('',(6.45E1,5.017060696176E0,-1.405E1)); +#44131=VERTEX_POINT('',#44130); +#44132=CARTESIAN_POINT('',(6.26E1,-1.1E1,-1.205E1)); +#44133=CARTESIAN_POINT('',(6.45E1,-1.1E1,-1.205E1)); +#44134=VERTEX_POINT('',#44132); +#44135=VERTEX_POINT('',#44133); +#44136=CARTESIAN_POINT('',(6.45E1,-1.1E1,-1.405E1)); +#44137=VERTEX_POINT('',#44136); +#44138=CARTESIAN_POINT('',(6.26E1,8.1E0,-9.65E0)); +#44139=VERTEX_POINT('',#44138); +#44140=CARTESIAN_POINT('',(-6.26E1,8.1E0,-9.65E0)); +#44141=CARTESIAN_POINT('',(-6.04E1,8.1E0,-9.65E0)); +#44142=VERTEX_POINT('',#44140); +#44143=VERTEX_POINT('',#44141); +#44144=CARTESIAN_POINT('',(6.04E1,8.1E0,-9.65E0)); +#44145=VERTEX_POINT('',#44144); +#44146=CARTESIAN_POINT('',(-5.603311699554E1,1.419672414029E1,-8.5E0)); +#44147=VERTEX_POINT('',#44146); +#44148=CARTESIAN_POINT('',(6.26E1,0.E0,-1.205E1)); +#44149=CARTESIAN_POINT('',(6.45E1,0.E0,-1.205E1)); +#44150=VERTEX_POINT('',#44148); +#44151=VERTEX_POINT('',#44149); +#44152=CARTESIAN_POINT('',(6.45E1,5.017060696176E0,-1.403204867009E1)); +#44153=VERTEX_POINT('',#44152); +#44154=CARTESIAN_POINT('',(6.26E1,8.1E0,-1.525E1)); +#44155=VERTEX_POINT('',#44154); +#44156=CARTESIAN_POINT('',(-6.45E1,5.017060696176E0,-1.403204867010E1)); +#44157=CARTESIAN_POINT('',(-6.45E1,-2.289723965987E-12,-1.205E1)); +#44158=VERTEX_POINT('',#44156); +#44159=VERTEX_POINT('',#44157); +#44160=CARTESIAN_POINT('',(-6.259999999999E1,5.565851822626E-1,-9.65E0)); +#44161=CARTESIAN_POINT('',(-6.26E1,-2.836585182246E0,-9.65E0)); +#44162=VERTEX_POINT('',#44160); +#44163=VERTEX_POINT('',#44161); +#44164=CARTESIAN_POINT('',(-6.275546324984E1,-5.635714117602E-12,-1.205E1)); +#44165=CARTESIAN_POINT('',(-6.26E1,-2.836585182245E0,-1.205E1)); +#44166=VERTEX_POINT('',#44164); +#44167=VERTEX_POINT('',#44165); +#44168=CARTESIAN_POINT('',(-6.26E1,5.565851822462E-1,-1.226988550410E1)); +#44169=VERTEX_POINT('',#44168); +#44170=CARTESIAN_POINT('',(-6.26E1,8.1E0,-1.525E1)); +#44171=VERTEX_POINT('',#44170); +#44172=VERTEX_POINT('',#28754); +#44173=VERTEX_POINT('',#28761); +#44174=VERTEX_POINT('',#7432); +#44175=VERTEX_POINT('',#7439); +#44176=VERTEX_POINT('',#7567); +#44177=VERTEX_POINT('',#7574); +#44178=VERTEX_POINT('',#7249); +#44179=VERTEX_POINT('',#7256); +#44180=CARTESIAN_POINT('',(6.73E1,-1.35E1,-2.93E1)); +#44181=CARTESIAN_POINT('',(6.73E1,-1.35E1,-2.E1)); +#44182=VERTEX_POINT('',#44180); +#44183=VERTEX_POINT('',#44181); +#44184=CARTESIAN_POINT('',(5.595743636076E1,-1.35E1,-1.8E1)); +#44185=VERTEX_POINT('',#44184); +#44186=CARTESIAN_POINT('',(-5.595743636076E1,-1.35E1,-1.8E1)); +#44187=VERTEX_POINT('',#44186); +#44188=CARTESIAN_POINT('',(-6.73E1,-1.35E1,-2.E1)); +#44189=VERTEX_POINT('',#44188); +#44190=CARTESIAN_POINT('',(-6.73E1,-1.35E1,-2.93E1)); +#44191=VERTEX_POINT('',#44190); +#44192=CARTESIAN_POINT('',(6.73E1,-1.1E1,-2.93E1)); +#44193=CARTESIAN_POINT('',(6.73E1,-1.1E1,-2.E1)); +#44194=VERTEX_POINT('',#44192); +#44195=VERTEX_POINT('',#44193); +#44196=CARTESIAN_POINT('',(5.595743636076E1,-1.1E1,-1.8E1)); +#44197=VERTEX_POINT('',#44196); +#44198=CARTESIAN_POINT('',(-5.595743636076E1,-1.1E1,-1.8E1)); +#44199=VERTEX_POINT('',#44198); +#44200=CARTESIAN_POINT('',(-6.73E1,-1.1E1,-2.E1)); +#44201=VERTEX_POINT('',#44200); +#44202=CARTESIAN_POINT('',(-6.73E1,-1.1E1,-2.93E1)); +#44203=VERTEX_POINT('',#44202); +#44204=CARTESIAN_POINT('',(6.15E1,-1.8E0,-2.07E1)); +#44205=CARTESIAN_POINT('',(6.23E1,-1.E0,-2.07E1)); +#44206=VERTEX_POINT('',#44204); +#44207=VERTEX_POINT('',#44205); +#44208=CARTESIAN_POINT('',(6.586117845738E1,-1.E0,-2.07E1)); +#44209=VERTEX_POINT('',#44208); +#44210=CARTESIAN_POINT('',(6.65E1,-2.375476168169E0,-2.07E1)); +#44211=VERTEX_POINT('',#44210); +#44212=CARTESIAN_POINT('',(-6.65E1,-2.375476168169E0,-2.07E1)); +#44213=CARTESIAN_POINT('',(-6.586117845738E1,-1.E0,-2.07E1)); +#44214=VERTEX_POINT('',#44212); +#44215=VERTEX_POINT('',#44213); +#44216=CARTESIAN_POINT('',(-6.23E1,-1.E0,-2.07E1)); +#44217=VERTEX_POINT('',#44216); +#44218=CARTESIAN_POINT('',(-6.15E1,-1.8E0,-2.07E1)); +#44219=VERTEX_POINT('',#44218); +#44220=CARTESIAN_POINT('',(-6.65E1,-1.35E1,-2.07E1)); +#44221=CARTESIAN_POINT('',(-6.15E1,-1.35E1,-2.07E1)); +#44222=VERTEX_POINT('',#44220); +#44223=VERTEX_POINT('',#44221); +#44224=CARTESIAN_POINT('',(6.15E1,-1.35E1,-2.07E1)); +#44225=CARTESIAN_POINT('',(6.65E1,-1.35E1,-2.07E1)); +#44226=VERTEX_POINT('',#44224); +#44227=VERTEX_POINT('',#44225); +#44228=CARTESIAN_POINT('',(6.15E1,-1.35E1,-2.93E1)); +#44229=VERTEX_POINT('',#44228); +#44230=CARTESIAN_POINT('',(6.65E1,-1.35E1,-2.93E1)); +#44231=VERTEX_POINT('',#44230); +#44232=CARTESIAN_POINT('',(-6.65E1,-1.35E1,-2.93E1)); +#44233=VERTEX_POINT('',#44232); +#44234=CARTESIAN_POINT('',(-6.15E1,-1.35E1,-2.93E1)); +#44235=VERTEX_POINT('',#44234); +#44236=CARTESIAN_POINT('',(6.15E1,-1.8E0,-2.93E1)); +#44237=VERTEX_POINT('',#44236); +#44238=CARTESIAN_POINT('',(6.23E1,-1.E0,-2.93E1)); +#44239=VERTEX_POINT('',#44238); +#44240=CARTESIAN_POINT('',(6.586117845738E1,-1.E0,-2.93E1)); +#44241=VERTEX_POINT('',#44240); +#44242=CARTESIAN_POINT('',(6.65E1,-2.375476168169E0,-2.93E1)); +#44243=VERTEX_POINT('',#44242); +#44244=CARTESIAN_POINT('',(-6.65E1,-2.375476168169E0,-2.93E1)); +#44245=VERTEX_POINT('',#44244); +#44246=CARTESIAN_POINT('',(-6.586117845738E1,-1.E0,-2.93E1)); +#44247=VERTEX_POINT('',#44246); +#44248=CARTESIAN_POINT('',(-6.23E1,-1.E0,-2.93E1)); +#44249=VERTEX_POINT('',#44248); +#44250=CARTESIAN_POINT('',(-6.15E1,-1.8E0,-2.93E1)); +#44251=VERTEX_POINT('',#44250); +#44252=CARTESIAN_POINT('',(-5.775E1,-4.8E0,-2.237705265805E1)); +#44253=CARTESIAN_POINT('',(-5.775E1,-4.8E0,-2.26E1)); +#44254=VERTEX_POINT('',#44252); +#44255=VERTEX_POINT('',#44253); +#44256=CARTESIAN_POINT('',(5.775E1,-4.8E0,-2.237705265805E1)); +#44257=CARTESIAN_POINT('',(5.775E1,-4.8E0,-2.26E1)); +#44258=VERTEX_POINT('',#44256); +#44259=VERTEX_POINT('',#44257); +#44260=CARTESIAN_POINT('',(-5.775E1,-1.35E1,-1.96E1)); +#44261=CARTESIAN_POINT('',(5.775E1,-1.35E1,-1.96E1)); +#44262=VERTEX_POINT('',#44260); +#44263=VERTEX_POINT('',#44261); +#44264=CARTESIAN_POINT('',(-5.775E1,-1.35E1,-2.93E1)); +#44265=VERTEX_POINT('',#44264); +#44266=CARTESIAN_POINT('',(5.775E1,-1.35E1,-2.93E1)); +#44267=VERTEX_POINT('',#44266); +#44268=CARTESIAN_POINT('',(-5.775E1,-7.109401076758E0,-1.96E1)); +#44269=VERTEX_POINT('',#44268); +#44270=CARTESIAN_POINT('',(5.775E1,-7.109401076758E0,-1.96E1)); +#44271=VERTEX_POINT('',#44270); +#44272=CARTESIAN_POINT('',(-5.775E1,-1.E-1,-2.93E1)); +#44273=CARTESIAN_POINT('',(-5.775E1,-1.E-1,-2.36E1)); +#44274=VERTEX_POINT('',#44272); +#44275=VERTEX_POINT('',#44273); +#44276=CARTESIAN_POINT('',(5.775E1,-1.E-1,-2.93E1)); +#44277=CARTESIAN_POINT('',(5.775E1,-1.E-1,-2.36E1)); +#44278=VERTEX_POINT('',#44276); +#44279=VERTEX_POINT('',#44277); +#44280=CARTESIAN_POINT('',(6.E1,-1.E-1,-2.36E1)); +#44281=VERTEX_POINT('',#44280); +#44282=CARTESIAN_POINT('',(-6.E1,-1.E-1,-2.36E1)); +#44283=VERTEX_POINT('',#44282); +#44284=CARTESIAN_POINT('',(6.E1,-1.E-1,-2.93E1)); +#44285=VERTEX_POINT('',#44284); +#44286=CARTESIAN_POINT('',(-6.E1,-1.E-1,-2.93E1)); +#44287=VERTEX_POINT('',#44286); +#44288=CARTESIAN_POINT('',(-6.E1,1.3E0,-2.36E1)); +#44289=CARTESIAN_POINT('',(-5.5E1,6.3E0,-2.36E1)); +#44290=VERTEX_POINT('',#44288); +#44291=VERTEX_POINT('',#44289); +#44292=CARTESIAN_POINT('',(-5.5E1,6.3E0,-2.93E1)); +#44293=VERTEX_POINT('',#44292); +#44294=CARTESIAN_POINT('',(-6.E1,1.3E0,-2.93E1)); +#44295=VERTEX_POINT('',#44294); +#44296=CARTESIAN_POINT('',(5.5E1,6.3E0,-2.36E1)); +#44297=CARTESIAN_POINT('',(6.E1,1.3E0,-2.36E1)); +#44298=VERTEX_POINT('',#44296); +#44299=VERTEX_POINT('',#44297); +#44300=CARTESIAN_POINT('',(6.E1,1.3E0,-2.93E1)); +#44301=VERTEX_POINT('',#44300); +#44302=CARTESIAN_POINT('',(5.5E1,6.3E0,-2.93E1)); +#44303=VERTEX_POINT('',#44302); +#44304=CARTESIAN_POINT('',(6.04E1,8.1E0,-2.22E1)); +#44305=CARTESIAN_POINT('',(-6.04E1,8.1E0,-2.22E1)); +#44306=VERTEX_POINT('',#44304); +#44307=VERTEX_POINT('',#44305); +#44308=CARTESIAN_POINT('',(6.04E1,9.3E0,-2.1E1)); +#44309=CARTESIAN_POINT('',(-6.04E1,9.3E0,-2.1E1)); +#44310=VERTEX_POINT('',#44308); +#44311=VERTEX_POINT('',#44309); +#44312=CARTESIAN_POINT('',(5.75E1,9.45E0,-2.627942286341E1)); +#44313=CARTESIAN_POINT('',(5.75E1,9.7E0,-2.671243556530E1)); +#44314=VERTEX_POINT('',#44312); +#44315=VERTEX_POINT('',#44313); +#44316=CARTESIAN_POINT('',(5.75E1,9.7E0,-2.7E1)); +#44317=VERTEX_POINT('',#44316); +#44318=CARTESIAN_POINT('',(-5.75E1,9.45E0,-2.627942286341E1)); +#44319=CARTESIAN_POINT('',(-5.75E1,9.7E0,-2.671243556530E1)); +#44320=VERTEX_POINT('',#44318); +#44321=VERTEX_POINT('',#44319); +#44322=CARTESIAN_POINT('',(-5.75E1,9.7E0,-2.7E1)); +#44323=VERTEX_POINT('',#44322); +#44324=CARTESIAN_POINT('',(5.75E1,8.1E0,-2.55E1)); +#44325=CARTESIAN_POINT('',(-5.75E1,8.1E0,-2.55E1)); +#44326=VERTEX_POINT('',#44324); +#44327=VERTEX_POINT('',#44325); +#44328=CARTESIAN_POINT('',(5.75E1,8.1E0,-2.7E1)); +#44329=VERTEX_POINT('',#44328); +#44330=CARTESIAN_POINT('',(-5.75E1,8.1E0,-2.7E1)); +#44331=VERTEX_POINT('',#44330); +#44332=CARTESIAN_POINT('',(-5.525E1,6.293746088860E0,-2.93E1)); +#44333=VERTEX_POINT('',#44332); +#44334=CARTESIAN_POINT('',(5.866606055596E1,4.7E0,-2.93E1)); +#44335=VERTEX_POINT('',#44334); +#44336=CARTESIAN_POINT('',(-5.768378992187E1,1.35E1,-9.65E0)); +#44337=VERTEX_POINT('',#44336); +#44338=CARTESIAN_POINT('',(-5.768378992187E1,1.35E1,-8.5E0)); +#44339=VERTEX_POINT('',#44338); +#44340=CARTESIAN_POINT('',(5.975E1,1.35E1,-8.5E0)); +#44341=VERTEX_POINT('',#44340); +#44342=CARTESIAN_POINT('',(5.891949390335E1,1.35E1,-9.65E0)); +#44343=VERTEX_POINT('',#44342); +#44344=CARTESIAN_POINT('',(-5.725E1,7.7E0,-3.36E1)); +#44345=CARTESIAN_POINT('',(-6.025E1,7.7E0,-3.36E1)); +#44346=VERTEX_POINT('',#44344); +#44347=VERTEX_POINT('',#44345); +#44348=CARTESIAN_POINT('',(6.025E1,7.7E0,-3.36E1)); +#44349=CARTESIAN_POINT('',(5.725E1,7.7E0,-3.36E1)); +#44350=VERTEX_POINT('',#44348); +#44351=VERTEX_POINT('',#44349); +#44352=CARTESIAN_POINT('',(1.795E1,7.7E0,-3.36E1)); +#44353=CARTESIAN_POINT('',(1.495E1,7.7E0,-3.36E1)); +#44354=VERTEX_POINT('',#44352); +#44355=VERTEX_POINT('',#44353); +#44356=CARTESIAN_POINT('',(-5.846520874770E1,1.241896600707E1,-1.8E0)); +#44357=CARTESIAN_POINT('',(-6.393645587576E1,2.278966007068E0,-1.8E0)); +#44358=VERTEX_POINT('',#44356); +#44359=VERTEX_POINT('',#44357); +#44360=CARTESIAN_POINT('',(-5.760000012806E1,-8.34E0,-1.8E0)); +#44361=VERTEX_POINT('',#44360); +#44362=CARTESIAN_POINT('',(-5.784916443889E1,1.208656653416E1,-1.8E0)); +#44363=CARTESIAN_POINT('',(-6.332041156695E1,1.946566534159E0,-1.8E0)); +#44364=VERTEX_POINT('',#44362); +#44365=VERTEX_POINT('',#44363); +#44366=CARTESIAN_POINT('',(-5.760000012806E1,-7.64E0,-1.8E0)); +#44367=VERTEX_POINT('',#44366); +#44368=CARTESIAN_POINT('',(1.595E1,6.3E0,-2.36E1)); +#44369=CARTESIAN_POINT('',(1.595E1,6.3E0,-3.51E1)); +#44370=VERTEX_POINT('',#44368); +#44371=VERTEX_POINT('',#44369); +#44372=CARTESIAN_POINT('',(1.695E1,6.3E0,-2.36E1)); +#44373=CARTESIAN_POINT('',(1.695E1,6.3E0,-3.51E1)); +#44374=VERTEX_POINT('',#44372); +#44375=VERTEX_POINT('',#44373); +#44376=CARTESIAN_POINT('',(1.595E1,1.4E0,-2.36E1)); +#44377=VERTEX_POINT('',#44376); +#44378=CARTESIAN_POINT('',(1.695E1,1.4E0,-2.36E1)); +#44379=VERTEX_POINT('',#44378); +#44380=CARTESIAN_POINT('',(1.518E1,8.93E0,-1.95E1)); +#44381=CARTESIAN_POINT('',(1.767E1,8.93E0,-1.95E1)); +#44382=VERTEX_POINT('',#44380); +#44383=VERTEX_POINT('',#44381); +#44384=VERTEX_POINT('',#5553); +#44385=VERTEX_POINT('',#5561); +#44386=VERTEX_POINT('',#5539); +#44387=VERTEX_POINT('',#5547); +#44388=CARTESIAN_POINT('',(-6.355509724104E1,-1.35E1,-2.49E1)); +#44389=CARTESIAN_POINT('',(-6.355509724104E1,-1.35E1,-2.21E1)); +#44390=VERTEX_POINT('',#44388); +#44391=VERTEX_POINT('',#44389); +#44392=CARTESIAN_POINT('',(5.795E1,-7.64E0,-8.5E0)); +#44393=CARTESIAN_POINT('',(5.795E1,-8.34E0,-8.5E0)); +#44394=VERTEX_POINT('',#44392); +#44395=VERTEX_POINT('',#44393); +#44396=CARTESIAN_POINT('',(2.416962306599E1,-7.64E0,-8.5E0)); +#44397=VERTEX_POINT('',#44396); +#44398=CARTESIAN_POINT('',(5.795E1,1.55E1,-8.5E0)); +#44399=CARTESIAN_POINT('',(5.795E1,1.62E1,-8.5E0)); +#44400=VERTEX_POINT('',#44398); +#44401=VERTEX_POINT('',#44399); +#44402=CARTESIAN_POINT('',(-5.212875299972E1,1.427999903239E1, +-5.999990323995E-1)); +#44403=VERTEX_POINT('',#44402); +#44404=CARTESIAN_POINT('',(8.549999999699E0,1.427999973296E1, +-5.999997329685E-1)); +#44405=VERTEX_POINT('',#44404); +#44406=CARTESIAN_POINT('',(5.244999999970E1,1.427999973296E1, +-5.999997329685E-1)); +#44407=VERTEX_POINT('',#44406); +#44408=CARTESIAN_POINT('',(2.430000000026E1,1.427999973297E1, +-5.999997329677E-1)); +#44409=VERTEX_POINT('',#44408); +#44410=CARTESIAN_POINT('',(2.365E1,-8.34E0,-1.8E0)); +#44411=CARTESIAN_POINT('',(2.857382092959E1,-8.34E0,-1.8E0)); +#44412=VERTEX_POINT('',#44410); +#44413=VERTEX_POINT('',#44411); +#44414=CARTESIAN_POINT('',(3.652617907041E1,-8.34E0,-1.8E0)); +#44415=CARTESIAN_POINT('',(5.087382092959E1,-8.34E0,-1.8E0)); +#44416=VERTEX_POINT('',#44414); +#44417=VERTEX_POINT('',#44415); +#44418=CARTESIAN_POINT('',(2.857382092959E1,-7.64E0,-1.8E0)); +#44419=CARTESIAN_POINT('',(3.652617907041E1,-7.64E0,-1.8E0)); +#44420=VERTEX_POINT('',#44418); +#44421=VERTEX_POINT('',#44419); +#44422=CARTESIAN_POINT('',(2.416962174568E1,-7.64E0,-1.8E0)); +#44423=VERTEX_POINT('',#44422); +#44424=CARTESIAN_POINT('',(5.087382092959E1,-7.64E0,-1.8E0)); +#44425=VERTEX_POINT('',#44424); +#44426=CARTESIAN_POINT('',(5.795E1,1.55E1,-2.543126676640E0)); +#44427=VERTEX_POINT('',#44426); +#44428=CARTESIAN_POINT('',(2.857382092959E1,1.55E1,-1.8E0)); +#44429=CARTESIAN_POINT('',(2.416962174548E1,1.55E1,-1.8E0)); +#44430=VERTEX_POINT('',#44428); +#44431=VERTEX_POINT('',#44429); +#44432=CARTESIAN_POINT('',(2.52E1,1.428E1,-1.525E1)); +#44433=CARTESIAN_POINT('',(2.52E1,1.273E1,-1.793467875173E1)); +#44434=VERTEX_POINT('',#44432); +#44435=VERTEX_POINT('',#44433); +#44436=CARTESIAN_POINT('',(2.67E1,1.428E1,-1.525E1)); +#44437=CARTESIAN_POINT('',(2.67E1,1.273E1,-1.793467875173E1)); +#44438=VERTEX_POINT('',#44436); +#44439=VERTEX_POINT('',#44437); +#44440=CARTESIAN_POINT('',(5.02E1,1.428E1,-1.525E1)); +#44441=CARTESIAN_POINT('',(5.02E1,1.273E1,-1.793467875173E1)); +#44442=VERTEX_POINT('',#44440); +#44443=VERTEX_POINT('',#44441); +#44444=CARTESIAN_POINT('',(5.17E1,1.428E1,-1.525E1)); +#44445=CARTESIAN_POINT('',(5.17E1,1.273E1,-1.793467875173E1)); +#44446=VERTEX_POINT('',#44444); +#44447=VERTEX_POINT('',#44445); +#44448=CARTESIAN_POINT('',(3.85E0,1.428E1,-1.525E1)); +#44449=CARTESIAN_POINT('',(3.85E0,1.273E1,-1.793467875173E1)); +#44450=VERTEX_POINT('',#44448); +#44451=VERTEX_POINT('',#44449); +#44452=CARTESIAN_POINT('',(5.35E0,1.428E1,-1.525E1)); +#44453=CARTESIAN_POINT('',(5.35E0,1.273E1,-1.793467875173E1)); +#44454=VERTEX_POINT('',#44452); +#44455=VERTEX_POINT('',#44453); +#44456=CARTESIAN_POINT('',(-4.045E1,1.428E1,-1.525E1)); +#44457=CARTESIAN_POINT('',(-4.045E1,1.273E1,-1.793467875173E1)); +#44458=VERTEX_POINT('',#44456); +#44459=VERTEX_POINT('',#44457); +#44460=CARTESIAN_POINT('',(-3.895E1,1.428E1,-1.525E1)); +#44461=CARTESIAN_POINT('',(-3.895E1,1.273E1,-1.793467875173E1)); +#44462=VERTEX_POINT('',#44460); +#44463=VERTEX_POINT('',#44461); +#44464=CARTESIAN_POINT('',(5.35E0,-6.42E0,-6.95E0)); +#44465=CARTESIAN_POINT('',(3.85E0,-6.42E0,-6.95E0)); +#44466=VERTEX_POINT('',#44464); +#44467=VERTEX_POINT('',#44465); +#44468=CARTESIAN_POINT('',(-4.985E1,-6.42E0,-6.95E0)); +#44469=CARTESIAN_POINT('',(-5.135E1,-6.42E0,-6.95E0)); +#44470=VERTEX_POINT('',#44468); +#44471=VERTEX_POINT('',#44469); +#44472=CARTESIAN_POINT('',(5.35E0,1.428E1,-6.95E0)); +#44473=CARTESIAN_POINT('',(3.85E0,1.428E1,-6.95E0)); +#44474=VERTEX_POINT('',#44472); +#44475=VERTEX_POINT('',#44473); +#44476=CARTESIAN_POINT('',(-3.895E1,1.428E1,-6.95E0)); +#44477=CARTESIAN_POINT('',(-4.045E1,1.428E1,-6.95E0)); +#44478=VERTEX_POINT('',#44476); +#44479=VERTEX_POINT('',#44477); +#44480=CARTESIAN_POINT('',(3.85E0,1.273E1,-8.75E0)); +#44481=VERTEX_POINT('',#44480); +#44482=CARTESIAN_POINT('',(5.35E0,1.273E1,-8.75E0)); +#44483=VERTEX_POINT('',#44482); +#44484=CARTESIAN_POINT('',(5.35E0,-4.87E0,-8.75E0)); +#44485=VERTEX_POINT('',#44484); +#44486=CARTESIAN_POINT('',(3.85E0,-4.87E0,-8.75E0)); +#44487=VERTEX_POINT('',#44486); +#44488=CARTESIAN_POINT('',(-4.045E1,1.273E1,-8.75E0)); +#44489=VERTEX_POINT('',#44488); +#44490=CARTESIAN_POINT('',(-3.895E1,1.273E1,-8.75E0)); +#44491=VERTEX_POINT('',#44490); +#44492=CARTESIAN_POINT('',(-4.985E1,-4.87E0,-8.75E0)); +#44493=VERTEX_POINT('',#44492); +#44494=CARTESIAN_POINT('',(-5.135E1,-4.87E0,-8.75E0)); +#44495=VERTEX_POINT('',#44494); +#44496=CARTESIAN_POINT('',(5.35E0,-6.42E0,-1.525E1)); +#44497=VERTEX_POINT('',#44496); +#44498=CARTESIAN_POINT('',(3.85E0,-6.42E0,-1.525E1)); +#44499=VERTEX_POINT('',#44498); +#44500=CARTESIAN_POINT('',(-4.985E1,-6.42E0,-1.525E1)); +#44501=VERTEX_POINT('',#44500); +#44502=CARTESIAN_POINT('',(-5.135E1,-6.42E0,-1.525E1)); +#44503=VERTEX_POINT('',#44502); +#44504=CARTESIAN_POINT('',(5.35E0,-4.87E0,-1.794814814815E1)); +#44505=VERTEX_POINT('',#44504); +#44506=CARTESIAN_POINT('',(3.85E0,-4.87E0,-1.794814814815E1)); +#44507=VERTEX_POINT('',#44506); +#44508=CARTESIAN_POINT('',(-4.985E1,-4.87E0,-1.794814814815E1)); +#44509=VERTEX_POINT('',#44508); +#44510=CARTESIAN_POINT('',(-5.135E1,-4.87E0,-1.794814814815E1)); +#44511=VERTEX_POINT('',#44510); +#44512=CARTESIAN_POINT('',(2.52E1,-4.87E0,-8.75E0)); +#44513=CARTESIAN_POINT('',(2.52E1,-6.42E0,-6.95E0)); +#44514=VERTEX_POINT('',#44512); +#44515=VERTEX_POINT('',#44513); +#44516=CARTESIAN_POINT('',(2.52E1,1.428E1,-6.95E0)); +#44517=CARTESIAN_POINT('',(2.52E1,1.273E1,-8.75E0)); +#44518=VERTEX_POINT('',#44516); +#44519=VERTEX_POINT('',#44517); +#44520=CARTESIAN_POINT('',(2.52E1,-6.42E0,-1.525E1)); +#44521=VERTEX_POINT('',#44520); +#44522=CARTESIAN_POINT('',(2.52E1,-4.87E0,-1.794814814815E1)); +#44523=VERTEX_POINT('',#44522); +#44524=CARTESIAN_POINT('',(5.17E1,-6.42E0,-6.95E0)); +#44525=CARTESIAN_POINT('',(5.02E1,-6.42E0,-6.95E0)); +#44526=VERTEX_POINT('',#44524); +#44527=VERTEX_POINT('',#44525); +#44528=CARTESIAN_POINT('',(2.67E1,-6.42E0,-6.95E0)); +#44529=VERTEX_POINT('',#44528); +#44530=CARTESIAN_POINT('',(5.17E1,1.428E1,-6.95E0)); +#44531=CARTESIAN_POINT('',(5.02E1,1.428E1,-6.95E0)); +#44532=VERTEX_POINT('',#44530); +#44533=VERTEX_POINT('',#44531); +#44534=CARTESIAN_POINT('',(2.67E1,1.428E1,-6.95E0)); +#44535=VERTEX_POINT('',#44534); +#44536=CARTESIAN_POINT('',(2.67E1,-4.87E0,-8.75E0)); +#44537=VERTEX_POINT('',#44536); +#44538=CARTESIAN_POINT('',(5.17E1,-4.87E0,-8.75E0)); +#44539=VERTEX_POINT('',#44538); +#44540=CARTESIAN_POINT('',(5.02E1,-4.87E0,-8.75E0)); +#44541=VERTEX_POINT('',#44540); +#44542=CARTESIAN_POINT('',(2.67E1,1.273E1,-8.75E0)); +#44543=VERTEX_POINT('',#44542); +#44544=CARTESIAN_POINT('',(5.02E1,1.273E1,-8.75E0)); +#44545=VERTEX_POINT('',#44544); +#44546=CARTESIAN_POINT('',(5.17E1,1.273E1,-8.75E0)); +#44547=VERTEX_POINT('',#44546); +#44548=CARTESIAN_POINT('',(2.67E1,-6.42E0,-1.525E1)); +#44549=VERTEX_POINT('',#44548); +#44550=CARTESIAN_POINT('',(5.17E1,-6.42E0,-1.525E1)); +#44551=VERTEX_POINT('',#44550); +#44552=CARTESIAN_POINT('',(5.02E1,-6.42E0,-1.525E1)); +#44553=VERTEX_POINT('',#44552); +#44554=CARTESIAN_POINT('',(2.67E1,-4.87E0,-1.794814814815E1)); +#44555=VERTEX_POINT('',#44554); +#44556=CARTESIAN_POINT('',(5.17E1,-4.87E0,-1.794814814815E1)); +#44557=VERTEX_POINT('',#44556); +#44558=CARTESIAN_POINT('',(5.02E1,-4.87E0,-1.794814814815E1)); +#44559=VERTEX_POINT('',#44558); +#44560=CARTESIAN_POINT('',(-5.75E1,-1.0625E0,-1.085E1)); +#44561=CARTESIAN_POINT('',(-5.75E1,-1.6775E0,-1.085E1)); +#44562=VERTEX_POINT('',#44560); +#44563=VERTEX_POINT('',#44561); +#44564=CARTESIAN_POINT('',(-5.47E1,-1.6775E0,-1.085E1)); +#44565=VERTEX_POINT('',#44564); +#44566=CARTESIAN_POINT('',(-5.47E1,-1.0625E0,-1.085E1)); +#44567=VERTEX_POINT('',#44566); +#44568=CARTESIAN_POINT('',(-5.1E1,-1.0625E0,-1.085E1)); +#44569=CARTESIAN_POINT('',(-5.1E1,-1.6775E0,-1.085E1)); +#44570=VERTEX_POINT('',#44568); +#44571=VERTEX_POINT('',#44569); +#44572=CARTESIAN_POINT('',(-4.82E1,-1.6775E0,-1.085E1)); +#44573=VERTEX_POINT('',#44572); +#44574=CARTESIAN_POINT('',(-4.82E1,-1.0625E0,-1.085E1)); +#44575=VERTEX_POINT('',#44574); +#44576=CARTESIAN_POINT('',(-5.425E1,4.9375E0,-9.35E0)); +#44577=CARTESIAN_POINT('',(-5.425E1,4.3225E0,-9.35E0)); +#44578=VERTEX_POINT('',#44576); +#44579=VERTEX_POINT('',#44577); +#44580=CARTESIAN_POINT('',(-5.145E1,4.3225E0,-9.35E0)); +#44581=VERTEX_POINT('',#44580); +#44582=CARTESIAN_POINT('',(-5.145E1,4.9375E0,-9.35E0)); +#44583=VERTEX_POINT('',#44582); +#44584=CARTESIAN_POINT('',(-4.775E1,4.9375E0,-9.35E0)); +#44585=CARTESIAN_POINT('',(-4.775E1,4.3225E0,-9.35E0)); +#44586=VERTEX_POINT('',#44584); +#44587=VERTEX_POINT('',#44585); +#44588=CARTESIAN_POINT('',(-4.495E1,4.3225E0,-9.35E0)); +#44589=VERTEX_POINT('',#44588); +#44590=CARTESIAN_POINT('',(-4.495E1,4.9375E0,-9.35E0)); +#44591=VERTEX_POINT('',#44590); +#44592=CARTESIAN_POINT('',(5.891949390335E1,1.35E1,-8.5E0)); +#44593=VERTEX_POINT('',#44592); +#44594=CARTESIAN_POINT('',(2.857382092959E1,1.62E1,-1.8E0)); +#44595=CARTESIAN_POINT('',(2.365E1,1.62E1,-1.8E0)); +#44596=VERTEX_POINT('',#44594); +#44597=VERTEX_POINT('',#44595); +#44598=CARTESIAN_POINT('',(-5.60225E1,-7.E-2,-1.98E1)); +#44599=CARTESIAN_POINT('',(-5.60225E1,1.93E0,-1.98E1)); +#44600=VERTEX_POINT('',#44598); +#44601=VERTEX_POINT('',#44599); +#44602=CARTESIAN_POINT('',(-5.626125E1,1.93E0,-1.98E1)); +#44603=VERTEX_POINT('',#44602); +#44604=CARTESIAN_POINT('',(-5.65E1,1.632127695084E0,-1.98E1)); +#44605=VERTEX_POINT('',#44604); +#44606=CARTESIAN_POINT('',(-5.65E1,1.291702084541E0,-1.98E1)); +#44607=VERTEX_POINT('',#44606); +#44608=CARTESIAN_POINT('',(-5.626125E1,1.589574508667E0,-1.98E1)); +#44609=VERTEX_POINT('',#44608); +#44610=CARTESIAN_POINT('',(-5.626125E1,-7.E-2,-1.98E1)); +#44611=VERTEX_POINT('',#44610); +#44612=CARTESIAN_POINT('',(-4.2405375E1,-3.139148932397E0,-1.98E1)); +#44613=CARTESIAN_POINT('',(-4.236145831239E1,-3.030638307035E0,-1.98E1)); +#44614=VERTEX_POINT('',#44612); +#44615=VERTEX_POINT('',#44613); +#44616=CARTESIAN_POINT('',(-4.23395E1,-2.922127656341E0,-1.98E1)); +#44617=VERTEX_POINT('',#44616); +#44618=CARTESIAN_POINT('',(-4.23395E1,-2.777446822524E0,-1.98E1)); +#44619=VERTEX_POINT('',#44618); +#44620=CARTESIAN_POINT('',(-4.236145831239E1,-2.632765938044E0,-1.98E1)); +#44621=VERTEX_POINT('',#44620); +#44622=CARTESIAN_POINT('',(-4.2405375E1,-2.524255312681E0,-1.98E1)); +#44623=VERTEX_POINT('',#44622); +#44624=CARTESIAN_POINT('',(-4.251516668761E1,-2.451914895773E0,-1.98E1)); +#44625=VERTEX_POINT('',#44624); +#44626=CARTESIAN_POINT('',(-4.242733331239E1,-2.343404270411E0,-1.98E1)); +#44627=VERTEX_POINT('',#44626); +#44628=CARTESIAN_POINT('',(-4.238341668761E1,-2.234893645048E0,-1.98E1)); +#44629=VERTEX_POINT('',#44628); +#44630=CARTESIAN_POINT('',(-4.236145831239E1,-2.090212811232E0,-1.98E1)); +#44631=VERTEX_POINT('',#44630); +#44632=CARTESIAN_POINT('',(-4.236145831239E1,-2.017872292995E0,-1.98E1)); +#44633=VERTEX_POINT('',#44632); +#44634=CARTESIAN_POINT('',(-4.238341668761E1,-1.873191459179E0,-1.98E1)); +#44635=VERTEX_POINT('',#44634); +#44636=CARTESIAN_POINT('',(-4.247125E1,-1.728510625362E0,-1.98E1)); +#44637=VERTEX_POINT('',#44636); +#44638=CARTESIAN_POINT('',(-4.255908331239E1,-1.656170208454E0,-1.98E1)); +#44639=VERTEX_POINT('',#44638); +#44640=CARTESIAN_POINT('',(-4.271279165620E1,-1.62E0,-1.98E1)); +#44641=VERTEX_POINT('',#44640); +#44642=CARTESIAN_POINT('',(-4.275670834380E1,-1.62E0,-1.98E1)); +#44643=VERTEX_POINT('',#44642); +#44644=CARTESIAN_POINT('',(-4.291041667190E1,-1.656170208454E0,-1.98E1)); +#44645=VERTEX_POINT('',#44644); +#44646=CARTESIAN_POINT('',(-4.299825E1,-1.728510625362E0,-1.98E1)); +#44647=VERTEX_POINT('',#44646); +#44648=CARTESIAN_POINT('',(-4.308608333202E1,-1.873191459179E0,-1.98E1)); +#44649=VERTEX_POINT('',#44648); +#44650=CARTESIAN_POINT('',(-4.310804166601E1,-2.017872292995E0,-1.98E1)); +#44651=VERTEX_POINT('',#44650); +#44652=CARTESIAN_POINT('',(-4.299825E1,-2.017872292995E0,-1.98E1)); +#44653=VERTEX_POINT('',#44652); +#44654=CARTESIAN_POINT('',(-4.295433332810E1,-1.909361667633E0,-1.98E1)); +#44655=VERTEX_POINT('',#44654); +#44656=CARTESIAN_POINT('',(-4.288845832810E1,-1.837021250725E0,-1.98E1)); +#44657=VERTEX_POINT('',#44656); +#44658=CARTESIAN_POINT('',(-4.277866665620E1,-1.800851042271E0,-1.98E1)); +#44659=VERTEX_POINT('',#44658); +#44660=CARTESIAN_POINT('',(-4.269083334380E1,-1.800851042271E0,-1.98E1)); +#44661=VERTEX_POINT('',#44660); +#44662=CARTESIAN_POINT('',(-4.258104168761E1,-1.837021250725E0,-1.98E1)); +#44663=VERTEX_POINT('',#44662); +#44664=CARTESIAN_POINT('',(-4.251516668761E1,-1.909361667633E0,-1.98E1)); +#44665=VERTEX_POINT('',#44664); +#44666=CARTESIAN_POINT('',(-4.247125E1,-2.017872292995E0,-1.98E1)); +#44667=VERTEX_POINT('',#44666); +#44668=CARTESIAN_POINT('',(-4.247125E1,-2.090212811232E0,-1.98E1)); +#44669=VERTEX_POINT('',#44668); +#44670=CARTESIAN_POINT('',(-4.251516668761E1,-2.234893645048E0,-1.98E1)); +#44671=VERTEX_POINT('',#44670); +#44672=CARTESIAN_POINT('',(-4.255908331239E1,-2.307234061956E0,-1.98E1)); +#44673=VERTEX_POINT('',#44672); +#44674=CARTESIAN_POINT('',(-4.269083334380E1,-2.379574478865E0,-1.98E1)); +#44675=VERTEX_POINT('',#44674); +#44676=CARTESIAN_POINT('',(-4.277866665620E1,-2.379574478865E0,-1.98E1)); +#44677=VERTEX_POINT('',#44676); +#44678=CARTESIAN_POINT('',(-4.277866665620E1,-2.524255312681E0,-1.98E1)); +#44679=VERTEX_POINT('',#44678); +#44680=CARTESIAN_POINT('',(-4.269083334380E1,-2.524255312681E0,-1.98E1)); +#44681=VERTEX_POINT('',#44680); +#44682=CARTESIAN_POINT('',(-4.255908331239E1,-2.560425521135E0,-1.98E1)); +#44683=VERTEX_POINT('',#44682); +#44684=CARTESIAN_POINT('',(-4.249320831239E1,-2.632765938044E0,-1.98E1)); +#44685=VERTEX_POINT('',#44684); +#44686=CARTESIAN_POINT('',(-4.244929168761E1,-2.777446822524E0,-1.98E1)); +#44687=VERTEX_POINT('',#44686); +#44688=CARTESIAN_POINT('',(-4.244929168761E1,-2.922127656341E0,-1.98E1)); +#44689=VERTEX_POINT('',#44688); +#44690=CARTESIAN_POINT('',(-4.247125E1,-2.994468073249E0,-1.98E1)); +#44691=VERTEX_POINT('',#44690); +#44692=CARTESIAN_POINT('',(-4.251516668761E1,-3.066808515489E0,-1.98E1)); +#44693=VERTEX_POINT('',#44692); +#44694=CARTESIAN_POINT('',(-4.255908331239E1,-3.102978723943E0,-1.98E1)); +#44695=VERTEX_POINT('',#44694); +#44696=CARTESIAN_POINT('',(-4.2668875E1,-3.139148932397E0,-1.98E1)); +#44697=VERTEX_POINT('',#44696); +#44698=CARTESIAN_POINT('',(-4.2800625E1,-3.139148932397E0,-1.98E1)); +#44699=VERTEX_POINT('',#44698); +#44700=CARTESIAN_POINT('',(-4.291041667190E1,-3.102978723943E0,-1.98E1)); +#44701=VERTEX_POINT('',#44700); +#44702=CARTESIAN_POINT('',(-4.295433332810E1,-3.066808515489E0,-1.98E1)); +#44703=VERTEX_POINT('',#44702); +#44704=CARTESIAN_POINT('',(-4.299825E1,-2.994468073249E0,-1.98E1)); +#44705=VERTEX_POINT('',#44704); +#44706=CARTESIAN_POINT('',(-4.302020833595E1,-2.922127656341E0,-1.98E1)); +#44707=VERTEX_POINT('',#44706); +#44708=CARTESIAN_POINT('',(-4.313E1,-2.922127656341E0,-1.98E1)); +#44709=VERTEX_POINT('',#44708); +#44710=CARTESIAN_POINT('',(-4.310804166601E1,-3.030638307035E0,-1.98E1)); +#44711=VERTEX_POINT('',#44710); +#44712=CARTESIAN_POINT('',(-4.3064125E1,-3.139148932397E0,-1.98E1)); +#44713=VERTEX_POINT('',#44712); +#44714=CARTESIAN_POINT('',(-4.299825E1,-3.211489361972E0,-1.98E1)); +#44715=VERTEX_POINT('',#44714); +#44716=CARTESIAN_POINT('',(-4.291041667190E1,-3.283829788379E0,-1.98E1)); +#44717=VERTEX_POINT('',#44716); +#44718=CARTESIAN_POINT('',(-4.282258334380E1,-3.32E0,-1.98E1)); +#44719=VERTEX_POINT('',#44718); +#44720=CARTESIAN_POINT('',(-4.264691665620E1,-3.32E0,-1.98E1)); +#44721=VERTEX_POINT('',#44720); +#44722=CARTESIAN_POINT('',(-4.255908331239E1,-3.283829788379E0,-1.98E1)); +#44723=VERTEX_POINT('',#44722); +#44724=CARTESIAN_POINT('',(-4.247125E1,-3.211489361972E0,-1.98E1)); +#44725=VERTEX_POINT('',#44724); +#44726=CARTESIAN_POINT('',(-4.1093E1,4.3E-1,-1.98E1)); +#44727=CARTESIAN_POINT('',(-4.1093E1,2.23E0,-1.98E1)); +#44728=VERTEX_POINT('',#44726); +#44729=VERTEX_POINT('',#44727); +#44730=CARTESIAN_POINT('',(-4.11965E1,2.23E0,-1.98E1)); +#44731=VERTEX_POINT('',#44730); +#44732=CARTESIAN_POINT('',(-4.13E1,1.961914925575E0,-1.98E1)); +#44733=VERTEX_POINT('',#44732); +#44734=CARTESIAN_POINT('',(-4.13E1,1.655531876087E0,-1.98E1)); +#44735=VERTEX_POINT('',#44734); +#44736=CARTESIAN_POINT('',(-4.11965E1,1.923617057800E0,-1.98E1)); +#44737=VERTEX_POINT('',#44736); +#44738=CARTESIAN_POINT('',(-4.11965E1,4.3E-1,-1.98E1)); +#44739=VERTEX_POINT('',#44738); +#44740=CARTESIAN_POINT('',(-4.083425E1,1.234255330563E0,-1.98E1)); +#44741=CARTESIAN_POINT('',(-4.076525001645E1,1.349148933887E0,-1.98E1)); +#44742=VERTEX_POINT('',#44740); +#44743=VERTEX_POINT('',#44741); +#44744=CARTESIAN_POINT('',(-4.069624998355E1,1.387446801662E0,-1.98E1)); +#44745=VERTEX_POINT('',#44744); +#44746=CARTESIAN_POINT('',(-4.059274998355E1,1.387446801662E0,-1.98E1)); +#44747=VERTEX_POINT('',#44746); +#44748=CARTESIAN_POINT('',(-4.052375E1,1.349148933887E0,-1.98E1)); +#44749=VERTEX_POINT('',#44748); +#44750=CARTESIAN_POINT('',(-4.0472E1,1.272553198338E0,-1.98E1)); +#44751=VERTEX_POINT('',#44750); +#44752=CARTESIAN_POINT('',(-4.043750003290E1,1.157659595013E0,-1.98E1)); +#44753=VERTEX_POINT('',#44752); +#44754=CARTESIAN_POINT('',(-4.042025E1,1.042765938044E0,-1.98E1)); +#44755=VERTEX_POINT('',#44754); +#44756=CARTESIAN_POINT('',(-4.042025E1,9.661702024937E-1,-1.98E1)); +#44757=VERTEX_POINT('',#44756); +#44758=CARTESIAN_POINT('',(-4.043750003290E1,8.512765991688E-1,-1.98E1)); +#44759=VERTEX_POINT('',#44758); +#44760=CARTESIAN_POINT('',(-4.0472E1,7.363829690218E-1,-1.98E1)); +#44761=VERTEX_POINT('',#44760); +#44762=CARTESIAN_POINT('',(-4.052375E1,6.597872334719E-1,-1.98E1)); +#44763=VERTEX_POINT('',#44762); +#44764=CARTESIAN_POINT('',(-4.059274998355E1,6.214893656969E-1,-1.98E1)); +#44765=VERTEX_POINT('',#44764); +#44766=CARTESIAN_POINT('',(-4.069624998355E1,6.214893656969E-1,-1.98E1)); +#44767=VERTEX_POINT('',#44766); +#44768=CARTESIAN_POINT('',(-4.076525001645E1,6.597872334719E-1,-1.98E1)); +#44769=VERTEX_POINT('',#44768); +#44770=CARTESIAN_POINT('',(-4.081700001645E1,7.363829690218E-1,-1.98E1)); +#44771=VERTEX_POINT('',#44770); +#44772=CARTESIAN_POINT('',(-4.085149998355E1,8.895744669437E-1,-1.98E1)); +#44773=VERTEX_POINT('',#44772); +#44774=CARTESIAN_POINT('',(-4.095500000823E1,8.895744669437E-1,-1.98E1)); +#44775=VERTEX_POINT('',#44774); +#44776=CARTESIAN_POINT('',(-4.092049999177E1,7.363829690218E-1,-1.98E1)); +#44777=VERTEX_POINT('',#44776); +#44778=CARTESIAN_POINT('',(-4.086875001645E1,5.831914845109E-1,-1.98E1)); +#44779=VERTEX_POINT('',#44778); +#44780=CARTESIAN_POINT('',(-4.07825E1,4.682978711277E-1,-1.98E1)); +#44781=VERTEX_POINT('',#44780); +#44782=CARTESIAN_POINT('',(-4.069624998355E1,4.3E-1,-1.98E1)); +#44783=VERTEX_POINT('',#44782); +#44784=CARTESIAN_POINT('',(-4.059274998355E1,4.3E-1,-1.98E1)); +#44785=VERTEX_POINT('',#44784); +#44786=CARTESIAN_POINT('',(-4.050650001645E1,4.682978711277E-1,-1.98E1)); +#44787=VERTEX_POINT('',#44786); +#44788=CARTESIAN_POINT('',(-4.042025E1,5.831914845109E-1,-1.98E1)); +#44789=VERTEX_POINT('',#44788); +#44790=CARTESIAN_POINT('',(-4.03685E1,7.363829690218E-1,-1.98E1)); +#44791=VERTEX_POINT('',#44790); +#44792=CARTESIAN_POINT('',(-4.033400003290E1,9.278723347187E-1,-1.98E1)); +#44793=VERTEX_POINT('',#44792); +#44794=CARTESIAN_POINT('',(-4.033400003290E1,1.081063805819E0,-1.98E1)); +#44795=VERTEX_POINT('',#44794); +#44796=CARTESIAN_POINT('',(-4.03685E1,1.272553198338E0,-1.98E1)); +#44797=VERTEX_POINT('',#44796); +#44798=CARTESIAN_POINT('',(-4.042025E1,1.425744669437E0,-1.98E1)); +#44799=VERTEX_POINT('',#44798); +#44800=CARTESIAN_POINT('',(-4.050650001645E1,1.540638272762E0,-1.98E1)); +#44801=VERTEX_POINT('',#44800); +#44802=CARTESIAN_POINT('',(-4.059274998355E1,1.578936140537E0,-1.98E1)); +#44803=VERTEX_POINT('',#44802); +#44804=CARTESIAN_POINT('',(-4.069624998355E1,1.578936140537E0,-1.98E1)); +#44805=VERTEX_POINT('',#44804); +#44806=CARTESIAN_POINT('',(-4.07825E1,1.540638272762E0,-1.98E1)); +#44807=VERTEX_POINT('',#44806); +#44808=CARTESIAN_POINT('',(-4.086875001645E1,1.425744669437E0,-1.98E1)); +#44809=VERTEX_POINT('',#44808); +#44810=CARTESIAN_POINT('',(-4.086875001645E1,2.038510661125E0,-1.98E1)); +#44811=VERTEX_POINT('',#44810); +#44812=CARTESIAN_POINT('',(-4.03685E1,2.038510661125E0,-1.98E1)); +#44813=VERTEX_POINT('',#44812); +#44814=CARTESIAN_POINT('',(-4.03685E1,2.23E0,-1.98E1)); +#44815=VERTEX_POINT('',#44814); +#44816=CARTESIAN_POINT('',(-4.095500000823E1,2.23E0,-1.98E1)); +#44817=VERTEX_POINT('',#44816); +#44818=CARTESIAN_POINT('',(-4.095500000823E1,1.234255330563E0,-1.98E1)); +#44819=VERTEX_POINT('',#44818); +#44820=CARTESIAN_POINT('',(-5.345E1,1.742765961885E0,-1.98E1)); +#44821=CARTESIAN_POINT('',(-5.345E1,1.53E0,-1.98E1)); +#44822=VERTEX_POINT('',#44820); +#44823=VERTEX_POINT('',#44821); +#44824=CARTESIAN_POINT('',(-5.291E1,1.53E0,-1.98E1)); +#44825=VERTEX_POINT('',#44824); +#44826=CARTESIAN_POINT('',(-5.291E1,1.742765961885E0,-1.98E1)); +#44827=VERTEX_POINT('',#44826); +#44828=CARTESIAN_POINT('',(-5.332999999642E1,1.742765961885E0,-1.98E1)); +#44829=VERTEX_POINT('',#44828); +#44830=CARTESIAN_POINT('',(-5.2955E1,2.678936152458E0,-1.98E1)); +#44831=VERTEX_POINT('',#44830); +#44832=CARTESIAN_POINT('',(-5.292499998569E1,2.806595711708E0,-1.98E1)); +#44833=VERTEX_POINT('',#44832); +#44834=CARTESIAN_POINT('',(-5.291E1,2.976808457375E0,-1.98E1)); +#44835=VERTEX_POINT('',#44834); +#44836=CARTESIAN_POINT('',(-5.291E1,3.061914949417E0,-1.98E1)); +#44837=VERTEX_POINT('',#44836); +#44838=CARTESIAN_POINT('',(-5.292499998569E1,3.232127695084E0,-1.98E1)); +#44839=VERTEX_POINT('',#44838); +#44840=CARTESIAN_POINT('',(-5.298500001431E1,3.402340440750E0,-1.98E1)); +#44841=VERTEX_POINT('',#44840); +#44842=CARTESIAN_POINT('',(-5.3045E1,3.487446813583E0,-1.98E1)); +#44843=VERTEX_POINT('',#44842); +#44844=CARTESIAN_POINT('',(-5.3135E1,3.53E0,-1.98E1)); +#44845=VERTEX_POINT('',#44844); +#44846=CARTESIAN_POINT('',(-5.319500000715E1,3.53E0,-1.98E1)); +#44847=VERTEX_POINT('',#44846); +#44848=CARTESIAN_POINT('',(-5.330000000358E1,3.487446813583E0,-1.98E1)); +#44849=VERTEX_POINT('',#44848); +#44850=CARTESIAN_POINT('',(-5.336E1,3.402340440750E0,-1.98E1)); +#44851=VERTEX_POINT('',#44850); +#44852=CARTESIAN_POINT('',(-5.341999999911E1,3.232127695084E0,-1.98E1)); +#44853=VERTEX_POINT('',#44852); +#44854=CARTESIAN_POINT('',(-5.343499999955E1,3.061914949417E0,-1.98E1)); +#44855=VERTEX_POINT('',#44854); +#44856=CARTESIAN_POINT('',(-5.336E1,3.061914949417E0,-1.98E1)); +#44857=VERTEX_POINT('',#44856); +#44858=CARTESIAN_POINT('',(-5.332999999642E1,3.189574508667E0,-1.98E1)); +#44859=VERTEX_POINT('',#44858); +#44860=CARTESIAN_POINT('',(-5.328499999642E1,3.274680881500E0,-1.98E1)); +#44861=VERTEX_POINT('',#44860); +#44862=CARTESIAN_POINT('',(-5.320999999285E1,3.317234067917E0,-1.98E1)); +#44863=VERTEX_POINT('',#44862); +#44864=CARTESIAN_POINT('',(-5.315000000715E1,3.317234067917E0,-1.98E1)); +#44865=VERTEX_POINT('',#44864); +#44866=CARTESIAN_POINT('',(-5.307500001431E1,3.274680881500E0,-1.98E1)); +#44867=VERTEX_POINT('',#44866); +#44868=CARTESIAN_POINT('',(-5.303000001431E1,3.189574508667E0,-1.98E1)); +#44869=VERTEX_POINT('',#44868); +#44870=CARTESIAN_POINT('',(-5.3E1,3.061914949417E0,-1.98E1)); +#44871=VERTEX_POINT('',#44870); +#44872=CARTESIAN_POINT('',(-5.3E1,2.976808457375E0,-1.98E1)); +#44873=VERTEX_POINT('',#44872); +#44874=CARTESIAN_POINT('',(-5.303000001431E1,2.806595711708E0,-1.98E1)); +#44875=VERTEX_POINT('',#44874); +#44876=CARTESIAN_POINT('',(-5.225000002861E1,3.317234067917E0,-1.98E1)); +#44877=CARTESIAN_POINT('',(-5.225000002861E1,3.53E0,-1.98E1)); +#44878=VERTEX_POINT('',#44876); +#44879=VERTEX_POINT('',#44877); +#44880=CARTESIAN_POINT('',(-5.278999998569E1,3.53E0,-1.98E1)); +#44881=VERTEX_POINT('',#44880); +#44882=CARTESIAN_POINT('',(-5.278999998569E1,3.317234067917E0,-1.98E1)); +#44883=VERTEX_POINT('',#44882); +#44884=CARTESIAN_POINT('',(-5.234000002861E1,3.317234067917E0,-1.98E1)); +#44885=VERTEX_POINT('',#44884); +#44886=CARTESIAN_POINT('',(-5.264E1,1.53E0,-1.98E1)); +#44887=VERTEX_POINT('',#44886); +#44888=CARTESIAN_POINT('',(-5.255E1,1.53E0,-1.98E1)); +#44889=VERTEX_POINT('',#44888); +#44890=CARTESIAN_POINT('',(-5.60225E1,-7.E-2,-1.995E1)); +#44891=VERTEX_POINT('',#44890); +#44892=CARTESIAN_POINT('',(-5.60225E1,1.93E0,-1.995E1)); +#44893=VERTEX_POINT('',#44892); +#44894=CARTESIAN_POINT('',(-5.626125E1,1.93E0,-1.995E1)); +#44895=VERTEX_POINT('',#44894); +#44896=CARTESIAN_POINT('',(-5.65E1,1.632127695084E0,-1.995E1)); +#44897=VERTEX_POINT('',#44896); +#44898=CARTESIAN_POINT('',(-5.65E1,1.291702084541E0,-1.995E1)); +#44899=VERTEX_POINT('',#44898); +#44900=CARTESIAN_POINT('',(-5.626125E1,1.589574508667E0,-1.995E1)); +#44901=VERTEX_POINT('',#44900); +#44902=CARTESIAN_POINT('',(-5.626125E1,-7.E-2,-1.995E1)); +#44903=VERTEX_POINT('',#44902); +#44904=CARTESIAN_POINT('',(-4.2405375E1,-3.139148932397E0,-1.995E1)); +#44905=VERTEX_POINT('',#44904); +#44906=CARTESIAN_POINT('',(-4.236145831239E1,-3.030638307035E0,-1.995E1)); +#44907=VERTEX_POINT('',#44906); +#44908=CARTESIAN_POINT('',(-4.23395E1,-2.922127656341E0,-1.995E1)); +#44909=VERTEX_POINT('',#44908); +#44910=CARTESIAN_POINT('',(-4.23395E1,-2.777446822524E0,-1.995E1)); +#44911=VERTEX_POINT('',#44910); +#44912=CARTESIAN_POINT('',(-4.236145831239E1,-2.632765938044E0,-1.995E1)); +#44913=VERTEX_POINT('',#44912); +#44914=CARTESIAN_POINT('',(-4.2405375E1,-2.524255312681E0,-1.995E1)); +#44915=VERTEX_POINT('',#44914); +#44916=CARTESIAN_POINT('',(-4.251516668761E1,-2.451914895773E0,-1.995E1)); +#44917=VERTEX_POINT('',#44916); +#44918=CARTESIAN_POINT('',(-4.242733331239E1,-2.343404270411E0,-1.995E1)); +#44919=VERTEX_POINT('',#44918); +#44920=CARTESIAN_POINT('',(-4.238341668761E1,-2.234893645048E0,-1.995E1)); +#44921=VERTEX_POINT('',#44920); +#44922=CARTESIAN_POINT('',(-4.236145831239E1,-2.090212811232E0,-1.995E1)); +#44923=VERTEX_POINT('',#44922); +#44924=CARTESIAN_POINT('',(-4.236145831239E1,-2.017872292995E0,-1.995E1)); +#44925=VERTEX_POINT('',#44924); +#44926=CARTESIAN_POINT('',(-4.238341668761E1,-1.873191459179E0,-1.995E1)); +#44927=VERTEX_POINT('',#44926); +#44928=CARTESIAN_POINT('',(-4.247125E1,-1.728510625362E0,-1.995E1)); +#44929=VERTEX_POINT('',#44928); +#44930=CARTESIAN_POINT('',(-4.255908331239E1,-1.656170208454E0,-1.995E1)); +#44931=VERTEX_POINT('',#44930); +#44932=CARTESIAN_POINT('',(-4.271279165620E1,-1.62E0,-1.995E1)); +#44933=VERTEX_POINT('',#44932); +#44934=CARTESIAN_POINT('',(-4.275670834380E1,-1.62E0,-1.995E1)); +#44935=VERTEX_POINT('',#44934); +#44936=CARTESIAN_POINT('',(-4.291041667190E1,-1.656170208454E0,-1.995E1)); +#44937=VERTEX_POINT('',#44936); +#44938=CARTESIAN_POINT('',(-4.299825E1,-1.728510625362E0,-1.995E1)); +#44939=VERTEX_POINT('',#44938); +#44940=CARTESIAN_POINT('',(-4.308608333202E1,-1.873191459179E0,-1.995E1)); +#44941=VERTEX_POINT('',#44940); +#44942=CARTESIAN_POINT('',(-4.310804166601E1,-2.017872292995E0,-1.995E1)); +#44943=VERTEX_POINT('',#44942); +#44944=CARTESIAN_POINT('',(-4.299825E1,-2.017872292995E0,-1.995E1)); +#44945=VERTEX_POINT('',#44944); +#44946=CARTESIAN_POINT('',(-4.295433332810E1,-1.909361667633E0,-1.995E1)); +#44947=VERTEX_POINT('',#44946); +#44948=CARTESIAN_POINT('',(-4.288845832810E1,-1.837021250725E0,-1.995E1)); +#44949=VERTEX_POINT('',#44948); +#44950=CARTESIAN_POINT('',(-4.277866665620E1,-1.800851042271E0,-1.995E1)); +#44951=VERTEX_POINT('',#44950); +#44952=CARTESIAN_POINT('',(-4.269083334380E1,-1.800851042271E0,-1.995E1)); +#44953=VERTEX_POINT('',#44952); +#44954=CARTESIAN_POINT('',(-4.258104168761E1,-1.837021250725E0,-1.995E1)); +#44955=VERTEX_POINT('',#44954); +#44956=CARTESIAN_POINT('',(-4.251516668761E1,-1.909361667633E0,-1.995E1)); +#44957=VERTEX_POINT('',#44956); +#44958=CARTESIAN_POINT('',(-4.247125E1,-2.017872292995E0,-1.995E1)); +#44959=VERTEX_POINT('',#44958); +#44960=CARTESIAN_POINT('',(-4.247125E1,-2.090212811232E0,-1.995E1)); +#44961=VERTEX_POINT('',#44960); +#44962=CARTESIAN_POINT('',(-4.251516668761E1,-2.234893645048E0,-1.995E1)); +#44963=VERTEX_POINT('',#44962); +#44964=CARTESIAN_POINT('',(-4.255908331239E1,-2.307234061956E0,-1.995E1)); +#44965=VERTEX_POINT('',#44964); +#44966=CARTESIAN_POINT('',(-4.269083334380E1,-2.379574478865E0,-1.995E1)); +#44967=VERTEX_POINT('',#44966); +#44968=CARTESIAN_POINT('',(-4.277866665620E1,-2.379574478865E0,-1.995E1)); +#44969=VERTEX_POINT('',#44968); +#44970=CARTESIAN_POINT('',(-4.277866665620E1,-2.524255312681E0,-1.995E1)); +#44971=VERTEX_POINT('',#44970); +#44972=CARTESIAN_POINT('',(-4.269083334380E1,-2.524255312681E0,-1.995E1)); +#44973=VERTEX_POINT('',#44972); +#44974=CARTESIAN_POINT('',(-4.255908331239E1,-2.560425521135E0,-1.995E1)); +#44975=VERTEX_POINT('',#44974); +#44976=CARTESIAN_POINT('',(-4.249320831239E1,-2.632765938044E0,-1.995E1)); +#44977=VERTEX_POINT('',#44976); +#44978=CARTESIAN_POINT('',(-4.244929168761E1,-2.777446822524E0,-1.995E1)); +#44979=VERTEX_POINT('',#44978); +#44980=CARTESIAN_POINT('',(-4.244929168761E1,-2.922127656341E0,-1.995E1)); +#44981=VERTEX_POINT('',#44980); +#44982=CARTESIAN_POINT('',(-4.247125E1,-2.994468073249E0,-1.995E1)); +#44983=VERTEX_POINT('',#44982); +#44984=CARTESIAN_POINT('',(-4.251516668761E1,-3.066808515489E0,-1.995E1)); +#44985=VERTEX_POINT('',#44984); +#44986=CARTESIAN_POINT('',(-4.255908331239E1,-3.102978723943E0,-1.995E1)); +#44987=VERTEX_POINT('',#44986); +#44988=CARTESIAN_POINT('',(-4.2668875E1,-3.139148932397E0,-1.995E1)); +#44989=VERTEX_POINT('',#44988); +#44990=CARTESIAN_POINT('',(-4.2800625E1,-3.139148932397E0,-1.995E1)); +#44991=VERTEX_POINT('',#44990); +#44992=CARTESIAN_POINT('',(-4.291041667190E1,-3.102978723943E0,-1.995E1)); +#44993=VERTEX_POINT('',#44992); +#44994=CARTESIAN_POINT('',(-4.295433332810E1,-3.066808515489E0,-1.995E1)); +#44995=VERTEX_POINT('',#44994); +#44996=CARTESIAN_POINT('',(-4.299825E1,-2.994468073249E0,-1.995E1)); +#44997=VERTEX_POINT('',#44996); +#44998=CARTESIAN_POINT('',(-4.302020833595E1,-2.922127656341E0,-1.995E1)); +#44999=VERTEX_POINT('',#44998); +#45000=CARTESIAN_POINT('',(-4.313E1,-2.922127656341E0,-1.995E1)); +#45001=VERTEX_POINT('',#45000); +#45002=CARTESIAN_POINT('',(-4.310804166601E1,-3.030638307035E0,-1.995E1)); +#45003=VERTEX_POINT('',#45002); +#45004=CARTESIAN_POINT('',(-4.3064125E1,-3.139148932397E0,-1.995E1)); +#45005=VERTEX_POINT('',#45004); +#45006=CARTESIAN_POINT('',(-4.299825E1,-3.211489361972E0,-1.995E1)); +#45007=VERTEX_POINT('',#45006); +#45008=CARTESIAN_POINT('',(-4.291041667190E1,-3.283829788379E0,-1.995E1)); +#45009=VERTEX_POINT('',#45008); +#45010=CARTESIAN_POINT('',(-4.282258334380E1,-3.32E0,-1.995E1)); +#45011=VERTEX_POINT('',#45010); +#45012=CARTESIAN_POINT('',(-4.264691665620E1,-3.32E0,-1.995E1)); +#45013=VERTEX_POINT('',#45012); +#45014=CARTESIAN_POINT('',(-4.255908331239E1,-3.283829788379E0,-1.995E1)); +#45015=VERTEX_POINT('',#45014); +#45016=CARTESIAN_POINT('',(-4.247125E1,-3.211489361972E0,-1.995E1)); +#45017=VERTEX_POINT('',#45016); +#45018=CARTESIAN_POINT('',(-4.1093E1,4.3E-1,-1.995E1)); +#45019=VERTEX_POINT('',#45018); +#45020=CARTESIAN_POINT('',(-4.1093E1,2.23E0,-1.995E1)); +#45021=VERTEX_POINT('',#45020); +#45022=CARTESIAN_POINT('',(-4.11965E1,2.23E0,-1.995E1)); +#45023=VERTEX_POINT('',#45022); +#45024=CARTESIAN_POINT('',(-4.13E1,1.961914925575E0,-1.995E1)); +#45025=VERTEX_POINT('',#45024); +#45026=CARTESIAN_POINT('',(-4.13E1,1.655531876087E0,-1.995E1)); +#45027=VERTEX_POINT('',#45026); +#45028=CARTESIAN_POINT('',(-4.11965E1,1.923617057800E0,-1.995E1)); +#45029=VERTEX_POINT('',#45028); +#45030=CARTESIAN_POINT('',(-4.11965E1,4.3E-1,-1.995E1)); +#45031=VERTEX_POINT('',#45030); +#45032=CARTESIAN_POINT('',(-4.083425E1,1.234255330563E0,-1.995E1)); +#45033=VERTEX_POINT('',#45032); +#45034=CARTESIAN_POINT('',(-4.076525001645E1,1.349148933887E0,-1.995E1)); +#45035=VERTEX_POINT('',#45034); +#45036=CARTESIAN_POINT('',(-4.069624998355E1,1.387446801662E0,-1.995E1)); +#45037=VERTEX_POINT('',#45036); +#45038=CARTESIAN_POINT('',(-4.059274998355E1,1.387446801662E0,-1.995E1)); +#45039=VERTEX_POINT('',#45038); +#45040=CARTESIAN_POINT('',(-4.052375E1,1.349148933887E0,-1.995E1)); +#45041=VERTEX_POINT('',#45040); +#45042=CARTESIAN_POINT('',(-4.0472E1,1.272553198338E0,-1.995E1)); +#45043=VERTEX_POINT('',#45042); +#45044=CARTESIAN_POINT('',(-4.043750003290E1,1.157659595013E0,-1.995E1)); +#45045=VERTEX_POINT('',#45044); +#45046=CARTESIAN_POINT('',(-4.042025E1,1.042765938044E0,-1.995E1)); +#45047=VERTEX_POINT('',#45046); +#45048=CARTESIAN_POINT('',(-4.042025E1,9.661702024936E-1,-1.995E1)); +#45049=VERTEX_POINT('',#45048); +#45050=CARTESIAN_POINT('',(-4.043750003290E1,8.512765991688E-1,-1.995E1)); +#45051=VERTEX_POINT('',#45050); +#45052=CARTESIAN_POINT('',(-4.0472E1,7.363829690218E-1,-1.995E1)); +#45053=VERTEX_POINT('',#45052); +#45054=CARTESIAN_POINT('',(-4.052375E1,6.597872334719E-1,-1.995E1)); +#45055=VERTEX_POINT('',#45054); +#45056=CARTESIAN_POINT('',(-4.059274998355E1,6.214893656969E-1,-1.995E1)); +#45057=VERTEX_POINT('',#45056); +#45058=CARTESIAN_POINT('',(-4.069624998355E1,6.214893656969E-1,-1.995E1)); +#45059=VERTEX_POINT('',#45058); +#45060=CARTESIAN_POINT('',(-4.076525001645E1,6.597872334719E-1,-1.995E1)); +#45061=VERTEX_POINT('',#45060); +#45062=CARTESIAN_POINT('',(-4.081700001645E1,7.363829690218E-1,-1.995E1)); +#45063=VERTEX_POINT('',#45062); +#45064=CARTESIAN_POINT('',(-4.085149998355E1,8.895744669437E-1,-1.995E1)); +#45065=VERTEX_POINT('',#45064); +#45066=CARTESIAN_POINT('',(-4.095500000823E1,8.895744669437E-1,-1.995E1)); +#45067=VERTEX_POINT('',#45066); +#45068=CARTESIAN_POINT('',(-4.092049999177E1,7.363829690217E-1,-1.995E1)); +#45069=VERTEX_POINT('',#45068); +#45070=CARTESIAN_POINT('',(-4.086875001645E1,5.831914845109E-1,-1.995E1)); +#45071=VERTEX_POINT('',#45070); +#45072=CARTESIAN_POINT('',(-4.07825E1,4.682978711277E-1,-1.995E1)); +#45073=VERTEX_POINT('',#45072); +#45074=CARTESIAN_POINT('',(-4.069624998355E1,4.3E-1,-1.995E1)); +#45075=VERTEX_POINT('',#45074); +#45076=CARTESIAN_POINT('',(-4.059274998355E1,4.3E-1,-1.995E1)); +#45077=VERTEX_POINT('',#45076); +#45078=CARTESIAN_POINT('',(-4.050650001645E1,4.682978711277E-1,-1.995E1)); +#45079=VERTEX_POINT('',#45078); +#45080=CARTESIAN_POINT('',(-4.042025E1,5.831914845109E-1,-1.995E1)); +#45081=VERTEX_POINT('',#45080); +#45082=CARTESIAN_POINT('',(-4.03685E1,7.363829690218E-1,-1.995E1)); +#45083=VERTEX_POINT('',#45082); +#45084=CARTESIAN_POINT('',(-4.033400003290E1,9.278723347187E-1,-1.995E1)); +#45085=VERTEX_POINT('',#45084); +#45086=CARTESIAN_POINT('',(-4.033400003290E1,1.081063805819E0,-1.995E1)); +#45087=VERTEX_POINT('',#45086); +#45088=CARTESIAN_POINT('',(-4.03685E1,1.272553198338E0,-1.995E1)); +#45089=VERTEX_POINT('',#45088); +#45090=CARTESIAN_POINT('',(-4.042025E1,1.425744669437E0,-1.995E1)); +#45091=VERTEX_POINT('',#45090); +#45092=CARTESIAN_POINT('',(-4.050650001645E1,1.540638272762E0,-1.995E1)); +#45093=VERTEX_POINT('',#45092); +#45094=CARTESIAN_POINT('',(-4.059274998355E1,1.578936140537E0,-1.995E1)); +#45095=VERTEX_POINT('',#45094); +#45096=CARTESIAN_POINT('',(-4.069624998355E1,1.578936140537E0,-1.995E1)); +#45097=VERTEX_POINT('',#45096); +#45098=CARTESIAN_POINT('',(-4.07825E1,1.540638272762E0,-1.995E1)); +#45099=VERTEX_POINT('',#45098); +#45100=CARTESIAN_POINT('',(-4.086875001645E1,1.425744669437E0,-1.995E1)); +#45101=VERTEX_POINT('',#45100); +#45102=CARTESIAN_POINT('',(-4.086875001645E1,2.038510661125E0,-1.995E1)); +#45103=VERTEX_POINT('',#45102); +#45104=CARTESIAN_POINT('',(-4.03685E1,2.038510661125E0,-1.995E1)); +#45105=VERTEX_POINT('',#45104); +#45106=CARTESIAN_POINT('',(-4.03685E1,2.23E0,-1.995E1)); +#45107=VERTEX_POINT('',#45106); +#45108=CARTESIAN_POINT('',(-4.095500000823E1,2.23E0,-1.995E1)); +#45109=VERTEX_POINT('',#45108); +#45110=CARTESIAN_POINT('',(-4.095500000823E1,1.234255330563E0,-1.995E1)); +#45111=VERTEX_POINT('',#45110); +#45112=CARTESIAN_POINT('',(-5.345E1,1.742765961885E0,-1.995E1)); +#45113=VERTEX_POINT('',#45112); +#45114=CARTESIAN_POINT('',(-5.345E1,1.53E0,-1.995E1)); +#45115=VERTEX_POINT('',#45114); +#45116=CARTESIAN_POINT('',(-5.291E1,1.53E0,-1.995E1)); +#45117=VERTEX_POINT('',#45116); +#45118=CARTESIAN_POINT('',(-5.291E1,1.742765961885E0,-1.995E1)); +#45119=VERTEX_POINT('',#45118); +#45120=CARTESIAN_POINT('',(-5.332999999642E1,1.742765961885E0,-1.995E1)); +#45121=VERTEX_POINT('',#45120); +#45122=CARTESIAN_POINT('',(-5.2955E1,2.678936152458E0,-1.995E1)); +#45123=VERTEX_POINT('',#45122); +#45124=CARTESIAN_POINT('',(-5.292499998569E1,2.806595711708E0,-1.995E1)); +#45125=VERTEX_POINT('',#45124); +#45126=CARTESIAN_POINT('',(-5.291E1,2.976808457375E0,-1.995E1)); +#45127=VERTEX_POINT('',#45126); +#45128=CARTESIAN_POINT('',(-5.291E1,3.061914949417E0,-1.995E1)); +#45129=VERTEX_POINT('',#45128); +#45130=CARTESIAN_POINT('',(-5.292499998569E1,3.232127695084E0,-1.995E1)); +#45131=VERTEX_POINT('',#45130); +#45132=CARTESIAN_POINT('',(-5.298500001431E1,3.402340440750E0,-1.995E1)); +#45133=VERTEX_POINT('',#45132); +#45134=CARTESIAN_POINT('',(-5.3045E1,3.487446813583E0,-1.995E1)); +#45135=VERTEX_POINT('',#45134); +#45136=CARTESIAN_POINT('',(-5.3135E1,3.53E0,-1.995E1)); +#45137=VERTEX_POINT('',#45136); +#45138=CARTESIAN_POINT('',(-5.319500000715E1,3.53E0,-1.995E1)); +#45139=VERTEX_POINT('',#45138); +#45140=CARTESIAN_POINT('',(-5.330000000358E1,3.487446813583E0,-1.995E1)); +#45141=VERTEX_POINT('',#45140); +#45142=CARTESIAN_POINT('',(-5.336E1,3.402340440750E0,-1.995E1)); +#45143=VERTEX_POINT('',#45142); +#45144=CARTESIAN_POINT('',(-5.341999999911E1,3.232127695084E0,-1.995E1)); +#45145=VERTEX_POINT('',#45144); +#45146=CARTESIAN_POINT('',(-5.343499999955E1,3.061914949417E0,-1.995E1)); +#45147=VERTEX_POINT('',#45146); +#45148=CARTESIAN_POINT('',(-5.336E1,3.061914949417E0,-1.995E1)); +#45149=VERTEX_POINT('',#45148); +#45150=CARTESIAN_POINT('',(-5.332999999642E1,3.189574508667E0,-1.995E1)); +#45151=VERTEX_POINT('',#45150); +#45152=CARTESIAN_POINT('',(-5.328499999642E1,3.274680881500E0,-1.995E1)); +#45153=VERTEX_POINT('',#45152); +#45154=CARTESIAN_POINT('',(-5.320999999285E1,3.317234067917E0,-1.995E1)); +#45155=VERTEX_POINT('',#45154); +#45156=CARTESIAN_POINT('',(-5.315000000715E1,3.317234067917E0,-1.995E1)); +#45157=VERTEX_POINT('',#45156); +#45158=CARTESIAN_POINT('',(-5.307500001431E1,3.274680881500E0,-1.995E1)); +#45159=VERTEX_POINT('',#45158); +#45160=CARTESIAN_POINT('',(-5.303000001431E1,3.189574508667E0,-1.995E1)); +#45161=VERTEX_POINT('',#45160); +#45162=CARTESIAN_POINT('',(-5.3E1,3.061914949417E0,-1.995E1)); +#45163=VERTEX_POINT('',#45162); +#45164=CARTESIAN_POINT('',(-5.3E1,2.976808457375E0,-1.995E1)); +#45165=VERTEX_POINT('',#45164); +#45166=CARTESIAN_POINT('',(-5.303000001431E1,2.806595711708E0,-1.995E1)); +#45167=VERTEX_POINT('',#45166); +#45168=CARTESIAN_POINT('',(-5.225000002861E1,3.317234067917E0,-1.995E1)); +#45169=VERTEX_POINT('',#45168); +#45170=CARTESIAN_POINT('',(-5.225000002861E1,3.53E0,-1.995E1)); +#45171=VERTEX_POINT('',#45170); +#45172=CARTESIAN_POINT('',(-5.278999998569E1,3.53E0,-1.995E1)); +#45173=VERTEX_POINT('',#45172); +#45174=CARTESIAN_POINT('',(-5.278999998569E1,3.317234067917E0,-1.995E1)); +#45175=VERTEX_POINT('',#45174); +#45176=CARTESIAN_POINT('',(-5.234000002861E1,3.317234067917E0,-1.995E1)); +#45177=VERTEX_POINT('',#45176); +#45178=CARTESIAN_POINT('',(-5.264E1,1.53E0,-1.995E1)); +#45179=VERTEX_POINT('',#45178); +#45180=CARTESIAN_POINT('',(-5.255E1,1.53E0,-1.995E1)); +#45181=VERTEX_POINT('',#45180); +#45182=CARTESIAN_POINT('',(-5.866606055596E1,4.7E0,-2.93E1)); +#45183=VERTEX_POINT('',#45182); +#45184=CARTESIAN_POINT('',(5.525E1,6.293746088860E0,-2.93E1)); +#45185=VERTEX_POINT('',#45184); +#45186=CARTESIAN_POINT('',(6.355509724104E1,-1.35E1,-2.21E1)); +#45187=CARTESIAN_POINT('',(6.355509724104E1,-1.35E1,-2.49E1)); +#45188=VERTEX_POINT('',#45186); +#45189=VERTEX_POINT('',#45187); +#45190=CARTESIAN_POINT('',(-6.548473824241E1,-1.542697426767E1,-2.235E1)); +#45191=CARTESIAN_POINT('',(-6.47E1,-1.51E1,-2.235E1)); +#45192=VERTEX_POINT('',#45190); +#45193=VERTEX_POINT('',#45191); +#45194=VERTEX_POINT('',#4351); +#45195=VERTEX_POINT('',#4373); +#45196=CARTESIAN_POINT('',(-6.47E1,-1.51E1,-2.465E1)); +#45197=VERTEX_POINT('',#45196); +#45198=CARTESIAN_POINT('',(6.548473824241E1,-1.542697426767E1,-2.465E1)); +#45199=CARTESIAN_POINT('',(6.47E1,-1.51E1,-2.465E1)); +#45200=VERTEX_POINT('',#45198); +#45201=VERTEX_POINT('',#45199); +#45202=VERTEX_POINT('',#4654); +#45203=VERTEX_POINT('',#4676); +#45204=CARTESIAN_POINT('',(6.47E1,-1.51E1,-2.235E1)); +#45205=VERTEX_POINT('',#45204); +#45206=CARTESIAN_POINT('',(-1.737617907041E1,-7.64E0,-1.8E0)); +#45207=CARTESIAN_POINT('',(-9.423820929586E0,-7.64E0,-1.8E0)); +#45208=VERTEX_POINT('',#45206); +#45209=VERTEX_POINT('',#45207); +#45210=CARTESIAN_POINT('',(-4.237617907041E1,-7.64E0,-1.8E0)); +#45211=CARTESIAN_POINT('',(-3.442382092959E1,-7.64E0,-1.8E0)); +#45212=VERTEX_POINT('',#45210); +#45213=VERTEX_POINT('',#45211); +#45214=CARTESIAN_POINT('',(5.795E1,-7.64E0,-2.543126676640E0)); +#45215=VERTEX_POINT('',#45214); +#45216=CARTESIAN_POINT('',(-1.737617907041E1,-8.34E0,-1.8E0)); +#45217=VERTEX_POINT('',#45216); +#45218=CARTESIAN_POINT('',(-9.423820929586E0,-8.34E0,-1.8E0)); +#45219=VERTEX_POINT('',#45218); +#45220=CARTESIAN_POINT('',(-4.237617907041E1,-8.34E0,-1.8E0)); +#45221=VERTEX_POINT('',#45220); +#45222=CARTESIAN_POINT('',(-3.442382092959E1,-8.34E0,-1.8E0)); +#45223=VERTEX_POINT('',#45222); +#45224=CARTESIAN_POINT('',(9.195E0,-8.34E0,-1.8E0)); +#45225=VERTEX_POINT('',#45224); +#45226=CARTESIAN_POINT('',(8.680378241781E0,-7.64E0,-1.8E0)); +#45227=VERTEX_POINT('',#45226); +#45228=CARTESIAN_POINT('',(-3.442382092959E1,1.55E1,-1.8E0)); +#45229=CARTESIAN_POINT('',(-3.442382092959E1,1.62E1,-1.8E0)); +#45230=VERTEX_POINT('',#45228); +#45231=VERTEX_POINT('',#45229); +#45232=CARTESIAN_POINT('',(-4.237617907041E1,1.55E1,-1.8E0)); +#45233=CARTESIAN_POINT('',(-4.237617907041E1,1.62E1,-1.8E0)); +#45234=VERTEX_POINT('',#45232); +#45235=VERTEX_POINT('',#45233); +#45236=CARTESIAN_POINT('',(-4.423820929586E0,1.55E1,-1.8E0)); +#45237=CARTESIAN_POINT('',(-4.423820929586E0,1.62E1,-1.8E0)); +#45238=VERTEX_POINT('',#45236); +#45239=VERTEX_POINT('',#45237); +#45240=CARTESIAN_POINT('',(-1.237617907041E1,1.55E1,-1.8E0)); +#45241=CARTESIAN_POINT('',(-1.237617907041E1,1.62E1,-1.8E0)); +#45242=VERTEX_POINT('',#45240); +#45243=VERTEX_POINT('',#45241); +#45244=CARTESIAN_POINT('',(5.087382092959E1,1.55E1,-1.8E0)); +#45245=CARTESIAN_POINT('',(5.087382092959E1,1.62E1,-1.8E0)); +#45246=VERTEX_POINT('',#45244); +#45247=VERTEX_POINT('',#45245); +#45248=CARTESIAN_POINT('',(3.652617907041E1,1.55E1,-1.8E0)); +#45249=CARTESIAN_POINT('',(3.652617907041E1,1.62E1,-1.8E0)); +#45250=VERTEX_POINT('',#45248); +#45251=VERTEX_POINT('',#45249); +#45252=CARTESIAN_POINT('',(-3.64E1,1.67E1,2.E0)); +#45253=CARTESIAN_POINT('',(-4.04E1,1.67E1,2.E0)); +#45254=VERTEX_POINT('',#45252); +#45255=VERTEX_POINT('',#45253); +#45256=CARTESIAN_POINT('',(-6.4E0,1.67E1,2.E0)); +#45257=CARTESIAN_POINT('',(-1.04E1,1.67E1,2.E0)); +#45258=VERTEX_POINT('',#45256); +#45259=VERTEX_POINT('',#45257); +#45260=CARTESIAN_POINT('',(3.455E1,1.67E1,2.E0)); +#45261=CARTESIAN_POINT('',(3.055E1,1.67E1,2.E0)); +#45262=VERTEX_POINT('',#45260); +#45263=VERTEX_POINT('',#45261); +#45264=CARTESIAN_POINT('',(5.685E1,1.67E1,2.E0)); +#45265=CARTESIAN_POINT('',(5.285E1,1.67E1,2.E0)); +#45266=VERTEX_POINT('',#45264); +#45267=VERTEX_POINT('',#45265); +#45268=CARTESIAN_POINT('',(5.285E1,-8.84E0,2.E0)); +#45269=CARTESIAN_POINT('',(5.685E1,-8.84E0,2.E0)); +#45270=VERTEX_POINT('',#45268); +#45271=VERTEX_POINT('',#45269); +#45272=CARTESIAN_POINT('',(3.055E1,-8.84E0,2.E0)); +#45273=CARTESIAN_POINT('',(3.455E1,-8.84E0,2.E0)); +#45274=VERTEX_POINT('',#45272); +#45275=VERTEX_POINT('',#45273); +#45276=CARTESIAN_POINT('',(-1.54E1,-8.84E0,2.E0)); +#45277=CARTESIAN_POINT('',(-1.14E1,-8.84E0,2.E0)); +#45278=VERTEX_POINT('',#45276); +#45279=VERTEX_POINT('',#45277); +#45280=CARTESIAN_POINT('',(-4.04E1,-8.84E0,2.E0)); +#45281=CARTESIAN_POINT('',(-3.64E1,-8.84E0,2.E0)); +#45282=VERTEX_POINT('',#45280); +#45283=VERTEX_POINT('',#45281); +#45284=CARTESIAN_POINT('',(2.812587722490E1,1.67E1,9.656992039612E-1)); +#45285=CARTESIAN_POINT('',(3.060381337451E1,1.67E1,2.460822762838E0)); +#45286=VERTEX_POINT('',#45284); +#45287=VERTEX_POINT('',#45285); +#45288=CARTESIAN_POINT('',(3.449618662549E1,1.67E1,2.460822762838E0)); +#45289=CARTESIAN_POINT('',(3.697412277510E1,1.67E1,9.656992039612E-1)); +#45290=VERTEX_POINT('',#45288); +#45291=VERTEX_POINT('',#45289); +#45292=CARTESIAN_POINT('',(5.042587722490E1,1.67E1,9.656992039612E-1)); +#45293=CARTESIAN_POINT('',(5.290381337451E1,1.67E1,2.460822762838E0)); +#45294=VERTEX_POINT('',#45292); +#45295=VERTEX_POINT('',#45293); +#45296=CARTESIAN_POINT('',(5.679618662549E1,1.67E1,2.460822762838E0)); +#45297=CARTESIAN_POINT('',(5.835E1,1.67E1,1.523291348764E0)); +#45298=VERTEX_POINT('',#45296); +#45299=VERTEX_POINT('',#45297); +#45300=CARTESIAN_POINT('',(5.290381337451E1,-8.84E0,2.460822762838E0)); +#45301=CARTESIAN_POINT('',(5.042587722490E1,-8.84E0,9.656992039612E-1)); +#45302=VERTEX_POINT('',#45300); +#45303=VERTEX_POINT('',#45301); +#45304=CARTESIAN_POINT('',(5.835E1,-8.84E0,1.523291348764E0)); +#45305=CARTESIAN_POINT('',(5.679618662549E1,-8.84E0,2.460822762838E0)); +#45306=VERTEX_POINT('',#45304); +#45307=VERTEX_POINT('',#45305); +#45308=CARTESIAN_POINT('',(3.697412277510E1,-8.84E0,9.656992039612E-1)); +#45309=CARTESIAN_POINT('',(3.449618662549E1,-8.84E0,2.460822762838E0)); +#45310=VERTEX_POINT('',#45308); +#45311=VERTEX_POINT('',#45309); +#45312=CARTESIAN_POINT('',(3.060381337451E1,-8.84E0,2.460822762838E0)); +#45313=CARTESIAN_POINT('',(2.812587722490E1,-8.84E0,9.656992039612E-1)); +#45314=VERTEX_POINT('',#45312); +#45315=VERTEX_POINT('',#45313); +#45316=CARTESIAN_POINT('',(-3.393460035552E1,1.49E1,0.E0)); +#45317=CARTESIAN_POINT('',(-3.422017047909E1,1.49E1,1.113099531313E0)); +#45318=VERTEX_POINT('',#45316); +#45319=VERTEX_POINT('',#45317); +#45320=CARTESIAN_POINT('',(-3.767673387182E1,1.490000004603E1, +3.198701788995E0)); +#45321=VERTEX_POINT('',#45320); +#45322=CARTESIAN_POINT('',(-3.912326595044E1,1.489999988614E1, +3.198701494429E0)); +#45323=VERTEX_POINT('',#45322); +#45324=CARTESIAN_POINT('',(-3.736676264006E1,1.550000072030E1, +3.712431183442E0)); +#45325=CARTESIAN_POINT('',(-3.943323735994E1,1.550000072030E1, +3.712431183442E0)); +#45326=VERTEX_POINT('',#45324); +#45327=VERTEX_POINT('',#45325); +#45328=CARTESIAN_POINT('',(-4.257982952091E1,1.49E1,1.113099531313E0)); +#45329=VERTEX_POINT('',#45328); +#45330=CARTESIAN_POINT('',(-4.286539964448E1,1.49E1,0.E0)); +#45331=VERTEX_POINT('',#45330); +#45332=CARTESIAN_POINT('',(-3.934600355523E0,1.49E1,0.E0)); +#45333=CARTESIAN_POINT('',(-4.220170479088E0,1.49E1,1.113099531313E0)); +#45334=VERTEX_POINT('',#45332); +#45335=VERTEX_POINT('',#45333); +#45336=CARTESIAN_POINT('',(-7.676733871823E0,1.490000004603E1, +3.198701788995E0)); +#45337=VERTEX_POINT('',#45336); +#45338=CARTESIAN_POINT('',(-9.123265950443E0,1.489999988614E1, +3.198701494429E0)); +#45339=VERTEX_POINT('',#45338); +#45340=CARTESIAN_POINT('',(-7.366762640059E0,1.550000072030E1, +3.712431183442E0)); +#45341=CARTESIAN_POINT('',(-9.433237359941E0,1.550000072030E1, +3.712431183442E0)); +#45342=VERTEX_POINT('',#45340); +#45343=VERTEX_POINT('',#45341); +#45344=CARTESIAN_POINT('',(-1.257982952091E1,1.49E1,1.113099531313E0)); +#45345=VERTEX_POINT('',#45344); +#45346=CARTESIAN_POINT('',(-1.286539964448E1,1.49E1,0.E0)); +#45347=VERTEX_POINT('',#45346); +#45348=CARTESIAN_POINT('',(3.701539964448E1,1.49E1,0.E0)); +#45349=CARTESIAN_POINT('',(3.672982952091E1,1.49E1,1.113099531313E0)); +#45350=VERTEX_POINT('',#45348); +#45351=VERTEX_POINT('',#45349); +#45352=CARTESIAN_POINT('',(3.327326612818E1,1.490000004603E1,3.198701788995E0)); +#45353=VERTEX_POINT('',#45352); +#45354=CARTESIAN_POINT('',(3.182673404956E1,1.489999988614E1,3.198701494429E0)); +#45355=VERTEX_POINT('',#45354); +#45356=CARTESIAN_POINT('',(3.358323735994E1,1.550000072030E1,3.712431183442E0)); +#45357=CARTESIAN_POINT('',(3.151676264006E1,1.550000072030E1,3.712431183442E0)); +#45358=VERTEX_POINT('',#45356); +#45359=VERTEX_POINT('',#45357); +#45360=CARTESIAN_POINT('',(2.837017047909E1,1.49E1,1.113099531313E0)); +#45361=VERTEX_POINT('',#45360); +#45362=CARTESIAN_POINT('',(2.808460035552E1,1.49E1,0.E0)); +#45363=VERTEX_POINT('',#45362); +#45364=CARTESIAN_POINT('',(5.825E1,1.49E1,0.E0)); +#45365=CARTESIAN_POINT('',(5.825E1,1.49E1,1.583628801734E0)); +#45366=VERTEX_POINT('',#45364); +#45367=VERTEX_POINT('',#45365); +#45368=CARTESIAN_POINT('',(5.412673387182E1,1.490000004603E1,3.198701788995E0)); +#45369=CARTESIAN_POINT('',(5.067017047909E1,1.49E1,1.113099531313E0)); +#45370=VERTEX_POINT('',#45368); +#45371=VERTEX_POINT('',#45369); +#45372=CARTESIAN_POINT('',(5.557326595044E1,1.489999988614E1,3.198701494429E0)); +#45373=VERTEX_POINT('',#45372); +#45374=CARTESIAN_POINT('',(5.588323735994E1,1.550000072030E1,3.712431183442E0)); +#45375=CARTESIAN_POINT('',(5.381676264006E1,1.550000072030E1,3.712431183442E0)); +#45376=VERTEX_POINT('',#45374); +#45377=VERTEX_POINT('',#45375); +#45378=CARTESIAN_POINT('',(5.038460035552E1,1.49E1,0.E0)); +#45379=VERTEX_POINT('',#45378); +#45380=CARTESIAN_POINT('',(5.038460035552E1,-7.04E0,0.E0)); +#45381=CARTESIAN_POINT('',(5.067017047909E1,-7.04E0,1.113099531313E0)); +#45382=VERTEX_POINT('',#45380); +#45383=VERTEX_POINT('',#45381); +#45384=CARTESIAN_POINT('',(5.557326612818E1,-7.040000046033E0, +3.198701788995E0)); +#45385=CARTESIAN_POINT('',(5.825E1,-7.04E0,1.583628801734E0)); +#45386=VERTEX_POINT('',#45384); +#45387=VERTEX_POINT('',#45385); +#45388=CARTESIAN_POINT('',(5.412673404956E1,-7.039999886135E0, +3.198701494429E0)); +#45389=VERTEX_POINT('',#45388); +#45390=CARTESIAN_POINT('',(5.381676264006E1,-7.640000720301E0, +3.712431183442E0)); +#45391=CARTESIAN_POINT('',(5.588323735994E1,-7.640000720301E0, +3.712431183442E0)); +#45392=VERTEX_POINT('',#45390); +#45393=VERTEX_POINT('',#45391); +#45394=CARTESIAN_POINT('',(5.825E1,-7.04E0,0.E0)); +#45395=VERTEX_POINT('',#45394); +#45396=CARTESIAN_POINT('',(3.672982952091E1,-7.04E0,1.113099531313E0)); +#45397=CARTESIAN_POINT('',(3.701539964448E1,-7.04E0,0.E0)); +#45398=VERTEX_POINT('',#45396); +#45399=VERTEX_POINT('',#45397); +#45400=CARTESIAN_POINT('',(3.327326612818E1,-7.040000046033E0, +3.198701788995E0)); +#45401=VERTEX_POINT('',#45400); +#45402=CARTESIAN_POINT('',(3.182673404956E1,-7.039999886135E0, +3.198701494429E0)); +#45403=VERTEX_POINT('',#45402); +#45404=CARTESIAN_POINT('',(3.151676264006E1,-7.640000720301E0, +3.712431183442E0)); +#45405=CARTESIAN_POINT('',(3.358323735994E1,-7.640000720301E0, +3.712431183442E0)); +#45406=VERTEX_POINT('',#45404); +#45407=VERTEX_POINT('',#45405); +#45408=CARTESIAN_POINT('',(2.837017047909E1,-7.04E0,1.113099531313E0)); +#45409=VERTEX_POINT('',#45408); +#45410=CARTESIAN_POINT('',(2.808460035552E1,-7.04E0,0.E0)); +#45411=VERTEX_POINT('',#45410); +#45412=CARTESIAN_POINT('',(-1.786539964448E1,-7.04E0,0.E0)); +#45413=CARTESIAN_POINT('',(-1.757982952091E1,-7.04E0,1.113099531313E0)); +#45414=VERTEX_POINT('',#45412); +#45415=VERTEX_POINT('',#45413); +#45416=CARTESIAN_POINT('',(-1.412326612818E1,-7.040000046033E0, +3.198701788995E0)); +#45417=VERTEX_POINT('',#45416); +#45418=CARTESIAN_POINT('',(-1.267673404956E1,-7.039999886135E0, +3.198701494429E0)); +#45419=VERTEX_POINT('',#45418); +#45420=CARTESIAN_POINT('',(-1.443323735994E1,-7.640000720301E0, +3.712431183442E0)); +#45421=CARTESIAN_POINT('',(-1.236676264006E1,-7.640000720301E0, +3.712431183442E0)); +#45422=VERTEX_POINT('',#45420); +#45423=VERTEX_POINT('',#45421); +#45424=CARTESIAN_POINT('',(-9.220170479088E0,-7.04E0,1.113099531313E0)); +#45425=VERTEX_POINT('',#45424); +#45426=CARTESIAN_POINT('',(-8.934600355523E0,-7.04E0,0.E0)); +#45427=VERTEX_POINT('',#45426); +#45428=CARTESIAN_POINT('',(-4.286539964448E1,-7.04E0,0.E0)); +#45429=CARTESIAN_POINT('',(-4.257982952091E1,-7.04E0,1.113099531313E0)); +#45430=VERTEX_POINT('',#45428); +#45431=VERTEX_POINT('',#45429); +#45432=CARTESIAN_POINT('',(-3.912326612818E1,-7.040000046033E0, +3.198701788995E0)); +#45433=VERTEX_POINT('',#45432); +#45434=CARTESIAN_POINT('',(-3.767673404956E1,-7.039999886135E0, +3.198701494429E0)); +#45435=VERTEX_POINT('',#45434); +#45436=CARTESIAN_POINT('',(-3.943323735994E1,-7.640000720301E0, +3.712431183442E0)); +#45437=CARTESIAN_POINT('',(-3.736676264006E1,-7.640000720301E0, +3.712431183442E0)); +#45438=VERTEX_POINT('',#45436); +#45439=VERTEX_POINT('',#45437); +#45440=CARTESIAN_POINT('',(-3.422017047909E1,-7.04E0,1.113099531313E0)); +#45441=VERTEX_POINT('',#45440); +#45442=CARTESIAN_POINT('',(-3.393460035552E1,-7.04E0,0.E0)); +#45443=VERTEX_POINT('',#45442); +#45444=CARTESIAN_POINT('',(-4.282412277510E1,1.67E1,9.656992039612E-1)); +#45445=CARTESIAN_POINT('',(-4.034618662549E1,1.67E1,2.460822762838E0)); +#45446=VERTEX_POINT('',#45444); +#45447=VERTEX_POINT('',#45445); +#45448=CARTESIAN_POINT('',(-3.645381337451E1,1.67E1,2.460822762838E0)); +#45449=CARTESIAN_POINT('',(-3.397587722490E1,1.67E1,9.656992039612E-1)); +#45450=VERTEX_POINT('',#45448); +#45451=VERTEX_POINT('',#45449); +#45452=CARTESIAN_POINT('',(-4.034618662549E1,-8.84E0,2.460822762838E0)); +#45453=CARTESIAN_POINT('',(-4.282412277510E1,-8.84E0,9.656992039612E-1)); +#45454=VERTEX_POINT('',#45452); +#45455=VERTEX_POINT('',#45453); +#45456=CARTESIAN_POINT('',(-3.397587722490E1,-8.84E0,9.656992039612E-1)); +#45457=CARTESIAN_POINT('',(-3.645381337451E1,-8.84E0,2.460822762838E0)); +#45458=VERTEX_POINT('',#45456); +#45459=VERTEX_POINT('',#45457); +#45460=CARTESIAN_POINT('',(-1.282412277510E1,1.67E1,9.656992039612E-1)); +#45461=CARTESIAN_POINT('',(-1.034618662549E1,1.67E1,2.460822762838E0)); +#45462=VERTEX_POINT('',#45460); +#45463=VERTEX_POINT('',#45461); +#45464=CARTESIAN_POINT('',(-6.453813374506E0,1.67E1,2.460822762838E0)); +#45465=CARTESIAN_POINT('',(-3.975877224902E0,1.67E1,9.656992039612E-1)); +#45466=VERTEX_POINT('',#45464); +#45467=VERTEX_POINT('',#45465); +#45468=CARTESIAN_POINT('',(-1.534618662549E1,-8.84E0,2.460822762838E0)); +#45469=CARTESIAN_POINT('',(-1.782412277510E1,-8.84E0,9.656992039612E-1)); +#45470=VERTEX_POINT('',#45468); +#45471=VERTEX_POINT('',#45469); +#45472=CARTESIAN_POINT('',(-8.975877224902E0,-8.84E0,9.656992039612E-1)); +#45473=CARTESIAN_POINT('',(-1.145381337451E1,-8.84E0,2.460822762838E0)); +#45474=VERTEX_POINT('',#45472); +#45475=VERTEX_POINT('',#45473); +#45476=CARTESIAN_POINT('',(5.381676264006E1,1.61E1,3.712431183442E0)); +#45477=VERTEX_POINT('',#45476); +#45478=CARTESIAN_POINT('',(5.588323735994E1,1.61E1,3.712431183442E0)); +#45479=VERTEX_POINT('',#45478); +#45480=CARTESIAN_POINT('',(-3.943323735994E1,1.61E1,3.712431183442E0)); +#45481=VERTEX_POINT('',#45480); +#45482=CARTESIAN_POINT('',(-3.736676264006E1,1.61E1,3.712431183442E0)); +#45483=VERTEX_POINT('',#45482); +#45484=CARTESIAN_POINT('',(3.151676264006E1,1.61E1,3.712431183442E0)); +#45485=VERTEX_POINT('',#45484); +#45486=CARTESIAN_POINT('',(3.358323735994E1,1.61E1,3.712431183442E0)); +#45487=VERTEX_POINT('',#45486); +#45488=CARTESIAN_POINT('',(-9.433237359941E0,1.61E1,3.712431183442E0)); +#45489=VERTEX_POINT('',#45488); +#45490=CARTESIAN_POINT('',(-7.366762640059E0,1.61E1,3.712431183442E0)); +#45491=VERTEX_POINT('',#45490); +#45492=CARTESIAN_POINT('',(-3.640000002931E1,1.836999997070E1, +2.000000000025E0)); +#45493=VERTEX_POINT('',#45492); +#45494=CARTESIAN_POINT('',(-4.039999997069E1,1.836999997070E1, +1.999999999975E0)); +#45495=VERTEX_POINT('',#45494); +#45496=CARTESIAN_POINT('',(-6.400000029307E0,1.836999997070E1, +2.000000000025E0)); +#45497=VERTEX_POINT('',#45496); +#45498=CARTESIAN_POINT('',(-1.039999997069E1,1.836999997070E1, +1.999999999975E0)); +#45499=VERTEX_POINT('',#45498); +#45500=CARTESIAN_POINT('',(3.454999997069E1,1.836999997070E1,2.000000000025E0)); +#45501=VERTEX_POINT('',#45500); +#45502=CARTESIAN_POINT('',(3.055000002931E1,1.836999997070E1,1.999999999975E0)); +#45503=VERTEX_POINT('',#45502); +#45504=CARTESIAN_POINT('',(5.684999997069E1,1.836999997070E1,2.000000000025E0)); +#45505=VERTEX_POINT('',#45504); +#45506=CARTESIAN_POINT('',(5.285000002931E1,1.836999997070E1,1.999999999975E0)); +#45507=VERTEX_POINT('',#45506); +#45508=CARTESIAN_POINT('',(3.358323735994E1,-8.24E0,3.712431183442E0)); +#45509=VERTEX_POINT('',#45508); +#45510=CARTESIAN_POINT('',(3.151676264006E1,-8.24E0,3.712431183442E0)); +#45511=VERTEX_POINT('',#45510); +#45512=CARTESIAN_POINT('',(5.588323735994E1,-8.24E0,3.712431183442E0)); +#45513=VERTEX_POINT('',#45512); +#45514=CARTESIAN_POINT('',(5.381676264006E1,-8.24E0,3.712431183442E0)); +#45515=VERTEX_POINT('',#45514); +#45516=CARTESIAN_POINT('',(-1.236676264006E1,-8.24E0,3.712431183442E0)); +#45517=VERTEX_POINT('',#45516); +#45518=CARTESIAN_POINT('',(-1.443323735994E1,-8.24E0,3.712431183442E0)); +#45519=VERTEX_POINT('',#45518); +#45520=CARTESIAN_POINT('',(-3.736676264006E1,-8.24E0,3.712431183442E0)); +#45521=VERTEX_POINT('',#45520); +#45522=CARTESIAN_POINT('',(-3.943323735994E1,-8.24E0,3.712431183442E0)); +#45523=VERTEX_POINT('',#45522); +#45524=CARTESIAN_POINT('',(5.684999997069E1,-1.050999997070E1, +1.999999999975E0)); +#45525=VERTEX_POINT('',#45524); +#45526=CARTESIAN_POINT('',(5.285000002931E1,-1.050999997070E1, +2.000000000025E0)); +#45527=VERTEX_POINT('',#45526); +#45528=CARTESIAN_POINT('',(3.454999997069E1,-1.050999997070E1, +1.999999999975E0)); +#45529=VERTEX_POINT('',#45528); +#45530=CARTESIAN_POINT('',(3.055000002931E1,-1.050999997070E1, +2.000000000025E0)); +#45531=VERTEX_POINT('',#45530); +#45532=CARTESIAN_POINT('',(-1.140000002931E1,-1.050999997070E1, +1.999999999975E0)); +#45533=VERTEX_POINT('',#45532); +#45534=CARTESIAN_POINT('',(-1.539999997069E1,-1.050999997070E1, +2.000000000025E0)); +#45535=VERTEX_POINT('',#45534); +#45536=CARTESIAN_POINT('',(-3.640000002931E1,-1.050999997070E1, +1.999999999975E0)); +#45537=VERTEX_POINT('',#45536); +#45538=CARTESIAN_POINT('',(-4.039999997069E1,-1.050999997070E1, +2.000000000025E0)); +#45539=VERTEX_POINT('',#45538); +#45540=CARTESIAN_POINT('',(-4.348483098168E1,1.55E1,0.E0)); +#45541=VERTEX_POINT('',#45540); +#45542=CARTESIAN_POINT('',(-3.331516901832E1,1.55E1,0.E0)); +#45543=VERTEX_POINT('',#45542); +#45544=CARTESIAN_POINT('',(-1.348483098168E1,1.55E1,0.E0)); +#45545=VERTEX_POINT('',#45544); +#45546=CARTESIAN_POINT('',(-3.315169018316E0,1.55E1,0.E0)); +#45547=VERTEX_POINT('',#45546); +#45548=CARTESIAN_POINT('',(2.746516901832E1,1.55E1,0.E0)); +#45549=VERTEX_POINT('',#45548); +#45550=CARTESIAN_POINT('',(3.763483098168E1,1.55E1,0.E0)); +#45551=VERTEX_POINT('',#45550); +#45552=CARTESIAN_POINT('',(4.976516901832E1,1.55E1,0.E0)); +#45553=VERTEX_POINT('',#45552); +#45554=CARTESIAN_POINT('',(5.885E1,1.55E1,0.E0)); +#45555=VERTEX_POINT('',#45554); +#45556=CARTESIAN_POINT('',(5.885E1,-7.64E0,0.E0)); +#45557=VERTEX_POINT('',#45556); +#45558=CARTESIAN_POINT('',(4.976516901832E1,-7.64E0,0.E0)); +#45559=VERTEX_POINT('',#45558); +#45560=CARTESIAN_POINT('',(3.763483098168E1,-7.64E0,0.E0)); +#45561=VERTEX_POINT('',#45560); +#45562=CARTESIAN_POINT('',(-8.315169018316E0,-7.64E0,0.E0)); +#45563=VERTEX_POINT('',#45562); +#45564=CARTESIAN_POINT('',(-1.848483098168E1,-7.64E0,0.E0)); +#45565=VERTEX_POINT('',#45564); +#45566=CARTESIAN_POINT('',(-3.331516901832E1,-7.64E0,0.E0)); +#45567=VERTEX_POINT('',#45566); +#45568=CARTESIAN_POINT('',(-4.348483098168E1,-7.64E0,0.E0)); +#45569=VERTEX_POINT('',#45568); +#45570=VERTEX_POINT('',#30382); +#45571=VERTEX_POINT('',#30387); +#45572=VERTEX_POINT('',#31625); +#45573=VERTEX_POINT('',#31630); +#45574=VERTEX_POINT('',#6314); +#45575=VERTEX_POINT('',#6319); +#45576=CARTESIAN_POINT('',(-4.115E1,-2.155E0,-1.065E1)); +#45577=CARTESIAN_POINT('',(-4.115E1,-2.785E0,-1.065E1)); +#45578=VERTEX_POINT('',#45576); +#45579=VERTEX_POINT('',#45577); +#45580=CARTESIAN_POINT('',(-4.055E1,-2.785E0,-1.065E1)); +#45581=VERTEX_POINT('',#45580); +#45582=CARTESIAN_POINT('',(-4.055E1,-2.155E0,-1.065E1)); +#45583=VERTEX_POINT('',#45582); +#45584=CARTESIAN_POINT('',(-4.115E1,6.645E0,-1.065E1)); +#45585=CARTESIAN_POINT('',(-4.115E1,6.015E0,-1.065E1)); +#45586=VERTEX_POINT('',#45584); +#45587=VERTEX_POINT('',#45585); +#45588=CARTESIAN_POINT('',(-4.055E1,6.015E0,-1.065E1)); +#45589=VERTEX_POINT('',#45588); +#45590=CARTESIAN_POINT('',(-4.055E1,6.645E0,-1.065E1)); +#45591=VERTEX_POINT('',#45590); +#45592=CARTESIAN_POINT('',(-3.9E1,1.0645E1,-1.175E1)); +#45593=CARTESIAN_POINT('',(-3.9E1,1.0015E1,-1.175E1)); +#45594=VERTEX_POINT('',#45592); +#45595=VERTEX_POINT('',#45593); +#45596=CARTESIAN_POINT('',(-3.84E1,1.0015E1,-1.175E1)); +#45597=VERTEX_POINT('',#45596); +#45598=CARTESIAN_POINT('',(-3.84E1,1.0645E1,-1.175E1)); +#45599=VERTEX_POINT('',#45598); +#45600=CARTESIAN_POINT('',(-3.9E1,1.845E0,-1.175E1)); +#45601=CARTESIAN_POINT('',(-3.9E1,1.215E0,-1.175E1)); +#45602=VERTEX_POINT('',#45600); +#45603=VERTEX_POINT('',#45601); +#45604=CARTESIAN_POINT('',(-3.84E1,1.215E0,-1.175E1)); +#45605=VERTEX_POINT('',#45604); +#45606=CARTESIAN_POINT('',(-3.84E1,1.845E0,-1.175E1)); +#45607=VERTEX_POINT('',#45606); +#45608=CARTESIAN_POINT('',(2.395E1,6.645E0,-1.065E1)); +#45609=CARTESIAN_POINT('',(2.395E1,6.015E0,-1.065E1)); +#45610=VERTEX_POINT('',#45608); +#45611=VERTEX_POINT('',#45609); +#45612=CARTESIAN_POINT('',(2.455E1,6.015E0,-1.065E1)); +#45613=VERTEX_POINT('',#45612); +#45614=CARTESIAN_POINT('',(2.455E1,6.645E0,-1.065E1)); +#45615=VERTEX_POINT('',#45614); +#45616=CARTESIAN_POINT('',(2.395E1,-2.155E0,-1.065E1)); +#45617=CARTESIAN_POINT('',(2.395E1,-2.785E0,-1.065E1)); +#45618=VERTEX_POINT('',#45616); +#45619=VERTEX_POINT('',#45617); +#45620=CARTESIAN_POINT('',(2.455E1,-2.785E0,-1.065E1)); +#45621=VERTEX_POINT('',#45620); +#45622=CARTESIAN_POINT('',(2.455E1,-2.155E0,-1.065E1)); +#45623=VERTEX_POINT('',#45622); +#45624=CARTESIAN_POINT('',(2.61E1,1.0645E1,-1.175E1)); +#45625=CARTESIAN_POINT('',(2.61E1,1.0015E1,-1.175E1)); +#45626=VERTEX_POINT('',#45624); +#45627=VERTEX_POINT('',#45625); +#45628=CARTESIAN_POINT('',(2.67E1,1.0015E1,-1.175E1)); +#45629=VERTEX_POINT('',#45628); +#45630=CARTESIAN_POINT('',(2.67E1,1.0645E1,-1.175E1)); +#45631=VERTEX_POINT('',#45630); +#45632=CARTESIAN_POINT('',(2.61E1,1.845E0,-1.175E1)); +#45633=CARTESIAN_POINT('',(2.61E1,1.215E0,-1.175E1)); +#45634=VERTEX_POINT('',#45632); +#45635=VERTEX_POINT('',#45633); +#45636=CARTESIAN_POINT('',(2.67E1,1.215E0,-1.175E1)); +#45637=VERTEX_POINT('',#45636); +#45638=CARTESIAN_POINT('',(2.67E1,1.845E0,-1.175E1)); +#45639=VERTEX_POINT('',#45638); +#45640=CARTESIAN_POINT('',(-5.71E1,-1.35E1,-2.545E1)); +#45641=CARTESIAN_POINT('',(-5.71E1,-1.35E1,-2.345E1)); +#45642=VERTEX_POINT('',#45640); +#45643=VERTEX_POINT('',#45641); +#45644=CARTESIAN_POINT('',(-5.71E1,-1.35E1,-2.955E1)); +#45645=CARTESIAN_POINT('',(-5.71E1,-1.35E1,-2.815E1)); +#45646=VERTEX_POINT('',#45644); +#45647=VERTEX_POINT('',#45645); +#45648=CARTESIAN_POINT('',(-6.26E1,-1.35E1,-2.955E1)); +#45649=VERTEX_POINT('',#45648); +#45650=CARTESIAN_POINT('',(-6.26E1,-1.35E1,-3.295E1)); +#45651=VERTEX_POINT('',#45650); +#45652=CARTESIAN_POINT('',(-5.92E1,-1.35E1,-3.295E1)); +#45653=VERTEX_POINT('',#45652); +#45654=CARTESIAN_POINT('',(-5.92E1,-1.35E1,-3.495E1)); +#45655=VERTEX_POINT('',#45654); +#45656=CARTESIAN_POINT('',(-4.65E1,-1.35E1,-3.495E1)); +#45657=VERTEX_POINT('',#45656); +#45658=CARTESIAN_POINT('',(-4.65E1,-1.35E1,-3.245E1)); +#45659=VERTEX_POINT('',#45658); +#45660=CARTESIAN_POINT('',(5.67E1,-1.35E1,-3.245E1)); +#45661=VERTEX_POINT('',#45660); +#45662=CARTESIAN_POINT('',(5.72E1,-1.35E1,-3.295E1)); +#45663=VERTEX_POINT('',#45662); +#45664=CARTESIAN_POINT('',(6.26E1,-1.35E1,-3.295E1)); +#45665=VERTEX_POINT('',#45664); +#45666=CARTESIAN_POINT('',(6.26E1,-1.35E1,-2.955E1)); +#45667=VERTEX_POINT('',#45666); +#45668=CARTESIAN_POINT('',(5.71E1,-1.35E1,-2.955E1)); +#45669=VERTEX_POINT('',#45668); +#45670=CARTESIAN_POINT('',(5.71E1,-1.35E1,-2.815E1)); +#45671=VERTEX_POINT('',#45670); +#45672=CARTESIAN_POINT('',(5.71E1,-1.35E1,-2.345E1)); +#45673=CARTESIAN_POINT('',(5.71E1,-1.35E1,-2.545E1)); +#45674=VERTEX_POINT('',#45672); +#45675=VERTEX_POINT('',#45673); +#45676=CARTESIAN_POINT('',(-6.26E1,-1.12E1,-2.955E1)); +#45677=CARTESIAN_POINT('',(-5.71E1,-1.12E1,-2.955E1)); +#45678=VERTEX_POINT('',#45676); +#45679=VERTEX_POINT('',#45677); +#45680=CARTESIAN_POINT('',(-6.26E1,-1.12E1,-3.295E1)); +#45681=VERTEX_POINT('',#45680); +#45682=CARTESIAN_POINT('',(-5.92E1,-1.12E1,-3.295E1)); +#45683=VERTEX_POINT('',#45682); +#45684=CARTESIAN_POINT('',(-5.92E1,-1.12E1,-3.495E1)); +#45685=VERTEX_POINT('',#45684); +#45686=CARTESIAN_POINT('',(-4.65E1,-1.12E1,-3.495E1)); +#45687=VERTEX_POINT('',#45686); +#45688=CARTESIAN_POINT('',(-4.65E1,-1.12E1,-3.245E1)); +#45689=VERTEX_POINT('',#45688); +#45690=CARTESIAN_POINT('',(5.67E1,-1.12E1,-3.245E1)); +#45691=VERTEX_POINT('',#45690); +#45692=CARTESIAN_POINT('',(5.72E1,-1.12E1,-3.295E1)); +#45693=VERTEX_POINT('',#45692); +#45694=CARTESIAN_POINT('',(6.26E1,-1.12E1,-3.295E1)); +#45695=VERTEX_POINT('',#45694); +#45696=CARTESIAN_POINT('',(6.26E1,-1.12E1,-2.955E1)); +#45697=VERTEX_POINT('',#45696); +#45698=CARTESIAN_POINT('',(5.71E1,-1.12E1,-2.955E1)); +#45699=VERTEX_POINT('',#45698); +#45700=CARTESIAN_POINT('',(-5.71E1,-1.12E1,-2.345E1)); +#45701=CARTESIAN_POINT('',(5.71E1,-1.12E1,-2.345E1)); +#45702=VERTEX_POINT('',#45700); +#45703=VERTEX_POINT('',#45701); +#45704=CARTESIAN_POINT('',(-4.895E1,-2.6775E0,-3.33075E1)); +#45705=CARTESIAN_POINT('',(-4.895E1,-1.0625E0,-3.16925E1)); +#45706=VERTEX_POINT('',#45704); +#45707=VERTEX_POINT('',#45705); +#45708=CARTESIAN_POINT('',(-4.895E1,-1.6775E0,-3.16925E1)); +#45709=CARTESIAN_POINT('',(-4.895E1,-2.6775E0,-3.26925E1)); +#45710=VERTEX_POINT('',#45708); +#45711=VERTEX_POINT('',#45709); +#45712=CARTESIAN_POINT('',(-5.025E1,-1.0625E0,-3.16925E1)); +#45713=CARTESIAN_POINT('',(-5.025E1,-2.6775E0,-3.33075E1)); +#45714=VERTEX_POINT('',#45712); +#45715=VERTEX_POINT('',#45713); +#45716=CARTESIAN_POINT('',(-5.025E1,-2.6775E0,-3.26925E1)); +#45717=CARTESIAN_POINT('',(-5.025E1,-1.6775E0,-3.16925E1)); +#45718=VERTEX_POINT('',#45716); +#45719=VERTEX_POINT('',#45717); +#45720=CARTESIAN_POINT('',(-4.895E1,-1.0625E0,-2.36E1)); +#45721=CARTESIAN_POINT('',(-4.895E1,-1.6775E0,-2.36E1)); +#45722=VERTEX_POINT('',#45720); +#45723=VERTEX_POINT('',#45721); +#45724=CARTESIAN_POINT('',(-5.025E1,-1.0625E0,-2.36E1)); +#45725=VERTEX_POINT('',#45724); +#45726=CARTESIAN_POINT('',(-5.025E1,-1.6775E0,-2.36E1)); +#45727=VERTEX_POINT('',#45726); +#45728=CARTESIAN_POINT('',(-4.57E1,3.5225E0,-2.58075E1)); +#45729=CARTESIAN_POINT('',(-4.57E1,4.9375E0,-2.43925E1)); +#45730=VERTEX_POINT('',#45728); +#45731=VERTEX_POINT('',#45729); +#45732=CARTESIAN_POINT('',(-4.57E1,4.3225E0,-2.43925E1)); +#45733=CARTESIAN_POINT('',(-4.57E1,3.5225E0,-2.51925E1)); +#45734=VERTEX_POINT('',#45732); +#45735=VERTEX_POINT('',#45733); +#45736=CARTESIAN_POINT('',(-4.7E1,4.9375E0,-2.43925E1)); +#45737=CARTESIAN_POINT('',(-4.7E1,3.5225E0,-2.58075E1)); +#45738=VERTEX_POINT('',#45736); +#45739=VERTEX_POINT('',#45737); +#45740=CARTESIAN_POINT('',(-4.7E1,3.5225E0,-2.51925E1)); +#45741=CARTESIAN_POINT('',(-4.7E1,4.3225E0,-2.43925E1)); +#45742=VERTEX_POINT('',#45740); +#45743=VERTEX_POINT('',#45741); +#45744=CARTESIAN_POINT('',(-4.57E1,4.9375E0,-2.36E1)); +#45745=CARTESIAN_POINT('',(-4.57E1,4.3225E0,-2.36E1)); +#45746=VERTEX_POINT('',#45744); +#45747=VERTEX_POINT('',#45745); +#45748=CARTESIAN_POINT('',(-4.7E1,4.9375E0,-2.36E1)); +#45749=VERTEX_POINT('',#45748); +#45750=CARTESIAN_POINT('',(-4.7E1,4.3225E0,-2.36E1)); +#45751=VERTEX_POINT('',#45750); +#45752=CARTESIAN_POINT('',(-5.545E1,-2.6775E0,-3.33075E1)); +#45753=CARTESIAN_POINT('',(-5.545E1,-1.0625E0,-3.16925E1)); +#45754=VERTEX_POINT('',#45752); +#45755=VERTEX_POINT('',#45753); +#45756=CARTESIAN_POINT('',(-5.545E1,-1.6775E0,-3.16925E1)); +#45757=CARTESIAN_POINT('',(-5.545E1,-2.6775E0,-3.26925E1)); +#45758=VERTEX_POINT('',#45756); +#45759=VERTEX_POINT('',#45757); +#45760=CARTESIAN_POINT('',(-5.675E1,-1.0625E0,-3.16925E1)); +#45761=CARTESIAN_POINT('',(-5.675E1,-2.6775E0,-3.33075E1)); +#45762=VERTEX_POINT('',#45760); +#45763=VERTEX_POINT('',#45761); +#45764=CARTESIAN_POINT('',(-5.675E1,-2.6775E0,-3.26925E1)); +#45765=CARTESIAN_POINT('',(-5.675E1,-1.6775E0,-3.16925E1)); +#45766=VERTEX_POINT('',#45764); +#45767=VERTEX_POINT('',#45765); +#45768=CARTESIAN_POINT('',(-5.545E1,-1.0625E0,-2.36E1)); +#45769=CARTESIAN_POINT('',(-5.545E1,-1.6775E0,-2.36E1)); +#45770=VERTEX_POINT('',#45768); +#45771=VERTEX_POINT('',#45769); +#45772=CARTESIAN_POINT('',(-5.675E1,-1.0625E0,-2.36E1)); +#45773=VERTEX_POINT('',#45772); +#45774=CARTESIAN_POINT('',(-5.675E1,-1.6775E0,-2.36E1)); +#45775=VERTEX_POINT('',#45774); +#45776=CARTESIAN_POINT('',(-5.22E1,3.5225E0,-2.58075E1)); +#45777=CARTESIAN_POINT('',(-5.22E1,4.9375E0,-2.43925E1)); +#45778=VERTEX_POINT('',#45776); +#45779=VERTEX_POINT('',#45777); +#45780=CARTESIAN_POINT('',(-5.22E1,4.3225E0,-2.43925E1)); +#45781=CARTESIAN_POINT('',(-5.22E1,3.5225E0,-2.51925E1)); +#45782=VERTEX_POINT('',#45780); +#45783=VERTEX_POINT('',#45781); +#45784=CARTESIAN_POINT('',(-5.35E1,4.9375E0,-2.43925E1)); +#45785=CARTESIAN_POINT('',(-5.35E1,3.5225E0,-2.58075E1)); +#45786=VERTEX_POINT('',#45784); +#45787=VERTEX_POINT('',#45785); +#45788=CARTESIAN_POINT('',(-5.35E1,3.5225E0,-2.51925E1)); +#45789=CARTESIAN_POINT('',(-5.35E1,4.3225E0,-2.43925E1)); +#45790=VERTEX_POINT('',#45788); +#45791=VERTEX_POINT('',#45789); +#45792=CARTESIAN_POINT('',(-5.22E1,4.9375E0,-2.36E1)); +#45793=CARTESIAN_POINT('',(-5.22E1,4.3225E0,-2.36E1)); +#45794=VERTEX_POINT('',#45792); +#45795=VERTEX_POINT('',#45793); +#45796=CARTESIAN_POINT('',(-5.35E1,4.9375E0,-2.36E1)); +#45797=VERTEX_POINT('',#45796); +#45798=CARTESIAN_POINT('',(-5.35E1,4.3225E0,-2.36E1)); +#45799=VERTEX_POINT('',#45798); +#45800=CARTESIAN_POINT('',(-5.99E1,-1.35E1,-3.125E1)); +#45801=CARTESIAN_POINT('',(-6.19E1,-1.35E1,-3.125E1)); +#45802=VERTEX_POINT('',#45800); +#45803=VERTEX_POINT('',#45801); +#45804=CARTESIAN_POINT('',(5.89E1,-1.35E1,-3.125E1)); +#45805=CARTESIAN_POINT('',(5.69E1,-1.35E1,-3.125E1)); +#45806=VERTEX_POINT('',#45804); +#45807=VERTEX_POINT('',#45805); +#45808=CARTESIAN_POINT('',(-6.26E1,4.7E0,-2.93E1)); +#45809=VERTEX_POINT('',#45808); +#45810=CARTESIAN_POINT('',(1.395E1,7.7E0,-2.93E1)); +#45811=CARTESIAN_POINT('',(1.395E1,6.3E0,-2.93E1)); +#45812=VERTEX_POINT('',#45810); +#45813=VERTEX_POINT('',#45811); +#45814=CARTESIAN_POINT('',(1.895E1,7.7E0,-2.93E1)); +#45815=CARTESIAN_POINT('',(1.895E1,6.3E0,-2.93E1)); +#45816=VERTEX_POINT('',#45814); +#45817=VERTEX_POINT('',#45815); +#45818=CARTESIAN_POINT('',(6.26E1,4.7E0,-2.93E1)); +#45819=VERTEX_POINT('',#45818); +#45820=CARTESIAN_POINT('',(-5.760000012806E1,-7.019999938897E0, +-2.300129846589E-7)); +#45821=CARTESIAN_POINT('',(-6.277477226824E1,1.652155543424E0, +-2.300129846589E-7)); +#45822=VERTEX_POINT('',#45820); +#45823=VERTEX_POINT('',#45821); +#45824=CARTESIAN_POINT('',(-5.760000012806E1,-6.42E0,-5.999997383008E-1)); +#45825=CARTESIAN_POINT('',(-6.224673434304E1,1.367241738517E0, +-5.999997383008E-1)); +#45826=VERTEX_POINT('',#45824); +#45827=VERTEX_POINT('',#45825); +#45828=CARTESIAN_POINT('',(-5.730352392975E1,1.179215488977E1, +1.436738387447E-6)); +#45829=VERTEX_POINT('',#45828); +#45830=CARTESIAN_POINT('',(-5.677548636357E1,1.150724127876E1, +-5.999990324007E-1)); +#45831=VERTEX_POINT('',#45830); +#45832=CARTESIAN_POINT('',(-5.2128753E1,1.487999544117E1,4.061637340236E-6)); +#45833=VERTEX_POINT('',#45832); +#45834=CARTESIAN_POINT('',(8.549999999558E0,1.487999960712E1, +3.928739182683E-7)); +#45835=VERTEX_POINT('',#45834); +#45836=CARTESIAN_POINT('',(1.494999865890E1,8.48E0,1.016161482070E-6)); +#45837=VERTEX_POINT('',#45836); +#45838=CARTESIAN_POINT('',(1.435E1,8.48E0,-5.999997052193E-1)); +#45839=VERTEX_POINT('',#45838); +#45840=CARTESIAN_POINT('',(1.494999960712E1,-6.199999995576E-1, +3.928739196191E-7)); +#45841=VERTEX_POINT('',#45840); +#45842=CARTESIAN_POINT('',(1.434999973296E1,-6.199999996993E-1, +-5.999997329685E-1)); +#45843=VERTEX_POINT('',#45842); +#45844=CARTESIAN_POINT('',(8.55E0,-7.019998658900E0,1.016161482070E-6)); +#45845=VERTEX_POINT('',#45844); +#45846=CARTESIAN_POINT('',(8.55E0,-6.42E0,-5.999997052193E-1)); +#45847=VERTEX_POINT('',#45846); +#45848=CARTESIAN_POINT('',(2.43E1,-7.019998658900E0,1.016161482958E-6)); +#45849=CARTESIAN_POINT('',(1.790000134110E1,-6.2E-1,1.016161482958E-6)); +#45850=VERTEX_POINT('',#45848); +#45851=VERTEX_POINT('',#45849); +#45852=CARTESIAN_POINT('',(2.43E1,-6.42E0,-5.999997052193E-1)); +#45853=CARTESIAN_POINT('',(1.85E1,-6.2E-1,-5.999997052193E-1)); +#45854=VERTEX_POINT('',#45852); +#45855=VERTEX_POINT('',#45853); +#45856=CARTESIAN_POINT('',(1.790000039288E1,8.479999999558E0, +3.928739182868E-7)); +#45857=VERTEX_POINT('',#45856); +#45858=CARTESIAN_POINT('',(1.850000026704E1,8.479999999699E0, +-5.999997329685E-1)); +#45859=VERTEX_POINT('',#45858); +#45860=CARTESIAN_POINT('',(2.43E1,1.487999865890E1,1.016161482070E-6)); +#45861=VERTEX_POINT('',#45860); +#45862=CARTESIAN_POINT('',(5.244999999956E1,1.487999960712E1, +3.928739112924E-7)); +#45863=VERTEX_POINT('',#45862); +#45864=CARTESIAN_POINT('',(5.884999865890E1,8.48E0,1.016161483847E-6)); +#45865=VERTEX_POINT('',#45864); +#45866=CARTESIAN_POINT('',(5.825E1,8.48E0,-5.999997052193E-1)); +#45867=VERTEX_POINT('',#45866); +#45868=CARTESIAN_POINT('',(5.884999960712E1,-6.199999995577E-1, +3.928739182868E-7)); +#45869=VERTEX_POINT('',#45868); +#45870=CARTESIAN_POINT('',(5.824999973296E1,-6.199999996993E-1, +-5.999997329685E-1)); +#45871=VERTEX_POINT('',#45870); +#45872=CARTESIAN_POINT('',(5.245E1,-7.019998658900E0,1.016161482070E-6)); +#45873=VERTEX_POINT('',#45872); +#45874=CARTESIAN_POINT('',(5.245E1,-6.42E0,-5.999997052193E-1)); +#45875=VERTEX_POINT('',#45874); +#45876=CARTESIAN_POINT('',(3.763483098168E1,-7.94E0,0.E0)); +#45877=VERTEX_POINT('',#45876); +#45878=CARTESIAN_POINT('',(2.746516901832E1,-7.94E0,0.E0)); +#45879=CARTESIAN_POINT('',(2.746516901832E1,-7.64E0,0.E0)); +#45880=VERTEX_POINT('',#45878); +#45881=VERTEX_POINT('',#45879); +#45882=CARTESIAN_POINT('',(4.976516901832E1,-7.94E0,0.E0)); +#45883=VERTEX_POINT('',#45882); +#45884=CARTESIAN_POINT('',(5.885E1,-8.34E0,0.E0)); +#45885=VERTEX_POINT('',#45884); +#45886=CARTESIAN_POINT('',(-8.315169018316E0,-7.94E0,0.E0)); +#45887=VERTEX_POINT('',#45886); +#45888=CARTESIAN_POINT('',(-1.848483098168E1,-7.94E0,0.E0)); +#45889=VERTEX_POINT('',#45888); +#45890=CARTESIAN_POINT('',(-3.331516901832E1,-7.94E0,0.E0)); +#45891=VERTEX_POINT('',#45890); +#45892=CARTESIAN_POINT('',(-4.348483098168E1,-7.94E0,0.E0)); +#45893=VERTEX_POINT('',#45892); +#45894=CARTESIAN_POINT('',(5.885E1,1.62E1,0.E0)); +#45895=VERTEX_POINT('',#45894); +#45896=CARTESIAN_POINT('',(4.976516901832E1,1.58E1,0.E0)); +#45897=VERTEX_POINT('',#45896); +#45898=CARTESIAN_POINT('',(-4.348483098168E1,1.58E1,0.E0)); +#45899=VERTEX_POINT('',#45898); +#45900=CARTESIAN_POINT('',(-3.331516901832E1,1.58E1,0.E0)); +#45901=VERTEX_POINT('',#45900); +#45902=CARTESIAN_POINT('',(2.746516901832E1,1.58E1,0.E0)); +#45903=VERTEX_POINT('',#45902); +#45904=CARTESIAN_POINT('',(3.763483098168E1,1.58E1,0.E0)); +#45905=VERTEX_POINT('',#45904); +#45906=CARTESIAN_POINT('',(-1.348483098168E1,1.58E1,0.E0)); +#45907=VERTEX_POINT('',#45906); +#45908=CARTESIAN_POINT('',(-3.315169018316E0,1.58E1,0.E0)); +#45909=VERTEX_POINT('',#45908); +#45910=CARTESIAN_POINT('',(-3.670000016327E1,1.867000006364E1,2.E0)); +#45911=CARTESIAN_POINT('',(-4.009999983673E1,1.867000006364E1,2.E0)); +#45912=VERTEX_POINT('',#45910); +#45913=VERTEX_POINT('',#45911); +#45914=CARTESIAN_POINT('',(-4.009999983673E1,-1.081000006364E1,2.E0)); +#45915=CARTESIAN_POINT('',(-3.670000016327E1,-1.081000006364E1,2.E0)); +#45916=VERTEX_POINT('',#45914); +#45917=VERTEX_POINT('',#45915); +#45918=CARTESIAN_POINT('',(-1.509999983673E1,-1.081000006364E1,2.E0)); +#45919=CARTESIAN_POINT('',(-1.170000016327E1,-1.081000006364E1,2.E0)); +#45920=VERTEX_POINT('',#45918); +#45921=VERTEX_POINT('',#45919); +#45922=CARTESIAN_POINT('',(-6.700000163274E0,1.867000006364E1,2.E0)); +#45923=CARTESIAN_POINT('',(-1.009999983673E1,1.867000006364E1,2.E0)); +#45924=VERTEX_POINT('',#45922); +#45925=VERTEX_POINT('',#45923); +#45926=CARTESIAN_POINT('',(3.424999983673E1,1.867000006364E1,2.E0)); +#45927=CARTESIAN_POINT('',(3.085000016327E1,1.867000006364E1,2.E0)); +#45928=VERTEX_POINT('',#45926); +#45929=VERTEX_POINT('',#45927); +#45930=CARTESIAN_POINT('',(3.085000016327E1,-1.081000006364E1,2.E0)); +#45931=CARTESIAN_POINT('',(3.424999983673E1,-1.081000006364E1,2.E0)); +#45932=VERTEX_POINT('',#45930); +#45933=VERTEX_POINT('',#45931); +#45934=CARTESIAN_POINT('',(5.315000016327E1,-1.081000006364E1,2.E0)); +#45935=CARTESIAN_POINT('',(5.654999983673E1,-1.081000006364E1,2.E0)); +#45936=VERTEX_POINT('',#45934); +#45937=VERTEX_POINT('',#45935); +#45938=CARTESIAN_POINT('',(5.654999983673E1,1.867000006364E1,2.E0)); +#45939=CARTESIAN_POINT('',(5.315000016327E1,1.867000006364E1,2.E0)); +#45940=VERTEX_POINT('',#45938); +#45941=VERTEX_POINT('',#45939); +#45942=CARTESIAN_POINT('',(5.525E1,-3.42E0,-1.765E1)); +#45943=CARTESIAN_POINT('',(5.525E1,1.128E1,-1.765E1)); +#45944=VERTEX_POINT('',#45942); +#45945=VERTEX_POINT('',#45943); +#45946=CARTESIAN_POINT('',(2.15E1,1.128E1,-1.765E1)); +#45947=CARTESIAN_POINT('',(2.15E1,-3.42E0,-1.765E1)); +#45948=VERTEX_POINT('',#45946); +#45949=VERTEX_POINT('',#45947); +#45950=CARTESIAN_POINT('',(-5.826492031553E1,2.429138339224E0,-1.765E1)); +#45951=CARTESIAN_POINT('',(-5.826492031553E1,2.429138339224E0,-1.995E1)); +#45952=VERTEX_POINT('',#45950); +#45953=VERTEX_POINT('',#45951); +#45954=CARTESIAN_POINT('',(1.135E1,-3.42E0,-1.765E1)); +#45955=CARTESIAN_POINT('',(1.135E1,-3.42E0,-1.995E1)); +#45956=VERTEX_POINT('',#45954); +#45957=VERTEX_POINT('',#45955); +#45958=CARTESIAN_POINT('',(5.525E1,-3.42E0,-1.995E1)); +#45959=VERTEX_POINT('',#45958); +#45960=CARTESIAN_POINT('',(2.15E1,-3.42E0,-1.995E1)); +#45961=VERTEX_POINT('',#45960); +#45962=CARTESIAN_POINT('',(-5.251109337385E1,1.289435935394E1,-1.765E1)); +#45963=VERTEX_POINT('',#45962); +#45964=CARTESIAN_POINT('',(1.087860512464E1,1.289435935394E1,-1.765E1)); +#45965=VERTEX_POINT('',#45964); +#45966=VERTEX_POINT('',#28618); +#45967=CARTESIAN_POINT('',(5.825E1,8.48E0,-1.765E1)); +#45968=VERTEX_POINT('',#45967); +#45969=CARTESIAN_POINT('',(5.477860512464E1,1.289435935394E1,-1.765E1)); +#45970=VERTEX_POINT('',#45969); +#45971=CARTESIAN_POINT('',(2.197139487536E1,1.289435935394E1,-1.765E1)); +#45972=VERTEX_POINT('',#45971); +#45973=CARTESIAN_POINT('',(-5.300395079368E1,1.217942555948E1,-1.765E1)); +#45974=VERTEX_POINT('',#45973); +#45975=VERTEX_POINT('',#28874); +#45976=VERTEX_POINT('',#28881); +#45977=VERTEX_POINT('',#7410); +#45978=VERTEX_POINT('',#7417); +#45979=VERTEX_POINT('',#28974); +#45980=VERTEX_POINT('',#28981); +#45981=VERTEX_POINT('',#6873); +#45982=VERTEX_POINT('',#6880); +#45983=CARTESIAN_POINT('',(-5.681126270353E1,-5.041276595745E0,-1.765E1)); +#45984=VERTEX_POINT('',#45983); +#45985=CARTESIAN_POINT('',(1.087417554859E1,-5.041276595745E0,-1.765E1)); +#45986=VERTEX_POINT('',#45985); +#45987=CARTESIAN_POINT('',(-5.799552845233E1,-3.72E0,-1.995E1)); +#45988=CARTESIAN_POINT('',(1.133496231132E1,-3.72E0,-1.995E1)); +#45989=VERTEX_POINT('',#45987); +#45990=VERTEX_POINT('',#45988); +#45991=CARTESIAN_POINT('',(2.151503768868E1,-3.72E0,-1.995E1)); +#45992=CARTESIAN_POINT('',(5.523496231132E1,-3.72E0,-1.995E1)); +#45993=VERTEX_POINT('',#45991); +#45994=VERTEX_POINT('',#45992); +#45995=VERTEX_POINT('',#28632); +#45996=VERTEX_POINT('',#28642); +#45997=CARTESIAN_POINT('',(5.825E1,-6.2E-1,-1.765E1)); +#45998=VERTEX_POINT('',#45997); +#45999=CARTESIAN_POINT('',(-5.303874720721E1,1.211493649054E1,-1.9E1)); +#46000=CARTESIAN_POINT('',(1.123147202950E1,1.211493649054E1,-1.9E1)); +#46001=VERTEX_POINT('',#45999); +#46002=VERTEX_POINT('',#46000); +#46003=CARTESIAN_POINT('',(2.161852797050E1,1.211493649054E1,-1.9E1)); +#46004=CARTESIAN_POINT('',(5.513147202950E1,1.211493649054E1,-1.9E1)); +#46005=VERTEX_POINT('',#46003); +#46006=VERTEX_POINT('',#46004); +#46007=CARTESIAN_POINT('',(-5.465745937528E1,9.114936490539E0,-1.9E1)); +#46008=VERTEX_POINT('',#46007); +#46009=CARTESIAN_POINT('',(-5.575671686674E1,7.077654916055E0,-1.995E1)); +#46010=VERTEX_POINT('',#46009); +#46011=CARTESIAN_POINT('',(1.135E1,1.128E1,-1.9E1)); +#46012=CARTESIAN_POINT('',(1.135E1,9.114936490539E0,-1.9E1)); +#46013=VERTEX_POINT('',#46011); +#46014=VERTEX_POINT('',#46012); +#46015=CARTESIAN_POINT('',(1.135E1,7.077654916055E0,-1.995E1)); +#46016=VERTEX_POINT('',#46015); +#46017=CARTESIAN_POINT('',(5.525E1,1.128E1,-1.9E1)); +#46018=CARTESIAN_POINT('',(5.525E1,9.114936490539E0,-1.9E1)); +#46019=VERTEX_POINT('',#46017); +#46020=VERTEX_POINT('',#46018); +#46021=CARTESIAN_POINT('',(5.525E1,7.077654916055E0,-1.995E1)); +#46022=VERTEX_POINT('',#46021); +#46023=CARTESIAN_POINT('',(2.15E1,1.128E1,-1.9E1)); +#46024=VERTEX_POINT('',#46023); +#46025=CARTESIAN_POINT('',(2.15E1,9.114936490539E0,-1.9E1)); +#46026=VERTEX_POINT('',#46025); +#46027=CARTESIAN_POINT('',(2.15E1,7.077654916055E0,-1.995E1)); +#46028=VERTEX_POINT('',#46027); +#46029=CARTESIAN_POINT('',(1.135E1,1.128E1,-1.765E1)); +#46030=VERTEX_POINT('',#46029); +#46031=CARTESIAN_POINT('',(1.85E1,6.83E0,-1.765E1)); +#46032=CARTESIAN_POINT('',(2.02E1,6.83E0,-1.765E1)); +#46033=VERTEX_POINT('',#46031); +#46034=VERTEX_POINT('',#46032); +#46035=CARTESIAN_POINT('',(2.02E1,9.73E0,-1.765E1)); +#46036=VERTEX_POINT('',#46035); +#46037=CARTESIAN_POINT('',(1.863629979607E1,9.73E0,-1.765E1)); +#46038=VERTEX_POINT('',#46037); +#46039=CARTESIAN_POINT('',(1.863629979607E1,-1.87E0,-1.765E1)); +#46040=CARTESIAN_POINT('',(2.02E1,-1.87E0,-1.765E1)); +#46041=VERTEX_POINT('',#46039); +#46042=VERTEX_POINT('',#46040); +#46043=CARTESIAN_POINT('',(2.02E1,1.03E0,-1.765E1)); +#46044=VERTEX_POINT('',#46043); +#46045=CARTESIAN_POINT('',(1.85E1,1.03E0,-1.765E1)); +#46046=VERTEX_POINT('',#46045); +#46047=CARTESIAN_POINT('',(1.85E1,8.48E0,-6.75E0)); +#46048=VERTEX_POINT('',#46047); +#46049=CARTESIAN_POINT('',(1.85E1,-6.2E-1,-6.75E0)); +#46050=VERTEX_POINT('',#46049); +#46051=CARTESIAN_POINT('',(-5.75E1,-1.062620121135E0,-1.765020805591E1)); +#46052=CARTESIAN_POINT('',(-5.75E1,-1.677379878865E0,-1.765020805591E1)); +#46053=VERTEX_POINT('',#46051); +#46054=VERTEX_POINT('',#46052); +#46055=CARTESIAN_POINT('',(-5.47E1,-1.677379878865E0,-1.765020805591E1)); +#46056=CARTESIAN_POINT('',(-5.47E1,-1.062620121135E0,-1.765020805591E1)); +#46057=VERTEX_POINT('',#46055); +#46058=VERTEX_POINT('',#46056); +#46059=CARTESIAN_POINT('',(-5.1E1,-1.062620121135E0,-1.765020805591E1)); +#46060=CARTESIAN_POINT('',(-5.1E1,-1.677379878865E0,-1.765020805591E1)); +#46061=VERTEX_POINT('',#46059); +#46062=VERTEX_POINT('',#46060); +#46063=CARTESIAN_POINT('',(-4.82E1,-1.677379878865E0,-1.765020805591E1)); +#46064=CARTESIAN_POINT('',(-4.82E1,-1.062620121135E0,-1.765020805591E1)); +#46065=VERTEX_POINT('',#46063); +#46066=VERTEX_POINT('',#46064); +#46067=CARTESIAN_POINT('',(-5.425E1,4.937379878865E0,-1.765020805591E1)); +#46068=CARTESIAN_POINT('',(-5.425E1,4.322620121135E0,-1.765020805591E1)); +#46069=VERTEX_POINT('',#46067); +#46070=VERTEX_POINT('',#46068); +#46071=CARTESIAN_POINT('',(-5.145E1,4.322620121135E0,-1.765020805591E1)); +#46072=CARTESIAN_POINT('',(-5.145E1,4.937379878865E0,-1.765020805591E1)); +#46073=VERTEX_POINT('',#46071); +#46074=VERTEX_POINT('',#46072); +#46075=CARTESIAN_POINT('',(-4.775E1,4.937379878865E0,-1.765020805591E1)); +#46076=CARTESIAN_POINT('',(-4.775E1,4.322620121135E0,-1.765020805591E1)); +#46077=VERTEX_POINT('',#46075); +#46078=VERTEX_POINT('',#46076); +#46079=CARTESIAN_POINT('',(-4.495E1,4.322620121135E0,-1.765020805591E1)); +#46080=CARTESIAN_POINT('',(-4.495E1,4.937379878865E0,-1.765020805591E1)); +#46081=VERTEX_POINT('',#46079); +#46082=VERTEX_POINT('',#46080); +#46083=CARTESIAN_POINT('',(-5.495E1,3.63E0,-1.995E1)); +#46084=CARTESIAN_POINT('',(-5.075E1,3.63E0,-1.995E1)); +#46085=VERTEX_POINT('',#46083); +#46086=VERTEX_POINT('',#46084); +#46087=CARTESIAN_POINT('',(-5.075E1,5.63E0,-1.995E1)); +#46088=VERTEX_POINT('',#46087); +#46089=CARTESIAN_POINT('',(-5.495E1,5.63E0,-1.995E1)); +#46090=VERTEX_POINT('',#46089); +#46091=CARTESIAN_POINT('',(-4.845E1,3.63E0,-1.995E1)); +#46092=CARTESIAN_POINT('',(-4.425E1,3.63E0,-1.995E1)); +#46093=VERTEX_POINT('',#46091); +#46094=VERTEX_POINT('',#46092); +#46095=CARTESIAN_POINT('',(-4.425E1,5.63E0,-1.995E1)); +#46096=VERTEX_POINT('',#46095); +#46097=CARTESIAN_POINT('',(-4.845E1,5.63E0,-1.995E1)); +#46098=VERTEX_POINT('',#46097); +#46099=CARTESIAN_POINT('',(-5.82E1,-2.37E0,-1.995E1)); +#46100=CARTESIAN_POINT('',(-5.4E1,-2.37E0,-1.995E1)); +#46101=VERTEX_POINT('',#46099); +#46102=VERTEX_POINT('',#46100); +#46103=CARTESIAN_POINT('',(-5.4E1,-3.7E-1,-1.995E1)); +#46104=VERTEX_POINT('',#46103); +#46105=CARTESIAN_POINT('',(-5.82E1,-3.7E-1,-1.995E1)); +#46106=VERTEX_POINT('',#46105); +#46107=CARTESIAN_POINT('',(-5.17E1,-2.37E0,-1.995E1)); +#46108=CARTESIAN_POINT('',(-4.75E1,-2.37E0,-1.995E1)); +#46109=VERTEX_POINT('',#46107); +#46110=VERTEX_POINT('',#46108); +#46111=CARTESIAN_POINT('',(-4.75E1,-3.7E-1,-1.995E1)); +#46112=VERTEX_POINT('',#46111); +#46113=CARTESIAN_POINT('',(-5.17E1,-3.7E-1,-1.995E1)); +#46114=VERTEX_POINT('',#46113); +#46115=CARTESIAN_POINT('',(-5.075E1,3.63E0,-1.885E1)); +#46116=CARTESIAN_POINT('',(-5.075E1,5.63E0,-1.885E1)); +#46117=VERTEX_POINT('',#46115); +#46118=VERTEX_POINT('',#46116); +#46119=CARTESIAN_POINT('',(-5.495E1,5.63E0,-1.885E1)); +#46120=VERTEX_POINT('',#46119); +#46121=CARTESIAN_POINT('',(-5.495E1,3.63E0,-1.885E1)); +#46122=VERTEX_POINT('',#46121); +#46123=CARTESIAN_POINT('',(-4.425E1,5.63E0,-1.885E1)); +#46124=CARTESIAN_POINT('',(-4.845E1,5.63E0,-1.885E1)); +#46125=VERTEX_POINT('',#46123); +#46126=VERTEX_POINT('',#46124); +#46127=CARTESIAN_POINT('',(-4.425E1,3.63E0,-1.885E1)); +#46128=VERTEX_POINT('',#46127); +#46129=CARTESIAN_POINT('',(-4.845E1,3.63E0,-1.885E1)); +#46130=VERTEX_POINT('',#46129); +#46131=CARTESIAN_POINT('',(-5.4E1,-3.7E-1,-1.885E1)); +#46132=CARTESIAN_POINT('',(-5.82E1,-3.7E-1,-1.885E1)); +#46133=VERTEX_POINT('',#46131); +#46134=VERTEX_POINT('',#46132); +#46135=CARTESIAN_POINT('',(-5.82E1,-2.37E0,-1.885E1)); +#46136=VERTEX_POINT('',#46135); +#46137=CARTESIAN_POINT('',(-5.4E1,-2.37E0,-1.885E1)); +#46138=VERTEX_POINT('',#46137); +#46139=CARTESIAN_POINT('',(-4.75E1,-2.37E0,-1.885E1)); +#46140=CARTESIAN_POINT('',(-4.75E1,-3.7E-1,-1.885E1)); +#46141=VERTEX_POINT('',#46139); +#46142=VERTEX_POINT('',#46140); +#46143=CARTESIAN_POINT('',(-5.17E1,-3.7E-1,-1.885E1)); +#46144=VERTEX_POINT('',#46143); +#46145=CARTESIAN_POINT('',(-5.17E1,-2.37E0,-1.885E1)); +#46146=VERTEX_POINT('',#46145); +#46147=CARTESIAN_POINT('',(-5.425717967697E1,4.322820323028E0,-1.765E1)); +#46148=VERTEX_POINT('',#46147); +#46149=CARTESIAN_POINT('',(-5.425717967697E1,4.937179676972E0,-1.765E1)); +#46150=VERTEX_POINT('',#46149); +#46151=CARTESIAN_POINT('',(-5.144282032303E1,4.322820323028E0,-1.765E1)); +#46152=VERTEX_POINT('',#46151); +#46153=CARTESIAN_POINT('',(-5.144282032303E1,4.937179676972E0,-1.765E1)); +#46154=VERTEX_POINT('',#46153); +#46155=CARTESIAN_POINT('',(-4.775717967697E1,4.322820323028E0,-1.765E1)); +#46156=VERTEX_POINT('',#46155); +#46157=CARTESIAN_POINT('',(-4.494282032303E1,4.322820323028E0,-1.765E1)); +#46158=VERTEX_POINT('',#46157); +#46159=CARTESIAN_POINT('',(-4.494282032303E1,4.937179676972E0,-1.765E1)); +#46160=VERTEX_POINT('',#46159); +#46161=CARTESIAN_POINT('',(-4.775717967697E1,4.937179676972E0,-1.765E1)); +#46162=VERTEX_POINT('',#46161); +#46163=CARTESIAN_POINT('',(-5.469282032303E1,-1.677179676972E0,-1.765E1)); +#46164=VERTEX_POINT('',#46163); +#46165=CARTESIAN_POINT('',(-5.750717967697E1,-1.677179676972E0,-1.765E1)); +#46166=VERTEX_POINT('',#46165); +#46167=CARTESIAN_POINT('',(-5.469282032303E1,-1.062820323028E0,-1.765E1)); +#46168=VERTEX_POINT('',#46167); +#46169=CARTESIAN_POINT('',(-5.750717967697E1,-1.062820323028E0,-1.765E1)); +#46170=VERTEX_POINT('',#46169); +#46171=CARTESIAN_POINT('',(-5.100717967697E1,-1.677179676972E0,-1.765E1)); +#46172=VERTEX_POINT('',#46171); +#46173=CARTESIAN_POINT('',(-5.100717967697E1,-1.062820323028E0,-1.765E1)); +#46174=VERTEX_POINT('',#46173); +#46175=CARTESIAN_POINT('',(-4.819282032303E1,-1.677179676972E0,-1.765E1)); +#46176=VERTEX_POINT('',#46175); +#46177=CARTESIAN_POINT('',(-4.819282032303E1,-1.062820323028E0,-1.765E1)); +#46178=VERTEX_POINT('',#46177); +#46179=CARTESIAN_POINT('',(-4.115E1,-2.155E0,-1.765E1)); +#46180=VERTEX_POINT('',#46179); +#46181=CARTESIAN_POINT('',(-4.115E1,-2.785E0,-1.765E1)); +#46182=VERTEX_POINT('',#46181); +#46183=CARTESIAN_POINT('',(-4.055E1,-2.785E0,-1.765E1)); +#46184=VERTEX_POINT('',#46183); +#46185=CARTESIAN_POINT('',(-4.055E1,-2.155E0,-1.765E1)); +#46186=VERTEX_POINT('',#46185); +#46187=CARTESIAN_POINT('',(-4.115E1,6.645E0,-1.765E1)); +#46188=VERTEX_POINT('',#46187); +#46189=CARTESIAN_POINT('',(-4.115E1,6.015E0,-1.765E1)); +#46190=VERTEX_POINT('',#46189); +#46191=CARTESIAN_POINT('',(-4.055E1,6.015E0,-1.765E1)); +#46192=VERTEX_POINT('',#46191); +#46193=CARTESIAN_POINT('',(-4.055E1,6.645E0,-1.765E1)); +#46194=VERTEX_POINT('',#46193); +#46195=CARTESIAN_POINT('',(-4.005E1,6.73E0,-1.765E1)); +#46196=CARTESIAN_POINT('',(-4.005E1,5.93E0,-1.765E1)); +#46197=VERTEX_POINT('',#46195); +#46198=VERTEX_POINT('',#46196); +#46199=CARTESIAN_POINT('',(-3.975E1,7.03E0,-1.795E1)); +#46200=CARTESIAN_POINT('',(-3.975E1,5.63E0,-1.795E1)); +#46201=VERTEX_POINT('',#46199); +#46202=VERTEX_POINT('',#46200); +#46203=CARTESIAN_POINT('',(-4.005E1,-2.07E0,-1.765E1)); +#46204=CARTESIAN_POINT('',(-4.005E1,-2.87E0,-1.765E1)); +#46205=VERTEX_POINT('',#46203); +#46206=VERTEX_POINT('',#46204); +#46207=CARTESIAN_POINT('',(-3.975E1,-1.77E0,-1.795E1)); +#46208=CARTESIAN_POINT('',(-3.975E1,-3.17E0,-1.795E1)); +#46209=VERTEX_POINT('',#46207); +#46210=VERTEX_POINT('',#46208); +#46211=CARTESIAN_POINT('',(2.395E1,6.645E0,-1.765E1)); +#46212=VERTEX_POINT('',#46211); +#46213=CARTESIAN_POINT('',(2.395E1,6.015E0,-1.765E1)); +#46214=VERTEX_POINT('',#46213); +#46215=CARTESIAN_POINT('',(2.455E1,6.015E0,-1.765E1)); +#46216=VERTEX_POINT('',#46215); +#46217=CARTESIAN_POINT('',(2.455E1,6.645E0,-1.765E1)); +#46218=VERTEX_POINT('',#46217); +#46219=CARTESIAN_POINT('',(2.395E1,-2.155E0,-1.765E1)); +#46220=VERTEX_POINT('',#46219); +#46221=CARTESIAN_POINT('',(2.395E1,-2.785E0,-1.765E1)); +#46222=VERTEX_POINT('',#46221); +#46223=CARTESIAN_POINT('',(2.455E1,-2.785E0,-1.765E1)); +#46224=VERTEX_POINT('',#46223); +#46225=CARTESIAN_POINT('',(2.455E1,-2.155E0,-1.765E1)); +#46226=VERTEX_POINT('',#46225); +#46227=CARTESIAN_POINT('',(2.535E1,7.03E0,-1.795E1)); +#46228=CARTESIAN_POINT('',(2.535E1,5.63E0,-1.795E1)); +#46229=VERTEX_POINT('',#46227); +#46230=VERTEX_POINT('',#46228); +#46231=CARTESIAN_POINT('',(2.505E1,6.73E0,-1.765E1)); +#46232=CARTESIAN_POINT('',(2.505E1,5.93E0,-1.765E1)); +#46233=VERTEX_POINT('',#46231); +#46234=VERTEX_POINT('',#46232); +#46235=CARTESIAN_POINT('',(2.505E1,-2.07E0,-1.765E1)); +#46236=CARTESIAN_POINT('',(2.505E1,-2.87E0,-1.765E1)); +#46237=VERTEX_POINT('',#46235); +#46238=VERTEX_POINT('',#46236); +#46239=CARTESIAN_POINT('',(2.535E1,-1.77E0,-1.795E1)); +#46240=CARTESIAN_POINT('',(2.535E1,-3.17E0,-1.795E1)); +#46241=VERTEX_POINT('',#46239); +#46242=VERTEX_POINT('',#46240); +#46243=CARTESIAN_POINT('',(-3.98E1,9.63E0,-1.816282873211E1)); +#46244=CARTESIAN_POINT('',(-3.76E1,9.63E0,-1.816282873211E1)); +#46245=VERTEX_POINT('',#46243); +#46246=VERTEX_POINT('',#46244); +#46247=CARTESIAN_POINT('',(-3.98E1,8.3E-1,-1.812E1)); +#46248=CARTESIAN_POINT('',(-3.76E1,8.3E-1,-1.812E1)); +#46249=VERTEX_POINT('',#46247); +#46250=VERTEX_POINT('',#46248); +#46251=CARTESIAN_POINT('',(-3.9E1,1.0645E1,-1.765E1)); +#46252=CARTESIAN_POINT('',(-3.9E1,1.0015E1,-1.765E1)); +#46253=VERTEX_POINT('',#46251); +#46254=VERTEX_POINT('',#46252); +#46255=CARTESIAN_POINT('',(-3.84E1,1.0015E1,-1.765E1)); +#46256=VERTEX_POINT('',#46255); +#46257=CARTESIAN_POINT('',(-3.84E1,1.0645E1,-1.765E1)); +#46258=VERTEX_POINT('',#46257); +#46259=CARTESIAN_POINT('',(-3.9E1,1.845E0,-1.765E1)); +#46260=CARTESIAN_POINT('',(-3.9E1,1.215E0,-1.765E1)); +#46261=VERTEX_POINT('',#46259); +#46262=VERTEX_POINT('',#46260); +#46263=CARTESIAN_POINT('',(-3.84E1,1.215E0,-1.765E1)); +#46264=VERTEX_POINT('',#46263); +#46265=CARTESIAN_POINT('',(-3.84E1,1.845E0,-1.765E1)); +#46266=VERTEX_POINT('',#46265); +#46267=CARTESIAN_POINT('',(-3.98E1,2.404977327052E0,-1.995E1)); +#46268=CARTESIAN_POINT('',(-3.98E1,-1.595022672948E0,-1.995E1)); +#46269=VERTEX_POINT('',#46267); +#46270=VERTEX_POINT('',#46268); +#46271=CARTESIAN_POINT('',(-3.76E1,2.404977327052E0,-1.995E1)); +#46272=CARTESIAN_POINT('',(-3.76E1,-1.595022672948E0,-1.995E1)); +#46273=VERTEX_POINT('',#46271); +#46274=VERTEX_POINT('',#46272); +#46275=CARTESIAN_POINT('',(-3.975E1,7.077654916055E0,-1.995E1)); +#46276=CARTESIAN_POINT('',(-3.765E1,7.077654916055E0,-1.995E1)); +#46277=VERTEX_POINT('',#46275); +#46278=VERTEX_POINT('',#46276); +#46279=CARTESIAN_POINT('',(-3.975E1,-1.783510852338E0,-1.995E1)); +#46280=CARTESIAN_POINT('',(-3.765E1,-1.783510852338E0,-1.995E1)); +#46281=VERTEX_POINT('',#46279); +#46282=VERTEX_POINT('',#46280); +#46283=CARTESIAN_POINT('',(-3.98E1,9.114936490539E0,-1.9E1)); +#46284=CARTESIAN_POINT('',(-3.98E1,1.121514332974E1,-1.9E1)); +#46285=VERTEX_POINT('',#46283); +#46286=VERTEX_POINT('',#46284); +#46287=CARTESIAN_POINT('',(-3.76E1,9.114936490539E0,-1.9E1)); +#46288=CARTESIAN_POINT('',(-3.76E1,1.121514332974E1,-1.9E1)); +#46289=VERTEX_POINT('',#46287); +#46290=VERTEX_POINT('',#46288); +#46291=CARTESIAN_POINT('',(-3.98E1,7.199986605899E0,-1.989295579619E1)); +#46292=VERTEX_POINT('',#46291); +#46293=CARTESIAN_POINT('',(-3.76E1,7.199986605899E0,-1.989295579619E1)); +#46294=VERTEX_POINT('',#46293); +#46295=CARTESIAN_POINT('',(-3.98E1,7.197627763800E0,-1.986599410763E1)); +#46296=VERTEX_POINT('',#46295); +#46297=CARTESIAN_POINT('',(-3.98E1,-1.605902971128E0,-1.982563762273E1)); +#46298=VERTEX_POINT('',#46297); +#46299=CARTESIAN_POINT('',(-3.76E1,7.197627763800E0,-1.986599410763E1)); +#46300=VERTEX_POINT('',#46299); +#46301=CARTESIAN_POINT('',(-3.76E1,-1.605902971128E0,-1.982563762273E1)); +#46302=VERTEX_POINT('',#46301); +#46303=CARTESIAN_POINT('',(-3.98E1,9.63E0,-1.795E1)); +#46304=CARTESIAN_POINT('',(-3.98E1,1.103E1,-1.795E1)); +#46305=VERTEX_POINT('',#46303); +#46306=VERTEX_POINT('',#46304); +#46307=CARTESIAN_POINT('',(-3.95E1,9.93E0,-1.765E1)); +#46308=CARTESIAN_POINT('',(-3.95E1,1.073E1,-1.765E1)); +#46309=VERTEX_POINT('',#46307); +#46310=VERTEX_POINT('',#46308); +#46311=CARTESIAN_POINT('',(-3.76E1,9.63E0,-1.795E1)); +#46312=VERTEX_POINT('',#46311); +#46313=CARTESIAN_POINT('',(-3.79E1,9.93E0,-1.765E1)); +#46314=VERTEX_POINT('',#46313); +#46315=CARTESIAN_POINT('',(-3.79E1,1.073E1,-1.765E1)); +#46316=VERTEX_POINT('',#46315); +#46317=CARTESIAN_POINT('',(-3.76E1,1.103E1,-1.795E1)); +#46318=VERTEX_POINT('',#46317); +#46319=CARTESIAN_POINT('',(-3.79E1,1.93E0,-1.765E1)); +#46320=CARTESIAN_POINT('',(-3.79E1,1.13E0,-1.765E1)); +#46321=VERTEX_POINT('',#46319); +#46322=VERTEX_POINT('',#46320); +#46323=CARTESIAN_POINT('',(-3.76E1,2.23E0,-1.795E1)); +#46324=CARTESIAN_POINT('',(-3.76E1,8.3E-1,-1.795E1)); +#46325=VERTEX_POINT('',#46323); +#46326=VERTEX_POINT('',#46324); +#46327=CARTESIAN_POINT('',(-3.95E1,1.93E0,-1.765E1)); +#46328=VERTEX_POINT('',#46327); +#46329=CARTESIAN_POINT('',(-3.98E1,8.3E-1,-1.795E1)); +#46330=CARTESIAN_POINT('',(-3.98E1,2.23E0,-1.795E1)); +#46331=VERTEX_POINT('',#46329); +#46332=VERTEX_POINT('',#46330); +#46333=CARTESIAN_POINT('',(-3.95E1,1.13E0,-1.765E1)); +#46334=VERTEX_POINT('',#46333); +#46335=CARTESIAN_POINT('',(2.53E1,9.63E0,-1.816282873211E1)); +#46336=CARTESIAN_POINT('',(2.75E1,9.63E0,-1.816282873211E1)); +#46337=VERTEX_POINT('',#46335); +#46338=VERTEX_POINT('',#46336); +#46339=CARTESIAN_POINT('',(2.53E1,8.3E-1,-1.832E1)); +#46340=CARTESIAN_POINT('',(2.75E1,8.3E-1,-1.832E1)); +#46341=VERTEX_POINT('',#46339); +#46342=VERTEX_POINT('',#46340); +#46343=CARTESIAN_POINT('',(2.61E1,1.0645E1,-1.765E1)); +#46344=CARTESIAN_POINT('',(2.61E1,1.0015E1,-1.765E1)); +#46345=VERTEX_POINT('',#46343); +#46346=VERTEX_POINT('',#46344); +#46347=CARTESIAN_POINT('',(2.67E1,1.0015E1,-1.765E1)); +#46348=VERTEX_POINT('',#46347); +#46349=CARTESIAN_POINT('',(2.67E1,1.0645E1,-1.765E1)); +#46350=VERTEX_POINT('',#46349); +#46351=CARTESIAN_POINT('',(2.61E1,1.845E0,-1.765E1)); +#46352=CARTESIAN_POINT('',(2.61E1,1.215E0,-1.765E1)); +#46353=VERTEX_POINT('',#46351); +#46354=VERTEX_POINT('',#46352); +#46355=CARTESIAN_POINT('',(2.67E1,1.215E0,-1.765E1)); +#46356=VERTEX_POINT('',#46355); +#46357=CARTESIAN_POINT('',(2.67E1,1.845E0,-1.765E1)); +#46358=VERTEX_POINT('',#46357); +#46359=CARTESIAN_POINT('',(2.53E1,2.404977327052E0,-1.995E1)); +#46360=CARTESIAN_POINT('',(2.53E1,-1.497881250990E0,-1.995E1)); +#46361=VERTEX_POINT('',#46359); +#46362=VERTEX_POINT('',#46360); +#46363=CARTESIAN_POINT('',(2.75E1,2.404977327052E0,-1.995E1)); +#46364=CARTESIAN_POINT('',(2.75E1,-1.497881250990E0,-1.995E1)); +#46365=VERTEX_POINT('',#46363); +#46366=VERTEX_POINT('',#46364); +#46367=CARTESIAN_POINT('',(2.535E1,7.077654916055E0,-1.995E1)); +#46368=CARTESIAN_POINT('',(2.745E1,7.077654916055E0,-1.995E1)); +#46369=VERTEX_POINT('',#46367); +#46370=VERTEX_POINT('',#46368); +#46371=CARTESIAN_POINT('',(2.53E1,9.114936490539E0,-1.9E1)); +#46372=CARTESIAN_POINT('',(2.53E1,1.121514332974E1,-1.9E1)); +#46373=VERTEX_POINT('',#46371); +#46374=VERTEX_POINT('',#46372); +#46375=CARTESIAN_POINT('',(2.75E1,9.114936490539E0,-1.9E1)); +#46376=CARTESIAN_POINT('',(2.75E1,1.121514332974E1,-1.9E1)); +#46377=VERTEX_POINT('',#46375); +#46378=VERTEX_POINT('',#46376); +#46379=CARTESIAN_POINT('',(2.53E1,7.199986605899E0,-1.989295579619E1)); +#46380=VERTEX_POINT('',#46379); +#46381=CARTESIAN_POINT('',(2.75E1,7.199986605899E0,-1.989295579619E1)); +#46382=VERTEX_POINT('',#46381); +#46383=CARTESIAN_POINT('',(2.53E1,7.197627763800E0,-1.986599410763E1)); +#46384=VERTEX_POINT('',#46383); +#46385=CARTESIAN_POINT('',(2.75E1,7.197627763800E0,-1.986599410763E1)); +#46386=VERTEX_POINT('',#46385); +#46387=CARTESIAN_POINT('',(2.72E1,1.073E1,-1.765E1)); +#46388=CARTESIAN_POINT('',(2.72E1,9.93E0,-1.765E1)); +#46389=VERTEX_POINT('',#46387); +#46390=VERTEX_POINT('',#46388); +#46391=CARTESIAN_POINT('',(2.75E1,1.103E1,-1.795E1)); +#46392=CARTESIAN_POINT('',(2.75E1,9.63E0,-1.795E1)); +#46393=VERTEX_POINT('',#46391); +#46394=VERTEX_POINT('',#46392); +#46395=CARTESIAN_POINT('',(2.56E1,1.073E1,-1.765E1)); +#46396=VERTEX_POINT('',#46395); +#46397=CARTESIAN_POINT('',(2.53E1,9.63E0,-1.795E1)); +#46398=CARTESIAN_POINT('',(2.53E1,1.103E1,-1.795E1)); +#46399=VERTEX_POINT('',#46397); +#46400=VERTEX_POINT('',#46398); +#46401=CARTESIAN_POINT('',(2.56E1,9.93E0,-1.765E1)); +#46402=VERTEX_POINT('',#46401); +#46403=CARTESIAN_POINT('',(2.72E1,1.93E0,-1.765E1)); +#46404=CARTESIAN_POINT('',(2.72E1,1.13E0,-1.765E1)); +#46405=VERTEX_POINT('',#46403); +#46406=VERTEX_POINT('',#46404); +#46407=CARTESIAN_POINT('',(2.75E1,2.23E0,-1.795E1)); +#46408=CARTESIAN_POINT('',(2.75E1,8.3E-1,-1.795E1)); +#46409=VERTEX_POINT('',#46407); +#46410=VERTEX_POINT('',#46408); +#46411=CARTESIAN_POINT('',(2.56E1,1.93E0,-1.765E1)); +#46412=VERTEX_POINT('',#46411); +#46413=CARTESIAN_POINT('',(2.53E1,8.3E-1,-1.795E1)); +#46414=CARTESIAN_POINT('',(2.53E1,2.23E0,-1.795E1)); +#46415=VERTEX_POINT('',#46413); +#46416=VERTEX_POINT('',#46414); +#46417=CARTESIAN_POINT('',(2.56E1,1.13E0,-1.765E1)); +#46418=VERTEX_POINT('',#46417); +#46419=CARTESIAN_POINT('',(-3.685E1,-2.155E0,-1.065E1)); +#46420=CARTESIAN_POINT('',(-3.685E1,-2.785E0,-1.065E1)); +#46421=VERTEX_POINT('',#46419); +#46422=VERTEX_POINT('',#46420); +#46423=CARTESIAN_POINT('',(-3.625E1,-2.785E0,-1.065E1)); +#46424=VERTEX_POINT('',#46423); +#46425=CARTESIAN_POINT('',(-3.625E1,-2.155E0,-1.065E1)); +#46426=VERTEX_POINT('',#46425); +#46427=CARTESIAN_POINT('',(-3.685E1,6.645E0,-1.065E1)); +#46428=CARTESIAN_POINT('',(-3.685E1,6.015E0,-1.065E1)); +#46429=VERTEX_POINT('',#46427); +#46430=VERTEX_POINT('',#46428); +#46431=CARTESIAN_POINT('',(-3.625E1,6.015E0,-1.065E1)); +#46432=VERTEX_POINT('',#46431); +#46433=CARTESIAN_POINT('',(-3.625E1,6.645E0,-1.065E1)); +#46434=VERTEX_POINT('',#46433); +#46435=CARTESIAN_POINT('',(-3.685E1,-2.155E0,-1.765E1)); +#46436=VERTEX_POINT('',#46435); +#46437=CARTESIAN_POINT('',(-3.685E1,-2.785E0,-1.765E1)); +#46438=VERTEX_POINT('',#46437); +#46439=CARTESIAN_POINT('',(-3.625E1,-2.785E0,-1.765E1)); +#46440=VERTEX_POINT('',#46439); +#46441=CARTESIAN_POINT('',(-3.625E1,-2.155E0,-1.765E1)); +#46442=VERTEX_POINT('',#46441); +#46443=CARTESIAN_POINT('',(-3.685E1,6.645E0,-1.765E1)); +#46444=VERTEX_POINT('',#46443); +#46445=CARTESIAN_POINT('',(-3.685E1,6.015E0,-1.765E1)); +#46446=VERTEX_POINT('',#46445); +#46447=CARTESIAN_POINT('',(-3.625E1,6.015E0,-1.765E1)); +#46448=VERTEX_POINT('',#46447); +#46449=CARTESIAN_POINT('',(-3.625E1,6.645E0,-1.765E1)); +#46450=VERTEX_POINT('',#46449); +#46451=CARTESIAN_POINT('',(-3.575E1,6.73E0,-1.765E1)); +#46452=CARTESIAN_POINT('',(-3.575E1,5.93E0,-1.765E1)); +#46453=VERTEX_POINT('',#46451); +#46454=VERTEX_POINT('',#46452); +#46455=CARTESIAN_POINT('',(-3.545E1,7.03E0,-1.795E1)); +#46456=CARTESIAN_POINT('',(-3.545E1,5.63E0,-1.795E1)); +#46457=VERTEX_POINT('',#46455); +#46458=VERTEX_POINT('',#46456); +#46459=CARTESIAN_POINT('',(-3.575E1,-2.07E0,-1.765E1)); +#46460=CARTESIAN_POINT('',(-3.575E1,-2.87E0,-1.765E1)); +#46461=VERTEX_POINT('',#46459); +#46462=VERTEX_POINT('',#46460); +#46463=CARTESIAN_POINT('',(-3.545E1,-1.77E0,-1.795E1)); +#46464=CARTESIAN_POINT('',(-3.545E1,-3.17E0,-1.795E1)); +#46465=VERTEX_POINT('',#46463); +#46466=VERTEX_POINT('',#46464); +#46467=CARTESIAN_POINT('',(-3.255E1,-2.155E0,-1.065E1)); +#46468=CARTESIAN_POINT('',(-3.255E1,-2.785E0,-1.065E1)); +#46469=VERTEX_POINT('',#46467); +#46470=VERTEX_POINT('',#46468); +#46471=CARTESIAN_POINT('',(-3.195E1,-2.785E0,-1.065E1)); +#46472=VERTEX_POINT('',#46471); +#46473=CARTESIAN_POINT('',(-3.195E1,-2.155E0,-1.065E1)); +#46474=VERTEX_POINT('',#46473); +#46475=CARTESIAN_POINT('',(-3.255E1,6.645E0,-1.065E1)); +#46476=CARTESIAN_POINT('',(-3.255E1,6.015E0,-1.065E1)); +#46477=VERTEX_POINT('',#46475); +#46478=VERTEX_POINT('',#46476); +#46479=CARTESIAN_POINT('',(-3.195E1,6.015E0,-1.065E1)); +#46480=VERTEX_POINT('',#46479); +#46481=CARTESIAN_POINT('',(-3.195E1,6.645E0,-1.065E1)); +#46482=VERTEX_POINT('',#46481); +#46483=CARTESIAN_POINT('',(-3.255E1,-2.155E0,-1.765E1)); +#46484=VERTEX_POINT('',#46483); +#46485=CARTESIAN_POINT('',(-3.255E1,-2.785E0,-1.765E1)); +#46486=VERTEX_POINT('',#46485); +#46487=CARTESIAN_POINT('',(-3.195E1,-2.785E0,-1.765E1)); +#46488=VERTEX_POINT('',#46487); +#46489=CARTESIAN_POINT('',(-3.195E1,-2.155E0,-1.765E1)); +#46490=VERTEX_POINT('',#46489); +#46491=CARTESIAN_POINT('',(-3.255E1,6.645E0,-1.765E1)); +#46492=VERTEX_POINT('',#46491); +#46493=CARTESIAN_POINT('',(-3.255E1,6.015E0,-1.765E1)); +#46494=VERTEX_POINT('',#46493); +#46495=CARTESIAN_POINT('',(-3.195E1,6.015E0,-1.765E1)); +#46496=VERTEX_POINT('',#46495); +#46497=CARTESIAN_POINT('',(-3.195E1,6.645E0,-1.765E1)); +#46498=VERTEX_POINT('',#46497); +#46499=CARTESIAN_POINT('',(-3.145E1,6.73E0,-1.765E1)); +#46500=CARTESIAN_POINT('',(-3.145E1,5.93E0,-1.765E1)); +#46501=VERTEX_POINT('',#46499); +#46502=VERTEX_POINT('',#46500); +#46503=CARTESIAN_POINT('',(-3.115E1,7.03E0,-1.795E1)); +#46504=CARTESIAN_POINT('',(-3.115E1,5.63E0,-1.795E1)); +#46505=VERTEX_POINT('',#46503); +#46506=VERTEX_POINT('',#46504); +#46507=CARTESIAN_POINT('',(-3.145E1,-2.07E0,-1.765E1)); +#46508=CARTESIAN_POINT('',(-3.145E1,-2.87E0,-1.765E1)); +#46509=VERTEX_POINT('',#46507); +#46510=VERTEX_POINT('',#46508); +#46511=CARTESIAN_POINT('',(-3.115E1,-1.77E0,-1.795E1)); +#46512=CARTESIAN_POINT('',(-3.115E1,-3.17E0,-1.795E1)); +#46513=VERTEX_POINT('',#46511); +#46514=VERTEX_POINT('',#46512); +#46515=CARTESIAN_POINT('',(-2.825E1,-2.155E0,-1.065E1)); +#46516=CARTESIAN_POINT('',(-2.825E1,-2.785E0,-1.065E1)); +#46517=VERTEX_POINT('',#46515); +#46518=VERTEX_POINT('',#46516); +#46519=CARTESIAN_POINT('',(-2.765E1,-2.785E0,-1.065E1)); +#46520=VERTEX_POINT('',#46519); +#46521=CARTESIAN_POINT('',(-2.765E1,-2.155E0,-1.065E1)); +#46522=VERTEX_POINT('',#46521); +#46523=CARTESIAN_POINT('',(-2.825E1,6.645E0,-1.065E1)); +#46524=CARTESIAN_POINT('',(-2.825E1,6.015E0,-1.065E1)); +#46525=VERTEX_POINT('',#46523); +#46526=VERTEX_POINT('',#46524); +#46527=CARTESIAN_POINT('',(-2.765E1,6.015E0,-1.065E1)); +#46528=VERTEX_POINT('',#46527); +#46529=CARTESIAN_POINT('',(-2.765E1,6.645E0,-1.065E1)); +#46530=VERTEX_POINT('',#46529); +#46531=CARTESIAN_POINT('',(-2.825E1,-2.155E0,-1.765E1)); +#46532=VERTEX_POINT('',#46531); +#46533=CARTESIAN_POINT('',(-2.825E1,-2.785E0,-1.765E1)); +#46534=VERTEX_POINT('',#46533); +#46535=CARTESIAN_POINT('',(-2.765E1,-2.785E0,-1.765E1)); +#46536=VERTEX_POINT('',#46535); +#46537=CARTESIAN_POINT('',(-2.765E1,-2.155E0,-1.765E1)); +#46538=VERTEX_POINT('',#46537); +#46539=CARTESIAN_POINT('',(-2.825E1,6.645E0,-1.765E1)); +#46540=VERTEX_POINT('',#46539); +#46541=CARTESIAN_POINT('',(-2.825E1,6.015E0,-1.765E1)); +#46542=VERTEX_POINT('',#46541); +#46543=CARTESIAN_POINT('',(-2.765E1,6.015E0,-1.765E1)); +#46544=VERTEX_POINT('',#46543); +#46545=CARTESIAN_POINT('',(-2.765E1,6.645E0,-1.765E1)); +#46546=VERTEX_POINT('',#46545); +#46547=CARTESIAN_POINT('',(-2.715E1,6.73E0,-1.765E1)); +#46548=CARTESIAN_POINT('',(-2.715E1,5.93E0,-1.765E1)); +#46549=VERTEX_POINT('',#46547); +#46550=VERTEX_POINT('',#46548); +#46551=CARTESIAN_POINT('',(-2.685E1,7.03E0,-1.795E1)); +#46552=CARTESIAN_POINT('',(-2.685E1,5.63E0,-1.795E1)); +#46553=VERTEX_POINT('',#46551); +#46554=VERTEX_POINT('',#46552); +#46555=CARTESIAN_POINT('',(-2.715E1,-2.07E0,-1.765E1)); +#46556=CARTESIAN_POINT('',(-2.715E1,-2.87E0,-1.765E1)); +#46557=VERTEX_POINT('',#46555); +#46558=VERTEX_POINT('',#46556); +#46559=CARTESIAN_POINT('',(-2.685E1,-1.77E0,-1.795E1)); +#46560=CARTESIAN_POINT('',(-2.685E1,-3.17E0,-1.795E1)); +#46561=VERTEX_POINT('',#46559); +#46562=VERTEX_POINT('',#46560); +#46563=CARTESIAN_POINT('',(-2.395E1,-2.155E0,-1.065E1)); +#46564=CARTESIAN_POINT('',(-2.395E1,-2.785E0,-1.065E1)); +#46565=VERTEX_POINT('',#46563); +#46566=VERTEX_POINT('',#46564); +#46567=CARTESIAN_POINT('',(-2.335E1,-2.785E0,-1.065E1)); +#46568=VERTEX_POINT('',#46567); +#46569=CARTESIAN_POINT('',(-2.335E1,-2.155E0,-1.065E1)); +#46570=VERTEX_POINT('',#46569); +#46571=CARTESIAN_POINT('',(-2.395E1,6.645E0,-1.065E1)); +#46572=CARTESIAN_POINT('',(-2.395E1,6.015E0,-1.065E1)); +#46573=VERTEX_POINT('',#46571); +#46574=VERTEX_POINT('',#46572); +#46575=CARTESIAN_POINT('',(-2.335E1,6.015E0,-1.065E1)); +#46576=VERTEX_POINT('',#46575); +#46577=CARTESIAN_POINT('',(-2.335E1,6.645E0,-1.065E1)); +#46578=VERTEX_POINT('',#46577); +#46579=CARTESIAN_POINT('',(-2.395E1,-2.155E0,-1.765E1)); +#46580=VERTEX_POINT('',#46579); +#46581=CARTESIAN_POINT('',(-2.395E1,-2.785E0,-1.765E1)); +#46582=VERTEX_POINT('',#46581); +#46583=CARTESIAN_POINT('',(-2.335E1,-2.785E0,-1.765E1)); +#46584=VERTEX_POINT('',#46583); +#46585=CARTESIAN_POINT('',(-2.335E1,-2.155E0,-1.765E1)); +#46586=VERTEX_POINT('',#46585); +#46587=CARTESIAN_POINT('',(-2.395E1,6.645E0,-1.765E1)); +#46588=VERTEX_POINT('',#46587); +#46589=CARTESIAN_POINT('',(-2.395E1,6.015E0,-1.765E1)); +#46590=VERTEX_POINT('',#46589); +#46591=CARTESIAN_POINT('',(-2.335E1,6.015E0,-1.765E1)); +#46592=VERTEX_POINT('',#46591); +#46593=CARTESIAN_POINT('',(-2.335E1,6.645E0,-1.765E1)); +#46594=VERTEX_POINT('',#46593); +#46595=CARTESIAN_POINT('',(-2.285E1,6.73E0,-1.765E1)); +#46596=CARTESIAN_POINT('',(-2.285E1,5.93E0,-1.765E1)); +#46597=VERTEX_POINT('',#46595); +#46598=VERTEX_POINT('',#46596); +#46599=CARTESIAN_POINT('',(-2.255E1,7.03E0,-1.795E1)); +#46600=CARTESIAN_POINT('',(-2.255E1,5.63E0,-1.795E1)); +#46601=VERTEX_POINT('',#46599); +#46602=VERTEX_POINT('',#46600); +#46603=CARTESIAN_POINT('',(-2.285E1,-2.07E0,-1.765E1)); +#46604=CARTESIAN_POINT('',(-2.285E1,-2.87E0,-1.765E1)); +#46605=VERTEX_POINT('',#46603); +#46606=VERTEX_POINT('',#46604); +#46607=CARTESIAN_POINT('',(-2.255E1,-1.77E0,-1.795E1)); +#46608=CARTESIAN_POINT('',(-2.255E1,-3.17E0,-1.795E1)); +#46609=VERTEX_POINT('',#46607); +#46610=VERTEX_POINT('',#46608); +#46611=CARTESIAN_POINT('',(-1.965E1,-2.155E0,-1.065E1)); +#46612=CARTESIAN_POINT('',(-1.965E1,-2.785E0,-1.065E1)); +#46613=VERTEX_POINT('',#46611); +#46614=VERTEX_POINT('',#46612); +#46615=CARTESIAN_POINT('',(-1.905E1,-2.785E0,-1.065E1)); +#46616=VERTEX_POINT('',#46615); +#46617=CARTESIAN_POINT('',(-1.905E1,-2.155E0,-1.065E1)); +#46618=VERTEX_POINT('',#46617); +#46619=CARTESIAN_POINT('',(-1.965E1,6.645E0,-1.065E1)); +#46620=CARTESIAN_POINT('',(-1.965E1,6.015E0,-1.065E1)); +#46621=VERTEX_POINT('',#46619); +#46622=VERTEX_POINT('',#46620); +#46623=CARTESIAN_POINT('',(-1.905E1,6.015E0,-1.065E1)); +#46624=VERTEX_POINT('',#46623); +#46625=CARTESIAN_POINT('',(-1.905E1,6.645E0,-1.065E1)); +#46626=VERTEX_POINT('',#46625); +#46627=CARTESIAN_POINT('',(-1.965E1,-2.155E0,-1.765E1)); +#46628=VERTEX_POINT('',#46627); +#46629=CARTESIAN_POINT('',(-1.965E1,-2.785E0,-1.765E1)); +#46630=VERTEX_POINT('',#46629); +#46631=CARTESIAN_POINT('',(-1.905E1,-2.785E0,-1.765E1)); +#46632=VERTEX_POINT('',#46631); +#46633=CARTESIAN_POINT('',(-1.905E1,-2.155E0,-1.765E1)); +#46634=VERTEX_POINT('',#46633); +#46635=CARTESIAN_POINT('',(-1.965E1,6.645E0,-1.765E1)); +#46636=VERTEX_POINT('',#46635); +#46637=CARTESIAN_POINT('',(-1.965E1,6.015E0,-1.765E1)); +#46638=VERTEX_POINT('',#46637); +#46639=CARTESIAN_POINT('',(-1.905E1,6.015E0,-1.765E1)); +#46640=VERTEX_POINT('',#46639); +#46641=CARTESIAN_POINT('',(-1.905E1,6.645E0,-1.765E1)); +#46642=VERTEX_POINT('',#46641); +#46643=CARTESIAN_POINT('',(-1.855E1,6.73E0,-1.765E1)); +#46644=CARTESIAN_POINT('',(-1.855E1,5.93E0,-1.765E1)); +#46645=VERTEX_POINT('',#46643); +#46646=VERTEX_POINT('',#46644); +#46647=CARTESIAN_POINT('',(-1.825E1,7.03E0,-1.795E1)); +#46648=CARTESIAN_POINT('',(-1.825E1,5.63E0,-1.795E1)); +#46649=VERTEX_POINT('',#46647); +#46650=VERTEX_POINT('',#46648); +#46651=CARTESIAN_POINT('',(-1.855E1,-2.07E0,-1.765E1)); +#46652=CARTESIAN_POINT('',(-1.855E1,-2.87E0,-1.765E1)); +#46653=VERTEX_POINT('',#46651); +#46654=VERTEX_POINT('',#46652); +#46655=CARTESIAN_POINT('',(-1.825E1,-1.77E0,-1.795E1)); +#46656=CARTESIAN_POINT('',(-1.825E1,-3.17E0,-1.795E1)); +#46657=VERTEX_POINT('',#46655); +#46658=VERTEX_POINT('',#46656); +#46659=CARTESIAN_POINT('',(-1.535E1,-2.155E0,-1.065E1)); +#46660=CARTESIAN_POINT('',(-1.535E1,-2.785E0,-1.065E1)); +#46661=VERTEX_POINT('',#46659); +#46662=VERTEX_POINT('',#46660); +#46663=CARTESIAN_POINT('',(-1.475E1,-2.785E0,-1.065E1)); +#46664=VERTEX_POINT('',#46663); +#46665=CARTESIAN_POINT('',(-1.475E1,-2.155E0,-1.065E1)); +#46666=VERTEX_POINT('',#46665); +#46667=CARTESIAN_POINT('',(-1.535E1,6.645E0,-1.065E1)); +#46668=CARTESIAN_POINT('',(-1.535E1,6.015E0,-1.065E1)); +#46669=VERTEX_POINT('',#46667); +#46670=VERTEX_POINT('',#46668); +#46671=CARTESIAN_POINT('',(-1.475E1,6.015E0,-1.065E1)); +#46672=VERTEX_POINT('',#46671); +#46673=CARTESIAN_POINT('',(-1.475E1,6.645E0,-1.065E1)); +#46674=VERTEX_POINT('',#46673); +#46675=CARTESIAN_POINT('',(-1.535E1,-2.155E0,-1.765E1)); +#46676=VERTEX_POINT('',#46675); +#46677=CARTESIAN_POINT('',(-1.535E1,-2.785E0,-1.765E1)); +#46678=VERTEX_POINT('',#46677); +#46679=CARTESIAN_POINT('',(-1.475E1,-2.785E0,-1.765E1)); +#46680=VERTEX_POINT('',#46679); +#46681=CARTESIAN_POINT('',(-1.475E1,-2.155E0,-1.765E1)); +#46682=VERTEX_POINT('',#46681); +#46683=CARTESIAN_POINT('',(-1.535E1,6.645E0,-1.765E1)); +#46684=VERTEX_POINT('',#46683); +#46685=CARTESIAN_POINT('',(-1.535E1,6.015E0,-1.765E1)); +#46686=VERTEX_POINT('',#46685); +#46687=CARTESIAN_POINT('',(-1.475E1,6.015E0,-1.765E1)); +#46688=VERTEX_POINT('',#46687); +#46689=CARTESIAN_POINT('',(-1.475E1,6.645E0,-1.765E1)); +#46690=VERTEX_POINT('',#46689); +#46691=CARTESIAN_POINT('',(-1.425E1,6.73E0,-1.765E1)); +#46692=CARTESIAN_POINT('',(-1.425E1,5.93E0,-1.765E1)); +#46693=VERTEX_POINT('',#46691); +#46694=VERTEX_POINT('',#46692); +#46695=CARTESIAN_POINT('',(-1.395E1,7.03E0,-1.795E1)); +#46696=CARTESIAN_POINT('',(-1.395E1,5.63E0,-1.795E1)); +#46697=VERTEX_POINT('',#46695); +#46698=VERTEX_POINT('',#46696); +#46699=CARTESIAN_POINT('',(-1.425E1,-2.07E0,-1.765E1)); +#46700=CARTESIAN_POINT('',(-1.425E1,-2.87E0,-1.765E1)); +#46701=VERTEX_POINT('',#46699); +#46702=VERTEX_POINT('',#46700); +#46703=CARTESIAN_POINT('',(-1.395E1,-1.77E0,-1.795E1)); +#46704=CARTESIAN_POINT('',(-1.395E1,-3.17E0,-1.795E1)); +#46705=VERTEX_POINT('',#46703); +#46706=VERTEX_POINT('',#46704); +#46707=CARTESIAN_POINT('',(-1.105E1,-2.155E0,-1.065E1)); +#46708=CARTESIAN_POINT('',(-1.105E1,-2.785E0,-1.065E1)); +#46709=VERTEX_POINT('',#46707); +#46710=VERTEX_POINT('',#46708); +#46711=CARTESIAN_POINT('',(-1.045E1,-2.785E0,-1.065E1)); +#46712=VERTEX_POINT('',#46711); +#46713=CARTESIAN_POINT('',(-1.045E1,-2.155E0,-1.065E1)); +#46714=VERTEX_POINT('',#46713); +#46715=CARTESIAN_POINT('',(-1.105E1,6.645E0,-1.065E1)); +#46716=CARTESIAN_POINT('',(-1.105E1,6.015E0,-1.065E1)); +#46717=VERTEX_POINT('',#46715); +#46718=VERTEX_POINT('',#46716); +#46719=CARTESIAN_POINT('',(-1.045E1,6.015E0,-1.065E1)); +#46720=VERTEX_POINT('',#46719); +#46721=CARTESIAN_POINT('',(-1.045E1,6.645E0,-1.065E1)); +#46722=VERTEX_POINT('',#46721); +#46723=CARTESIAN_POINT('',(-1.105E1,-2.155E0,-1.765E1)); +#46724=VERTEX_POINT('',#46723); +#46725=CARTESIAN_POINT('',(-1.105E1,-2.785E0,-1.765E1)); +#46726=VERTEX_POINT('',#46725); +#46727=CARTESIAN_POINT('',(-1.045E1,-2.785E0,-1.765E1)); +#46728=VERTEX_POINT('',#46727); +#46729=CARTESIAN_POINT('',(-1.045E1,-2.155E0,-1.765E1)); +#46730=VERTEX_POINT('',#46729); +#46731=CARTESIAN_POINT('',(-1.105E1,6.645E0,-1.765E1)); +#46732=VERTEX_POINT('',#46731); +#46733=CARTESIAN_POINT('',(-1.105E1,6.015E0,-1.765E1)); +#46734=VERTEX_POINT('',#46733); +#46735=CARTESIAN_POINT('',(-1.045E1,6.015E0,-1.765E1)); +#46736=VERTEX_POINT('',#46735); +#46737=CARTESIAN_POINT('',(-1.045E1,6.645E0,-1.765E1)); +#46738=VERTEX_POINT('',#46737); +#46739=CARTESIAN_POINT('',(-9.95E0,6.73E0,-1.765E1)); +#46740=CARTESIAN_POINT('',(-9.95E0,5.93E0,-1.765E1)); +#46741=VERTEX_POINT('',#46739); +#46742=VERTEX_POINT('',#46740); +#46743=CARTESIAN_POINT('',(-9.65E0,7.03E0,-1.795E1)); +#46744=CARTESIAN_POINT('',(-9.65E0,5.63E0,-1.795E1)); +#46745=VERTEX_POINT('',#46743); +#46746=VERTEX_POINT('',#46744); +#46747=CARTESIAN_POINT('',(-9.95E0,-2.07E0,-1.765E1)); +#46748=CARTESIAN_POINT('',(-9.95E0,-2.87E0,-1.765E1)); +#46749=VERTEX_POINT('',#46747); +#46750=VERTEX_POINT('',#46748); +#46751=CARTESIAN_POINT('',(-9.65E0,-1.77E0,-1.795E1)); +#46752=CARTESIAN_POINT('',(-9.65E0,-3.17E0,-1.795E1)); +#46753=VERTEX_POINT('',#46751); +#46754=VERTEX_POINT('',#46752); +#46755=CARTESIAN_POINT('',(-6.75E0,-2.155E0,-1.065E1)); +#46756=CARTESIAN_POINT('',(-6.75E0,-2.785E0,-1.065E1)); +#46757=VERTEX_POINT('',#46755); +#46758=VERTEX_POINT('',#46756); +#46759=CARTESIAN_POINT('',(-6.15E0,-2.785E0,-1.065E1)); +#46760=VERTEX_POINT('',#46759); +#46761=CARTESIAN_POINT('',(-6.15E0,-2.155E0,-1.065E1)); +#46762=VERTEX_POINT('',#46761); +#46763=CARTESIAN_POINT('',(-6.75E0,6.645E0,-1.065E1)); +#46764=CARTESIAN_POINT('',(-6.75E0,6.015E0,-1.065E1)); +#46765=VERTEX_POINT('',#46763); +#46766=VERTEX_POINT('',#46764); +#46767=CARTESIAN_POINT('',(-6.15E0,6.015E0,-1.065E1)); +#46768=VERTEX_POINT('',#46767); +#46769=CARTESIAN_POINT('',(-6.15E0,6.645E0,-1.065E1)); +#46770=VERTEX_POINT('',#46769); +#46771=CARTESIAN_POINT('',(-6.75E0,-2.155E0,-1.765E1)); +#46772=VERTEX_POINT('',#46771); +#46773=CARTESIAN_POINT('',(-6.75E0,-2.785E0,-1.765E1)); +#46774=VERTEX_POINT('',#46773); +#46775=CARTESIAN_POINT('',(-6.15E0,-2.785E0,-1.765E1)); +#46776=VERTEX_POINT('',#46775); +#46777=CARTESIAN_POINT('',(-6.15E0,-2.155E0,-1.765E1)); +#46778=VERTEX_POINT('',#46777); +#46779=CARTESIAN_POINT('',(-6.75E0,6.645E0,-1.765E1)); +#46780=VERTEX_POINT('',#46779); +#46781=CARTESIAN_POINT('',(-6.75E0,6.015E0,-1.765E1)); +#46782=VERTEX_POINT('',#46781); +#46783=CARTESIAN_POINT('',(-6.15E0,6.015E0,-1.765E1)); +#46784=VERTEX_POINT('',#46783); +#46785=CARTESIAN_POINT('',(-6.15E0,6.645E0,-1.765E1)); +#46786=VERTEX_POINT('',#46785); +#46787=CARTESIAN_POINT('',(-5.65E0,6.73E0,-1.765E1)); +#46788=CARTESIAN_POINT('',(-5.65E0,5.93E0,-1.765E1)); +#46789=VERTEX_POINT('',#46787); +#46790=VERTEX_POINT('',#46788); +#46791=CARTESIAN_POINT('',(-5.35E0,7.03E0,-1.795E1)); +#46792=CARTESIAN_POINT('',(-5.35E0,5.63E0,-1.795E1)); +#46793=VERTEX_POINT('',#46791); +#46794=VERTEX_POINT('',#46792); +#46795=CARTESIAN_POINT('',(-5.65E0,-2.07E0,-1.765E1)); +#46796=CARTESIAN_POINT('',(-5.65E0,-2.87E0,-1.765E1)); +#46797=VERTEX_POINT('',#46795); +#46798=VERTEX_POINT('',#46796); +#46799=CARTESIAN_POINT('',(-5.35E0,-1.77E0,-1.795E1)); +#46800=CARTESIAN_POINT('',(-5.35E0,-3.17E0,-1.795E1)); +#46801=VERTEX_POINT('',#46799); +#46802=VERTEX_POINT('',#46800); +#46803=CARTESIAN_POINT('',(-2.45E0,-2.155E0,-1.065E1)); +#46804=CARTESIAN_POINT('',(-2.45E0,-2.785E0,-1.065E1)); +#46805=VERTEX_POINT('',#46803); +#46806=VERTEX_POINT('',#46804); +#46807=CARTESIAN_POINT('',(-1.85E0,-2.785E0,-1.065E1)); +#46808=VERTEX_POINT('',#46807); +#46809=CARTESIAN_POINT('',(-1.85E0,-2.155E0,-1.065E1)); +#46810=VERTEX_POINT('',#46809); +#46811=CARTESIAN_POINT('',(-2.45E0,6.645E0,-1.065E1)); +#46812=CARTESIAN_POINT('',(-2.45E0,6.015E0,-1.065E1)); +#46813=VERTEX_POINT('',#46811); +#46814=VERTEX_POINT('',#46812); +#46815=CARTESIAN_POINT('',(-1.85E0,6.015E0,-1.065E1)); +#46816=VERTEX_POINT('',#46815); +#46817=CARTESIAN_POINT('',(-1.85E0,6.645E0,-1.065E1)); +#46818=VERTEX_POINT('',#46817); +#46819=CARTESIAN_POINT('',(-2.45E0,-2.155E0,-1.765E1)); +#46820=VERTEX_POINT('',#46819); +#46821=CARTESIAN_POINT('',(-2.45E0,-2.785E0,-1.765E1)); +#46822=VERTEX_POINT('',#46821); +#46823=CARTESIAN_POINT('',(-1.85E0,-2.785E0,-1.765E1)); +#46824=VERTEX_POINT('',#46823); +#46825=CARTESIAN_POINT('',(-1.85E0,-2.155E0,-1.765E1)); +#46826=VERTEX_POINT('',#46825); +#46827=CARTESIAN_POINT('',(-2.45E0,6.645E0,-1.765E1)); +#46828=VERTEX_POINT('',#46827); +#46829=CARTESIAN_POINT('',(-2.45E0,6.015E0,-1.765E1)); +#46830=VERTEX_POINT('',#46829); +#46831=CARTESIAN_POINT('',(-1.85E0,6.015E0,-1.765E1)); +#46832=VERTEX_POINT('',#46831); +#46833=CARTESIAN_POINT('',(-1.85E0,6.645E0,-1.765E1)); +#46834=VERTEX_POINT('',#46833); +#46835=CARTESIAN_POINT('',(-1.35E0,6.73E0,-1.765E1)); +#46836=CARTESIAN_POINT('',(-1.35E0,5.93E0,-1.765E1)); +#46837=VERTEX_POINT('',#46835); +#46838=VERTEX_POINT('',#46836); +#46839=CARTESIAN_POINT('',(-1.05E0,7.03E0,-1.795E1)); +#46840=CARTESIAN_POINT('',(-1.05E0,5.63E0,-1.795E1)); +#46841=VERTEX_POINT('',#46839); +#46842=VERTEX_POINT('',#46840); +#46843=CARTESIAN_POINT('',(-1.35E0,-2.07E0,-1.765E1)); +#46844=CARTESIAN_POINT('',(-1.35E0,-2.87E0,-1.765E1)); +#46845=VERTEX_POINT('',#46843); +#46846=VERTEX_POINT('',#46844); +#46847=CARTESIAN_POINT('',(-1.05E0,-1.77E0,-1.795E1)); +#46848=CARTESIAN_POINT('',(-1.05E0,-3.17E0,-1.795E1)); +#46849=VERTEX_POINT('',#46847); +#46850=VERTEX_POINT('',#46848); +#46851=CARTESIAN_POINT('',(1.85E0,-2.155E0,-1.065E1)); +#46852=CARTESIAN_POINT('',(1.85E0,-2.785E0,-1.065E1)); +#46853=VERTEX_POINT('',#46851); +#46854=VERTEX_POINT('',#46852); +#46855=CARTESIAN_POINT('',(2.45E0,-2.785E0,-1.065E1)); +#46856=VERTEX_POINT('',#46855); +#46857=CARTESIAN_POINT('',(2.45E0,-2.155E0,-1.065E1)); +#46858=VERTEX_POINT('',#46857); +#46859=CARTESIAN_POINT('',(1.85E0,6.645E0,-1.065E1)); +#46860=CARTESIAN_POINT('',(1.85E0,6.015E0,-1.065E1)); +#46861=VERTEX_POINT('',#46859); +#46862=VERTEX_POINT('',#46860); +#46863=CARTESIAN_POINT('',(2.45E0,6.015E0,-1.065E1)); +#46864=VERTEX_POINT('',#46863); +#46865=CARTESIAN_POINT('',(2.45E0,6.645E0,-1.065E1)); +#46866=VERTEX_POINT('',#46865); +#46867=CARTESIAN_POINT('',(1.85E0,-2.155E0,-1.765E1)); +#46868=VERTEX_POINT('',#46867); +#46869=CARTESIAN_POINT('',(1.85E0,-2.785E0,-1.765E1)); +#46870=VERTEX_POINT('',#46869); +#46871=CARTESIAN_POINT('',(2.45E0,-2.785E0,-1.765E1)); +#46872=VERTEX_POINT('',#46871); +#46873=CARTESIAN_POINT('',(2.45E0,-2.155E0,-1.765E1)); +#46874=VERTEX_POINT('',#46873); +#46875=CARTESIAN_POINT('',(1.85E0,6.645E0,-1.765E1)); +#46876=VERTEX_POINT('',#46875); +#46877=CARTESIAN_POINT('',(1.85E0,6.015E0,-1.765E1)); +#46878=VERTEX_POINT('',#46877); +#46879=CARTESIAN_POINT('',(2.45E0,6.015E0,-1.765E1)); +#46880=VERTEX_POINT('',#46879); +#46881=CARTESIAN_POINT('',(2.45E0,6.645E0,-1.765E1)); +#46882=VERTEX_POINT('',#46881); +#46883=CARTESIAN_POINT('',(2.95E0,6.73E0,-1.765E1)); +#46884=CARTESIAN_POINT('',(2.95E0,5.93E0,-1.765E1)); +#46885=VERTEX_POINT('',#46883); +#46886=VERTEX_POINT('',#46884); +#46887=CARTESIAN_POINT('',(3.25E0,7.03E0,-1.795E1)); +#46888=CARTESIAN_POINT('',(3.25E0,5.63E0,-1.795E1)); +#46889=VERTEX_POINT('',#46887); +#46890=VERTEX_POINT('',#46888); +#46891=CARTESIAN_POINT('',(2.95E0,-2.07E0,-1.765E1)); +#46892=CARTESIAN_POINT('',(2.95E0,-2.87E0,-1.765E1)); +#46893=VERTEX_POINT('',#46891); +#46894=VERTEX_POINT('',#46892); +#46895=CARTESIAN_POINT('',(3.25E0,-1.77E0,-1.795E1)); +#46896=CARTESIAN_POINT('',(3.25E0,-3.17E0,-1.795E1)); +#46897=VERTEX_POINT('',#46895); +#46898=VERTEX_POINT('',#46896); +#46899=CARTESIAN_POINT('',(6.15E0,-2.155E0,-1.065E1)); +#46900=CARTESIAN_POINT('',(6.15E0,-2.785E0,-1.065E1)); +#46901=VERTEX_POINT('',#46899); +#46902=VERTEX_POINT('',#46900); +#46903=CARTESIAN_POINT('',(6.75E0,-2.785E0,-1.065E1)); +#46904=VERTEX_POINT('',#46903); +#46905=CARTESIAN_POINT('',(6.75E0,-2.155E0,-1.065E1)); +#46906=VERTEX_POINT('',#46905); +#46907=CARTESIAN_POINT('',(6.15E0,6.645E0,-1.065E1)); +#46908=CARTESIAN_POINT('',(6.15E0,6.015E0,-1.065E1)); +#46909=VERTEX_POINT('',#46907); +#46910=VERTEX_POINT('',#46908); +#46911=CARTESIAN_POINT('',(6.75E0,6.015E0,-1.065E1)); +#46912=VERTEX_POINT('',#46911); +#46913=CARTESIAN_POINT('',(6.75E0,6.645E0,-1.065E1)); +#46914=VERTEX_POINT('',#46913); +#46915=CARTESIAN_POINT('',(6.15E0,-2.155E0,-1.765E1)); +#46916=VERTEX_POINT('',#46915); +#46917=CARTESIAN_POINT('',(6.15E0,-2.785E0,-1.765E1)); +#46918=VERTEX_POINT('',#46917); +#46919=CARTESIAN_POINT('',(6.75E0,-2.785E0,-1.765E1)); +#46920=VERTEX_POINT('',#46919); +#46921=CARTESIAN_POINT('',(6.75E0,-2.155E0,-1.765E1)); +#46922=VERTEX_POINT('',#46921); +#46923=CARTESIAN_POINT('',(6.15E0,6.645E0,-1.765E1)); +#46924=VERTEX_POINT('',#46923); +#46925=CARTESIAN_POINT('',(6.15E0,6.015E0,-1.765E1)); +#46926=VERTEX_POINT('',#46925); +#46927=CARTESIAN_POINT('',(6.75E0,6.015E0,-1.765E1)); +#46928=VERTEX_POINT('',#46927); +#46929=CARTESIAN_POINT('',(6.75E0,6.645E0,-1.765E1)); +#46930=VERTEX_POINT('',#46929); +#46931=CARTESIAN_POINT('',(7.25E0,6.73E0,-1.765E1)); +#46932=CARTESIAN_POINT('',(7.25E0,5.93E0,-1.765E1)); +#46933=VERTEX_POINT('',#46931); +#46934=VERTEX_POINT('',#46932); +#46935=CARTESIAN_POINT('',(7.55E0,7.03E0,-1.795E1)); +#46936=CARTESIAN_POINT('',(7.55E0,5.63E0,-1.795E1)); +#46937=VERTEX_POINT('',#46935); +#46938=VERTEX_POINT('',#46936); +#46939=CARTESIAN_POINT('',(7.25E0,-2.07E0,-1.765E1)); +#46940=CARTESIAN_POINT('',(7.25E0,-2.87E0,-1.765E1)); +#46941=VERTEX_POINT('',#46939); +#46942=VERTEX_POINT('',#46940); +#46943=CARTESIAN_POINT('',(7.55E0,-1.77E0,-1.795E1)); +#46944=CARTESIAN_POINT('',(7.55E0,-3.17E0,-1.795E1)); +#46945=VERTEX_POINT('',#46943); +#46946=VERTEX_POINT('',#46944); +#46947=CARTESIAN_POINT('',(2.825E1,6.645E0,-1.065E1)); +#46948=CARTESIAN_POINT('',(2.825E1,6.015E0,-1.065E1)); +#46949=VERTEX_POINT('',#46947); +#46950=VERTEX_POINT('',#46948); +#46951=CARTESIAN_POINT('',(2.885E1,6.015E0,-1.065E1)); +#46952=VERTEX_POINT('',#46951); +#46953=CARTESIAN_POINT('',(2.885E1,6.645E0,-1.065E1)); +#46954=VERTEX_POINT('',#46953); +#46955=CARTESIAN_POINT('',(2.825E1,-2.155E0,-1.065E1)); +#46956=CARTESIAN_POINT('',(2.825E1,-2.785E0,-1.065E1)); +#46957=VERTEX_POINT('',#46955); +#46958=VERTEX_POINT('',#46956); +#46959=CARTESIAN_POINT('',(2.885E1,-2.785E0,-1.065E1)); +#46960=VERTEX_POINT('',#46959); +#46961=CARTESIAN_POINT('',(2.885E1,-2.155E0,-1.065E1)); +#46962=VERTEX_POINT('',#46961); +#46963=CARTESIAN_POINT('',(2.825E1,6.645E0,-1.765E1)); +#46964=VERTEX_POINT('',#46963); +#46965=CARTESIAN_POINT('',(2.825E1,6.015E0,-1.765E1)); +#46966=VERTEX_POINT('',#46965); +#46967=CARTESIAN_POINT('',(2.885E1,6.015E0,-1.765E1)); +#46968=VERTEX_POINT('',#46967); +#46969=CARTESIAN_POINT('',(2.885E1,6.645E0,-1.765E1)); +#46970=VERTEX_POINT('',#46969); +#46971=CARTESIAN_POINT('',(2.825E1,-2.155E0,-1.765E1)); +#46972=VERTEX_POINT('',#46971); +#46973=CARTESIAN_POINT('',(2.825E1,-2.785E0,-1.765E1)); +#46974=VERTEX_POINT('',#46973); +#46975=CARTESIAN_POINT('',(2.885E1,-2.785E0,-1.765E1)); +#46976=VERTEX_POINT('',#46975); +#46977=CARTESIAN_POINT('',(2.885E1,-2.155E0,-1.765E1)); +#46978=VERTEX_POINT('',#46977); +#46979=CARTESIAN_POINT('',(2.965E1,7.03E0,-1.795E1)); +#46980=CARTESIAN_POINT('',(2.965E1,5.63E0,-1.795E1)); +#46981=VERTEX_POINT('',#46979); +#46982=VERTEX_POINT('',#46980); +#46983=CARTESIAN_POINT('',(2.935E1,6.73E0,-1.765E1)); +#46984=CARTESIAN_POINT('',(2.935E1,5.93E0,-1.765E1)); +#46985=VERTEX_POINT('',#46983); +#46986=VERTEX_POINT('',#46984); +#46987=CARTESIAN_POINT('',(2.935E1,-2.07E0,-1.765E1)); +#46988=CARTESIAN_POINT('',(2.935E1,-2.87E0,-1.765E1)); +#46989=VERTEX_POINT('',#46987); +#46990=VERTEX_POINT('',#46988); +#46991=CARTESIAN_POINT('',(2.965E1,-1.77E0,-1.795E1)); +#46992=CARTESIAN_POINT('',(2.965E1,-3.17E0,-1.795E1)); +#46993=VERTEX_POINT('',#46991); +#46994=VERTEX_POINT('',#46992); +#46995=CARTESIAN_POINT('',(3.255E1,6.645E0,-1.065E1)); +#46996=CARTESIAN_POINT('',(3.255E1,6.015E0,-1.065E1)); +#46997=VERTEX_POINT('',#46995); +#46998=VERTEX_POINT('',#46996); +#46999=CARTESIAN_POINT('',(3.315E1,6.015E0,-1.065E1)); +#47000=VERTEX_POINT('',#46999); +#47001=CARTESIAN_POINT('',(3.315E1,6.645E0,-1.065E1)); +#47002=VERTEX_POINT('',#47001); +#47003=CARTESIAN_POINT('',(3.255E1,-2.155E0,-1.065E1)); +#47004=CARTESIAN_POINT('',(3.255E1,-2.785E0,-1.065E1)); +#47005=VERTEX_POINT('',#47003); +#47006=VERTEX_POINT('',#47004); +#47007=CARTESIAN_POINT('',(3.315E1,-2.785E0,-1.065E1)); +#47008=VERTEX_POINT('',#47007); +#47009=CARTESIAN_POINT('',(3.315E1,-2.155E0,-1.065E1)); +#47010=VERTEX_POINT('',#47009); +#47011=CARTESIAN_POINT('',(3.255E1,6.645E0,-1.765E1)); +#47012=VERTEX_POINT('',#47011); +#47013=CARTESIAN_POINT('',(3.255E1,6.015E0,-1.765E1)); +#47014=VERTEX_POINT('',#47013); +#47015=CARTESIAN_POINT('',(3.315E1,6.015E0,-1.765E1)); +#47016=VERTEX_POINT('',#47015); +#47017=CARTESIAN_POINT('',(3.315E1,6.645E0,-1.765E1)); +#47018=VERTEX_POINT('',#47017); +#47019=CARTESIAN_POINT('',(3.255E1,-2.155E0,-1.765E1)); +#47020=VERTEX_POINT('',#47019); +#47021=CARTESIAN_POINT('',(3.255E1,-2.785E0,-1.765E1)); +#47022=VERTEX_POINT('',#47021); +#47023=CARTESIAN_POINT('',(3.315E1,-2.785E0,-1.765E1)); +#47024=VERTEX_POINT('',#47023); +#47025=CARTESIAN_POINT('',(3.315E1,-2.155E0,-1.765E1)); +#47026=VERTEX_POINT('',#47025); +#47027=CARTESIAN_POINT('',(3.395E1,7.03E0,-1.795E1)); +#47028=CARTESIAN_POINT('',(3.395E1,5.63E0,-1.795E1)); +#47029=VERTEX_POINT('',#47027); +#47030=VERTEX_POINT('',#47028); +#47031=CARTESIAN_POINT('',(3.365E1,6.73E0,-1.765E1)); +#47032=CARTESIAN_POINT('',(3.365E1,5.93E0,-1.765E1)); +#47033=VERTEX_POINT('',#47031); +#47034=VERTEX_POINT('',#47032); +#47035=CARTESIAN_POINT('',(3.365E1,-2.07E0,-1.765E1)); +#47036=CARTESIAN_POINT('',(3.365E1,-2.87E0,-1.765E1)); +#47037=VERTEX_POINT('',#47035); +#47038=VERTEX_POINT('',#47036); +#47039=CARTESIAN_POINT('',(3.395E1,-1.77E0,-1.795E1)); +#47040=CARTESIAN_POINT('',(3.395E1,-3.17E0,-1.795E1)); +#47041=VERTEX_POINT('',#47039); +#47042=VERTEX_POINT('',#47040); +#47043=CARTESIAN_POINT('',(3.685E1,6.645E0,-1.065E1)); +#47044=CARTESIAN_POINT('',(3.685E1,6.015E0,-1.065E1)); +#47045=VERTEX_POINT('',#47043); +#47046=VERTEX_POINT('',#47044); +#47047=CARTESIAN_POINT('',(3.745E1,6.015E0,-1.065E1)); +#47048=VERTEX_POINT('',#47047); +#47049=CARTESIAN_POINT('',(3.745E1,6.645E0,-1.065E1)); +#47050=VERTEX_POINT('',#47049); +#47051=CARTESIAN_POINT('',(3.685E1,-2.155E0,-1.065E1)); +#47052=CARTESIAN_POINT('',(3.685E1,-2.785E0,-1.065E1)); +#47053=VERTEX_POINT('',#47051); +#47054=VERTEX_POINT('',#47052); +#47055=CARTESIAN_POINT('',(3.745E1,-2.785E0,-1.065E1)); +#47056=VERTEX_POINT('',#47055); +#47057=CARTESIAN_POINT('',(3.745E1,-2.155E0,-1.065E1)); +#47058=VERTEX_POINT('',#47057); +#47059=CARTESIAN_POINT('',(3.685E1,6.645E0,-1.765E1)); +#47060=VERTEX_POINT('',#47059); +#47061=CARTESIAN_POINT('',(3.685E1,6.015E0,-1.765E1)); +#47062=VERTEX_POINT('',#47061); +#47063=CARTESIAN_POINT('',(3.745E1,6.015E0,-1.765E1)); +#47064=VERTEX_POINT('',#47063); +#47065=CARTESIAN_POINT('',(3.745E1,6.645E0,-1.765E1)); +#47066=VERTEX_POINT('',#47065); +#47067=CARTESIAN_POINT('',(3.685E1,-2.155E0,-1.765E1)); +#47068=VERTEX_POINT('',#47067); +#47069=CARTESIAN_POINT('',(3.685E1,-2.785E0,-1.765E1)); +#47070=VERTEX_POINT('',#47069); +#47071=CARTESIAN_POINT('',(3.745E1,-2.785E0,-1.765E1)); +#47072=VERTEX_POINT('',#47071); +#47073=CARTESIAN_POINT('',(3.745E1,-2.155E0,-1.765E1)); +#47074=VERTEX_POINT('',#47073); +#47075=CARTESIAN_POINT('',(3.825E1,7.03E0,-1.795E1)); +#47076=CARTESIAN_POINT('',(3.825E1,5.63E0,-1.795E1)); +#47077=VERTEX_POINT('',#47075); +#47078=VERTEX_POINT('',#47076); +#47079=CARTESIAN_POINT('',(3.795E1,6.73E0,-1.765E1)); +#47080=CARTESIAN_POINT('',(3.795E1,5.93E0,-1.765E1)); +#47081=VERTEX_POINT('',#47079); +#47082=VERTEX_POINT('',#47080); +#47083=CARTESIAN_POINT('',(3.795E1,-2.07E0,-1.765E1)); +#47084=CARTESIAN_POINT('',(3.795E1,-2.87E0,-1.765E1)); +#47085=VERTEX_POINT('',#47083); +#47086=VERTEX_POINT('',#47084); +#47087=CARTESIAN_POINT('',(3.825E1,-1.77E0,-1.795E1)); +#47088=CARTESIAN_POINT('',(3.825E1,-3.17E0,-1.795E1)); +#47089=VERTEX_POINT('',#47087); +#47090=VERTEX_POINT('',#47088); +#47091=CARTESIAN_POINT('',(4.115E1,6.645E0,-1.065E1)); +#47092=CARTESIAN_POINT('',(4.115E1,6.015E0,-1.065E1)); +#47093=VERTEX_POINT('',#47091); +#47094=VERTEX_POINT('',#47092); +#47095=CARTESIAN_POINT('',(4.175E1,6.015E0,-1.065E1)); +#47096=VERTEX_POINT('',#47095); +#47097=CARTESIAN_POINT('',(4.175E1,6.645E0,-1.065E1)); +#47098=VERTEX_POINT('',#47097); +#47099=CARTESIAN_POINT('',(4.115E1,-2.155E0,-1.065E1)); +#47100=CARTESIAN_POINT('',(4.115E1,-2.785E0,-1.065E1)); +#47101=VERTEX_POINT('',#47099); +#47102=VERTEX_POINT('',#47100); +#47103=CARTESIAN_POINT('',(4.175E1,-2.785E0,-1.065E1)); +#47104=VERTEX_POINT('',#47103); +#47105=CARTESIAN_POINT('',(4.175E1,-2.155E0,-1.065E1)); +#47106=VERTEX_POINT('',#47105); +#47107=CARTESIAN_POINT('',(4.115E1,6.645E0,-1.765E1)); +#47108=VERTEX_POINT('',#47107); +#47109=CARTESIAN_POINT('',(4.115E1,6.015E0,-1.765E1)); +#47110=VERTEX_POINT('',#47109); +#47111=CARTESIAN_POINT('',(4.175E1,6.015E0,-1.765E1)); +#47112=VERTEX_POINT('',#47111); +#47113=CARTESIAN_POINT('',(4.175E1,6.645E0,-1.765E1)); +#47114=VERTEX_POINT('',#47113); +#47115=CARTESIAN_POINT('',(4.115E1,-2.155E0,-1.765E1)); +#47116=VERTEX_POINT('',#47115); +#47117=CARTESIAN_POINT('',(4.115E1,-2.785E0,-1.765E1)); +#47118=VERTEX_POINT('',#47117); +#47119=CARTESIAN_POINT('',(4.175E1,-2.785E0,-1.765E1)); +#47120=VERTEX_POINT('',#47119); +#47121=CARTESIAN_POINT('',(4.175E1,-2.155E0,-1.765E1)); +#47122=VERTEX_POINT('',#47121); +#47123=CARTESIAN_POINT('',(4.255E1,7.03E0,-1.795E1)); +#47124=CARTESIAN_POINT('',(4.255E1,5.63E0,-1.795E1)); +#47125=VERTEX_POINT('',#47123); +#47126=VERTEX_POINT('',#47124); +#47127=CARTESIAN_POINT('',(4.225E1,6.73E0,-1.765E1)); +#47128=CARTESIAN_POINT('',(4.225E1,5.93E0,-1.765E1)); +#47129=VERTEX_POINT('',#47127); +#47130=VERTEX_POINT('',#47128); +#47131=CARTESIAN_POINT('',(4.225E1,-2.07E0,-1.765E1)); +#47132=CARTESIAN_POINT('',(4.225E1,-2.87E0,-1.765E1)); +#47133=VERTEX_POINT('',#47131); +#47134=VERTEX_POINT('',#47132); +#47135=CARTESIAN_POINT('',(4.255E1,-1.77E0,-1.795E1)); +#47136=CARTESIAN_POINT('',(4.255E1,-3.17E0,-1.795E1)); +#47137=VERTEX_POINT('',#47135); +#47138=VERTEX_POINT('',#47136); +#47139=CARTESIAN_POINT('',(4.545E1,6.645E0,-1.065E1)); +#47140=CARTESIAN_POINT('',(4.545E1,6.015E0,-1.065E1)); +#47141=VERTEX_POINT('',#47139); +#47142=VERTEX_POINT('',#47140); +#47143=CARTESIAN_POINT('',(4.605E1,6.015E0,-1.065E1)); +#47144=VERTEX_POINT('',#47143); +#47145=CARTESIAN_POINT('',(4.605E1,6.645E0,-1.065E1)); +#47146=VERTEX_POINT('',#47145); +#47147=CARTESIAN_POINT('',(4.545E1,-2.155E0,-1.065E1)); +#47148=CARTESIAN_POINT('',(4.545E1,-2.785E0,-1.065E1)); +#47149=VERTEX_POINT('',#47147); +#47150=VERTEX_POINT('',#47148); +#47151=CARTESIAN_POINT('',(4.605E1,-2.785E0,-1.065E1)); +#47152=VERTEX_POINT('',#47151); +#47153=CARTESIAN_POINT('',(4.605E1,-2.155E0,-1.065E1)); +#47154=VERTEX_POINT('',#47153); +#47155=CARTESIAN_POINT('',(4.545E1,6.645E0,-1.765E1)); +#47156=VERTEX_POINT('',#47155); +#47157=CARTESIAN_POINT('',(4.545E1,6.015E0,-1.765E1)); +#47158=VERTEX_POINT('',#47157); +#47159=CARTESIAN_POINT('',(4.605E1,6.015E0,-1.765E1)); +#47160=VERTEX_POINT('',#47159); +#47161=CARTESIAN_POINT('',(4.605E1,6.645E0,-1.765E1)); +#47162=VERTEX_POINT('',#47161); +#47163=CARTESIAN_POINT('',(4.545E1,-2.155E0,-1.765E1)); +#47164=VERTEX_POINT('',#47163); +#47165=CARTESIAN_POINT('',(4.545E1,-2.785E0,-1.765E1)); +#47166=VERTEX_POINT('',#47165); +#47167=CARTESIAN_POINT('',(4.605E1,-2.785E0,-1.765E1)); +#47168=VERTEX_POINT('',#47167); +#47169=CARTESIAN_POINT('',(4.605E1,-2.155E0,-1.765E1)); +#47170=VERTEX_POINT('',#47169); +#47171=CARTESIAN_POINT('',(4.685E1,7.03E0,-1.795E1)); +#47172=CARTESIAN_POINT('',(4.685E1,5.63E0,-1.795E1)); +#47173=VERTEX_POINT('',#47171); +#47174=VERTEX_POINT('',#47172); +#47175=CARTESIAN_POINT('',(4.655E1,6.73E0,-1.765E1)); +#47176=CARTESIAN_POINT('',(4.655E1,5.93E0,-1.765E1)); +#47177=VERTEX_POINT('',#47175); +#47178=VERTEX_POINT('',#47176); +#47179=CARTESIAN_POINT('',(4.655E1,-2.07E0,-1.765E1)); +#47180=CARTESIAN_POINT('',(4.655E1,-2.87E0,-1.765E1)); +#47181=VERTEX_POINT('',#47179); +#47182=VERTEX_POINT('',#47180); +#47183=CARTESIAN_POINT('',(4.685E1,-1.77E0,-1.795E1)); +#47184=CARTESIAN_POINT('',(4.685E1,-3.17E0,-1.795E1)); +#47185=VERTEX_POINT('',#47183); +#47186=VERTEX_POINT('',#47184); +#47187=CARTESIAN_POINT('',(4.975E1,6.645E0,-1.065E1)); +#47188=CARTESIAN_POINT('',(4.975E1,6.015E0,-1.065E1)); +#47189=VERTEX_POINT('',#47187); +#47190=VERTEX_POINT('',#47188); +#47191=CARTESIAN_POINT('',(5.035E1,6.015E0,-1.065E1)); +#47192=VERTEX_POINT('',#47191); +#47193=CARTESIAN_POINT('',(5.035E1,6.645E0,-1.065E1)); +#47194=VERTEX_POINT('',#47193); +#47195=CARTESIAN_POINT('',(4.975E1,-2.155E0,-1.065E1)); +#47196=CARTESIAN_POINT('',(4.975E1,-2.785E0,-1.065E1)); +#47197=VERTEX_POINT('',#47195); +#47198=VERTEX_POINT('',#47196); +#47199=CARTESIAN_POINT('',(5.035E1,-2.785E0,-1.065E1)); +#47200=VERTEX_POINT('',#47199); +#47201=CARTESIAN_POINT('',(5.035E1,-2.155E0,-1.065E1)); +#47202=VERTEX_POINT('',#47201); +#47203=CARTESIAN_POINT('',(4.975E1,6.645E0,-1.765E1)); +#47204=VERTEX_POINT('',#47203); +#47205=CARTESIAN_POINT('',(4.975E1,6.015E0,-1.765E1)); +#47206=VERTEX_POINT('',#47205); +#47207=CARTESIAN_POINT('',(5.035E1,6.015E0,-1.765E1)); +#47208=VERTEX_POINT('',#47207); +#47209=CARTESIAN_POINT('',(5.035E1,6.645E0,-1.765E1)); +#47210=VERTEX_POINT('',#47209); +#47211=CARTESIAN_POINT('',(4.975E1,-2.155E0,-1.765E1)); +#47212=VERTEX_POINT('',#47211); +#47213=CARTESIAN_POINT('',(4.975E1,-2.785E0,-1.765E1)); +#47214=VERTEX_POINT('',#47213); +#47215=CARTESIAN_POINT('',(5.035E1,-2.785E0,-1.765E1)); +#47216=VERTEX_POINT('',#47215); +#47217=CARTESIAN_POINT('',(5.035E1,-2.155E0,-1.765E1)); +#47218=VERTEX_POINT('',#47217); +#47219=CARTESIAN_POINT('',(5.115E1,7.03E0,-1.795E1)); +#47220=CARTESIAN_POINT('',(5.115E1,5.63E0,-1.795E1)); +#47221=VERTEX_POINT('',#47219); +#47222=VERTEX_POINT('',#47220); +#47223=CARTESIAN_POINT('',(5.085E1,6.73E0,-1.765E1)); +#47224=CARTESIAN_POINT('',(5.085E1,5.93E0,-1.765E1)); +#47225=VERTEX_POINT('',#47223); +#47226=VERTEX_POINT('',#47224); +#47227=CARTESIAN_POINT('',(5.085E1,-2.07E0,-1.765E1)); +#47228=CARTESIAN_POINT('',(5.085E1,-2.87E0,-1.765E1)); +#47229=VERTEX_POINT('',#47227); +#47230=VERTEX_POINT('',#47228); +#47231=CARTESIAN_POINT('',(5.115E1,-1.77E0,-1.795E1)); +#47232=CARTESIAN_POINT('',(5.115E1,-3.17E0,-1.795E1)); +#47233=VERTEX_POINT('',#47231); +#47234=VERTEX_POINT('',#47232); +#47235=CARTESIAN_POINT('',(-3.47E1,1.0645E1,-1.175E1)); +#47236=CARTESIAN_POINT('',(-3.47E1,1.0015E1,-1.175E1)); +#47237=VERTEX_POINT('',#47235); +#47238=VERTEX_POINT('',#47236); +#47239=CARTESIAN_POINT('',(-3.41E1,1.0015E1,-1.175E1)); +#47240=VERTEX_POINT('',#47239); +#47241=CARTESIAN_POINT('',(-3.41E1,1.0645E1,-1.175E1)); +#47242=VERTEX_POINT('',#47241); +#47243=CARTESIAN_POINT('',(-3.47E1,1.845E0,-1.175E1)); +#47244=CARTESIAN_POINT('',(-3.47E1,1.215E0,-1.175E1)); +#47245=VERTEX_POINT('',#47243); +#47246=VERTEX_POINT('',#47244); +#47247=CARTESIAN_POINT('',(-3.41E1,1.215E0,-1.175E1)); +#47248=VERTEX_POINT('',#47247); +#47249=CARTESIAN_POINT('',(-3.41E1,1.845E0,-1.175E1)); +#47250=VERTEX_POINT('',#47249); +#47251=CARTESIAN_POINT('',(-3.55E1,9.63E0,-1.816282873211E1)); +#47252=CARTESIAN_POINT('',(-3.33E1,9.63E0,-1.816282873211E1)); +#47253=VERTEX_POINT('',#47251); +#47254=VERTEX_POINT('',#47252); +#47255=CARTESIAN_POINT('',(-3.55E1,8.3E-1,-1.812E1)); +#47256=CARTESIAN_POINT('',(-3.33E1,8.3E-1,-1.812E1)); +#47257=VERTEX_POINT('',#47255); +#47258=VERTEX_POINT('',#47256); +#47259=CARTESIAN_POINT('',(-3.55E1,2.404977327052E0,-1.995E1)); +#47260=CARTESIAN_POINT('',(-3.55E1,-1.595022672948E0,-1.995E1)); +#47261=VERTEX_POINT('',#47259); +#47262=VERTEX_POINT('',#47260); +#47263=CARTESIAN_POINT('',(-3.33E1,2.404977327052E0,-1.995E1)); +#47264=CARTESIAN_POINT('',(-3.33E1,-1.595022672948E0,-1.995E1)); +#47265=VERTEX_POINT('',#47263); +#47266=VERTEX_POINT('',#47264); +#47267=CARTESIAN_POINT('',(-3.545E1,7.077654916055E0,-1.995E1)); +#47268=CARTESIAN_POINT('',(-3.335E1,7.077654916055E0,-1.995E1)); +#47269=VERTEX_POINT('',#47267); +#47270=VERTEX_POINT('',#47268); +#47271=CARTESIAN_POINT('',(-3.545E1,-1.783510852338E0,-1.995E1)); +#47272=CARTESIAN_POINT('',(-3.335E1,-1.783510852338E0,-1.995E1)); +#47273=VERTEX_POINT('',#47271); +#47274=VERTEX_POINT('',#47272); +#47275=CARTESIAN_POINT('',(-3.55E1,9.114936490539E0,-1.9E1)); +#47276=CARTESIAN_POINT('',(-3.55E1,1.121514332974E1,-1.9E1)); +#47277=VERTEX_POINT('',#47275); +#47278=VERTEX_POINT('',#47276); +#47279=CARTESIAN_POINT('',(-3.33E1,9.114936490539E0,-1.9E1)); +#47280=CARTESIAN_POINT('',(-3.33E1,1.121514332974E1,-1.9E1)); +#47281=VERTEX_POINT('',#47279); +#47282=VERTEX_POINT('',#47280); +#47283=CARTESIAN_POINT('',(-3.55E1,7.199986605899E0,-1.989295579619E1)); +#47284=VERTEX_POINT('',#47283); +#47285=CARTESIAN_POINT('',(-3.33E1,7.199986605899E0,-1.989295579619E1)); +#47286=VERTEX_POINT('',#47285); +#47287=CARTESIAN_POINT('',(-3.47E1,1.0645E1,-1.765E1)); +#47288=CARTESIAN_POINT('',(-3.47E1,1.0015E1,-1.765E1)); +#47289=VERTEX_POINT('',#47287); +#47290=VERTEX_POINT('',#47288); +#47291=CARTESIAN_POINT('',(-3.41E1,1.0015E1,-1.765E1)); +#47292=VERTEX_POINT('',#47291); +#47293=CARTESIAN_POINT('',(-3.41E1,1.0645E1,-1.765E1)); +#47294=VERTEX_POINT('',#47293); +#47295=CARTESIAN_POINT('',(-3.47E1,1.845E0,-1.765E1)); +#47296=CARTESIAN_POINT('',(-3.47E1,1.215E0,-1.765E1)); +#47297=VERTEX_POINT('',#47295); +#47298=VERTEX_POINT('',#47296); +#47299=CARTESIAN_POINT('',(-3.41E1,1.215E0,-1.765E1)); +#47300=VERTEX_POINT('',#47299); +#47301=CARTESIAN_POINT('',(-3.41E1,1.845E0,-1.765E1)); +#47302=VERTEX_POINT('',#47301); +#47303=CARTESIAN_POINT('',(-3.55E1,7.197627763800E0,-1.986599410763E1)); +#47304=VERTEX_POINT('',#47303); +#47305=CARTESIAN_POINT('',(-3.55E1,-1.605902971128E0,-1.982563762273E1)); +#47306=VERTEX_POINT('',#47305); +#47307=CARTESIAN_POINT('',(-3.33E1,7.197627763800E0,-1.986599410763E1)); +#47308=VERTEX_POINT('',#47307); +#47309=CARTESIAN_POINT('',(-3.33E1,-1.605902971128E0,-1.982563762273E1)); +#47310=VERTEX_POINT('',#47309); +#47311=CARTESIAN_POINT('',(-3.55E1,9.63E0,-1.795E1)); +#47312=CARTESIAN_POINT('',(-3.55E1,1.103E1,-1.795E1)); +#47313=VERTEX_POINT('',#47311); +#47314=VERTEX_POINT('',#47312); +#47315=CARTESIAN_POINT('',(-3.52E1,9.93E0,-1.765E1)); +#47316=CARTESIAN_POINT('',(-3.52E1,1.073E1,-1.765E1)); +#47317=VERTEX_POINT('',#47315); +#47318=VERTEX_POINT('',#47316); +#47319=CARTESIAN_POINT('',(-3.33E1,9.63E0,-1.795E1)); +#47320=VERTEX_POINT('',#47319); +#47321=CARTESIAN_POINT('',(-3.36E1,9.93E0,-1.765E1)); +#47322=VERTEX_POINT('',#47321); +#47323=CARTESIAN_POINT('',(-3.36E1,1.073E1,-1.765E1)); +#47324=VERTEX_POINT('',#47323); +#47325=CARTESIAN_POINT('',(-3.33E1,1.103E1,-1.795E1)); +#47326=VERTEX_POINT('',#47325); +#47327=CARTESIAN_POINT('',(-3.36E1,1.93E0,-1.765E1)); +#47328=CARTESIAN_POINT('',(-3.36E1,1.13E0,-1.765E1)); +#47329=VERTEX_POINT('',#47327); +#47330=VERTEX_POINT('',#47328); +#47331=CARTESIAN_POINT('',(-3.33E1,2.23E0,-1.795E1)); +#47332=CARTESIAN_POINT('',(-3.33E1,8.3E-1,-1.795E1)); +#47333=VERTEX_POINT('',#47331); +#47334=VERTEX_POINT('',#47332); +#47335=CARTESIAN_POINT('',(-3.52E1,1.93E0,-1.765E1)); +#47336=VERTEX_POINT('',#47335); +#47337=CARTESIAN_POINT('',(-3.55E1,8.3E-1,-1.795E1)); +#47338=CARTESIAN_POINT('',(-3.55E1,2.23E0,-1.795E1)); +#47339=VERTEX_POINT('',#47337); +#47340=VERTEX_POINT('',#47338); +#47341=CARTESIAN_POINT('',(-3.52E1,1.13E0,-1.765E1)); +#47342=VERTEX_POINT('',#47341); +#47343=CARTESIAN_POINT('',(-3.04E1,1.0645E1,-1.175E1)); +#47344=CARTESIAN_POINT('',(-3.04E1,1.0015E1,-1.175E1)); +#47345=VERTEX_POINT('',#47343); +#47346=VERTEX_POINT('',#47344); +#47347=CARTESIAN_POINT('',(-2.98E1,1.0015E1,-1.175E1)); +#47348=VERTEX_POINT('',#47347); +#47349=CARTESIAN_POINT('',(-2.98E1,1.0645E1,-1.175E1)); +#47350=VERTEX_POINT('',#47349); +#47351=CARTESIAN_POINT('',(-3.04E1,1.845E0,-1.175E1)); +#47352=CARTESIAN_POINT('',(-3.04E1,1.215E0,-1.175E1)); +#47353=VERTEX_POINT('',#47351); +#47354=VERTEX_POINT('',#47352); +#47355=CARTESIAN_POINT('',(-2.98E1,1.215E0,-1.175E1)); +#47356=VERTEX_POINT('',#47355); +#47357=CARTESIAN_POINT('',(-2.98E1,1.845E0,-1.175E1)); +#47358=VERTEX_POINT('',#47357); +#47359=CARTESIAN_POINT('',(-3.12E1,9.63E0,-1.816282873211E1)); +#47360=CARTESIAN_POINT('',(-2.9E1,9.63E0,-1.816282873211E1)); +#47361=VERTEX_POINT('',#47359); +#47362=VERTEX_POINT('',#47360); +#47363=CARTESIAN_POINT('',(-3.12E1,8.3E-1,-1.812E1)); +#47364=CARTESIAN_POINT('',(-2.9E1,8.3E-1,-1.812E1)); +#47365=VERTEX_POINT('',#47363); +#47366=VERTEX_POINT('',#47364); +#47367=CARTESIAN_POINT('',(-3.12E1,2.404977327052E0,-1.995E1)); +#47368=CARTESIAN_POINT('',(-3.12E1,-1.595022672948E0,-1.995E1)); +#47369=VERTEX_POINT('',#47367); +#47370=VERTEX_POINT('',#47368); +#47371=CARTESIAN_POINT('',(-2.9E1,2.404977327052E0,-1.995E1)); +#47372=CARTESIAN_POINT('',(-2.9E1,-1.595022672948E0,-1.995E1)); +#47373=VERTEX_POINT('',#47371); +#47374=VERTEX_POINT('',#47372); +#47375=CARTESIAN_POINT('',(-3.115E1,7.077654916055E0,-1.995E1)); +#47376=CARTESIAN_POINT('',(-2.905E1,7.077654916055E0,-1.995E1)); +#47377=VERTEX_POINT('',#47375); +#47378=VERTEX_POINT('',#47376); +#47379=CARTESIAN_POINT('',(-3.115E1,-1.783510852338E0,-1.995E1)); +#47380=CARTESIAN_POINT('',(-2.905E1,-1.783510852338E0,-1.995E1)); +#47381=VERTEX_POINT('',#47379); +#47382=VERTEX_POINT('',#47380); +#47383=CARTESIAN_POINT('',(-3.12E1,9.114936490539E0,-1.9E1)); +#47384=CARTESIAN_POINT('',(-3.12E1,1.121514332974E1,-1.9E1)); +#47385=VERTEX_POINT('',#47383); +#47386=VERTEX_POINT('',#47384); +#47387=CARTESIAN_POINT('',(-2.9E1,9.114936490539E0,-1.9E1)); +#47388=CARTESIAN_POINT('',(-2.9E1,1.121514332974E1,-1.9E1)); +#47389=VERTEX_POINT('',#47387); +#47390=VERTEX_POINT('',#47388); +#47391=CARTESIAN_POINT('',(-3.12E1,7.199986605899E0,-1.989295579619E1)); +#47392=VERTEX_POINT('',#47391); +#47393=CARTESIAN_POINT('',(-2.9E1,7.199986605899E0,-1.989295579619E1)); +#47394=VERTEX_POINT('',#47393); +#47395=CARTESIAN_POINT('',(-3.04E1,1.0645E1,-1.765E1)); +#47396=CARTESIAN_POINT('',(-3.04E1,1.0015E1,-1.765E1)); +#47397=VERTEX_POINT('',#47395); +#47398=VERTEX_POINT('',#47396); +#47399=CARTESIAN_POINT('',(-2.98E1,1.0015E1,-1.765E1)); +#47400=VERTEX_POINT('',#47399); +#47401=CARTESIAN_POINT('',(-2.98E1,1.0645E1,-1.765E1)); +#47402=VERTEX_POINT('',#47401); +#47403=CARTESIAN_POINT('',(-3.04E1,1.845E0,-1.765E1)); +#47404=CARTESIAN_POINT('',(-3.04E1,1.215E0,-1.765E1)); +#47405=VERTEX_POINT('',#47403); +#47406=VERTEX_POINT('',#47404); +#47407=CARTESIAN_POINT('',(-2.98E1,1.215E0,-1.765E1)); +#47408=VERTEX_POINT('',#47407); +#47409=CARTESIAN_POINT('',(-2.98E1,1.845E0,-1.765E1)); +#47410=VERTEX_POINT('',#47409); +#47411=CARTESIAN_POINT('',(-3.12E1,7.197627763800E0,-1.986599410763E1)); +#47412=VERTEX_POINT('',#47411); +#47413=CARTESIAN_POINT('',(-3.12E1,-1.605902971128E0,-1.982563762273E1)); +#47414=VERTEX_POINT('',#47413); +#47415=CARTESIAN_POINT('',(-2.9E1,7.197627763800E0,-1.986599410763E1)); +#47416=VERTEX_POINT('',#47415); +#47417=CARTESIAN_POINT('',(-2.9E1,-1.605902971128E0,-1.982563762273E1)); +#47418=VERTEX_POINT('',#47417); +#47419=CARTESIAN_POINT('',(-3.12E1,9.63E0,-1.795E1)); +#47420=CARTESIAN_POINT('',(-3.12E1,1.103E1,-1.795E1)); +#47421=VERTEX_POINT('',#47419); +#47422=VERTEX_POINT('',#47420); +#47423=CARTESIAN_POINT('',(-3.09E1,9.93E0,-1.765E1)); +#47424=CARTESIAN_POINT('',(-3.09E1,1.073E1,-1.765E1)); +#47425=VERTEX_POINT('',#47423); +#47426=VERTEX_POINT('',#47424); +#47427=CARTESIAN_POINT('',(-2.9E1,9.63E0,-1.795E1)); +#47428=VERTEX_POINT('',#47427); +#47429=CARTESIAN_POINT('',(-2.93E1,9.93E0,-1.765E1)); +#47430=VERTEX_POINT('',#47429); +#47431=CARTESIAN_POINT('',(-2.93E1,1.073E1,-1.765E1)); +#47432=VERTEX_POINT('',#47431); +#47433=CARTESIAN_POINT('',(-2.9E1,1.103E1,-1.795E1)); +#47434=VERTEX_POINT('',#47433); +#47435=CARTESIAN_POINT('',(-2.93E1,1.93E0,-1.765E1)); +#47436=CARTESIAN_POINT('',(-2.93E1,1.13E0,-1.765E1)); +#47437=VERTEX_POINT('',#47435); +#47438=VERTEX_POINT('',#47436); +#47439=CARTESIAN_POINT('',(-2.9E1,2.23E0,-1.795E1)); +#47440=CARTESIAN_POINT('',(-2.9E1,8.3E-1,-1.795E1)); +#47441=VERTEX_POINT('',#47439); +#47442=VERTEX_POINT('',#47440); +#47443=CARTESIAN_POINT('',(-3.09E1,1.93E0,-1.765E1)); +#47444=VERTEX_POINT('',#47443); +#47445=CARTESIAN_POINT('',(-3.12E1,8.3E-1,-1.795E1)); +#47446=CARTESIAN_POINT('',(-3.12E1,2.23E0,-1.795E1)); +#47447=VERTEX_POINT('',#47445); +#47448=VERTEX_POINT('',#47446); +#47449=CARTESIAN_POINT('',(-3.09E1,1.13E0,-1.765E1)); +#47450=VERTEX_POINT('',#47449); +#47451=CARTESIAN_POINT('',(-2.61E1,1.0645E1,-1.175E1)); +#47452=CARTESIAN_POINT('',(-2.61E1,1.0015E1,-1.175E1)); +#47453=VERTEX_POINT('',#47451); +#47454=VERTEX_POINT('',#47452); +#47455=CARTESIAN_POINT('',(-2.55E1,1.0015E1,-1.175E1)); +#47456=VERTEX_POINT('',#47455); +#47457=CARTESIAN_POINT('',(-2.55E1,1.0645E1,-1.175E1)); +#47458=VERTEX_POINT('',#47457); +#47459=CARTESIAN_POINT('',(-2.61E1,1.845E0,-1.175E1)); +#47460=CARTESIAN_POINT('',(-2.61E1,1.215E0,-1.175E1)); +#47461=VERTEX_POINT('',#47459); +#47462=VERTEX_POINT('',#47460); +#47463=CARTESIAN_POINT('',(-2.55E1,1.215E0,-1.175E1)); +#47464=VERTEX_POINT('',#47463); +#47465=CARTESIAN_POINT('',(-2.55E1,1.845E0,-1.175E1)); +#47466=VERTEX_POINT('',#47465); +#47467=CARTESIAN_POINT('',(-2.69E1,9.63E0,-1.816282873211E1)); +#47468=CARTESIAN_POINT('',(-2.47E1,9.63E0,-1.816282873211E1)); +#47469=VERTEX_POINT('',#47467); +#47470=VERTEX_POINT('',#47468); +#47471=CARTESIAN_POINT('',(-2.69E1,8.3E-1,-1.812E1)); +#47472=CARTESIAN_POINT('',(-2.47E1,8.3E-1,-1.812E1)); +#47473=VERTEX_POINT('',#47471); +#47474=VERTEX_POINT('',#47472); +#47475=CARTESIAN_POINT('',(-2.69E1,2.404977327052E0,-1.995E1)); +#47476=CARTESIAN_POINT('',(-2.69E1,-1.595022672948E0,-1.995E1)); +#47477=VERTEX_POINT('',#47475); +#47478=VERTEX_POINT('',#47476); +#47479=CARTESIAN_POINT('',(-2.47E1,2.404977327052E0,-1.995E1)); +#47480=CARTESIAN_POINT('',(-2.47E1,-1.595022672948E0,-1.995E1)); +#47481=VERTEX_POINT('',#47479); +#47482=VERTEX_POINT('',#47480); +#47483=CARTESIAN_POINT('',(-2.685E1,7.077654916055E0,-1.995E1)); +#47484=CARTESIAN_POINT('',(-2.475E1,7.077654916055E0,-1.995E1)); +#47485=VERTEX_POINT('',#47483); +#47486=VERTEX_POINT('',#47484); +#47487=CARTESIAN_POINT('',(-2.685E1,-1.783510852338E0,-1.995E1)); +#47488=CARTESIAN_POINT('',(-2.475E1,-1.783510852338E0,-1.995E1)); +#47489=VERTEX_POINT('',#47487); +#47490=VERTEX_POINT('',#47488); +#47491=CARTESIAN_POINT('',(-2.69E1,9.114936490539E0,-1.9E1)); +#47492=CARTESIAN_POINT('',(-2.69E1,1.121514332974E1,-1.9E1)); +#47493=VERTEX_POINT('',#47491); +#47494=VERTEX_POINT('',#47492); +#47495=CARTESIAN_POINT('',(-2.47E1,9.114936490539E0,-1.9E1)); +#47496=CARTESIAN_POINT('',(-2.47E1,1.121514332974E1,-1.9E1)); +#47497=VERTEX_POINT('',#47495); +#47498=VERTEX_POINT('',#47496); +#47499=CARTESIAN_POINT('',(-2.69E1,7.199986605899E0,-1.989295579619E1)); +#47500=VERTEX_POINT('',#47499); +#47501=CARTESIAN_POINT('',(-2.47E1,7.199986605899E0,-1.989295579619E1)); +#47502=VERTEX_POINT('',#47501); +#47503=CARTESIAN_POINT('',(-2.61E1,1.0645E1,-1.765E1)); +#47504=CARTESIAN_POINT('',(-2.61E1,1.0015E1,-1.765E1)); +#47505=VERTEX_POINT('',#47503); +#47506=VERTEX_POINT('',#47504); +#47507=CARTESIAN_POINT('',(-2.55E1,1.0015E1,-1.765E1)); +#47508=VERTEX_POINT('',#47507); +#47509=CARTESIAN_POINT('',(-2.55E1,1.0645E1,-1.765E1)); +#47510=VERTEX_POINT('',#47509); +#47511=CARTESIAN_POINT('',(-2.61E1,1.845E0,-1.765E1)); +#47512=CARTESIAN_POINT('',(-2.61E1,1.215E0,-1.765E1)); +#47513=VERTEX_POINT('',#47511); +#47514=VERTEX_POINT('',#47512); +#47515=CARTESIAN_POINT('',(-2.55E1,1.215E0,-1.765E1)); +#47516=VERTEX_POINT('',#47515); +#47517=CARTESIAN_POINT('',(-2.55E1,1.845E0,-1.765E1)); +#47518=VERTEX_POINT('',#47517); +#47519=CARTESIAN_POINT('',(-2.69E1,7.197627763800E0,-1.986599410763E1)); +#47520=VERTEX_POINT('',#47519); +#47521=CARTESIAN_POINT('',(-2.69E1,-1.605902971128E0,-1.982563762273E1)); +#47522=VERTEX_POINT('',#47521); +#47523=CARTESIAN_POINT('',(-2.47E1,7.197627763800E0,-1.986599410763E1)); +#47524=VERTEX_POINT('',#47523); +#47525=CARTESIAN_POINT('',(-2.47E1,-1.605902971128E0,-1.982563762273E1)); +#47526=VERTEX_POINT('',#47525); +#47527=CARTESIAN_POINT('',(-2.69E1,9.63E0,-1.795E1)); +#47528=CARTESIAN_POINT('',(-2.69E1,1.103E1,-1.795E1)); +#47529=VERTEX_POINT('',#47527); +#47530=VERTEX_POINT('',#47528); +#47531=CARTESIAN_POINT('',(-2.66E1,9.93E0,-1.765E1)); +#47532=CARTESIAN_POINT('',(-2.66E1,1.073E1,-1.765E1)); +#47533=VERTEX_POINT('',#47531); +#47534=VERTEX_POINT('',#47532); +#47535=CARTESIAN_POINT('',(-2.47E1,9.63E0,-1.795E1)); +#47536=VERTEX_POINT('',#47535); +#47537=CARTESIAN_POINT('',(-2.5E1,9.93E0,-1.765E1)); +#47538=VERTEX_POINT('',#47537); +#47539=CARTESIAN_POINT('',(-2.5E1,1.073E1,-1.765E1)); +#47540=VERTEX_POINT('',#47539); +#47541=CARTESIAN_POINT('',(-2.47E1,1.103E1,-1.795E1)); +#47542=VERTEX_POINT('',#47541); +#47543=CARTESIAN_POINT('',(-2.5E1,1.93E0,-1.765E1)); +#47544=CARTESIAN_POINT('',(-2.5E1,1.13E0,-1.765E1)); +#47545=VERTEX_POINT('',#47543); +#47546=VERTEX_POINT('',#47544); +#47547=CARTESIAN_POINT('',(-2.47E1,2.23E0,-1.795E1)); +#47548=CARTESIAN_POINT('',(-2.47E1,8.3E-1,-1.795E1)); +#47549=VERTEX_POINT('',#47547); +#47550=VERTEX_POINT('',#47548); +#47551=CARTESIAN_POINT('',(-2.66E1,1.93E0,-1.765E1)); +#47552=VERTEX_POINT('',#47551); +#47553=CARTESIAN_POINT('',(-2.69E1,8.3E-1,-1.795E1)); +#47554=CARTESIAN_POINT('',(-2.69E1,2.23E0,-1.795E1)); +#47555=VERTEX_POINT('',#47553); +#47556=VERTEX_POINT('',#47554); +#47557=CARTESIAN_POINT('',(-2.66E1,1.13E0,-1.765E1)); +#47558=VERTEX_POINT('',#47557); +#47559=CARTESIAN_POINT('',(-2.18E1,1.0645E1,-1.175E1)); +#47560=CARTESIAN_POINT('',(-2.18E1,1.0015E1,-1.175E1)); +#47561=VERTEX_POINT('',#47559); +#47562=VERTEX_POINT('',#47560); +#47563=CARTESIAN_POINT('',(-2.12E1,1.0015E1,-1.175E1)); +#47564=VERTEX_POINT('',#47563); +#47565=CARTESIAN_POINT('',(-2.12E1,1.0645E1,-1.175E1)); +#47566=VERTEX_POINT('',#47565); +#47567=CARTESIAN_POINT('',(-2.18E1,1.845E0,-1.175E1)); +#47568=CARTESIAN_POINT('',(-2.18E1,1.215E0,-1.175E1)); +#47569=VERTEX_POINT('',#47567); +#47570=VERTEX_POINT('',#47568); +#47571=CARTESIAN_POINT('',(-2.12E1,1.215E0,-1.175E1)); +#47572=VERTEX_POINT('',#47571); +#47573=CARTESIAN_POINT('',(-2.12E1,1.845E0,-1.175E1)); +#47574=VERTEX_POINT('',#47573); +#47575=CARTESIAN_POINT('',(-2.26E1,9.63E0,-1.816282873211E1)); +#47576=CARTESIAN_POINT('',(-2.04E1,9.63E0,-1.816282873211E1)); +#47577=VERTEX_POINT('',#47575); +#47578=VERTEX_POINT('',#47576); +#47579=CARTESIAN_POINT('',(-2.26E1,8.3E-1,-1.812E1)); +#47580=CARTESIAN_POINT('',(-2.04E1,8.3E-1,-1.812E1)); +#47581=VERTEX_POINT('',#47579); +#47582=VERTEX_POINT('',#47580); +#47583=CARTESIAN_POINT('',(-2.26E1,2.404977327052E0,-1.995E1)); +#47584=CARTESIAN_POINT('',(-2.26E1,-1.595022672948E0,-1.995E1)); +#47585=VERTEX_POINT('',#47583); +#47586=VERTEX_POINT('',#47584); +#47587=CARTESIAN_POINT('',(-2.04E1,2.404977327052E0,-1.995E1)); +#47588=CARTESIAN_POINT('',(-2.04E1,-1.595022672948E0,-1.995E1)); +#47589=VERTEX_POINT('',#47587); +#47590=VERTEX_POINT('',#47588); +#47591=CARTESIAN_POINT('',(-2.255E1,7.077654916055E0,-1.995E1)); +#47592=CARTESIAN_POINT('',(-2.045E1,7.077654916055E0,-1.995E1)); +#47593=VERTEX_POINT('',#47591); +#47594=VERTEX_POINT('',#47592); +#47595=CARTESIAN_POINT('',(-2.255E1,-1.783510852338E0,-1.995E1)); +#47596=CARTESIAN_POINT('',(-2.045E1,-1.783510852338E0,-1.995E1)); +#47597=VERTEX_POINT('',#47595); +#47598=VERTEX_POINT('',#47596); +#47599=CARTESIAN_POINT('',(-2.26E1,9.114936490539E0,-1.9E1)); +#47600=CARTESIAN_POINT('',(-2.26E1,1.121514332974E1,-1.9E1)); +#47601=VERTEX_POINT('',#47599); +#47602=VERTEX_POINT('',#47600); +#47603=CARTESIAN_POINT('',(-2.04E1,9.114936490539E0,-1.9E1)); +#47604=CARTESIAN_POINT('',(-2.04E1,1.121514332974E1,-1.9E1)); +#47605=VERTEX_POINT('',#47603); +#47606=VERTEX_POINT('',#47604); +#47607=CARTESIAN_POINT('',(-2.26E1,7.199986605899E0,-1.989295579619E1)); +#47608=VERTEX_POINT('',#47607); +#47609=CARTESIAN_POINT('',(-2.04E1,7.199986605899E0,-1.989295579619E1)); +#47610=VERTEX_POINT('',#47609); +#47611=CARTESIAN_POINT('',(-2.18E1,1.0645E1,-1.765E1)); +#47612=CARTESIAN_POINT('',(-2.18E1,1.0015E1,-1.765E1)); +#47613=VERTEX_POINT('',#47611); +#47614=VERTEX_POINT('',#47612); +#47615=CARTESIAN_POINT('',(-2.12E1,1.0015E1,-1.765E1)); +#47616=VERTEX_POINT('',#47615); +#47617=CARTESIAN_POINT('',(-2.12E1,1.0645E1,-1.765E1)); +#47618=VERTEX_POINT('',#47617); +#47619=CARTESIAN_POINT('',(-2.18E1,1.845E0,-1.765E1)); +#47620=CARTESIAN_POINT('',(-2.18E1,1.215E0,-1.765E1)); +#47621=VERTEX_POINT('',#47619); +#47622=VERTEX_POINT('',#47620); +#47623=CARTESIAN_POINT('',(-2.12E1,1.215E0,-1.765E1)); +#47624=VERTEX_POINT('',#47623); +#47625=CARTESIAN_POINT('',(-2.12E1,1.845E0,-1.765E1)); +#47626=VERTEX_POINT('',#47625); +#47627=CARTESIAN_POINT('',(-2.26E1,7.197627763800E0,-1.986599410763E1)); +#47628=VERTEX_POINT('',#47627); +#47629=CARTESIAN_POINT('',(-2.26E1,-1.605902971128E0,-1.982563762273E1)); +#47630=VERTEX_POINT('',#47629); +#47631=CARTESIAN_POINT('',(-2.04E1,7.197627763800E0,-1.986599410763E1)); +#47632=VERTEX_POINT('',#47631); +#47633=CARTESIAN_POINT('',(-2.04E1,-1.605902971128E0,-1.982563762273E1)); +#47634=VERTEX_POINT('',#47633); +#47635=CARTESIAN_POINT('',(-2.26E1,9.63E0,-1.795E1)); +#47636=CARTESIAN_POINT('',(-2.26E1,1.103E1,-1.795E1)); +#47637=VERTEX_POINT('',#47635); +#47638=VERTEX_POINT('',#47636); +#47639=CARTESIAN_POINT('',(-2.23E1,9.93E0,-1.765E1)); +#47640=CARTESIAN_POINT('',(-2.23E1,1.073E1,-1.765E1)); +#47641=VERTEX_POINT('',#47639); +#47642=VERTEX_POINT('',#47640); +#47643=CARTESIAN_POINT('',(-2.04E1,9.63E0,-1.795E1)); +#47644=VERTEX_POINT('',#47643); +#47645=CARTESIAN_POINT('',(-2.07E1,9.93E0,-1.765E1)); +#47646=VERTEX_POINT('',#47645); +#47647=CARTESIAN_POINT('',(-2.07E1,1.073E1,-1.765E1)); +#47648=VERTEX_POINT('',#47647); +#47649=CARTESIAN_POINT('',(-2.04E1,1.103E1,-1.795E1)); +#47650=VERTEX_POINT('',#47649); +#47651=CARTESIAN_POINT('',(-2.07E1,1.93E0,-1.765E1)); +#47652=CARTESIAN_POINT('',(-2.07E1,1.13E0,-1.765E1)); +#47653=VERTEX_POINT('',#47651); +#47654=VERTEX_POINT('',#47652); +#47655=CARTESIAN_POINT('',(-2.04E1,2.23E0,-1.795E1)); +#47656=CARTESIAN_POINT('',(-2.04E1,8.3E-1,-1.795E1)); +#47657=VERTEX_POINT('',#47655); +#47658=VERTEX_POINT('',#47656); +#47659=CARTESIAN_POINT('',(-2.23E1,1.93E0,-1.765E1)); +#47660=VERTEX_POINT('',#47659); +#47661=CARTESIAN_POINT('',(-2.26E1,8.3E-1,-1.795E1)); +#47662=CARTESIAN_POINT('',(-2.26E1,2.23E0,-1.795E1)); +#47663=VERTEX_POINT('',#47661); +#47664=VERTEX_POINT('',#47662); +#47665=CARTESIAN_POINT('',(-2.23E1,1.13E0,-1.765E1)); +#47666=VERTEX_POINT('',#47665); +#47667=CARTESIAN_POINT('',(-1.75E1,1.0645E1,-1.175E1)); +#47668=CARTESIAN_POINT('',(-1.75E1,1.0015E1,-1.175E1)); +#47669=VERTEX_POINT('',#47667); +#47670=VERTEX_POINT('',#47668); +#47671=CARTESIAN_POINT('',(-1.69E1,1.0015E1,-1.175E1)); +#47672=VERTEX_POINT('',#47671); +#47673=CARTESIAN_POINT('',(-1.69E1,1.0645E1,-1.175E1)); +#47674=VERTEX_POINT('',#47673); +#47675=CARTESIAN_POINT('',(-1.75E1,1.845E0,-1.175E1)); +#47676=CARTESIAN_POINT('',(-1.75E1,1.215E0,-1.175E1)); +#47677=VERTEX_POINT('',#47675); +#47678=VERTEX_POINT('',#47676); +#47679=CARTESIAN_POINT('',(-1.69E1,1.215E0,-1.175E1)); +#47680=VERTEX_POINT('',#47679); +#47681=CARTESIAN_POINT('',(-1.69E1,1.845E0,-1.175E1)); +#47682=VERTEX_POINT('',#47681); +#47683=CARTESIAN_POINT('',(-1.83E1,9.63E0,-1.816282873211E1)); +#47684=CARTESIAN_POINT('',(-1.61E1,9.63E0,-1.816282873211E1)); +#47685=VERTEX_POINT('',#47683); +#47686=VERTEX_POINT('',#47684); +#47687=CARTESIAN_POINT('',(-1.83E1,8.3E-1,-1.812E1)); +#47688=CARTESIAN_POINT('',(-1.61E1,8.3E-1,-1.812E1)); +#47689=VERTEX_POINT('',#47687); +#47690=VERTEX_POINT('',#47688); +#47691=CARTESIAN_POINT('',(-1.83E1,2.404977327052E0,-1.995E1)); +#47692=CARTESIAN_POINT('',(-1.83E1,-1.595022672948E0,-1.995E1)); +#47693=VERTEX_POINT('',#47691); +#47694=VERTEX_POINT('',#47692); +#47695=CARTESIAN_POINT('',(-1.61E1,2.404977327052E0,-1.995E1)); +#47696=CARTESIAN_POINT('',(-1.61E1,-1.595022672948E0,-1.995E1)); +#47697=VERTEX_POINT('',#47695); +#47698=VERTEX_POINT('',#47696); +#47699=CARTESIAN_POINT('',(-1.825E1,7.077654916055E0,-1.995E1)); +#47700=CARTESIAN_POINT('',(-1.615E1,7.077654916055E0,-1.995E1)); +#47701=VERTEX_POINT('',#47699); +#47702=VERTEX_POINT('',#47700); +#47703=CARTESIAN_POINT('',(-1.825E1,-1.783510852338E0,-1.995E1)); +#47704=CARTESIAN_POINT('',(-1.615E1,-1.783510852338E0,-1.995E1)); +#47705=VERTEX_POINT('',#47703); +#47706=VERTEX_POINT('',#47704); +#47707=CARTESIAN_POINT('',(-1.83E1,9.114936490539E0,-1.9E1)); +#47708=CARTESIAN_POINT('',(-1.83E1,1.121514332974E1,-1.9E1)); +#47709=VERTEX_POINT('',#47707); +#47710=VERTEX_POINT('',#47708); +#47711=CARTESIAN_POINT('',(-1.61E1,9.114936490539E0,-1.9E1)); +#47712=CARTESIAN_POINT('',(-1.61E1,1.121514332974E1,-1.9E1)); +#47713=VERTEX_POINT('',#47711); +#47714=VERTEX_POINT('',#47712); +#47715=CARTESIAN_POINT('',(-1.83E1,7.199986605899E0,-1.989295579619E1)); +#47716=VERTEX_POINT('',#47715); +#47717=CARTESIAN_POINT('',(-1.61E1,7.199986605899E0,-1.989295579619E1)); +#47718=VERTEX_POINT('',#47717); +#47719=CARTESIAN_POINT('',(-1.75E1,1.0645E1,-1.765E1)); +#47720=CARTESIAN_POINT('',(-1.75E1,1.0015E1,-1.765E1)); +#47721=VERTEX_POINT('',#47719); +#47722=VERTEX_POINT('',#47720); +#47723=CARTESIAN_POINT('',(-1.69E1,1.0015E1,-1.765E1)); +#47724=VERTEX_POINT('',#47723); +#47725=CARTESIAN_POINT('',(-1.69E1,1.0645E1,-1.765E1)); +#47726=VERTEX_POINT('',#47725); +#47727=CARTESIAN_POINT('',(-1.75E1,1.845E0,-1.765E1)); +#47728=CARTESIAN_POINT('',(-1.75E1,1.215E0,-1.765E1)); +#47729=VERTEX_POINT('',#47727); +#47730=VERTEX_POINT('',#47728); +#47731=CARTESIAN_POINT('',(-1.69E1,1.215E0,-1.765E1)); +#47732=VERTEX_POINT('',#47731); +#47733=CARTESIAN_POINT('',(-1.69E1,1.845E0,-1.765E1)); +#47734=VERTEX_POINT('',#47733); +#47735=CARTESIAN_POINT('',(-1.83E1,7.197627763800E0,-1.986599410763E1)); +#47736=VERTEX_POINT('',#47735); +#47737=CARTESIAN_POINT('',(-1.83E1,-1.605902971128E0,-1.982563762273E1)); +#47738=VERTEX_POINT('',#47737); +#47739=CARTESIAN_POINT('',(-1.61E1,7.197627763800E0,-1.986599410763E1)); +#47740=VERTEX_POINT('',#47739); +#47741=CARTESIAN_POINT('',(-1.61E1,-1.605902971128E0,-1.982563762273E1)); +#47742=VERTEX_POINT('',#47741); +#47743=CARTESIAN_POINT('',(-1.83E1,9.63E0,-1.795E1)); +#47744=CARTESIAN_POINT('',(-1.83E1,1.103E1,-1.795E1)); +#47745=VERTEX_POINT('',#47743); +#47746=VERTEX_POINT('',#47744); +#47747=CARTESIAN_POINT('',(-1.8E1,9.93E0,-1.765E1)); +#47748=CARTESIAN_POINT('',(-1.8E1,1.073E1,-1.765E1)); +#47749=VERTEX_POINT('',#47747); +#47750=VERTEX_POINT('',#47748); +#47751=CARTESIAN_POINT('',(-1.61E1,9.63E0,-1.795E1)); +#47752=VERTEX_POINT('',#47751); +#47753=CARTESIAN_POINT('',(-1.64E1,9.93E0,-1.765E1)); +#47754=VERTEX_POINT('',#47753); +#47755=CARTESIAN_POINT('',(-1.64E1,1.073E1,-1.765E1)); +#47756=VERTEX_POINT('',#47755); +#47757=CARTESIAN_POINT('',(-1.61E1,1.103E1,-1.795E1)); +#47758=VERTEX_POINT('',#47757); +#47759=CARTESIAN_POINT('',(-1.64E1,1.93E0,-1.765E1)); +#47760=CARTESIAN_POINT('',(-1.64E1,1.13E0,-1.765E1)); +#47761=VERTEX_POINT('',#47759); +#47762=VERTEX_POINT('',#47760); +#47763=CARTESIAN_POINT('',(-1.61E1,2.23E0,-1.795E1)); +#47764=CARTESIAN_POINT('',(-1.61E1,8.3E-1,-1.795E1)); +#47765=VERTEX_POINT('',#47763); +#47766=VERTEX_POINT('',#47764); +#47767=CARTESIAN_POINT('',(-1.8E1,1.93E0,-1.765E1)); +#47768=VERTEX_POINT('',#47767); +#47769=CARTESIAN_POINT('',(-1.83E1,8.3E-1,-1.795E1)); +#47770=CARTESIAN_POINT('',(-1.83E1,2.23E0,-1.795E1)); +#47771=VERTEX_POINT('',#47769); +#47772=VERTEX_POINT('',#47770); +#47773=CARTESIAN_POINT('',(-1.8E1,1.13E0,-1.765E1)); +#47774=VERTEX_POINT('',#47773); +#47775=CARTESIAN_POINT('',(-1.32E1,1.0645E1,-1.175E1)); +#47776=CARTESIAN_POINT('',(-1.32E1,1.0015E1,-1.175E1)); +#47777=VERTEX_POINT('',#47775); +#47778=VERTEX_POINT('',#47776); +#47779=CARTESIAN_POINT('',(-1.26E1,1.0015E1,-1.175E1)); +#47780=VERTEX_POINT('',#47779); +#47781=CARTESIAN_POINT('',(-1.26E1,1.0645E1,-1.175E1)); +#47782=VERTEX_POINT('',#47781); +#47783=CARTESIAN_POINT('',(-1.32E1,1.845E0,-1.175E1)); +#47784=CARTESIAN_POINT('',(-1.32E1,1.215E0,-1.175E1)); +#47785=VERTEX_POINT('',#47783); +#47786=VERTEX_POINT('',#47784); +#47787=CARTESIAN_POINT('',(-1.26E1,1.215E0,-1.175E1)); +#47788=VERTEX_POINT('',#47787); +#47789=CARTESIAN_POINT('',(-1.26E1,1.845E0,-1.175E1)); +#47790=VERTEX_POINT('',#47789); +#47791=CARTESIAN_POINT('',(-1.4E1,9.63E0,-1.816282873211E1)); +#47792=CARTESIAN_POINT('',(-1.18E1,9.63E0,-1.816282873211E1)); +#47793=VERTEX_POINT('',#47791); +#47794=VERTEX_POINT('',#47792); +#47795=CARTESIAN_POINT('',(-1.4E1,8.3E-1,-1.812E1)); +#47796=CARTESIAN_POINT('',(-1.18E1,8.3E-1,-1.812E1)); +#47797=VERTEX_POINT('',#47795); +#47798=VERTEX_POINT('',#47796); +#47799=CARTESIAN_POINT('',(-1.4E1,2.404977327052E0,-1.995E1)); +#47800=CARTESIAN_POINT('',(-1.4E1,-1.595022672948E0,-1.995E1)); +#47801=VERTEX_POINT('',#47799); +#47802=VERTEX_POINT('',#47800); +#47803=CARTESIAN_POINT('',(-1.18E1,2.404977327052E0,-1.995E1)); +#47804=CARTESIAN_POINT('',(-1.18E1,-1.595022672948E0,-1.995E1)); +#47805=VERTEX_POINT('',#47803); +#47806=VERTEX_POINT('',#47804); +#47807=CARTESIAN_POINT('',(-1.395E1,7.077654916055E0,-1.995E1)); +#47808=CARTESIAN_POINT('',(-1.185E1,7.077654916055E0,-1.995E1)); +#47809=VERTEX_POINT('',#47807); +#47810=VERTEX_POINT('',#47808); +#47811=CARTESIAN_POINT('',(-1.395E1,-1.783510852338E0,-1.995E1)); +#47812=CARTESIAN_POINT('',(-1.185E1,-1.783510852338E0,-1.995E1)); +#47813=VERTEX_POINT('',#47811); +#47814=VERTEX_POINT('',#47812); +#47815=CARTESIAN_POINT('',(-1.4E1,9.114936490539E0,-1.9E1)); +#47816=CARTESIAN_POINT('',(-1.4E1,1.121514332974E1,-1.9E1)); +#47817=VERTEX_POINT('',#47815); +#47818=VERTEX_POINT('',#47816); +#47819=CARTESIAN_POINT('',(-1.18E1,9.114936490539E0,-1.9E1)); +#47820=CARTESIAN_POINT('',(-1.18E1,1.121514332974E1,-1.9E1)); +#47821=VERTEX_POINT('',#47819); +#47822=VERTEX_POINT('',#47820); +#47823=CARTESIAN_POINT('',(-1.4E1,7.199986605899E0,-1.989295579619E1)); +#47824=VERTEX_POINT('',#47823); +#47825=CARTESIAN_POINT('',(-1.18E1,7.199986605899E0,-1.989295579619E1)); +#47826=VERTEX_POINT('',#47825); +#47827=CARTESIAN_POINT('',(-1.32E1,1.0645E1,-1.765E1)); +#47828=CARTESIAN_POINT('',(-1.32E1,1.0015E1,-1.765E1)); +#47829=VERTEX_POINT('',#47827); +#47830=VERTEX_POINT('',#47828); +#47831=CARTESIAN_POINT('',(-1.26E1,1.0015E1,-1.765E1)); +#47832=VERTEX_POINT('',#47831); +#47833=CARTESIAN_POINT('',(-1.26E1,1.0645E1,-1.765E1)); +#47834=VERTEX_POINT('',#47833); +#47835=CARTESIAN_POINT('',(-1.32E1,1.845E0,-1.765E1)); +#47836=CARTESIAN_POINT('',(-1.32E1,1.215E0,-1.765E1)); +#47837=VERTEX_POINT('',#47835); +#47838=VERTEX_POINT('',#47836); +#47839=CARTESIAN_POINT('',(-1.26E1,1.215E0,-1.765E1)); +#47840=VERTEX_POINT('',#47839); +#47841=CARTESIAN_POINT('',(-1.26E1,1.845E0,-1.765E1)); +#47842=VERTEX_POINT('',#47841); +#47843=CARTESIAN_POINT('',(-1.4E1,7.197627763800E0,-1.986599410763E1)); +#47844=VERTEX_POINT('',#47843); +#47845=CARTESIAN_POINT('',(-1.4E1,-1.605902971128E0,-1.982563762273E1)); +#47846=VERTEX_POINT('',#47845); +#47847=CARTESIAN_POINT('',(-1.18E1,7.197627763800E0,-1.986599410763E1)); +#47848=VERTEX_POINT('',#47847); +#47849=CARTESIAN_POINT('',(-1.18E1,-1.605902971128E0,-1.982563762273E1)); +#47850=VERTEX_POINT('',#47849); +#47851=CARTESIAN_POINT('',(-1.4E1,9.63E0,-1.795E1)); +#47852=CARTESIAN_POINT('',(-1.4E1,1.103E1,-1.795E1)); +#47853=VERTEX_POINT('',#47851); +#47854=VERTEX_POINT('',#47852); +#47855=CARTESIAN_POINT('',(-1.37E1,9.93E0,-1.765E1)); +#47856=CARTESIAN_POINT('',(-1.37E1,1.073E1,-1.765E1)); +#47857=VERTEX_POINT('',#47855); +#47858=VERTEX_POINT('',#47856); +#47859=CARTESIAN_POINT('',(-1.18E1,9.63E0,-1.795E1)); +#47860=VERTEX_POINT('',#47859); +#47861=CARTESIAN_POINT('',(-1.21E1,9.93E0,-1.765E1)); +#47862=VERTEX_POINT('',#47861); +#47863=CARTESIAN_POINT('',(-1.21E1,1.073E1,-1.765E1)); +#47864=VERTEX_POINT('',#47863); +#47865=CARTESIAN_POINT('',(-1.18E1,1.103E1,-1.795E1)); +#47866=VERTEX_POINT('',#47865); +#47867=CARTESIAN_POINT('',(-1.21E1,1.93E0,-1.765E1)); +#47868=CARTESIAN_POINT('',(-1.21E1,1.13E0,-1.765E1)); +#47869=VERTEX_POINT('',#47867); +#47870=VERTEX_POINT('',#47868); +#47871=CARTESIAN_POINT('',(-1.18E1,2.23E0,-1.795E1)); +#47872=CARTESIAN_POINT('',(-1.18E1,8.3E-1,-1.795E1)); +#47873=VERTEX_POINT('',#47871); +#47874=VERTEX_POINT('',#47872); +#47875=CARTESIAN_POINT('',(-1.37E1,1.93E0,-1.765E1)); +#47876=VERTEX_POINT('',#47875); +#47877=CARTESIAN_POINT('',(-1.4E1,8.3E-1,-1.795E1)); +#47878=CARTESIAN_POINT('',(-1.4E1,2.23E0,-1.795E1)); +#47879=VERTEX_POINT('',#47877); +#47880=VERTEX_POINT('',#47878); +#47881=CARTESIAN_POINT('',(-1.37E1,1.13E0,-1.765E1)); +#47882=VERTEX_POINT('',#47881); +#47883=CARTESIAN_POINT('',(-8.9E0,1.0645E1,-1.175E1)); +#47884=CARTESIAN_POINT('',(-8.9E0,1.0015E1,-1.175E1)); +#47885=VERTEX_POINT('',#47883); +#47886=VERTEX_POINT('',#47884); +#47887=CARTESIAN_POINT('',(-8.3E0,1.0015E1,-1.175E1)); +#47888=VERTEX_POINT('',#47887); +#47889=CARTESIAN_POINT('',(-8.3E0,1.0645E1,-1.175E1)); +#47890=VERTEX_POINT('',#47889); +#47891=CARTESIAN_POINT('',(-8.9E0,1.845E0,-1.175E1)); +#47892=CARTESIAN_POINT('',(-8.9E0,1.215E0,-1.175E1)); +#47893=VERTEX_POINT('',#47891); +#47894=VERTEX_POINT('',#47892); +#47895=CARTESIAN_POINT('',(-8.3E0,1.215E0,-1.175E1)); +#47896=VERTEX_POINT('',#47895); +#47897=CARTESIAN_POINT('',(-8.3E0,1.845E0,-1.175E1)); +#47898=VERTEX_POINT('',#47897); +#47899=CARTESIAN_POINT('',(-9.7E0,9.63E0,-1.816282873211E1)); +#47900=CARTESIAN_POINT('',(-7.5E0,9.63E0,-1.816282873211E1)); +#47901=VERTEX_POINT('',#47899); +#47902=VERTEX_POINT('',#47900); +#47903=CARTESIAN_POINT('',(-9.7E0,8.3E-1,-1.812E1)); +#47904=CARTESIAN_POINT('',(-7.5E0,8.3E-1,-1.812E1)); +#47905=VERTEX_POINT('',#47903); +#47906=VERTEX_POINT('',#47904); +#47907=CARTESIAN_POINT('',(-9.7E0,2.404977327052E0,-1.995E1)); +#47908=CARTESIAN_POINT('',(-9.7E0,-1.595022672948E0,-1.995E1)); +#47909=VERTEX_POINT('',#47907); +#47910=VERTEX_POINT('',#47908); +#47911=CARTESIAN_POINT('',(-7.5E0,2.404977327052E0,-1.995E1)); +#47912=CARTESIAN_POINT('',(-7.5E0,-1.595022672948E0,-1.995E1)); +#47913=VERTEX_POINT('',#47911); +#47914=VERTEX_POINT('',#47912); +#47915=CARTESIAN_POINT('',(-9.65E0,7.077654916055E0,-1.995E1)); +#47916=CARTESIAN_POINT('',(-7.55E0,7.077654916055E0,-1.995E1)); +#47917=VERTEX_POINT('',#47915); +#47918=VERTEX_POINT('',#47916); +#47919=CARTESIAN_POINT('',(-9.65E0,-1.783510852338E0,-1.995E1)); +#47920=CARTESIAN_POINT('',(-7.55E0,-1.783510852338E0,-1.995E1)); +#47921=VERTEX_POINT('',#47919); +#47922=VERTEX_POINT('',#47920); +#47923=CARTESIAN_POINT('',(-9.7E0,9.114936490539E0,-1.9E1)); +#47924=CARTESIAN_POINT('',(-9.7E0,1.121514332974E1,-1.9E1)); +#47925=VERTEX_POINT('',#47923); +#47926=VERTEX_POINT('',#47924); +#47927=CARTESIAN_POINT('',(-7.5E0,9.114936490539E0,-1.9E1)); +#47928=CARTESIAN_POINT('',(-7.5E0,1.121514332974E1,-1.9E1)); +#47929=VERTEX_POINT('',#47927); +#47930=VERTEX_POINT('',#47928); +#47931=CARTESIAN_POINT('',(-9.7E0,7.199986605899E0,-1.989295579619E1)); +#47932=VERTEX_POINT('',#47931); +#47933=CARTESIAN_POINT('',(-7.5E0,7.199986605899E0,-1.989295579619E1)); +#47934=VERTEX_POINT('',#47933); +#47935=CARTESIAN_POINT('',(-8.9E0,1.0645E1,-1.765E1)); +#47936=CARTESIAN_POINT('',(-8.9E0,1.0015E1,-1.765E1)); +#47937=VERTEX_POINT('',#47935); +#47938=VERTEX_POINT('',#47936); +#47939=CARTESIAN_POINT('',(-8.3E0,1.0015E1,-1.765E1)); +#47940=VERTEX_POINT('',#47939); +#47941=CARTESIAN_POINT('',(-8.3E0,1.0645E1,-1.765E1)); +#47942=VERTEX_POINT('',#47941); +#47943=CARTESIAN_POINT('',(-8.9E0,1.845E0,-1.765E1)); +#47944=CARTESIAN_POINT('',(-8.9E0,1.215E0,-1.765E1)); +#47945=VERTEX_POINT('',#47943); +#47946=VERTEX_POINT('',#47944); +#47947=CARTESIAN_POINT('',(-8.3E0,1.215E0,-1.765E1)); +#47948=VERTEX_POINT('',#47947); +#47949=CARTESIAN_POINT('',(-8.3E0,1.845E0,-1.765E1)); +#47950=VERTEX_POINT('',#47949); +#47951=CARTESIAN_POINT('',(-9.7E0,7.197627763800E0,-1.986599410763E1)); +#47952=VERTEX_POINT('',#47951); +#47953=CARTESIAN_POINT('',(-9.7E0,-1.605902971128E0,-1.982563762273E1)); +#47954=VERTEX_POINT('',#47953); +#47955=CARTESIAN_POINT('',(-7.5E0,7.197627763800E0,-1.986599410763E1)); +#47956=VERTEX_POINT('',#47955); +#47957=CARTESIAN_POINT('',(-7.5E0,-1.605902971128E0,-1.982563762273E1)); +#47958=VERTEX_POINT('',#47957); +#47959=CARTESIAN_POINT('',(-9.7E0,9.63E0,-1.795E1)); +#47960=CARTESIAN_POINT('',(-9.7E0,1.103E1,-1.795E1)); +#47961=VERTEX_POINT('',#47959); +#47962=VERTEX_POINT('',#47960); +#47963=CARTESIAN_POINT('',(-9.4E0,9.93E0,-1.765E1)); +#47964=CARTESIAN_POINT('',(-9.4E0,1.073E1,-1.765E1)); +#47965=VERTEX_POINT('',#47963); +#47966=VERTEX_POINT('',#47964); +#47967=CARTESIAN_POINT('',(-7.5E0,9.63E0,-1.795E1)); +#47968=VERTEX_POINT('',#47967); +#47969=CARTESIAN_POINT('',(-7.8E0,9.93E0,-1.765E1)); +#47970=VERTEX_POINT('',#47969); +#47971=CARTESIAN_POINT('',(-7.8E0,1.073E1,-1.765E1)); +#47972=VERTEX_POINT('',#47971); +#47973=CARTESIAN_POINT('',(-7.5E0,1.103E1,-1.795E1)); +#47974=VERTEX_POINT('',#47973); +#47975=CARTESIAN_POINT('',(-7.8E0,1.93E0,-1.765E1)); +#47976=CARTESIAN_POINT('',(-7.8E0,1.13E0,-1.765E1)); +#47977=VERTEX_POINT('',#47975); +#47978=VERTEX_POINT('',#47976); +#47979=CARTESIAN_POINT('',(-7.5E0,2.23E0,-1.795E1)); +#47980=CARTESIAN_POINT('',(-7.5E0,8.3E-1,-1.795E1)); +#47981=VERTEX_POINT('',#47979); +#47982=VERTEX_POINT('',#47980); +#47983=CARTESIAN_POINT('',(-9.4E0,1.93E0,-1.765E1)); +#47984=VERTEX_POINT('',#47983); +#47985=CARTESIAN_POINT('',(-9.7E0,8.3E-1,-1.795E1)); +#47986=CARTESIAN_POINT('',(-9.7E0,2.23E0,-1.795E1)); +#47987=VERTEX_POINT('',#47985); +#47988=VERTEX_POINT('',#47986); +#47989=CARTESIAN_POINT('',(-9.4E0,1.13E0,-1.765E1)); +#47990=VERTEX_POINT('',#47989); +#47991=CARTESIAN_POINT('',(-4.6E0,1.0645E1,-1.175E1)); +#47992=CARTESIAN_POINT('',(-4.6E0,1.0015E1,-1.175E1)); +#47993=VERTEX_POINT('',#47991); +#47994=VERTEX_POINT('',#47992); +#47995=CARTESIAN_POINT('',(-4.E0,1.0015E1,-1.175E1)); +#47996=VERTEX_POINT('',#47995); +#47997=CARTESIAN_POINT('',(-4.E0,1.0645E1,-1.175E1)); +#47998=VERTEX_POINT('',#47997); +#47999=CARTESIAN_POINT('',(-4.6E0,1.845E0,-1.175E1)); +#48000=CARTESIAN_POINT('',(-4.6E0,1.215E0,-1.175E1)); +#48001=VERTEX_POINT('',#47999); +#48002=VERTEX_POINT('',#48000); +#48003=CARTESIAN_POINT('',(-4.E0,1.215E0,-1.175E1)); +#48004=VERTEX_POINT('',#48003); +#48005=CARTESIAN_POINT('',(-4.E0,1.845E0,-1.175E1)); +#48006=VERTEX_POINT('',#48005); +#48007=CARTESIAN_POINT('',(-5.4E0,9.63E0,-1.816282873211E1)); +#48008=CARTESIAN_POINT('',(-3.2E0,9.63E0,-1.816282873211E1)); +#48009=VERTEX_POINT('',#48007); +#48010=VERTEX_POINT('',#48008); +#48011=CARTESIAN_POINT('',(-5.4E0,8.3E-1,-1.812E1)); +#48012=CARTESIAN_POINT('',(-3.2E0,8.3E-1,-1.812E1)); +#48013=VERTEX_POINT('',#48011); +#48014=VERTEX_POINT('',#48012); +#48015=CARTESIAN_POINT('',(-5.4E0,2.404977327052E0,-1.995E1)); +#48016=CARTESIAN_POINT('',(-5.4E0,-1.595022672948E0,-1.995E1)); +#48017=VERTEX_POINT('',#48015); +#48018=VERTEX_POINT('',#48016); +#48019=CARTESIAN_POINT('',(-3.2E0,2.404977327052E0,-1.995E1)); +#48020=CARTESIAN_POINT('',(-3.2E0,-1.595022672948E0,-1.995E1)); +#48021=VERTEX_POINT('',#48019); +#48022=VERTEX_POINT('',#48020); +#48023=CARTESIAN_POINT('',(-5.35E0,7.077654916055E0,-1.995E1)); +#48024=CARTESIAN_POINT('',(-3.25E0,7.077654916055E0,-1.995E1)); +#48025=VERTEX_POINT('',#48023); +#48026=VERTEX_POINT('',#48024); +#48027=CARTESIAN_POINT('',(-5.35E0,-1.783510852338E0,-1.995E1)); +#48028=CARTESIAN_POINT('',(-3.25E0,-1.783510852338E0,-1.995E1)); +#48029=VERTEX_POINT('',#48027); +#48030=VERTEX_POINT('',#48028); +#48031=CARTESIAN_POINT('',(-5.4E0,9.114936490539E0,-1.9E1)); +#48032=CARTESIAN_POINT('',(-5.4E0,1.121514332974E1,-1.9E1)); +#48033=VERTEX_POINT('',#48031); +#48034=VERTEX_POINT('',#48032); +#48035=CARTESIAN_POINT('',(-3.2E0,9.114936490539E0,-1.9E1)); +#48036=CARTESIAN_POINT('',(-3.2E0,1.121514332974E1,-1.9E1)); +#48037=VERTEX_POINT('',#48035); +#48038=VERTEX_POINT('',#48036); +#48039=CARTESIAN_POINT('',(-5.4E0,7.199986605899E0,-1.989295579619E1)); +#48040=VERTEX_POINT('',#48039); +#48041=CARTESIAN_POINT('',(-3.2E0,7.199986605899E0,-1.989295579619E1)); +#48042=VERTEX_POINT('',#48041); +#48043=CARTESIAN_POINT('',(-4.6E0,1.0645E1,-1.765E1)); +#48044=CARTESIAN_POINT('',(-4.6E0,1.0015E1,-1.765E1)); +#48045=VERTEX_POINT('',#48043); +#48046=VERTEX_POINT('',#48044); +#48047=CARTESIAN_POINT('',(-4.E0,1.0015E1,-1.765E1)); +#48048=VERTEX_POINT('',#48047); +#48049=CARTESIAN_POINT('',(-4.E0,1.0645E1,-1.765E1)); +#48050=VERTEX_POINT('',#48049); +#48051=CARTESIAN_POINT('',(-4.6E0,1.845E0,-1.765E1)); +#48052=CARTESIAN_POINT('',(-4.6E0,1.215E0,-1.765E1)); +#48053=VERTEX_POINT('',#48051); +#48054=VERTEX_POINT('',#48052); +#48055=CARTESIAN_POINT('',(-4.E0,1.215E0,-1.765E1)); +#48056=VERTEX_POINT('',#48055); +#48057=CARTESIAN_POINT('',(-4.E0,1.845E0,-1.765E1)); +#48058=VERTEX_POINT('',#48057); +#48059=CARTESIAN_POINT('',(-5.4E0,7.197627763800E0,-1.986599410763E1)); +#48060=VERTEX_POINT('',#48059); +#48061=CARTESIAN_POINT('',(-5.4E0,-1.605902971128E0,-1.982563762273E1)); +#48062=VERTEX_POINT('',#48061); +#48063=CARTESIAN_POINT('',(-3.2E0,7.197627763800E0,-1.986599410763E1)); +#48064=VERTEX_POINT('',#48063); +#48065=CARTESIAN_POINT('',(-3.2E0,-1.605902971128E0,-1.982563762273E1)); +#48066=VERTEX_POINT('',#48065); +#48067=CARTESIAN_POINT('',(-5.4E0,9.63E0,-1.795E1)); +#48068=CARTESIAN_POINT('',(-5.4E0,1.103E1,-1.795E1)); +#48069=VERTEX_POINT('',#48067); +#48070=VERTEX_POINT('',#48068); +#48071=CARTESIAN_POINT('',(-5.1E0,9.93E0,-1.765E1)); +#48072=CARTESIAN_POINT('',(-5.1E0,1.073E1,-1.765E1)); +#48073=VERTEX_POINT('',#48071); +#48074=VERTEX_POINT('',#48072); +#48075=CARTESIAN_POINT('',(-3.2E0,9.63E0,-1.795E1)); +#48076=VERTEX_POINT('',#48075); +#48077=CARTESIAN_POINT('',(-3.5E0,9.93E0,-1.765E1)); +#48078=VERTEX_POINT('',#48077); +#48079=CARTESIAN_POINT('',(-3.5E0,1.073E1,-1.765E1)); +#48080=VERTEX_POINT('',#48079); +#48081=CARTESIAN_POINT('',(-3.2E0,1.103E1,-1.795E1)); +#48082=VERTEX_POINT('',#48081); +#48083=CARTESIAN_POINT('',(-3.5E0,1.93E0,-1.765E1)); +#48084=CARTESIAN_POINT('',(-3.5E0,1.13E0,-1.765E1)); +#48085=VERTEX_POINT('',#48083); +#48086=VERTEX_POINT('',#48084); +#48087=CARTESIAN_POINT('',(-3.2E0,2.23E0,-1.795E1)); +#48088=CARTESIAN_POINT('',(-3.2E0,8.3E-1,-1.795E1)); +#48089=VERTEX_POINT('',#48087); +#48090=VERTEX_POINT('',#48088); +#48091=CARTESIAN_POINT('',(-5.1E0,1.93E0,-1.765E1)); +#48092=VERTEX_POINT('',#48091); +#48093=CARTESIAN_POINT('',(-5.4E0,8.3E-1,-1.795E1)); +#48094=CARTESIAN_POINT('',(-5.4E0,2.23E0,-1.795E1)); +#48095=VERTEX_POINT('',#48093); +#48096=VERTEX_POINT('',#48094); +#48097=CARTESIAN_POINT('',(-5.1E0,1.13E0,-1.765E1)); +#48098=VERTEX_POINT('',#48097); +#48099=CARTESIAN_POINT('',(-3.E-1,1.0645E1,-1.175E1)); +#48100=CARTESIAN_POINT('',(-3.E-1,1.0015E1,-1.175E1)); +#48101=VERTEX_POINT('',#48099); +#48102=VERTEX_POINT('',#48100); +#48103=CARTESIAN_POINT('',(3.E-1,1.0015E1,-1.175E1)); +#48104=VERTEX_POINT('',#48103); +#48105=CARTESIAN_POINT('',(3.E-1,1.0645E1,-1.175E1)); +#48106=VERTEX_POINT('',#48105); +#48107=CARTESIAN_POINT('',(-3.E-1,1.845E0,-1.175E1)); +#48108=CARTESIAN_POINT('',(-3.E-1,1.215E0,-1.175E1)); +#48109=VERTEX_POINT('',#48107); +#48110=VERTEX_POINT('',#48108); +#48111=CARTESIAN_POINT('',(3.E-1,1.215E0,-1.175E1)); +#48112=VERTEX_POINT('',#48111); +#48113=CARTESIAN_POINT('',(3.E-1,1.845E0,-1.175E1)); +#48114=VERTEX_POINT('',#48113); +#48115=CARTESIAN_POINT('',(-1.1E0,9.63E0,-1.816282873211E1)); +#48116=CARTESIAN_POINT('',(1.1E0,9.63E0,-1.816282873211E1)); +#48117=VERTEX_POINT('',#48115); +#48118=VERTEX_POINT('',#48116); +#48119=CARTESIAN_POINT('',(-1.1E0,8.3E-1,-1.812E1)); +#48120=CARTESIAN_POINT('',(1.1E0,8.3E-1,-1.812E1)); +#48121=VERTEX_POINT('',#48119); +#48122=VERTEX_POINT('',#48120); +#48123=CARTESIAN_POINT('',(-1.1E0,2.404977327052E0,-1.995E1)); +#48124=CARTESIAN_POINT('',(-1.1E0,-1.595022672948E0,-1.995E1)); +#48125=VERTEX_POINT('',#48123); +#48126=VERTEX_POINT('',#48124); +#48127=CARTESIAN_POINT('',(1.1E0,2.404977327052E0,-1.995E1)); +#48128=CARTESIAN_POINT('',(1.1E0,-1.595022672948E0,-1.995E1)); +#48129=VERTEX_POINT('',#48127); +#48130=VERTEX_POINT('',#48128); +#48131=CARTESIAN_POINT('',(-1.05E0,7.077654916055E0,-1.995E1)); +#48132=CARTESIAN_POINT('',(1.05E0,7.077654916055E0,-1.995E1)); +#48133=VERTEX_POINT('',#48131); +#48134=VERTEX_POINT('',#48132); +#48135=CARTESIAN_POINT('',(-1.05E0,-1.783510852338E0,-1.995E1)); +#48136=CARTESIAN_POINT('',(1.05E0,-1.783510852338E0,-1.995E1)); +#48137=VERTEX_POINT('',#48135); +#48138=VERTEX_POINT('',#48136); +#48139=CARTESIAN_POINT('',(-1.1E0,9.114936490539E0,-1.9E1)); +#48140=CARTESIAN_POINT('',(-1.1E0,1.121514332974E1,-1.9E1)); +#48141=VERTEX_POINT('',#48139); +#48142=VERTEX_POINT('',#48140); +#48143=CARTESIAN_POINT('',(1.1E0,9.114936490539E0,-1.9E1)); +#48144=CARTESIAN_POINT('',(1.1E0,1.121514332974E1,-1.9E1)); +#48145=VERTEX_POINT('',#48143); +#48146=VERTEX_POINT('',#48144); +#48147=CARTESIAN_POINT('',(-1.1E0,7.199986605899E0,-1.989295579619E1)); +#48148=VERTEX_POINT('',#48147); +#48149=CARTESIAN_POINT('',(1.1E0,7.199986605899E0,-1.989295579619E1)); +#48150=VERTEX_POINT('',#48149); +#48151=CARTESIAN_POINT('',(-3.E-1,1.0645E1,-1.765E1)); +#48152=CARTESIAN_POINT('',(-3.E-1,1.0015E1,-1.765E1)); +#48153=VERTEX_POINT('',#48151); +#48154=VERTEX_POINT('',#48152); +#48155=CARTESIAN_POINT('',(3.E-1,1.0015E1,-1.765E1)); +#48156=VERTEX_POINT('',#48155); +#48157=CARTESIAN_POINT('',(3.E-1,1.0645E1,-1.765E1)); +#48158=VERTEX_POINT('',#48157); +#48159=CARTESIAN_POINT('',(-3.E-1,1.845E0,-1.765E1)); +#48160=CARTESIAN_POINT('',(-3.E-1,1.215E0,-1.765E1)); +#48161=VERTEX_POINT('',#48159); +#48162=VERTEX_POINT('',#48160); +#48163=CARTESIAN_POINT('',(3.E-1,1.215E0,-1.765E1)); +#48164=VERTEX_POINT('',#48163); +#48165=CARTESIAN_POINT('',(3.E-1,1.845E0,-1.765E1)); +#48166=VERTEX_POINT('',#48165); +#48167=CARTESIAN_POINT('',(-1.1E0,7.197627763800E0,-1.986599410763E1)); +#48168=VERTEX_POINT('',#48167); +#48169=CARTESIAN_POINT('',(-1.1E0,-1.605902971128E0,-1.982563762273E1)); +#48170=VERTEX_POINT('',#48169); +#48171=CARTESIAN_POINT('',(1.1E0,7.197627763800E0,-1.986599410763E1)); +#48172=VERTEX_POINT('',#48171); +#48173=CARTESIAN_POINT('',(1.1E0,-1.605902971128E0,-1.982563762273E1)); +#48174=VERTEX_POINT('',#48173); +#48175=CARTESIAN_POINT('',(-1.1E0,9.63E0,-1.795E1)); +#48176=CARTESIAN_POINT('',(-1.1E0,1.103E1,-1.795E1)); +#48177=VERTEX_POINT('',#48175); +#48178=VERTEX_POINT('',#48176); +#48179=CARTESIAN_POINT('',(-8.E-1,9.93E0,-1.765E1)); +#48180=CARTESIAN_POINT('',(-8.E-1,1.073E1,-1.765E1)); +#48181=VERTEX_POINT('',#48179); +#48182=VERTEX_POINT('',#48180); +#48183=CARTESIAN_POINT('',(1.1E0,9.63E0,-1.795E1)); +#48184=VERTEX_POINT('',#48183); +#48185=CARTESIAN_POINT('',(8.E-1,9.93E0,-1.765E1)); +#48186=VERTEX_POINT('',#48185); +#48187=CARTESIAN_POINT('',(8.E-1,1.073E1,-1.765E1)); +#48188=VERTEX_POINT('',#48187); +#48189=CARTESIAN_POINT('',(1.1E0,1.103E1,-1.795E1)); +#48190=VERTEX_POINT('',#48189); +#48191=CARTESIAN_POINT('',(8.E-1,1.93E0,-1.765E1)); +#48192=CARTESIAN_POINT('',(8.E-1,1.13E0,-1.765E1)); +#48193=VERTEX_POINT('',#48191); +#48194=VERTEX_POINT('',#48192); +#48195=CARTESIAN_POINT('',(1.1E0,2.23E0,-1.795E1)); +#48196=CARTESIAN_POINT('',(1.1E0,8.3E-1,-1.795E1)); +#48197=VERTEX_POINT('',#48195); +#48198=VERTEX_POINT('',#48196); +#48199=CARTESIAN_POINT('',(-8.E-1,1.93E0,-1.765E1)); +#48200=VERTEX_POINT('',#48199); +#48201=CARTESIAN_POINT('',(-1.1E0,8.3E-1,-1.795E1)); +#48202=CARTESIAN_POINT('',(-1.1E0,2.23E0,-1.795E1)); +#48203=VERTEX_POINT('',#48201); +#48204=VERTEX_POINT('',#48202); +#48205=CARTESIAN_POINT('',(-8.E-1,1.13E0,-1.765E1)); +#48206=VERTEX_POINT('',#48205); +#48207=CARTESIAN_POINT('',(4.E0,1.0645E1,-1.175E1)); +#48208=CARTESIAN_POINT('',(4.E0,1.0015E1,-1.175E1)); +#48209=VERTEX_POINT('',#48207); +#48210=VERTEX_POINT('',#48208); +#48211=CARTESIAN_POINT('',(4.6E0,1.0015E1,-1.175E1)); +#48212=VERTEX_POINT('',#48211); +#48213=CARTESIAN_POINT('',(4.6E0,1.0645E1,-1.175E1)); +#48214=VERTEX_POINT('',#48213); +#48215=CARTESIAN_POINT('',(4.E0,1.845E0,-1.175E1)); +#48216=CARTESIAN_POINT('',(4.E0,1.215E0,-1.175E1)); +#48217=VERTEX_POINT('',#48215); +#48218=VERTEX_POINT('',#48216); +#48219=CARTESIAN_POINT('',(4.6E0,1.215E0,-1.175E1)); +#48220=VERTEX_POINT('',#48219); +#48221=CARTESIAN_POINT('',(4.6E0,1.845E0,-1.175E1)); +#48222=VERTEX_POINT('',#48221); +#48223=CARTESIAN_POINT('',(3.2E0,9.63E0,-1.816282873211E1)); +#48224=CARTESIAN_POINT('',(5.4E0,9.63E0,-1.816282873211E1)); +#48225=VERTEX_POINT('',#48223); +#48226=VERTEX_POINT('',#48224); +#48227=CARTESIAN_POINT('',(3.2E0,8.3E-1,-1.812E1)); +#48228=CARTESIAN_POINT('',(5.4E0,8.3E-1,-1.812E1)); +#48229=VERTEX_POINT('',#48227); +#48230=VERTEX_POINT('',#48228); +#48231=CARTESIAN_POINT('',(3.2E0,2.404977327052E0,-1.995E1)); +#48232=CARTESIAN_POINT('',(3.2E0,-1.595022672948E0,-1.995E1)); +#48233=VERTEX_POINT('',#48231); +#48234=VERTEX_POINT('',#48232); +#48235=CARTESIAN_POINT('',(5.4E0,2.404977327052E0,-1.995E1)); +#48236=CARTESIAN_POINT('',(5.4E0,-1.595022672948E0,-1.995E1)); +#48237=VERTEX_POINT('',#48235); +#48238=VERTEX_POINT('',#48236); +#48239=CARTESIAN_POINT('',(3.25E0,7.077654916055E0,-1.995E1)); +#48240=CARTESIAN_POINT('',(5.35E0,7.077654916055E0,-1.995E1)); +#48241=VERTEX_POINT('',#48239); +#48242=VERTEX_POINT('',#48240); +#48243=CARTESIAN_POINT('',(3.25E0,-1.783510852338E0,-1.995E1)); +#48244=CARTESIAN_POINT('',(5.35E0,-1.783510852338E0,-1.995E1)); +#48245=VERTEX_POINT('',#48243); +#48246=VERTEX_POINT('',#48244); +#48247=CARTESIAN_POINT('',(3.2E0,9.114936490539E0,-1.9E1)); +#48248=CARTESIAN_POINT('',(3.2E0,1.121514332974E1,-1.9E1)); +#48249=VERTEX_POINT('',#48247); +#48250=VERTEX_POINT('',#48248); +#48251=CARTESIAN_POINT('',(5.4E0,9.114936490539E0,-1.9E1)); +#48252=CARTESIAN_POINT('',(5.4E0,1.121514332974E1,-1.9E1)); +#48253=VERTEX_POINT('',#48251); +#48254=VERTEX_POINT('',#48252); +#48255=CARTESIAN_POINT('',(3.2E0,7.199986605899E0,-1.989295579619E1)); +#48256=VERTEX_POINT('',#48255); +#48257=CARTESIAN_POINT('',(5.4E0,7.199986605899E0,-1.989295579619E1)); +#48258=VERTEX_POINT('',#48257); +#48259=CARTESIAN_POINT('',(4.E0,1.0645E1,-1.765E1)); +#48260=CARTESIAN_POINT('',(4.E0,1.0015E1,-1.765E1)); +#48261=VERTEX_POINT('',#48259); +#48262=VERTEX_POINT('',#48260); +#48263=CARTESIAN_POINT('',(4.6E0,1.0015E1,-1.765E1)); +#48264=VERTEX_POINT('',#48263); +#48265=CARTESIAN_POINT('',(4.6E0,1.0645E1,-1.765E1)); +#48266=VERTEX_POINT('',#48265); +#48267=CARTESIAN_POINT('',(4.E0,1.845E0,-1.765E1)); +#48268=CARTESIAN_POINT('',(4.E0,1.215E0,-1.765E1)); +#48269=VERTEX_POINT('',#48267); +#48270=VERTEX_POINT('',#48268); +#48271=CARTESIAN_POINT('',(4.6E0,1.215E0,-1.765E1)); +#48272=VERTEX_POINT('',#48271); +#48273=CARTESIAN_POINT('',(4.6E0,1.845E0,-1.765E1)); +#48274=VERTEX_POINT('',#48273); +#48275=CARTESIAN_POINT('',(3.2E0,7.197627763800E0,-1.986599410763E1)); +#48276=VERTEX_POINT('',#48275); +#48277=CARTESIAN_POINT('',(3.2E0,-1.605902971128E0,-1.982563762273E1)); +#48278=VERTEX_POINT('',#48277); +#48279=CARTESIAN_POINT('',(5.4E0,7.197627763800E0,-1.986599410763E1)); +#48280=VERTEX_POINT('',#48279); +#48281=CARTESIAN_POINT('',(5.4E0,-1.605902971128E0,-1.982563762273E1)); +#48282=VERTEX_POINT('',#48281); +#48283=CARTESIAN_POINT('',(3.2E0,9.63E0,-1.795E1)); +#48284=CARTESIAN_POINT('',(3.2E0,1.103E1,-1.795E1)); +#48285=VERTEX_POINT('',#48283); +#48286=VERTEX_POINT('',#48284); +#48287=CARTESIAN_POINT('',(3.5E0,9.93E0,-1.765E1)); +#48288=CARTESIAN_POINT('',(3.5E0,1.073E1,-1.765E1)); +#48289=VERTEX_POINT('',#48287); +#48290=VERTEX_POINT('',#48288); +#48291=CARTESIAN_POINT('',(5.4E0,9.63E0,-1.795E1)); +#48292=VERTEX_POINT('',#48291); +#48293=CARTESIAN_POINT('',(5.1E0,9.93E0,-1.765E1)); +#48294=VERTEX_POINT('',#48293); +#48295=CARTESIAN_POINT('',(5.1E0,1.073E1,-1.765E1)); +#48296=VERTEX_POINT('',#48295); +#48297=CARTESIAN_POINT('',(5.4E0,1.103E1,-1.795E1)); +#48298=VERTEX_POINT('',#48297); +#48299=CARTESIAN_POINT('',(5.1E0,1.93E0,-1.765E1)); +#48300=CARTESIAN_POINT('',(5.1E0,1.13E0,-1.765E1)); +#48301=VERTEX_POINT('',#48299); +#48302=VERTEX_POINT('',#48300); +#48303=CARTESIAN_POINT('',(5.4E0,2.23E0,-1.795E1)); +#48304=CARTESIAN_POINT('',(5.4E0,8.3E-1,-1.795E1)); +#48305=VERTEX_POINT('',#48303); +#48306=VERTEX_POINT('',#48304); +#48307=CARTESIAN_POINT('',(3.5E0,1.93E0,-1.765E1)); +#48308=VERTEX_POINT('',#48307); +#48309=CARTESIAN_POINT('',(3.2E0,8.3E-1,-1.795E1)); +#48310=CARTESIAN_POINT('',(3.2E0,2.23E0,-1.795E1)); +#48311=VERTEX_POINT('',#48309); +#48312=VERTEX_POINT('',#48310); +#48313=CARTESIAN_POINT('',(3.5E0,1.13E0,-1.765E1)); +#48314=VERTEX_POINT('',#48313); +#48315=CARTESIAN_POINT('',(8.3E0,1.0645E1,-1.175E1)); +#48316=CARTESIAN_POINT('',(8.3E0,1.0015E1,-1.175E1)); +#48317=VERTEX_POINT('',#48315); +#48318=VERTEX_POINT('',#48316); +#48319=CARTESIAN_POINT('',(8.9E0,1.0015E1,-1.175E1)); +#48320=VERTEX_POINT('',#48319); +#48321=CARTESIAN_POINT('',(8.9E0,1.0645E1,-1.175E1)); +#48322=VERTEX_POINT('',#48321); +#48323=CARTESIAN_POINT('',(8.3E0,1.845E0,-1.175E1)); +#48324=CARTESIAN_POINT('',(8.3E0,1.215E0,-1.175E1)); +#48325=VERTEX_POINT('',#48323); +#48326=VERTEX_POINT('',#48324); +#48327=CARTESIAN_POINT('',(8.9E0,1.215E0,-1.175E1)); +#48328=VERTEX_POINT('',#48327); +#48329=CARTESIAN_POINT('',(8.9E0,1.845E0,-1.175E1)); +#48330=VERTEX_POINT('',#48329); +#48331=CARTESIAN_POINT('',(7.5E0,9.63E0,-1.816282873211E1)); +#48332=CARTESIAN_POINT('',(9.7E0,9.63E0,-1.816282873211E1)); +#48333=VERTEX_POINT('',#48331); +#48334=VERTEX_POINT('',#48332); +#48335=CARTESIAN_POINT('',(7.5E0,8.3E-1,-1.812E1)); +#48336=CARTESIAN_POINT('',(9.7E0,8.3E-1,-1.812E1)); +#48337=VERTEX_POINT('',#48335); +#48338=VERTEX_POINT('',#48336); +#48339=CARTESIAN_POINT('',(7.5E0,2.404977327052E0,-1.995E1)); +#48340=CARTESIAN_POINT('',(7.5E0,-1.595022672948E0,-1.995E1)); +#48341=VERTEX_POINT('',#48339); +#48342=VERTEX_POINT('',#48340); +#48343=CARTESIAN_POINT('',(9.7E0,2.404977327052E0,-1.995E1)); +#48344=CARTESIAN_POINT('',(9.7E0,-1.783510852338E0,-1.995E1)); +#48345=VERTEX_POINT('',#48343); +#48346=VERTEX_POINT('',#48344); +#48347=CARTESIAN_POINT('',(7.55E0,7.077654916055E0,-1.995E1)); +#48348=CARTESIAN_POINT('',(9.7E0,7.077654916055E0,-1.995E1)); +#48349=VERTEX_POINT('',#48347); +#48350=VERTEX_POINT('',#48348); +#48351=CARTESIAN_POINT('',(7.55E0,-1.783510852338E0,-1.995E1)); +#48352=VERTEX_POINT('',#48351); +#48353=CARTESIAN_POINT('',(7.5E0,9.114936490539E0,-1.9E1)); +#48354=CARTESIAN_POINT('',(7.5E0,1.121514332974E1,-1.9E1)); +#48355=VERTEX_POINT('',#48353); +#48356=VERTEX_POINT('',#48354); +#48357=CARTESIAN_POINT('',(9.7E0,9.114936490539E0,-1.9E1)); +#48358=CARTESIAN_POINT('',(9.7E0,1.121514332974E1,-1.9E1)); +#48359=VERTEX_POINT('',#48357); +#48360=VERTEX_POINT('',#48358); +#48361=CARTESIAN_POINT('',(7.5E0,7.199986605899E0,-1.989295579619E1)); +#48362=VERTEX_POINT('',#48361); +#48363=CARTESIAN_POINT('',(8.3E0,1.0645E1,-1.765E1)); +#48364=CARTESIAN_POINT('',(8.3E0,1.0015E1,-1.765E1)); +#48365=VERTEX_POINT('',#48363); +#48366=VERTEX_POINT('',#48364); +#48367=CARTESIAN_POINT('',(8.9E0,1.0015E1,-1.765E1)); +#48368=VERTEX_POINT('',#48367); +#48369=CARTESIAN_POINT('',(8.9E0,1.0645E1,-1.765E1)); +#48370=VERTEX_POINT('',#48369); +#48371=CARTESIAN_POINT('',(8.3E0,1.845E0,-1.765E1)); +#48372=CARTESIAN_POINT('',(8.3E0,1.215E0,-1.765E1)); +#48373=VERTEX_POINT('',#48371); +#48374=VERTEX_POINT('',#48372); +#48375=CARTESIAN_POINT('',(8.9E0,1.215E0,-1.765E1)); +#48376=VERTEX_POINT('',#48375); +#48377=CARTESIAN_POINT('',(8.9E0,1.845E0,-1.765E1)); +#48378=VERTEX_POINT('',#48377); +#48379=CARTESIAN_POINT('',(7.5E0,7.197627763800E0,-1.986599410763E1)); +#48380=VERTEX_POINT('',#48379); +#48381=CARTESIAN_POINT('',(7.5E0,-1.605902971128E0,-1.982563762273E1)); +#48382=VERTEX_POINT('',#48381); +#48383=CARTESIAN_POINT('',(7.5E0,9.63E0,-1.795E1)); +#48384=CARTESIAN_POINT('',(7.5E0,1.103E1,-1.795E1)); +#48385=VERTEX_POINT('',#48383); +#48386=VERTEX_POINT('',#48384); +#48387=CARTESIAN_POINT('',(7.8E0,9.93E0,-1.765E1)); +#48388=CARTESIAN_POINT('',(7.8E0,1.073E1,-1.765E1)); +#48389=VERTEX_POINT('',#48387); +#48390=VERTEX_POINT('',#48388); +#48391=CARTESIAN_POINT('',(9.7E0,9.63E0,-1.795E1)); +#48392=VERTEX_POINT('',#48391); +#48393=CARTESIAN_POINT('',(9.4E0,9.93E0,-1.765E1)); +#48394=VERTEX_POINT('',#48393); +#48395=CARTESIAN_POINT('',(9.4E0,1.073E1,-1.765E1)); +#48396=VERTEX_POINT('',#48395); +#48397=CARTESIAN_POINT('',(9.7E0,1.103E1,-1.795E1)); +#48398=VERTEX_POINT('',#48397); +#48399=CARTESIAN_POINT('',(9.4E0,1.93E0,-1.765E1)); +#48400=CARTESIAN_POINT('',(9.4E0,1.13E0,-1.765E1)); +#48401=VERTEX_POINT('',#48399); +#48402=VERTEX_POINT('',#48400); +#48403=CARTESIAN_POINT('',(9.7E0,2.23E0,-1.795E1)); +#48404=CARTESIAN_POINT('',(9.7E0,8.3E-1,-1.795E1)); +#48405=VERTEX_POINT('',#48403); +#48406=VERTEX_POINT('',#48404); +#48407=CARTESIAN_POINT('',(7.8E0,1.93E0,-1.765E1)); +#48408=VERTEX_POINT('',#48407); +#48409=CARTESIAN_POINT('',(7.5E0,8.3E-1,-1.795E1)); +#48410=CARTESIAN_POINT('',(7.5E0,2.23E0,-1.795E1)); +#48411=VERTEX_POINT('',#48409); +#48412=VERTEX_POINT('',#48410); +#48413=CARTESIAN_POINT('',(7.8E0,1.13E0,-1.765E1)); +#48414=VERTEX_POINT('',#48413); +#48415=CARTESIAN_POINT('',(-4.25E0,4.58E0,-1.01E1)); +#48416=CARTESIAN_POINT('',(-4.25E0,4.58E0,-1.995E1)); +#48417=VERTEX_POINT('',#48415); +#48418=VERTEX_POINT('',#48416); +#48419=CARTESIAN_POINT('',(-4.55E0,4.28E0,-1.01E1)); +#48420=CARTESIAN_POINT('',(-4.55E0,4.28E0,-1.995E1)); +#48421=VERTEX_POINT('',#48419); +#48422=VERTEX_POINT('',#48420); +#48423=CARTESIAN_POINT('',(-4.55E0,3.58E0,-1.01E1)); +#48424=CARTESIAN_POINT('',(-4.55E0,3.58E0,-1.995E1)); +#48425=VERTEX_POINT('',#48423); +#48426=VERTEX_POINT('',#48424); +#48427=CARTESIAN_POINT('',(-4.25E0,3.28E0,-1.01E1)); +#48428=CARTESIAN_POINT('',(-4.25E0,3.28E0,-1.995E1)); +#48429=VERTEX_POINT('',#48427); +#48430=VERTEX_POINT('',#48428); +#48431=CARTESIAN_POINT('',(-8.15E0,4.28E0,-1.01E1)); +#48432=CARTESIAN_POINT('',(-8.15E0,4.28E0,-1.995E1)); +#48433=VERTEX_POINT('',#48431); +#48434=VERTEX_POINT('',#48432); +#48435=CARTESIAN_POINT('',(-8.45E0,4.58E0,-1.01E1)); +#48436=CARTESIAN_POINT('',(-8.45E0,4.58E0,-1.995E1)); +#48437=VERTEX_POINT('',#48435); +#48438=VERTEX_POINT('',#48436); +#48439=CARTESIAN_POINT('',(-8.45E0,3.28E0,-1.01E1)); +#48440=CARTESIAN_POINT('',(-8.45E0,3.28E0,-1.995E1)); +#48441=VERTEX_POINT('',#48439); +#48442=VERTEX_POINT('',#48440); +#48443=CARTESIAN_POINT('',(-8.15E0,3.58E0,-1.01E1)); +#48444=CARTESIAN_POINT('',(-8.15E0,3.58E0,-1.995E1)); +#48445=VERTEX_POINT('',#48443); +#48446=VERTEX_POINT('',#48444); +#48447=CARTESIAN_POINT('',(-2.185E1,3.58E0,-1.01E1)); +#48448=CARTESIAN_POINT('',(-2.185E1,3.58E0,-1.995E1)); +#48449=VERTEX_POINT('',#48447); +#48450=VERTEX_POINT('',#48448); +#48451=CARTESIAN_POINT('',(-2.155E1,3.28E0,-1.01E1)); +#48452=CARTESIAN_POINT('',(-2.155E1,3.28E0,-1.995E1)); +#48453=VERTEX_POINT('',#48451); +#48454=VERTEX_POINT('',#48452); +#48455=CARTESIAN_POINT('',(-2.155E1,4.58E0,-1.01E1)); +#48456=CARTESIAN_POINT('',(-2.155E1,4.58E0,-1.995E1)); +#48457=VERTEX_POINT('',#48455); +#48458=VERTEX_POINT('',#48456); +#48459=CARTESIAN_POINT('',(-2.185E1,4.28E0,-1.01E1)); +#48460=CARTESIAN_POINT('',(-2.185E1,4.28E0,-1.995E1)); +#48461=VERTEX_POINT('',#48459); +#48462=VERTEX_POINT('',#48460); +#48463=CARTESIAN_POINT('',(-2.545E1,4.28E0,-1.01E1)); +#48464=CARTESIAN_POINT('',(-2.545E1,4.28E0,-1.995E1)); +#48465=VERTEX_POINT('',#48463); +#48466=VERTEX_POINT('',#48464); +#48467=CARTESIAN_POINT('',(-2.575E1,4.58E0,-1.01E1)); +#48468=CARTESIAN_POINT('',(-2.575E1,4.58E0,-1.995E1)); +#48469=VERTEX_POINT('',#48467); +#48470=VERTEX_POINT('',#48468); +#48471=CARTESIAN_POINT('',(-2.575E1,3.28E0,-1.01E1)); +#48472=CARTESIAN_POINT('',(-2.575E1,3.28E0,-1.995E1)); +#48473=VERTEX_POINT('',#48471); +#48474=VERTEX_POINT('',#48472); +#48475=CARTESIAN_POINT('',(-2.545E1,3.58E0,-1.01E1)); +#48476=CARTESIAN_POINT('',(-2.545E1,3.58E0,-1.995E1)); +#48477=VERTEX_POINT('',#48475); +#48478=VERTEX_POINT('',#48476); +#48479=CARTESIAN_POINT('',(-3.425E1,3.58E0,-1.01E1)); +#48480=CARTESIAN_POINT('',(-3.425E1,3.58E0,-1.995E1)); +#48481=VERTEX_POINT('',#48479); +#48482=VERTEX_POINT('',#48480); +#48483=CARTESIAN_POINT('',(-3.395E1,3.28E0,-1.01E1)); +#48484=CARTESIAN_POINT('',(-3.395E1,3.28E0,-1.995E1)); +#48485=VERTEX_POINT('',#48483); +#48486=VERTEX_POINT('',#48484); +#48487=CARTESIAN_POINT('',(-3.395E1,4.58E0,-1.01E1)); +#48488=CARTESIAN_POINT('',(-3.395E1,4.58E0,-1.995E1)); +#48489=VERTEX_POINT('',#48487); +#48490=VERTEX_POINT('',#48488); +#48491=CARTESIAN_POINT('',(-3.425E1,4.28E0,-1.01E1)); +#48492=CARTESIAN_POINT('',(-3.425E1,4.28E0,-1.995E1)); +#48493=VERTEX_POINT('',#48491); +#48494=VERTEX_POINT('',#48492); +#48495=CARTESIAN_POINT('',(-3.785E1,4.28E0,-1.01E1)); +#48496=CARTESIAN_POINT('',(-3.785E1,4.28E0,-1.995E1)); +#48497=VERTEX_POINT('',#48495); +#48498=VERTEX_POINT('',#48496); +#48499=CARTESIAN_POINT('',(-3.815E1,4.58E0,-1.01E1)); +#48500=CARTESIAN_POINT('',(-3.815E1,4.58E0,-1.995E1)); +#48501=VERTEX_POINT('',#48499); +#48502=VERTEX_POINT('',#48500); +#48503=CARTESIAN_POINT('',(-3.815E1,3.28E0,-1.01E1)); +#48504=CARTESIAN_POINT('',(-3.815E1,3.28E0,-1.995E1)); +#48505=VERTEX_POINT('',#48503); +#48506=VERTEX_POINT('',#48504); +#48507=CARTESIAN_POINT('',(-3.785E1,3.58E0,-1.01E1)); +#48508=CARTESIAN_POINT('',(-3.785E1,3.58E0,-1.995E1)); +#48509=VERTEX_POINT('',#48507); +#48510=VERTEX_POINT('',#48508); +#48511=CARTESIAN_POINT('',(-4.165E1,3.58E0,-1.01E1)); +#48512=CARTESIAN_POINT('',(-4.165E1,3.58E0,-1.995E1)); +#48513=VERTEX_POINT('',#48511); +#48514=VERTEX_POINT('',#48512); +#48515=CARTESIAN_POINT('',(-4.135E1,3.28E0,-1.01E1)); +#48516=CARTESIAN_POINT('',(-4.135E1,3.28E0,-1.995E1)); +#48517=VERTEX_POINT('',#48515); +#48518=VERTEX_POINT('',#48516); +#48519=CARTESIAN_POINT('',(-4.135E1,4.58E0,-1.01E1)); +#48520=CARTESIAN_POINT('',(-4.135E1,4.58E0,-1.995E1)); +#48521=VERTEX_POINT('',#48519); +#48522=VERTEX_POINT('',#48520); +#48523=CARTESIAN_POINT('',(-4.165E1,4.28E0,-1.01E1)); +#48524=CARTESIAN_POINT('',(-4.165E1,4.28E0,-1.995E1)); +#48525=VERTEX_POINT('',#48523); +#48526=VERTEX_POINT('',#48524); +#48527=CARTESIAN_POINT('',(5.33E1,4.28E0,-1.01E1)); +#48528=CARTESIAN_POINT('',(5.33E1,4.28E0,-1.995E1)); +#48529=VERTEX_POINT('',#48527); +#48530=VERTEX_POINT('',#48528); +#48531=CARTESIAN_POINT('',(5.3E1,4.58E0,-1.01E1)); +#48532=CARTESIAN_POINT('',(5.3E1,4.58E0,-1.995E1)); +#48533=VERTEX_POINT('',#48531); +#48534=VERTEX_POINT('',#48532); +#48535=CARTESIAN_POINT('',(5.3E1,3.28E0,-1.01E1)); +#48536=CARTESIAN_POINT('',(5.3E1,3.28E0,-1.995E1)); +#48537=VERTEX_POINT('',#48535); +#48538=VERTEX_POINT('',#48536); +#48539=CARTESIAN_POINT('',(5.33E1,3.58E0,-1.01E1)); +#48540=CARTESIAN_POINT('',(5.33E1,3.58E0,-1.995E1)); +#48541=VERTEX_POINT('',#48539); +#48542=VERTEX_POINT('',#48540); +#48543=CARTESIAN_POINT('',(4.97E1,3.58E0,-1.01E1)); +#48544=CARTESIAN_POINT('',(4.97E1,3.58E0,-1.995E1)); +#48545=VERTEX_POINT('',#48543); +#48546=VERTEX_POINT('',#48544); +#48547=CARTESIAN_POINT('',(5.E1,3.28E0,-1.01E1)); +#48548=CARTESIAN_POINT('',(5.E1,3.28E0,-1.995E1)); +#48549=VERTEX_POINT('',#48547); +#48550=VERTEX_POINT('',#48548); +#48551=CARTESIAN_POINT('',(5.E1,4.58E0,-1.01E1)); +#48552=CARTESIAN_POINT('',(5.E1,4.58E0,-1.995E1)); +#48553=VERTEX_POINT('',#48551); +#48554=VERTEX_POINT('',#48552); +#48555=CARTESIAN_POINT('',(4.97E1,4.28E0,-1.01E1)); +#48556=CARTESIAN_POINT('',(4.97E1,4.28E0,-1.995E1)); +#48557=VERTEX_POINT('',#48555); +#48558=VERTEX_POINT('',#48556); +#48559=CARTESIAN_POINT('',(4.6E1,4.28E0,-1.01E1)); +#48560=CARTESIAN_POINT('',(4.6E1,4.28E0,-1.995E1)); +#48561=VERTEX_POINT('',#48559); +#48562=VERTEX_POINT('',#48560); +#48563=CARTESIAN_POINT('',(4.57E1,4.58E0,-1.01E1)); +#48564=CARTESIAN_POINT('',(4.57E1,4.58E0,-1.995E1)); +#48565=VERTEX_POINT('',#48563); +#48566=VERTEX_POINT('',#48564); +#48567=CARTESIAN_POINT('',(4.57E1,3.28E0,-1.01E1)); +#48568=CARTESIAN_POINT('',(4.57E1,3.28E0,-1.995E1)); +#48569=VERTEX_POINT('',#48567); +#48570=VERTEX_POINT('',#48568); +#48571=CARTESIAN_POINT('',(4.6E1,3.58E0,-1.01E1)); +#48572=CARTESIAN_POINT('',(4.6E1,3.58E0,-1.995E1)); +#48573=VERTEX_POINT('',#48571); +#48574=VERTEX_POINT('',#48572); +#48575=CARTESIAN_POINT('',(3.88E1,3.58E0,-1.01E1)); +#48576=CARTESIAN_POINT('',(3.88E1,3.58E0,-1.995E1)); +#48577=VERTEX_POINT('',#48575); +#48578=VERTEX_POINT('',#48576); +#48579=CARTESIAN_POINT('',(3.91E1,3.28E0,-1.01E1)); +#48580=CARTESIAN_POINT('',(3.91E1,3.28E0,-1.995E1)); +#48581=VERTEX_POINT('',#48579); +#48582=VERTEX_POINT('',#48580); +#48583=CARTESIAN_POINT('',(3.91E1,4.58E0,-1.01E1)); +#48584=CARTESIAN_POINT('',(3.91E1,4.58E0,-1.995E1)); +#48585=VERTEX_POINT('',#48583); +#48586=VERTEX_POINT('',#48584); +#48587=CARTESIAN_POINT('',(3.88E1,4.28E0,-1.01E1)); +#48588=CARTESIAN_POINT('',(3.88E1,4.28E0,-1.995E1)); +#48589=VERTEX_POINT('',#48587); +#48590=VERTEX_POINT('',#48588); +#48591=CARTESIAN_POINT('',(3.52E1,4.28E0,-1.01E1)); +#48592=CARTESIAN_POINT('',(3.52E1,4.28E0,-1.995E1)); +#48593=VERTEX_POINT('',#48591); +#48594=VERTEX_POINT('',#48592); +#48595=CARTESIAN_POINT('',(3.49E1,4.58E0,-1.01E1)); +#48596=CARTESIAN_POINT('',(3.49E1,4.58E0,-1.995E1)); +#48597=VERTEX_POINT('',#48595); +#48598=VERTEX_POINT('',#48596); +#48599=CARTESIAN_POINT('',(3.49E1,3.28E0,-1.01E1)); +#48600=CARTESIAN_POINT('',(3.49E1,3.28E0,-1.995E1)); +#48601=VERTEX_POINT('',#48599); +#48602=VERTEX_POINT('',#48600); +#48603=CARTESIAN_POINT('',(3.52E1,3.58E0,-1.01E1)); +#48604=CARTESIAN_POINT('',(3.52E1,3.58E0,-1.995E1)); +#48605=VERTEX_POINT('',#48603); +#48606=VERTEX_POINT('',#48604); +#48607=CARTESIAN_POINT('',(2.27E1,3.58E0,-1.01E1)); +#48608=CARTESIAN_POINT('',(2.27E1,3.58E0,-1.995E1)); +#48609=VERTEX_POINT('',#48607); +#48610=VERTEX_POINT('',#48608); +#48611=CARTESIAN_POINT('',(2.3E1,3.28E0,-1.01E1)); +#48612=CARTESIAN_POINT('',(2.3E1,3.28E0,-1.995E1)); +#48613=VERTEX_POINT('',#48611); +#48614=VERTEX_POINT('',#48612); +#48615=CARTESIAN_POINT('',(2.3E1,4.58E0,-1.01E1)); +#48616=CARTESIAN_POINT('',(2.3E1,4.58E0,-1.995E1)); +#48617=VERTEX_POINT('',#48615); +#48618=VERTEX_POINT('',#48616); +#48619=CARTESIAN_POINT('',(2.27E1,4.28E0,-1.01E1)); +#48620=CARTESIAN_POINT('',(2.27E1,4.28E0,-1.995E1)); +#48621=VERTEX_POINT('',#48619); +#48622=VERTEX_POINT('',#48620); +#48623=CARTESIAN_POINT('',(9.85E0,3.28E0,-1.01E1)); +#48624=CARTESIAN_POINT('',(9.85E0,3.28E0,-1.995E1)); +#48625=VERTEX_POINT('',#48623); +#48626=VERTEX_POINT('',#48624); +#48627=CARTESIAN_POINT('',(1.015E1,3.58E0,-1.01E1)); +#48628=CARTESIAN_POINT('',(1.015E1,3.58E0,-1.995E1)); +#48629=VERTEX_POINT('',#48627); +#48630=VERTEX_POINT('',#48628); +#48631=CARTESIAN_POINT('',(1.015E1,4.28E0,-1.01E1)); +#48632=CARTESIAN_POINT('',(1.015E1,4.28E0,-1.995E1)); +#48633=VERTEX_POINT('',#48631); +#48634=VERTEX_POINT('',#48632); +#48635=CARTESIAN_POINT('',(9.85E0,4.58E0,-1.01E1)); +#48636=CARTESIAN_POINT('',(9.85E0,4.58E0,-1.995E1)); +#48637=VERTEX_POINT('',#48635); +#48638=VERTEX_POINT('',#48636); +#48639=CARTESIAN_POINT('',(-4.156213203436E1,4.492132034356E0, +-1.001213203436E1)); +#48640=CARTESIAN_POINT('',(-4.135E1,4.28E0,-9.8E0)); +#48641=VERTEX_POINT('',#48639); +#48642=VERTEX_POINT('',#48640); +#48643=CARTESIAN_POINT('',(-3.793786796564E1,3.367867965644E0, +-1.001213203436E1)); +#48644=CARTESIAN_POINT('',(-3.815E1,3.58E0,-9.8E0)); +#48645=VERTEX_POINT('',#48643); +#48646=VERTEX_POINT('',#48644); +#48647=CARTESIAN_POINT('',(-4.156213203436E1,3.367867965644E0, +-1.001213203436E1)); +#48648=CARTESIAN_POINT('',(-4.135E1,3.58E0,-9.8E0)); +#48649=VERTEX_POINT('',#48647); +#48650=VERTEX_POINT('',#48648); +#48651=CARTESIAN_POINT('',(-3.416213203436E1,4.492132034356E0, +-1.001213203436E1)); +#48652=CARTESIAN_POINT('',(-3.395E1,4.28E0,-9.8E0)); +#48653=VERTEX_POINT('',#48651); +#48654=VERTEX_POINT('',#48652); +#48655=CARTESIAN_POINT('',(-2.553786796564E1,3.367867965644E0, +-1.001213203436E1)); +#48656=CARTESIAN_POINT('',(-2.575E1,3.58E0,-9.8E0)); +#48657=VERTEX_POINT('',#48655); +#48658=VERTEX_POINT('',#48656); +#48659=CARTESIAN_POINT('',(-3.416213203436E1,3.367867965644E0, +-1.001213203436E1)); +#48660=CARTESIAN_POINT('',(-3.395E1,3.58E0,-9.8E0)); +#48661=VERTEX_POINT('',#48659); +#48662=VERTEX_POINT('',#48660); +#48663=CARTESIAN_POINT('',(-8.237867965644E0,3.367867965644E0, +-1.001213203436E1)); +#48664=CARTESIAN_POINT('',(-8.45E0,3.58E0,-9.8E0)); +#48665=VERTEX_POINT('',#48663); +#48666=VERTEX_POINT('',#48664); +#48667=CARTESIAN_POINT('',(-2.176213203436E1,3.367867965644E0, +-1.001213203436E1)); +#48668=CARTESIAN_POINT('',(-2.155E1,3.58E0,-9.8E0)); +#48669=VERTEX_POINT('',#48667); +#48670=VERTEX_POINT('',#48668); +#48671=CARTESIAN_POINT('',(1.006213203436E1,4.492132034356E0, +-1.001213203436E1)); +#48672=CARTESIAN_POINT('',(9.85E0,4.28E0,-9.8E0)); +#48673=VERTEX_POINT('',#48671); +#48674=VERTEX_POINT('',#48672); +#48675=CARTESIAN_POINT('',(1.006213203436E1,3.367867965644E0, +-1.001213203436E1)); +#48676=CARTESIAN_POINT('',(9.85E0,3.58E0,-9.8E0)); +#48677=VERTEX_POINT('',#48675); +#48678=VERTEX_POINT('',#48676); +#48679=CARTESIAN_POINT('',(-4.462132034356E0,3.367867965644E0, +-1.001213203436E1)); +#48680=CARTESIAN_POINT('',(-4.25E0,3.58E0,-9.8E0)); +#48681=VERTEX_POINT('',#48679); +#48682=VERTEX_POINT('',#48680); +#48683=CARTESIAN_POINT('',(3.511213203436E1,3.367867965644E0, +-1.001213203436E1)); +#48684=CARTESIAN_POINT('',(3.49E1,3.58E0,-9.8E0)); +#48685=VERTEX_POINT('',#48683); +#48686=VERTEX_POINT('',#48684); +#48687=CARTESIAN_POINT('',(3.511213203436E1,4.492132034356E0, +-1.001213203436E1)); +#48688=CARTESIAN_POINT('',(3.49E1,4.28E0,-9.8E0)); +#48689=VERTEX_POINT('',#48687); +#48690=VERTEX_POINT('',#48688); +#48691=CARTESIAN_POINT('',(2.278786796564E1,4.492132034356E0, +-1.001213203436E1)); +#48692=CARTESIAN_POINT('',(2.3E1,4.28E0,-9.8E0)); +#48693=VERTEX_POINT('',#48691); +#48694=VERTEX_POINT('',#48692); +#48695=CARTESIAN_POINT('',(4.591213203436E1,3.367867965644E0, +-1.001213203436E1)); +#48696=CARTESIAN_POINT('',(4.57E1,3.58E0,-9.8E0)); +#48697=VERTEX_POINT('',#48695); +#48698=VERTEX_POINT('',#48696); +#48699=CARTESIAN_POINT('',(4.591213203436E1,4.492132034356E0, +-1.001213203436E1)); +#48700=CARTESIAN_POINT('',(4.57E1,4.28E0,-9.8E0)); +#48701=VERTEX_POINT('',#48699); +#48702=VERTEX_POINT('',#48700); +#48703=CARTESIAN_POINT('',(3.888786796564E1,4.492132034356E0, +-1.001213203436E1)); +#48704=CARTESIAN_POINT('',(3.91E1,4.28E0,-9.8E0)); +#48705=VERTEX_POINT('',#48703); +#48706=VERTEX_POINT('',#48704); +#48707=CARTESIAN_POINT('',(5.321213203436E1,3.367867965644E0, +-1.001213203436E1)); +#48708=CARTESIAN_POINT('',(5.3E1,3.58E0,-9.8E0)); +#48709=VERTEX_POINT('',#48707); +#48710=VERTEX_POINT('',#48708); +#48711=CARTESIAN_POINT('',(5.321213203436E1,4.492132034356E0, +-1.001213203436E1)); +#48712=CARTESIAN_POINT('',(5.3E1,4.28E0,-9.8E0)); +#48713=VERTEX_POINT('',#48711); +#48714=VERTEX_POINT('',#48712); +#48715=CARTESIAN_POINT('',(4.978786796564E1,4.492132034356E0, +-1.001213203436E1)); +#48716=CARTESIAN_POINT('',(5.E1,4.28E0,-9.8E0)); +#48717=VERTEX_POINT('',#48715); +#48718=VERTEX_POINT('',#48716); +#48719=CARTESIAN_POINT('',(-3.815E1,4.28E0,-9.8E0)); +#48720=VERTEX_POINT('',#48719); +#48721=CARTESIAN_POINT('',(-2.575E1,4.28E0,-9.8E0)); +#48722=VERTEX_POINT('',#48721); +#48723=CARTESIAN_POINT('',(-8.45E0,4.28E0,-9.8E0)); +#48724=VERTEX_POINT('',#48723); +#48725=CARTESIAN_POINT('',(-2.155E1,4.28E0,-9.8E0)); +#48726=VERTEX_POINT('',#48725); +#48727=CARTESIAN_POINT('',(-4.25E0,4.28E0,-9.8E0)); +#48728=VERTEX_POINT('',#48727); +#48729=CARTESIAN_POINT('',(2.3E1,3.58E0,-9.8E0)); +#48730=VERTEX_POINT('',#48729); +#48731=CARTESIAN_POINT('',(3.91E1,3.58E0,-9.8E0)); +#48732=VERTEX_POINT('',#48731); +#48733=CARTESIAN_POINT('',(5.E1,3.58E0,-9.8E0)); +#48734=VERTEX_POINT('',#48733); +#48735=VERTEX_POINT('',#13983); +#48736=VERTEX_POINT('',#14490); +#48737=VERTEX_POINT('',#14512); +#48738=VERTEX_POINT('',#14358); +#48739=VERTEX_POINT('',#14226); +#48740=VERTEX_POINT('',#14986); +#48741=VERTEX_POINT('',#14854); +#48742=VERTEX_POINT('',#14722); +#48743=CARTESIAN_POINT('',(1.518E1,-1.07E0,-8.5E0)); +#48744=VERTEX_POINT('',#48743); +#48745=CARTESIAN_POINT('',(1.767E1,-1.07E0,-8.5E0)); +#48746=VERTEX_POINT('',#48745); +#48747=VERTEX_POINT('',#5607); +#48748=VERTEX_POINT('',#5612); +#48749=CARTESIAN_POINT('',(5.555E1,1.484395E1,-8.5E0)); +#48750=VERTEX_POINT('',#48749); +#48751=CARTESIAN_POINT('',(5.555E1,1.484395E1,-1.609708193231E1)); +#48752=VERTEX_POINT('',#48751); +#48753=CARTESIAN_POINT('',(1.5895E1,9.5E0,-1.8E0)); +#48754=CARTESIAN_POINT('',(9.195E0,1.62E1,-1.8E0)); +#48755=VERTEX_POINT('',#48753); +#48756=VERTEX_POINT('',#48754); +#48757=CARTESIAN_POINT('',(1.518E1,-1.07E0,-1.8E0)); +#48758=VERTEX_POINT('',#48757); +#48759=CARTESIAN_POINT('',(1.5895E1,-1.64E0,-1.8E0)); +#48760=VERTEX_POINT('',#48759); +#48761=CARTESIAN_POINT('',(1.5895E1,-1.07E0,-1.8E0)); +#48762=VERTEX_POINT('',#48761); +#48763=CARTESIAN_POINT('',(1.5895E1,8.93E0,-1.8E0)); +#48764=VERTEX_POINT('',#48763); +#48765=CARTESIAN_POINT('',(1.518E1,8.93E0,-1.8E0)); +#48766=CARTESIAN_POINT('',(8.680380857553E0,1.55E1,-1.8E0)); +#48767=VERTEX_POINT('',#48765); +#48768=VERTEX_POINT('',#48766); +#48769=CARTESIAN_POINT('',(-6.135E1,-4.856974705384E0,-1.765E1)); +#48770=CARTESIAN_POINT('',(-6.135E1,3.029182065024E0,-1.765E1)); +#48771=VERTEX_POINT('',#48769); +#48772=VERTEX_POINT('',#48770); +#48773=CARTESIAN_POINT('',(-5.677548721498E1,1.150724173852E1,-1.765E1)); +#48774=VERTEX_POINT('',#48773); +#48775=CARTESIAN_POINT('',(1.695E1,9.5E0,-1.8E0)); +#48776=CARTESIAN_POINT('',(1.695E1,8.93E0,-1.8E0)); +#48777=VERTEX_POINT('',#48775); +#48778=VERTEX_POINT('',#48776); +#48779=CARTESIAN_POINT('',(1.695E1,-1.07E0,-1.8E0)); +#48780=CARTESIAN_POINT('',(1.695E1,-1.64E0,-1.8E0)); +#48781=VERTEX_POINT('',#48779); +#48782=VERTEX_POINT('',#48780); +#48783=CARTESIAN_POINT('',(1.767E1,-1.07E0,-1.8E0)); +#48784=VERTEX_POINT('',#48783); +#48785=CARTESIAN_POINT('',(1.767E1,8.93E0,-1.8E0)); +#48786=VERTEX_POINT('',#48785); +#48787=CARTESIAN_POINT('',(1.5895E1,-1.07E0,-4.E-1)); +#48788=CARTESIAN_POINT('',(1.695E1,-1.07E0,-4.E-1)); +#48789=VERTEX_POINT('',#48787); +#48790=VERTEX_POINT('',#48788); +#48791=CARTESIAN_POINT('',(1.5895E1,8.93E0,-4.E-1)); +#48792=CARTESIAN_POINT('',(1.695E1,8.93E0,-4.E-1)); +#48793=VERTEX_POINT('',#48791); +#48794=VERTEX_POINT('',#48792); +#48795=CARTESIAN_POINT('',(-6.224673434304E1,1.367241738517E0,-8.95E0)); +#48796=VERTEX_POINT('',#48795); +#48797=VERTEX_POINT('',#32060); +#48798=VERTEX_POINT('',#32065); +#48799=VERTEX_POINT('',#31632); +#48800=VERTEX_POINT('',#31637); +#48801=CARTESIAN_POINT('',(1.421370020393E1,9.73E0,-1.765E1)); +#48802=CARTESIAN_POINT('',(1.265E1,9.73E0,-1.765E1)); +#48803=VERTEX_POINT('',#48801); +#48804=VERTEX_POINT('',#48802); +#48805=CARTESIAN_POINT('',(1.265E1,6.83E0,-1.765E1)); +#48806=VERTEX_POINT('',#48805); +#48807=CARTESIAN_POINT('',(1.435E1,6.83E0,-1.765E1)); +#48808=VERTEX_POINT('',#48807); +#48809=CARTESIAN_POINT('',(1.435E1,1.03E0,-1.765E1)); +#48810=CARTESIAN_POINT('',(1.265E1,1.03E0,-1.765E1)); +#48811=VERTEX_POINT('',#48809); +#48812=VERTEX_POINT('',#48810); +#48813=CARTESIAN_POINT('',(1.265E1,-1.87E0,-1.765E1)); +#48814=VERTEX_POINT('',#48813); +#48815=CARTESIAN_POINT('',(1.421370020393E1,-1.87E0,-1.765E1)); +#48816=VERTEX_POINT('',#48815); +#48817=CARTESIAN_POINT('',(1.435E1,-6.2E-1,-6.75E0)); +#48818=VERTEX_POINT('',#48817); +#48819=CARTESIAN_POINT('',(1.435E1,8.48E0,-6.75E0)); +#48820=VERTEX_POINT('',#48819); +#48821=CARTESIAN_POINT('',(5.825E1,4.83E0,-1.765E1)); +#48822=CARTESIAN_POINT('',(5.705E1,4.83E0,-1.765E1)); +#48823=VERTEX_POINT('',#48821); +#48824=VERTEX_POINT('',#48822); +#48825=CARTESIAN_POINT('',(5.705E1,3.03E0,-1.765E1)); +#48826=VERTEX_POINT('',#48825); +#48827=CARTESIAN_POINT('',(5.825E1,3.03E0,-1.765E1)); +#48828=VERTEX_POINT('',#48827); +#48829=CARTESIAN_POINT('',(-6.021646014859E1,5.13E0,-1.765E1)); +#48830=CARTESIAN_POINT('',(-5.885292186409E1,5.13E0,-1.765E1)); +#48831=VERTEX_POINT('',#48829); +#48832=VERTEX_POINT('',#48830); +#48833=CARTESIAN_POINT('',(-5.788169456325E1,6.93E0,-1.765E1)); +#48834=VERTEX_POINT('',#48833); +#48835=CARTESIAN_POINT('',(-5.924523284775E1,6.93E0,-1.765E1)); +#48836=VERTEX_POINT('',#48835); +#48837=CARTESIAN_POINT('',(-5.775E1,-5.188526329025E0,-2.092705265805E1)); +#48838=VERTEX_POINT('',#48837); +#48839=CARTESIAN_POINT('',(-5.775E1,-5.377350269190E0,-2.06E1)); +#48840=VERTEX_POINT('',#48839); +#48841=CARTESIAN_POINT('',(5.775E1,-5.188526329025E0,-2.092705265805E1)); +#48842=VERTEX_POINT('',#48841); +#48843=CARTESIAN_POINT('',(5.775E1,-5.377350269190E0,-2.06E1)); +#48844=VERTEX_POINT('',#48843); +#48845=VERTEX_POINT('',#29704); +#48846=VERTEX_POINT('',#29707); +#48847=VERTEX_POINT('',#29732); +#48848=CARTESIAN_POINT('',(2.820033211851E1,-8.24E0,1.711381477242E0)); +#48849=VERTEX_POINT('',#48848); +#48850=VERTEX_POINT('',#32254); +#48851=CARTESIAN_POINT('',(2.774832043711E1,-8.44E0,1.103671867423E0)); +#48852=VERTEX_POINT('',#48851); +#48853=VERTEX_POINT('',#6267); +#48854=VERTEX_POINT('',#6270); +#48855=VERTEX_POINT('',#32291); +#48856=CARTESIAN_POINT('',(-8.598320437114E0,-8.44E0,1.103671867423E0)); +#48857=VERTEX_POINT('',#48856); +#48858=VERTEX_POINT('',#6292); +#48859=VERTEX_POINT('',#6296); +#48860=VERTEX_POINT('',#6337); +#48861=VERTEX_POINT('',#6340); +#48862=VERTEX_POINT('',#32168); +#48863=CARTESIAN_POINT('',(-1.774966788149E1,-8.24E0,1.711381477242E0)); +#48864=VERTEX_POINT('',#48863); +#48865=VERTEX_POINT('',#32140); +#48866=CARTESIAN_POINT('',(-1.820167956289E1,-8.44E0,1.103671867423E0)); +#48867=VERTEX_POINT('',#48866); +#48868=VERTEX_POINT('',#30097); +#48869=VERTEX_POINT('',#30100); +#48870=VERTEX_POINT('',#30113); +#48871=CARTESIAN_POINT('',(-3.359832043711E1,-8.44E0,1.103671867423E0)); +#48872=VERTEX_POINT('',#48871); +#48873=VERTEX_POINT('',#32072); +#48874=VERTEX_POINT('',#32076); +#48875=VERTEX_POINT('',#29285); +#48876=VERTEX_POINT('',#29288); +#48877=VERTEX_POINT('',#30091); +#48878=CARTESIAN_POINT('',(-4.274966788149E1,-8.24E0,1.711381477242E0)); +#48879=VERTEX_POINT('',#48878); +#48880=VERTEX_POINT('',#30063); +#48881=CARTESIAN_POINT('',(-4.320167956289E1,-8.44E0,1.103671867423E0)); +#48882=VERTEX_POINT('',#48881); +#48883=VERTEX_POINT('',#29134); +#48884=VERTEX_POINT('',#29137); +#48885=VERTEX_POINT('',#29150); +#48886=CARTESIAN_POINT('',(-4.320167956289E1,1.63E1,1.103671867423E0)); +#48887=VERTEX_POINT('',#48886); +#48888=VERTEX_POINT('',#31909); +#48889=VERTEX_POINT('',#31913); +#48890=VERTEX_POINT('',#29112); +#48891=VERTEX_POINT('',#29115); +#48892=VERTEX_POINT('',#29128); +#48893=CARTESIAN_POINT('',(-3.405033211851E1,1.61E1,1.711381477242E0)); +#48894=VERTEX_POINT('',#48893); +#48895=VERTEX_POINT('',#30393); +#48896=CARTESIAN_POINT('',(-3.359832043711E1,1.63E1,1.103671867423E0)); +#48897=VERTEX_POINT('',#48896); +#48898=VERTEX_POINT('',#30305); +#48899=VERTEX_POINT('',#30308); +#48900=VERTEX_POINT('',#30321); +#48901=CARTESIAN_POINT('',(-1.320167956289E1,1.63E1,1.103671867423E0)); +#48902=VERTEX_POINT('',#48901); +#48903=VERTEX_POINT('',#31644); +#48904=VERTEX_POINT('',#31648); +#48905=VERTEX_POINT('',#30283); +#48906=VERTEX_POINT('',#30286); +#48907=VERTEX_POINT('',#30299); +#48908=CARTESIAN_POINT('',(-4.050332118510E0,1.61E1,1.711381477242E0)); +#48909=VERTEX_POINT('',#48908); +#48910=VERTEX_POINT('',#31591); +#48911=CARTESIAN_POINT('',(-3.598320437114E0,1.63E1,1.103671867423E0)); +#48912=VERTEX_POINT('',#48911); +#48913=VERTEX_POINT('',#30601); +#48914=VERTEX_POINT('',#30604); +#48915=VERTEX_POINT('',#30963); +#48916=CARTESIAN_POINT('',(2.774832043711E1,1.63E1,1.103671867423E0)); +#48917=VERTEX_POINT('',#48916); +#48918=VERTEX_POINT('',#30584); +#48919=VERTEX_POINT('',#30588); +#48920=VERTEX_POINT('',#30657); +#48921=VERTEX_POINT('',#30660); +#48922=VERTEX_POINT('',#31887); +#48923=CARTESIAN_POINT('',(3.689966788149E1,1.61E1,1.711381477242E0)); +#48924=VERTEX_POINT('',#48923); +#48925=VERTEX_POINT('',#30648); +#48926=CARTESIAN_POINT('',(3.735167956289E1,1.63E1,1.103671867423E0)); +#48927=VERTEX_POINT('',#48926); +#48928=VERTEX_POINT('',#31355); +#48929=VERTEX_POINT('',#31358); +#48930=VERTEX_POINT('',#31800); +#48931=CARTESIAN_POINT('',(5.004832043711E1,1.63E1,1.103671867423E0)); +#48932=VERTEX_POINT('',#48931); +#48933=VERTEX_POINT('',#31380); +#48934=VERTEX_POINT('',#31384); +#48935=VERTEX_POINT('',#31428); +#48936=VERTEX_POINT('',#31431); +#48937=VERTEX_POINT('',#31469); +#48938=CARTESIAN_POINT('',(5.836661867997E1,1.61E1,2.214022147420E0)); +#48939=VERTEX_POINT('',#48938); +#48940=VERTEX_POINT('',#31419); +#48941=CARTESIAN_POINT('',(5.885E1,1.62E1,1.357806555700E0)); +#48942=VERTEX_POINT('',#48941); +#48943=VERTEX_POINT('',#29404); +#48944=VERTEX_POINT('',#29407); +#48945=VERTEX_POINT('',#29420); +#48946=CARTESIAN_POINT('',(5.885E1,-8.34E0,1.357806555700E0)); +#48947=VERTEX_POINT('',#48946); +#48948=VERTEX_POINT('',#29963); +#48949=VERTEX_POINT('',#29967); +#48950=VERTEX_POINT('',#29382); +#48951=VERTEX_POINT('',#29385); +#48952=VERTEX_POINT('',#29398); +#48953=CARTESIAN_POINT('',(5.050033211851E1,-8.24E0,1.711381477242E0)); +#48954=VERTEX_POINT('',#48953); +#48955=VERTEX_POINT('',#29908); +#48956=CARTESIAN_POINT('',(5.004832043711E1,-8.44E0,1.103671867423E0)); +#48957=VERTEX_POINT('',#48956); +#48958=VERTEX_POINT('',#29634); +#48959=VERTEX_POINT('',#29637); +#48960=VERTEX_POINT('',#32282); +#48961=CARTESIAN_POINT('',(3.735167956289E1,-8.44E0,1.103671867423E0)); +#48962=VERTEX_POINT('',#48961); +#48963=VERTEX_POINT('',#29659); +#48964=VERTEX_POINT('',#29663); +#48965=CARTESIAN_POINT('',(3.722920354766E1,1.669999985724E1, +-2.855892927854E-2)); +#48966=VERTEX_POINT('',#48965); +#48967=CARTESIAN_POINT('',(3.765E1,1.629999907626E1,-5.912602819740E-2)); +#48968=CARTESIAN_POINT('',(2.745E1,1.629999907626E1,-5.912602819739E-2)); +#48969=VERTEX_POINT('',#48967); +#48970=VERTEX_POINT('',#48968); +#48971=CARTESIAN_POINT('',(2.787079684566E1,1.67E1,-2.855838061214E-2)); +#48972=VERTEX_POINT('',#48971); +#48973=CARTESIAN_POINT('',(5.835E1,1.67E1,8.591571341507E-2)); +#48974=VERTEX_POINT('',#48973); +#48975=CARTESIAN_POINT('',(5.781079535719E1,1.629999956499E1, +-2.635050253542E0)); +#48976=CARTESIAN_POINT('',(4.975E1,1.629999956499E1,-5.912602819739E-2)); +#48977=VERTEX_POINT('',#48975); +#48978=VERTEX_POINT('',#48976); +#48979=CARTESIAN_POINT('',(5.748059555511E1,1.67E1,-2.369206210637E0)); +#48980=CARTESIAN_POINT('',(5.017079653919E1,1.67E1,-2.855881304941E-2)); +#48981=VERTEX_POINT('',#48979); +#48982=VERTEX_POINT('',#48980); +#48983=CARTESIAN_POINT('',(5.835E1,-8.84E0,8.591571341507E-2)); +#48984=VERTEX_POINT('',#48983); +#48985=CARTESIAN_POINT('',(4.975E1,-8.439999558563E0,-5.912602819740E-2)); +#48986=CARTESIAN_POINT('',(5.781079536600E1,-8.439999558563E0, +-2.635050247910E0)); +#48987=VERTEX_POINT('',#48985); +#48988=VERTEX_POINT('',#48986); +#48989=CARTESIAN_POINT('',(5.017079654322E1,-8.84E0,-2.855880734769E-2)); +#48990=CARTESIAN_POINT('',(5.748059555498E1,-8.84E0,-2.369206203757E0)); +#48991=VERTEX_POINT('',#48989); +#48992=VERTEX_POINT('',#48990); +#48993=CARTESIAN_POINT('',(2.787079645234E1,-8.839999857240E0, +-2.855892927854E-2)); +#48994=VERTEX_POINT('',#48993); +#48995=CARTESIAN_POINT('',(2.745E1,-8.439999076261E0,-5.912602819740E-2)); +#48996=CARTESIAN_POINT('',(3.765E1,-8.439999076261E0,-5.912602819739E-2)); +#48997=VERTEX_POINT('',#48995); +#48998=VERTEX_POINT('',#48996); +#48999=CARTESIAN_POINT('',(3.722920315434E1,-8.84E0,-2.855838061215E-2)); +#49000=VERTEX_POINT('',#48999); +#49001=CARTESIAN_POINT('',(-4.35E1,1.629999907626E1,-5.912602819739E-2)); +#49002=VERTEX_POINT('',#49001); +#49003=CARTESIAN_POINT('',(-4.307920315434E1,1.67E1,-2.855838061214E-2)); +#49004=VERTEX_POINT('',#49003); +#49005=CARTESIAN_POINT('',(-3.372079645234E1,-8.839999857240E0, +-2.855892927850E-2)); +#49006=VERTEX_POINT('',#49005); +#49007=CARTESIAN_POINT('',(-3.33E1,-8.439999076261E0,-5.912602819739E-2)); +#49008=VERTEX_POINT('',#49007); +#49009=CARTESIAN_POINT('',(-1.807920315434E1,-8.84E0,-2.855838061216E-2)); +#49010=VERTEX_POINT('',#49009); +#49011=CARTESIAN_POINT('',(-1.85E1,-8.439999076261E0,-5.912602819740E-2)); +#49012=VERTEX_POINT('',#49011); +#49013=VERTEX_POINT('',#5679); +#49014=CARTESIAN_POINT('',(5.794976582676E1,-8.339898823791E0, +-2.542917129456E0)); +#49015=VERTEX_POINT('',#49014); +#49016=CARTESIAN_POINT('',(5.895E1,-8.34E0,0.E0)); +#49017=CARTESIAN_POINT('',(5.935E1,-8.232820323028E0,0.E0)); +#49018=VERTEX_POINT('',#49016); +#49019=VERTEX_POINT('',#49017); +#49020=CARTESIAN_POINT('',(5.975E1,-7.54E0,-8.5E0)); +#49021=CARTESIAN_POINT('',(5.975E1,-7.54E0,-4.E-1)); +#49022=VERTEX_POINT('',#49020); +#49023=VERTEX_POINT('',#49021); +#49024=CARTESIAN_POINT('',(5.895E1,-8.34E0,-8.5E0)); +#49025=VERTEX_POINT('',#49024); +#49026=CARTESIAN_POINT('',(5.895E1,1.62E1,-8.5E0)); +#49027=CARTESIAN_POINT('',(5.975E1,1.54E1,-8.5E0)); +#49028=VERTEX_POINT('',#49026); +#49029=VERTEX_POINT('',#49027); +#49030=CARTESIAN_POINT('',(5.975E1,1.54E1,-4.E-1)); +#49031=VERTEX_POINT('',#49030); +#49032=CARTESIAN_POINT('',(5.935E1,1.609282032303E1,0.E0)); +#49033=CARTESIAN_POINT('',(5.895E1,1.62E1,0.E0)); +#49034=VERTEX_POINT('',#49032); +#49035=VERTEX_POINT('',#49033); +#49036=CARTESIAN_POINT('',(-5.212875299996E1,1.579999944904E1, +-5.509564412198E-7)); +#49037=VERTEX_POINT('',#49036); +#49038=CARTESIAN_POINT('',(-5.212875299997E1,1.619999956248E1, +-4.000004375242E-1)); +#49039=CARTESIAN_POINT('',(-4.334873721266E1,1.62E1,-4.E-1)); +#49040=VERTEX_POINT('',#49038); +#49041=VERTEX_POINT('',#49039); +#49042=CARTESIAN_POINT('',(-5.846520874770E1,1.241896600707E1, +-4.000001182704E-1)); +#49043=VERTEX_POINT('',#49042); +#49044=CARTESIAN_POINT('',(-5.811318192465E1,1.222902263975E1, +-1.597076922266E-6)); +#49045=VERTEX_POINT('',#49044); +#49046=CARTESIAN_POINT('',(-6.393645584205E1,2.278965988936E0, +-4.000000382736E-1)); +#49047=VERTEX_POINT('',#49046); +#49048=CARTESIAN_POINT('',(-6.358443051400E1,2.089023428287E0, +-4.819633074119E-8)); +#49049=VERTEX_POINT('',#49048); +#49050=CARTESIAN_POINT('',(-5.760000012806E1,-8.34E0,-4.000000855225E-1)); +#49051=VERTEX_POINT('',#49050); +#49052=CARTESIAN_POINT('',(-5.760000012806E1,-7.939999815072E0, +-1.042512112193E-7)); +#49053=VERTEX_POINT('',#49052); +#49054=CARTESIAN_POINT('',(-4.334873721266E1,-8.34E0,-4.E-1)); +#49055=VERTEX_POINT('',#49054); +#49056=CARTESIAN_POINT('',(-1.834873721266E1,-8.34E0,-4.E-1)); +#49057=CARTESIAN_POINT('',(-3.345126278734E1,-8.34E0,-4.E-1)); +#49058=VERTEX_POINT('',#49056); +#49059=VERTEX_POINT('',#49057); +#49060=CARTESIAN_POINT('',(9.194999999941E0,-7.939999817160E0, +-1.828390815880E-7)); +#49061=VERTEX_POINT('',#49060); +#49062=CARTESIAN_POINT('',(9.194999999953E0,-8.339999854164E0, +-4.000001458359E-1)); +#49063=CARTESIAN_POINT('',(-8.451262787337E0,-8.34E0,-4.E-1)); +#49064=VERTEX_POINT('',#49062); +#49065=VERTEX_POINT('',#49063); +#49066=CARTESIAN_POINT('',(1.5895E1,-1.64E0,-4.000001081758E-1)); +#49067=VERTEX_POINT('',#49066); +#49068=CARTESIAN_POINT('',(1.549499940068E1,-1.64E0,-4.977180747545E-7)); +#49069=VERTEX_POINT('',#49068); +#49070=CARTESIAN_POINT('',(1.549499981716E1,9.499999999941E0, +-1.828390719568E-7)); +#49071=VERTEX_POINT('',#49070); +#49072=CARTESIAN_POINT('',(9.195E0,1.62E1,-4.000001081758E-1)); +#49073=CARTESIAN_POINT('',(1.5895E1,9.5E0,-4.000001081758E-1)); +#49074=VERTEX_POINT('',#49072); +#49075=VERTEX_POINT('',#49073); +#49076=CARTESIAN_POINT('',(9.195E0,1.579999940068E1,-4.977180827481E-7)); +#49077=VERTEX_POINT('',#49076); +#49078=CARTESIAN_POINT('',(-3.451262787337E0,1.62E1,-4.E-1)); +#49079=VERTEX_POINT('',#49078); +#49080=CARTESIAN_POINT('',(-3.345126278734E1,1.62E1,-4.E-1)); +#49081=CARTESIAN_POINT('',(-1.334873721266E1,1.62E1,-4.E-1)); +#49082=VERTEX_POINT('',#49080); +#49083=VERTEX_POINT('',#49081); +#49084=CARTESIAN_POINT('',(3.749873721266E1,1.62E1,-4.E-1)); +#49085=CARTESIAN_POINT('',(4.990126278734E1,1.62E1,-4.E-1)); +#49086=VERTEX_POINT('',#49084); +#49087=VERTEX_POINT('',#49085); +#49088=CARTESIAN_POINT('',(2.365000000006E1,1.579999981716E1, +-1.828390770638E-7)); +#49089=VERTEX_POINT('',#49088); +#49090=CARTESIAN_POINT('',(2.365000000005E1,1.619999985416E1, +-4.000001458359E-1)); +#49091=CARTESIAN_POINT('',(2.760126278734E1,1.62E1,-4.E-1)); +#49092=VERTEX_POINT('',#49090); +#49093=VERTEX_POINT('',#49091); +#49094=CARTESIAN_POINT('',(1.695E1,9.5E0,-4.000001081758E-1)); +#49095=VERTEX_POINT('',#49094); +#49096=CARTESIAN_POINT('',(1.735000059932E1,9.5E0,-4.977180774191E-7)); +#49097=VERTEX_POINT('',#49096); +#49098=CARTESIAN_POINT('',(1.735000018284E1,-1.639999999941E0, +-1.828390780908E-7)); +#49099=VERTEX_POINT('',#49098); +#49100=CARTESIAN_POINT('',(2.365E1,-8.34E0,-4.000001081758E-1)); +#49101=CARTESIAN_POINT('',(1.695E1,-1.64E0,-4.000001081758E-1)); +#49102=VERTEX_POINT('',#49100); +#49103=VERTEX_POINT('',#49101); +#49104=CARTESIAN_POINT('',(2.365E1,-7.939999400682E0,-4.977180738663E-7)); +#49105=VERTEX_POINT('',#49104); +#49106=CARTESIAN_POINT('',(2.760126278734E1,-8.34E0,-4.E-1)); +#49107=VERTEX_POINT('',#49106); +#49108=CARTESIAN_POINT('',(4.990126278734E1,-8.34E0,-4.E-1)); +#49109=CARTESIAN_POINT('',(3.749873721266E1,-8.34E0,-4.E-1)); +#49110=VERTEX_POINT('',#49108); +#49111=VERTEX_POINT('',#49109); +#49112=VERTEX_POINT('',#28856); +#49113=VERTEX_POINT('',#28859); +#49114=CARTESIAN_POINT('',(-6.185E1,2.102519481279E0,-8.95E0)); +#49115=CARTESIAN_POINT('',(-6.135E1,3.029182065024E0,-9.45E0)); +#49116=VERTEX_POINT('',#49114); +#49117=VERTEX_POINT('',#49115); +#49118=CARTESIAN_POINT('',(-4.35E1,-7.999126028197E0,-5.912602819740E-2)); +#49119=VERTEX_POINT('',#49118); +#49120=VERTEX_POINT('',#29179); +#49121=CARTESIAN_POINT('',(-1.85E1,-7.999126028197E0,-5.912602819740E-2)); +#49122=VERTEX_POINT('',#49121); +#49123=VERTEX_POINT('',#6378); +#49124=CARTESIAN_POINT('',(-3.3E0,1.585912602820E1,-5.912602819740E-2)); +#49125=VERTEX_POINT('',#49124); +#49126=VERTEX_POINT('',#30239); +#49127=CARTESIAN_POINT('',(-3.33E1,1.585912602820E1,-5.912602819739E-2)); +#49128=VERTEX_POINT('',#49127); +#49129=VERTEX_POINT('',#30355); +#49130=CARTESIAN_POINT('',(3.765E1,1.585912602820E1,-5.912602819740E-2)); +#49131=VERTEX_POINT('',#49130); +#49132=VERTEX_POINT('',#31834); +#49133=CARTESIAN_POINT('',(2.745E1,-7.999126028197E0,-5.912602819740E-2)); +#49134=VERTEX_POINT('',#49133); +#49135=VERTEX_POINT('',#30857); +#49136=CARTESIAN_POINT('',(4.975E1,-7.999126028197E0,-5.912602819740E-2)); +#49137=VERTEX_POINT('',#49136); +#49138=CARTESIAN_POINT('',(3.765E1,-7.999126028197E0,-5.912602819739E-2)); +#49139=VERTEX_POINT('',#49138); +#49140=CARTESIAN_POINT('',(-2.27E1,1.55E1,-1.496077E1)); +#49141=CARTESIAN_POINT('',(-2.27E1,1.55E1,-1.8E0)); +#49142=VERTEX_POINT('',#49140); +#49143=VERTEX_POINT('',#49141); +#49144=CARTESIAN_POINT('',(-2.41E1,1.55E1,-1.496077E1)); +#49145=CARTESIAN_POINT('',(-2.41E1,1.55E1,-1.8E0)); +#49146=VERTEX_POINT('',#49144); +#49147=VERTEX_POINT('',#49145); +#49148=CARTESIAN_POINT('',(6.3E0,1.55E1,-1.496077E1)); +#49149=VERTEX_POINT('',#49148); +#49150=CARTESIAN_POINT('',(4.9E0,1.55E1,-1.496077E1)); +#49151=CARTESIAN_POINT('',(-7.7E0,1.55E1,-1.496077E1)); +#49152=VERTEX_POINT('',#49150); +#49153=VERTEX_POINT('',#49151); +#49154=CARTESIAN_POINT('',(-3.91E1,1.55E1,-1.496077E1)); +#49155=CARTESIAN_POINT('',(-3.77E1,1.55E1,-1.496077E1)); +#49156=VERTEX_POINT('',#49154); +#49157=VERTEX_POINT('',#49155); +#49158=CARTESIAN_POINT('',(-9.1E0,1.55E1,-1.496077E1)); +#49159=VERTEX_POINT('',#49158); +#49160=CARTESIAN_POINT('',(3.185E1,1.55E1,-1.496077E1)); +#49161=CARTESIAN_POINT('',(3.325E1,1.55E1,-1.496077E1)); +#49162=VERTEX_POINT('',#49160); +#49163=VERTEX_POINT('',#49161); +#49164=CARTESIAN_POINT('',(4.3E1,1.55E1,-1.496077E1)); +#49165=CARTESIAN_POINT('',(4.44E1,1.55E1,-1.496077E1)); +#49166=VERTEX_POINT('',#49164); +#49167=VERTEX_POINT('',#49165); +#49168=CARTESIAN_POINT('',(5.415E1,1.55E1,-1.496077E1)); +#49169=CARTESIAN_POINT('',(5.555E1,1.55E1,-1.496077E1)); +#49170=VERTEX_POINT('',#49168); +#49171=VERTEX_POINT('',#49169); +#49172=CARTESIAN_POINT('',(-3.77E1,1.55E1,-3.455272678794E0)); +#49173=VERTEX_POINT('',#49172); +#49174=CARTESIAN_POINT('',(-3.91E1,1.55E1,-3.455272678794E0)); +#49175=VERTEX_POINT('',#49174); +#49176=CARTESIAN_POINT('',(-5.17E1,1.55E1,-1.496077E1)); +#49177=CARTESIAN_POINT('',(-5.17E1,1.55E1,-1.8E0)); +#49178=VERTEX_POINT('',#49176); +#49179=VERTEX_POINT('',#49177); +#49180=CARTESIAN_POINT('',(-7.7E0,1.55E1,-3.455272678794E0)); +#49181=VERTEX_POINT('',#49180); +#49182=CARTESIAN_POINT('',(-9.1E0,1.55E1,-3.455272678794E0)); +#49183=VERTEX_POINT('',#49182); +#49184=CARTESIAN_POINT('',(6.3E0,1.55E1,-1.8E0)); +#49185=VERTEX_POINT('',#49184); +#49186=CARTESIAN_POINT('',(4.9E0,1.55E1,-1.8E0)); +#49187=VERTEX_POINT('',#49186); +#49188=CARTESIAN_POINT('',(3.325E1,1.55E1,-3.455272678794E0)); +#49189=VERTEX_POINT('',#49188); +#49190=CARTESIAN_POINT('',(3.185E1,1.55E1,-3.455272678794E0)); +#49191=VERTEX_POINT('',#49190); +#49192=CARTESIAN_POINT('',(4.44E1,1.55E1,-1.8E0)); +#49193=VERTEX_POINT('',#49192); +#49194=CARTESIAN_POINT('',(4.3E1,1.55E1,-1.8E0)); +#49195=VERTEX_POINT('',#49194); +#49196=CARTESIAN_POINT('',(5.555E1,1.55E1,-8.5E0)); +#49197=CARTESIAN_POINT('',(5.555E1,1.55E1,-3.455272678794E0)); +#49198=VERTEX_POINT('',#49196); +#49199=VERTEX_POINT('',#49197); +#49200=CARTESIAN_POINT('',(5.415E1,1.55E1,-3.455272678794E0)); +#49201=VERTEX_POINT('',#49200); +#49202=CARTESIAN_POINT('',(-5.31E1,1.542702724944E1,-1.8E0)); +#49203=VERTEX_POINT('',#49202); +#49204=CARTESIAN_POINT('',(-5.31E1,1.55E1,-1.496077E1)); +#49205=VERTEX_POINT('',#49204); +#49206=CARTESIAN_POINT('',(-5.2128753E1,1.62E1,-1.8E0)); +#49207=VERTEX_POINT('',#49206); +#49208=CARTESIAN_POINT('',(-5.31E1,1.57E1,-1.496077E1)); +#49209=CARTESIAN_POINT('',(-5.17E1,1.57E1,-1.496077E1)); +#49210=VERTEX_POINT('',#49208); +#49211=VERTEX_POINT('',#49209); +#49212=CARTESIAN_POINT('',(5.415E1,1.57E1,-1.496077E1)); +#49213=CARTESIAN_POINT('',(5.555E1,1.57E1,-1.496077E1)); +#49214=VERTEX_POINT('',#49212); +#49215=VERTEX_POINT('',#49213); +#49216=CARTESIAN_POINT('',(4.3E1,1.57E1,-1.496077E1)); +#49217=CARTESIAN_POINT('',(4.44E1,1.57E1,-1.496077E1)); +#49218=VERTEX_POINT('',#49216); +#49219=VERTEX_POINT('',#49217); +#49220=CARTESIAN_POINT('',(3.185E1,1.57E1,-1.496077E1)); +#49221=CARTESIAN_POINT('',(3.325E1,1.57E1,-1.496077E1)); +#49222=VERTEX_POINT('',#49220); +#49223=VERTEX_POINT('',#49221); +#49224=CARTESIAN_POINT('',(4.9E0,1.57E1,-1.496077E1)); +#49225=CARTESIAN_POINT('',(6.3E0,1.57E1,-1.496077E1)); +#49226=VERTEX_POINT('',#49224); +#49227=VERTEX_POINT('',#49225); +#49228=CARTESIAN_POINT('',(-9.1E0,1.57E1,-1.496077E1)); +#49229=CARTESIAN_POINT('',(-7.7E0,1.57E1,-1.496077E1)); +#49230=VERTEX_POINT('',#49228); +#49231=VERTEX_POINT('',#49229); +#49232=CARTESIAN_POINT('',(-2.41E1,1.57E1,-1.496077E1)); +#49233=CARTESIAN_POINT('',(-2.27E1,1.57E1,-1.496077E1)); +#49234=VERTEX_POINT('',#49232); +#49235=VERTEX_POINT('',#49233); +#49236=CARTESIAN_POINT('',(-3.91E1,1.57E1,-1.496077E1)); +#49237=CARTESIAN_POINT('',(-3.77E1,1.57E1,-1.496077E1)); +#49238=VERTEX_POINT('',#49236); +#49239=VERTEX_POINT('',#49237); +#49240=CARTESIAN_POINT('',(-5.28E1,1.62E1,-1.8E0)); +#49241=CARTESIAN_POINT('',(-5.296365660772E1,1.615142894573E1,-1.8E0)); +#49242=VERTEX_POINT('',#49240); +#49243=VERTEX_POINT('',#49241); +#49244=CARTESIAN_POINT('',(-5.31E1,1.59E1,-1.461677E1)); +#49245=CARTESIAN_POINT('',(-5.31E1,1.59E1,-1.8E0)); +#49246=VERTEX_POINT('',#49244); +#49247=VERTEX_POINT('',#49245); +#49248=VERTEX_POINT('',#5828); +#49249=CARTESIAN_POINT('',(5.525E1,1.62E1,-1.410077E1)); +#49250=CARTESIAN_POINT('',(5.525E1,1.62E1,-3.485435260761E0)); +#49251=VERTEX_POINT('',#49249); +#49252=VERTEX_POINT('',#49250); +#49253=VERTEX_POINT('',#5714); +#49254=CARTESIAN_POINT('',(5.555E1,1.59E1,-3.455272678794E0)); +#49255=VERTEX_POINT('',#49254); +#49256=CARTESIAN_POINT('',(5.415E1,1.59E1,-1.461677E1)); +#49257=CARTESIAN_POINT('',(5.415E1,1.59E1,-3.455272678794E0)); +#49258=VERTEX_POINT('',#49256); +#49259=VERTEX_POINT('',#49257); +#49260=VERTEX_POINT('',#5723); +#49261=CARTESIAN_POINT('',(5.445E1,1.62E1,-3.485435260761E0)); +#49262=VERTEX_POINT('',#49261); +#49263=CARTESIAN_POINT('',(4.41E1,1.62E1,-1.410077E1)); +#49264=CARTESIAN_POINT('',(4.41E1,1.62E1,-1.8E0)); +#49265=VERTEX_POINT('',#49263); +#49266=VERTEX_POINT('',#49264); +#49267=VERTEX_POINT('',#31852); +#49268=CARTESIAN_POINT('',(4.44E1,1.59E1,-1.8E0)); +#49269=VERTEX_POINT('',#49268); +#49270=CARTESIAN_POINT('',(4.3E1,1.59E1,-1.461677E1)); +#49271=CARTESIAN_POINT('',(4.3E1,1.59E1,-1.8E0)); +#49272=VERTEX_POINT('',#49270); +#49273=VERTEX_POINT('',#49271); +#49274=VERTEX_POINT('',#31861); +#49275=CARTESIAN_POINT('',(4.33E1,1.62E1,-1.8E0)); +#49276=VERTEX_POINT('',#49275); +#49277=CARTESIAN_POINT('',(3.295E1,1.62E1,-1.410077E1)); +#49278=CARTESIAN_POINT('',(3.295E1,1.62E1,-3.485435260761E0)); +#49279=VERTEX_POINT('',#49277); +#49280=VERTEX_POINT('',#49278); +#49281=VERTEX_POINT('',#30755); +#49282=CARTESIAN_POINT('',(3.325E1,1.59E1,-3.455272678794E0)); +#49283=VERTEX_POINT('',#49282); +#49284=CARTESIAN_POINT('',(3.185E1,1.59E1,-1.461677E1)); +#49285=CARTESIAN_POINT('',(3.185E1,1.59E1,-3.455272678794E0)); +#49286=VERTEX_POINT('',#49284); +#49287=VERTEX_POINT('',#49285); +#49288=VERTEX_POINT('',#30764); +#49289=CARTESIAN_POINT('',(3.215E1,1.62E1,-3.485435260761E0)); +#49290=VERTEX_POINT('',#49289); +#49291=CARTESIAN_POINT('',(6.E0,1.62E1,-1.410077E1)); +#49292=CARTESIAN_POINT('',(6.E0,1.62E1,-1.8E0)); +#49293=VERTEX_POINT('',#49291); +#49294=VERTEX_POINT('',#49292); +#49295=VERTEX_POINT('',#32309); +#49296=CARTESIAN_POINT('',(6.3E0,1.59E1,-1.8E0)); +#49297=VERTEX_POINT('',#49296); +#49298=CARTESIAN_POINT('',(4.9E0,1.59E1,-1.461677E1)); +#49299=CARTESIAN_POINT('',(4.9E0,1.59E1,-1.8E0)); +#49300=VERTEX_POINT('',#49298); +#49301=VERTEX_POINT('',#49299); +#49302=VERTEX_POINT('',#32318); +#49303=CARTESIAN_POINT('',(5.2E0,1.62E1,-1.8E0)); +#49304=VERTEX_POINT('',#49303); +#49305=CARTESIAN_POINT('',(-8.E0,1.62E1,-1.410077E1)); +#49306=CARTESIAN_POINT('',(-8.E0,1.62E1,-3.485435260761E0)); +#49307=VERTEX_POINT('',#49305); +#49308=VERTEX_POINT('',#49306); +#49309=VERTEX_POINT('',#31723); +#49310=CARTESIAN_POINT('',(-7.7E0,1.59E1,-3.455272678794E0)); +#49311=VERTEX_POINT('',#49310); +#49312=CARTESIAN_POINT('',(-9.1E0,1.59E1,-1.461677E1)); +#49313=CARTESIAN_POINT('',(-9.1E0,1.59E1,-3.455272678794E0)); +#49314=VERTEX_POINT('',#49312); +#49315=VERTEX_POINT('',#49313); +#49316=VERTEX_POINT('',#31732); +#49317=CARTESIAN_POINT('',(-8.8E0,1.62E1,-3.485435260761E0)); +#49318=VERTEX_POINT('',#49317); +#49319=CARTESIAN_POINT('',(-2.3E1,1.62E1,-1.410077E1)); +#49320=CARTESIAN_POINT('',(-2.3E1,1.62E1,-1.8E0)); +#49321=VERTEX_POINT('',#49319); +#49322=VERTEX_POINT('',#49320); +#49323=VERTEX_POINT('',#6094); +#49324=CARTESIAN_POINT('',(-2.27E1,1.59E1,-1.8E0)); +#49325=VERTEX_POINT('',#49324); +#49326=CARTESIAN_POINT('',(-2.41E1,1.59E1,-1.461677E1)); +#49327=CARTESIAN_POINT('',(-2.41E1,1.59E1,-1.8E0)); +#49328=VERTEX_POINT('',#49326); +#49329=VERTEX_POINT('',#49327); +#49330=VERTEX_POINT('',#6103); +#49331=CARTESIAN_POINT('',(-2.38E1,1.62E1,-1.8E0)); +#49332=VERTEX_POINT('',#49331); +#49333=CARTESIAN_POINT('',(-3.8E1,1.62E1,-1.410077E1)); +#49334=CARTESIAN_POINT('',(-3.8E1,1.62E1,-3.485435260761E0)); +#49335=VERTEX_POINT('',#49333); +#49336=VERTEX_POINT('',#49334); +#49337=VERTEX_POINT('',#31992); +#49338=CARTESIAN_POINT('',(-3.77E1,1.59E1,-3.455272678794E0)); +#49339=VERTEX_POINT('',#49338); +#49340=CARTESIAN_POINT('',(-3.91E1,1.59E1,-1.461677E1)); +#49341=CARTESIAN_POINT('',(-3.91E1,1.59E1,-3.455272678794E0)); +#49342=VERTEX_POINT('',#49340); +#49343=VERTEX_POINT('',#49341); +#49344=VERTEX_POINT('',#32001); +#49345=CARTESIAN_POINT('',(-3.88E1,1.62E1,-3.485435260761E0)); +#49346=VERTEX_POINT('',#49345); +#49347=CARTESIAN_POINT('',(-5.2E1,1.62E1,-1.410077E1)); +#49348=CARTESIAN_POINT('',(-5.2E1,1.62E1,-1.8E0)); +#49349=VERTEX_POINT('',#49347); +#49350=VERTEX_POINT('',#49348); +#49351=VERTEX_POINT('',#5837); +#49352=CARTESIAN_POINT('',(-5.17E1,1.59E1,-1.8E0)); +#49353=VERTEX_POINT('',#49352); +#49354=CARTESIAN_POINT('',(-5.788169456325E1,6.93E0,-6.95E0)); +#49355=CARTESIAN_POINT('',(-5.924523284775E1,6.93E0,-6.95E0)); +#49356=VERTEX_POINT('',#49354); +#49357=VERTEX_POINT('',#49355); +#49358=CARTESIAN_POINT('',(-5.798960870779E1,6.73E0,-6.75E0)); +#49359=VERTEX_POINT('',#49358); +#49360=CARTESIAN_POINT('',(-5.935314699229E1,6.73E0,-6.75E0)); +#49361=VERTEX_POINT('',#49360); +#49362=CARTESIAN_POINT('',(-5.874500771955E1,5.33E0,-6.75E0)); +#49363=CARTESIAN_POINT('',(-5.885292186409E1,5.13E0,-6.95E0)); +#49364=VERTEX_POINT('',#49362); +#49365=VERTEX_POINT('',#49363); +#49366=CARTESIAN_POINT('',(-6.021646014859E1,5.13E0,-6.95E0)); +#49367=VERTEX_POINT('',#49366); +#49368=CARTESIAN_POINT('',(-6.010854600405E1,5.33E0,-6.75E0)); +#49369=VERTEX_POINT('',#49368); +#49370=CARTESIAN_POINT('',(1.265E1,9.53E0,-6.75E0)); +#49371=CARTESIAN_POINT('',(1.265E1,9.73E0,-6.95E0)); +#49372=VERTEX_POINT('',#49370); +#49373=VERTEX_POINT('',#49371); +#49374=CARTESIAN_POINT('',(1.421370020393E1,9.73E0,-6.95E0)); +#49375=VERTEX_POINT('',#49374); +#49376=CARTESIAN_POINT('',(1.425416514487E1,9.53E0,-6.75E0)); +#49377=VERTEX_POINT('',#49376); +#49378=CARTESIAN_POINT('',(1.265E1,6.83E0,-6.95E0)); +#49379=CARTESIAN_POINT('',(1.435E1,6.83E0,-6.95E0)); +#49380=VERTEX_POINT('',#49378); +#49381=VERTEX_POINT('',#49379); +#49382=CARTESIAN_POINT('',(1.265E1,7.03E0,-6.75E0)); +#49383=VERTEX_POINT('',#49382); +#49384=CARTESIAN_POINT('',(1.435E1,7.03E0,-6.75E0)); +#49385=VERTEX_POINT('',#49384); +#49386=CARTESIAN_POINT('',(1.265E1,8.3E-1,-6.75E0)); +#49387=CARTESIAN_POINT('',(1.265E1,1.03E0,-6.95E0)); +#49388=VERTEX_POINT('',#49386); +#49389=VERTEX_POINT('',#49387); +#49390=CARTESIAN_POINT('',(1.435E1,1.03E0,-6.95E0)); +#49391=VERTEX_POINT('',#49390); +#49392=CARTESIAN_POINT('',(1.435E1,8.3E-1,-6.75E0)); +#49393=VERTEX_POINT('',#49392); +#49394=CARTESIAN_POINT('',(1.265E1,-1.87E0,-6.95E0)); +#49395=CARTESIAN_POINT('',(1.421370020393E1,-1.87E0,-6.95E0)); +#49396=VERTEX_POINT('',#49394); +#49397=VERTEX_POINT('',#49395); +#49398=CARTESIAN_POINT('',(1.265E1,-1.67E0,-6.75E0)); +#49399=VERTEX_POINT('',#49398); +#49400=CARTESIAN_POINT('',(1.425416514487E1,-1.67E0,-6.75E0)); +#49401=VERTEX_POINT('',#49400); +#49402=CARTESIAN_POINT('',(2.02E1,-1.67E0,-6.75E0)); +#49403=CARTESIAN_POINT('',(2.02E1,-1.87E0,-6.95E0)); +#49404=VERTEX_POINT('',#49402); +#49405=VERTEX_POINT('',#49403); +#49406=CARTESIAN_POINT('',(1.863629979607E1,-1.87E0,-6.95E0)); +#49407=VERTEX_POINT('',#49406); +#49408=CARTESIAN_POINT('',(1.859583485513E1,-1.67E0,-6.75E0)); +#49409=VERTEX_POINT('',#49408); +#49410=CARTESIAN_POINT('',(2.02E1,1.03E0,-6.95E0)); +#49411=CARTESIAN_POINT('',(1.85E1,1.03E0,-6.95E0)); +#49412=VERTEX_POINT('',#49410); +#49413=VERTEX_POINT('',#49411); +#49414=CARTESIAN_POINT('',(2.02E1,8.3E-1,-6.75E0)); +#49415=VERTEX_POINT('',#49414); +#49416=CARTESIAN_POINT('',(1.85E1,8.3E-1,-6.75E0)); +#49417=VERTEX_POINT('',#49416); +#49418=CARTESIAN_POINT('',(2.02E1,9.73E0,-6.95E0)); +#49419=CARTESIAN_POINT('',(1.863629979607E1,9.73E0,-6.95E0)); +#49420=VERTEX_POINT('',#49418); +#49421=VERTEX_POINT('',#49419); +#49422=CARTESIAN_POINT('',(2.02E1,9.53E0,-6.75E0)); +#49423=VERTEX_POINT('',#49422); +#49424=CARTESIAN_POINT('',(1.859583485513E1,9.53E0,-6.75E0)); +#49425=VERTEX_POINT('',#49424); +#49426=CARTESIAN_POINT('',(2.02E1,7.03E0,-6.75E0)); +#49427=CARTESIAN_POINT('',(2.02E1,6.83E0,-6.95E0)); +#49428=VERTEX_POINT('',#49426); +#49429=VERTEX_POINT('',#49427); +#49430=CARTESIAN_POINT('',(1.85E1,6.83E0,-6.95E0)); +#49431=VERTEX_POINT('',#49430); +#49432=CARTESIAN_POINT('',(1.85E1,7.03E0,-6.75E0)); +#49433=VERTEX_POINT('',#49432); +#49434=CARTESIAN_POINT('',(5.705E1,4.63E0,-6.75E0)); +#49435=CARTESIAN_POINT('',(5.705E1,4.83E0,-6.95E0)); +#49436=VERTEX_POINT('',#49434); +#49437=VERTEX_POINT('',#49435); +#49438=CARTESIAN_POINT('',(5.825E1,4.83E0,-6.95E0)); +#49439=VERTEX_POINT('',#49438); +#49440=CARTESIAN_POINT('',(5.825E1,4.63E0,-6.75E0)); +#49441=VERTEX_POINT('',#49440); +#49442=CARTESIAN_POINT('',(5.705E1,3.03E0,-6.95E0)); +#49443=CARTESIAN_POINT('',(5.825E1,3.03E0,-6.95E0)); +#49444=VERTEX_POINT('',#49442); +#49445=VERTEX_POINT('',#49443); +#49446=CARTESIAN_POINT('',(5.705E1,3.23E0,-6.75E0)); +#49447=VERTEX_POINT('',#49446); +#49448=CARTESIAN_POINT('',(5.825E1,3.23E0,-6.75E0)); +#49449=VERTEX_POINT('',#49448); +#49450=CARTESIAN_POINT('',(-6.005E1,-6.2E0,-2.36E1)); +#49451=CARTESIAN_POINT('',(-6.005E1,-6.E0,-2.36E1)); +#49452=VERTEX_POINT('',#49450); +#49453=VERTEX_POINT('',#49451); +#49454=CARTESIAN_POINT('',(-5.895E1,-4.2E0,-2.36E1)); +#49455=VERTEX_POINT('',#49454); +#49456=CARTESIAN_POINT('',(-5.895E1,-3.8E0,-2.36E1)); +#49457=VERTEX_POINT('',#49456); +#49458=CARTESIAN_POINT('',(-6.E1,-3.8E0,-2.36E1)); +#49459=VERTEX_POINT('',#49458); +#49460=CARTESIAN_POINT('',(-6.E1,-1.6E0,-2.36E1)); +#49461=VERTEX_POINT('',#49460); +#49462=CARTESIAN_POINT('',(6.E1,-1.6E0,-2.36E1)); +#49463=CARTESIAN_POINT('',(6.E1,-3.8E0,-2.36E1)); +#49464=VERTEX_POINT('',#49462); +#49465=VERTEX_POINT('',#49463); +#49466=CARTESIAN_POINT('',(5.895E1,-3.8E0,-2.36E1)); +#49467=VERTEX_POINT('',#49466); +#49468=CARTESIAN_POINT('',(5.895E1,-4.2E0,-2.36E1)); +#49469=VERTEX_POINT('',#49468); +#49470=CARTESIAN_POINT('',(6.005E1,-6.E0,-2.36E1)); +#49471=VERTEX_POINT('',#49470); +#49472=CARTESIAN_POINT('',(6.005E1,-6.2E0,-2.36E1)); +#49473=VERTEX_POINT('',#49472); +#49474=CARTESIAN_POINT('',(-5.775E1,-6.2E0,-2.36E1)); +#49475=CARTESIAN_POINT('',(-5.775E1,-3.8E0,-2.36E1)); +#49476=VERTEX_POINT('',#49474); +#49477=VERTEX_POINT('',#49475); +#49478=CARTESIAN_POINT('',(-5.775E1,-1.6E0,-2.93E1)); +#49479=CARTESIAN_POINT('',(-5.775E1,-1.6E0,-2.36E1)); +#49480=VERTEX_POINT('',#49478); +#49481=VERTEX_POINT('',#49479); +#49482=CARTESIAN_POINT('',(5.775E1,-6.2E0,-2.36E1)); +#49483=CARTESIAN_POINT('',(5.775E1,-3.8E0,-2.36E1)); +#49484=VERTEX_POINT('',#49482); +#49485=VERTEX_POINT('',#49483); +#49486=CARTESIAN_POINT('',(5.775E1,-1.6E0,-2.93E1)); +#49487=CARTESIAN_POINT('',(5.775E1,-1.6E0,-2.36E1)); +#49488=VERTEX_POINT('',#49486); +#49489=VERTEX_POINT('',#49487); +#49490=CARTESIAN_POINT('',(-5.775E1,-6.2E0,-2.93E1)); +#49491=CARTESIAN_POINT('',(-6.005E1,-6.2E0,-2.93E1)); +#49492=VERTEX_POINT('',#49490); +#49493=VERTEX_POINT('',#49491); +#49494=CARTESIAN_POINT('',(-6.005E1,-6.E0,-2.93E1)); +#49495=VERTEX_POINT('',#49494); +#49496=CARTESIAN_POINT('',(-5.895E1,-4.2E0,-2.93E1)); +#49497=VERTEX_POINT('',#49496); +#49498=CARTESIAN_POINT('',(-5.895E1,-3.8E0,-2.93E1)); +#49499=VERTEX_POINT('',#49498); +#49500=CARTESIAN_POINT('',(-6.E1,-3.8E0,-2.93E1)); +#49501=VERTEX_POINT('',#49500); +#49502=CARTESIAN_POINT('',(-6.E1,-1.6E0,-2.93E1)); +#49503=VERTEX_POINT('',#49502); +#49504=CARTESIAN_POINT('',(6.E1,-1.6E0,-2.93E1)); +#49505=VERTEX_POINT('',#49504); +#49506=CARTESIAN_POINT('',(6.E1,-3.8E0,-2.93E1)); +#49507=VERTEX_POINT('',#49506); +#49508=CARTESIAN_POINT('',(5.895E1,-3.8E0,-2.93E1)); +#49509=VERTEX_POINT('',#49508); +#49510=CARTESIAN_POINT('',(5.895E1,-4.2E0,-2.93E1)); +#49511=VERTEX_POINT('',#49510); +#49512=CARTESIAN_POINT('',(6.005E1,-6.E0,-2.93E1)); +#49513=VERTEX_POINT('',#49512); +#49514=CARTESIAN_POINT('',(6.005E1,-6.2E0,-2.93E1)); +#49515=VERTEX_POINT('',#49514); +#49516=CARTESIAN_POINT('',(5.775E1,-6.2E0,-2.93E1)); +#49517=VERTEX_POINT('',#49516); +#49518=CARTESIAN_POINT('',(-5.775E1,-6.2E0,-2.82E1)); +#49519=VERTEX_POINT('',#49518); +#49520=CARTESIAN_POINT('',(5.775E1,-6.2E0,-2.82E1)); +#49521=VERTEX_POINT('',#49520); +#49522=CARTESIAN_POINT('',(-5.775E1,-6.2E0,-2.54E1)); +#49523=VERTEX_POINT('',#49522); +#49524=CARTESIAN_POINT('',(5.775E1,-6.2E0,-2.54E1)); +#49525=VERTEX_POINT('',#49524); +#49526=CARTESIAN_POINT('',(-6.005E1,-8.4E0,-2.54E1)); +#49527=CARTESIAN_POINT('',(-6.005E1,-8.4E0,-2.82E1)); +#49528=VERTEX_POINT('',#49526); +#49529=VERTEX_POINT('',#49527); +#49530=CARTESIAN_POINT('',(6.005E1,-8.4E0,-2.54E1)); +#49531=CARTESIAN_POINT('',(6.005E1,-8.4E0,-2.82E1)); +#49532=VERTEX_POINT('',#49530); +#49533=VERTEX_POINT('',#49531); +#49534=CARTESIAN_POINT('',(-5.895E1,-6.2E0,-2.82E1)); +#49535=CARTESIAN_POINT('',(-5.895E1,-6.6E0,-2.82E1)); +#49536=VERTEX_POINT('',#49534); +#49537=VERTEX_POINT('',#49535); +#49538=CARTESIAN_POINT('',(-5.895E1,-6.2E0,-2.54E1)); +#49539=CARTESIAN_POINT('',(-5.895E1,-6.6E0,-2.54E1)); +#49540=VERTEX_POINT('',#49538); +#49541=VERTEX_POINT('',#49539); +#49542=CARTESIAN_POINT('',(5.895E1,-6.6E0,-2.54E1)); +#49543=VERTEX_POINT('',#49542); +#49544=CARTESIAN_POINT('',(5.895E1,-6.2E0,-2.54E1)); +#49545=VERTEX_POINT('',#49544); +#49546=CARTESIAN_POINT('',(5.895E1,-6.6E0,-2.82E1)); +#49547=VERTEX_POINT('',#49546); +#49548=CARTESIAN_POINT('',(5.895E1,-6.2E0,-2.82E1)); +#49549=VERTEX_POINT('',#49548); +#49550=CARTESIAN_POINT('',(-5.775E1,-1.25E1,-2.82E1)); +#49551=CARTESIAN_POINT('',(-5.775E1,-1.35E1,-2.877735026919E1)); +#49552=VERTEX_POINT('',#49550); +#49553=VERTEX_POINT('',#49551); +#49554=CARTESIAN_POINT('',(-6.062735026919E1,-1.35E1,-2.877735026919E1)); +#49555=VERTEX_POINT('',#49554); +#49556=CARTESIAN_POINT('',(-6.005E1,-1.25E1,-2.82E1)); +#49557=VERTEX_POINT('',#49556); +#49558=CARTESIAN_POINT('',(-6.005E1,-1.25E1,-2.54E1)); +#49559=VERTEX_POINT('',#49558); +#49560=CARTESIAN_POINT('',(-6.062735026919E1,-1.35E1,-2.482264973081E1)); +#49561=VERTEX_POINT('',#49560); +#49562=CARTESIAN_POINT('',(-5.775E1,-1.35E1,-2.482264973081E1)); +#49563=CARTESIAN_POINT('',(-5.775E1,-1.25E1,-2.54E1)); +#49564=VERTEX_POINT('',#49562); +#49565=VERTEX_POINT('',#49563); +#49566=CARTESIAN_POINT('',(5.775E1,-1.25E1,-2.54E1)); +#49567=CARTESIAN_POINT('',(5.775E1,-1.35E1,-2.482264973081E1)); +#49568=VERTEX_POINT('',#49566); +#49569=VERTEX_POINT('',#49567); +#49570=CARTESIAN_POINT('',(6.062735026919E1,-1.35E1,-2.482264973081E1)); +#49571=VERTEX_POINT('',#49570); +#49572=CARTESIAN_POINT('',(6.005E1,-1.25E1,-2.54E1)); +#49573=VERTEX_POINT('',#49572); +#49574=CARTESIAN_POINT('',(6.005E1,-1.25E1,-2.82E1)); +#49575=VERTEX_POINT('',#49574); +#49576=CARTESIAN_POINT('',(6.062735026919E1,-1.35E1,-2.877735026919E1)); +#49577=VERTEX_POINT('',#49576); +#49578=CARTESIAN_POINT('',(5.775E1,-1.35E1,-2.877735026919E1)); +#49579=CARTESIAN_POINT('',(5.775E1,-1.25E1,-2.82E1)); +#49580=VERTEX_POINT('',#49578); +#49581=VERTEX_POINT('',#49579); +#49582=VERTEX_POINT('',#4863); +#49583=VERTEX_POINT('',#4872); +#49584=CARTESIAN_POINT('',(-6.452E1,-1.5E0,-2.49E1)); +#49585=VERTEX_POINT('',#49584); +#49586=CARTESIAN_POINT('',(-6.47E1,-1.5E0,-2.472E1)); +#49587=VERTEX_POINT('',#49586); +#49588=CARTESIAN_POINT('',(-6.292620388964E1,-1.5E0,-2.49E1)); +#49589=VERTEX_POINT('',#49588); +#49590=VERTEX_POINT('',#4943); +#49591=CARTESIAN_POINT('',(-6.452E1,-1.E0,-2.54E1)); +#49592=CARTESIAN_POINT('',(-6.242551771664E1,-1.E0,-2.54E1)); +#49593=VERTEX_POINT('',#49591); +#49594=VERTEX_POINT('',#49592); +#49595=CARTESIAN_POINT('',(-6.242551771664E1,-1.E0,-2.16E1)); +#49596=VERTEX_POINT('',#49595); +#49597=CARTESIAN_POINT('',(-6.292483248402E1,-1.473832021879E0, +-2.209931476738E1)); +#49598=VERTEX_POINT('',#49597); +#49599=VERTEX_POINT('',#4952); +#49600=CARTESIAN_POINT('',(-6.452E1,-1.5E0,-2.21E1)); +#49601=VERTEX_POINT('',#49600); +#49602=CARTESIAN_POINT('',(-6.452E1,-1.E0,-2.16E1)); +#49603=VERTEX_POINT('',#49602); +#49604=VERTEX_POINT('',#4272); +#49605=VERTEX_POINT('',#4281); +#49606=CARTESIAN_POINT('',(-6.47E1,-1.5E0,-2.228E1)); +#49607=VERTEX_POINT('',#49606); +#49608=CARTESIAN_POINT('',(-6.52E1,-1.E0,-2.228E1)); +#49609=CARTESIAN_POINT('',(-6.52E1,-1.E0,-2.472E1)); +#49610=VERTEX_POINT('',#49608); +#49611=VERTEX_POINT('',#49609); +#49612=CARTESIAN_POINT('',(6.242551771664E1,-1.E0,-2.54E1)); +#49613=CARTESIAN_POINT('',(6.452E1,-1.E0,-2.54E1)); +#49614=VERTEX_POINT('',#49612); +#49615=VERTEX_POINT('',#49613); +#49616=VERTEX_POINT('',#5032); +#49617=VERTEX_POINT('',#5035); +#49618=CARTESIAN_POINT('',(6.452E1,-1.5E0,-2.49E1)); +#49619=VERTEX_POINT('',#49618); +#49620=VERTEX_POINT('',#4886); +#49621=VERTEX_POINT('',#4895); +#49622=CARTESIAN_POINT('',(6.47E1,-1.5E0,-2.472E1)); +#49623=VERTEX_POINT('',#49622); +#49624=CARTESIAN_POINT('',(6.47E1,-1.5E0,-2.228E1)); +#49625=VERTEX_POINT('',#49624); +#49626=CARTESIAN_POINT('',(6.52E1,-1.E0,-2.472E1)); +#49627=CARTESIAN_POINT('',(6.52E1,-1.E0,-2.228E1)); +#49628=VERTEX_POINT('',#49626); +#49629=VERTEX_POINT('',#49627); +#49630=VERTEX_POINT('',#4303); +#49631=VERTEX_POINT('',#4312); +#49632=CARTESIAN_POINT('',(6.452E1,-1.5E0,-2.21E1)); +#49633=VERTEX_POINT('',#49632); +#49634=CARTESIAN_POINT('',(6.292620388964E1,-1.5E0,-2.21E1)); +#49635=VERTEX_POINT('',#49634); +#49636=VERTEX_POINT('',#5044); +#49637=CARTESIAN_POINT('',(6.452E1,-1.E0,-2.16E1)); +#49638=CARTESIAN_POINT('',(6.242551771664E1,-1.E0,-2.16E1)); +#49639=VERTEX_POINT('',#49637); +#49640=VERTEX_POINT('',#49638); +#49641=CARTESIAN_POINT('',(-6.484128515154E1,-1.859969207064E1, +-2.328446201488E1)); +#49642=CARTESIAN_POINT('',(-6.484128515154E1,-1.859969207064E1, +-2.371553798512E1)); +#49643=VERTEX_POINT('',#49641); +#49644=VERTEX_POINT('',#49642); +#49645=CARTESIAN_POINT('',(-6.427549095139E1,-1.9E1,-2.328446201488E1)); +#49646=CARTESIAN_POINT('',(-6.427549095139E1,-1.9E1,-2.371553798512E1)); +#49647=VERTEX_POINT('',#49645); +#49648=VERTEX_POINT('',#49646); +#49649=VERTEX_POINT('',#4322); +#49650=VERTEX_POINT('',#4327); +#49651=VERTEX_POINT('',#4533); +#49652=VERTEX_POINT('',#4495); +#49653=CARTESIAN_POINT('',(-6.427549095139E1,-1.860521208600E1, +-2.272064644241E1)); +#49654=VERTEX_POINT('',#49653); +#49655=CARTESIAN_POINT('',(-6.351911862177E1,-1.860521206875E1, +-2.272064643614E1)); +#49656=VERTEX_POINT('',#49655); +#49657=CARTESIAN_POINT('',(-6.351913555473E1,-1.9E1,-2.328446201488E1)); +#49658=VERTEX_POINT('',#49657); +#49659=VERTEX_POINT('',#4458); +#49660=VERTEX_POINT('',#4470); +#49661=VERTEX_POINT('',#4438); +#49662=CARTESIAN_POINT('',(-6.292853795305E1,-1.84E1,-2.382134725104E1)); +#49663=VERTEX_POINT('',#49662); +#49664=CARTESIAN_POINT('',(-6.351913472764E1,-1.9E1,-2.371553865864E1)); +#49665=VERTEX_POINT('',#49664); +#49666=VERTEX_POINT('',#4909); +#49667=VERTEX_POINT('',#4922); +#49668=VERTEX_POINT('',#4855); +#49669=CARTESIAN_POINT('',(-6.427549095139E1,-1.860521208600E1, +-2.427935355759E1)); +#49670=VERTEX_POINT('',#49669); +#49671=VERTEX_POINT('',#4501); +#49672=VERTEX_POINT('',#4504); +#49673=VERTEX_POINT('',#4554); +#49674=VERTEX_POINT('',#4362); +#49675=VERTEX_POINT('',#4238); +#49676=VERTEX_POINT('',#4250); +#49677=VERTEX_POINT('',#4295); +#49678=VERTEX_POINT('',#4794); +#49679=VERTEX_POINT('',#4625); +#49680=VERTEX_POINT('',#4630); +#49681=VERTEX_POINT('',#4699); +#49682=VERTEX_POINT('',#4712); +#49683=CARTESIAN_POINT('',(6.427549095139E1,-1.860521208600E1, +-2.427935355759E1)); +#49684=VERTEX_POINT('',#49683); +#49685=CARTESIAN_POINT('',(6.484128515154E1,-1.859969207064E1, +-2.371553798512E1)); +#49686=VERTEX_POINT('',#49685); +#49687=CARTESIAN_POINT('',(6.484128515154E1,-1.859969207064E1, +-2.328446201488E1)); +#49688=VERTEX_POINT('',#49687); +#49689=CARTESIAN_POINT('',(6.427549095139E1,-1.9E1,-2.371553798512E1)); +#49690=CARTESIAN_POINT('',(6.427549095139E1,-1.9E1,-2.328446201488E1)); +#49691=VERTEX_POINT('',#49689); +#49692=VERTEX_POINT('',#49690); +#49693=VERTEX_POINT('',#4722); +#49694=VERTEX_POINT('',#4725); +#49695=VERTEX_POINT('',#4754); +#49696=VERTEX_POINT('',#4665); +#49697=CARTESIAN_POINT('',(6.427549095139E1,-1.860521208600E1, +-2.272064644241E1)); +#49698=VERTEX_POINT('',#49697); +#49699=CARTESIAN_POINT('',(6.351913556250E1,-1.9E1,-2.328446201488E1)); +#49700=VERTEX_POINT('',#49699); +#49701=CARTESIAN_POINT('',(6.292853799713E1,-1.84E1,-2.382134749723E1)); +#49702=VERTEX_POINT('',#49701); +#49703=CARTESIAN_POINT('',(6.351913472663E1,-1.9E1,-2.371553865297E1)); +#49704=VERTEX_POINT('',#49703); +#49705=VERTEX_POINT('',#4821); +#49706=VERTEX_POINT('',#4833); +#49707=VERTEX_POINT('',#4903); +#49708=CARTESIAN_POINT('',(5.749844489624E1,-2.1E0,-2.815E1)); +#49709=CARTESIAN_POINT('',(5.915717967697E1,-2.1E0,-2.815E1)); +#49710=VERTEX_POINT('',#49708); +#49711=VERTEX_POINT('',#49709); +#49712=CARTESIAN_POINT('',(5.749844489624E1,-2.1E0,-2.545E1)); +#49713=CARTESIAN_POINT('',(5.915717967697E1,-2.1E0,-2.545E1)); +#49714=VERTEX_POINT('',#49712); +#49715=VERTEX_POINT('',#49713); +#49716=CARTESIAN_POINT('',(5.861126853593E1,-1.35E1,-2.815E1)); +#49717=CARTESIAN_POINT('',(5.861126853593E1,-1.35E1,-2.545E1)); +#49718=VERTEX_POINT('',#49716); +#49719=VERTEX_POINT('',#49717); +#49720=CARTESIAN_POINT('',(-5.895E1,-3.8E0,-2.815E1)); +#49721=CARTESIAN_POINT('',(-5.985E1,-3.8E0,-2.815E1)); +#49722=VERTEX_POINT('',#49720); +#49723=VERTEX_POINT('',#49721); +#49724=CARTESIAN_POINT('',(-5.895E1,-3.8E0,-2.545E1)); +#49725=CARTESIAN_POINT('',(-5.985E1,-3.8E0,-2.545E1)); +#49726=VERTEX_POINT('',#49724); +#49727=VERTEX_POINT('',#49725); +#49728=CARTESIAN_POINT('',(5.895E1,-3.8E0,-2.815E1)); +#49729=CARTESIAN_POINT('',(5.985E1,-3.8E0,-2.815E1)); +#49730=VERTEX_POINT('',#49728); +#49731=VERTEX_POINT('',#49729); +#49732=CARTESIAN_POINT('',(5.895E1,-3.8E0,-2.545E1)); +#49733=CARTESIAN_POINT('',(5.985E1,-3.8E0,-2.545E1)); +#49734=VERTEX_POINT('',#49732); +#49735=VERTEX_POINT('',#49733); +#49736=CARTESIAN_POINT('',(5.721436794608E1,-1.023489949670E1,-2.815E1)); +#49737=VERTEX_POINT('',#49736); +#49738=CARTESIAN_POINT('',(5.985E1,-3.3E0,-2.815E1)); +#49739=VERTEX_POINT('',#49738); +#49740=CARTESIAN_POINT('',(-5.985E1,-3.3E0,-2.815E1)); +#49741=CARTESIAN_POINT('',(-5.915717967697E1,-2.1E0,-2.815E1)); +#49742=VERTEX_POINT('',#49740); +#49743=VERTEX_POINT('',#49741); +#49744=CARTESIAN_POINT('',(-5.749844489624E1,-2.1E0,-2.815E1)); +#49745=VERTEX_POINT('',#49744); +#49746=CARTESIAN_POINT('',(-5.721436794608E1,-1.023489949670E1,-2.815E1)); +#49747=VERTEX_POINT('',#49746); +#49748=CARTESIAN_POINT('',(5.721436794608E1,-1.023489949670E1,-2.545E1)); +#49749=VERTEX_POINT('',#49748); +#49750=CARTESIAN_POINT('',(5.985E1,-3.3E0,-2.545E1)); +#49751=VERTEX_POINT('',#49750); +#49752=CARTESIAN_POINT('',(-5.985E1,-3.3E0,-2.545E1)); +#49753=CARTESIAN_POINT('',(-5.915717967697E1,-2.1E0,-2.545E1)); +#49754=VERTEX_POINT('',#49752); +#49755=VERTEX_POINT('',#49753); +#49756=CARTESIAN_POINT('',(-5.749844489624E1,-2.1E0,-2.545E1)); +#49757=VERTEX_POINT('',#49756); +#49758=CARTESIAN_POINT('',(-5.721436794608E1,-1.023489949670E1,-2.545E1)); +#49759=VERTEX_POINT('',#49758); +#49760=CARTESIAN_POINT('',(-5.861126853593E1,-1.35E1,-2.815E1)); +#49761=CARTESIAN_POINT('',(-5.861126853593E1,-1.35E1,-2.545E1)); +#49762=VERTEX_POINT('',#49760); +#49763=VERTEX_POINT('',#49761); +#49764=CARTESIAN_POINT('',(5.71E1,-1.12E1,-2.815E1)); +#49765=CARTESIAN_POINT('',(5.621497711906E1,-1.12E1,-2.815E1)); +#49766=VERTEX_POINT('',#49764); +#49767=VERTEX_POINT('',#49765); +#49768=CARTESIAN_POINT('',(-5.621497711906E1,-1.12E1,-2.815E1)); +#49769=CARTESIAN_POINT('',(-5.71E1,-1.12E1,-2.815E1)); +#49770=VERTEX_POINT('',#49768); +#49771=VERTEX_POINT('',#49769); +#49772=CARTESIAN_POINT('',(5.71E1,-1.12E1,-2.545E1)); +#49773=CARTESIAN_POINT('',(5.621497711906E1,-1.12E1,-2.545E1)); +#49774=VERTEX_POINT('',#49772); +#49775=VERTEX_POINT('',#49773); +#49776=CARTESIAN_POINT('',(-5.621497711906E1,-1.12E1,-2.545E1)); +#49777=CARTESIAN_POINT('',(-5.71E1,-1.12E1,-2.545E1)); +#49778=VERTEX_POINT('',#49776); +#49779=VERTEX_POINT('',#49777); +#49780=CARTESIAN_POINT('',(-6.115980762114E1,-1.905E1,-3.125E1)); +#49781=CARTESIAN_POINT('',(-6.09E1,-1.92E1,-3.125E1)); +#49782=VERTEX_POINT('',#49780); +#49783=VERTEX_POINT('',#49781); +#49784=CARTESIAN_POINT('',(-6.064019237886E1,-1.905E1,-3.125E1)); +#49785=VERTEX_POINT('',#49784); +#49786=CARTESIAN_POINT('',(-5.99E1,-1.776794919243E1,-3.125E1)); +#49787=CARTESIAN_POINT('',(-6.19E1,-1.776794919243E1,-3.125E1)); +#49788=VERTEX_POINT('',#49786); +#49789=VERTEX_POINT('',#49787); +#49790=CARTESIAN_POINT('',(5.79E1,-1.92E1,-3.125E1)); +#49791=CARTESIAN_POINT('',(5.815980762114E1,-1.905E1,-3.125E1)); +#49792=VERTEX_POINT('',#49790); +#49793=VERTEX_POINT('',#49791); +#49794=CARTESIAN_POINT('',(5.764019237886E1,-1.905E1,-3.125E1)); +#49795=VERTEX_POINT('',#49794); +#49796=CARTESIAN_POINT('',(5.89E1,-1.776794919243E1,-3.125E1)); +#49797=CARTESIAN_POINT('',(5.69E1,-1.776794919243E1,-3.125E1)); +#49798=VERTEX_POINT('',#49796); +#49799=VERTEX_POINT('',#49797); +#49800=CARTESIAN_POINT('',(5.33E1,3.5E0,-2.584E1)); +#49801=CARTESIAN_POINT('',(2.315E1,3.5E0,-2.584E1)); +#49802=VERTEX_POINT('',#49800); +#49803=VERTEX_POINT('',#49801); +#49804=CARTESIAN_POINT('',(9.7E0,3.5E0,-2.584E1)); +#49805=CARTESIAN_POINT('',(-4.195E1,3.5E0,-2.584E1)); +#49806=VERTEX_POINT('',#49804); +#49807=VERTEX_POINT('',#49805); +#49808=CARTESIAN_POINT('',(5.33E1,6.3E0,-2.584E1)); +#49809=CARTESIAN_POINT('',(2.315E1,6.3E0,-2.584E1)); +#49810=VERTEX_POINT('',#49808); +#49811=VERTEX_POINT('',#49809); +#49812=CARTESIAN_POINT('',(9.7E0,6.3E0,-2.584E1)); +#49813=CARTESIAN_POINT('',(-4.195E1,6.3E0,-2.584E1)); +#49814=VERTEX_POINT('',#49812); +#49815=VERTEX_POINT('',#49813); +#49816=CARTESIAN_POINT('',(5.33E1,6.3E0,-2.36E1)); +#49817=VERTEX_POINT('',#49816); +#49818=CARTESIAN_POINT('',(2.315E1,6.3E0,-2.36E1)); +#49819=VERTEX_POINT('',#49818); +#49820=CARTESIAN_POINT('',(9.7E0,6.3E0,-2.36E1)); +#49821=VERTEX_POINT('',#49820); +#49822=CARTESIAN_POINT('',(-4.195E1,6.3E0,-2.36E1)); +#49823=VERTEX_POINT('',#49822); +#49824=CARTESIAN_POINT('',(5.33E1,3.5E0,-2.36E1)); +#49825=VERTEX_POINT('',#49824); +#49826=CARTESIAN_POINT('',(2.315E1,3.5E0,-2.36E1)); +#49827=VERTEX_POINT('',#49826); +#49828=CARTESIAN_POINT('',(9.7E0,3.5E0,-2.36E1)); +#49829=VERTEX_POINT('',#49828); +#49830=CARTESIAN_POINT('',(-4.195E1,3.5E0,-2.36E1)); +#49831=VERTEX_POINT('',#49830); +#49832=CARTESIAN_POINT('',(-4.165E1,5.93E0,-1.765E1)); +#49833=CARTESIAN_POINT('',(-4.165E1,6.73E0,-1.765E1)); +#49834=VERTEX_POINT('',#49832); +#49835=VERTEX_POINT('',#49833); +#49836=CARTESIAN_POINT('',(-4.195E1,5.63E0,-1.795E1)); +#49837=CARTESIAN_POINT('',(-4.195E1,7.03E0,-1.795E1)); +#49838=VERTEX_POINT('',#49836); +#49839=VERTEX_POINT('',#49837); +#49840=CARTESIAN_POINT('',(-3.735E1,5.93E0,-1.765E1)); +#49841=CARTESIAN_POINT('',(-3.735E1,6.73E0,-1.765E1)); +#49842=VERTEX_POINT('',#49840); +#49843=VERTEX_POINT('',#49841); +#49844=CARTESIAN_POINT('',(-3.765E1,5.63E0,-1.795E1)); +#49845=CARTESIAN_POINT('',(-3.765E1,7.03E0,-1.795E1)); +#49846=VERTEX_POINT('',#49844); +#49847=VERTEX_POINT('',#49845); +#49848=CARTESIAN_POINT('',(-3.305E1,5.93E0,-1.765E1)); +#49849=CARTESIAN_POINT('',(-3.305E1,6.73E0,-1.765E1)); +#49850=VERTEX_POINT('',#49848); +#49851=VERTEX_POINT('',#49849); +#49852=CARTESIAN_POINT('',(-3.335E1,5.63E0,-1.795E1)); +#49853=CARTESIAN_POINT('',(-3.335E1,7.03E0,-1.795E1)); +#49854=VERTEX_POINT('',#49852); +#49855=VERTEX_POINT('',#49853); +#49856=CARTESIAN_POINT('',(-2.875E1,5.93E0,-1.765E1)); +#49857=CARTESIAN_POINT('',(-2.875E1,6.73E0,-1.765E1)); +#49858=VERTEX_POINT('',#49856); +#49859=VERTEX_POINT('',#49857); +#49860=CARTESIAN_POINT('',(-2.905E1,5.63E0,-1.795E1)); +#49861=CARTESIAN_POINT('',(-2.905E1,7.03E0,-1.795E1)); +#49862=VERTEX_POINT('',#49860); +#49863=VERTEX_POINT('',#49861); +#49864=CARTESIAN_POINT('',(-2.445E1,5.93E0,-1.765E1)); +#49865=CARTESIAN_POINT('',(-2.445E1,6.73E0,-1.765E1)); +#49866=VERTEX_POINT('',#49864); +#49867=VERTEX_POINT('',#49865); +#49868=CARTESIAN_POINT('',(-2.475E1,5.63E0,-1.795E1)); +#49869=CARTESIAN_POINT('',(-2.475E1,7.03E0,-1.795E1)); +#49870=VERTEX_POINT('',#49868); +#49871=VERTEX_POINT('',#49869); +#49872=CARTESIAN_POINT('',(-2.015E1,5.93E0,-1.765E1)); +#49873=CARTESIAN_POINT('',(-2.015E1,6.73E0,-1.765E1)); +#49874=VERTEX_POINT('',#49872); +#49875=VERTEX_POINT('',#49873); +#49876=CARTESIAN_POINT('',(-2.045E1,5.63E0,-1.795E1)); +#49877=CARTESIAN_POINT('',(-2.045E1,7.03E0,-1.795E1)); +#49878=VERTEX_POINT('',#49876); +#49879=VERTEX_POINT('',#49877); +#49880=CARTESIAN_POINT('',(-1.585E1,5.93E0,-1.765E1)); +#49881=CARTESIAN_POINT('',(-1.585E1,6.73E0,-1.765E1)); +#49882=VERTEX_POINT('',#49880); +#49883=VERTEX_POINT('',#49881); +#49884=CARTESIAN_POINT('',(-1.615E1,5.63E0,-1.795E1)); +#49885=CARTESIAN_POINT('',(-1.615E1,7.03E0,-1.795E1)); +#49886=VERTEX_POINT('',#49884); +#49887=VERTEX_POINT('',#49885); +#49888=CARTESIAN_POINT('',(-1.155E1,5.93E0,-1.765E1)); +#49889=CARTESIAN_POINT('',(-1.155E1,6.73E0,-1.765E1)); +#49890=VERTEX_POINT('',#49888); +#49891=VERTEX_POINT('',#49889); +#49892=CARTESIAN_POINT('',(-1.185E1,5.63E0,-1.795E1)); +#49893=CARTESIAN_POINT('',(-1.185E1,7.03E0,-1.795E1)); +#49894=VERTEX_POINT('',#49892); +#49895=VERTEX_POINT('',#49893); +#49896=CARTESIAN_POINT('',(-7.25E0,5.93E0,-1.765E1)); +#49897=CARTESIAN_POINT('',(-7.25E0,6.73E0,-1.765E1)); +#49898=VERTEX_POINT('',#49896); +#49899=VERTEX_POINT('',#49897); +#49900=CARTESIAN_POINT('',(-7.55E0,5.63E0,-1.795E1)); +#49901=CARTESIAN_POINT('',(-7.55E0,7.03E0,-1.795E1)); +#49902=VERTEX_POINT('',#49900); +#49903=VERTEX_POINT('',#49901); +#49904=CARTESIAN_POINT('',(-2.95E0,5.93E0,-1.765E1)); +#49905=CARTESIAN_POINT('',(-2.95E0,6.73E0,-1.765E1)); +#49906=VERTEX_POINT('',#49904); +#49907=VERTEX_POINT('',#49905); +#49908=CARTESIAN_POINT('',(-3.25E0,5.63E0,-1.795E1)); +#49909=CARTESIAN_POINT('',(-3.25E0,7.03E0,-1.795E1)); +#49910=VERTEX_POINT('',#49908); +#49911=VERTEX_POINT('',#49909); +#49912=CARTESIAN_POINT('',(1.35E0,5.93E0,-1.765E1)); +#49913=CARTESIAN_POINT('',(1.35E0,6.73E0,-1.765E1)); +#49914=VERTEX_POINT('',#49912); +#49915=VERTEX_POINT('',#49913); +#49916=CARTESIAN_POINT('',(1.05E0,5.63E0,-1.795E1)); +#49917=CARTESIAN_POINT('',(1.05E0,7.03E0,-1.795E1)); +#49918=VERTEX_POINT('',#49916); +#49919=VERTEX_POINT('',#49917); +#49920=CARTESIAN_POINT('',(5.65E0,5.93E0,-1.765E1)); +#49921=CARTESIAN_POINT('',(5.65E0,6.73E0,-1.765E1)); +#49922=VERTEX_POINT('',#49920); +#49923=VERTEX_POINT('',#49921); +#49924=CARTESIAN_POINT('',(5.35E0,5.63E0,-1.795E1)); +#49925=CARTESIAN_POINT('',(5.35E0,7.03E0,-1.795E1)); +#49926=VERTEX_POINT('',#49924); +#49927=VERTEX_POINT('',#49925); +#49928=CARTESIAN_POINT('',(-4.195E1,7.077654916055E0,-1.995E1)); +#49929=CARTESIAN_POINT('',(-4.195E1,7.199986605899E0,-1.989295579619E1)); +#49930=VERTEX_POINT('',#49928); +#49931=VERTEX_POINT('',#49929); +#49932=CARTESIAN_POINT('',(-4.195E1,-3.344977327052E0,-1.995E1)); +#49933=CARTESIAN_POINT('',(-3.975E1,-3.344977327052E0,-1.995E1)); +#49934=VERTEX_POINT('',#49932); +#49935=VERTEX_POINT('',#49933); +#49936=CARTESIAN_POINT('',(-4.195E1,5.455022672948E0,-1.995E1)); +#49937=CARTESIAN_POINT('',(-3.975E1,5.455022672948E0,-1.995E1)); +#49938=VERTEX_POINT('',#49936); +#49939=VERTEX_POINT('',#49937); +#49940=CARTESIAN_POINT('',(-4.195E1,-1.77E0,-1.795E1)); +#49941=CARTESIAN_POINT('',(-4.165E1,-2.07E0,-1.765E1)); +#49942=VERTEX_POINT('',#49940); +#49943=VERTEX_POINT('',#49941); +#49944=CARTESIAN_POINT('',(-4.165E1,-2.87E0,-1.765E1)); +#49945=VERTEX_POINT('',#49944); +#49946=CARTESIAN_POINT('',(-4.195E1,-3.17E0,-1.795E1)); +#49947=VERTEX_POINT('',#49946); +#49948=CARTESIAN_POINT('',(-4.195E1,-1.595022672948E0,-1.995E1)); +#49949=VERTEX_POINT('',#49948); +#49950=CARTESIAN_POINT('',(-3.765E1,-3.344977327052E0,-1.995E1)); +#49951=CARTESIAN_POINT('',(-3.545E1,-3.344977327052E0,-1.995E1)); +#49952=VERTEX_POINT('',#49950); +#49953=VERTEX_POINT('',#49951); +#49954=CARTESIAN_POINT('',(-3.765E1,5.455022672948E0,-1.995E1)); +#49955=CARTESIAN_POINT('',(-3.545E1,5.455022672948E0,-1.995E1)); +#49956=VERTEX_POINT('',#49954); +#49957=VERTEX_POINT('',#49955); +#49958=CARTESIAN_POINT('',(-3.765E1,-1.77E0,-1.795E1)); +#49959=CARTESIAN_POINT('',(-3.735E1,-2.07E0,-1.765E1)); +#49960=VERTEX_POINT('',#49958); +#49961=VERTEX_POINT('',#49959); +#49962=CARTESIAN_POINT('',(-3.735E1,-2.87E0,-1.765E1)); +#49963=VERTEX_POINT('',#49962); +#49964=CARTESIAN_POINT('',(-3.765E1,-3.17E0,-1.795E1)); +#49965=VERTEX_POINT('',#49964); +#49966=CARTESIAN_POINT('',(-3.335E1,-3.344977327052E0,-1.995E1)); +#49967=CARTESIAN_POINT('',(-3.115E1,-3.344977327052E0,-1.995E1)); +#49968=VERTEX_POINT('',#49966); +#49969=VERTEX_POINT('',#49967); +#49970=CARTESIAN_POINT('',(-3.335E1,5.455022672948E0,-1.995E1)); +#49971=CARTESIAN_POINT('',(-3.115E1,5.455022672948E0,-1.995E1)); +#49972=VERTEX_POINT('',#49970); +#49973=VERTEX_POINT('',#49971); +#49974=CARTESIAN_POINT('',(-3.335E1,-1.77E0,-1.795E1)); +#49975=CARTESIAN_POINT('',(-3.305E1,-2.07E0,-1.765E1)); +#49976=VERTEX_POINT('',#49974); +#49977=VERTEX_POINT('',#49975); +#49978=CARTESIAN_POINT('',(-3.305E1,-2.87E0,-1.765E1)); +#49979=VERTEX_POINT('',#49978); +#49980=CARTESIAN_POINT('',(-3.335E1,-3.17E0,-1.795E1)); +#49981=VERTEX_POINT('',#49980); +#49982=CARTESIAN_POINT('',(-2.905E1,-3.344977327052E0,-1.995E1)); +#49983=CARTESIAN_POINT('',(-2.685E1,-3.344977327052E0,-1.995E1)); +#49984=VERTEX_POINT('',#49982); +#49985=VERTEX_POINT('',#49983); +#49986=CARTESIAN_POINT('',(-2.905E1,5.455022672948E0,-1.995E1)); +#49987=CARTESIAN_POINT('',(-2.685E1,5.455022672948E0,-1.995E1)); +#49988=VERTEX_POINT('',#49986); +#49989=VERTEX_POINT('',#49987); +#49990=CARTESIAN_POINT('',(-2.905E1,-1.77E0,-1.795E1)); +#49991=CARTESIAN_POINT('',(-2.875E1,-2.07E0,-1.765E1)); +#49992=VERTEX_POINT('',#49990); +#49993=VERTEX_POINT('',#49991); +#49994=CARTESIAN_POINT('',(-2.875E1,-2.87E0,-1.765E1)); +#49995=VERTEX_POINT('',#49994); +#49996=CARTESIAN_POINT('',(-2.905E1,-3.17E0,-1.795E1)); +#49997=VERTEX_POINT('',#49996); +#49998=CARTESIAN_POINT('',(-2.475E1,-3.344977327052E0,-1.995E1)); +#49999=CARTESIAN_POINT('',(-2.255E1,-3.344977327052E0,-1.995E1)); +#50000=VERTEX_POINT('',#49998); +#50001=VERTEX_POINT('',#49999); +#50002=CARTESIAN_POINT('',(-2.475E1,5.455022672948E0,-1.995E1)); +#50003=CARTESIAN_POINT('',(-2.255E1,5.455022672948E0,-1.995E1)); +#50004=VERTEX_POINT('',#50002); +#50005=VERTEX_POINT('',#50003); +#50006=CARTESIAN_POINT('',(-2.475E1,-1.77E0,-1.795E1)); +#50007=CARTESIAN_POINT('',(-2.445E1,-2.07E0,-1.765E1)); +#50008=VERTEX_POINT('',#50006); +#50009=VERTEX_POINT('',#50007); +#50010=CARTESIAN_POINT('',(-2.445E1,-2.87E0,-1.765E1)); +#50011=VERTEX_POINT('',#50010); +#50012=CARTESIAN_POINT('',(-2.475E1,-3.17E0,-1.795E1)); +#50013=VERTEX_POINT('',#50012); +#50014=CARTESIAN_POINT('',(-2.045E1,-3.344977327052E0,-1.995E1)); +#50015=CARTESIAN_POINT('',(-1.825E1,-3.344977327052E0,-1.995E1)); +#50016=VERTEX_POINT('',#50014); +#50017=VERTEX_POINT('',#50015); +#50018=CARTESIAN_POINT('',(-2.045E1,5.455022672948E0,-1.995E1)); +#50019=CARTESIAN_POINT('',(-1.825E1,5.455022672948E0,-1.995E1)); +#50020=VERTEX_POINT('',#50018); +#50021=VERTEX_POINT('',#50019); +#50022=CARTESIAN_POINT('',(-2.045E1,-1.77E0,-1.795E1)); +#50023=CARTESIAN_POINT('',(-2.015E1,-2.07E0,-1.765E1)); +#50024=VERTEX_POINT('',#50022); +#50025=VERTEX_POINT('',#50023); +#50026=CARTESIAN_POINT('',(-2.015E1,-2.87E0,-1.765E1)); +#50027=VERTEX_POINT('',#50026); +#50028=CARTESIAN_POINT('',(-2.045E1,-3.17E0,-1.795E1)); +#50029=VERTEX_POINT('',#50028); +#50030=CARTESIAN_POINT('',(-1.615E1,-3.344977327052E0,-1.995E1)); +#50031=CARTESIAN_POINT('',(-1.395E1,-3.344977327052E0,-1.995E1)); +#50032=VERTEX_POINT('',#50030); +#50033=VERTEX_POINT('',#50031); +#50034=CARTESIAN_POINT('',(-1.615E1,5.455022672948E0,-1.995E1)); +#50035=CARTESIAN_POINT('',(-1.395E1,5.455022672948E0,-1.995E1)); +#50036=VERTEX_POINT('',#50034); +#50037=VERTEX_POINT('',#50035); +#50038=CARTESIAN_POINT('',(-1.615E1,-1.77E0,-1.795E1)); +#50039=CARTESIAN_POINT('',(-1.585E1,-2.07E0,-1.765E1)); +#50040=VERTEX_POINT('',#50038); +#50041=VERTEX_POINT('',#50039); +#50042=CARTESIAN_POINT('',(-1.585E1,-2.87E0,-1.765E1)); +#50043=VERTEX_POINT('',#50042); +#50044=CARTESIAN_POINT('',(-1.615E1,-3.17E0,-1.795E1)); +#50045=VERTEX_POINT('',#50044); +#50046=CARTESIAN_POINT('',(-1.185E1,-3.344977327052E0,-1.995E1)); +#50047=CARTESIAN_POINT('',(-9.65E0,-3.344977327052E0,-1.995E1)); +#50048=VERTEX_POINT('',#50046); +#50049=VERTEX_POINT('',#50047); +#50050=CARTESIAN_POINT('',(-1.185E1,5.455022672948E0,-1.995E1)); +#50051=CARTESIAN_POINT('',(-9.65E0,5.455022672948E0,-1.995E1)); +#50052=VERTEX_POINT('',#50050); +#50053=VERTEX_POINT('',#50051); +#50054=CARTESIAN_POINT('',(-1.185E1,-1.77E0,-1.795E1)); +#50055=CARTESIAN_POINT('',(-1.155E1,-2.07E0,-1.765E1)); +#50056=VERTEX_POINT('',#50054); +#50057=VERTEX_POINT('',#50055); +#50058=CARTESIAN_POINT('',(-1.155E1,-2.87E0,-1.765E1)); +#50059=VERTEX_POINT('',#50058); +#50060=CARTESIAN_POINT('',(-1.185E1,-3.17E0,-1.795E1)); +#50061=VERTEX_POINT('',#50060); +#50062=CARTESIAN_POINT('',(-7.55E0,-3.344977327052E0,-1.995E1)); +#50063=CARTESIAN_POINT('',(-5.35E0,-3.344977327052E0,-1.995E1)); +#50064=VERTEX_POINT('',#50062); +#50065=VERTEX_POINT('',#50063); +#50066=CARTESIAN_POINT('',(-7.55E0,5.455022672948E0,-1.995E1)); +#50067=CARTESIAN_POINT('',(-5.35E0,5.455022672948E0,-1.995E1)); +#50068=VERTEX_POINT('',#50066); +#50069=VERTEX_POINT('',#50067); +#50070=CARTESIAN_POINT('',(-7.55E0,-1.77E0,-1.795E1)); +#50071=CARTESIAN_POINT('',(-7.25E0,-2.07E0,-1.765E1)); +#50072=VERTEX_POINT('',#50070); +#50073=VERTEX_POINT('',#50071); +#50074=CARTESIAN_POINT('',(-7.25E0,-2.87E0,-1.765E1)); +#50075=VERTEX_POINT('',#50074); +#50076=CARTESIAN_POINT('',(-7.55E0,-3.17E0,-1.795E1)); +#50077=VERTEX_POINT('',#50076); +#50078=CARTESIAN_POINT('',(-3.25E0,-3.344977327052E0,-1.995E1)); +#50079=CARTESIAN_POINT('',(-1.05E0,-3.344977327052E0,-1.995E1)); +#50080=VERTEX_POINT('',#50078); +#50081=VERTEX_POINT('',#50079); +#50082=CARTESIAN_POINT('',(-3.25E0,5.455022672948E0,-1.995E1)); +#50083=CARTESIAN_POINT('',(-1.05E0,5.455022672948E0,-1.995E1)); +#50084=VERTEX_POINT('',#50082); +#50085=VERTEX_POINT('',#50083); +#50086=CARTESIAN_POINT('',(-3.25E0,-1.77E0,-1.795E1)); +#50087=CARTESIAN_POINT('',(-2.95E0,-2.07E0,-1.765E1)); +#50088=VERTEX_POINT('',#50086); +#50089=VERTEX_POINT('',#50087); +#50090=CARTESIAN_POINT('',(-2.95E0,-2.87E0,-1.765E1)); +#50091=VERTEX_POINT('',#50090); +#50092=CARTESIAN_POINT('',(-3.25E0,-3.17E0,-1.795E1)); +#50093=VERTEX_POINT('',#50092); +#50094=CARTESIAN_POINT('',(1.05E0,-3.344977327052E0,-1.995E1)); +#50095=CARTESIAN_POINT('',(3.25E0,-3.344977327052E0,-1.995E1)); +#50096=VERTEX_POINT('',#50094); +#50097=VERTEX_POINT('',#50095); +#50098=CARTESIAN_POINT('',(1.05E0,5.455022672948E0,-1.995E1)); +#50099=CARTESIAN_POINT('',(3.25E0,5.455022672948E0,-1.995E1)); +#50100=VERTEX_POINT('',#50098); +#50101=VERTEX_POINT('',#50099); +#50102=CARTESIAN_POINT('',(1.05E0,-1.77E0,-1.795E1)); +#50103=CARTESIAN_POINT('',(1.35E0,-2.07E0,-1.765E1)); +#50104=VERTEX_POINT('',#50102); +#50105=VERTEX_POINT('',#50103); +#50106=CARTESIAN_POINT('',(1.35E0,-2.87E0,-1.765E1)); +#50107=VERTEX_POINT('',#50106); +#50108=CARTESIAN_POINT('',(1.05E0,-3.17E0,-1.795E1)); +#50109=VERTEX_POINT('',#50108); +#50110=CARTESIAN_POINT('',(5.35E0,-3.344977327052E0,-1.995E1)); +#50111=CARTESIAN_POINT('',(7.55E0,-3.344977327052E0,-1.995E1)); +#50112=VERTEX_POINT('',#50110); +#50113=VERTEX_POINT('',#50111); +#50114=CARTESIAN_POINT('',(5.35E0,5.455022672948E0,-1.995E1)); +#50115=CARTESIAN_POINT('',(7.55E0,5.455022672948E0,-1.995E1)); +#50116=VERTEX_POINT('',#50114); +#50117=VERTEX_POINT('',#50115); +#50118=CARTESIAN_POINT('',(5.35E0,-1.77E0,-1.795E1)); +#50119=CARTESIAN_POINT('',(5.65E0,-2.07E0,-1.765E1)); +#50120=VERTEX_POINT('',#50118); +#50121=VERTEX_POINT('',#50119); +#50122=CARTESIAN_POINT('',(5.65E0,-2.87E0,-1.765E1)); +#50123=VERTEX_POINT('',#50122); +#50124=CARTESIAN_POINT('',(5.35E0,-3.17E0,-1.795E1)); +#50125=VERTEX_POINT('',#50124); +#50126=CARTESIAN_POINT('',(2.315E1,7.077654916055E0,-1.995E1)); +#50127=CARTESIAN_POINT('',(2.315E1,7.199986605899E0,-1.989295579619E1)); +#50128=VERTEX_POINT('',#50126); +#50129=VERTEX_POINT('',#50127); +#50130=CARTESIAN_POINT('',(2.315E1,7.03E0,-1.795E1)); +#50131=VERTEX_POINT('',#50130); +#50132=CARTESIAN_POINT('',(2.345E1,6.73E0,-1.765E1)); +#50133=VERTEX_POINT('',#50132); +#50134=CARTESIAN_POINT('',(2.345E1,5.93E0,-1.765E1)); +#50135=VERTEX_POINT('',#50134); +#50136=CARTESIAN_POINT('',(2.745E1,7.03E0,-1.795E1)); +#50137=VERTEX_POINT('',#50136); +#50138=CARTESIAN_POINT('',(2.775E1,6.73E0,-1.765E1)); +#50139=VERTEX_POINT('',#50138); +#50140=CARTESIAN_POINT('',(2.775E1,5.93E0,-1.765E1)); +#50141=VERTEX_POINT('',#50140); +#50142=CARTESIAN_POINT('',(3.175E1,7.03E0,-1.795E1)); +#50143=VERTEX_POINT('',#50142); +#50144=CARTESIAN_POINT('',(3.205E1,6.73E0,-1.765E1)); +#50145=VERTEX_POINT('',#50144); +#50146=CARTESIAN_POINT('',(3.205E1,5.93E0,-1.765E1)); +#50147=VERTEX_POINT('',#50146); +#50148=CARTESIAN_POINT('',(3.605E1,7.03E0,-1.795E1)); +#50149=VERTEX_POINT('',#50148); +#50150=CARTESIAN_POINT('',(3.635E1,6.73E0,-1.765E1)); +#50151=VERTEX_POINT('',#50150); +#50152=CARTESIAN_POINT('',(3.635E1,5.93E0,-1.765E1)); +#50153=VERTEX_POINT('',#50152); +#50154=CARTESIAN_POINT('',(4.035E1,7.03E0,-1.795E1)); +#50155=VERTEX_POINT('',#50154); +#50156=CARTESIAN_POINT('',(4.065E1,6.73E0,-1.765E1)); +#50157=VERTEX_POINT('',#50156); +#50158=CARTESIAN_POINT('',(4.065E1,5.93E0,-1.765E1)); +#50159=VERTEX_POINT('',#50158); +#50160=CARTESIAN_POINT('',(4.465E1,7.03E0,-1.795E1)); +#50161=VERTEX_POINT('',#50160); +#50162=CARTESIAN_POINT('',(4.495E1,6.73E0,-1.765E1)); +#50163=VERTEX_POINT('',#50162); +#50164=CARTESIAN_POINT('',(4.495E1,5.93E0,-1.765E1)); +#50165=VERTEX_POINT('',#50164); +#50166=CARTESIAN_POINT('',(4.895E1,7.03E0,-1.795E1)); +#50167=VERTEX_POINT('',#50166); +#50168=CARTESIAN_POINT('',(4.925E1,6.73E0,-1.765E1)); +#50169=VERTEX_POINT('',#50168); +#50170=CARTESIAN_POINT('',(4.925E1,5.93E0,-1.765E1)); +#50171=VERTEX_POINT('',#50170); +#50172=CARTESIAN_POINT('',(2.315E1,5.455022672948E0,-1.995E1)); +#50173=CARTESIAN_POINT('',(2.535E1,5.455022672948E0,-1.995E1)); +#50174=VERTEX_POINT('',#50172); +#50175=VERTEX_POINT('',#50173); +#50176=CARTESIAN_POINT('',(2.535E1,-1.595022672948E0,-1.995E1)); +#50177=CARTESIAN_POINT('',(2.315E1,-1.595022672948E0,-1.995E1)); +#50178=VERTEX_POINT('',#50176); +#50179=VERTEX_POINT('',#50177); +#50180=CARTESIAN_POINT('',(2.315E1,-3.344977327052E0,-1.995E1)); +#50181=CARTESIAN_POINT('',(2.535E1,-3.344977327052E0,-1.995E1)); +#50182=VERTEX_POINT('',#50180); +#50183=VERTEX_POINT('',#50181); +#50184=CARTESIAN_POINT('',(2.315E1,5.63E0,-1.795E1)); +#50185=VERTEX_POINT('',#50184); +#50186=CARTESIAN_POINT('',(2.315E1,-1.77E0,-1.795E1)); +#50187=CARTESIAN_POINT('',(2.345E1,-2.07E0,-1.765E1)); +#50188=VERTEX_POINT('',#50186); +#50189=VERTEX_POINT('',#50187); +#50190=CARTESIAN_POINT('',(2.345E1,-2.87E0,-1.765E1)); +#50191=VERTEX_POINT('',#50190); +#50192=CARTESIAN_POINT('',(2.315E1,-3.17E0,-1.795E1)); +#50193=VERTEX_POINT('',#50192); +#50194=CARTESIAN_POINT('',(2.745E1,5.455022672948E0,-1.995E1)); +#50195=CARTESIAN_POINT('',(2.965E1,5.455022672948E0,-1.995E1)); +#50196=VERTEX_POINT('',#50194); +#50197=VERTEX_POINT('',#50195); +#50198=CARTESIAN_POINT('',(2.965E1,-1.595022672948E0,-1.995E1)); +#50199=CARTESIAN_POINT('',(2.745E1,-1.595022672948E0,-1.995E1)); +#50200=VERTEX_POINT('',#50198); +#50201=VERTEX_POINT('',#50199); +#50202=CARTESIAN_POINT('',(2.745E1,-3.344977327052E0,-1.995E1)); +#50203=CARTESIAN_POINT('',(2.965E1,-3.344977327052E0,-1.995E1)); +#50204=VERTEX_POINT('',#50202); +#50205=VERTEX_POINT('',#50203); +#50206=CARTESIAN_POINT('',(2.745E1,5.63E0,-1.795E1)); +#50207=VERTEX_POINT('',#50206); +#50208=CARTESIAN_POINT('',(2.745E1,-1.77E0,-1.795E1)); +#50209=CARTESIAN_POINT('',(2.775E1,-2.07E0,-1.765E1)); +#50210=VERTEX_POINT('',#50208); +#50211=VERTEX_POINT('',#50209); +#50212=CARTESIAN_POINT('',(2.775E1,-2.87E0,-1.765E1)); +#50213=VERTEX_POINT('',#50212); +#50214=CARTESIAN_POINT('',(2.745E1,-3.17E0,-1.795E1)); +#50215=VERTEX_POINT('',#50214); +#50216=CARTESIAN_POINT('',(3.175E1,5.455022672948E0,-1.995E1)); +#50217=CARTESIAN_POINT('',(3.395E1,5.455022672948E0,-1.995E1)); +#50218=VERTEX_POINT('',#50216); +#50219=VERTEX_POINT('',#50217); +#50220=CARTESIAN_POINT('',(3.395E1,-1.595022672948E0,-1.995E1)); +#50221=CARTESIAN_POINT('',(3.175E1,-1.595022672948E0,-1.995E1)); +#50222=VERTEX_POINT('',#50220); +#50223=VERTEX_POINT('',#50221); +#50224=CARTESIAN_POINT('',(3.175E1,-3.344977327052E0,-1.995E1)); +#50225=CARTESIAN_POINT('',(3.395E1,-3.344977327052E0,-1.995E1)); +#50226=VERTEX_POINT('',#50224); +#50227=VERTEX_POINT('',#50225); +#50228=CARTESIAN_POINT('',(3.175E1,5.63E0,-1.795E1)); +#50229=VERTEX_POINT('',#50228); +#50230=CARTESIAN_POINT('',(3.175E1,-1.77E0,-1.795E1)); +#50231=CARTESIAN_POINT('',(3.205E1,-2.07E0,-1.765E1)); +#50232=VERTEX_POINT('',#50230); +#50233=VERTEX_POINT('',#50231); +#50234=CARTESIAN_POINT('',(3.205E1,-2.87E0,-1.765E1)); +#50235=VERTEX_POINT('',#50234); +#50236=CARTESIAN_POINT('',(3.175E1,-3.17E0,-1.795E1)); +#50237=VERTEX_POINT('',#50236); +#50238=CARTESIAN_POINT('',(3.605E1,5.455022672948E0,-1.995E1)); +#50239=CARTESIAN_POINT('',(3.825E1,5.455022672948E0,-1.995E1)); +#50240=VERTEX_POINT('',#50238); +#50241=VERTEX_POINT('',#50239); +#50242=CARTESIAN_POINT('',(3.825E1,-1.595022672948E0,-1.995E1)); +#50243=CARTESIAN_POINT('',(3.605E1,-1.595022672948E0,-1.995E1)); +#50244=VERTEX_POINT('',#50242); +#50245=VERTEX_POINT('',#50243); +#50246=CARTESIAN_POINT('',(3.605E1,-3.344977327052E0,-1.995E1)); +#50247=CARTESIAN_POINT('',(3.825E1,-3.344977327052E0,-1.995E1)); +#50248=VERTEX_POINT('',#50246); +#50249=VERTEX_POINT('',#50247); +#50250=CARTESIAN_POINT('',(3.605E1,5.63E0,-1.795E1)); +#50251=VERTEX_POINT('',#50250); +#50252=CARTESIAN_POINT('',(3.605E1,-1.77E0,-1.795E1)); +#50253=CARTESIAN_POINT('',(3.635E1,-2.07E0,-1.765E1)); +#50254=VERTEX_POINT('',#50252); +#50255=VERTEX_POINT('',#50253); +#50256=CARTESIAN_POINT('',(3.635E1,-2.87E0,-1.765E1)); +#50257=VERTEX_POINT('',#50256); +#50258=CARTESIAN_POINT('',(3.605E1,-3.17E0,-1.795E1)); +#50259=VERTEX_POINT('',#50258); +#50260=CARTESIAN_POINT('',(4.035E1,5.455022672948E0,-1.995E1)); +#50261=CARTESIAN_POINT('',(4.255E1,5.455022672948E0,-1.995E1)); +#50262=VERTEX_POINT('',#50260); +#50263=VERTEX_POINT('',#50261); +#50264=CARTESIAN_POINT('',(4.255E1,-1.595022672948E0,-1.995E1)); +#50265=CARTESIAN_POINT('',(4.035E1,-1.595022672948E0,-1.995E1)); +#50266=VERTEX_POINT('',#50264); +#50267=VERTEX_POINT('',#50265); +#50268=CARTESIAN_POINT('',(4.035E1,-3.344977327052E0,-1.995E1)); +#50269=CARTESIAN_POINT('',(4.255E1,-3.344977327052E0,-1.995E1)); +#50270=VERTEX_POINT('',#50268); +#50271=VERTEX_POINT('',#50269); +#50272=CARTESIAN_POINT('',(4.035E1,5.63E0,-1.795E1)); +#50273=VERTEX_POINT('',#50272); +#50274=CARTESIAN_POINT('',(4.035E1,-1.77E0,-1.795E1)); +#50275=CARTESIAN_POINT('',(4.065E1,-2.07E0,-1.765E1)); +#50276=VERTEX_POINT('',#50274); +#50277=VERTEX_POINT('',#50275); +#50278=CARTESIAN_POINT('',(4.065E1,-2.87E0,-1.765E1)); +#50279=VERTEX_POINT('',#50278); +#50280=CARTESIAN_POINT('',(4.035E1,-3.17E0,-1.795E1)); +#50281=VERTEX_POINT('',#50280); +#50282=CARTESIAN_POINT('',(4.465E1,5.455022672948E0,-1.995E1)); +#50283=CARTESIAN_POINT('',(4.685E1,5.455022672948E0,-1.995E1)); +#50284=VERTEX_POINT('',#50282); +#50285=VERTEX_POINT('',#50283); +#50286=CARTESIAN_POINT('',(4.685E1,-1.595022672948E0,-1.995E1)); +#50287=CARTESIAN_POINT('',(4.465E1,-1.595022672948E0,-1.995E1)); +#50288=VERTEX_POINT('',#50286); +#50289=VERTEX_POINT('',#50287); +#50290=CARTESIAN_POINT('',(4.465E1,-3.344977327052E0,-1.995E1)); +#50291=CARTESIAN_POINT('',(4.685E1,-3.344977327052E0,-1.995E1)); +#50292=VERTEX_POINT('',#50290); +#50293=VERTEX_POINT('',#50291); +#50294=CARTESIAN_POINT('',(4.465E1,5.63E0,-1.795E1)); +#50295=VERTEX_POINT('',#50294); +#50296=CARTESIAN_POINT('',(4.465E1,-1.77E0,-1.795E1)); +#50297=CARTESIAN_POINT('',(4.495E1,-2.07E0,-1.765E1)); +#50298=VERTEX_POINT('',#50296); +#50299=VERTEX_POINT('',#50297); +#50300=CARTESIAN_POINT('',(4.495E1,-2.87E0,-1.765E1)); +#50301=VERTEX_POINT('',#50300); +#50302=CARTESIAN_POINT('',(4.465E1,-3.17E0,-1.795E1)); +#50303=VERTEX_POINT('',#50302); +#50304=CARTESIAN_POINT('',(4.895E1,5.455022672948E0,-1.995E1)); +#50305=CARTESIAN_POINT('',(5.115E1,5.455022672948E0,-1.995E1)); +#50306=VERTEX_POINT('',#50304); +#50307=VERTEX_POINT('',#50305); +#50308=CARTESIAN_POINT('',(5.115E1,-1.595022672948E0,-1.995E1)); +#50309=CARTESIAN_POINT('',(4.895E1,-1.595022672948E0,-1.995E1)); +#50310=VERTEX_POINT('',#50308); +#50311=VERTEX_POINT('',#50309); +#50312=CARTESIAN_POINT('',(4.895E1,-3.344977327052E0,-1.995E1)); +#50313=CARTESIAN_POINT('',(5.115E1,-3.344977327052E0,-1.995E1)); +#50314=VERTEX_POINT('',#50312); +#50315=VERTEX_POINT('',#50313); +#50316=CARTESIAN_POINT('',(4.895E1,5.63E0,-1.795E1)); +#50317=VERTEX_POINT('',#50316); +#50318=CARTESIAN_POINT('',(4.895E1,-1.77E0,-1.795E1)); +#50319=CARTESIAN_POINT('',(4.925E1,-2.07E0,-1.765E1)); +#50320=VERTEX_POINT('',#50318); +#50321=VERTEX_POINT('',#50319); +#50322=CARTESIAN_POINT('',(4.925E1,-2.87E0,-1.765E1)); +#50323=VERTEX_POINT('',#50322); +#50324=CARTESIAN_POINT('',(4.895E1,-3.17E0,-1.795E1)); +#50325=VERTEX_POINT('',#50324); +#50326=CARTESIAN_POINT('',(-3.975E1,7.197627763800E0,-1.986599410763E1)); +#50327=VERTEX_POINT('',#50326); +#50328=CARTESIAN_POINT('',(-3.975E1,-1.605902971128E0,-1.982563762273E1)); +#50329=VERTEX_POINT('',#50328); +#50330=CARTESIAN_POINT('',(-3.765E1,7.197627763800E0,-1.986599410763E1)); +#50331=VERTEX_POINT('',#50330); +#50332=CARTESIAN_POINT('',(-3.765E1,-1.605902971128E0,-1.982563762273E1)); +#50333=VERTEX_POINT('',#50332); +#50334=CARTESIAN_POINT('',(-3.545E1,7.197627763800E0,-1.986599410763E1)); +#50335=VERTEX_POINT('',#50334); +#50336=CARTESIAN_POINT('',(-3.545E1,-1.605902971128E0,-1.982563762273E1)); +#50337=VERTEX_POINT('',#50336); +#50338=CARTESIAN_POINT('',(-3.335E1,7.197627763800E0,-1.986599410763E1)); +#50339=VERTEX_POINT('',#50338); +#50340=CARTESIAN_POINT('',(-3.335E1,-1.605902971128E0,-1.982563762273E1)); +#50341=VERTEX_POINT('',#50340); +#50342=CARTESIAN_POINT('',(-3.115E1,7.197627763800E0,-1.986599410763E1)); +#50343=VERTEX_POINT('',#50342); +#50344=CARTESIAN_POINT('',(-3.115E1,-1.605902971128E0,-1.982563762273E1)); +#50345=VERTEX_POINT('',#50344); +#50346=CARTESIAN_POINT('',(-2.905E1,7.197627763800E0,-1.986599410763E1)); +#50347=VERTEX_POINT('',#50346); +#50348=CARTESIAN_POINT('',(-2.905E1,-1.605902971128E0,-1.982563762273E1)); +#50349=VERTEX_POINT('',#50348); +#50350=CARTESIAN_POINT('',(-2.685E1,7.197627763800E0,-1.986599410763E1)); +#50351=VERTEX_POINT('',#50350); +#50352=CARTESIAN_POINT('',(-2.685E1,-1.605902971128E0,-1.982563762273E1)); +#50353=VERTEX_POINT('',#50352); +#50354=CARTESIAN_POINT('',(-2.475E1,7.197627763800E0,-1.986599410763E1)); +#50355=VERTEX_POINT('',#50354); +#50356=CARTESIAN_POINT('',(-2.475E1,-1.605902971128E0,-1.982563762273E1)); +#50357=VERTEX_POINT('',#50356); +#50358=CARTESIAN_POINT('',(-2.255E1,7.197627763800E0,-1.986599410763E1)); +#50359=VERTEX_POINT('',#50358); +#50360=CARTESIAN_POINT('',(-2.255E1,-1.605902971128E0,-1.982563762273E1)); +#50361=VERTEX_POINT('',#50360); +#50362=CARTESIAN_POINT('',(-2.045E1,7.197627763800E0,-1.986599410763E1)); +#50363=VERTEX_POINT('',#50362); +#50364=CARTESIAN_POINT('',(-2.045E1,-1.605902971128E0,-1.982563762273E1)); +#50365=VERTEX_POINT('',#50364); +#50366=CARTESIAN_POINT('',(-1.825E1,7.197627763800E0,-1.986599410763E1)); +#50367=VERTEX_POINT('',#50366); +#50368=CARTESIAN_POINT('',(-1.825E1,-1.605902971128E0,-1.982563762273E1)); +#50369=VERTEX_POINT('',#50368); +#50370=CARTESIAN_POINT('',(-1.615E1,7.197627763800E0,-1.986599410763E1)); +#50371=VERTEX_POINT('',#50370); +#50372=CARTESIAN_POINT('',(-1.615E1,-1.605902971128E0,-1.982563762273E1)); +#50373=VERTEX_POINT('',#50372); +#50374=CARTESIAN_POINT('',(-1.395E1,7.197627763800E0,-1.986599410763E1)); +#50375=VERTEX_POINT('',#50374); +#50376=CARTESIAN_POINT('',(-1.395E1,-1.605902971128E0,-1.982563762273E1)); +#50377=VERTEX_POINT('',#50376); +#50378=CARTESIAN_POINT('',(-1.185E1,7.197627763800E0,-1.986599410763E1)); +#50379=VERTEX_POINT('',#50378); +#50380=CARTESIAN_POINT('',(-1.185E1,-1.605902971128E0,-1.982563762273E1)); +#50381=VERTEX_POINT('',#50380); +#50382=CARTESIAN_POINT('',(-9.65E0,7.197627763800E0,-1.986599410763E1)); +#50383=VERTEX_POINT('',#50382); +#50384=CARTESIAN_POINT('',(-9.65E0,-1.605902971128E0,-1.982563762273E1)); +#50385=VERTEX_POINT('',#50384); +#50386=CARTESIAN_POINT('',(-7.55E0,7.197627763800E0,-1.986599410763E1)); +#50387=VERTEX_POINT('',#50386); +#50388=CARTESIAN_POINT('',(-7.55E0,-1.605902971128E0,-1.982563762273E1)); +#50389=VERTEX_POINT('',#50388); +#50390=CARTESIAN_POINT('',(-5.35E0,7.197627763800E0,-1.986599410763E1)); +#50391=VERTEX_POINT('',#50390); +#50392=CARTESIAN_POINT('',(-5.35E0,-1.605902971128E0,-1.982563762273E1)); +#50393=VERTEX_POINT('',#50392); +#50394=CARTESIAN_POINT('',(-3.25E0,7.197627763800E0,-1.986599410763E1)); +#50395=VERTEX_POINT('',#50394); +#50396=CARTESIAN_POINT('',(-3.25E0,-1.605902971128E0,-1.982563762273E1)); +#50397=VERTEX_POINT('',#50396); +#50398=CARTESIAN_POINT('',(-1.05E0,7.197627763800E0,-1.986599410763E1)); +#50399=VERTEX_POINT('',#50398); +#50400=CARTESIAN_POINT('',(-1.05E0,-1.605902971128E0,-1.982563762273E1)); +#50401=VERTEX_POINT('',#50400); +#50402=CARTESIAN_POINT('',(1.05E0,7.197627763800E0,-1.986599410763E1)); +#50403=VERTEX_POINT('',#50402); +#50404=CARTESIAN_POINT('',(1.05E0,-1.605902971128E0,-1.982563762273E1)); +#50405=VERTEX_POINT('',#50404); +#50406=CARTESIAN_POINT('',(3.25E0,7.197627763800E0,-1.986599410763E1)); +#50407=VERTEX_POINT('',#50406); +#50408=CARTESIAN_POINT('',(3.25E0,-1.605902971128E0,-1.982563762273E1)); +#50409=VERTEX_POINT('',#50408); +#50410=CARTESIAN_POINT('',(5.35E0,7.197627763800E0,-1.986599410763E1)); +#50411=VERTEX_POINT('',#50410); +#50412=CARTESIAN_POINT('',(5.35E0,-1.605902971128E0,-1.982563762273E1)); +#50413=VERTEX_POINT('',#50412); +#50414=CARTESIAN_POINT('',(7.55E0,7.197627763800E0,-1.986599410763E1)); +#50415=VERTEX_POINT('',#50414); +#50416=CARTESIAN_POINT('',(7.55E0,-1.605902971128E0,-1.982563762273E1)); +#50417=VERTEX_POINT('',#50416); +#50418=CARTESIAN_POINT('',(2.535E1,7.197627763800E0,-1.986599410763E1)); +#50419=VERTEX_POINT('',#50418); +#50420=CARTESIAN_POINT('',(2.745E1,7.197627763800E0,-1.986599410763E1)); +#50421=VERTEX_POINT('',#50420); +#50422=CARTESIAN_POINT('',(3.04E1,1.0645E1,-1.175E1)); +#50423=CARTESIAN_POINT('',(3.04E1,1.0015E1,-1.175E1)); +#50424=VERTEX_POINT('',#50422); +#50425=VERTEX_POINT('',#50423); +#50426=CARTESIAN_POINT('',(3.1E1,1.0015E1,-1.175E1)); +#50427=VERTEX_POINT('',#50426); +#50428=CARTESIAN_POINT('',(3.1E1,1.0645E1,-1.175E1)); +#50429=VERTEX_POINT('',#50428); +#50430=CARTESIAN_POINT('',(3.04E1,1.845E0,-1.175E1)); +#50431=CARTESIAN_POINT('',(3.04E1,1.215E0,-1.175E1)); +#50432=VERTEX_POINT('',#50430); +#50433=VERTEX_POINT('',#50431); +#50434=CARTESIAN_POINT('',(3.1E1,1.215E0,-1.175E1)); +#50435=VERTEX_POINT('',#50434); +#50436=CARTESIAN_POINT('',(3.1E1,1.845E0,-1.175E1)); +#50437=VERTEX_POINT('',#50436); +#50438=CARTESIAN_POINT('',(2.96E1,9.63E0,-1.816282873211E1)); +#50439=CARTESIAN_POINT('',(3.18E1,9.63E0,-1.816282873211E1)); +#50440=VERTEX_POINT('',#50438); +#50441=VERTEX_POINT('',#50439); +#50442=CARTESIAN_POINT('',(2.96E1,8.3E-1,-1.832E1)); +#50443=CARTESIAN_POINT('',(3.18E1,8.3E-1,-1.832E1)); +#50444=VERTEX_POINT('',#50442); +#50445=VERTEX_POINT('',#50443); +#50446=CARTESIAN_POINT('',(2.96E1,7.197627763800E0,-1.986599410763E1)); +#50447=VERTEX_POINT('',#50446); +#50448=CARTESIAN_POINT('',(2.96E1,-1.497881250990E0,-1.995E1)); +#50449=VERTEX_POINT('',#50448); +#50450=CARTESIAN_POINT('',(3.18E1,7.197627763800E0,-1.986599410763E1)); +#50451=VERTEX_POINT('',#50450); +#50452=CARTESIAN_POINT('',(3.18E1,-1.497881250990E0,-1.995E1)); +#50453=VERTEX_POINT('',#50452); +#50454=CARTESIAN_POINT('',(2.99E1,9.93E0,-1.765E1)); +#50455=CARTESIAN_POINT('',(2.96E1,9.63E0,-1.795E1)); +#50456=VERTEX_POINT('',#50454); +#50457=VERTEX_POINT('',#50455); +#50458=CARTESIAN_POINT('',(2.99E1,1.073E1,-1.765E1)); +#50459=CARTESIAN_POINT('',(2.96E1,1.103E1,-1.795E1)); +#50460=VERTEX_POINT('',#50458); +#50461=VERTEX_POINT('',#50459); +#50462=CARTESIAN_POINT('',(3.15E1,1.073E1,-1.765E1)); +#50463=CARTESIAN_POINT('',(3.18E1,1.103E1,-1.795E1)); +#50464=VERTEX_POINT('',#50462); +#50465=VERTEX_POINT('',#50463); +#50466=CARTESIAN_POINT('',(3.18E1,9.63E0,-1.795E1)); +#50467=CARTESIAN_POINT('',(3.15E1,9.93E0,-1.765E1)); +#50468=VERTEX_POINT('',#50466); +#50469=VERTEX_POINT('',#50467); +#50470=CARTESIAN_POINT('',(2.99E1,1.13E0,-1.765E1)); +#50471=CARTESIAN_POINT('',(2.96E1,8.3E-1,-1.795E1)); +#50472=VERTEX_POINT('',#50470); +#50473=VERTEX_POINT('',#50471); +#50474=CARTESIAN_POINT('',(2.99E1,1.93E0,-1.765E1)); +#50475=CARTESIAN_POINT('',(2.96E1,2.23E0,-1.795E1)); +#50476=VERTEX_POINT('',#50474); +#50477=VERTEX_POINT('',#50475); +#50478=CARTESIAN_POINT('',(3.15E1,1.93E0,-1.765E1)); +#50479=CARTESIAN_POINT('',(3.18E1,2.23E0,-1.795E1)); +#50480=VERTEX_POINT('',#50478); +#50481=VERTEX_POINT('',#50479); +#50482=CARTESIAN_POINT('',(3.18E1,8.3E-1,-1.795E1)); +#50483=CARTESIAN_POINT('',(3.15E1,1.13E0,-1.765E1)); +#50484=VERTEX_POINT('',#50482); +#50485=VERTEX_POINT('',#50483); +#50486=CARTESIAN_POINT('',(3.47E1,1.0645E1,-1.175E1)); +#50487=CARTESIAN_POINT('',(3.47E1,1.0015E1,-1.175E1)); +#50488=VERTEX_POINT('',#50486); +#50489=VERTEX_POINT('',#50487); +#50490=CARTESIAN_POINT('',(3.53E1,1.0015E1,-1.175E1)); +#50491=VERTEX_POINT('',#50490); +#50492=CARTESIAN_POINT('',(3.53E1,1.0645E1,-1.175E1)); +#50493=VERTEX_POINT('',#50492); +#50494=CARTESIAN_POINT('',(3.47E1,1.845E0,-1.175E1)); +#50495=CARTESIAN_POINT('',(3.47E1,1.215E0,-1.175E1)); +#50496=VERTEX_POINT('',#50494); +#50497=VERTEX_POINT('',#50495); +#50498=CARTESIAN_POINT('',(3.53E1,1.215E0,-1.175E1)); +#50499=VERTEX_POINT('',#50498); +#50500=CARTESIAN_POINT('',(3.53E1,1.845E0,-1.175E1)); +#50501=VERTEX_POINT('',#50500); +#50502=CARTESIAN_POINT('',(3.39E1,9.63E0,-1.816282873211E1)); +#50503=CARTESIAN_POINT('',(3.61E1,9.63E0,-1.816282873211E1)); +#50504=VERTEX_POINT('',#50502); +#50505=VERTEX_POINT('',#50503); +#50506=CARTESIAN_POINT('',(3.39E1,8.3E-1,-1.832E1)); +#50507=CARTESIAN_POINT('',(3.61E1,8.3E-1,-1.832E1)); +#50508=VERTEX_POINT('',#50506); +#50509=VERTEX_POINT('',#50507); +#50510=CARTESIAN_POINT('',(3.39E1,7.197627763800E0,-1.986599410763E1)); +#50511=VERTEX_POINT('',#50510); +#50512=CARTESIAN_POINT('',(3.39E1,-1.497881250990E0,-1.995E1)); +#50513=VERTEX_POINT('',#50512); +#50514=CARTESIAN_POINT('',(3.61E1,7.197627763800E0,-1.986599410763E1)); +#50515=VERTEX_POINT('',#50514); +#50516=CARTESIAN_POINT('',(3.61E1,-1.497881250990E0,-1.995E1)); +#50517=VERTEX_POINT('',#50516); +#50518=CARTESIAN_POINT('',(3.42E1,9.93E0,-1.765E1)); +#50519=CARTESIAN_POINT('',(3.39E1,9.63E0,-1.795E1)); +#50520=VERTEX_POINT('',#50518); +#50521=VERTEX_POINT('',#50519); +#50522=CARTESIAN_POINT('',(3.42E1,1.073E1,-1.765E1)); +#50523=CARTESIAN_POINT('',(3.39E1,1.103E1,-1.795E1)); +#50524=VERTEX_POINT('',#50522); +#50525=VERTEX_POINT('',#50523); +#50526=CARTESIAN_POINT('',(3.58E1,1.073E1,-1.765E1)); +#50527=CARTESIAN_POINT('',(3.61E1,1.103E1,-1.795E1)); +#50528=VERTEX_POINT('',#50526); +#50529=VERTEX_POINT('',#50527); +#50530=CARTESIAN_POINT('',(3.61E1,9.63E0,-1.795E1)); +#50531=CARTESIAN_POINT('',(3.58E1,9.93E0,-1.765E1)); +#50532=VERTEX_POINT('',#50530); +#50533=VERTEX_POINT('',#50531); +#50534=CARTESIAN_POINT('',(3.42E1,1.13E0,-1.765E1)); +#50535=CARTESIAN_POINT('',(3.39E1,8.3E-1,-1.795E1)); +#50536=VERTEX_POINT('',#50534); +#50537=VERTEX_POINT('',#50535); +#50538=CARTESIAN_POINT('',(3.42E1,1.93E0,-1.765E1)); +#50539=CARTESIAN_POINT('',(3.39E1,2.23E0,-1.795E1)); +#50540=VERTEX_POINT('',#50538); +#50541=VERTEX_POINT('',#50539); +#50542=CARTESIAN_POINT('',(3.58E1,1.93E0,-1.765E1)); +#50543=CARTESIAN_POINT('',(3.61E1,2.23E0,-1.795E1)); +#50544=VERTEX_POINT('',#50542); +#50545=VERTEX_POINT('',#50543); +#50546=CARTESIAN_POINT('',(3.61E1,8.3E-1,-1.795E1)); +#50547=CARTESIAN_POINT('',(3.58E1,1.13E0,-1.765E1)); +#50548=VERTEX_POINT('',#50546); +#50549=VERTEX_POINT('',#50547); +#50550=CARTESIAN_POINT('',(3.9E1,1.0645E1,-1.175E1)); +#50551=CARTESIAN_POINT('',(3.9E1,1.0015E1,-1.175E1)); +#50552=VERTEX_POINT('',#50550); +#50553=VERTEX_POINT('',#50551); +#50554=CARTESIAN_POINT('',(3.96E1,1.0015E1,-1.175E1)); +#50555=VERTEX_POINT('',#50554); +#50556=CARTESIAN_POINT('',(3.96E1,1.0645E1,-1.175E1)); +#50557=VERTEX_POINT('',#50556); +#50558=CARTESIAN_POINT('',(3.9E1,1.845E0,-1.175E1)); +#50559=CARTESIAN_POINT('',(3.9E1,1.215E0,-1.175E1)); +#50560=VERTEX_POINT('',#50558); +#50561=VERTEX_POINT('',#50559); +#50562=CARTESIAN_POINT('',(3.96E1,1.215E0,-1.175E1)); +#50563=VERTEX_POINT('',#50562); +#50564=CARTESIAN_POINT('',(3.96E1,1.845E0,-1.175E1)); +#50565=VERTEX_POINT('',#50564); +#50566=CARTESIAN_POINT('',(3.82E1,9.63E0,-1.816282873211E1)); +#50567=CARTESIAN_POINT('',(4.04E1,9.63E0,-1.816282873211E1)); +#50568=VERTEX_POINT('',#50566); +#50569=VERTEX_POINT('',#50567); +#50570=CARTESIAN_POINT('',(3.82E1,8.3E-1,-1.832E1)); +#50571=CARTESIAN_POINT('',(4.04E1,8.3E-1,-1.832E1)); +#50572=VERTEX_POINT('',#50570); +#50573=VERTEX_POINT('',#50571); +#50574=CARTESIAN_POINT('',(3.82E1,7.197627763800E0,-1.986599410763E1)); +#50575=VERTEX_POINT('',#50574); +#50576=CARTESIAN_POINT('',(3.82E1,-1.497881250990E0,-1.995E1)); +#50577=VERTEX_POINT('',#50576); +#50578=CARTESIAN_POINT('',(4.04E1,7.197627763800E0,-1.986599410763E1)); +#50579=VERTEX_POINT('',#50578); +#50580=CARTESIAN_POINT('',(4.04E1,-1.497881250990E0,-1.995E1)); +#50581=VERTEX_POINT('',#50580); +#50582=CARTESIAN_POINT('',(3.85E1,9.93E0,-1.765E1)); +#50583=CARTESIAN_POINT('',(3.82E1,9.63E0,-1.795E1)); +#50584=VERTEX_POINT('',#50582); +#50585=VERTEX_POINT('',#50583); +#50586=CARTESIAN_POINT('',(3.85E1,1.073E1,-1.765E1)); +#50587=CARTESIAN_POINT('',(3.82E1,1.103E1,-1.795E1)); +#50588=VERTEX_POINT('',#50586); +#50589=VERTEX_POINT('',#50587); +#50590=CARTESIAN_POINT('',(4.01E1,1.073E1,-1.765E1)); +#50591=CARTESIAN_POINT('',(4.04E1,1.103E1,-1.795E1)); +#50592=VERTEX_POINT('',#50590); +#50593=VERTEX_POINT('',#50591); +#50594=CARTESIAN_POINT('',(4.04E1,9.63E0,-1.795E1)); +#50595=CARTESIAN_POINT('',(4.01E1,9.93E0,-1.765E1)); +#50596=VERTEX_POINT('',#50594); +#50597=VERTEX_POINT('',#50595); +#50598=CARTESIAN_POINT('',(3.85E1,1.13E0,-1.765E1)); +#50599=CARTESIAN_POINT('',(3.82E1,8.3E-1,-1.795E1)); +#50600=VERTEX_POINT('',#50598); +#50601=VERTEX_POINT('',#50599); +#50602=CARTESIAN_POINT('',(3.85E1,1.93E0,-1.765E1)); +#50603=CARTESIAN_POINT('',(3.82E1,2.23E0,-1.795E1)); +#50604=VERTEX_POINT('',#50602); +#50605=VERTEX_POINT('',#50603); +#50606=CARTESIAN_POINT('',(4.01E1,1.93E0,-1.765E1)); +#50607=CARTESIAN_POINT('',(4.04E1,2.23E0,-1.795E1)); +#50608=VERTEX_POINT('',#50606); +#50609=VERTEX_POINT('',#50607); +#50610=CARTESIAN_POINT('',(4.04E1,8.3E-1,-1.795E1)); +#50611=CARTESIAN_POINT('',(4.01E1,1.13E0,-1.765E1)); +#50612=VERTEX_POINT('',#50610); +#50613=VERTEX_POINT('',#50611); +#50614=CARTESIAN_POINT('',(4.33E1,1.0645E1,-1.175E1)); +#50615=CARTESIAN_POINT('',(4.33E1,1.0015E1,-1.175E1)); +#50616=VERTEX_POINT('',#50614); +#50617=VERTEX_POINT('',#50615); +#50618=CARTESIAN_POINT('',(4.39E1,1.0015E1,-1.175E1)); +#50619=VERTEX_POINT('',#50618); +#50620=CARTESIAN_POINT('',(4.39E1,1.0645E1,-1.175E1)); +#50621=VERTEX_POINT('',#50620); +#50622=CARTESIAN_POINT('',(4.33E1,1.845E0,-1.175E1)); +#50623=CARTESIAN_POINT('',(4.33E1,1.215E0,-1.175E1)); +#50624=VERTEX_POINT('',#50622); +#50625=VERTEX_POINT('',#50623); +#50626=CARTESIAN_POINT('',(4.39E1,1.215E0,-1.175E1)); +#50627=VERTEX_POINT('',#50626); +#50628=CARTESIAN_POINT('',(4.39E1,1.845E0,-1.175E1)); +#50629=VERTEX_POINT('',#50628); +#50630=CARTESIAN_POINT('',(4.25E1,9.63E0,-1.816282873211E1)); +#50631=CARTESIAN_POINT('',(4.47E1,9.63E0,-1.816282873211E1)); +#50632=VERTEX_POINT('',#50630); +#50633=VERTEX_POINT('',#50631); +#50634=CARTESIAN_POINT('',(4.25E1,8.3E-1,-1.832E1)); +#50635=CARTESIAN_POINT('',(4.47E1,8.3E-1,-1.832E1)); +#50636=VERTEX_POINT('',#50634); +#50637=VERTEX_POINT('',#50635); +#50638=CARTESIAN_POINT('',(4.25E1,7.197627763800E0,-1.986599410763E1)); +#50639=VERTEX_POINT('',#50638); +#50640=CARTESIAN_POINT('',(4.25E1,-1.497881250990E0,-1.995E1)); +#50641=VERTEX_POINT('',#50640); +#50642=CARTESIAN_POINT('',(4.47E1,7.197627763800E0,-1.986599410763E1)); +#50643=VERTEX_POINT('',#50642); +#50644=CARTESIAN_POINT('',(4.47E1,-1.497881250990E0,-1.995E1)); +#50645=VERTEX_POINT('',#50644); +#50646=CARTESIAN_POINT('',(4.28E1,9.93E0,-1.765E1)); +#50647=CARTESIAN_POINT('',(4.25E1,9.63E0,-1.795E1)); +#50648=VERTEX_POINT('',#50646); +#50649=VERTEX_POINT('',#50647); +#50650=CARTESIAN_POINT('',(4.28E1,1.073E1,-1.765E1)); +#50651=CARTESIAN_POINT('',(4.25E1,1.103E1,-1.795E1)); +#50652=VERTEX_POINT('',#50650); +#50653=VERTEX_POINT('',#50651); +#50654=CARTESIAN_POINT('',(4.44E1,1.073E1,-1.765E1)); +#50655=CARTESIAN_POINT('',(4.47E1,1.103E1,-1.795E1)); +#50656=VERTEX_POINT('',#50654); +#50657=VERTEX_POINT('',#50655); +#50658=CARTESIAN_POINT('',(4.47E1,9.63E0,-1.795E1)); +#50659=CARTESIAN_POINT('',(4.44E1,9.93E0,-1.765E1)); +#50660=VERTEX_POINT('',#50658); +#50661=VERTEX_POINT('',#50659); +#50662=CARTESIAN_POINT('',(4.28E1,1.13E0,-1.765E1)); +#50663=CARTESIAN_POINT('',(4.25E1,8.3E-1,-1.795E1)); +#50664=VERTEX_POINT('',#50662); +#50665=VERTEX_POINT('',#50663); +#50666=CARTESIAN_POINT('',(4.28E1,1.93E0,-1.765E1)); +#50667=CARTESIAN_POINT('',(4.25E1,2.23E0,-1.795E1)); +#50668=VERTEX_POINT('',#50666); +#50669=VERTEX_POINT('',#50667); +#50670=CARTESIAN_POINT('',(4.44E1,1.93E0,-1.765E1)); +#50671=CARTESIAN_POINT('',(4.47E1,2.23E0,-1.795E1)); +#50672=VERTEX_POINT('',#50670); +#50673=VERTEX_POINT('',#50671); +#50674=CARTESIAN_POINT('',(4.47E1,8.3E-1,-1.795E1)); +#50675=CARTESIAN_POINT('',(4.44E1,1.13E0,-1.765E1)); +#50676=VERTEX_POINT('',#50674); +#50677=VERTEX_POINT('',#50675); +#50678=CARTESIAN_POINT('',(4.76E1,1.0645E1,-1.175E1)); +#50679=CARTESIAN_POINT('',(4.76E1,1.0015E1,-1.175E1)); +#50680=VERTEX_POINT('',#50678); +#50681=VERTEX_POINT('',#50679); +#50682=CARTESIAN_POINT('',(4.82E1,1.0015E1,-1.175E1)); +#50683=VERTEX_POINT('',#50682); +#50684=CARTESIAN_POINT('',(4.82E1,1.0645E1,-1.175E1)); +#50685=VERTEX_POINT('',#50684); +#50686=CARTESIAN_POINT('',(4.76E1,1.845E0,-1.175E1)); +#50687=CARTESIAN_POINT('',(4.76E1,1.215E0,-1.175E1)); +#50688=VERTEX_POINT('',#50686); +#50689=VERTEX_POINT('',#50687); +#50690=CARTESIAN_POINT('',(4.82E1,1.215E0,-1.175E1)); +#50691=VERTEX_POINT('',#50690); +#50692=CARTESIAN_POINT('',(4.82E1,1.845E0,-1.175E1)); +#50693=VERTEX_POINT('',#50692); +#50694=CARTESIAN_POINT('',(4.68E1,9.63E0,-1.816282873211E1)); +#50695=CARTESIAN_POINT('',(4.9E1,9.63E0,-1.816282873211E1)); +#50696=VERTEX_POINT('',#50694); +#50697=VERTEX_POINT('',#50695); +#50698=CARTESIAN_POINT('',(4.68E1,8.3E-1,-1.832E1)); +#50699=CARTESIAN_POINT('',(4.9E1,8.3E-1,-1.832E1)); +#50700=VERTEX_POINT('',#50698); +#50701=VERTEX_POINT('',#50699); +#50702=CARTESIAN_POINT('',(4.68E1,7.197627763800E0,-1.986599410763E1)); +#50703=VERTEX_POINT('',#50702); +#50704=CARTESIAN_POINT('',(4.68E1,-1.497881250990E0,-1.995E1)); +#50705=VERTEX_POINT('',#50704); +#50706=CARTESIAN_POINT('',(4.9E1,7.197627763800E0,-1.986599410763E1)); +#50707=VERTEX_POINT('',#50706); +#50708=CARTESIAN_POINT('',(4.9E1,-1.497881250990E0,-1.995E1)); +#50709=VERTEX_POINT('',#50708); +#50710=CARTESIAN_POINT('',(4.71E1,9.93E0,-1.765E1)); +#50711=CARTESIAN_POINT('',(4.68E1,9.63E0,-1.795E1)); +#50712=VERTEX_POINT('',#50710); +#50713=VERTEX_POINT('',#50711); +#50714=CARTESIAN_POINT('',(4.71E1,1.073E1,-1.765E1)); +#50715=CARTESIAN_POINT('',(4.68E1,1.103E1,-1.795E1)); +#50716=VERTEX_POINT('',#50714); +#50717=VERTEX_POINT('',#50715); +#50718=CARTESIAN_POINT('',(4.87E1,1.073E1,-1.765E1)); +#50719=CARTESIAN_POINT('',(4.9E1,1.103E1,-1.795E1)); +#50720=VERTEX_POINT('',#50718); +#50721=VERTEX_POINT('',#50719); +#50722=CARTESIAN_POINT('',(4.9E1,9.63E0,-1.795E1)); +#50723=CARTESIAN_POINT('',(4.87E1,9.93E0,-1.765E1)); +#50724=VERTEX_POINT('',#50722); +#50725=VERTEX_POINT('',#50723); +#50726=CARTESIAN_POINT('',(4.71E1,1.13E0,-1.765E1)); +#50727=CARTESIAN_POINT('',(4.68E1,8.3E-1,-1.795E1)); +#50728=VERTEX_POINT('',#50726); +#50729=VERTEX_POINT('',#50727); +#50730=CARTESIAN_POINT('',(4.71E1,1.93E0,-1.765E1)); +#50731=CARTESIAN_POINT('',(4.68E1,2.23E0,-1.795E1)); +#50732=VERTEX_POINT('',#50730); +#50733=VERTEX_POINT('',#50731); +#50734=CARTESIAN_POINT('',(4.87E1,1.93E0,-1.765E1)); +#50735=CARTESIAN_POINT('',(4.9E1,2.23E0,-1.795E1)); +#50736=VERTEX_POINT('',#50734); +#50737=VERTEX_POINT('',#50735); +#50738=CARTESIAN_POINT('',(4.9E1,8.3E-1,-1.795E1)); +#50739=CARTESIAN_POINT('',(4.87E1,1.13E0,-1.765E1)); +#50740=VERTEX_POINT('',#50738); +#50741=VERTEX_POINT('',#50739); +#50742=CARTESIAN_POINT('',(5.19E1,1.0645E1,-1.175E1)); +#50743=CARTESIAN_POINT('',(5.19E1,1.0015E1,-1.175E1)); +#50744=VERTEX_POINT('',#50742); +#50745=VERTEX_POINT('',#50743); +#50746=CARTESIAN_POINT('',(5.25E1,1.0015E1,-1.175E1)); +#50747=VERTEX_POINT('',#50746); +#50748=CARTESIAN_POINT('',(5.25E1,1.0645E1,-1.175E1)); +#50749=VERTEX_POINT('',#50748); +#50750=CARTESIAN_POINT('',(5.19E1,1.845E0,-1.175E1)); +#50751=CARTESIAN_POINT('',(5.19E1,1.215E0,-1.175E1)); +#50752=VERTEX_POINT('',#50750); +#50753=VERTEX_POINT('',#50751); +#50754=CARTESIAN_POINT('',(5.25E1,1.215E0,-1.175E1)); +#50755=VERTEX_POINT('',#50754); +#50756=CARTESIAN_POINT('',(5.25E1,1.845E0,-1.175E1)); +#50757=VERTEX_POINT('',#50756); +#50758=CARTESIAN_POINT('',(5.11E1,9.63E0,-1.816282873211E1)); +#50759=CARTESIAN_POINT('',(5.33E1,9.63E0,-1.816282873211E1)); +#50760=VERTEX_POINT('',#50758); +#50761=VERTEX_POINT('',#50759); +#50762=CARTESIAN_POINT('',(5.11E1,8.3E-1,-1.832E1)); +#50763=CARTESIAN_POINT('',(5.33E1,8.3E-1,-1.832E1)); +#50764=VERTEX_POINT('',#50762); +#50765=VERTEX_POINT('',#50763); +#50766=CARTESIAN_POINT('',(5.11E1,7.197627763800E0,-1.986599410763E1)); +#50767=VERTEX_POINT('',#50766); +#50768=CARTESIAN_POINT('',(5.11E1,-1.497881250990E0,-1.995E1)); +#50769=VERTEX_POINT('',#50768); +#50770=CARTESIAN_POINT('',(5.33E1,7.077654916055E0,-1.995E1)); +#50771=VERTEX_POINT('',#50770); +#50772=CARTESIAN_POINT('',(5.33E1,-1.497881250990E0,-1.995E1)); +#50773=VERTEX_POINT('',#50772); +#50774=CARTESIAN_POINT('',(5.14E1,9.93E0,-1.765E1)); +#50775=CARTESIAN_POINT('',(5.11E1,9.63E0,-1.795E1)); +#50776=VERTEX_POINT('',#50774); +#50777=VERTEX_POINT('',#50775); +#50778=CARTESIAN_POINT('',(5.14E1,1.073E1,-1.765E1)); +#50779=CARTESIAN_POINT('',(5.11E1,1.103E1,-1.795E1)); +#50780=VERTEX_POINT('',#50778); +#50781=VERTEX_POINT('',#50779); +#50782=CARTESIAN_POINT('',(5.3E1,1.073E1,-1.765E1)); +#50783=CARTESIAN_POINT('',(5.33E1,1.103E1,-1.795E1)); +#50784=VERTEX_POINT('',#50782); +#50785=VERTEX_POINT('',#50783); +#50786=CARTESIAN_POINT('',(5.33E1,9.63E0,-1.795E1)); +#50787=CARTESIAN_POINT('',(5.3E1,9.93E0,-1.765E1)); +#50788=VERTEX_POINT('',#50786); +#50789=VERTEX_POINT('',#50787); +#50790=CARTESIAN_POINT('',(5.14E1,1.13E0,-1.765E1)); +#50791=CARTESIAN_POINT('',(5.11E1,8.3E-1,-1.795E1)); +#50792=VERTEX_POINT('',#50790); +#50793=VERTEX_POINT('',#50791); +#50794=CARTESIAN_POINT('',(5.14E1,1.93E0,-1.765E1)); +#50795=CARTESIAN_POINT('',(5.11E1,2.23E0,-1.795E1)); +#50796=VERTEX_POINT('',#50794); +#50797=VERTEX_POINT('',#50795); +#50798=CARTESIAN_POINT('',(5.3E1,1.93E0,-1.765E1)); +#50799=CARTESIAN_POINT('',(5.33E1,2.23E0,-1.795E1)); +#50800=VERTEX_POINT('',#50798); +#50801=VERTEX_POINT('',#50799); +#50802=CARTESIAN_POINT('',(5.33E1,8.3E-1,-1.795E1)); +#50803=CARTESIAN_POINT('',(5.3E1,1.13E0,-1.765E1)); +#50804=VERTEX_POINT('',#50802); +#50805=VERTEX_POINT('',#50803); +#50806=CARTESIAN_POINT('',(2.96E1,2.404977327052E0,-1.995E1)); +#50807=VERTEX_POINT('',#50806); +#50808=CARTESIAN_POINT('',(3.18E1,2.404977327052E0,-1.995E1)); +#50809=VERTEX_POINT('',#50808); +#50810=CARTESIAN_POINT('',(2.965E1,7.077654916055E0,-1.995E1)); +#50811=CARTESIAN_POINT('',(3.175E1,7.077654916055E0,-1.995E1)); +#50812=VERTEX_POINT('',#50810); +#50813=VERTEX_POINT('',#50811); +#50814=CARTESIAN_POINT('',(2.96E1,9.114936490539E0,-1.9E1)); +#50815=CARTESIAN_POINT('',(2.96E1,1.121514332974E1,-1.9E1)); +#50816=VERTEX_POINT('',#50814); +#50817=VERTEX_POINT('',#50815); +#50818=CARTESIAN_POINT('',(3.18E1,9.114936490539E0,-1.9E1)); +#50819=CARTESIAN_POINT('',(3.18E1,1.121514332974E1,-1.9E1)); +#50820=VERTEX_POINT('',#50818); +#50821=VERTEX_POINT('',#50819); +#50822=CARTESIAN_POINT('',(2.96E1,7.199986605899E0,-1.989295579619E1)); +#50823=VERTEX_POINT('',#50822); +#50824=CARTESIAN_POINT('',(3.18E1,7.199986605899E0,-1.989295579619E1)); +#50825=VERTEX_POINT('',#50824); +#50826=CARTESIAN_POINT('',(2.965E1,7.197627763800E0,-1.986599410763E1)); +#50827=VERTEX_POINT('',#50826); +#50828=CARTESIAN_POINT('',(3.175E1,7.197627763800E0,-1.986599410763E1)); +#50829=VERTEX_POINT('',#50828); +#50830=CARTESIAN_POINT('',(3.04E1,1.0645E1,-1.765E1)); +#50831=CARTESIAN_POINT('',(3.04E1,1.0015E1,-1.765E1)); +#50832=VERTEX_POINT('',#50830); +#50833=VERTEX_POINT('',#50831); +#50834=CARTESIAN_POINT('',(3.1E1,1.0015E1,-1.765E1)); +#50835=VERTEX_POINT('',#50834); +#50836=CARTESIAN_POINT('',(3.1E1,1.0645E1,-1.765E1)); +#50837=VERTEX_POINT('',#50836); +#50838=CARTESIAN_POINT('',(3.04E1,1.845E0,-1.765E1)); +#50839=CARTESIAN_POINT('',(3.04E1,1.215E0,-1.765E1)); +#50840=VERTEX_POINT('',#50838); +#50841=VERTEX_POINT('',#50839); +#50842=CARTESIAN_POINT('',(3.1E1,1.215E0,-1.765E1)); +#50843=VERTEX_POINT('',#50842); +#50844=CARTESIAN_POINT('',(3.1E1,1.845E0,-1.765E1)); +#50845=VERTEX_POINT('',#50844); +#50846=CARTESIAN_POINT('',(3.39E1,2.404977327052E0,-1.995E1)); +#50847=VERTEX_POINT('',#50846); +#50848=CARTESIAN_POINT('',(3.61E1,2.404977327052E0,-1.995E1)); +#50849=VERTEX_POINT('',#50848); +#50850=CARTESIAN_POINT('',(3.395E1,7.077654916055E0,-1.995E1)); +#50851=CARTESIAN_POINT('',(3.605E1,7.077654916055E0,-1.995E1)); +#50852=VERTEX_POINT('',#50850); +#50853=VERTEX_POINT('',#50851); +#50854=CARTESIAN_POINT('',(3.39E1,9.114936490539E0,-1.9E1)); +#50855=CARTESIAN_POINT('',(3.39E1,1.121514332974E1,-1.9E1)); +#50856=VERTEX_POINT('',#50854); +#50857=VERTEX_POINT('',#50855); +#50858=CARTESIAN_POINT('',(3.61E1,9.114936490539E0,-1.9E1)); +#50859=CARTESIAN_POINT('',(3.61E1,1.121514332974E1,-1.9E1)); +#50860=VERTEX_POINT('',#50858); +#50861=VERTEX_POINT('',#50859); +#50862=CARTESIAN_POINT('',(3.39E1,7.199986605899E0,-1.989295579619E1)); +#50863=VERTEX_POINT('',#50862); +#50864=CARTESIAN_POINT('',(3.61E1,7.199986605899E0,-1.989295579619E1)); +#50865=VERTEX_POINT('',#50864); +#50866=CARTESIAN_POINT('',(3.395E1,7.197627763800E0,-1.986599410763E1)); +#50867=VERTEX_POINT('',#50866); +#50868=CARTESIAN_POINT('',(3.605E1,7.197627763800E0,-1.986599410763E1)); +#50869=VERTEX_POINT('',#50868); +#50870=CARTESIAN_POINT('',(3.47E1,1.0645E1,-1.765E1)); +#50871=CARTESIAN_POINT('',(3.47E1,1.0015E1,-1.765E1)); +#50872=VERTEX_POINT('',#50870); +#50873=VERTEX_POINT('',#50871); +#50874=CARTESIAN_POINT('',(3.53E1,1.0015E1,-1.765E1)); +#50875=VERTEX_POINT('',#50874); +#50876=CARTESIAN_POINT('',(3.53E1,1.0645E1,-1.765E1)); +#50877=VERTEX_POINT('',#50876); +#50878=CARTESIAN_POINT('',(3.47E1,1.845E0,-1.765E1)); +#50879=CARTESIAN_POINT('',(3.47E1,1.215E0,-1.765E1)); +#50880=VERTEX_POINT('',#50878); +#50881=VERTEX_POINT('',#50879); +#50882=CARTESIAN_POINT('',(3.53E1,1.215E0,-1.765E1)); +#50883=VERTEX_POINT('',#50882); +#50884=CARTESIAN_POINT('',(3.53E1,1.845E0,-1.765E1)); +#50885=VERTEX_POINT('',#50884); +#50886=CARTESIAN_POINT('',(3.82E1,2.404977327052E0,-1.995E1)); +#50887=VERTEX_POINT('',#50886); +#50888=CARTESIAN_POINT('',(4.04E1,2.404977327052E0,-1.995E1)); +#50889=VERTEX_POINT('',#50888); +#50890=CARTESIAN_POINT('',(3.825E1,7.077654916055E0,-1.995E1)); +#50891=CARTESIAN_POINT('',(4.035E1,7.077654916055E0,-1.995E1)); +#50892=VERTEX_POINT('',#50890); +#50893=VERTEX_POINT('',#50891); +#50894=CARTESIAN_POINT('',(3.82E1,9.114936490539E0,-1.9E1)); +#50895=CARTESIAN_POINT('',(3.82E1,1.121514332974E1,-1.9E1)); +#50896=VERTEX_POINT('',#50894); +#50897=VERTEX_POINT('',#50895); +#50898=CARTESIAN_POINT('',(4.04E1,9.114936490539E0,-1.9E1)); +#50899=CARTESIAN_POINT('',(4.04E1,1.121514332974E1,-1.9E1)); +#50900=VERTEX_POINT('',#50898); +#50901=VERTEX_POINT('',#50899); +#50902=CARTESIAN_POINT('',(3.82E1,7.199986605899E0,-1.989295579619E1)); +#50903=VERTEX_POINT('',#50902); +#50904=CARTESIAN_POINT('',(4.04E1,7.199986605899E0,-1.989295579619E1)); +#50905=VERTEX_POINT('',#50904); +#50906=CARTESIAN_POINT('',(3.825E1,7.197627763800E0,-1.986599410763E1)); +#50907=VERTEX_POINT('',#50906); +#50908=CARTESIAN_POINT('',(4.035E1,7.197627763800E0,-1.986599410763E1)); +#50909=VERTEX_POINT('',#50908); +#50910=CARTESIAN_POINT('',(3.9E1,1.0645E1,-1.765E1)); +#50911=CARTESIAN_POINT('',(3.9E1,1.0015E1,-1.765E1)); +#50912=VERTEX_POINT('',#50910); +#50913=VERTEX_POINT('',#50911); +#50914=CARTESIAN_POINT('',(3.96E1,1.0015E1,-1.765E1)); +#50915=VERTEX_POINT('',#50914); +#50916=CARTESIAN_POINT('',(3.96E1,1.0645E1,-1.765E1)); +#50917=VERTEX_POINT('',#50916); +#50918=CARTESIAN_POINT('',(3.9E1,1.845E0,-1.765E1)); +#50919=CARTESIAN_POINT('',(3.9E1,1.215E0,-1.765E1)); +#50920=VERTEX_POINT('',#50918); +#50921=VERTEX_POINT('',#50919); +#50922=CARTESIAN_POINT('',(3.96E1,1.215E0,-1.765E1)); +#50923=VERTEX_POINT('',#50922); +#50924=CARTESIAN_POINT('',(3.96E1,1.845E0,-1.765E1)); +#50925=VERTEX_POINT('',#50924); +#50926=CARTESIAN_POINT('',(4.25E1,2.404977327052E0,-1.995E1)); +#50927=VERTEX_POINT('',#50926); +#50928=CARTESIAN_POINT('',(4.47E1,2.404977327052E0,-1.995E1)); +#50929=VERTEX_POINT('',#50928); +#50930=CARTESIAN_POINT('',(4.255E1,7.077654916055E0,-1.995E1)); +#50931=CARTESIAN_POINT('',(4.465E1,7.077654916055E0,-1.995E1)); +#50932=VERTEX_POINT('',#50930); +#50933=VERTEX_POINT('',#50931); +#50934=CARTESIAN_POINT('',(4.25E1,9.114936490539E0,-1.9E1)); +#50935=CARTESIAN_POINT('',(4.25E1,1.121514332974E1,-1.9E1)); +#50936=VERTEX_POINT('',#50934); +#50937=VERTEX_POINT('',#50935); +#50938=CARTESIAN_POINT('',(4.47E1,9.114936490539E0,-1.9E1)); +#50939=CARTESIAN_POINT('',(4.47E1,1.121514332974E1,-1.9E1)); +#50940=VERTEX_POINT('',#50938); +#50941=VERTEX_POINT('',#50939); +#50942=CARTESIAN_POINT('',(4.25E1,7.199986605899E0,-1.989295579619E1)); +#50943=VERTEX_POINT('',#50942); +#50944=CARTESIAN_POINT('',(4.47E1,7.199986605899E0,-1.989295579619E1)); +#50945=VERTEX_POINT('',#50944); +#50946=CARTESIAN_POINT('',(4.255E1,7.197627763800E0,-1.986599410763E1)); +#50947=VERTEX_POINT('',#50946); +#50948=CARTESIAN_POINT('',(4.465E1,7.197627763800E0,-1.986599410763E1)); +#50949=VERTEX_POINT('',#50948); +#50950=CARTESIAN_POINT('',(4.33E1,1.0645E1,-1.765E1)); +#50951=CARTESIAN_POINT('',(4.33E1,1.0015E1,-1.765E1)); +#50952=VERTEX_POINT('',#50950); +#50953=VERTEX_POINT('',#50951); +#50954=CARTESIAN_POINT('',(4.39E1,1.0015E1,-1.765E1)); +#50955=VERTEX_POINT('',#50954); +#50956=CARTESIAN_POINT('',(4.39E1,1.0645E1,-1.765E1)); +#50957=VERTEX_POINT('',#50956); +#50958=CARTESIAN_POINT('',(4.33E1,1.845E0,-1.765E1)); +#50959=CARTESIAN_POINT('',(4.33E1,1.215E0,-1.765E1)); +#50960=VERTEX_POINT('',#50958); +#50961=VERTEX_POINT('',#50959); +#50962=CARTESIAN_POINT('',(4.39E1,1.215E0,-1.765E1)); +#50963=VERTEX_POINT('',#50962); +#50964=CARTESIAN_POINT('',(4.39E1,1.845E0,-1.765E1)); +#50965=VERTEX_POINT('',#50964); +#50966=CARTESIAN_POINT('',(4.68E1,2.404977327052E0,-1.995E1)); +#50967=VERTEX_POINT('',#50966); +#50968=CARTESIAN_POINT('',(4.9E1,2.404977327052E0,-1.995E1)); +#50969=VERTEX_POINT('',#50968); +#50970=CARTESIAN_POINT('',(4.685E1,7.077654916055E0,-1.995E1)); +#50971=CARTESIAN_POINT('',(4.895E1,7.077654916055E0,-1.995E1)); +#50972=VERTEX_POINT('',#50970); +#50973=VERTEX_POINT('',#50971); +#50974=CARTESIAN_POINT('',(4.68E1,9.114936490539E0,-1.9E1)); +#50975=CARTESIAN_POINT('',(4.68E1,1.121514332974E1,-1.9E1)); +#50976=VERTEX_POINT('',#50974); +#50977=VERTEX_POINT('',#50975); +#50978=CARTESIAN_POINT('',(4.9E1,9.114936490539E0,-1.9E1)); +#50979=CARTESIAN_POINT('',(4.9E1,1.121514332974E1,-1.9E1)); +#50980=VERTEX_POINT('',#50978); +#50981=VERTEX_POINT('',#50979); +#50982=CARTESIAN_POINT('',(4.68E1,7.199986605899E0,-1.989295579619E1)); +#50983=VERTEX_POINT('',#50982); +#50984=CARTESIAN_POINT('',(4.9E1,7.199986605899E0,-1.989295579619E1)); +#50985=VERTEX_POINT('',#50984); +#50986=CARTESIAN_POINT('',(4.685E1,7.197627763800E0,-1.986599410763E1)); +#50987=VERTEX_POINT('',#50986); +#50988=CARTESIAN_POINT('',(4.895E1,7.197627763800E0,-1.986599410763E1)); +#50989=VERTEX_POINT('',#50988); +#50990=CARTESIAN_POINT('',(4.76E1,1.0645E1,-1.765E1)); +#50991=CARTESIAN_POINT('',(4.76E1,1.0015E1,-1.765E1)); +#50992=VERTEX_POINT('',#50990); +#50993=VERTEX_POINT('',#50991); +#50994=CARTESIAN_POINT('',(4.82E1,1.0015E1,-1.765E1)); +#50995=VERTEX_POINT('',#50994); +#50996=CARTESIAN_POINT('',(4.82E1,1.0645E1,-1.765E1)); +#50997=VERTEX_POINT('',#50996); +#50998=CARTESIAN_POINT('',(4.76E1,1.845E0,-1.765E1)); +#50999=CARTESIAN_POINT('',(4.76E1,1.215E0,-1.765E1)); +#51000=VERTEX_POINT('',#50998); +#51001=VERTEX_POINT('',#50999); +#51002=CARTESIAN_POINT('',(4.82E1,1.215E0,-1.765E1)); +#51003=VERTEX_POINT('',#51002); +#51004=CARTESIAN_POINT('',(4.82E1,1.845E0,-1.765E1)); +#51005=VERTEX_POINT('',#51004); +#51006=CARTESIAN_POINT('',(5.11E1,2.404977327052E0,-1.995E1)); +#51007=VERTEX_POINT('',#51006); +#51008=CARTESIAN_POINT('',(5.33E1,2.404977327052E0,-1.995E1)); +#51009=VERTEX_POINT('',#51008); +#51010=CARTESIAN_POINT('',(5.115E1,7.077654916055E0,-1.995E1)); +#51011=VERTEX_POINT('',#51010); +#51012=CARTESIAN_POINT('',(5.11E1,9.114936490539E0,-1.9E1)); +#51013=CARTESIAN_POINT('',(5.11E1,1.121514332974E1,-1.9E1)); +#51014=VERTEX_POINT('',#51012); +#51015=VERTEX_POINT('',#51013); +#51016=CARTESIAN_POINT('',(5.33E1,9.114936490539E0,-1.9E1)); +#51017=CARTESIAN_POINT('',(5.33E1,1.121514332974E1,-1.9E1)); +#51018=VERTEX_POINT('',#51016); +#51019=VERTEX_POINT('',#51017); +#51020=CARTESIAN_POINT('',(5.11E1,7.199986605899E0,-1.989295579619E1)); +#51021=VERTEX_POINT('',#51020); +#51022=CARTESIAN_POINT('',(5.115E1,7.197627763800E0,-1.986599410763E1)); +#51023=VERTEX_POINT('',#51022); +#51024=CARTESIAN_POINT('',(5.19E1,1.0645E1,-1.765E1)); +#51025=CARTESIAN_POINT('',(5.19E1,1.0015E1,-1.765E1)); +#51026=VERTEX_POINT('',#51024); +#51027=VERTEX_POINT('',#51025); +#51028=CARTESIAN_POINT('',(5.25E1,1.0015E1,-1.765E1)); +#51029=VERTEX_POINT('',#51028); +#51030=CARTESIAN_POINT('',(5.25E1,1.0645E1,-1.765E1)); +#51031=VERTEX_POINT('',#51030); +#51032=CARTESIAN_POINT('',(5.19E1,1.845E0,-1.765E1)); +#51033=CARTESIAN_POINT('',(5.19E1,1.215E0,-1.765E1)); +#51034=VERTEX_POINT('',#51032); +#51035=VERTEX_POINT('',#51033); +#51036=CARTESIAN_POINT('',(5.25E1,1.215E0,-1.765E1)); +#51037=VERTEX_POINT('',#51036); +#51038=CARTESIAN_POINT('',(5.25E1,1.845E0,-1.765E1)); +#51039=VERTEX_POINT('',#51038); +#51040=CARTESIAN_POINT('',(-4.13E1,4.815E0,-2.9265E1)); +#51041=CARTESIAN_POINT('',(-4.13E1,3.815E0,-3.0265E1)); +#51042=VERTEX_POINT('',#51040); +#51043=VERTEX_POINT('',#51041); +#51044=CARTESIAN_POINT('',(-4.13E1,3.815E0,-3.0895E1)); +#51045=CARTESIAN_POINT('',(-4.13E1,5.445E0,-2.9265E1)); +#51046=VERTEX_POINT('',#51044); +#51047=VERTEX_POINT('',#51045); +#51048=CARTESIAN_POINT('',(-4.13E1,-2.785E0,-2.4685E1)); +#51049=CARTESIAN_POINT('',(-4.13E1,-3.285E0,-2.5185E1)); +#51050=VERTEX_POINT('',#51048); +#51051=VERTEX_POINT('',#51049); +#51052=CARTESIAN_POINT('',(-4.13E1,-3.285E0,-2.5815E1)); +#51053=CARTESIAN_POINT('',(-4.13E1,-2.155E0,-2.4685E1)); +#51054=VERTEX_POINT('',#51052); +#51055=VERTEX_POINT('',#51053); +#51056=CARTESIAN_POINT('',(-4.04E1,4.815E0,-2.9265E1)); +#51057=CARTESIAN_POINT('',(-4.04E1,3.815E0,-3.0265E1)); +#51058=VERTEX_POINT('',#51056); +#51059=VERTEX_POINT('',#51057); +#51060=CARTESIAN_POINT('',(-4.04E1,3.815E0,-3.0895E1)); +#51061=CARTESIAN_POINT('',(-4.04E1,5.445E0,-2.9265E1)); +#51062=VERTEX_POINT('',#51060); +#51063=VERTEX_POINT('',#51061); +#51064=CARTESIAN_POINT('',(-4.04E1,-2.785E0,-2.4685E1)); +#51065=CARTESIAN_POINT('',(-4.04E1,-3.285E0,-2.5185E1)); +#51066=VERTEX_POINT('',#51064); +#51067=VERTEX_POINT('',#51065); +#51068=CARTESIAN_POINT('',(-4.04E1,-3.285E0,-2.5815E1)); +#51069=CARTESIAN_POINT('',(-4.04E1,-2.155E0,-2.4685E1)); +#51070=VERTEX_POINT('',#51068); +#51071=VERTEX_POINT('',#51069); +#51072=CARTESIAN_POINT('',(-4.13E1,-2.785E0,-2.36E1)); +#51073=CARTESIAN_POINT('',(-4.13E1,-2.155E0,-2.36E1)); +#51074=VERTEX_POINT('',#51072); +#51075=VERTEX_POINT('',#51073); +#51076=CARTESIAN_POINT('',(-4.04E1,-2.785E0,-2.36E1)); +#51077=CARTESIAN_POINT('',(-4.04E1,-2.155E0,-2.36E1)); +#51078=VERTEX_POINT('',#51076); +#51079=VERTEX_POINT('',#51077); +#51080=CARTESIAN_POINT('',(-4.13E1,4.815E0,-2.584E1)); +#51081=CARTESIAN_POINT('',(-4.13E1,5.445E0,-2.584E1)); +#51082=VERTEX_POINT('',#51080); +#51083=VERTEX_POINT('',#51081); +#51084=CARTESIAN_POINT('',(-4.04E1,4.815E0,-2.584E1)); +#51085=CARTESIAN_POINT('',(-4.04E1,5.445E0,-2.584E1)); +#51086=VERTEX_POINT('',#51084); +#51087=VERTEX_POINT('',#51085); +#51088=CARTESIAN_POINT('',(-3.915E1,-2.785E0,-2.4685E1)); +#51089=CARTESIAN_POINT('',(-3.915E1,-3.285E0,-2.5185E1)); +#51090=VERTEX_POINT('',#51088); +#51091=VERTEX_POINT('',#51089); +#51092=CARTESIAN_POINT('',(-3.915E1,-3.285E0,-2.5815E1)); +#51093=CARTESIAN_POINT('',(-3.915E1,-2.155E0,-2.4685E1)); +#51094=VERTEX_POINT('',#51092); +#51095=VERTEX_POINT('',#51093); +#51096=CARTESIAN_POINT('',(-3.915E1,4.815E0,-2.6725E1)); +#51097=CARTESIAN_POINT('',(-3.915E1,3.815E0,-2.7725E1)); +#51098=VERTEX_POINT('',#51096); +#51099=VERTEX_POINT('',#51097); +#51100=CARTESIAN_POINT('',(-3.915E1,3.815E0,-2.8355E1)); +#51101=CARTESIAN_POINT('',(-3.915E1,5.445E0,-2.6725E1)); +#51102=VERTEX_POINT('',#51100); +#51103=VERTEX_POINT('',#51101); +#51104=CARTESIAN_POINT('',(-3.825E1,-2.785E0,-2.4685E1)); +#51105=CARTESIAN_POINT('',(-3.825E1,-3.285E0,-2.5185E1)); +#51106=VERTEX_POINT('',#51104); +#51107=VERTEX_POINT('',#51105); +#51108=CARTESIAN_POINT('',(-3.825E1,-3.285E0,-2.5815E1)); +#51109=CARTESIAN_POINT('',(-3.825E1,-2.155E0,-2.4685E1)); +#51110=VERTEX_POINT('',#51108); +#51111=VERTEX_POINT('',#51109); +#51112=CARTESIAN_POINT('',(-3.825E1,4.815E0,-2.6725E1)); +#51113=CARTESIAN_POINT('',(-3.825E1,3.815E0,-2.7725E1)); +#51114=VERTEX_POINT('',#51112); +#51115=VERTEX_POINT('',#51113); +#51116=CARTESIAN_POINT('',(-3.825E1,3.815E0,-2.8355E1)); +#51117=CARTESIAN_POINT('',(-3.825E1,5.445E0,-2.6725E1)); +#51118=VERTEX_POINT('',#51116); +#51119=VERTEX_POINT('',#51117); +#51120=CARTESIAN_POINT('',(-3.915E1,-2.785E0,-2.36E1)); +#51121=CARTESIAN_POINT('',(-3.915E1,-2.155E0,-2.36E1)); +#51122=VERTEX_POINT('',#51120); +#51123=VERTEX_POINT('',#51121); +#51124=CARTESIAN_POINT('',(-3.825E1,-2.785E0,-2.36E1)); +#51125=CARTESIAN_POINT('',(-3.825E1,-2.155E0,-2.36E1)); +#51126=VERTEX_POINT('',#51124); +#51127=VERTEX_POINT('',#51125); +#51128=CARTESIAN_POINT('',(-3.915E1,4.815E0,-2.584E1)); +#51129=CARTESIAN_POINT('',(-3.915E1,5.445E0,-2.584E1)); +#51130=VERTEX_POINT('',#51128); +#51131=VERTEX_POINT('',#51129); +#51132=CARTESIAN_POINT('',(-3.825E1,4.815E0,-2.584E1)); +#51133=CARTESIAN_POINT('',(-3.825E1,5.445E0,-2.584E1)); +#51134=VERTEX_POINT('',#51132); +#51135=VERTEX_POINT('',#51133); +#51136=CARTESIAN_POINT('',(-4.92E1,-1.72E1,-3.33075E1)); +#51137=CARTESIAN_POINT('',(-4.92E1,-1.35E1,-3.33075E1)); +#51138=VERTEX_POINT('',#51136); +#51139=VERTEX_POINT('',#51137); +#51140=CARTESIAN_POINT('',(-5.E1,-1.72E1,-3.33075E1)); +#51141=CARTESIAN_POINT('',(-5.E1,-1.35E1,-3.33075E1)); +#51142=VERTEX_POINT('',#51140); +#51143=VERTEX_POINT('',#51141); +#51144=CARTESIAN_POINT('',(-4.92E1,-1.72E1,-3.26925E1)); +#51145=VERTEX_POINT('',#51144); +#51146=CARTESIAN_POINT('',(-5.E1,-1.72E1,-3.26925E1)); +#51147=VERTEX_POINT('',#51146); +#51148=CARTESIAN_POINT('',(-4.92E1,-1.35E1,-3.26925E1)); +#51149=VERTEX_POINT('',#51148); +#51150=CARTESIAN_POINT('',(-5.E1,-1.35E1,-3.26925E1)); +#51151=VERTEX_POINT('',#51150); +#51152=CARTESIAN_POINT('',(-5.65E1,-1.72E1,-3.33075E1)); +#51153=CARTESIAN_POINT('',(-5.65E1,-1.35E1,-3.33075E1)); +#51154=VERTEX_POINT('',#51152); +#51155=VERTEX_POINT('',#51153); +#51156=CARTESIAN_POINT('',(-5.57E1,-1.72E1,-3.33075E1)); +#51157=CARTESIAN_POINT('',(-5.57E1,-1.35E1,-3.33075E1)); +#51158=VERTEX_POINT('',#51156); +#51159=VERTEX_POINT('',#51157); +#51160=CARTESIAN_POINT('',(-5.65E1,-1.72E1,-3.26925E1)); +#51161=VERTEX_POINT('',#51160); +#51162=CARTESIAN_POINT('',(-5.57E1,-1.72E1,-3.26925E1)); +#51163=VERTEX_POINT('',#51162); +#51164=CARTESIAN_POINT('',(-5.65E1,-1.35E1,-3.26925E1)); +#51165=VERTEX_POINT('',#51164); +#51166=CARTESIAN_POINT('',(-5.57E1,-1.35E1,-3.26925E1)); +#51167=VERTEX_POINT('',#51166); +#51168=CARTESIAN_POINT('',(-4.92E1,-1.12E1,-3.33075E1)); +#51169=CARTESIAN_POINT('',(-5.E1,-1.12E1,-3.33075E1)); +#51170=VERTEX_POINT('',#51168); +#51171=VERTEX_POINT('',#51169); +#51172=CARTESIAN_POINT('',(-4.92E1,-1.12E1,-3.26925E1)); +#51173=CARTESIAN_POINT('',(-5.E1,-1.12E1,-3.26925E1)); +#51174=VERTEX_POINT('',#51172); +#51175=VERTEX_POINT('',#51173); +#51176=CARTESIAN_POINT('',(-4.895E1,-1.076698729811E1,-3.33075E1)); +#51177=VERTEX_POINT('',#51176); +#51178=CARTESIAN_POINT('',(-5.025E1,-1.076698729811E1,-3.33075E1)); +#51179=VERTEX_POINT('',#51178); +#51180=CARTESIAN_POINT('',(-5.57E1,-1.12E1,-3.33075E1)); +#51181=CARTESIAN_POINT('',(-5.65E1,-1.12E1,-3.33075E1)); +#51182=VERTEX_POINT('',#51180); +#51183=VERTEX_POINT('',#51181); +#51184=CARTESIAN_POINT('',(-5.57E1,-1.12E1,-3.26925E1)); +#51185=CARTESIAN_POINT('',(-5.65E1,-1.12E1,-3.26925E1)); +#51186=VERTEX_POINT('',#51184); +#51187=VERTEX_POINT('',#51185); +#51188=CARTESIAN_POINT('',(-5.545E1,-1.076698729811E1,-3.33075E1)); +#51189=VERTEX_POINT('',#51188); +#51190=CARTESIAN_POINT('',(-5.675E1,-1.076698729811E1,-3.33075E1)); +#51191=VERTEX_POINT('',#51190); +#51192=CARTESIAN_POINT('',(-4.895E1,-1.076698729811E1,-3.26925E1)); +#51193=VERTEX_POINT('',#51192); +#51194=CARTESIAN_POINT('',(-5.025E1,-1.076698729811E1,-3.26925E1)); +#51195=VERTEX_POINT('',#51194); +#51196=CARTESIAN_POINT('',(-5.545E1,-1.076698729811E1,-3.26925E1)); +#51197=VERTEX_POINT('',#51196); +#51198=CARTESIAN_POINT('',(-5.675E1,-1.076698729811E1,-3.26925E1)); +#51199=VERTEX_POINT('',#51198); +#51200=CARTESIAN_POINT('',(-4.57E1,-1.076698729811E1,-2.58075E1)); +#51201=CARTESIAN_POINT('',(-4.57E1,-1.076698729811E1,-2.51925E1)); +#51202=VERTEX_POINT('',#51200); +#51203=VERTEX_POINT('',#51201); +#51204=CARTESIAN_POINT('',(-4.7E1,-1.076698729811E1,-2.58075E1)); +#51205=CARTESIAN_POINT('',(-4.7E1,-1.076698729811E1,-2.51925E1)); +#51206=VERTEX_POINT('',#51204); +#51207=VERTEX_POINT('',#51205); +#51208=CARTESIAN_POINT('',(-4.595E1,-1.72E1,-2.58075E1)); +#51209=CARTESIAN_POINT('',(-4.595E1,-1.35E1,-2.58075E1)); +#51210=VERTEX_POINT('',#51208); +#51211=VERTEX_POINT('',#51209); +#51212=CARTESIAN_POINT('',(-4.595E1,-1.12E1,-2.58075E1)); +#51213=VERTEX_POINT('',#51212); +#51214=CARTESIAN_POINT('',(-4.675E1,-1.72E1,-2.58075E1)); +#51215=CARTESIAN_POINT('',(-4.675E1,-1.35E1,-2.58075E1)); +#51216=VERTEX_POINT('',#51214); +#51217=VERTEX_POINT('',#51215); +#51218=CARTESIAN_POINT('',(-4.675E1,-1.12E1,-2.58075E1)); +#51219=VERTEX_POINT('',#51218); +#51220=CARTESIAN_POINT('',(-4.595E1,-1.72E1,-2.51925E1)); +#51221=VERTEX_POINT('',#51220); +#51222=CARTESIAN_POINT('',(-4.675E1,-1.72E1,-2.51925E1)); +#51223=VERTEX_POINT('',#51222); +#51224=CARTESIAN_POINT('',(-4.595E1,-1.35E1,-2.51925E1)); +#51225=VERTEX_POINT('',#51224); +#51226=CARTESIAN_POINT('',(-4.595E1,-1.12E1,-2.51925E1)); +#51227=VERTEX_POINT('',#51226); +#51228=CARTESIAN_POINT('',(-4.675E1,-1.35E1,-2.51925E1)); +#51229=VERTEX_POINT('',#51228); +#51230=CARTESIAN_POINT('',(-4.675E1,-1.12E1,-2.51925E1)); +#51231=VERTEX_POINT('',#51230); +#51232=CARTESIAN_POINT('',(-5.22E1,-1.076698729811E1,-2.58075E1)); +#51233=CARTESIAN_POINT('',(-5.22E1,-1.076698729811E1,-2.51925E1)); +#51234=VERTEX_POINT('',#51232); +#51235=VERTEX_POINT('',#51233); +#51236=CARTESIAN_POINT('',(-5.35E1,-1.076698729811E1,-2.58075E1)); +#51237=CARTESIAN_POINT('',(-5.35E1,-1.076698729811E1,-2.51925E1)); +#51238=VERTEX_POINT('',#51236); +#51239=VERTEX_POINT('',#51237); +#51240=CARTESIAN_POINT('',(-5.325E1,-1.72E1,-2.58075E1)); +#51241=CARTESIAN_POINT('',(-5.325E1,-1.35E1,-2.58075E1)); +#51242=VERTEX_POINT('',#51240); +#51243=VERTEX_POINT('',#51241); +#51244=CARTESIAN_POINT('',(-5.325E1,-1.12E1,-2.58075E1)); +#51245=VERTEX_POINT('',#51244); +#51246=CARTESIAN_POINT('',(-5.245E1,-1.72E1,-2.58075E1)); +#51247=CARTESIAN_POINT('',(-5.245E1,-1.35E1,-2.58075E1)); +#51248=VERTEX_POINT('',#51246); +#51249=VERTEX_POINT('',#51247); +#51250=CARTESIAN_POINT('',(-5.245E1,-1.12E1,-2.58075E1)); +#51251=VERTEX_POINT('',#51250); +#51252=CARTESIAN_POINT('',(-5.325E1,-1.72E1,-2.51925E1)); +#51253=VERTEX_POINT('',#51252); +#51254=CARTESIAN_POINT('',(-5.245E1,-1.72E1,-2.51925E1)); +#51255=VERTEX_POINT('',#51254); +#51256=CARTESIAN_POINT('',(-5.325E1,-1.35E1,-2.51925E1)); +#51257=VERTEX_POINT('',#51256); +#51258=CARTESIAN_POINT('',(-5.325E1,-1.12E1,-2.51925E1)); +#51259=VERTEX_POINT('',#51258); +#51260=CARTESIAN_POINT('',(-5.245E1,-1.35E1,-2.51925E1)); +#51261=VERTEX_POINT('',#51260); +#51262=CARTESIAN_POINT('',(-5.245E1,-1.12E1,-2.51925E1)); +#51263=VERTEX_POINT('',#51262); +#51264=CARTESIAN_POINT('',(-4.13E1,-1.094019237886E1,-3.0895E1)); +#51265=CARTESIAN_POINT('',(-4.13E1,-1.094019237886E1,-3.0265E1)); +#51266=VERTEX_POINT('',#51264); +#51267=VERTEX_POINT('',#51265); +#51268=CARTESIAN_POINT('',(-4.13E1,-1.094019237886E1,-2.5815E1)); +#51269=CARTESIAN_POINT('',(-4.13E1,-1.094019237886E1,-2.5185E1)); +#51270=VERTEX_POINT('',#51268); +#51271=VERTEX_POINT('',#51269); +#51272=CARTESIAN_POINT('',(-4.04E1,-1.094019237886E1,-3.0895E1)); +#51273=CARTESIAN_POINT('',(-4.04E1,-1.094019237886E1,-3.0265E1)); +#51274=VERTEX_POINT('',#51272); +#51275=VERTEX_POINT('',#51273); +#51276=CARTESIAN_POINT('',(-4.04E1,-1.094019237886E1,-2.5815E1)); +#51277=CARTESIAN_POINT('',(-4.04E1,-1.094019237886E1,-2.5185E1)); +#51278=VERTEX_POINT('',#51276); +#51279=VERTEX_POINT('',#51277); +#51280=CARTESIAN_POINT('',(-3.915E1,-1.094019237886E1,-2.8355E1)); +#51281=CARTESIAN_POINT('',(-3.915E1,-1.094019237886E1,-2.7725E1)); +#51282=VERTEX_POINT('',#51280); +#51283=VERTEX_POINT('',#51281); +#51284=CARTESIAN_POINT('',(-3.915E1,-1.094019237886E1,-2.5815E1)); +#51285=CARTESIAN_POINT('',(-3.915E1,-1.094019237886E1,-2.5185E1)); +#51286=VERTEX_POINT('',#51284); +#51287=VERTEX_POINT('',#51285); +#51288=CARTESIAN_POINT('',(-3.825E1,-1.094019237886E1,-2.8355E1)); +#51289=CARTESIAN_POINT('',(-3.825E1,-1.094019237886E1,-2.7725E1)); +#51290=VERTEX_POINT('',#51288); +#51291=VERTEX_POINT('',#51289); +#51292=CARTESIAN_POINT('',(-3.825E1,-1.094019237886E1,-2.5815E1)); +#51293=CARTESIAN_POINT('',(-3.825E1,-1.094019237886E1,-2.5185E1)); +#51294=VERTEX_POINT('',#51292); +#51295=VERTEX_POINT('',#51293); +#51296=CARTESIAN_POINT('',(-4.115E1,-1.72E1,-3.0265E1)); +#51297=CARTESIAN_POINT('',(-4.115E1,-1.35E1,-3.0265E1)); +#51298=VERTEX_POINT('',#51296); +#51299=VERTEX_POINT('',#51297); +#51300=CARTESIAN_POINT('',(-4.115E1,-1.12E1,-3.0265E1)); +#51301=VERTEX_POINT('',#51300); +#51302=CARTESIAN_POINT('',(-4.055E1,-1.72E1,-3.0265E1)); +#51303=CARTESIAN_POINT('',(-4.055E1,-1.35E1,-3.0265E1)); +#51304=VERTEX_POINT('',#51302); +#51305=VERTEX_POINT('',#51303); +#51306=CARTESIAN_POINT('',(-4.055E1,-1.12E1,-3.0265E1)); +#51307=VERTEX_POINT('',#51306); +#51308=CARTESIAN_POINT('',(-4.115E1,-1.72E1,-3.0895E1)); +#51309=VERTEX_POINT('',#51308); +#51310=CARTESIAN_POINT('',(-4.055E1,-1.72E1,-3.0895E1)); +#51311=VERTEX_POINT('',#51310); +#51312=CARTESIAN_POINT('',(-4.115E1,-1.35E1,-3.0895E1)); +#51313=VERTEX_POINT('',#51312); +#51314=CARTESIAN_POINT('',(-4.115E1,-1.12E1,-3.0895E1)); +#51315=VERTEX_POINT('',#51314); +#51316=CARTESIAN_POINT('',(-4.055E1,-1.35E1,-3.0895E1)); +#51317=VERTEX_POINT('',#51316); +#51318=CARTESIAN_POINT('',(-4.055E1,-1.12E1,-3.0895E1)); +#51319=VERTEX_POINT('',#51318); +#51320=CARTESIAN_POINT('',(-4.115E1,-1.72E1,-2.5185E1)); +#51321=CARTESIAN_POINT('',(-4.115E1,-1.35E1,-2.5185E1)); +#51322=VERTEX_POINT('',#51320); +#51323=VERTEX_POINT('',#51321); +#51324=CARTESIAN_POINT('',(-4.115E1,-1.12E1,-2.5185E1)); +#51325=VERTEX_POINT('',#51324); +#51326=CARTESIAN_POINT('',(-4.055E1,-1.72E1,-2.5185E1)); +#51327=CARTESIAN_POINT('',(-4.055E1,-1.35E1,-2.5185E1)); +#51328=VERTEX_POINT('',#51326); +#51329=VERTEX_POINT('',#51327); +#51330=CARTESIAN_POINT('',(-4.055E1,-1.12E1,-2.5185E1)); +#51331=VERTEX_POINT('',#51330); +#51332=CARTESIAN_POINT('',(-4.115E1,-1.72E1,-2.5815E1)); +#51333=VERTEX_POINT('',#51332); +#51334=CARTESIAN_POINT('',(-4.055E1,-1.72E1,-2.5815E1)); +#51335=VERTEX_POINT('',#51334); +#51336=CARTESIAN_POINT('',(-4.115E1,-1.35E1,-2.5815E1)); +#51337=VERTEX_POINT('',#51336); +#51338=CARTESIAN_POINT('',(-4.115E1,-1.12E1,-2.5815E1)); +#51339=VERTEX_POINT('',#51338); +#51340=CARTESIAN_POINT('',(-4.055E1,-1.35E1,-2.5815E1)); +#51341=VERTEX_POINT('',#51340); +#51342=CARTESIAN_POINT('',(-4.055E1,-1.12E1,-2.5815E1)); +#51343=VERTEX_POINT('',#51342); +#51344=CARTESIAN_POINT('',(-3.84E1,-1.72E1,-2.5185E1)); +#51345=CARTESIAN_POINT('',(-3.84E1,-1.35E1,-2.5185E1)); +#51346=VERTEX_POINT('',#51344); +#51347=VERTEX_POINT('',#51345); +#51348=CARTESIAN_POINT('',(-3.84E1,-1.12E1,-2.5185E1)); +#51349=VERTEX_POINT('',#51348); +#51350=CARTESIAN_POINT('',(-3.9E1,-1.72E1,-2.5185E1)); +#51351=CARTESIAN_POINT('',(-3.9E1,-1.35E1,-2.5185E1)); +#51352=VERTEX_POINT('',#51350); +#51353=VERTEX_POINT('',#51351); +#51354=CARTESIAN_POINT('',(-3.9E1,-1.12E1,-2.5185E1)); +#51355=VERTEX_POINT('',#51354); +#51356=CARTESIAN_POINT('',(-3.84E1,-1.72E1,-2.5815E1)); +#51357=VERTEX_POINT('',#51356); +#51358=CARTESIAN_POINT('',(-3.9E1,-1.72E1,-2.5815E1)); +#51359=VERTEX_POINT('',#51358); +#51360=CARTESIAN_POINT('',(-3.84E1,-1.35E1,-2.5815E1)); +#51361=VERTEX_POINT('',#51360); +#51362=CARTESIAN_POINT('',(-3.84E1,-1.12E1,-2.5815E1)); +#51363=VERTEX_POINT('',#51362); +#51364=CARTESIAN_POINT('',(-3.9E1,-1.35E1,-2.5815E1)); +#51365=VERTEX_POINT('',#51364); +#51366=CARTESIAN_POINT('',(-3.9E1,-1.12E1,-2.5815E1)); +#51367=VERTEX_POINT('',#51366); +#51368=CARTESIAN_POINT('',(-3.84E1,-1.72E1,-2.7725E1)); +#51369=CARTESIAN_POINT('',(-3.84E1,-1.35E1,-2.7725E1)); +#51370=VERTEX_POINT('',#51368); +#51371=VERTEX_POINT('',#51369); +#51372=CARTESIAN_POINT('',(-3.84E1,-1.12E1,-2.7725E1)); +#51373=VERTEX_POINT('',#51372); +#51374=CARTESIAN_POINT('',(-3.9E1,-1.72E1,-2.7725E1)); +#51375=CARTESIAN_POINT('',(-3.9E1,-1.35E1,-2.7725E1)); +#51376=VERTEX_POINT('',#51374); +#51377=VERTEX_POINT('',#51375); +#51378=CARTESIAN_POINT('',(-3.9E1,-1.12E1,-2.7725E1)); +#51379=VERTEX_POINT('',#51378); +#51380=CARTESIAN_POINT('',(-3.84E1,-1.72E1,-2.8355E1)); +#51381=VERTEX_POINT('',#51380); +#51382=CARTESIAN_POINT('',(-3.9E1,-1.72E1,-2.8355E1)); +#51383=VERTEX_POINT('',#51382); +#51384=CARTESIAN_POINT('',(-3.84E1,-1.35E1,-2.8355E1)); +#51385=VERTEX_POINT('',#51384); +#51386=CARTESIAN_POINT('',(-3.84E1,-1.12E1,-2.8355E1)); +#51387=VERTEX_POINT('',#51386); +#51388=CARTESIAN_POINT('',(-3.9E1,-1.35E1,-2.8355E1)); +#51389=VERTEX_POINT('',#51388); +#51390=CARTESIAN_POINT('',(-3.9E1,-1.12E1,-2.8355E1)); +#51391=VERTEX_POINT('',#51390); +#51392=CARTESIAN_POINT('',(-3.7E1,4.815E0,-2.9265E1)); +#51393=CARTESIAN_POINT('',(-3.7E1,3.815E0,-3.0265E1)); +#51394=VERTEX_POINT('',#51392); +#51395=VERTEX_POINT('',#51393); +#51396=CARTESIAN_POINT('',(-3.7E1,3.815E0,-3.0895E1)); +#51397=CARTESIAN_POINT('',(-3.7E1,5.445E0,-2.9265E1)); +#51398=VERTEX_POINT('',#51396); +#51399=VERTEX_POINT('',#51397); +#51400=CARTESIAN_POINT('',(-3.7E1,-2.785E0,-2.4685E1)); +#51401=CARTESIAN_POINT('',(-3.7E1,-3.285E0,-2.5185E1)); +#51402=VERTEX_POINT('',#51400); +#51403=VERTEX_POINT('',#51401); +#51404=CARTESIAN_POINT('',(-3.7E1,-3.285E0,-2.5815E1)); +#51405=CARTESIAN_POINT('',(-3.7E1,-2.155E0,-2.4685E1)); +#51406=VERTEX_POINT('',#51404); +#51407=VERTEX_POINT('',#51405); +#51408=CARTESIAN_POINT('',(-3.61E1,4.815E0,-2.9265E1)); +#51409=CARTESIAN_POINT('',(-3.61E1,3.815E0,-3.0265E1)); +#51410=VERTEX_POINT('',#51408); +#51411=VERTEX_POINT('',#51409); +#51412=CARTESIAN_POINT('',(-3.61E1,3.815E0,-3.0895E1)); +#51413=CARTESIAN_POINT('',(-3.61E1,5.445E0,-2.9265E1)); +#51414=VERTEX_POINT('',#51412); +#51415=VERTEX_POINT('',#51413); +#51416=CARTESIAN_POINT('',(-3.61E1,-2.785E0,-2.4685E1)); +#51417=CARTESIAN_POINT('',(-3.61E1,-3.285E0,-2.5185E1)); +#51418=VERTEX_POINT('',#51416); +#51419=VERTEX_POINT('',#51417); +#51420=CARTESIAN_POINT('',(-3.61E1,-3.285E0,-2.5815E1)); +#51421=CARTESIAN_POINT('',(-3.61E1,-2.155E0,-2.4685E1)); +#51422=VERTEX_POINT('',#51420); +#51423=VERTEX_POINT('',#51421); +#51424=CARTESIAN_POINT('',(-3.7E1,4.815E0,-2.584E1)); +#51425=VERTEX_POINT('',#51424); +#51426=CARTESIAN_POINT('',(-3.7E1,5.445E0,-2.584E1)); +#51427=VERTEX_POINT('',#51426); +#51428=CARTESIAN_POINT('',(-3.7E1,-2.785E0,-2.36E1)); +#51429=VERTEX_POINT('',#51428); +#51430=CARTESIAN_POINT('',(-3.7E1,-2.155E0,-2.36E1)); +#51431=VERTEX_POINT('',#51430); +#51432=CARTESIAN_POINT('',(-3.61E1,4.815E0,-2.584E1)); +#51433=VERTEX_POINT('',#51432); +#51434=CARTESIAN_POINT('',(-3.61E1,5.445E0,-2.584E1)); +#51435=VERTEX_POINT('',#51434); +#51436=CARTESIAN_POINT('',(-3.61E1,-2.785E0,-2.36E1)); +#51437=VERTEX_POINT('',#51436); +#51438=CARTESIAN_POINT('',(-3.61E1,-2.155E0,-2.36E1)); +#51439=VERTEX_POINT('',#51438); +#51440=CARTESIAN_POINT('',(-3.485E1,-2.785E0,-2.4685E1)); +#51441=CARTESIAN_POINT('',(-3.485E1,-3.285E0,-2.5185E1)); +#51442=VERTEX_POINT('',#51440); +#51443=VERTEX_POINT('',#51441); +#51444=CARTESIAN_POINT('',(-3.485E1,-3.285E0,-2.5815E1)); +#51445=CARTESIAN_POINT('',(-3.485E1,-2.155E0,-2.4685E1)); +#51446=VERTEX_POINT('',#51444); +#51447=VERTEX_POINT('',#51445); +#51448=CARTESIAN_POINT('',(-3.485E1,4.815E0,-2.6725E1)); +#51449=CARTESIAN_POINT('',(-3.485E1,3.815E0,-2.7725E1)); +#51450=VERTEX_POINT('',#51448); +#51451=VERTEX_POINT('',#51449); +#51452=CARTESIAN_POINT('',(-3.485E1,3.815E0,-2.8355E1)); +#51453=CARTESIAN_POINT('',(-3.485E1,5.445E0,-2.6725E1)); +#51454=VERTEX_POINT('',#51452); +#51455=VERTEX_POINT('',#51453); +#51456=CARTESIAN_POINT('',(-3.395E1,-2.785E0,-2.4685E1)); +#51457=CARTESIAN_POINT('',(-3.395E1,-3.285E0,-2.5185E1)); +#51458=VERTEX_POINT('',#51456); +#51459=VERTEX_POINT('',#51457); +#51460=CARTESIAN_POINT('',(-3.395E1,-3.285E0,-2.5815E1)); +#51461=CARTESIAN_POINT('',(-3.395E1,-2.155E0,-2.4685E1)); +#51462=VERTEX_POINT('',#51460); +#51463=VERTEX_POINT('',#51461); +#51464=CARTESIAN_POINT('',(-3.395E1,4.815E0,-2.6725E1)); +#51465=CARTESIAN_POINT('',(-3.395E1,3.815E0,-2.7725E1)); +#51466=VERTEX_POINT('',#51464); +#51467=VERTEX_POINT('',#51465); +#51468=CARTESIAN_POINT('',(-3.395E1,3.815E0,-2.8355E1)); +#51469=CARTESIAN_POINT('',(-3.395E1,5.445E0,-2.6725E1)); +#51470=VERTEX_POINT('',#51468); +#51471=VERTEX_POINT('',#51469); +#51472=CARTESIAN_POINT('',(-3.485E1,-2.785E0,-2.36E1)); +#51473=VERTEX_POINT('',#51472); +#51474=CARTESIAN_POINT('',(-3.485E1,-2.155E0,-2.36E1)); +#51475=VERTEX_POINT('',#51474); +#51476=CARTESIAN_POINT('',(-3.485E1,4.815E0,-2.584E1)); +#51477=VERTEX_POINT('',#51476); +#51478=CARTESIAN_POINT('',(-3.485E1,5.445E0,-2.584E1)); +#51479=VERTEX_POINT('',#51478); +#51480=CARTESIAN_POINT('',(-3.395E1,-2.785E0,-2.36E1)); +#51481=VERTEX_POINT('',#51480); +#51482=CARTESIAN_POINT('',(-3.395E1,-2.155E0,-2.36E1)); +#51483=VERTEX_POINT('',#51482); +#51484=CARTESIAN_POINT('',(-3.395E1,4.815E0,-2.584E1)); +#51485=VERTEX_POINT('',#51484); +#51486=CARTESIAN_POINT('',(-3.395E1,5.445E0,-2.584E1)); +#51487=VERTEX_POINT('',#51486); +#51488=CARTESIAN_POINT('',(-3.685E1,-1.35E1,-3.0895E1)); +#51489=CARTESIAN_POINT('',(-3.685E1,-1.35E1,-3.0265E1)); +#51490=VERTEX_POINT('',#51488); +#51491=VERTEX_POINT('',#51489); +#51492=CARTESIAN_POINT('',(-3.685E1,-1.35E1,-2.5815E1)); +#51493=CARTESIAN_POINT('',(-3.685E1,-1.35E1,-2.5185E1)); +#51494=VERTEX_POINT('',#51492); +#51495=VERTEX_POINT('',#51493); +#51496=CARTESIAN_POINT('',(-3.685E1,-1.12E1,-3.0895E1)); +#51497=CARTESIAN_POINT('',(-3.685E1,-1.12E1,-3.0265E1)); +#51498=VERTEX_POINT('',#51496); +#51499=VERTEX_POINT('',#51497); +#51500=CARTESIAN_POINT('',(-3.685E1,-1.12E1,-2.5815E1)); +#51501=CARTESIAN_POINT('',(-3.685E1,-1.12E1,-2.5185E1)); +#51502=VERTEX_POINT('',#51500); +#51503=VERTEX_POINT('',#51501); +#51504=CARTESIAN_POINT('',(-3.625E1,-1.35E1,-3.0895E1)); +#51505=CARTESIAN_POINT('',(-3.625E1,-1.35E1,-3.0265E1)); +#51506=VERTEX_POINT('',#51504); +#51507=VERTEX_POINT('',#51505); +#51508=CARTESIAN_POINT('',(-3.625E1,-1.35E1,-2.5815E1)); +#51509=CARTESIAN_POINT('',(-3.625E1,-1.35E1,-2.5185E1)); +#51510=VERTEX_POINT('',#51508); +#51511=VERTEX_POINT('',#51509); +#51512=CARTESIAN_POINT('',(-3.625E1,-1.12E1,-3.0895E1)); +#51513=CARTESIAN_POINT('',(-3.625E1,-1.12E1,-3.0265E1)); +#51514=VERTEX_POINT('',#51512); +#51515=VERTEX_POINT('',#51513); +#51516=CARTESIAN_POINT('',(-3.625E1,-1.12E1,-2.5815E1)); +#51517=CARTESIAN_POINT('',(-3.625E1,-1.12E1,-2.5185E1)); +#51518=VERTEX_POINT('',#51516); +#51519=VERTEX_POINT('',#51517); +#51520=CARTESIAN_POINT('',(-3.41E1,-1.35E1,-2.8355E1)); +#51521=CARTESIAN_POINT('',(-3.41E1,-1.35E1,-2.7725E1)); +#51522=VERTEX_POINT('',#51520); +#51523=VERTEX_POINT('',#51521); +#51524=CARTESIAN_POINT('',(-3.41E1,-1.35E1,-2.5815E1)); +#51525=CARTESIAN_POINT('',(-3.41E1,-1.35E1,-2.5185E1)); +#51526=VERTEX_POINT('',#51524); +#51527=VERTEX_POINT('',#51525); +#51528=CARTESIAN_POINT('',(-3.41E1,-1.12E1,-2.8355E1)); +#51529=CARTESIAN_POINT('',(-3.41E1,-1.12E1,-2.7725E1)); +#51530=VERTEX_POINT('',#51528); +#51531=VERTEX_POINT('',#51529); +#51532=CARTESIAN_POINT('',(-3.41E1,-1.12E1,-2.5815E1)); +#51533=CARTESIAN_POINT('',(-3.41E1,-1.12E1,-2.5185E1)); +#51534=VERTEX_POINT('',#51532); +#51535=VERTEX_POINT('',#51533); +#51536=CARTESIAN_POINT('',(-3.47E1,-1.35E1,-2.8355E1)); +#51537=CARTESIAN_POINT('',(-3.47E1,-1.35E1,-2.7725E1)); +#51538=VERTEX_POINT('',#51536); +#51539=VERTEX_POINT('',#51537); +#51540=CARTESIAN_POINT('',(-3.47E1,-1.35E1,-2.5815E1)); +#51541=CARTESIAN_POINT('',(-3.47E1,-1.35E1,-2.5185E1)); +#51542=VERTEX_POINT('',#51540); +#51543=VERTEX_POINT('',#51541); +#51544=CARTESIAN_POINT('',(-3.47E1,-1.12E1,-2.8355E1)); +#51545=CARTESIAN_POINT('',(-3.47E1,-1.12E1,-2.7725E1)); +#51546=VERTEX_POINT('',#51544); +#51547=VERTEX_POINT('',#51545); +#51548=CARTESIAN_POINT('',(-3.47E1,-1.12E1,-2.5815E1)); +#51549=CARTESIAN_POINT('',(-3.47E1,-1.12E1,-2.5185E1)); +#51550=VERTEX_POINT('',#51548); +#51551=VERTEX_POINT('',#51549); +#51552=CARTESIAN_POINT('',(-3.7E1,-1.094019237886E1,-3.0895E1)); +#51553=CARTESIAN_POINT('',(-3.7E1,-1.094019237886E1,-3.0265E1)); +#51554=VERTEX_POINT('',#51552); +#51555=VERTEX_POINT('',#51553); +#51556=CARTESIAN_POINT('',(-3.7E1,-1.094019237886E1,-2.5815E1)); +#51557=CARTESIAN_POINT('',(-3.7E1,-1.094019237886E1,-2.5185E1)); +#51558=VERTEX_POINT('',#51556); +#51559=VERTEX_POINT('',#51557); +#51560=CARTESIAN_POINT('',(-3.61E1,-1.094019237886E1,-3.0895E1)); +#51561=CARTESIAN_POINT('',(-3.61E1,-1.094019237886E1,-3.0265E1)); +#51562=VERTEX_POINT('',#51560); +#51563=VERTEX_POINT('',#51561); +#51564=CARTESIAN_POINT('',(-3.61E1,-1.094019237886E1,-2.5815E1)); +#51565=CARTESIAN_POINT('',(-3.61E1,-1.094019237886E1,-2.5185E1)); +#51566=VERTEX_POINT('',#51564); +#51567=VERTEX_POINT('',#51565); +#51568=CARTESIAN_POINT('',(-3.685E1,-1.72E1,-3.0265E1)); +#51569=VERTEX_POINT('',#51568); +#51570=CARTESIAN_POINT('',(-3.625E1,-1.72E1,-3.0265E1)); +#51571=VERTEX_POINT('',#51570); +#51572=CARTESIAN_POINT('',(-3.685E1,-1.72E1,-3.0895E1)); +#51573=VERTEX_POINT('',#51572); +#51574=CARTESIAN_POINT('',(-3.625E1,-1.72E1,-3.0895E1)); +#51575=VERTEX_POINT('',#51574); +#51576=CARTESIAN_POINT('',(-3.685E1,-1.72E1,-2.5185E1)); +#51577=VERTEX_POINT('',#51576); +#51578=CARTESIAN_POINT('',(-3.625E1,-1.72E1,-2.5185E1)); +#51579=VERTEX_POINT('',#51578); +#51580=CARTESIAN_POINT('',(-3.685E1,-1.72E1,-2.5815E1)); +#51581=VERTEX_POINT('',#51580); +#51582=CARTESIAN_POINT('',(-3.625E1,-1.72E1,-2.5815E1)); +#51583=VERTEX_POINT('',#51582); +#51584=CARTESIAN_POINT('',(-3.485E1,-1.094019237886E1,-2.8355E1)); +#51585=CARTESIAN_POINT('',(-3.485E1,-1.094019237886E1,-2.7725E1)); +#51586=VERTEX_POINT('',#51584); +#51587=VERTEX_POINT('',#51585); +#51588=CARTESIAN_POINT('',(-3.485E1,-1.094019237886E1,-2.5815E1)); +#51589=CARTESIAN_POINT('',(-3.485E1,-1.094019237886E1,-2.5185E1)); +#51590=VERTEX_POINT('',#51588); +#51591=VERTEX_POINT('',#51589); +#51592=CARTESIAN_POINT('',(-3.395E1,-1.094019237886E1,-2.8355E1)); +#51593=CARTESIAN_POINT('',(-3.395E1,-1.094019237886E1,-2.7725E1)); +#51594=VERTEX_POINT('',#51592); +#51595=VERTEX_POINT('',#51593); +#51596=CARTESIAN_POINT('',(-3.395E1,-1.094019237886E1,-2.5815E1)); +#51597=CARTESIAN_POINT('',(-3.395E1,-1.094019237886E1,-2.5185E1)); +#51598=VERTEX_POINT('',#51596); +#51599=VERTEX_POINT('',#51597); +#51600=CARTESIAN_POINT('',(-3.41E1,-1.72E1,-2.5185E1)); +#51601=VERTEX_POINT('',#51600); +#51602=CARTESIAN_POINT('',(-3.47E1,-1.72E1,-2.5185E1)); +#51603=VERTEX_POINT('',#51602); +#51604=CARTESIAN_POINT('',(-3.41E1,-1.72E1,-2.5815E1)); +#51605=VERTEX_POINT('',#51604); +#51606=CARTESIAN_POINT('',(-3.47E1,-1.72E1,-2.5815E1)); +#51607=VERTEX_POINT('',#51606); +#51608=CARTESIAN_POINT('',(-3.41E1,-1.72E1,-2.7725E1)); +#51609=VERTEX_POINT('',#51608); +#51610=CARTESIAN_POINT('',(-3.47E1,-1.72E1,-2.7725E1)); +#51611=VERTEX_POINT('',#51610); +#51612=CARTESIAN_POINT('',(-3.41E1,-1.72E1,-2.8355E1)); +#51613=VERTEX_POINT('',#51612); +#51614=CARTESIAN_POINT('',(-3.47E1,-1.72E1,-2.8355E1)); +#51615=VERTEX_POINT('',#51614); +#51616=CARTESIAN_POINT('',(-3.27E1,4.815E0,-2.9265E1)); +#51617=CARTESIAN_POINT('',(-3.27E1,3.815E0,-3.0265E1)); +#51618=VERTEX_POINT('',#51616); +#51619=VERTEX_POINT('',#51617); +#51620=CARTESIAN_POINT('',(-3.27E1,3.815E0,-3.0895E1)); +#51621=CARTESIAN_POINT('',(-3.27E1,5.445E0,-2.9265E1)); +#51622=VERTEX_POINT('',#51620); +#51623=VERTEX_POINT('',#51621); +#51624=CARTESIAN_POINT('',(-3.27E1,-2.785E0,-2.4685E1)); +#51625=CARTESIAN_POINT('',(-3.27E1,-3.285E0,-2.5185E1)); +#51626=VERTEX_POINT('',#51624); +#51627=VERTEX_POINT('',#51625); +#51628=CARTESIAN_POINT('',(-3.27E1,-3.285E0,-2.5815E1)); +#51629=CARTESIAN_POINT('',(-3.27E1,-2.155E0,-2.4685E1)); +#51630=VERTEX_POINT('',#51628); +#51631=VERTEX_POINT('',#51629); +#51632=CARTESIAN_POINT('',(-3.18E1,4.815E0,-2.9265E1)); +#51633=CARTESIAN_POINT('',(-3.18E1,3.815E0,-3.0265E1)); +#51634=VERTEX_POINT('',#51632); +#51635=VERTEX_POINT('',#51633); +#51636=CARTESIAN_POINT('',(-3.18E1,3.815E0,-3.0895E1)); +#51637=CARTESIAN_POINT('',(-3.18E1,5.445E0,-2.9265E1)); +#51638=VERTEX_POINT('',#51636); +#51639=VERTEX_POINT('',#51637); +#51640=CARTESIAN_POINT('',(-3.18E1,-2.785E0,-2.4685E1)); +#51641=CARTESIAN_POINT('',(-3.18E1,-3.285E0,-2.5185E1)); +#51642=VERTEX_POINT('',#51640); +#51643=VERTEX_POINT('',#51641); +#51644=CARTESIAN_POINT('',(-3.18E1,-3.285E0,-2.5815E1)); +#51645=CARTESIAN_POINT('',(-3.18E1,-2.155E0,-2.4685E1)); +#51646=VERTEX_POINT('',#51644); +#51647=VERTEX_POINT('',#51645); +#51648=CARTESIAN_POINT('',(-3.27E1,4.815E0,-2.584E1)); +#51649=VERTEX_POINT('',#51648); +#51650=CARTESIAN_POINT('',(-3.27E1,5.445E0,-2.584E1)); +#51651=VERTEX_POINT('',#51650); +#51652=CARTESIAN_POINT('',(-3.27E1,-2.785E0,-2.36E1)); +#51653=VERTEX_POINT('',#51652); +#51654=CARTESIAN_POINT('',(-3.27E1,-2.155E0,-2.36E1)); +#51655=VERTEX_POINT('',#51654); +#51656=CARTESIAN_POINT('',(-3.18E1,4.815E0,-2.584E1)); +#51657=VERTEX_POINT('',#51656); +#51658=CARTESIAN_POINT('',(-3.18E1,5.445E0,-2.584E1)); +#51659=VERTEX_POINT('',#51658); +#51660=CARTESIAN_POINT('',(-3.18E1,-2.785E0,-2.36E1)); +#51661=VERTEX_POINT('',#51660); +#51662=CARTESIAN_POINT('',(-3.18E1,-2.155E0,-2.36E1)); +#51663=VERTEX_POINT('',#51662); +#51664=CARTESIAN_POINT('',(-3.055E1,-2.785E0,-2.4685E1)); +#51665=CARTESIAN_POINT('',(-3.055E1,-3.285E0,-2.5185E1)); +#51666=VERTEX_POINT('',#51664); +#51667=VERTEX_POINT('',#51665); +#51668=CARTESIAN_POINT('',(-3.055E1,-3.285E0,-2.5815E1)); +#51669=CARTESIAN_POINT('',(-3.055E1,-2.155E0,-2.4685E1)); +#51670=VERTEX_POINT('',#51668); +#51671=VERTEX_POINT('',#51669); +#51672=CARTESIAN_POINT('',(-3.055E1,4.815E0,-2.6725E1)); +#51673=CARTESIAN_POINT('',(-3.055E1,3.815E0,-2.7725E1)); +#51674=VERTEX_POINT('',#51672); +#51675=VERTEX_POINT('',#51673); +#51676=CARTESIAN_POINT('',(-3.055E1,3.815E0,-2.8355E1)); +#51677=CARTESIAN_POINT('',(-3.055E1,5.445E0,-2.6725E1)); +#51678=VERTEX_POINT('',#51676); +#51679=VERTEX_POINT('',#51677); +#51680=CARTESIAN_POINT('',(-2.965E1,-2.785E0,-2.4685E1)); +#51681=CARTESIAN_POINT('',(-2.965E1,-3.285E0,-2.5185E1)); +#51682=VERTEX_POINT('',#51680); +#51683=VERTEX_POINT('',#51681); +#51684=CARTESIAN_POINT('',(-2.965E1,-3.285E0,-2.5815E1)); +#51685=CARTESIAN_POINT('',(-2.965E1,-2.155E0,-2.4685E1)); +#51686=VERTEX_POINT('',#51684); +#51687=VERTEX_POINT('',#51685); +#51688=CARTESIAN_POINT('',(-2.965E1,4.815E0,-2.6725E1)); +#51689=CARTESIAN_POINT('',(-2.965E1,3.815E0,-2.7725E1)); +#51690=VERTEX_POINT('',#51688); +#51691=VERTEX_POINT('',#51689); +#51692=CARTESIAN_POINT('',(-2.965E1,3.815E0,-2.8355E1)); +#51693=CARTESIAN_POINT('',(-2.965E1,5.445E0,-2.6725E1)); +#51694=VERTEX_POINT('',#51692); +#51695=VERTEX_POINT('',#51693); +#51696=CARTESIAN_POINT('',(-3.055E1,-2.785E0,-2.36E1)); +#51697=VERTEX_POINT('',#51696); +#51698=CARTESIAN_POINT('',(-3.055E1,-2.155E0,-2.36E1)); +#51699=VERTEX_POINT('',#51698); +#51700=CARTESIAN_POINT('',(-3.055E1,4.815E0,-2.584E1)); +#51701=VERTEX_POINT('',#51700); +#51702=CARTESIAN_POINT('',(-3.055E1,5.445E0,-2.584E1)); +#51703=VERTEX_POINT('',#51702); +#51704=CARTESIAN_POINT('',(-2.965E1,-2.785E0,-2.36E1)); +#51705=VERTEX_POINT('',#51704); +#51706=CARTESIAN_POINT('',(-2.965E1,-2.155E0,-2.36E1)); +#51707=VERTEX_POINT('',#51706); +#51708=CARTESIAN_POINT('',(-2.965E1,4.815E0,-2.584E1)); +#51709=VERTEX_POINT('',#51708); +#51710=CARTESIAN_POINT('',(-2.965E1,5.445E0,-2.584E1)); +#51711=VERTEX_POINT('',#51710); +#51712=CARTESIAN_POINT('',(-3.255E1,-1.35E1,-3.0895E1)); +#51713=CARTESIAN_POINT('',(-3.255E1,-1.35E1,-3.0265E1)); +#51714=VERTEX_POINT('',#51712); +#51715=VERTEX_POINT('',#51713); +#51716=CARTESIAN_POINT('',(-3.255E1,-1.35E1,-2.5815E1)); +#51717=CARTESIAN_POINT('',(-3.255E1,-1.35E1,-2.5185E1)); +#51718=VERTEX_POINT('',#51716); +#51719=VERTEX_POINT('',#51717); +#51720=CARTESIAN_POINT('',(-3.255E1,-1.12E1,-3.0895E1)); +#51721=CARTESIAN_POINT('',(-3.255E1,-1.12E1,-3.0265E1)); +#51722=VERTEX_POINT('',#51720); +#51723=VERTEX_POINT('',#51721); +#51724=CARTESIAN_POINT('',(-3.255E1,-1.12E1,-2.5815E1)); +#51725=CARTESIAN_POINT('',(-3.255E1,-1.12E1,-2.5185E1)); +#51726=VERTEX_POINT('',#51724); +#51727=VERTEX_POINT('',#51725); +#51728=CARTESIAN_POINT('',(-3.195E1,-1.35E1,-3.0895E1)); +#51729=CARTESIAN_POINT('',(-3.195E1,-1.35E1,-3.0265E1)); +#51730=VERTEX_POINT('',#51728); +#51731=VERTEX_POINT('',#51729); +#51732=CARTESIAN_POINT('',(-3.195E1,-1.35E1,-2.5815E1)); +#51733=CARTESIAN_POINT('',(-3.195E1,-1.35E1,-2.5185E1)); +#51734=VERTEX_POINT('',#51732); +#51735=VERTEX_POINT('',#51733); +#51736=CARTESIAN_POINT('',(-3.195E1,-1.12E1,-3.0895E1)); +#51737=CARTESIAN_POINT('',(-3.195E1,-1.12E1,-3.0265E1)); +#51738=VERTEX_POINT('',#51736); +#51739=VERTEX_POINT('',#51737); +#51740=CARTESIAN_POINT('',(-3.195E1,-1.12E1,-2.5815E1)); +#51741=CARTESIAN_POINT('',(-3.195E1,-1.12E1,-2.5185E1)); +#51742=VERTEX_POINT('',#51740); +#51743=VERTEX_POINT('',#51741); +#51744=CARTESIAN_POINT('',(-2.98E1,-1.35E1,-2.8355E1)); +#51745=CARTESIAN_POINT('',(-2.98E1,-1.35E1,-2.7725E1)); +#51746=VERTEX_POINT('',#51744); +#51747=VERTEX_POINT('',#51745); +#51748=CARTESIAN_POINT('',(-2.98E1,-1.35E1,-2.5815E1)); +#51749=CARTESIAN_POINT('',(-2.98E1,-1.35E1,-2.5185E1)); +#51750=VERTEX_POINT('',#51748); +#51751=VERTEX_POINT('',#51749); +#51752=CARTESIAN_POINT('',(-2.98E1,-1.12E1,-2.8355E1)); +#51753=CARTESIAN_POINT('',(-2.98E1,-1.12E1,-2.7725E1)); +#51754=VERTEX_POINT('',#51752); +#51755=VERTEX_POINT('',#51753); +#51756=CARTESIAN_POINT('',(-2.98E1,-1.12E1,-2.5815E1)); +#51757=CARTESIAN_POINT('',(-2.98E1,-1.12E1,-2.5185E1)); +#51758=VERTEX_POINT('',#51756); +#51759=VERTEX_POINT('',#51757); +#51760=CARTESIAN_POINT('',(-3.04E1,-1.35E1,-2.8355E1)); +#51761=CARTESIAN_POINT('',(-3.04E1,-1.35E1,-2.7725E1)); +#51762=VERTEX_POINT('',#51760); +#51763=VERTEX_POINT('',#51761); +#51764=CARTESIAN_POINT('',(-3.04E1,-1.35E1,-2.5815E1)); +#51765=CARTESIAN_POINT('',(-3.04E1,-1.35E1,-2.5185E1)); +#51766=VERTEX_POINT('',#51764); +#51767=VERTEX_POINT('',#51765); +#51768=CARTESIAN_POINT('',(-3.04E1,-1.12E1,-2.8355E1)); +#51769=CARTESIAN_POINT('',(-3.04E1,-1.12E1,-2.7725E1)); +#51770=VERTEX_POINT('',#51768); +#51771=VERTEX_POINT('',#51769); +#51772=CARTESIAN_POINT('',(-3.04E1,-1.12E1,-2.5815E1)); +#51773=CARTESIAN_POINT('',(-3.04E1,-1.12E1,-2.5185E1)); +#51774=VERTEX_POINT('',#51772); +#51775=VERTEX_POINT('',#51773); +#51776=CARTESIAN_POINT('',(-2.84E1,4.815E0,-2.9265E1)); +#51777=CARTESIAN_POINT('',(-2.84E1,3.815E0,-3.0265E1)); +#51778=VERTEX_POINT('',#51776); +#51779=VERTEX_POINT('',#51777); +#51780=CARTESIAN_POINT('',(-2.84E1,3.815E0,-3.0895E1)); +#51781=CARTESIAN_POINT('',(-2.84E1,5.445E0,-2.9265E1)); +#51782=VERTEX_POINT('',#51780); +#51783=VERTEX_POINT('',#51781); +#51784=CARTESIAN_POINT('',(-2.84E1,-2.785E0,-2.4685E1)); +#51785=CARTESIAN_POINT('',(-2.84E1,-3.285E0,-2.5185E1)); +#51786=VERTEX_POINT('',#51784); +#51787=VERTEX_POINT('',#51785); +#51788=CARTESIAN_POINT('',(-2.84E1,-3.285E0,-2.5815E1)); +#51789=CARTESIAN_POINT('',(-2.84E1,-2.155E0,-2.4685E1)); +#51790=VERTEX_POINT('',#51788); +#51791=VERTEX_POINT('',#51789); +#51792=CARTESIAN_POINT('',(-2.75E1,4.815E0,-2.9265E1)); +#51793=CARTESIAN_POINT('',(-2.75E1,3.815E0,-3.0265E1)); +#51794=VERTEX_POINT('',#51792); +#51795=VERTEX_POINT('',#51793); +#51796=CARTESIAN_POINT('',(-2.75E1,3.815E0,-3.0895E1)); +#51797=CARTESIAN_POINT('',(-2.75E1,5.445E0,-2.9265E1)); +#51798=VERTEX_POINT('',#51796); +#51799=VERTEX_POINT('',#51797); +#51800=CARTESIAN_POINT('',(-2.75E1,-2.785E0,-2.4685E1)); +#51801=CARTESIAN_POINT('',(-2.75E1,-3.285E0,-2.5185E1)); +#51802=VERTEX_POINT('',#51800); +#51803=VERTEX_POINT('',#51801); +#51804=CARTESIAN_POINT('',(-2.75E1,-3.285E0,-2.5815E1)); +#51805=CARTESIAN_POINT('',(-2.75E1,-2.155E0,-2.4685E1)); +#51806=VERTEX_POINT('',#51804); +#51807=VERTEX_POINT('',#51805); +#51808=CARTESIAN_POINT('',(-2.84E1,4.815E0,-2.584E1)); +#51809=VERTEX_POINT('',#51808); +#51810=CARTESIAN_POINT('',(-2.84E1,5.445E0,-2.584E1)); +#51811=VERTEX_POINT('',#51810); +#51812=CARTESIAN_POINT('',(-2.84E1,-2.785E0,-2.36E1)); +#51813=VERTEX_POINT('',#51812); +#51814=CARTESIAN_POINT('',(-2.84E1,-2.155E0,-2.36E1)); +#51815=VERTEX_POINT('',#51814); +#51816=CARTESIAN_POINT('',(-2.75E1,4.815E0,-2.584E1)); +#51817=VERTEX_POINT('',#51816); +#51818=CARTESIAN_POINT('',(-2.75E1,5.445E0,-2.584E1)); +#51819=VERTEX_POINT('',#51818); +#51820=CARTESIAN_POINT('',(-2.75E1,-2.785E0,-2.36E1)); +#51821=VERTEX_POINT('',#51820); +#51822=CARTESIAN_POINT('',(-2.75E1,-2.155E0,-2.36E1)); +#51823=VERTEX_POINT('',#51822); +#51824=CARTESIAN_POINT('',(-2.625E1,-2.785E0,-2.4685E1)); +#51825=CARTESIAN_POINT('',(-2.625E1,-3.285E0,-2.5185E1)); +#51826=VERTEX_POINT('',#51824); +#51827=VERTEX_POINT('',#51825); +#51828=CARTESIAN_POINT('',(-2.625E1,-3.285E0,-2.5815E1)); +#51829=CARTESIAN_POINT('',(-2.625E1,-2.155E0,-2.4685E1)); +#51830=VERTEX_POINT('',#51828); +#51831=VERTEX_POINT('',#51829); +#51832=CARTESIAN_POINT('',(-2.625E1,4.815E0,-2.6725E1)); +#51833=CARTESIAN_POINT('',(-2.625E1,3.815E0,-2.7725E1)); +#51834=VERTEX_POINT('',#51832); +#51835=VERTEX_POINT('',#51833); +#51836=CARTESIAN_POINT('',(-2.625E1,3.815E0,-2.8355E1)); +#51837=CARTESIAN_POINT('',(-2.625E1,5.445E0,-2.6725E1)); +#51838=VERTEX_POINT('',#51836); +#51839=VERTEX_POINT('',#51837); +#51840=CARTESIAN_POINT('',(-2.535E1,-2.785E0,-2.4685E1)); +#51841=CARTESIAN_POINT('',(-2.535E1,-3.285E0,-2.5185E1)); +#51842=VERTEX_POINT('',#51840); +#51843=VERTEX_POINT('',#51841); +#51844=CARTESIAN_POINT('',(-2.535E1,-3.285E0,-2.5815E1)); +#51845=CARTESIAN_POINT('',(-2.535E1,-2.155E0,-2.4685E1)); +#51846=VERTEX_POINT('',#51844); +#51847=VERTEX_POINT('',#51845); +#51848=CARTESIAN_POINT('',(-2.535E1,4.815E0,-2.6725E1)); +#51849=CARTESIAN_POINT('',(-2.535E1,3.815E0,-2.7725E1)); +#51850=VERTEX_POINT('',#51848); +#51851=VERTEX_POINT('',#51849); +#51852=CARTESIAN_POINT('',(-2.535E1,3.815E0,-2.8355E1)); +#51853=CARTESIAN_POINT('',(-2.535E1,5.445E0,-2.6725E1)); +#51854=VERTEX_POINT('',#51852); +#51855=VERTEX_POINT('',#51853); +#51856=CARTESIAN_POINT('',(-2.625E1,-2.785E0,-2.36E1)); +#51857=VERTEX_POINT('',#51856); +#51858=CARTESIAN_POINT('',(-2.625E1,-2.155E0,-2.36E1)); +#51859=VERTEX_POINT('',#51858); +#51860=CARTESIAN_POINT('',(-2.625E1,4.815E0,-2.584E1)); +#51861=VERTEX_POINT('',#51860); +#51862=CARTESIAN_POINT('',(-2.625E1,5.445E0,-2.584E1)); +#51863=VERTEX_POINT('',#51862); +#51864=CARTESIAN_POINT('',(-2.535E1,-2.785E0,-2.36E1)); +#51865=VERTEX_POINT('',#51864); +#51866=CARTESIAN_POINT('',(-2.535E1,-2.155E0,-2.36E1)); +#51867=VERTEX_POINT('',#51866); +#51868=CARTESIAN_POINT('',(-2.535E1,4.815E0,-2.584E1)); +#51869=VERTEX_POINT('',#51868); +#51870=CARTESIAN_POINT('',(-2.535E1,5.445E0,-2.584E1)); +#51871=VERTEX_POINT('',#51870); +#51872=CARTESIAN_POINT('',(-2.825E1,-1.35E1,-3.0895E1)); +#51873=CARTESIAN_POINT('',(-2.825E1,-1.35E1,-3.0265E1)); +#51874=VERTEX_POINT('',#51872); +#51875=VERTEX_POINT('',#51873); +#51876=CARTESIAN_POINT('',(-2.825E1,-1.35E1,-2.5815E1)); +#51877=CARTESIAN_POINT('',(-2.825E1,-1.35E1,-2.5185E1)); +#51878=VERTEX_POINT('',#51876); +#51879=VERTEX_POINT('',#51877); +#51880=CARTESIAN_POINT('',(-2.825E1,-1.12E1,-3.0895E1)); +#51881=CARTESIAN_POINT('',(-2.825E1,-1.12E1,-3.0265E1)); +#51882=VERTEX_POINT('',#51880); +#51883=VERTEX_POINT('',#51881); +#51884=CARTESIAN_POINT('',(-2.825E1,-1.12E1,-2.5815E1)); +#51885=CARTESIAN_POINT('',(-2.825E1,-1.12E1,-2.5185E1)); +#51886=VERTEX_POINT('',#51884); +#51887=VERTEX_POINT('',#51885); +#51888=CARTESIAN_POINT('',(-2.765E1,-1.35E1,-3.0895E1)); +#51889=CARTESIAN_POINT('',(-2.765E1,-1.35E1,-3.0265E1)); +#51890=VERTEX_POINT('',#51888); +#51891=VERTEX_POINT('',#51889); +#51892=CARTESIAN_POINT('',(-2.765E1,-1.35E1,-2.5815E1)); +#51893=CARTESIAN_POINT('',(-2.765E1,-1.35E1,-2.5185E1)); +#51894=VERTEX_POINT('',#51892); +#51895=VERTEX_POINT('',#51893); +#51896=CARTESIAN_POINT('',(-2.765E1,-1.12E1,-3.0895E1)); +#51897=CARTESIAN_POINT('',(-2.765E1,-1.12E1,-3.0265E1)); +#51898=VERTEX_POINT('',#51896); +#51899=VERTEX_POINT('',#51897); +#51900=CARTESIAN_POINT('',(-2.765E1,-1.12E1,-2.5815E1)); +#51901=CARTESIAN_POINT('',(-2.765E1,-1.12E1,-2.5185E1)); +#51902=VERTEX_POINT('',#51900); +#51903=VERTEX_POINT('',#51901); +#51904=CARTESIAN_POINT('',(-2.55E1,-1.35E1,-2.8355E1)); +#51905=CARTESIAN_POINT('',(-2.55E1,-1.35E1,-2.7725E1)); +#51906=VERTEX_POINT('',#51904); +#51907=VERTEX_POINT('',#51905); +#51908=CARTESIAN_POINT('',(-2.55E1,-1.35E1,-2.5815E1)); +#51909=CARTESIAN_POINT('',(-2.55E1,-1.35E1,-2.5185E1)); +#51910=VERTEX_POINT('',#51908); +#51911=VERTEX_POINT('',#51909); +#51912=CARTESIAN_POINT('',(-2.55E1,-1.12E1,-2.8355E1)); +#51913=CARTESIAN_POINT('',(-2.55E1,-1.12E1,-2.7725E1)); +#51914=VERTEX_POINT('',#51912); +#51915=VERTEX_POINT('',#51913); +#51916=CARTESIAN_POINT('',(-2.55E1,-1.12E1,-2.5815E1)); +#51917=CARTESIAN_POINT('',(-2.55E1,-1.12E1,-2.5185E1)); +#51918=VERTEX_POINT('',#51916); +#51919=VERTEX_POINT('',#51917); +#51920=CARTESIAN_POINT('',(-2.61E1,-1.35E1,-2.8355E1)); +#51921=CARTESIAN_POINT('',(-2.61E1,-1.35E1,-2.7725E1)); +#51922=VERTEX_POINT('',#51920); +#51923=VERTEX_POINT('',#51921); +#51924=CARTESIAN_POINT('',(-2.61E1,-1.35E1,-2.5815E1)); +#51925=CARTESIAN_POINT('',(-2.61E1,-1.35E1,-2.5185E1)); +#51926=VERTEX_POINT('',#51924); +#51927=VERTEX_POINT('',#51925); +#51928=CARTESIAN_POINT('',(-2.61E1,-1.12E1,-2.8355E1)); +#51929=CARTESIAN_POINT('',(-2.61E1,-1.12E1,-2.7725E1)); +#51930=VERTEX_POINT('',#51928); +#51931=VERTEX_POINT('',#51929); +#51932=CARTESIAN_POINT('',(-2.61E1,-1.12E1,-2.5815E1)); +#51933=CARTESIAN_POINT('',(-2.61E1,-1.12E1,-2.5185E1)); +#51934=VERTEX_POINT('',#51932); +#51935=VERTEX_POINT('',#51933); +#51936=CARTESIAN_POINT('',(-2.41E1,4.815E0,-2.9265E1)); +#51937=CARTESIAN_POINT('',(-2.41E1,3.815E0,-3.0265E1)); +#51938=VERTEX_POINT('',#51936); +#51939=VERTEX_POINT('',#51937); +#51940=CARTESIAN_POINT('',(-2.41E1,3.815E0,-3.0895E1)); +#51941=CARTESIAN_POINT('',(-2.41E1,5.445E0,-2.9265E1)); +#51942=VERTEX_POINT('',#51940); +#51943=VERTEX_POINT('',#51941); +#51944=CARTESIAN_POINT('',(-2.41E1,-2.785E0,-2.4685E1)); +#51945=CARTESIAN_POINT('',(-2.41E1,-3.285E0,-2.5185E1)); +#51946=VERTEX_POINT('',#51944); +#51947=VERTEX_POINT('',#51945); +#51948=CARTESIAN_POINT('',(-2.41E1,-3.285E0,-2.5815E1)); +#51949=CARTESIAN_POINT('',(-2.41E1,-2.155E0,-2.4685E1)); +#51950=VERTEX_POINT('',#51948); +#51951=VERTEX_POINT('',#51949); +#51952=CARTESIAN_POINT('',(-2.32E1,4.815E0,-2.9265E1)); +#51953=CARTESIAN_POINT('',(-2.32E1,3.815E0,-3.0265E1)); +#51954=VERTEX_POINT('',#51952); +#51955=VERTEX_POINT('',#51953); +#51956=CARTESIAN_POINT('',(-2.32E1,3.815E0,-3.0895E1)); +#51957=CARTESIAN_POINT('',(-2.32E1,5.445E0,-2.9265E1)); +#51958=VERTEX_POINT('',#51956); +#51959=VERTEX_POINT('',#51957); +#51960=CARTESIAN_POINT('',(-2.32E1,-2.785E0,-2.4685E1)); +#51961=CARTESIAN_POINT('',(-2.32E1,-3.285E0,-2.5185E1)); +#51962=VERTEX_POINT('',#51960); +#51963=VERTEX_POINT('',#51961); +#51964=CARTESIAN_POINT('',(-2.32E1,-3.285E0,-2.5815E1)); +#51965=CARTESIAN_POINT('',(-2.32E1,-2.155E0,-2.4685E1)); +#51966=VERTEX_POINT('',#51964); +#51967=VERTEX_POINT('',#51965); +#51968=CARTESIAN_POINT('',(-2.41E1,4.815E0,-2.584E1)); +#51969=VERTEX_POINT('',#51968); +#51970=CARTESIAN_POINT('',(-2.41E1,5.445E0,-2.584E1)); +#51971=VERTEX_POINT('',#51970); +#51972=CARTESIAN_POINT('',(-2.41E1,-2.785E0,-2.36E1)); +#51973=VERTEX_POINT('',#51972); +#51974=CARTESIAN_POINT('',(-2.41E1,-2.155E0,-2.36E1)); +#51975=VERTEX_POINT('',#51974); +#51976=CARTESIAN_POINT('',(-2.32E1,4.815E0,-2.584E1)); +#51977=VERTEX_POINT('',#51976); +#51978=CARTESIAN_POINT('',(-2.32E1,5.445E0,-2.584E1)); +#51979=VERTEX_POINT('',#51978); +#51980=CARTESIAN_POINT('',(-2.32E1,-2.785E0,-2.36E1)); +#51981=VERTEX_POINT('',#51980); +#51982=CARTESIAN_POINT('',(-2.32E1,-2.155E0,-2.36E1)); +#51983=VERTEX_POINT('',#51982); +#51984=CARTESIAN_POINT('',(-2.195E1,-2.785E0,-2.4685E1)); +#51985=CARTESIAN_POINT('',(-2.195E1,-3.285E0,-2.5185E1)); +#51986=VERTEX_POINT('',#51984); +#51987=VERTEX_POINT('',#51985); +#51988=CARTESIAN_POINT('',(-2.195E1,-3.285E0,-2.5815E1)); +#51989=CARTESIAN_POINT('',(-2.195E1,-2.155E0,-2.4685E1)); +#51990=VERTEX_POINT('',#51988); +#51991=VERTEX_POINT('',#51989); +#51992=CARTESIAN_POINT('',(-2.195E1,4.815E0,-2.6725E1)); +#51993=CARTESIAN_POINT('',(-2.195E1,3.815E0,-2.7725E1)); +#51994=VERTEX_POINT('',#51992); +#51995=VERTEX_POINT('',#51993); +#51996=CARTESIAN_POINT('',(-2.195E1,3.815E0,-2.8355E1)); +#51997=CARTESIAN_POINT('',(-2.195E1,5.445E0,-2.6725E1)); +#51998=VERTEX_POINT('',#51996); +#51999=VERTEX_POINT('',#51997); +#52000=CARTESIAN_POINT('',(-2.105E1,-2.785E0,-2.4685E1)); +#52001=CARTESIAN_POINT('',(-2.105E1,-3.285E0,-2.5185E1)); +#52002=VERTEX_POINT('',#52000); +#52003=VERTEX_POINT('',#52001); +#52004=CARTESIAN_POINT('',(-2.105E1,-3.285E0,-2.5815E1)); +#52005=CARTESIAN_POINT('',(-2.105E1,-2.155E0,-2.4685E1)); +#52006=VERTEX_POINT('',#52004); +#52007=VERTEX_POINT('',#52005); +#52008=CARTESIAN_POINT('',(-2.105E1,4.815E0,-2.6725E1)); +#52009=CARTESIAN_POINT('',(-2.105E1,3.815E0,-2.7725E1)); +#52010=VERTEX_POINT('',#52008); +#52011=VERTEX_POINT('',#52009); +#52012=CARTESIAN_POINT('',(-2.105E1,3.815E0,-2.8355E1)); +#52013=CARTESIAN_POINT('',(-2.105E1,5.445E0,-2.6725E1)); +#52014=VERTEX_POINT('',#52012); +#52015=VERTEX_POINT('',#52013); +#52016=CARTESIAN_POINT('',(-2.195E1,-2.785E0,-2.36E1)); +#52017=VERTEX_POINT('',#52016); +#52018=CARTESIAN_POINT('',(-2.195E1,-2.155E0,-2.36E1)); +#52019=VERTEX_POINT('',#52018); +#52020=CARTESIAN_POINT('',(-2.195E1,4.815E0,-2.584E1)); +#52021=VERTEX_POINT('',#52020); +#52022=CARTESIAN_POINT('',(-2.195E1,5.445E0,-2.584E1)); +#52023=VERTEX_POINT('',#52022); +#52024=CARTESIAN_POINT('',(-2.105E1,-2.785E0,-2.36E1)); +#52025=VERTEX_POINT('',#52024); +#52026=CARTESIAN_POINT('',(-2.105E1,-2.155E0,-2.36E1)); +#52027=VERTEX_POINT('',#52026); +#52028=CARTESIAN_POINT('',(-2.105E1,4.815E0,-2.584E1)); +#52029=VERTEX_POINT('',#52028); +#52030=CARTESIAN_POINT('',(-2.105E1,5.445E0,-2.584E1)); +#52031=VERTEX_POINT('',#52030); +#52032=CARTESIAN_POINT('',(-2.395E1,-1.35E1,-3.0895E1)); +#52033=CARTESIAN_POINT('',(-2.395E1,-1.35E1,-3.0265E1)); +#52034=VERTEX_POINT('',#52032); +#52035=VERTEX_POINT('',#52033); +#52036=CARTESIAN_POINT('',(-2.395E1,-1.35E1,-2.5815E1)); +#52037=CARTESIAN_POINT('',(-2.395E1,-1.35E1,-2.5185E1)); +#52038=VERTEX_POINT('',#52036); +#52039=VERTEX_POINT('',#52037); +#52040=CARTESIAN_POINT('',(-2.395E1,-1.12E1,-3.0895E1)); +#52041=CARTESIAN_POINT('',(-2.395E1,-1.12E1,-3.0265E1)); +#52042=VERTEX_POINT('',#52040); +#52043=VERTEX_POINT('',#52041); +#52044=CARTESIAN_POINT('',(-2.395E1,-1.12E1,-2.5815E1)); +#52045=CARTESIAN_POINT('',(-2.395E1,-1.12E1,-2.5185E1)); +#52046=VERTEX_POINT('',#52044); +#52047=VERTEX_POINT('',#52045); +#52048=CARTESIAN_POINT('',(-2.335E1,-1.35E1,-3.0895E1)); +#52049=CARTESIAN_POINT('',(-2.335E1,-1.35E1,-3.0265E1)); +#52050=VERTEX_POINT('',#52048); +#52051=VERTEX_POINT('',#52049); +#52052=CARTESIAN_POINT('',(-2.335E1,-1.35E1,-2.5815E1)); +#52053=CARTESIAN_POINT('',(-2.335E1,-1.35E1,-2.5185E1)); +#52054=VERTEX_POINT('',#52052); +#52055=VERTEX_POINT('',#52053); +#52056=CARTESIAN_POINT('',(-2.335E1,-1.12E1,-3.0895E1)); +#52057=CARTESIAN_POINT('',(-2.335E1,-1.12E1,-3.0265E1)); +#52058=VERTEX_POINT('',#52056); +#52059=VERTEX_POINT('',#52057); +#52060=CARTESIAN_POINT('',(-2.335E1,-1.12E1,-2.5815E1)); +#52061=CARTESIAN_POINT('',(-2.335E1,-1.12E1,-2.5185E1)); +#52062=VERTEX_POINT('',#52060); +#52063=VERTEX_POINT('',#52061); +#52064=CARTESIAN_POINT('',(-2.12E1,-1.35E1,-2.8355E1)); +#52065=CARTESIAN_POINT('',(-2.12E1,-1.35E1,-2.7725E1)); +#52066=VERTEX_POINT('',#52064); +#52067=VERTEX_POINT('',#52065); +#52068=CARTESIAN_POINT('',(-2.12E1,-1.35E1,-2.5815E1)); +#52069=CARTESIAN_POINT('',(-2.12E1,-1.35E1,-2.5185E1)); +#52070=VERTEX_POINT('',#52068); +#52071=VERTEX_POINT('',#52069); +#52072=CARTESIAN_POINT('',(-2.12E1,-1.12E1,-2.8355E1)); +#52073=CARTESIAN_POINT('',(-2.12E1,-1.12E1,-2.7725E1)); +#52074=VERTEX_POINT('',#52072); +#52075=VERTEX_POINT('',#52073); +#52076=CARTESIAN_POINT('',(-2.12E1,-1.12E1,-2.5815E1)); +#52077=CARTESIAN_POINT('',(-2.12E1,-1.12E1,-2.5185E1)); +#52078=VERTEX_POINT('',#52076); +#52079=VERTEX_POINT('',#52077); +#52080=CARTESIAN_POINT('',(-2.18E1,-1.35E1,-2.8355E1)); +#52081=CARTESIAN_POINT('',(-2.18E1,-1.35E1,-2.7725E1)); +#52082=VERTEX_POINT('',#52080); +#52083=VERTEX_POINT('',#52081); +#52084=CARTESIAN_POINT('',(-2.18E1,-1.35E1,-2.5815E1)); +#52085=CARTESIAN_POINT('',(-2.18E1,-1.35E1,-2.5185E1)); +#52086=VERTEX_POINT('',#52084); +#52087=VERTEX_POINT('',#52085); +#52088=CARTESIAN_POINT('',(-2.18E1,-1.12E1,-2.8355E1)); +#52089=CARTESIAN_POINT('',(-2.18E1,-1.12E1,-2.7725E1)); +#52090=VERTEX_POINT('',#52088); +#52091=VERTEX_POINT('',#52089); +#52092=CARTESIAN_POINT('',(-2.18E1,-1.12E1,-2.5815E1)); +#52093=CARTESIAN_POINT('',(-2.18E1,-1.12E1,-2.5185E1)); +#52094=VERTEX_POINT('',#52092); +#52095=VERTEX_POINT('',#52093); +#52096=CARTESIAN_POINT('',(-1.98E1,4.815E0,-2.9265E1)); +#52097=CARTESIAN_POINT('',(-1.98E1,3.815E0,-3.0265E1)); +#52098=VERTEX_POINT('',#52096); +#52099=VERTEX_POINT('',#52097); +#52100=CARTESIAN_POINT('',(-1.98E1,3.815E0,-3.0895E1)); +#52101=CARTESIAN_POINT('',(-1.98E1,5.445E0,-2.9265E1)); +#52102=VERTEX_POINT('',#52100); +#52103=VERTEX_POINT('',#52101); +#52104=CARTESIAN_POINT('',(-1.98E1,-2.785E0,-2.4685E1)); +#52105=CARTESIAN_POINT('',(-1.98E1,-3.285E0,-2.5185E1)); +#52106=VERTEX_POINT('',#52104); +#52107=VERTEX_POINT('',#52105); +#52108=CARTESIAN_POINT('',(-1.98E1,-3.285E0,-2.5815E1)); +#52109=CARTESIAN_POINT('',(-1.98E1,-2.155E0,-2.4685E1)); +#52110=VERTEX_POINT('',#52108); +#52111=VERTEX_POINT('',#52109); +#52112=CARTESIAN_POINT('',(-1.89E1,4.815E0,-2.9265E1)); +#52113=CARTESIAN_POINT('',(-1.89E1,3.815E0,-3.0265E1)); +#52114=VERTEX_POINT('',#52112); +#52115=VERTEX_POINT('',#52113); +#52116=CARTESIAN_POINT('',(-1.89E1,3.815E0,-3.0895E1)); +#52117=CARTESIAN_POINT('',(-1.89E1,5.445E0,-2.9265E1)); +#52118=VERTEX_POINT('',#52116); +#52119=VERTEX_POINT('',#52117); +#52120=CARTESIAN_POINT('',(-1.89E1,-2.785E0,-2.4685E1)); +#52121=CARTESIAN_POINT('',(-1.89E1,-3.285E0,-2.5185E1)); +#52122=VERTEX_POINT('',#52120); +#52123=VERTEX_POINT('',#52121); +#52124=CARTESIAN_POINT('',(-1.89E1,-3.285E0,-2.5815E1)); +#52125=CARTESIAN_POINT('',(-1.89E1,-2.155E0,-2.4685E1)); +#52126=VERTEX_POINT('',#52124); +#52127=VERTEX_POINT('',#52125); +#52128=CARTESIAN_POINT('',(-1.98E1,4.815E0,-2.584E1)); +#52129=VERTEX_POINT('',#52128); +#52130=CARTESIAN_POINT('',(-1.98E1,5.445E0,-2.584E1)); +#52131=VERTEX_POINT('',#52130); +#52132=CARTESIAN_POINT('',(-1.98E1,-2.785E0,-2.36E1)); +#52133=VERTEX_POINT('',#52132); +#52134=CARTESIAN_POINT('',(-1.98E1,-2.155E0,-2.36E1)); +#52135=VERTEX_POINT('',#52134); +#52136=CARTESIAN_POINT('',(-1.89E1,4.815E0,-2.584E1)); +#52137=VERTEX_POINT('',#52136); +#52138=CARTESIAN_POINT('',(-1.89E1,5.445E0,-2.584E1)); +#52139=VERTEX_POINT('',#52138); +#52140=CARTESIAN_POINT('',(-1.89E1,-2.785E0,-2.36E1)); +#52141=VERTEX_POINT('',#52140); +#52142=CARTESIAN_POINT('',(-1.89E1,-2.155E0,-2.36E1)); +#52143=VERTEX_POINT('',#52142); +#52144=CARTESIAN_POINT('',(-1.765E1,-2.785E0,-2.4685E1)); +#52145=CARTESIAN_POINT('',(-1.765E1,-3.285E0,-2.5185E1)); +#52146=VERTEX_POINT('',#52144); +#52147=VERTEX_POINT('',#52145); +#52148=CARTESIAN_POINT('',(-1.765E1,-3.285E0,-2.5815E1)); +#52149=CARTESIAN_POINT('',(-1.765E1,-2.155E0,-2.4685E1)); +#52150=VERTEX_POINT('',#52148); +#52151=VERTEX_POINT('',#52149); +#52152=CARTESIAN_POINT('',(-1.765E1,4.815E0,-2.6725E1)); +#52153=CARTESIAN_POINT('',(-1.765E1,3.815E0,-2.7725E1)); +#52154=VERTEX_POINT('',#52152); +#52155=VERTEX_POINT('',#52153); +#52156=CARTESIAN_POINT('',(-1.765E1,3.815E0,-2.8355E1)); +#52157=CARTESIAN_POINT('',(-1.765E1,5.445E0,-2.6725E1)); +#52158=VERTEX_POINT('',#52156); +#52159=VERTEX_POINT('',#52157); +#52160=CARTESIAN_POINT('',(-1.675E1,-2.785E0,-2.4685E1)); +#52161=CARTESIAN_POINT('',(-1.675E1,-3.285E0,-2.5185E1)); +#52162=VERTEX_POINT('',#52160); +#52163=VERTEX_POINT('',#52161); +#52164=CARTESIAN_POINT('',(-1.675E1,-3.285E0,-2.5815E1)); +#52165=CARTESIAN_POINT('',(-1.675E1,-2.155E0,-2.4685E1)); +#52166=VERTEX_POINT('',#52164); +#52167=VERTEX_POINT('',#52165); +#52168=CARTESIAN_POINT('',(-1.675E1,4.815E0,-2.6725E1)); +#52169=CARTESIAN_POINT('',(-1.675E1,3.815E0,-2.7725E1)); +#52170=VERTEX_POINT('',#52168); +#52171=VERTEX_POINT('',#52169); +#52172=CARTESIAN_POINT('',(-1.675E1,3.815E0,-2.8355E1)); +#52173=CARTESIAN_POINT('',(-1.675E1,5.445E0,-2.6725E1)); +#52174=VERTEX_POINT('',#52172); +#52175=VERTEX_POINT('',#52173); +#52176=CARTESIAN_POINT('',(-1.765E1,-2.785E0,-2.36E1)); +#52177=VERTEX_POINT('',#52176); +#52178=CARTESIAN_POINT('',(-1.765E1,-2.155E0,-2.36E1)); +#52179=VERTEX_POINT('',#52178); +#52180=CARTESIAN_POINT('',(-1.765E1,4.815E0,-2.584E1)); +#52181=VERTEX_POINT('',#52180); +#52182=CARTESIAN_POINT('',(-1.765E1,5.445E0,-2.584E1)); +#52183=VERTEX_POINT('',#52182); +#52184=CARTESIAN_POINT('',(-1.675E1,-2.785E0,-2.36E1)); +#52185=VERTEX_POINT('',#52184); +#52186=CARTESIAN_POINT('',(-1.675E1,-2.155E0,-2.36E1)); +#52187=VERTEX_POINT('',#52186); +#52188=CARTESIAN_POINT('',(-1.675E1,4.815E0,-2.584E1)); +#52189=VERTEX_POINT('',#52188); +#52190=CARTESIAN_POINT('',(-1.675E1,5.445E0,-2.584E1)); +#52191=VERTEX_POINT('',#52190); +#52192=CARTESIAN_POINT('',(-1.965E1,-1.35E1,-3.0895E1)); +#52193=CARTESIAN_POINT('',(-1.965E1,-1.35E1,-3.0265E1)); +#52194=VERTEX_POINT('',#52192); +#52195=VERTEX_POINT('',#52193); +#52196=CARTESIAN_POINT('',(-1.965E1,-1.35E1,-2.5815E1)); +#52197=CARTESIAN_POINT('',(-1.965E1,-1.35E1,-2.5185E1)); +#52198=VERTEX_POINT('',#52196); +#52199=VERTEX_POINT('',#52197); +#52200=CARTESIAN_POINT('',(-1.965E1,-1.12E1,-3.0895E1)); +#52201=CARTESIAN_POINT('',(-1.965E1,-1.12E1,-3.0265E1)); +#52202=VERTEX_POINT('',#52200); +#52203=VERTEX_POINT('',#52201); +#52204=CARTESIAN_POINT('',(-1.965E1,-1.12E1,-2.5815E1)); +#52205=CARTESIAN_POINT('',(-1.965E1,-1.12E1,-2.5185E1)); +#52206=VERTEX_POINT('',#52204); +#52207=VERTEX_POINT('',#52205); +#52208=CARTESIAN_POINT('',(-1.905E1,-1.35E1,-3.0895E1)); +#52209=CARTESIAN_POINT('',(-1.905E1,-1.35E1,-3.0265E1)); +#52210=VERTEX_POINT('',#52208); +#52211=VERTEX_POINT('',#52209); +#52212=CARTESIAN_POINT('',(-1.905E1,-1.35E1,-2.5815E1)); +#52213=CARTESIAN_POINT('',(-1.905E1,-1.35E1,-2.5185E1)); +#52214=VERTEX_POINT('',#52212); +#52215=VERTEX_POINT('',#52213); +#52216=CARTESIAN_POINT('',(-1.905E1,-1.12E1,-3.0895E1)); +#52217=CARTESIAN_POINT('',(-1.905E1,-1.12E1,-3.0265E1)); +#52218=VERTEX_POINT('',#52216); +#52219=VERTEX_POINT('',#52217); +#52220=CARTESIAN_POINT('',(-1.905E1,-1.12E1,-2.5815E1)); +#52221=CARTESIAN_POINT('',(-1.905E1,-1.12E1,-2.5185E1)); +#52222=VERTEX_POINT('',#52220); +#52223=VERTEX_POINT('',#52221); +#52224=CARTESIAN_POINT('',(-1.69E1,-1.35E1,-2.8355E1)); +#52225=CARTESIAN_POINT('',(-1.69E1,-1.35E1,-2.7725E1)); +#52226=VERTEX_POINT('',#52224); +#52227=VERTEX_POINT('',#52225); +#52228=CARTESIAN_POINT('',(-1.69E1,-1.35E1,-2.5815E1)); +#52229=CARTESIAN_POINT('',(-1.69E1,-1.35E1,-2.5185E1)); +#52230=VERTEX_POINT('',#52228); +#52231=VERTEX_POINT('',#52229); +#52232=CARTESIAN_POINT('',(-1.69E1,-1.12E1,-2.8355E1)); +#52233=CARTESIAN_POINT('',(-1.69E1,-1.12E1,-2.7725E1)); +#52234=VERTEX_POINT('',#52232); +#52235=VERTEX_POINT('',#52233); +#52236=CARTESIAN_POINT('',(-1.69E1,-1.12E1,-2.5815E1)); +#52237=CARTESIAN_POINT('',(-1.69E1,-1.12E1,-2.5185E1)); +#52238=VERTEX_POINT('',#52236); +#52239=VERTEX_POINT('',#52237); +#52240=CARTESIAN_POINT('',(-1.75E1,-1.35E1,-2.8355E1)); +#52241=CARTESIAN_POINT('',(-1.75E1,-1.35E1,-2.7725E1)); +#52242=VERTEX_POINT('',#52240); +#52243=VERTEX_POINT('',#52241); +#52244=CARTESIAN_POINT('',(-1.75E1,-1.35E1,-2.5815E1)); +#52245=CARTESIAN_POINT('',(-1.75E1,-1.35E1,-2.5185E1)); +#52246=VERTEX_POINT('',#52244); +#52247=VERTEX_POINT('',#52245); +#52248=CARTESIAN_POINT('',(-1.75E1,-1.12E1,-2.8355E1)); +#52249=CARTESIAN_POINT('',(-1.75E1,-1.12E1,-2.7725E1)); +#52250=VERTEX_POINT('',#52248); +#52251=VERTEX_POINT('',#52249); +#52252=CARTESIAN_POINT('',(-1.75E1,-1.12E1,-2.5815E1)); +#52253=CARTESIAN_POINT('',(-1.75E1,-1.12E1,-2.5185E1)); +#52254=VERTEX_POINT('',#52252); +#52255=VERTEX_POINT('',#52253); +#52256=CARTESIAN_POINT('',(-1.55E1,4.815E0,-2.9265E1)); +#52257=CARTESIAN_POINT('',(-1.55E1,3.815E0,-3.0265E1)); +#52258=VERTEX_POINT('',#52256); +#52259=VERTEX_POINT('',#52257); +#52260=CARTESIAN_POINT('',(-1.55E1,3.815E0,-3.0895E1)); +#52261=CARTESIAN_POINT('',(-1.55E1,5.445E0,-2.9265E1)); +#52262=VERTEX_POINT('',#52260); +#52263=VERTEX_POINT('',#52261); +#52264=CARTESIAN_POINT('',(-1.55E1,-2.785E0,-2.4685E1)); +#52265=CARTESIAN_POINT('',(-1.55E1,-3.285E0,-2.5185E1)); +#52266=VERTEX_POINT('',#52264); +#52267=VERTEX_POINT('',#52265); +#52268=CARTESIAN_POINT('',(-1.55E1,-3.285E0,-2.5815E1)); +#52269=CARTESIAN_POINT('',(-1.55E1,-2.155E0,-2.4685E1)); +#52270=VERTEX_POINT('',#52268); +#52271=VERTEX_POINT('',#52269); +#52272=CARTESIAN_POINT('',(-1.46E1,4.815E0,-2.9265E1)); +#52273=CARTESIAN_POINT('',(-1.46E1,3.815E0,-3.0265E1)); +#52274=VERTEX_POINT('',#52272); +#52275=VERTEX_POINT('',#52273); +#52276=CARTESIAN_POINT('',(-1.46E1,3.815E0,-3.0895E1)); +#52277=CARTESIAN_POINT('',(-1.46E1,5.445E0,-2.9265E1)); +#52278=VERTEX_POINT('',#52276); +#52279=VERTEX_POINT('',#52277); +#52280=CARTESIAN_POINT('',(-1.46E1,-2.785E0,-2.4685E1)); +#52281=CARTESIAN_POINT('',(-1.46E1,-3.285E0,-2.5185E1)); +#52282=VERTEX_POINT('',#52280); +#52283=VERTEX_POINT('',#52281); +#52284=CARTESIAN_POINT('',(-1.46E1,-3.285E0,-2.5815E1)); +#52285=CARTESIAN_POINT('',(-1.46E1,-2.155E0,-2.4685E1)); +#52286=VERTEX_POINT('',#52284); +#52287=VERTEX_POINT('',#52285); +#52288=CARTESIAN_POINT('',(-1.55E1,4.815E0,-2.584E1)); +#52289=VERTEX_POINT('',#52288); +#52290=CARTESIAN_POINT('',(-1.55E1,5.445E0,-2.584E1)); +#52291=VERTEX_POINT('',#52290); +#52292=CARTESIAN_POINT('',(-1.55E1,-2.785E0,-2.36E1)); +#52293=VERTEX_POINT('',#52292); +#52294=CARTESIAN_POINT('',(-1.55E1,-2.155E0,-2.36E1)); +#52295=VERTEX_POINT('',#52294); +#52296=CARTESIAN_POINT('',(-1.46E1,4.815E0,-2.584E1)); +#52297=VERTEX_POINT('',#52296); +#52298=CARTESIAN_POINT('',(-1.46E1,5.445E0,-2.584E1)); +#52299=VERTEX_POINT('',#52298); +#52300=CARTESIAN_POINT('',(-1.46E1,-2.785E0,-2.36E1)); +#52301=VERTEX_POINT('',#52300); +#52302=CARTESIAN_POINT('',(-1.46E1,-2.155E0,-2.36E1)); +#52303=VERTEX_POINT('',#52302); +#52304=CARTESIAN_POINT('',(-1.335E1,-2.785E0,-2.4685E1)); +#52305=CARTESIAN_POINT('',(-1.335E1,-3.285E0,-2.5185E1)); +#52306=VERTEX_POINT('',#52304); +#52307=VERTEX_POINT('',#52305); +#52308=CARTESIAN_POINT('',(-1.335E1,-3.285E0,-2.5815E1)); +#52309=CARTESIAN_POINT('',(-1.335E1,-2.155E0,-2.4685E1)); +#52310=VERTEX_POINT('',#52308); +#52311=VERTEX_POINT('',#52309); +#52312=CARTESIAN_POINT('',(-1.335E1,4.815E0,-2.6725E1)); +#52313=CARTESIAN_POINT('',(-1.335E1,3.815E0,-2.7725E1)); +#52314=VERTEX_POINT('',#52312); +#52315=VERTEX_POINT('',#52313); +#52316=CARTESIAN_POINT('',(-1.335E1,3.815E0,-2.8355E1)); +#52317=CARTESIAN_POINT('',(-1.335E1,5.445E0,-2.6725E1)); +#52318=VERTEX_POINT('',#52316); +#52319=VERTEX_POINT('',#52317); +#52320=CARTESIAN_POINT('',(-1.245E1,-2.785E0,-2.4685E1)); +#52321=CARTESIAN_POINT('',(-1.245E1,-3.285E0,-2.5185E1)); +#52322=VERTEX_POINT('',#52320); +#52323=VERTEX_POINT('',#52321); +#52324=CARTESIAN_POINT('',(-1.245E1,-3.285E0,-2.5815E1)); +#52325=CARTESIAN_POINT('',(-1.245E1,-2.155E0,-2.4685E1)); +#52326=VERTEX_POINT('',#52324); +#52327=VERTEX_POINT('',#52325); +#52328=CARTESIAN_POINT('',(-1.245E1,4.815E0,-2.6725E1)); +#52329=CARTESIAN_POINT('',(-1.245E1,3.815E0,-2.7725E1)); +#52330=VERTEX_POINT('',#52328); +#52331=VERTEX_POINT('',#52329); +#52332=CARTESIAN_POINT('',(-1.245E1,3.815E0,-2.8355E1)); +#52333=CARTESIAN_POINT('',(-1.245E1,5.445E0,-2.6725E1)); +#52334=VERTEX_POINT('',#52332); +#52335=VERTEX_POINT('',#52333); +#52336=CARTESIAN_POINT('',(-1.335E1,-2.785E0,-2.36E1)); +#52337=VERTEX_POINT('',#52336); +#52338=CARTESIAN_POINT('',(-1.335E1,-2.155E0,-2.36E1)); +#52339=VERTEX_POINT('',#52338); +#52340=CARTESIAN_POINT('',(-1.335E1,4.815E0,-2.584E1)); +#52341=VERTEX_POINT('',#52340); +#52342=CARTESIAN_POINT('',(-1.335E1,5.445E0,-2.584E1)); +#52343=VERTEX_POINT('',#52342); +#52344=CARTESIAN_POINT('',(-1.245E1,-2.785E0,-2.36E1)); +#52345=VERTEX_POINT('',#52344); +#52346=CARTESIAN_POINT('',(-1.245E1,-2.155E0,-2.36E1)); +#52347=VERTEX_POINT('',#52346); +#52348=CARTESIAN_POINT('',(-1.245E1,4.815E0,-2.584E1)); +#52349=VERTEX_POINT('',#52348); +#52350=CARTESIAN_POINT('',(-1.245E1,5.445E0,-2.584E1)); +#52351=VERTEX_POINT('',#52350); +#52352=CARTESIAN_POINT('',(-1.535E1,-1.35E1,-3.0895E1)); +#52353=CARTESIAN_POINT('',(-1.535E1,-1.35E1,-3.0265E1)); +#52354=VERTEX_POINT('',#52352); +#52355=VERTEX_POINT('',#52353); +#52356=CARTESIAN_POINT('',(-1.535E1,-1.35E1,-2.5815E1)); +#52357=CARTESIAN_POINT('',(-1.535E1,-1.35E1,-2.5185E1)); +#52358=VERTEX_POINT('',#52356); +#52359=VERTEX_POINT('',#52357); +#52360=CARTESIAN_POINT('',(-1.535E1,-1.12E1,-3.0895E1)); +#52361=CARTESIAN_POINT('',(-1.535E1,-1.12E1,-3.0265E1)); +#52362=VERTEX_POINT('',#52360); +#52363=VERTEX_POINT('',#52361); +#52364=CARTESIAN_POINT('',(-1.535E1,-1.12E1,-2.5815E1)); +#52365=CARTESIAN_POINT('',(-1.535E1,-1.12E1,-2.5185E1)); +#52366=VERTEX_POINT('',#52364); +#52367=VERTEX_POINT('',#52365); +#52368=CARTESIAN_POINT('',(-1.475E1,-1.35E1,-3.0895E1)); +#52369=CARTESIAN_POINT('',(-1.475E1,-1.35E1,-3.0265E1)); +#52370=VERTEX_POINT('',#52368); +#52371=VERTEX_POINT('',#52369); +#52372=CARTESIAN_POINT('',(-1.475E1,-1.35E1,-2.5815E1)); +#52373=CARTESIAN_POINT('',(-1.475E1,-1.35E1,-2.5185E1)); +#52374=VERTEX_POINT('',#52372); +#52375=VERTEX_POINT('',#52373); +#52376=CARTESIAN_POINT('',(-1.475E1,-1.12E1,-3.0895E1)); +#52377=CARTESIAN_POINT('',(-1.475E1,-1.12E1,-3.0265E1)); +#52378=VERTEX_POINT('',#52376); +#52379=VERTEX_POINT('',#52377); +#52380=CARTESIAN_POINT('',(-1.475E1,-1.12E1,-2.5815E1)); +#52381=CARTESIAN_POINT('',(-1.475E1,-1.12E1,-2.5185E1)); +#52382=VERTEX_POINT('',#52380); +#52383=VERTEX_POINT('',#52381); +#52384=CARTESIAN_POINT('',(-1.26E1,-1.35E1,-2.8355E1)); +#52385=CARTESIAN_POINT('',(-1.26E1,-1.35E1,-2.7725E1)); +#52386=VERTEX_POINT('',#52384); +#52387=VERTEX_POINT('',#52385); +#52388=CARTESIAN_POINT('',(-1.26E1,-1.35E1,-2.5815E1)); +#52389=CARTESIAN_POINT('',(-1.26E1,-1.35E1,-2.5185E1)); +#52390=VERTEX_POINT('',#52388); +#52391=VERTEX_POINT('',#52389); +#52392=CARTESIAN_POINT('',(-1.26E1,-1.12E1,-2.8355E1)); +#52393=CARTESIAN_POINT('',(-1.26E1,-1.12E1,-2.7725E1)); +#52394=VERTEX_POINT('',#52392); +#52395=VERTEX_POINT('',#52393); +#52396=CARTESIAN_POINT('',(-1.26E1,-1.12E1,-2.5815E1)); +#52397=CARTESIAN_POINT('',(-1.26E1,-1.12E1,-2.5185E1)); +#52398=VERTEX_POINT('',#52396); +#52399=VERTEX_POINT('',#52397); +#52400=CARTESIAN_POINT('',(-1.32E1,-1.35E1,-2.8355E1)); +#52401=CARTESIAN_POINT('',(-1.32E1,-1.35E1,-2.7725E1)); +#52402=VERTEX_POINT('',#52400); +#52403=VERTEX_POINT('',#52401); +#52404=CARTESIAN_POINT('',(-1.32E1,-1.35E1,-2.5815E1)); +#52405=CARTESIAN_POINT('',(-1.32E1,-1.35E1,-2.5185E1)); +#52406=VERTEX_POINT('',#52404); +#52407=VERTEX_POINT('',#52405); +#52408=CARTESIAN_POINT('',(-1.32E1,-1.12E1,-2.8355E1)); +#52409=CARTESIAN_POINT('',(-1.32E1,-1.12E1,-2.7725E1)); +#52410=VERTEX_POINT('',#52408); +#52411=VERTEX_POINT('',#52409); +#52412=CARTESIAN_POINT('',(-1.32E1,-1.12E1,-2.5815E1)); +#52413=CARTESIAN_POINT('',(-1.32E1,-1.12E1,-2.5185E1)); +#52414=VERTEX_POINT('',#52412); +#52415=VERTEX_POINT('',#52413); +#52416=CARTESIAN_POINT('',(-1.12E1,4.815E0,-2.9265E1)); +#52417=CARTESIAN_POINT('',(-1.12E1,3.815E0,-3.0265E1)); +#52418=VERTEX_POINT('',#52416); +#52419=VERTEX_POINT('',#52417); +#52420=CARTESIAN_POINT('',(-1.12E1,3.815E0,-3.0895E1)); +#52421=CARTESIAN_POINT('',(-1.12E1,5.445E0,-2.9265E1)); +#52422=VERTEX_POINT('',#52420); +#52423=VERTEX_POINT('',#52421); +#52424=CARTESIAN_POINT('',(-1.12E1,-2.785E0,-2.4685E1)); +#52425=CARTESIAN_POINT('',(-1.12E1,-3.285E0,-2.5185E1)); +#52426=VERTEX_POINT('',#52424); +#52427=VERTEX_POINT('',#52425); +#52428=CARTESIAN_POINT('',(-1.12E1,-3.285E0,-2.5815E1)); +#52429=CARTESIAN_POINT('',(-1.12E1,-2.155E0,-2.4685E1)); +#52430=VERTEX_POINT('',#52428); +#52431=VERTEX_POINT('',#52429); +#52432=CARTESIAN_POINT('',(-1.03E1,4.815E0,-2.9265E1)); +#52433=CARTESIAN_POINT('',(-1.03E1,3.815E0,-3.0265E1)); +#52434=VERTEX_POINT('',#52432); +#52435=VERTEX_POINT('',#52433); +#52436=CARTESIAN_POINT('',(-1.03E1,3.815E0,-3.0895E1)); +#52437=CARTESIAN_POINT('',(-1.03E1,5.445E0,-2.9265E1)); +#52438=VERTEX_POINT('',#52436); +#52439=VERTEX_POINT('',#52437); +#52440=CARTESIAN_POINT('',(-1.03E1,-2.785E0,-2.4685E1)); +#52441=CARTESIAN_POINT('',(-1.03E1,-3.285E0,-2.5185E1)); +#52442=VERTEX_POINT('',#52440); +#52443=VERTEX_POINT('',#52441); +#52444=CARTESIAN_POINT('',(-1.03E1,-3.285E0,-2.5815E1)); +#52445=CARTESIAN_POINT('',(-1.03E1,-2.155E0,-2.4685E1)); +#52446=VERTEX_POINT('',#52444); +#52447=VERTEX_POINT('',#52445); +#52448=CARTESIAN_POINT('',(-1.12E1,4.815E0,-2.584E1)); +#52449=VERTEX_POINT('',#52448); +#52450=CARTESIAN_POINT('',(-1.12E1,5.445E0,-2.584E1)); +#52451=VERTEX_POINT('',#52450); +#52452=CARTESIAN_POINT('',(-1.12E1,-2.785E0,-2.36E1)); +#52453=VERTEX_POINT('',#52452); +#52454=CARTESIAN_POINT('',(-1.12E1,-2.155E0,-2.36E1)); +#52455=VERTEX_POINT('',#52454); +#52456=CARTESIAN_POINT('',(-1.03E1,4.815E0,-2.584E1)); +#52457=VERTEX_POINT('',#52456); +#52458=CARTESIAN_POINT('',(-1.03E1,5.445E0,-2.584E1)); +#52459=VERTEX_POINT('',#52458); +#52460=CARTESIAN_POINT('',(-1.03E1,-2.785E0,-2.36E1)); +#52461=VERTEX_POINT('',#52460); +#52462=CARTESIAN_POINT('',(-1.03E1,-2.155E0,-2.36E1)); +#52463=VERTEX_POINT('',#52462); +#52464=CARTESIAN_POINT('',(-9.05E0,-2.785E0,-2.4685E1)); +#52465=CARTESIAN_POINT('',(-9.05E0,-3.285E0,-2.5185E1)); +#52466=VERTEX_POINT('',#52464); +#52467=VERTEX_POINT('',#52465); +#52468=CARTESIAN_POINT('',(-9.05E0,-3.285E0,-2.5815E1)); +#52469=CARTESIAN_POINT('',(-9.05E0,-2.155E0,-2.4685E1)); +#52470=VERTEX_POINT('',#52468); +#52471=VERTEX_POINT('',#52469); +#52472=CARTESIAN_POINT('',(-9.05E0,4.815E0,-2.6725E1)); +#52473=CARTESIAN_POINT('',(-9.05E0,3.815E0,-2.7725E1)); +#52474=VERTEX_POINT('',#52472); +#52475=VERTEX_POINT('',#52473); +#52476=CARTESIAN_POINT('',(-9.05E0,3.815E0,-2.8355E1)); +#52477=CARTESIAN_POINT('',(-9.05E0,5.445E0,-2.6725E1)); +#52478=VERTEX_POINT('',#52476); +#52479=VERTEX_POINT('',#52477); +#52480=CARTESIAN_POINT('',(-8.15E0,-2.785E0,-2.4685E1)); +#52481=CARTESIAN_POINT('',(-8.15E0,-3.285E0,-2.5185E1)); +#52482=VERTEX_POINT('',#52480); +#52483=VERTEX_POINT('',#52481); +#52484=CARTESIAN_POINT('',(-8.15E0,-3.285E0,-2.5815E1)); +#52485=CARTESIAN_POINT('',(-8.15E0,-2.155E0,-2.4685E1)); +#52486=VERTEX_POINT('',#52484); +#52487=VERTEX_POINT('',#52485); +#52488=CARTESIAN_POINT('',(-8.15E0,4.815E0,-2.6725E1)); +#52489=CARTESIAN_POINT('',(-8.15E0,3.815E0,-2.7725E1)); +#52490=VERTEX_POINT('',#52488); +#52491=VERTEX_POINT('',#52489); +#52492=CARTESIAN_POINT('',(-8.15E0,3.815E0,-2.8355E1)); +#52493=CARTESIAN_POINT('',(-8.15E0,5.445E0,-2.6725E1)); +#52494=VERTEX_POINT('',#52492); +#52495=VERTEX_POINT('',#52493); +#52496=CARTESIAN_POINT('',(-9.05E0,-2.785E0,-2.36E1)); +#52497=VERTEX_POINT('',#52496); +#52498=CARTESIAN_POINT('',(-9.05E0,-2.155E0,-2.36E1)); +#52499=VERTEX_POINT('',#52498); +#52500=CARTESIAN_POINT('',(-9.05E0,4.815E0,-2.584E1)); +#52501=VERTEX_POINT('',#52500); +#52502=CARTESIAN_POINT('',(-9.05E0,5.445E0,-2.584E1)); +#52503=VERTEX_POINT('',#52502); +#52504=CARTESIAN_POINT('',(-8.15E0,-2.785E0,-2.36E1)); +#52505=VERTEX_POINT('',#52504); +#52506=CARTESIAN_POINT('',(-8.15E0,-2.155E0,-2.36E1)); +#52507=VERTEX_POINT('',#52506); +#52508=CARTESIAN_POINT('',(-8.15E0,4.815E0,-2.584E1)); +#52509=VERTEX_POINT('',#52508); +#52510=CARTESIAN_POINT('',(-8.15E0,5.445E0,-2.584E1)); +#52511=VERTEX_POINT('',#52510); +#52512=CARTESIAN_POINT('',(-1.105E1,-1.35E1,-3.0895E1)); +#52513=CARTESIAN_POINT('',(-1.105E1,-1.35E1,-3.0265E1)); +#52514=VERTEX_POINT('',#52512); +#52515=VERTEX_POINT('',#52513); +#52516=CARTESIAN_POINT('',(-1.105E1,-1.35E1,-2.5815E1)); +#52517=CARTESIAN_POINT('',(-1.105E1,-1.35E1,-2.5185E1)); +#52518=VERTEX_POINT('',#52516); +#52519=VERTEX_POINT('',#52517); +#52520=CARTESIAN_POINT('',(-1.105E1,-1.12E1,-3.0895E1)); +#52521=CARTESIAN_POINT('',(-1.105E1,-1.12E1,-3.0265E1)); +#52522=VERTEX_POINT('',#52520); +#52523=VERTEX_POINT('',#52521); +#52524=CARTESIAN_POINT('',(-1.105E1,-1.12E1,-2.5815E1)); +#52525=CARTESIAN_POINT('',(-1.105E1,-1.12E1,-2.5185E1)); +#52526=VERTEX_POINT('',#52524); +#52527=VERTEX_POINT('',#52525); +#52528=CARTESIAN_POINT('',(-1.045E1,-1.35E1,-3.0895E1)); +#52529=CARTESIAN_POINT('',(-1.045E1,-1.35E1,-3.0265E1)); +#52530=VERTEX_POINT('',#52528); +#52531=VERTEX_POINT('',#52529); +#52532=CARTESIAN_POINT('',(-1.045E1,-1.35E1,-2.5815E1)); +#52533=CARTESIAN_POINT('',(-1.045E1,-1.35E1,-2.5185E1)); +#52534=VERTEX_POINT('',#52532); +#52535=VERTEX_POINT('',#52533); +#52536=CARTESIAN_POINT('',(-1.045E1,-1.12E1,-3.0895E1)); +#52537=CARTESIAN_POINT('',(-1.045E1,-1.12E1,-3.0265E1)); +#52538=VERTEX_POINT('',#52536); +#52539=VERTEX_POINT('',#52537); +#52540=CARTESIAN_POINT('',(-1.045E1,-1.12E1,-2.5815E1)); +#52541=CARTESIAN_POINT('',(-1.045E1,-1.12E1,-2.5185E1)); +#52542=VERTEX_POINT('',#52540); +#52543=VERTEX_POINT('',#52541); +#52544=CARTESIAN_POINT('',(-8.3E0,-1.35E1,-2.8355E1)); +#52545=CARTESIAN_POINT('',(-8.3E0,-1.35E1,-2.7725E1)); +#52546=VERTEX_POINT('',#52544); +#52547=VERTEX_POINT('',#52545); +#52548=CARTESIAN_POINT('',(-8.3E0,-1.35E1,-2.5815E1)); +#52549=CARTESIAN_POINT('',(-8.3E0,-1.35E1,-2.5185E1)); +#52550=VERTEX_POINT('',#52548); +#52551=VERTEX_POINT('',#52549); +#52552=CARTESIAN_POINT('',(-8.3E0,-1.12E1,-2.8355E1)); +#52553=CARTESIAN_POINT('',(-8.3E0,-1.12E1,-2.7725E1)); +#52554=VERTEX_POINT('',#52552); +#52555=VERTEX_POINT('',#52553); +#52556=CARTESIAN_POINT('',(-8.3E0,-1.12E1,-2.5815E1)); +#52557=CARTESIAN_POINT('',(-8.3E0,-1.12E1,-2.5185E1)); +#52558=VERTEX_POINT('',#52556); +#52559=VERTEX_POINT('',#52557); +#52560=CARTESIAN_POINT('',(-8.9E0,-1.35E1,-2.8355E1)); +#52561=CARTESIAN_POINT('',(-8.9E0,-1.35E1,-2.7725E1)); +#52562=VERTEX_POINT('',#52560); +#52563=VERTEX_POINT('',#52561); +#52564=CARTESIAN_POINT('',(-8.9E0,-1.35E1,-2.5815E1)); +#52565=CARTESIAN_POINT('',(-8.9E0,-1.35E1,-2.5185E1)); +#52566=VERTEX_POINT('',#52564); +#52567=VERTEX_POINT('',#52565); +#52568=CARTESIAN_POINT('',(-8.9E0,-1.12E1,-2.8355E1)); +#52569=CARTESIAN_POINT('',(-8.9E0,-1.12E1,-2.7725E1)); +#52570=VERTEX_POINT('',#52568); +#52571=VERTEX_POINT('',#52569); +#52572=CARTESIAN_POINT('',(-8.9E0,-1.12E1,-2.5815E1)); +#52573=CARTESIAN_POINT('',(-8.9E0,-1.12E1,-2.5185E1)); +#52574=VERTEX_POINT('',#52572); +#52575=VERTEX_POINT('',#52573); +#52576=CARTESIAN_POINT('',(-6.9E0,4.815E0,-2.9265E1)); +#52577=CARTESIAN_POINT('',(-6.9E0,3.815E0,-3.0265E1)); +#52578=VERTEX_POINT('',#52576); +#52579=VERTEX_POINT('',#52577); +#52580=CARTESIAN_POINT('',(-6.9E0,3.815E0,-3.0895E1)); +#52581=CARTESIAN_POINT('',(-6.9E0,5.445E0,-2.9265E1)); +#52582=VERTEX_POINT('',#52580); +#52583=VERTEX_POINT('',#52581); +#52584=CARTESIAN_POINT('',(-6.9E0,-2.785E0,-2.4685E1)); +#52585=CARTESIAN_POINT('',(-6.9E0,-3.285E0,-2.5185E1)); +#52586=VERTEX_POINT('',#52584); +#52587=VERTEX_POINT('',#52585); +#52588=CARTESIAN_POINT('',(-6.9E0,-3.285E0,-2.5815E1)); +#52589=CARTESIAN_POINT('',(-6.9E0,-2.155E0,-2.4685E1)); +#52590=VERTEX_POINT('',#52588); +#52591=VERTEX_POINT('',#52589); +#52592=CARTESIAN_POINT('',(-6.E0,4.815E0,-2.9265E1)); +#52593=CARTESIAN_POINT('',(-6.E0,3.815E0,-3.0265E1)); +#52594=VERTEX_POINT('',#52592); +#52595=VERTEX_POINT('',#52593); +#52596=CARTESIAN_POINT('',(-6.E0,3.815E0,-3.0895E1)); +#52597=CARTESIAN_POINT('',(-6.E0,5.445E0,-2.9265E1)); +#52598=VERTEX_POINT('',#52596); +#52599=VERTEX_POINT('',#52597); +#52600=CARTESIAN_POINT('',(-6.E0,-2.785E0,-2.4685E1)); +#52601=CARTESIAN_POINT('',(-6.E0,-3.285E0,-2.5185E1)); +#52602=VERTEX_POINT('',#52600); +#52603=VERTEX_POINT('',#52601); +#52604=CARTESIAN_POINT('',(-6.E0,-3.285E0,-2.5815E1)); +#52605=CARTESIAN_POINT('',(-6.E0,-2.155E0,-2.4685E1)); +#52606=VERTEX_POINT('',#52604); +#52607=VERTEX_POINT('',#52605); +#52608=CARTESIAN_POINT('',(-6.9E0,4.815E0,-2.584E1)); +#52609=VERTEX_POINT('',#52608); +#52610=CARTESIAN_POINT('',(-6.9E0,5.445E0,-2.584E1)); +#52611=VERTEX_POINT('',#52610); +#52612=CARTESIAN_POINT('',(-6.9E0,-2.785E0,-2.36E1)); +#52613=VERTEX_POINT('',#52612); +#52614=CARTESIAN_POINT('',(-6.9E0,-2.155E0,-2.36E1)); +#52615=VERTEX_POINT('',#52614); +#52616=CARTESIAN_POINT('',(-6.E0,4.815E0,-2.584E1)); +#52617=VERTEX_POINT('',#52616); +#52618=CARTESIAN_POINT('',(-6.E0,5.445E0,-2.584E1)); +#52619=VERTEX_POINT('',#52618); +#52620=CARTESIAN_POINT('',(-6.E0,-2.785E0,-2.36E1)); +#52621=VERTEX_POINT('',#52620); +#52622=CARTESIAN_POINT('',(-6.E0,-2.155E0,-2.36E1)); +#52623=VERTEX_POINT('',#52622); +#52624=CARTESIAN_POINT('',(-4.75E0,-2.785E0,-2.4685E1)); +#52625=CARTESIAN_POINT('',(-4.75E0,-3.285E0,-2.5185E1)); +#52626=VERTEX_POINT('',#52624); +#52627=VERTEX_POINT('',#52625); +#52628=CARTESIAN_POINT('',(-4.75E0,-3.285E0,-2.5815E1)); +#52629=CARTESIAN_POINT('',(-4.75E0,-2.155E0,-2.4685E1)); +#52630=VERTEX_POINT('',#52628); +#52631=VERTEX_POINT('',#52629); +#52632=CARTESIAN_POINT('',(-4.75E0,4.815E0,-2.6725E1)); +#52633=CARTESIAN_POINT('',(-4.75E0,3.815E0,-2.7725E1)); +#52634=VERTEX_POINT('',#52632); +#52635=VERTEX_POINT('',#52633); +#52636=CARTESIAN_POINT('',(-4.75E0,3.815E0,-2.8355E1)); +#52637=CARTESIAN_POINT('',(-4.75E0,5.445E0,-2.6725E1)); +#52638=VERTEX_POINT('',#52636); +#52639=VERTEX_POINT('',#52637); +#52640=CARTESIAN_POINT('',(-3.85E0,-2.785E0,-2.4685E1)); +#52641=CARTESIAN_POINT('',(-3.85E0,-3.285E0,-2.5185E1)); +#52642=VERTEX_POINT('',#52640); +#52643=VERTEX_POINT('',#52641); +#52644=CARTESIAN_POINT('',(-3.85E0,-3.285E0,-2.5815E1)); +#52645=CARTESIAN_POINT('',(-3.85E0,-2.155E0,-2.4685E1)); +#52646=VERTEX_POINT('',#52644); +#52647=VERTEX_POINT('',#52645); +#52648=CARTESIAN_POINT('',(-3.85E0,4.815E0,-2.6725E1)); +#52649=CARTESIAN_POINT('',(-3.85E0,3.815E0,-2.7725E1)); +#52650=VERTEX_POINT('',#52648); +#52651=VERTEX_POINT('',#52649); +#52652=CARTESIAN_POINT('',(-3.85E0,3.815E0,-2.8355E1)); +#52653=CARTESIAN_POINT('',(-3.85E0,5.445E0,-2.6725E1)); +#52654=VERTEX_POINT('',#52652); +#52655=VERTEX_POINT('',#52653); +#52656=CARTESIAN_POINT('',(-4.75E0,-2.785E0,-2.36E1)); +#52657=VERTEX_POINT('',#52656); +#52658=CARTESIAN_POINT('',(-4.75E0,-2.155E0,-2.36E1)); +#52659=VERTEX_POINT('',#52658); +#52660=CARTESIAN_POINT('',(-4.75E0,4.815E0,-2.584E1)); +#52661=VERTEX_POINT('',#52660); +#52662=CARTESIAN_POINT('',(-4.75E0,5.445E0,-2.584E1)); +#52663=VERTEX_POINT('',#52662); +#52664=CARTESIAN_POINT('',(-3.85E0,-2.785E0,-2.36E1)); +#52665=VERTEX_POINT('',#52664); +#52666=CARTESIAN_POINT('',(-3.85E0,-2.155E0,-2.36E1)); +#52667=VERTEX_POINT('',#52666); +#52668=CARTESIAN_POINT('',(-3.85E0,4.815E0,-2.584E1)); +#52669=VERTEX_POINT('',#52668); +#52670=CARTESIAN_POINT('',(-3.85E0,5.445E0,-2.584E1)); +#52671=VERTEX_POINT('',#52670); +#52672=CARTESIAN_POINT('',(-6.75E0,-1.35E1,-3.0895E1)); +#52673=CARTESIAN_POINT('',(-6.75E0,-1.35E1,-3.0265E1)); +#52674=VERTEX_POINT('',#52672); +#52675=VERTEX_POINT('',#52673); +#52676=CARTESIAN_POINT('',(-6.75E0,-1.35E1,-2.5815E1)); +#52677=CARTESIAN_POINT('',(-6.75E0,-1.35E1,-2.5185E1)); +#52678=VERTEX_POINT('',#52676); +#52679=VERTEX_POINT('',#52677); +#52680=CARTESIAN_POINT('',(-6.75E0,-1.12E1,-3.0895E1)); +#52681=CARTESIAN_POINT('',(-6.75E0,-1.12E1,-3.0265E1)); +#52682=VERTEX_POINT('',#52680); +#52683=VERTEX_POINT('',#52681); +#52684=CARTESIAN_POINT('',(-6.75E0,-1.12E1,-2.5815E1)); +#52685=CARTESIAN_POINT('',(-6.75E0,-1.12E1,-2.5185E1)); +#52686=VERTEX_POINT('',#52684); +#52687=VERTEX_POINT('',#52685); +#52688=CARTESIAN_POINT('',(-6.15E0,-1.35E1,-3.0895E1)); +#52689=CARTESIAN_POINT('',(-6.15E0,-1.35E1,-3.0265E1)); +#52690=VERTEX_POINT('',#52688); +#52691=VERTEX_POINT('',#52689); +#52692=CARTESIAN_POINT('',(-6.15E0,-1.35E1,-2.5815E1)); +#52693=CARTESIAN_POINT('',(-6.15E0,-1.35E1,-2.5185E1)); +#52694=VERTEX_POINT('',#52692); +#52695=VERTEX_POINT('',#52693); +#52696=CARTESIAN_POINT('',(-6.15E0,-1.12E1,-3.0895E1)); +#52697=CARTESIAN_POINT('',(-6.15E0,-1.12E1,-3.0265E1)); +#52698=VERTEX_POINT('',#52696); +#52699=VERTEX_POINT('',#52697); +#52700=CARTESIAN_POINT('',(-6.15E0,-1.12E1,-2.5815E1)); +#52701=CARTESIAN_POINT('',(-6.15E0,-1.12E1,-2.5185E1)); +#52702=VERTEX_POINT('',#52700); +#52703=VERTEX_POINT('',#52701); +#52704=CARTESIAN_POINT('',(-4.E0,-1.35E1,-2.8355E1)); +#52705=CARTESIAN_POINT('',(-4.E0,-1.35E1,-2.7725E1)); +#52706=VERTEX_POINT('',#52704); +#52707=VERTEX_POINT('',#52705); +#52708=CARTESIAN_POINT('',(-4.E0,-1.35E1,-2.5815E1)); +#52709=CARTESIAN_POINT('',(-4.E0,-1.35E1,-2.5185E1)); +#52710=VERTEX_POINT('',#52708); +#52711=VERTEX_POINT('',#52709); +#52712=CARTESIAN_POINT('',(-4.E0,-1.12E1,-2.8355E1)); +#52713=CARTESIAN_POINT('',(-4.E0,-1.12E1,-2.7725E1)); +#52714=VERTEX_POINT('',#52712); +#52715=VERTEX_POINT('',#52713); +#52716=CARTESIAN_POINT('',(-4.E0,-1.12E1,-2.5815E1)); +#52717=CARTESIAN_POINT('',(-4.E0,-1.12E1,-2.5185E1)); +#52718=VERTEX_POINT('',#52716); +#52719=VERTEX_POINT('',#52717); +#52720=CARTESIAN_POINT('',(-4.6E0,-1.35E1,-2.8355E1)); +#52721=CARTESIAN_POINT('',(-4.6E0,-1.35E1,-2.7725E1)); +#52722=VERTEX_POINT('',#52720); +#52723=VERTEX_POINT('',#52721); +#52724=CARTESIAN_POINT('',(-4.6E0,-1.35E1,-2.5815E1)); +#52725=CARTESIAN_POINT('',(-4.6E0,-1.35E1,-2.5185E1)); +#52726=VERTEX_POINT('',#52724); +#52727=VERTEX_POINT('',#52725); +#52728=CARTESIAN_POINT('',(-4.6E0,-1.12E1,-2.8355E1)); +#52729=CARTESIAN_POINT('',(-4.6E0,-1.12E1,-2.7725E1)); +#52730=VERTEX_POINT('',#52728); +#52731=VERTEX_POINT('',#52729); +#52732=CARTESIAN_POINT('',(-4.6E0,-1.12E1,-2.5815E1)); +#52733=CARTESIAN_POINT('',(-4.6E0,-1.12E1,-2.5185E1)); +#52734=VERTEX_POINT('',#52732); +#52735=VERTEX_POINT('',#52733); +#52736=CARTESIAN_POINT('',(-2.6E0,4.815E0,-2.9265E1)); +#52737=CARTESIAN_POINT('',(-2.6E0,3.815E0,-3.0265E1)); +#52738=VERTEX_POINT('',#52736); +#52739=VERTEX_POINT('',#52737); +#52740=CARTESIAN_POINT('',(-2.6E0,3.815E0,-3.0895E1)); +#52741=CARTESIAN_POINT('',(-2.6E0,5.445E0,-2.9265E1)); +#52742=VERTEX_POINT('',#52740); +#52743=VERTEX_POINT('',#52741); +#52744=CARTESIAN_POINT('',(-2.6E0,-2.785E0,-2.4685E1)); +#52745=CARTESIAN_POINT('',(-2.6E0,-3.285E0,-2.5185E1)); +#52746=VERTEX_POINT('',#52744); +#52747=VERTEX_POINT('',#52745); +#52748=CARTESIAN_POINT('',(-2.6E0,-3.285E0,-2.5815E1)); +#52749=CARTESIAN_POINT('',(-2.6E0,-2.155E0,-2.4685E1)); +#52750=VERTEX_POINT('',#52748); +#52751=VERTEX_POINT('',#52749); +#52752=CARTESIAN_POINT('',(-1.7E0,4.815E0,-2.9265E1)); +#52753=CARTESIAN_POINT('',(-1.7E0,3.815E0,-3.0265E1)); +#52754=VERTEX_POINT('',#52752); +#52755=VERTEX_POINT('',#52753); +#52756=CARTESIAN_POINT('',(-1.7E0,3.815E0,-3.0895E1)); +#52757=CARTESIAN_POINT('',(-1.7E0,5.445E0,-2.9265E1)); +#52758=VERTEX_POINT('',#52756); +#52759=VERTEX_POINT('',#52757); +#52760=CARTESIAN_POINT('',(-1.7E0,-2.785E0,-2.4685E1)); +#52761=CARTESIAN_POINT('',(-1.7E0,-3.285E0,-2.5185E1)); +#52762=VERTEX_POINT('',#52760); +#52763=VERTEX_POINT('',#52761); +#52764=CARTESIAN_POINT('',(-1.7E0,-3.285E0,-2.5815E1)); +#52765=CARTESIAN_POINT('',(-1.7E0,-2.155E0,-2.4685E1)); +#52766=VERTEX_POINT('',#52764); +#52767=VERTEX_POINT('',#52765); +#52768=CARTESIAN_POINT('',(-2.6E0,4.815E0,-2.584E1)); +#52769=VERTEX_POINT('',#52768); +#52770=CARTESIAN_POINT('',(-2.6E0,5.445E0,-2.584E1)); +#52771=VERTEX_POINT('',#52770); +#52772=CARTESIAN_POINT('',(-2.6E0,-2.785E0,-2.36E1)); +#52773=VERTEX_POINT('',#52772); +#52774=CARTESIAN_POINT('',(-2.6E0,-2.155E0,-2.36E1)); +#52775=VERTEX_POINT('',#52774); +#52776=CARTESIAN_POINT('',(-1.7E0,4.815E0,-2.584E1)); +#52777=VERTEX_POINT('',#52776); +#52778=CARTESIAN_POINT('',(-1.7E0,5.445E0,-2.584E1)); +#52779=VERTEX_POINT('',#52778); +#52780=CARTESIAN_POINT('',(-1.7E0,-2.785E0,-2.36E1)); +#52781=VERTEX_POINT('',#52780); +#52782=CARTESIAN_POINT('',(-1.7E0,-2.155E0,-2.36E1)); +#52783=VERTEX_POINT('',#52782); +#52784=CARTESIAN_POINT('',(-4.5E-1,-2.785E0,-2.4685E1)); +#52785=CARTESIAN_POINT('',(-4.5E-1,-3.285E0,-2.5185E1)); +#52786=VERTEX_POINT('',#52784); +#52787=VERTEX_POINT('',#52785); +#52788=CARTESIAN_POINT('',(-4.5E-1,-3.285E0,-2.5815E1)); +#52789=CARTESIAN_POINT('',(-4.5E-1,-2.155E0,-2.4685E1)); +#52790=VERTEX_POINT('',#52788); +#52791=VERTEX_POINT('',#52789); +#52792=CARTESIAN_POINT('',(-4.5E-1,4.815E0,-2.6725E1)); +#52793=CARTESIAN_POINT('',(-4.5E-1,3.815E0,-2.7725E1)); +#52794=VERTEX_POINT('',#52792); +#52795=VERTEX_POINT('',#52793); +#52796=CARTESIAN_POINT('',(-4.5E-1,3.815E0,-2.8355E1)); +#52797=CARTESIAN_POINT('',(-4.5E-1,5.445E0,-2.6725E1)); +#52798=VERTEX_POINT('',#52796); +#52799=VERTEX_POINT('',#52797); +#52800=CARTESIAN_POINT('',(4.5E-1,-2.785E0,-2.4685E1)); +#52801=CARTESIAN_POINT('',(4.5E-1,-3.285E0,-2.5185E1)); +#52802=VERTEX_POINT('',#52800); +#52803=VERTEX_POINT('',#52801); +#52804=CARTESIAN_POINT('',(4.5E-1,-3.285E0,-2.5815E1)); +#52805=CARTESIAN_POINT('',(4.5E-1,-2.155E0,-2.4685E1)); +#52806=VERTEX_POINT('',#52804); +#52807=VERTEX_POINT('',#52805); +#52808=CARTESIAN_POINT('',(4.5E-1,4.815E0,-2.6725E1)); +#52809=CARTESIAN_POINT('',(4.5E-1,3.815E0,-2.7725E1)); +#52810=VERTEX_POINT('',#52808); +#52811=VERTEX_POINT('',#52809); +#52812=CARTESIAN_POINT('',(4.5E-1,3.815E0,-2.8355E1)); +#52813=CARTESIAN_POINT('',(4.5E-1,5.445E0,-2.6725E1)); +#52814=VERTEX_POINT('',#52812); +#52815=VERTEX_POINT('',#52813); +#52816=CARTESIAN_POINT('',(-4.5E-1,-2.785E0,-2.36E1)); +#52817=VERTEX_POINT('',#52816); +#52818=CARTESIAN_POINT('',(-4.5E-1,-2.155E0,-2.36E1)); +#52819=VERTEX_POINT('',#52818); +#52820=CARTESIAN_POINT('',(-4.5E-1,4.815E0,-2.584E1)); +#52821=VERTEX_POINT('',#52820); +#52822=CARTESIAN_POINT('',(-4.5E-1,5.445E0,-2.584E1)); +#52823=VERTEX_POINT('',#52822); +#52824=CARTESIAN_POINT('',(4.5E-1,-2.785E0,-2.36E1)); +#52825=VERTEX_POINT('',#52824); +#52826=CARTESIAN_POINT('',(4.5E-1,-2.155E0,-2.36E1)); +#52827=VERTEX_POINT('',#52826); +#52828=CARTESIAN_POINT('',(4.5E-1,4.815E0,-2.584E1)); +#52829=VERTEX_POINT('',#52828); +#52830=CARTESIAN_POINT('',(4.5E-1,5.445E0,-2.584E1)); +#52831=VERTEX_POINT('',#52830); +#52832=CARTESIAN_POINT('',(-2.45E0,-1.35E1,-3.0895E1)); +#52833=CARTESIAN_POINT('',(-2.45E0,-1.35E1,-3.0265E1)); +#52834=VERTEX_POINT('',#52832); +#52835=VERTEX_POINT('',#52833); +#52836=CARTESIAN_POINT('',(-2.45E0,-1.35E1,-2.5815E1)); +#52837=CARTESIAN_POINT('',(-2.45E0,-1.35E1,-2.5185E1)); +#52838=VERTEX_POINT('',#52836); +#52839=VERTEX_POINT('',#52837); +#52840=CARTESIAN_POINT('',(-2.45E0,-1.12E1,-3.0895E1)); +#52841=CARTESIAN_POINT('',(-2.45E0,-1.12E1,-3.0265E1)); +#52842=VERTEX_POINT('',#52840); +#52843=VERTEX_POINT('',#52841); +#52844=CARTESIAN_POINT('',(-2.45E0,-1.12E1,-2.5815E1)); +#52845=CARTESIAN_POINT('',(-2.45E0,-1.12E1,-2.5185E1)); +#52846=VERTEX_POINT('',#52844); +#52847=VERTEX_POINT('',#52845); +#52848=CARTESIAN_POINT('',(-1.85E0,-1.35E1,-3.0895E1)); +#52849=CARTESIAN_POINT('',(-1.85E0,-1.35E1,-3.0265E1)); +#52850=VERTEX_POINT('',#52848); +#52851=VERTEX_POINT('',#52849); +#52852=CARTESIAN_POINT('',(-1.85E0,-1.35E1,-2.5815E1)); +#52853=CARTESIAN_POINT('',(-1.85E0,-1.35E1,-2.5185E1)); +#52854=VERTEX_POINT('',#52852); +#52855=VERTEX_POINT('',#52853); +#52856=CARTESIAN_POINT('',(-1.85E0,-1.12E1,-3.0895E1)); +#52857=CARTESIAN_POINT('',(-1.85E0,-1.12E1,-3.0265E1)); +#52858=VERTEX_POINT('',#52856); +#52859=VERTEX_POINT('',#52857); +#52860=CARTESIAN_POINT('',(-1.85E0,-1.12E1,-2.5815E1)); +#52861=CARTESIAN_POINT('',(-1.85E0,-1.12E1,-2.5185E1)); +#52862=VERTEX_POINT('',#52860); +#52863=VERTEX_POINT('',#52861); +#52864=CARTESIAN_POINT('',(3.E-1,-1.35E1,-2.8355E1)); +#52865=CARTESIAN_POINT('',(3.E-1,-1.35E1,-2.7725E1)); +#52866=VERTEX_POINT('',#52864); +#52867=VERTEX_POINT('',#52865); +#52868=CARTESIAN_POINT('',(3.E-1,-1.35E1,-2.5815E1)); +#52869=CARTESIAN_POINT('',(3.E-1,-1.35E1,-2.5185E1)); +#52870=VERTEX_POINT('',#52868); +#52871=VERTEX_POINT('',#52869); +#52872=CARTESIAN_POINT('',(3.E-1,-1.12E1,-2.8355E1)); +#52873=CARTESIAN_POINT('',(3.E-1,-1.12E1,-2.7725E1)); +#52874=VERTEX_POINT('',#52872); +#52875=VERTEX_POINT('',#52873); +#52876=CARTESIAN_POINT('',(3.E-1,-1.12E1,-2.5815E1)); +#52877=CARTESIAN_POINT('',(3.E-1,-1.12E1,-2.5185E1)); +#52878=VERTEX_POINT('',#52876); +#52879=VERTEX_POINT('',#52877); +#52880=CARTESIAN_POINT('',(-3.E-1,-1.35E1,-2.8355E1)); +#52881=CARTESIAN_POINT('',(-3.E-1,-1.35E1,-2.7725E1)); +#52882=VERTEX_POINT('',#52880); +#52883=VERTEX_POINT('',#52881); +#52884=CARTESIAN_POINT('',(-3.E-1,-1.35E1,-2.5815E1)); +#52885=CARTESIAN_POINT('',(-3.E-1,-1.35E1,-2.5185E1)); +#52886=VERTEX_POINT('',#52884); +#52887=VERTEX_POINT('',#52885); +#52888=CARTESIAN_POINT('',(-3.E-1,-1.12E1,-2.8355E1)); +#52889=CARTESIAN_POINT('',(-3.E-1,-1.12E1,-2.7725E1)); +#52890=VERTEX_POINT('',#52888); +#52891=VERTEX_POINT('',#52889); +#52892=CARTESIAN_POINT('',(-3.E-1,-1.12E1,-2.5815E1)); +#52893=CARTESIAN_POINT('',(-3.E-1,-1.12E1,-2.5185E1)); +#52894=VERTEX_POINT('',#52892); +#52895=VERTEX_POINT('',#52893); +#52896=CARTESIAN_POINT('',(1.7E0,4.815E0,-2.9265E1)); +#52897=CARTESIAN_POINT('',(1.7E0,3.815E0,-3.0265E1)); +#52898=VERTEX_POINT('',#52896); +#52899=VERTEX_POINT('',#52897); +#52900=CARTESIAN_POINT('',(1.7E0,3.815E0,-3.0895E1)); +#52901=CARTESIAN_POINT('',(1.7E0,5.445E0,-2.9265E1)); +#52902=VERTEX_POINT('',#52900); +#52903=VERTEX_POINT('',#52901); +#52904=CARTESIAN_POINT('',(1.7E0,-2.785E0,-2.4685E1)); +#52905=CARTESIAN_POINT('',(1.7E0,-3.285E0,-2.5185E1)); +#52906=VERTEX_POINT('',#52904); +#52907=VERTEX_POINT('',#52905); +#52908=CARTESIAN_POINT('',(1.7E0,-3.285E0,-2.5815E1)); +#52909=CARTESIAN_POINT('',(1.7E0,-2.155E0,-2.4685E1)); +#52910=VERTEX_POINT('',#52908); +#52911=VERTEX_POINT('',#52909); +#52912=CARTESIAN_POINT('',(2.6E0,4.815E0,-2.9265E1)); +#52913=CARTESIAN_POINT('',(2.6E0,3.815E0,-3.0265E1)); +#52914=VERTEX_POINT('',#52912); +#52915=VERTEX_POINT('',#52913); +#52916=CARTESIAN_POINT('',(2.6E0,3.815E0,-3.0895E1)); +#52917=CARTESIAN_POINT('',(2.6E0,5.445E0,-2.9265E1)); +#52918=VERTEX_POINT('',#52916); +#52919=VERTEX_POINT('',#52917); +#52920=CARTESIAN_POINT('',(2.6E0,-2.785E0,-2.4685E1)); +#52921=CARTESIAN_POINT('',(2.6E0,-3.285E0,-2.5185E1)); +#52922=VERTEX_POINT('',#52920); +#52923=VERTEX_POINT('',#52921); +#52924=CARTESIAN_POINT('',(2.6E0,-3.285E0,-2.5815E1)); +#52925=CARTESIAN_POINT('',(2.6E0,-2.155E0,-2.4685E1)); +#52926=VERTEX_POINT('',#52924); +#52927=VERTEX_POINT('',#52925); +#52928=CARTESIAN_POINT('',(1.7E0,4.815E0,-2.584E1)); +#52929=VERTEX_POINT('',#52928); +#52930=CARTESIAN_POINT('',(1.7E0,5.445E0,-2.584E1)); +#52931=VERTEX_POINT('',#52930); +#52932=CARTESIAN_POINT('',(1.7E0,-2.785E0,-2.36E1)); +#52933=VERTEX_POINT('',#52932); +#52934=CARTESIAN_POINT('',(1.7E0,-2.155E0,-2.36E1)); +#52935=VERTEX_POINT('',#52934); +#52936=CARTESIAN_POINT('',(2.6E0,4.815E0,-2.584E1)); +#52937=VERTEX_POINT('',#52936); +#52938=CARTESIAN_POINT('',(2.6E0,5.445E0,-2.584E1)); +#52939=VERTEX_POINT('',#52938); +#52940=CARTESIAN_POINT('',(2.6E0,-2.785E0,-2.36E1)); +#52941=VERTEX_POINT('',#52940); +#52942=CARTESIAN_POINT('',(2.6E0,-2.155E0,-2.36E1)); +#52943=VERTEX_POINT('',#52942); +#52944=CARTESIAN_POINT('',(3.85E0,-2.785E0,-2.4685E1)); +#52945=CARTESIAN_POINT('',(3.85E0,-3.285E0,-2.5185E1)); +#52946=VERTEX_POINT('',#52944); +#52947=VERTEX_POINT('',#52945); +#52948=CARTESIAN_POINT('',(3.85E0,-3.285E0,-2.5815E1)); +#52949=CARTESIAN_POINT('',(3.85E0,-2.155E0,-2.4685E1)); +#52950=VERTEX_POINT('',#52948); +#52951=VERTEX_POINT('',#52949); +#52952=CARTESIAN_POINT('',(3.85E0,4.815E0,-2.6725E1)); +#52953=CARTESIAN_POINT('',(3.85E0,3.815E0,-2.7725E1)); +#52954=VERTEX_POINT('',#52952); +#52955=VERTEX_POINT('',#52953); +#52956=CARTESIAN_POINT('',(3.85E0,3.815E0,-2.8355E1)); +#52957=CARTESIAN_POINT('',(3.85E0,5.445E0,-2.6725E1)); +#52958=VERTEX_POINT('',#52956); +#52959=VERTEX_POINT('',#52957); +#52960=CARTESIAN_POINT('',(4.75E0,-2.785E0,-2.4685E1)); +#52961=CARTESIAN_POINT('',(4.75E0,-3.285E0,-2.5185E1)); +#52962=VERTEX_POINT('',#52960); +#52963=VERTEX_POINT('',#52961); +#52964=CARTESIAN_POINT('',(4.75E0,-3.285E0,-2.5815E1)); +#52965=CARTESIAN_POINT('',(4.75E0,-2.155E0,-2.4685E1)); +#52966=VERTEX_POINT('',#52964); +#52967=VERTEX_POINT('',#52965); +#52968=CARTESIAN_POINT('',(4.75E0,4.815E0,-2.6725E1)); +#52969=CARTESIAN_POINT('',(4.75E0,3.815E0,-2.7725E1)); +#52970=VERTEX_POINT('',#52968); +#52971=VERTEX_POINT('',#52969); +#52972=CARTESIAN_POINT('',(4.75E0,3.815E0,-2.8355E1)); +#52973=CARTESIAN_POINT('',(4.75E0,5.445E0,-2.6725E1)); +#52974=VERTEX_POINT('',#52972); +#52975=VERTEX_POINT('',#52973); +#52976=CARTESIAN_POINT('',(3.85E0,-2.785E0,-2.36E1)); +#52977=VERTEX_POINT('',#52976); +#52978=CARTESIAN_POINT('',(3.85E0,-2.155E0,-2.36E1)); +#52979=VERTEX_POINT('',#52978); +#52980=CARTESIAN_POINT('',(3.85E0,4.815E0,-2.584E1)); +#52981=VERTEX_POINT('',#52980); +#52982=CARTESIAN_POINT('',(3.85E0,5.445E0,-2.584E1)); +#52983=VERTEX_POINT('',#52982); +#52984=CARTESIAN_POINT('',(4.75E0,-2.785E0,-2.36E1)); +#52985=VERTEX_POINT('',#52984); +#52986=CARTESIAN_POINT('',(4.75E0,-2.155E0,-2.36E1)); +#52987=VERTEX_POINT('',#52986); +#52988=CARTESIAN_POINT('',(4.75E0,4.815E0,-2.584E1)); +#52989=VERTEX_POINT('',#52988); +#52990=CARTESIAN_POINT('',(4.75E0,5.445E0,-2.584E1)); +#52991=VERTEX_POINT('',#52990); +#52992=CARTESIAN_POINT('',(1.85E0,-1.35E1,-3.0895E1)); +#52993=CARTESIAN_POINT('',(1.85E0,-1.35E1,-3.0265E1)); +#52994=VERTEX_POINT('',#52992); +#52995=VERTEX_POINT('',#52993); +#52996=CARTESIAN_POINT('',(1.85E0,-1.35E1,-2.5815E1)); +#52997=CARTESIAN_POINT('',(1.85E0,-1.35E1,-2.5185E1)); +#52998=VERTEX_POINT('',#52996); +#52999=VERTEX_POINT('',#52997); +#53000=CARTESIAN_POINT('',(1.85E0,-1.12E1,-3.0895E1)); +#53001=CARTESIAN_POINT('',(1.85E0,-1.12E1,-3.0265E1)); +#53002=VERTEX_POINT('',#53000); +#53003=VERTEX_POINT('',#53001); +#53004=CARTESIAN_POINT('',(1.85E0,-1.12E1,-2.5815E1)); +#53005=CARTESIAN_POINT('',(1.85E0,-1.12E1,-2.5185E1)); +#53006=VERTEX_POINT('',#53004); +#53007=VERTEX_POINT('',#53005); +#53008=CARTESIAN_POINT('',(2.45E0,-1.35E1,-3.0895E1)); +#53009=CARTESIAN_POINT('',(2.45E0,-1.35E1,-3.0265E1)); +#53010=VERTEX_POINT('',#53008); +#53011=VERTEX_POINT('',#53009); +#53012=CARTESIAN_POINT('',(2.45E0,-1.35E1,-2.5815E1)); +#53013=CARTESIAN_POINT('',(2.45E0,-1.35E1,-2.5185E1)); +#53014=VERTEX_POINT('',#53012); +#53015=VERTEX_POINT('',#53013); +#53016=CARTESIAN_POINT('',(2.45E0,-1.12E1,-3.0895E1)); +#53017=CARTESIAN_POINT('',(2.45E0,-1.12E1,-3.0265E1)); +#53018=VERTEX_POINT('',#53016); +#53019=VERTEX_POINT('',#53017); +#53020=CARTESIAN_POINT('',(2.45E0,-1.12E1,-2.5815E1)); +#53021=CARTESIAN_POINT('',(2.45E0,-1.12E1,-2.5185E1)); +#53022=VERTEX_POINT('',#53020); +#53023=VERTEX_POINT('',#53021); +#53024=CARTESIAN_POINT('',(4.6E0,-1.35E1,-2.8355E1)); +#53025=CARTESIAN_POINT('',(4.6E0,-1.35E1,-2.7725E1)); +#53026=VERTEX_POINT('',#53024); +#53027=VERTEX_POINT('',#53025); +#53028=CARTESIAN_POINT('',(4.6E0,-1.35E1,-2.5815E1)); +#53029=CARTESIAN_POINT('',(4.6E0,-1.35E1,-2.5185E1)); +#53030=VERTEX_POINT('',#53028); +#53031=VERTEX_POINT('',#53029); +#53032=CARTESIAN_POINT('',(4.6E0,-1.12E1,-2.8355E1)); +#53033=CARTESIAN_POINT('',(4.6E0,-1.12E1,-2.7725E1)); +#53034=VERTEX_POINT('',#53032); +#53035=VERTEX_POINT('',#53033); +#53036=CARTESIAN_POINT('',(4.6E0,-1.12E1,-2.5815E1)); +#53037=CARTESIAN_POINT('',(4.6E0,-1.12E1,-2.5185E1)); +#53038=VERTEX_POINT('',#53036); +#53039=VERTEX_POINT('',#53037); +#53040=CARTESIAN_POINT('',(4.E0,-1.35E1,-2.8355E1)); +#53041=CARTESIAN_POINT('',(4.E0,-1.35E1,-2.7725E1)); +#53042=VERTEX_POINT('',#53040); +#53043=VERTEX_POINT('',#53041); +#53044=CARTESIAN_POINT('',(4.E0,-1.35E1,-2.5815E1)); +#53045=CARTESIAN_POINT('',(4.E0,-1.35E1,-2.5185E1)); +#53046=VERTEX_POINT('',#53044); +#53047=VERTEX_POINT('',#53045); +#53048=CARTESIAN_POINT('',(4.E0,-1.12E1,-2.8355E1)); +#53049=CARTESIAN_POINT('',(4.E0,-1.12E1,-2.7725E1)); +#53050=VERTEX_POINT('',#53048); +#53051=VERTEX_POINT('',#53049); +#53052=CARTESIAN_POINT('',(4.E0,-1.12E1,-2.5815E1)); +#53053=CARTESIAN_POINT('',(4.E0,-1.12E1,-2.5185E1)); +#53054=VERTEX_POINT('',#53052); +#53055=VERTEX_POINT('',#53053); +#53056=CARTESIAN_POINT('',(6.E0,4.815E0,-2.9265E1)); +#53057=CARTESIAN_POINT('',(6.E0,3.815E0,-3.0265E1)); +#53058=VERTEX_POINT('',#53056); +#53059=VERTEX_POINT('',#53057); +#53060=CARTESIAN_POINT('',(6.E0,3.815E0,-3.0895E1)); +#53061=CARTESIAN_POINT('',(6.E0,5.445E0,-2.9265E1)); +#53062=VERTEX_POINT('',#53060); +#53063=VERTEX_POINT('',#53061); +#53064=CARTESIAN_POINT('',(6.E0,-2.785E0,-2.4685E1)); +#53065=CARTESIAN_POINT('',(6.E0,-3.285E0,-2.5185E1)); +#53066=VERTEX_POINT('',#53064); +#53067=VERTEX_POINT('',#53065); +#53068=CARTESIAN_POINT('',(6.E0,-3.285E0,-2.5815E1)); +#53069=CARTESIAN_POINT('',(6.E0,-2.155E0,-2.4685E1)); +#53070=VERTEX_POINT('',#53068); +#53071=VERTEX_POINT('',#53069); +#53072=CARTESIAN_POINT('',(6.9E0,4.815E0,-2.9265E1)); +#53073=CARTESIAN_POINT('',(6.9E0,3.815E0,-3.0265E1)); +#53074=VERTEX_POINT('',#53072); +#53075=VERTEX_POINT('',#53073); +#53076=CARTESIAN_POINT('',(6.9E0,3.815E0,-3.0895E1)); +#53077=CARTESIAN_POINT('',(6.9E0,5.445E0,-2.9265E1)); +#53078=VERTEX_POINT('',#53076); +#53079=VERTEX_POINT('',#53077); +#53080=CARTESIAN_POINT('',(6.9E0,-2.785E0,-2.4685E1)); +#53081=CARTESIAN_POINT('',(6.9E0,-3.285E0,-2.5185E1)); +#53082=VERTEX_POINT('',#53080); +#53083=VERTEX_POINT('',#53081); +#53084=CARTESIAN_POINT('',(6.9E0,-3.285E0,-2.5815E1)); +#53085=CARTESIAN_POINT('',(6.9E0,-2.155E0,-2.4685E1)); +#53086=VERTEX_POINT('',#53084); +#53087=VERTEX_POINT('',#53085); +#53088=CARTESIAN_POINT('',(6.E0,4.815E0,-2.584E1)); +#53089=VERTEX_POINT('',#53088); +#53090=CARTESIAN_POINT('',(6.E0,5.445E0,-2.584E1)); +#53091=VERTEX_POINT('',#53090); +#53092=CARTESIAN_POINT('',(6.E0,-2.785E0,-2.36E1)); +#53093=VERTEX_POINT('',#53092); +#53094=CARTESIAN_POINT('',(6.E0,-2.155E0,-2.36E1)); +#53095=VERTEX_POINT('',#53094); +#53096=CARTESIAN_POINT('',(6.9E0,4.815E0,-2.584E1)); +#53097=VERTEX_POINT('',#53096); +#53098=CARTESIAN_POINT('',(6.9E0,5.445E0,-2.584E1)); +#53099=VERTEX_POINT('',#53098); +#53100=CARTESIAN_POINT('',(6.9E0,-2.785E0,-2.36E1)); +#53101=VERTEX_POINT('',#53100); +#53102=CARTESIAN_POINT('',(6.9E0,-2.155E0,-2.36E1)); +#53103=VERTEX_POINT('',#53102); +#53104=CARTESIAN_POINT('',(8.15E0,-2.785E0,-2.4685E1)); +#53105=CARTESIAN_POINT('',(8.15E0,-3.285E0,-2.5185E1)); +#53106=VERTEX_POINT('',#53104); +#53107=VERTEX_POINT('',#53105); +#53108=CARTESIAN_POINT('',(8.15E0,-3.285E0,-2.5815E1)); +#53109=CARTESIAN_POINT('',(8.15E0,-2.155E0,-2.4685E1)); +#53110=VERTEX_POINT('',#53108); +#53111=VERTEX_POINT('',#53109); +#53112=CARTESIAN_POINT('',(8.15E0,4.815E0,-2.6725E1)); +#53113=CARTESIAN_POINT('',(8.15E0,3.815E0,-2.7725E1)); +#53114=VERTEX_POINT('',#53112); +#53115=VERTEX_POINT('',#53113); +#53116=CARTESIAN_POINT('',(8.15E0,3.815E0,-2.8355E1)); +#53117=CARTESIAN_POINT('',(8.15E0,5.445E0,-2.6725E1)); +#53118=VERTEX_POINT('',#53116); +#53119=VERTEX_POINT('',#53117); +#53120=CARTESIAN_POINT('',(9.05E0,-2.785E0,-2.4685E1)); +#53121=CARTESIAN_POINT('',(9.05E0,-3.285E0,-2.5185E1)); +#53122=VERTEX_POINT('',#53120); +#53123=VERTEX_POINT('',#53121); +#53124=CARTESIAN_POINT('',(9.05E0,-3.285E0,-2.5815E1)); +#53125=CARTESIAN_POINT('',(9.05E0,-2.155E0,-2.4685E1)); +#53126=VERTEX_POINT('',#53124); +#53127=VERTEX_POINT('',#53125); +#53128=CARTESIAN_POINT('',(9.05E0,4.815E0,-2.6725E1)); +#53129=CARTESIAN_POINT('',(9.05E0,3.815E0,-2.7725E1)); +#53130=VERTEX_POINT('',#53128); +#53131=VERTEX_POINT('',#53129); +#53132=CARTESIAN_POINT('',(9.05E0,3.815E0,-2.8355E1)); +#53133=CARTESIAN_POINT('',(9.05E0,5.445E0,-2.6725E1)); +#53134=VERTEX_POINT('',#53132); +#53135=VERTEX_POINT('',#53133); +#53136=CARTESIAN_POINT('',(8.15E0,-2.785E0,-2.36E1)); +#53137=VERTEX_POINT('',#53136); +#53138=CARTESIAN_POINT('',(8.15E0,-2.155E0,-2.36E1)); +#53139=VERTEX_POINT('',#53138); +#53140=CARTESIAN_POINT('',(8.15E0,4.815E0,-2.584E1)); +#53141=VERTEX_POINT('',#53140); +#53142=CARTESIAN_POINT('',(8.15E0,5.445E0,-2.584E1)); +#53143=VERTEX_POINT('',#53142); +#53144=CARTESIAN_POINT('',(9.05E0,-2.785E0,-2.36E1)); +#53145=VERTEX_POINT('',#53144); +#53146=CARTESIAN_POINT('',(9.05E0,-2.155E0,-2.36E1)); +#53147=VERTEX_POINT('',#53146); +#53148=CARTESIAN_POINT('',(9.05E0,4.815E0,-2.584E1)); +#53149=VERTEX_POINT('',#53148); +#53150=CARTESIAN_POINT('',(9.05E0,5.445E0,-2.584E1)); +#53151=VERTEX_POINT('',#53150); +#53152=CARTESIAN_POINT('',(6.15E0,-1.35E1,-3.0895E1)); +#53153=CARTESIAN_POINT('',(6.15E0,-1.35E1,-3.0265E1)); +#53154=VERTEX_POINT('',#53152); +#53155=VERTEX_POINT('',#53153); +#53156=CARTESIAN_POINT('',(6.15E0,-1.35E1,-2.5815E1)); +#53157=CARTESIAN_POINT('',(6.15E0,-1.35E1,-2.5185E1)); +#53158=VERTEX_POINT('',#53156); +#53159=VERTEX_POINT('',#53157); +#53160=CARTESIAN_POINT('',(6.15E0,-1.12E1,-3.0895E1)); +#53161=CARTESIAN_POINT('',(6.15E0,-1.12E1,-3.0265E1)); +#53162=VERTEX_POINT('',#53160); +#53163=VERTEX_POINT('',#53161); +#53164=CARTESIAN_POINT('',(6.15E0,-1.12E1,-2.5815E1)); +#53165=CARTESIAN_POINT('',(6.15E0,-1.12E1,-2.5185E1)); +#53166=VERTEX_POINT('',#53164); +#53167=VERTEX_POINT('',#53165); +#53168=CARTESIAN_POINT('',(6.75E0,-1.35E1,-3.0895E1)); +#53169=CARTESIAN_POINT('',(6.75E0,-1.35E1,-3.0265E1)); +#53170=VERTEX_POINT('',#53168); +#53171=VERTEX_POINT('',#53169); +#53172=CARTESIAN_POINT('',(6.75E0,-1.35E1,-2.5815E1)); +#53173=CARTESIAN_POINT('',(6.75E0,-1.35E1,-2.5185E1)); +#53174=VERTEX_POINT('',#53172); +#53175=VERTEX_POINT('',#53173); +#53176=CARTESIAN_POINT('',(6.75E0,-1.12E1,-3.0895E1)); +#53177=CARTESIAN_POINT('',(6.75E0,-1.12E1,-3.0265E1)); +#53178=VERTEX_POINT('',#53176); +#53179=VERTEX_POINT('',#53177); +#53180=CARTESIAN_POINT('',(6.75E0,-1.12E1,-2.5815E1)); +#53181=CARTESIAN_POINT('',(6.75E0,-1.12E1,-2.5185E1)); +#53182=VERTEX_POINT('',#53180); +#53183=VERTEX_POINT('',#53181); +#53184=CARTESIAN_POINT('',(8.9E0,-1.35E1,-2.8355E1)); +#53185=CARTESIAN_POINT('',(8.9E0,-1.35E1,-2.7725E1)); +#53186=VERTEX_POINT('',#53184); +#53187=VERTEX_POINT('',#53185); +#53188=CARTESIAN_POINT('',(8.9E0,-1.35E1,-2.5815E1)); +#53189=CARTESIAN_POINT('',(8.9E0,-1.35E1,-2.5185E1)); +#53190=VERTEX_POINT('',#53188); +#53191=VERTEX_POINT('',#53189); +#53192=CARTESIAN_POINT('',(8.9E0,-1.12E1,-2.8355E1)); +#53193=CARTESIAN_POINT('',(8.9E0,-1.12E1,-2.7725E1)); +#53194=VERTEX_POINT('',#53192); +#53195=VERTEX_POINT('',#53193); +#53196=CARTESIAN_POINT('',(8.9E0,-1.12E1,-2.5815E1)); +#53197=CARTESIAN_POINT('',(8.9E0,-1.12E1,-2.5185E1)); +#53198=VERTEX_POINT('',#53196); +#53199=VERTEX_POINT('',#53197); +#53200=CARTESIAN_POINT('',(8.3E0,-1.35E1,-2.8355E1)); +#53201=CARTESIAN_POINT('',(8.3E0,-1.35E1,-2.7725E1)); +#53202=VERTEX_POINT('',#53200); +#53203=VERTEX_POINT('',#53201); +#53204=CARTESIAN_POINT('',(8.3E0,-1.35E1,-2.5815E1)); +#53205=CARTESIAN_POINT('',(8.3E0,-1.35E1,-2.5185E1)); +#53206=VERTEX_POINT('',#53204); +#53207=VERTEX_POINT('',#53205); +#53208=CARTESIAN_POINT('',(8.3E0,-1.12E1,-2.8355E1)); +#53209=CARTESIAN_POINT('',(8.3E0,-1.12E1,-2.7725E1)); +#53210=VERTEX_POINT('',#53208); +#53211=VERTEX_POINT('',#53209); +#53212=CARTESIAN_POINT('',(8.3E0,-1.12E1,-2.5815E1)); +#53213=CARTESIAN_POINT('',(8.3E0,-1.12E1,-2.5185E1)); +#53214=VERTEX_POINT('',#53212); +#53215=VERTEX_POINT('',#53213); +#53216=CARTESIAN_POINT('',(-3.27E1,-1.094019237886E1,-3.0895E1)); +#53217=CARTESIAN_POINT('',(-3.27E1,-1.094019237886E1,-3.0265E1)); +#53218=VERTEX_POINT('',#53216); +#53219=VERTEX_POINT('',#53217); +#53220=CARTESIAN_POINT('',(-3.27E1,-1.094019237886E1,-2.5815E1)); +#53221=CARTESIAN_POINT('',(-3.27E1,-1.094019237886E1,-2.5185E1)); +#53222=VERTEX_POINT('',#53220); +#53223=VERTEX_POINT('',#53221); +#53224=CARTESIAN_POINT('',(-3.18E1,-1.094019237886E1,-3.0895E1)); +#53225=CARTESIAN_POINT('',(-3.18E1,-1.094019237886E1,-3.0265E1)); +#53226=VERTEX_POINT('',#53224); +#53227=VERTEX_POINT('',#53225); +#53228=CARTESIAN_POINT('',(-3.18E1,-1.094019237886E1,-2.5815E1)); +#53229=CARTESIAN_POINT('',(-3.18E1,-1.094019237886E1,-2.5185E1)); +#53230=VERTEX_POINT('',#53228); +#53231=VERTEX_POINT('',#53229); +#53232=CARTESIAN_POINT('',(-3.255E1,-1.72E1,-3.0265E1)); +#53233=VERTEX_POINT('',#53232); +#53234=CARTESIAN_POINT('',(-3.195E1,-1.72E1,-3.0265E1)); +#53235=VERTEX_POINT('',#53234); +#53236=CARTESIAN_POINT('',(-3.255E1,-1.72E1,-3.0895E1)); +#53237=VERTEX_POINT('',#53236); +#53238=CARTESIAN_POINT('',(-3.195E1,-1.72E1,-3.0895E1)); +#53239=VERTEX_POINT('',#53238); +#53240=CARTESIAN_POINT('',(-3.255E1,-1.72E1,-2.5185E1)); +#53241=VERTEX_POINT('',#53240); +#53242=CARTESIAN_POINT('',(-3.195E1,-1.72E1,-2.5185E1)); +#53243=VERTEX_POINT('',#53242); +#53244=CARTESIAN_POINT('',(-3.255E1,-1.72E1,-2.5815E1)); +#53245=VERTEX_POINT('',#53244); +#53246=CARTESIAN_POINT('',(-3.195E1,-1.72E1,-2.5815E1)); +#53247=VERTEX_POINT('',#53246); +#53248=CARTESIAN_POINT('',(-3.055E1,-1.094019237886E1,-2.8355E1)); +#53249=CARTESIAN_POINT('',(-3.055E1,-1.094019237886E1,-2.7725E1)); +#53250=VERTEX_POINT('',#53248); +#53251=VERTEX_POINT('',#53249); +#53252=CARTESIAN_POINT('',(-3.055E1,-1.094019237886E1,-2.5815E1)); +#53253=CARTESIAN_POINT('',(-3.055E1,-1.094019237886E1,-2.5185E1)); +#53254=VERTEX_POINT('',#53252); +#53255=VERTEX_POINT('',#53253); +#53256=CARTESIAN_POINT('',(-2.965E1,-1.094019237886E1,-2.8355E1)); +#53257=CARTESIAN_POINT('',(-2.965E1,-1.094019237886E1,-2.7725E1)); +#53258=VERTEX_POINT('',#53256); +#53259=VERTEX_POINT('',#53257); +#53260=CARTESIAN_POINT('',(-2.965E1,-1.094019237886E1,-2.5815E1)); +#53261=CARTESIAN_POINT('',(-2.965E1,-1.094019237886E1,-2.5185E1)); +#53262=VERTEX_POINT('',#53260); +#53263=VERTEX_POINT('',#53261); +#53264=CARTESIAN_POINT('',(-2.98E1,-1.72E1,-2.5185E1)); +#53265=VERTEX_POINT('',#53264); +#53266=CARTESIAN_POINT('',(-3.04E1,-1.72E1,-2.5185E1)); +#53267=VERTEX_POINT('',#53266); +#53268=CARTESIAN_POINT('',(-2.98E1,-1.72E1,-2.5815E1)); +#53269=VERTEX_POINT('',#53268); +#53270=CARTESIAN_POINT('',(-3.04E1,-1.72E1,-2.5815E1)); +#53271=VERTEX_POINT('',#53270); +#53272=CARTESIAN_POINT('',(-2.98E1,-1.72E1,-2.7725E1)); +#53273=VERTEX_POINT('',#53272); +#53274=CARTESIAN_POINT('',(-3.04E1,-1.72E1,-2.7725E1)); +#53275=VERTEX_POINT('',#53274); +#53276=CARTESIAN_POINT('',(-2.98E1,-1.72E1,-2.8355E1)); +#53277=VERTEX_POINT('',#53276); +#53278=CARTESIAN_POINT('',(-3.04E1,-1.72E1,-2.8355E1)); +#53279=VERTEX_POINT('',#53278); +#53280=CARTESIAN_POINT('',(-2.84E1,-1.094019237886E1,-3.0895E1)); +#53281=CARTESIAN_POINT('',(-2.84E1,-1.094019237886E1,-3.0265E1)); +#53282=VERTEX_POINT('',#53280); +#53283=VERTEX_POINT('',#53281); +#53284=CARTESIAN_POINT('',(-2.84E1,-1.094019237886E1,-2.5815E1)); +#53285=CARTESIAN_POINT('',(-2.84E1,-1.094019237886E1,-2.5185E1)); +#53286=VERTEX_POINT('',#53284); +#53287=VERTEX_POINT('',#53285); +#53288=CARTESIAN_POINT('',(-2.75E1,-1.094019237886E1,-3.0895E1)); +#53289=CARTESIAN_POINT('',(-2.75E1,-1.094019237886E1,-3.0265E1)); +#53290=VERTEX_POINT('',#53288); +#53291=VERTEX_POINT('',#53289); +#53292=CARTESIAN_POINT('',(-2.75E1,-1.094019237886E1,-2.5815E1)); +#53293=CARTESIAN_POINT('',(-2.75E1,-1.094019237886E1,-2.5185E1)); +#53294=VERTEX_POINT('',#53292); +#53295=VERTEX_POINT('',#53293); +#53296=CARTESIAN_POINT('',(-2.825E1,-1.72E1,-3.0265E1)); +#53297=VERTEX_POINT('',#53296); +#53298=CARTESIAN_POINT('',(-2.765E1,-1.72E1,-3.0265E1)); +#53299=VERTEX_POINT('',#53298); +#53300=CARTESIAN_POINT('',(-2.825E1,-1.72E1,-3.0895E1)); +#53301=VERTEX_POINT('',#53300); +#53302=CARTESIAN_POINT('',(-2.765E1,-1.72E1,-3.0895E1)); +#53303=VERTEX_POINT('',#53302); +#53304=CARTESIAN_POINT('',(-2.825E1,-1.72E1,-2.5185E1)); +#53305=VERTEX_POINT('',#53304); +#53306=CARTESIAN_POINT('',(-2.765E1,-1.72E1,-2.5185E1)); +#53307=VERTEX_POINT('',#53306); +#53308=CARTESIAN_POINT('',(-2.825E1,-1.72E1,-2.5815E1)); +#53309=VERTEX_POINT('',#53308); +#53310=CARTESIAN_POINT('',(-2.765E1,-1.72E1,-2.5815E1)); +#53311=VERTEX_POINT('',#53310); +#53312=CARTESIAN_POINT('',(-2.625E1,-1.094019237886E1,-2.8355E1)); +#53313=CARTESIAN_POINT('',(-2.625E1,-1.094019237886E1,-2.7725E1)); +#53314=VERTEX_POINT('',#53312); +#53315=VERTEX_POINT('',#53313); +#53316=CARTESIAN_POINT('',(-2.625E1,-1.094019237886E1,-2.5815E1)); +#53317=CARTESIAN_POINT('',(-2.625E1,-1.094019237886E1,-2.5185E1)); +#53318=VERTEX_POINT('',#53316); +#53319=VERTEX_POINT('',#53317); +#53320=CARTESIAN_POINT('',(-2.535E1,-1.094019237886E1,-2.8355E1)); +#53321=CARTESIAN_POINT('',(-2.535E1,-1.094019237886E1,-2.7725E1)); +#53322=VERTEX_POINT('',#53320); +#53323=VERTEX_POINT('',#53321); +#53324=CARTESIAN_POINT('',(-2.535E1,-1.094019237886E1,-2.5815E1)); +#53325=CARTESIAN_POINT('',(-2.535E1,-1.094019237886E1,-2.5185E1)); +#53326=VERTEX_POINT('',#53324); +#53327=VERTEX_POINT('',#53325); +#53328=CARTESIAN_POINT('',(-2.55E1,-1.72E1,-2.5185E1)); +#53329=VERTEX_POINT('',#53328); +#53330=CARTESIAN_POINT('',(-2.61E1,-1.72E1,-2.5185E1)); +#53331=VERTEX_POINT('',#53330); +#53332=CARTESIAN_POINT('',(-2.55E1,-1.72E1,-2.5815E1)); +#53333=VERTEX_POINT('',#53332); +#53334=CARTESIAN_POINT('',(-2.61E1,-1.72E1,-2.5815E1)); +#53335=VERTEX_POINT('',#53334); +#53336=CARTESIAN_POINT('',(-2.55E1,-1.72E1,-2.7725E1)); +#53337=VERTEX_POINT('',#53336); +#53338=CARTESIAN_POINT('',(-2.61E1,-1.72E1,-2.7725E1)); +#53339=VERTEX_POINT('',#53338); +#53340=CARTESIAN_POINT('',(-2.55E1,-1.72E1,-2.8355E1)); +#53341=VERTEX_POINT('',#53340); +#53342=CARTESIAN_POINT('',(-2.61E1,-1.72E1,-2.8355E1)); +#53343=VERTEX_POINT('',#53342); +#53344=CARTESIAN_POINT('',(-2.41E1,-1.094019237886E1,-3.0895E1)); +#53345=CARTESIAN_POINT('',(-2.41E1,-1.094019237886E1,-3.0265E1)); +#53346=VERTEX_POINT('',#53344); +#53347=VERTEX_POINT('',#53345); +#53348=CARTESIAN_POINT('',(-2.41E1,-1.094019237886E1,-2.5815E1)); +#53349=CARTESIAN_POINT('',(-2.41E1,-1.094019237886E1,-2.5185E1)); +#53350=VERTEX_POINT('',#53348); +#53351=VERTEX_POINT('',#53349); +#53352=CARTESIAN_POINT('',(-2.32E1,-1.094019237886E1,-3.0895E1)); +#53353=CARTESIAN_POINT('',(-2.32E1,-1.094019237886E1,-3.0265E1)); +#53354=VERTEX_POINT('',#53352); +#53355=VERTEX_POINT('',#53353); +#53356=CARTESIAN_POINT('',(-2.32E1,-1.094019237886E1,-2.5815E1)); +#53357=CARTESIAN_POINT('',(-2.32E1,-1.094019237886E1,-2.5185E1)); +#53358=VERTEX_POINT('',#53356); +#53359=VERTEX_POINT('',#53357); +#53360=CARTESIAN_POINT('',(-2.395E1,-1.72E1,-3.0265E1)); +#53361=VERTEX_POINT('',#53360); +#53362=CARTESIAN_POINT('',(-2.335E1,-1.72E1,-3.0265E1)); +#53363=VERTEX_POINT('',#53362); +#53364=CARTESIAN_POINT('',(-2.395E1,-1.72E1,-3.0895E1)); +#53365=VERTEX_POINT('',#53364); +#53366=CARTESIAN_POINT('',(-2.335E1,-1.72E1,-3.0895E1)); +#53367=VERTEX_POINT('',#53366); +#53368=CARTESIAN_POINT('',(-2.395E1,-1.72E1,-2.5185E1)); +#53369=VERTEX_POINT('',#53368); +#53370=CARTESIAN_POINT('',(-2.335E1,-1.72E1,-2.5185E1)); +#53371=VERTEX_POINT('',#53370); +#53372=CARTESIAN_POINT('',(-2.395E1,-1.72E1,-2.5815E1)); +#53373=VERTEX_POINT('',#53372); +#53374=CARTESIAN_POINT('',(-2.335E1,-1.72E1,-2.5815E1)); +#53375=VERTEX_POINT('',#53374); +#53376=CARTESIAN_POINT('',(-2.195E1,-1.094019237886E1,-2.8355E1)); +#53377=CARTESIAN_POINT('',(-2.195E1,-1.094019237886E1,-2.7725E1)); +#53378=VERTEX_POINT('',#53376); +#53379=VERTEX_POINT('',#53377); +#53380=CARTESIAN_POINT('',(-2.195E1,-1.094019237886E1,-2.5815E1)); +#53381=CARTESIAN_POINT('',(-2.195E1,-1.094019237886E1,-2.5185E1)); +#53382=VERTEX_POINT('',#53380); +#53383=VERTEX_POINT('',#53381); +#53384=CARTESIAN_POINT('',(-2.105E1,-1.094019237886E1,-2.8355E1)); +#53385=CARTESIAN_POINT('',(-2.105E1,-1.094019237886E1,-2.7725E1)); +#53386=VERTEX_POINT('',#53384); +#53387=VERTEX_POINT('',#53385); +#53388=CARTESIAN_POINT('',(-2.105E1,-1.094019237886E1,-2.5815E1)); +#53389=CARTESIAN_POINT('',(-2.105E1,-1.094019237886E1,-2.5185E1)); +#53390=VERTEX_POINT('',#53388); +#53391=VERTEX_POINT('',#53389); +#53392=CARTESIAN_POINT('',(-2.12E1,-1.72E1,-2.5185E1)); +#53393=VERTEX_POINT('',#53392); +#53394=CARTESIAN_POINT('',(-2.18E1,-1.72E1,-2.5185E1)); +#53395=VERTEX_POINT('',#53394); +#53396=CARTESIAN_POINT('',(-2.12E1,-1.72E1,-2.5815E1)); +#53397=VERTEX_POINT('',#53396); +#53398=CARTESIAN_POINT('',(-2.18E1,-1.72E1,-2.5815E1)); +#53399=VERTEX_POINT('',#53398); +#53400=CARTESIAN_POINT('',(-2.12E1,-1.72E1,-2.7725E1)); +#53401=VERTEX_POINT('',#53400); +#53402=CARTESIAN_POINT('',(-2.18E1,-1.72E1,-2.7725E1)); +#53403=VERTEX_POINT('',#53402); +#53404=CARTESIAN_POINT('',(-2.12E1,-1.72E1,-2.8355E1)); +#53405=VERTEX_POINT('',#53404); +#53406=CARTESIAN_POINT('',(-2.18E1,-1.72E1,-2.8355E1)); +#53407=VERTEX_POINT('',#53406); +#53408=CARTESIAN_POINT('',(-1.98E1,-1.094019237886E1,-3.0895E1)); +#53409=CARTESIAN_POINT('',(-1.98E1,-1.094019237886E1,-3.0265E1)); +#53410=VERTEX_POINT('',#53408); +#53411=VERTEX_POINT('',#53409); +#53412=CARTESIAN_POINT('',(-1.98E1,-1.094019237886E1,-2.5815E1)); +#53413=CARTESIAN_POINT('',(-1.98E1,-1.094019237886E1,-2.5185E1)); +#53414=VERTEX_POINT('',#53412); +#53415=VERTEX_POINT('',#53413); +#53416=CARTESIAN_POINT('',(-1.89E1,-1.094019237886E1,-3.0895E1)); +#53417=CARTESIAN_POINT('',(-1.89E1,-1.094019237886E1,-3.0265E1)); +#53418=VERTEX_POINT('',#53416); +#53419=VERTEX_POINT('',#53417); +#53420=CARTESIAN_POINT('',(-1.89E1,-1.094019237886E1,-2.5815E1)); +#53421=CARTESIAN_POINT('',(-1.89E1,-1.094019237886E1,-2.5185E1)); +#53422=VERTEX_POINT('',#53420); +#53423=VERTEX_POINT('',#53421); +#53424=CARTESIAN_POINT('',(-1.965E1,-1.72E1,-3.0265E1)); +#53425=VERTEX_POINT('',#53424); +#53426=CARTESIAN_POINT('',(-1.905E1,-1.72E1,-3.0265E1)); +#53427=VERTEX_POINT('',#53426); +#53428=CARTESIAN_POINT('',(-1.965E1,-1.72E1,-3.0895E1)); +#53429=VERTEX_POINT('',#53428); +#53430=CARTESIAN_POINT('',(-1.905E1,-1.72E1,-3.0895E1)); +#53431=VERTEX_POINT('',#53430); +#53432=CARTESIAN_POINT('',(-1.965E1,-1.72E1,-2.5185E1)); +#53433=VERTEX_POINT('',#53432); +#53434=CARTESIAN_POINT('',(-1.905E1,-1.72E1,-2.5185E1)); +#53435=VERTEX_POINT('',#53434); +#53436=CARTESIAN_POINT('',(-1.965E1,-1.72E1,-2.5815E1)); +#53437=VERTEX_POINT('',#53436); +#53438=CARTESIAN_POINT('',(-1.905E1,-1.72E1,-2.5815E1)); +#53439=VERTEX_POINT('',#53438); +#53440=CARTESIAN_POINT('',(-1.765E1,-1.094019237886E1,-2.8355E1)); +#53441=CARTESIAN_POINT('',(-1.765E1,-1.094019237886E1,-2.7725E1)); +#53442=VERTEX_POINT('',#53440); +#53443=VERTEX_POINT('',#53441); +#53444=CARTESIAN_POINT('',(-1.765E1,-1.094019237886E1,-2.5815E1)); +#53445=CARTESIAN_POINT('',(-1.765E1,-1.094019237886E1,-2.5185E1)); +#53446=VERTEX_POINT('',#53444); +#53447=VERTEX_POINT('',#53445); +#53448=CARTESIAN_POINT('',(-1.675E1,-1.094019237886E1,-2.8355E1)); +#53449=CARTESIAN_POINT('',(-1.675E1,-1.094019237886E1,-2.7725E1)); +#53450=VERTEX_POINT('',#53448); +#53451=VERTEX_POINT('',#53449); +#53452=CARTESIAN_POINT('',(-1.675E1,-1.094019237886E1,-2.5815E1)); +#53453=CARTESIAN_POINT('',(-1.675E1,-1.094019237886E1,-2.5185E1)); +#53454=VERTEX_POINT('',#53452); +#53455=VERTEX_POINT('',#53453); +#53456=CARTESIAN_POINT('',(-1.69E1,-1.72E1,-2.5185E1)); +#53457=VERTEX_POINT('',#53456); +#53458=CARTESIAN_POINT('',(-1.75E1,-1.72E1,-2.5185E1)); +#53459=VERTEX_POINT('',#53458); +#53460=CARTESIAN_POINT('',(-1.69E1,-1.72E1,-2.5815E1)); +#53461=VERTEX_POINT('',#53460); +#53462=CARTESIAN_POINT('',(-1.75E1,-1.72E1,-2.5815E1)); +#53463=VERTEX_POINT('',#53462); +#53464=CARTESIAN_POINT('',(-1.69E1,-1.72E1,-2.7725E1)); +#53465=VERTEX_POINT('',#53464); +#53466=CARTESIAN_POINT('',(-1.75E1,-1.72E1,-2.7725E1)); +#53467=VERTEX_POINT('',#53466); +#53468=CARTESIAN_POINT('',(-1.69E1,-1.72E1,-2.8355E1)); +#53469=VERTEX_POINT('',#53468); +#53470=CARTESIAN_POINT('',(-1.75E1,-1.72E1,-2.8355E1)); +#53471=VERTEX_POINT('',#53470); +#53472=CARTESIAN_POINT('',(-1.55E1,-1.094019237886E1,-3.0895E1)); +#53473=CARTESIAN_POINT('',(-1.55E1,-1.094019237886E1,-3.0265E1)); +#53474=VERTEX_POINT('',#53472); +#53475=VERTEX_POINT('',#53473); +#53476=CARTESIAN_POINT('',(-1.55E1,-1.094019237886E1,-2.5815E1)); +#53477=CARTESIAN_POINT('',(-1.55E1,-1.094019237886E1,-2.5185E1)); +#53478=VERTEX_POINT('',#53476); +#53479=VERTEX_POINT('',#53477); +#53480=CARTESIAN_POINT('',(-1.46E1,-1.094019237886E1,-3.0895E1)); +#53481=CARTESIAN_POINT('',(-1.46E1,-1.094019237886E1,-3.0265E1)); +#53482=VERTEX_POINT('',#53480); +#53483=VERTEX_POINT('',#53481); +#53484=CARTESIAN_POINT('',(-1.46E1,-1.094019237886E1,-2.5815E1)); +#53485=CARTESIAN_POINT('',(-1.46E1,-1.094019237886E1,-2.5185E1)); +#53486=VERTEX_POINT('',#53484); +#53487=VERTEX_POINT('',#53485); +#53488=CARTESIAN_POINT('',(-1.535E1,-1.72E1,-3.0265E1)); +#53489=VERTEX_POINT('',#53488); +#53490=CARTESIAN_POINT('',(-1.475E1,-1.72E1,-3.0265E1)); +#53491=VERTEX_POINT('',#53490); +#53492=CARTESIAN_POINT('',(-1.535E1,-1.72E1,-3.0895E1)); +#53493=VERTEX_POINT('',#53492); +#53494=CARTESIAN_POINT('',(-1.475E1,-1.72E1,-3.0895E1)); +#53495=VERTEX_POINT('',#53494); +#53496=CARTESIAN_POINT('',(-1.535E1,-1.72E1,-2.5185E1)); +#53497=VERTEX_POINT('',#53496); +#53498=CARTESIAN_POINT('',(-1.475E1,-1.72E1,-2.5185E1)); +#53499=VERTEX_POINT('',#53498); +#53500=CARTESIAN_POINT('',(-1.535E1,-1.72E1,-2.5815E1)); +#53501=VERTEX_POINT('',#53500); +#53502=CARTESIAN_POINT('',(-1.475E1,-1.72E1,-2.5815E1)); +#53503=VERTEX_POINT('',#53502); +#53504=CARTESIAN_POINT('',(-1.335E1,-1.094019237886E1,-2.8355E1)); +#53505=CARTESIAN_POINT('',(-1.335E1,-1.094019237886E1,-2.7725E1)); +#53506=VERTEX_POINT('',#53504); +#53507=VERTEX_POINT('',#53505); +#53508=CARTESIAN_POINT('',(-1.335E1,-1.094019237886E1,-2.5815E1)); +#53509=CARTESIAN_POINT('',(-1.335E1,-1.094019237886E1,-2.5185E1)); +#53510=VERTEX_POINT('',#53508); +#53511=VERTEX_POINT('',#53509); +#53512=CARTESIAN_POINT('',(-1.245E1,-1.094019237886E1,-2.8355E1)); +#53513=CARTESIAN_POINT('',(-1.245E1,-1.094019237886E1,-2.7725E1)); +#53514=VERTEX_POINT('',#53512); +#53515=VERTEX_POINT('',#53513); +#53516=CARTESIAN_POINT('',(-1.245E1,-1.094019237886E1,-2.5815E1)); +#53517=CARTESIAN_POINT('',(-1.245E1,-1.094019237886E1,-2.5185E1)); +#53518=VERTEX_POINT('',#53516); +#53519=VERTEX_POINT('',#53517); +#53520=CARTESIAN_POINT('',(-1.26E1,-1.72E1,-2.5185E1)); +#53521=VERTEX_POINT('',#53520); +#53522=CARTESIAN_POINT('',(-1.32E1,-1.72E1,-2.5185E1)); +#53523=VERTEX_POINT('',#53522); +#53524=CARTESIAN_POINT('',(-1.26E1,-1.72E1,-2.5815E1)); +#53525=VERTEX_POINT('',#53524); +#53526=CARTESIAN_POINT('',(-1.32E1,-1.72E1,-2.5815E1)); +#53527=VERTEX_POINT('',#53526); +#53528=CARTESIAN_POINT('',(-1.26E1,-1.72E1,-2.7725E1)); +#53529=VERTEX_POINT('',#53528); +#53530=CARTESIAN_POINT('',(-1.32E1,-1.72E1,-2.7725E1)); +#53531=VERTEX_POINT('',#53530); +#53532=CARTESIAN_POINT('',(-1.26E1,-1.72E1,-2.8355E1)); +#53533=VERTEX_POINT('',#53532); +#53534=CARTESIAN_POINT('',(-1.32E1,-1.72E1,-2.8355E1)); +#53535=VERTEX_POINT('',#53534); +#53536=CARTESIAN_POINT('',(-1.12E1,-1.094019237886E1,-3.0895E1)); +#53537=CARTESIAN_POINT('',(-1.12E1,-1.094019237886E1,-3.0265E1)); +#53538=VERTEX_POINT('',#53536); +#53539=VERTEX_POINT('',#53537); +#53540=CARTESIAN_POINT('',(-1.12E1,-1.094019237886E1,-2.5815E1)); +#53541=CARTESIAN_POINT('',(-1.12E1,-1.094019237886E1,-2.5185E1)); +#53542=VERTEX_POINT('',#53540); +#53543=VERTEX_POINT('',#53541); +#53544=CARTESIAN_POINT('',(-1.03E1,-1.094019237886E1,-3.0895E1)); +#53545=CARTESIAN_POINT('',(-1.03E1,-1.094019237886E1,-3.0265E1)); +#53546=VERTEX_POINT('',#53544); +#53547=VERTEX_POINT('',#53545); +#53548=CARTESIAN_POINT('',(-1.03E1,-1.094019237886E1,-2.5815E1)); +#53549=CARTESIAN_POINT('',(-1.03E1,-1.094019237886E1,-2.5185E1)); +#53550=VERTEX_POINT('',#53548); +#53551=VERTEX_POINT('',#53549); +#53552=CARTESIAN_POINT('',(-1.105E1,-1.72E1,-3.0265E1)); +#53553=VERTEX_POINT('',#53552); +#53554=CARTESIAN_POINT('',(-1.045E1,-1.72E1,-3.0265E1)); +#53555=VERTEX_POINT('',#53554); +#53556=CARTESIAN_POINT('',(-1.105E1,-1.72E1,-3.0895E1)); +#53557=VERTEX_POINT('',#53556); +#53558=CARTESIAN_POINT('',(-1.045E1,-1.72E1,-3.0895E1)); +#53559=VERTEX_POINT('',#53558); +#53560=CARTESIAN_POINT('',(-1.105E1,-1.72E1,-2.5185E1)); +#53561=VERTEX_POINT('',#53560); +#53562=CARTESIAN_POINT('',(-1.045E1,-1.72E1,-2.5185E1)); +#53563=VERTEX_POINT('',#53562); +#53564=CARTESIAN_POINT('',(-1.105E1,-1.72E1,-2.5815E1)); +#53565=VERTEX_POINT('',#53564); +#53566=CARTESIAN_POINT('',(-1.045E1,-1.72E1,-2.5815E1)); +#53567=VERTEX_POINT('',#53566); +#53568=CARTESIAN_POINT('',(-9.05E0,-1.094019237886E1,-2.8355E1)); +#53569=CARTESIAN_POINT('',(-9.05E0,-1.094019237886E1,-2.7725E1)); +#53570=VERTEX_POINT('',#53568); +#53571=VERTEX_POINT('',#53569); +#53572=CARTESIAN_POINT('',(-9.05E0,-1.094019237886E1,-2.5815E1)); +#53573=CARTESIAN_POINT('',(-9.05E0,-1.094019237886E1,-2.5185E1)); +#53574=VERTEX_POINT('',#53572); +#53575=VERTEX_POINT('',#53573); +#53576=CARTESIAN_POINT('',(-8.15E0,-1.094019237886E1,-2.8355E1)); +#53577=CARTESIAN_POINT('',(-8.15E0,-1.094019237886E1,-2.7725E1)); +#53578=VERTEX_POINT('',#53576); +#53579=VERTEX_POINT('',#53577); +#53580=CARTESIAN_POINT('',(-8.15E0,-1.094019237886E1,-2.5815E1)); +#53581=CARTESIAN_POINT('',(-8.15E0,-1.094019237886E1,-2.5185E1)); +#53582=VERTEX_POINT('',#53580); +#53583=VERTEX_POINT('',#53581); +#53584=CARTESIAN_POINT('',(-8.3E0,-1.72E1,-2.5185E1)); +#53585=VERTEX_POINT('',#53584); +#53586=CARTESIAN_POINT('',(-8.9E0,-1.72E1,-2.5185E1)); +#53587=VERTEX_POINT('',#53586); +#53588=CARTESIAN_POINT('',(-8.3E0,-1.72E1,-2.5815E1)); +#53589=VERTEX_POINT('',#53588); +#53590=CARTESIAN_POINT('',(-8.9E0,-1.72E1,-2.5815E1)); +#53591=VERTEX_POINT('',#53590); +#53592=CARTESIAN_POINT('',(-8.3E0,-1.72E1,-2.7725E1)); +#53593=VERTEX_POINT('',#53592); +#53594=CARTESIAN_POINT('',(-8.9E0,-1.72E1,-2.7725E1)); +#53595=VERTEX_POINT('',#53594); +#53596=CARTESIAN_POINT('',(-8.3E0,-1.72E1,-2.8355E1)); +#53597=VERTEX_POINT('',#53596); +#53598=CARTESIAN_POINT('',(-8.9E0,-1.72E1,-2.8355E1)); +#53599=VERTEX_POINT('',#53598); +#53600=CARTESIAN_POINT('',(-6.9E0,-1.094019237886E1,-3.0895E1)); +#53601=CARTESIAN_POINT('',(-6.9E0,-1.094019237886E1,-3.0265E1)); +#53602=VERTEX_POINT('',#53600); +#53603=VERTEX_POINT('',#53601); +#53604=CARTESIAN_POINT('',(-6.9E0,-1.094019237886E1,-2.5815E1)); +#53605=CARTESIAN_POINT('',(-6.9E0,-1.094019237886E1,-2.5185E1)); +#53606=VERTEX_POINT('',#53604); +#53607=VERTEX_POINT('',#53605); +#53608=CARTESIAN_POINT('',(-6.E0,-1.094019237886E1,-3.0895E1)); +#53609=CARTESIAN_POINT('',(-6.E0,-1.094019237886E1,-3.0265E1)); +#53610=VERTEX_POINT('',#53608); +#53611=VERTEX_POINT('',#53609); +#53612=CARTESIAN_POINT('',(-6.E0,-1.094019237886E1,-2.5815E1)); +#53613=CARTESIAN_POINT('',(-6.E0,-1.094019237886E1,-2.5185E1)); +#53614=VERTEX_POINT('',#53612); +#53615=VERTEX_POINT('',#53613); +#53616=CARTESIAN_POINT('',(-6.75E0,-1.72E1,-3.0265E1)); +#53617=VERTEX_POINT('',#53616); +#53618=CARTESIAN_POINT('',(-6.15E0,-1.72E1,-3.0265E1)); +#53619=VERTEX_POINT('',#53618); +#53620=CARTESIAN_POINT('',(-6.75E0,-1.72E1,-3.0895E1)); +#53621=VERTEX_POINT('',#53620); +#53622=CARTESIAN_POINT('',(-6.15E0,-1.72E1,-3.0895E1)); +#53623=VERTEX_POINT('',#53622); +#53624=CARTESIAN_POINT('',(-6.75E0,-1.72E1,-2.5185E1)); +#53625=VERTEX_POINT('',#53624); +#53626=CARTESIAN_POINT('',(-6.15E0,-1.72E1,-2.5185E1)); +#53627=VERTEX_POINT('',#53626); +#53628=CARTESIAN_POINT('',(-6.75E0,-1.72E1,-2.5815E1)); +#53629=VERTEX_POINT('',#53628); +#53630=CARTESIAN_POINT('',(-6.15E0,-1.72E1,-2.5815E1)); +#53631=VERTEX_POINT('',#53630); +#53632=CARTESIAN_POINT('',(-4.75E0,-1.094019237886E1,-2.8355E1)); +#53633=CARTESIAN_POINT('',(-4.75E0,-1.094019237886E1,-2.7725E1)); +#53634=VERTEX_POINT('',#53632); +#53635=VERTEX_POINT('',#53633); +#53636=CARTESIAN_POINT('',(-4.75E0,-1.094019237886E1,-2.5815E1)); +#53637=CARTESIAN_POINT('',(-4.75E0,-1.094019237886E1,-2.5185E1)); +#53638=VERTEX_POINT('',#53636); +#53639=VERTEX_POINT('',#53637); +#53640=CARTESIAN_POINT('',(-3.85E0,-1.094019237886E1,-2.8355E1)); +#53641=CARTESIAN_POINT('',(-3.85E0,-1.094019237886E1,-2.7725E1)); +#53642=VERTEX_POINT('',#53640); +#53643=VERTEX_POINT('',#53641); +#53644=CARTESIAN_POINT('',(-3.85E0,-1.094019237886E1,-2.5815E1)); +#53645=CARTESIAN_POINT('',(-3.85E0,-1.094019237886E1,-2.5185E1)); +#53646=VERTEX_POINT('',#53644); +#53647=VERTEX_POINT('',#53645); +#53648=CARTESIAN_POINT('',(-4.E0,-1.72E1,-2.5185E1)); +#53649=VERTEX_POINT('',#53648); +#53650=CARTESIAN_POINT('',(-4.6E0,-1.72E1,-2.5185E1)); +#53651=VERTEX_POINT('',#53650); +#53652=CARTESIAN_POINT('',(-4.E0,-1.72E1,-2.5815E1)); +#53653=VERTEX_POINT('',#53652); +#53654=CARTESIAN_POINT('',(-4.6E0,-1.72E1,-2.5815E1)); +#53655=VERTEX_POINT('',#53654); +#53656=CARTESIAN_POINT('',(-4.E0,-1.72E1,-2.7725E1)); +#53657=VERTEX_POINT('',#53656); +#53658=CARTESIAN_POINT('',(-4.6E0,-1.72E1,-2.7725E1)); +#53659=VERTEX_POINT('',#53658); +#53660=CARTESIAN_POINT('',(-4.E0,-1.72E1,-2.8355E1)); +#53661=VERTEX_POINT('',#53660); +#53662=CARTESIAN_POINT('',(-4.6E0,-1.72E1,-2.8355E1)); +#53663=VERTEX_POINT('',#53662); +#53664=CARTESIAN_POINT('',(-2.6E0,-1.094019237886E1,-3.0895E1)); +#53665=CARTESIAN_POINT('',(-2.6E0,-1.094019237886E1,-3.0265E1)); +#53666=VERTEX_POINT('',#53664); +#53667=VERTEX_POINT('',#53665); +#53668=CARTESIAN_POINT('',(-2.6E0,-1.094019237886E1,-2.5815E1)); +#53669=CARTESIAN_POINT('',(-2.6E0,-1.094019237886E1,-2.5185E1)); +#53670=VERTEX_POINT('',#53668); +#53671=VERTEX_POINT('',#53669); +#53672=CARTESIAN_POINT('',(-1.7E0,-1.094019237886E1,-3.0895E1)); +#53673=CARTESIAN_POINT('',(-1.7E0,-1.094019237886E1,-3.0265E1)); +#53674=VERTEX_POINT('',#53672); +#53675=VERTEX_POINT('',#53673); +#53676=CARTESIAN_POINT('',(-1.7E0,-1.094019237886E1,-2.5815E1)); +#53677=CARTESIAN_POINT('',(-1.7E0,-1.094019237886E1,-2.5185E1)); +#53678=VERTEX_POINT('',#53676); +#53679=VERTEX_POINT('',#53677); +#53680=CARTESIAN_POINT('',(-2.45E0,-1.72E1,-3.0265E1)); +#53681=VERTEX_POINT('',#53680); +#53682=CARTESIAN_POINT('',(-1.85E0,-1.72E1,-3.0265E1)); +#53683=VERTEX_POINT('',#53682); +#53684=CARTESIAN_POINT('',(-2.45E0,-1.72E1,-3.0895E1)); +#53685=VERTEX_POINT('',#53684); +#53686=CARTESIAN_POINT('',(-1.85E0,-1.72E1,-3.0895E1)); +#53687=VERTEX_POINT('',#53686); +#53688=CARTESIAN_POINT('',(-2.45E0,-1.72E1,-2.5185E1)); +#53689=VERTEX_POINT('',#53688); +#53690=CARTESIAN_POINT('',(-1.85E0,-1.72E1,-2.5185E1)); +#53691=VERTEX_POINT('',#53690); +#53692=CARTESIAN_POINT('',(-2.45E0,-1.72E1,-2.5815E1)); +#53693=VERTEX_POINT('',#53692); +#53694=CARTESIAN_POINT('',(-1.85E0,-1.72E1,-2.5815E1)); +#53695=VERTEX_POINT('',#53694); +#53696=CARTESIAN_POINT('',(-4.5E-1,-1.094019237886E1,-2.8355E1)); +#53697=CARTESIAN_POINT('',(-4.5E-1,-1.094019237886E1,-2.7725E1)); +#53698=VERTEX_POINT('',#53696); +#53699=VERTEX_POINT('',#53697); +#53700=CARTESIAN_POINT('',(-4.5E-1,-1.094019237886E1,-2.5815E1)); +#53701=CARTESIAN_POINT('',(-4.5E-1,-1.094019237886E1,-2.5185E1)); +#53702=VERTEX_POINT('',#53700); +#53703=VERTEX_POINT('',#53701); +#53704=CARTESIAN_POINT('',(4.5E-1,-1.094019237886E1,-2.8355E1)); +#53705=CARTESIAN_POINT('',(4.5E-1,-1.094019237886E1,-2.7725E1)); +#53706=VERTEX_POINT('',#53704); +#53707=VERTEX_POINT('',#53705); +#53708=CARTESIAN_POINT('',(4.5E-1,-1.094019237886E1,-2.5815E1)); +#53709=CARTESIAN_POINT('',(4.5E-1,-1.094019237886E1,-2.5185E1)); +#53710=VERTEX_POINT('',#53708); +#53711=VERTEX_POINT('',#53709); +#53712=CARTESIAN_POINT('',(3.E-1,-1.72E1,-2.5185E1)); +#53713=VERTEX_POINT('',#53712); +#53714=CARTESIAN_POINT('',(-3.E-1,-1.72E1,-2.5185E1)); +#53715=VERTEX_POINT('',#53714); +#53716=CARTESIAN_POINT('',(3.E-1,-1.72E1,-2.5815E1)); +#53717=VERTEX_POINT('',#53716); +#53718=CARTESIAN_POINT('',(-3.E-1,-1.72E1,-2.5815E1)); +#53719=VERTEX_POINT('',#53718); +#53720=CARTESIAN_POINT('',(3.E-1,-1.72E1,-2.7725E1)); +#53721=VERTEX_POINT('',#53720); +#53722=CARTESIAN_POINT('',(-3.E-1,-1.72E1,-2.7725E1)); +#53723=VERTEX_POINT('',#53722); +#53724=CARTESIAN_POINT('',(3.E-1,-1.72E1,-2.8355E1)); +#53725=VERTEX_POINT('',#53724); +#53726=CARTESIAN_POINT('',(-3.E-1,-1.72E1,-2.8355E1)); +#53727=VERTEX_POINT('',#53726); +#53728=CARTESIAN_POINT('',(1.7E0,-1.094019237886E1,-3.0895E1)); +#53729=CARTESIAN_POINT('',(1.7E0,-1.094019237886E1,-3.0265E1)); +#53730=VERTEX_POINT('',#53728); +#53731=VERTEX_POINT('',#53729); +#53732=CARTESIAN_POINT('',(1.7E0,-1.094019237886E1,-2.5815E1)); +#53733=CARTESIAN_POINT('',(1.7E0,-1.094019237886E1,-2.5185E1)); +#53734=VERTEX_POINT('',#53732); +#53735=VERTEX_POINT('',#53733); +#53736=CARTESIAN_POINT('',(2.6E0,-1.094019237886E1,-3.0895E1)); +#53737=CARTESIAN_POINT('',(2.6E0,-1.094019237886E1,-3.0265E1)); +#53738=VERTEX_POINT('',#53736); +#53739=VERTEX_POINT('',#53737); +#53740=CARTESIAN_POINT('',(2.6E0,-1.094019237886E1,-2.5815E1)); +#53741=CARTESIAN_POINT('',(2.6E0,-1.094019237886E1,-2.5185E1)); +#53742=VERTEX_POINT('',#53740); +#53743=VERTEX_POINT('',#53741); +#53744=CARTESIAN_POINT('',(1.85E0,-1.72E1,-3.0265E1)); +#53745=VERTEX_POINT('',#53744); +#53746=CARTESIAN_POINT('',(2.45E0,-1.72E1,-3.0265E1)); +#53747=VERTEX_POINT('',#53746); +#53748=CARTESIAN_POINT('',(1.85E0,-1.72E1,-3.0895E1)); +#53749=VERTEX_POINT('',#53748); +#53750=CARTESIAN_POINT('',(2.45E0,-1.72E1,-3.0895E1)); +#53751=VERTEX_POINT('',#53750); +#53752=CARTESIAN_POINT('',(1.85E0,-1.72E1,-2.5185E1)); +#53753=VERTEX_POINT('',#53752); +#53754=CARTESIAN_POINT('',(2.45E0,-1.72E1,-2.5185E1)); +#53755=VERTEX_POINT('',#53754); +#53756=CARTESIAN_POINT('',(1.85E0,-1.72E1,-2.5815E1)); +#53757=VERTEX_POINT('',#53756); +#53758=CARTESIAN_POINT('',(2.45E0,-1.72E1,-2.5815E1)); +#53759=VERTEX_POINT('',#53758); +#53760=CARTESIAN_POINT('',(3.85E0,-1.094019237886E1,-2.8355E1)); +#53761=CARTESIAN_POINT('',(3.85E0,-1.094019237886E1,-2.7725E1)); +#53762=VERTEX_POINT('',#53760); +#53763=VERTEX_POINT('',#53761); +#53764=CARTESIAN_POINT('',(3.85E0,-1.094019237886E1,-2.5815E1)); +#53765=CARTESIAN_POINT('',(3.85E0,-1.094019237886E1,-2.5185E1)); +#53766=VERTEX_POINT('',#53764); +#53767=VERTEX_POINT('',#53765); +#53768=CARTESIAN_POINT('',(4.75E0,-1.094019237886E1,-2.8355E1)); +#53769=CARTESIAN_POINT('',(4.75E0,-1.094019237886E1,-2.7725E1)); +#53770=VERTEX_POINT('',#53768); +#53771=VERTEX_POINT('',#53769); +#53772=CARTESIAN_POINT('',(4.75E0,-1.094019237886E1,-2.5815E1)); +#53773=CARTESIAN_POINT('',(4.75E0,-1.094019237886E1,-2.5185E1)); +#53774=VERTEX_POINT('',#53772); +#53775=VERTEX_POINT('',#53773); +#53776=CARTESIAN_POINT('',(4.6E0,-1.72E1,-2.5185E1)); +#53777=VERTEX_POINT('',#53776); +#53778=CARTESIAN_POINT('',(4.E0,-1.72E1,-2.5185E1)); +#53779=VERTEX_POINT('',#53778); +#53780=CARTESIAN_POINT('',(4.6E0,-1.72E1,-2.5815E1)); +#53781=VERTEX_POINT('',#53780); +#53782=CARTESIAN_POINT('',(4.E0,-1.72E1,-2.5815E1)); +#53783=VERTEX_POINT('',#53782); +#53784=CARTESIAN_POINT('',(4.6E0,-1.72E1,-2.7725E1)); +#53785=VERTEX_POINT('',#53784); +#53786=CARTESIAN_POINT('',(4.E0,-1.72E1,-2.7725E1)); +#53787=VERTEX_POINT('',#53786); +#53788=CARTESIAN_POINT('',(4.6E0,-1.72E1,-2.8355E1)); +#53789=VERTEX_POINT('',#53788); +#53790=CARTESIAN_POINT('',(4.E0,-1.72E1,-2.8355E1)); +#53791=VERTEX_POINT('',#53790); +#53792=CARTESIAN_POINT('',(6.E0,-1.094019237886E1,-3.0895E1)); +#53793=CARTESIAN_POINT('',(6.E0,-1.094019237886E1,-3.0265E1)); +#53794=VERTEX_POINT('',#53792); +#53795=VERTEX_POINT('',#53793); +#53796=CARTESIAN_POINT('',(6.E0,-1.094019237886E1,-2.5815E1)); +#53797=CARTESIAN_POINT('',(6.E0,-1.094019237886E1,-2.5185E1)); +#53798=VERTEX_POINT('',#53796); +#53799=VERTEX_POINT('',#53797); +#53800=CARTESIAN_POINT('',(6.9E0,-1.094019237886E1,-3.0895E1)); +#53801=CARTESIAN_POINT('',(6.9E0,-1.094019237886E1,-3.0265E1)); +#53802=VERTEX_POINT('',#53800); +#53803=VERTEX_POINT('',#53801); +#53804=CARTESIAN_POINT('',(6.9E0,-1.094019237886E1,-2.5815E1)); +#53805=CARTESIAN_POINT('',(6.9E0,-1.094019237886E1,-2.5185E1)); +#53806=VERTEX_POINT('',#53804); +#53807=VERTEX_POINT('',#53805); +#53808=CARTESIAN_POINT('',(6.15E0,-1.72E1,-3.0265E1)); +#53809=VERTEX_POINT('',#53808); +#53810=CARTESIAN_POINT('',(6.75E0,-1.72E1,-3.0265E1)); +#53811=VERTEX_POINT('',#53810); +#53812=CARTESIAN_POINT('',(6.15E0,-1.72E1,-3.0895E1)); +#53813=VERTEX_POINT('',#53812); +#53814=CARTESIAN_POINT('',(6.75E0,-1.72E1,-3.0895E1)); +#53815=VERTEX_POINT('',#53814); +#53816=CARTESIAN_POINT('',(6.15E0,-1.72E1,-2.5185E1)); +#53817=VERTEX_POINT('',#53816); +#53818=CARTESIAN_POINT('',(6.75E0,-1.72E1,-2.5185E1)); +#53819=VERTEX_POINT('',#53818); +#53820=CARTESIAN_POINT('',(6.15E0,-1.72E1,-2.5815E1)); +#53821=VERTEX_POINT('',#53820); +#53822=CARTESIAN_POINT('',(6.75E0,-1.72E1,-2.5815E1)); +#53823=VERTEX_POINT('',#53822); +#53824=CARTESIAN_POINT('',(8.15E0,-1.094019237886E1,-2.8355E1)); +#53825=CARTESIAN_POINT('',(8.15E0,-1.094019237886E1,-2.7725E1)); +#53826=VERTEX_POINT('',#53824); +#53827=VERTEX_POINT('',#53825); +#53828=CARTESIAN_POINT('',(8.15E0,-1.094019237886E1,-2.5815E1)); +#53829=CARTESIAN_POINT('',(8.15E0,-1.094019237886E1,-2.5185E1)); +#53830=VERTEX_POINT('',#53828); +#53831=VERTEX_POINT('',#53829); +#53832=CARTESIAN_POINT('',(9.05E0,-1.094019237886E1,-2.8355E1)); +#53833=CARTESIAN_POINT('',(9.05E0,-1.094019237886E1,-2.7725E1)); +#53834=VERTEX_POINT('',#53832); +#53835=VERTEX_POINT('',#53833); +#53836=CARTESIAN_POINT('',(9.05E0,-1.094019237886E1,-2.5815E1)); +#53837=CARTESIAN_POINT('',(9.05E0,-1.094019237886E1,-2.5185E1)); +#53838=VERTEX_POINT('',#53836); +#53839=VERTEX_POINT('',#53837); +#53840=CARTESIAN_POINT('',(8.9E0,-1.72E1,-2.5185E1)); +#53841=VERTEX_POINT('',#53840); +#53842=CARTESIAN_POINT('',(8.3E0,-1.72E1,-2.5185E1)); +#53843=VERTEX_POINT('',#53842); +#53844=CARTESIAN_POINT('',(8.9E0,-1.72E1,-2.5815E1)); +#53845=VERTEX_POINT('',#53844); +#53846=CARTESIAN_POINT('',(8.3E0,-1.72E1,-2.5815E1)); +#53847=VERTEX_POINT('',#53846); +#53848=CARTESIAN_POINT('',(8.9E0,-1.72E1,-2.7725E1)); +#53849=VERTEX_POINT('',#53848); +#53850=CARTESIAN_POINT('',(8.3E0,-1.72E1,-2.7725E1)); +#53851=VERTEX_POINT('',#53850); +#53852=CARTESIAN_POINT('',(8.9E0,-1.72E1,-2.8355E1)); +#53853=VERTEX_POINT('',#53852); +#53854=CARTESIAN_POINT('',(8.3E0,-1.72E1,-2.8355E1)); +#53855=VERTEX_POINT('',#53854); +#53856=CARTESIAN_POINT('',(2.38E1,4.815E0,-2.9265E1)); +#53857=CARTESIAN_POINT('',(2.38E1,3.815E0,-3.0265E1)); +#53858=VERTEX_POINT('',#53856); +#53859=VERTEX_POINT('',#53857); +#53860=CARTESIAN_POINT('',(2.38E1,3.815E0,-3.0895E1)); +#53861=CARTESIAN_POINT('',(2.38E1,5.445E0,-2.9265E1)); +#53862=VERTEX_POINT('',#53860); +#53863=VERTEX_POINT('',#53861); +#53864=CARTESIAN_POINT('',(2.38E1,-2.785E0,-2.4685E1)); +#53865=CARTESIAN_POINT('',(2.38E1,-3.285E0,-2.5185E1)); +#53866=VERTEX_POINT('',#53864); +#53867=VERTEX_POINT('',#53865); +#53868=CARTESIAN_POINT('',(2.38E1,-3.285E0,-2.5815E1)); +#53869=CARTESIAN_POINT('',(2.38E1,-2.155E0,-2.4685E1)); +#53870=VERTEX_POINT('',#53868); +#53871=VERTEX_POINT('',#53869); +#53872=CARTESIAN_POINT('',(2.47E1,4.815E0,-2.9265E1)); +#53873=CARTESIAN_POINT('',(2.47E1,3.815E0,-3.0265E1)); +#53874=VERTEX_POINT('',#53872); +#53875=VERTEX_POINT('',#53873); +#53876=CARTESIAN_POINT('',(2.47E1,3.815E0,-3.0895E1)); +#53877=CARTESIAN_POINT('',(2.47E1,5.445E0,-2.9265E1)); +#53878=VERTEX_POINT('',#53876); +#53879=VERTEX_POINT('',#53877); +#53880=CARTESIAN_POINT('',(2.47E1,-2.785E0,-2.4685E1)); +#53881=CARTESIAN_POINT('',(2.47E1,-3.285E0,-2.5185E1)); +#53882=VERTEX_POINT('',#53880); +#53883=VERTEX_POINT('',#53881); +#53884=CARTESIAN_POINT('',(2.47E1,-3.285E0,-2.5815E1)); +#53885=CARTESIAN_POINT('',(2.47E1,-2.155E0,-2.4685E1)); +#53886=VERTEX_POINT('',#53884); +#53887=VERTEX_POINT('',#53885); +#53888=CARTESIAN_POINT('',(2.38E1,4.815E0,-2.584E1)); +#53889=VERTEX_POINT('',#53888); +#53890=CARTESIAN_POINT('',(2.38E1,5.445E0,-2.584E1)); +#53891=VERTEX_POINT('',#53890); +#53892=CARTESIAN_POINT('',(2.38E1,-2.785E0,-2.36E1)); +#53893=VERTEX_POINT('',#53892); +#53894=CARTESIAN_POINT('',(2.38E1,-2.155E0,-2.36E1)); +#53895=VERTEX_POINT('',#53894); +#53896=CARTESIAN_POINT('',(2.47E1,4.815E0,-2.584E1)); +#53897=VERTEX_POINT('',#53896); +#53898=CARTESIAN_POINT('',(2.47E1,5.445E0,-2.584E1)); +#53899=VERTEX_POINT('',#53898); +#53900=CARTESIAN_POINT('',(2.47E1,-2.785E0,-2.36E1)); +#53901=VERTEX_POINT('',#53900); +#53902=CARTESIAN_POINT('',(2.47E1,-2.155E0,-2.36E1)); +#53903=VERTEX_POINT('',#53902); +#53904=CARTESIAN_POINT('',(2.595E1,-2.785E0,-2.4685E1)); +#53905=CARTESIAN_POINT('',(2.595E1,-3.285E0,-2.5185E1)); +#53906=VERTEX_POINT('',#53904); +#53907=VERTEX_POINT('',#53905); +#53908=CARTESIAN_POINT('',(2.595E1,-3.285E0,-2.5815E1)); +#53909=CARTESIAN_POINT('',(2.595E1,-2.155E0,-2.4685E1)); +#53910=VERTEX_POINT('',#53908); +#53911=VERTEX_POINT('',#53909); +#53912=CARTESIAN_POINT('',(2.595E1,4.815E0,-2.6725E1)); +#53913=CARTESIAN_POINT('',(2.595E1,3.815E0,-2.7725E1)); +#53914=VERTEX_POINT('',#53912); +#53915=VERTEX_POINT('',#53913); +#53916=CARTESIAN_POINT('',(2.595E1,3.815E0,-2.8355E1)); +#53917=CARTESIAN_POINT('',(2.595E1,5.445E0,-2.6725E1)); +#53918=VERTEX_POINT('',#53916); +#53919=VERTEX_POINT('',#53917); +#53920=CARTESIAN_POINT('',(2.685E1,-2.785E0,-2.4685E1)); +#53921=CARTESIAN_POINT('',(2.685E1,-3.285E0,-2.5185E1)); +#53922=VERTEX_POINT('',#53920); +#53923=VERTEX_POINT('',#53921); +#53924=CARTESIAN_POINT('',(2.685E1,-3.285E0,-2.5815E1)); +#53925=CARTESIAN_POINT('',(2.685E1,-2.155E0,-2.4685E1)); +#53926=VERTEX_POINT('',#53924); +#53927=VERTEX_POINT('',#53925); +#53928=CARTESIAN_POINT('',(2.685E1,4.815E0,-2.6725E1)); +#53929=CARTESIAN_POINT('',(2.685E1,3.815E0,-2.7725E1)); +#53930=VERTEX_POINT('',#53928); +#53931=VERTEX_POINT('',#53929); +#53932=CARTESIAN_POINT('',(2.685E1,3.815E0,-2.8355E1)); +#53933=CARTESIAN_POINT('',(2.685E1,5.445E0,-2.6725E1)); +#53934=VERTEX_POINT('',#53932); +#53935=VERTEX_POINT('',#53933); +#53936=CARTESIAN_POINT('',(2.595E1,-2.785E0,-2.36E1)); +#53937=VERTEX_POINT('',#53936); +#53938=CARTESIAN_POINT('',(2.595E1,-2.155E0,-2.36E1)); +#53939=VERTEX_POINT('',#53938); +#53940=CARTESIAN_POINT('',(2.595E1,4.815E0,-2.584E1)); +#53941=VERTEX_POINT('',#53940); +#53942=CARTESIAN_POINT('',(2.595E1,5.445E0,-2.584E1)); +#53943=VERTEX_POINT('',#53942); +#53944=CARTESIAN_POINT('',(2.685E1,-2.785E0,-2.36E1)); +#53945=VERTEX_POINT('',#53944); +#53946=CARTESIAN_POINT('',(2.685E1,-2.155E0,-2.36E1)); +#53947=VERTEX_POINT('',#53946); +#53948=CARTESIAN_POINT('',(2.685E1,4.815E0,-2.584E1)); +#53949=VERTEX_POINT('',#53948); +#53950=CARTESIAN_POINT('',(2.685E1,5.445E0,-2.584E1)); +#53951=VERTEX_POINT('',#53950); +#53952=CARTESIAN_POINT('',(2.395E1,-1.35E1,-3.0895E1)); +#53953=CARTESIAN_POINT('',(2.395E1,-1.35E1,-3.0265E1)); +#53954=VERTEX_POINT('',#53952); +#53955=VERTEX_POINT('',#53953); +#53956=CARTESIAN_POINT('',(2.395E1,-1.35E1,-2.5815E1)); +#53957=CARTESIAN_POINT('',(2.395E1,-1.35E1,-2.5185E1)); +#53958=VERTEX_POINT('',#53956); +#53959=VERTEX_POINT('',#53957); +#53960=CARTESIAN_POINT('',(2.395E1,-1.12E1,-3.0895E1)); +#53961=CARTESIAN_POINT('',(2.395E1,-1.12E1,-3.0265E1)); +#53962=VERTEX_POINT('',#53960); +#53963=VERTEX_POINT('',#53961); +#53964=CARTESIAN_POINT('',(2.395E1,-1.12E1,-2.5815E1)); +#53965=CARTESIAN_POINT('',(2.395E1,-1.12E1,-2.5185E1)); +#53966=VERTEX_POINT('',#53964); +#53967=VERTEX_POINT('',#53965); +#53968=CARTESIAN_POINT('',(2.455E1,-1.35E1,-3.0895E1)); +#53969=CARTESIAN_POINT('',(2.455E1,-1.35E1,-3.0265E1)); +#53970=VERTEX_POINT('',#53968); +#53971=VERTEX_POINT('',#53969); +#53972=CARTESIAN_POINT('',(2.455E1,-1.35E1,-2.5815E1)); +#53973=CARTESIAN_POINT('',(2.455E1,-1.35E1,-2.5185E1)); +#53974=VERTEX_POINT('',#53972); +#53975=VERTEX_POINT('',#53973); +#53976=CARTESIAN_POINT('',(2.455E1,-1.12E1,-3.0895E1)); +#53977=CARTESIAN_POINT('',(2.455E1,-1.12E1,-3.0265E1)); +#53978=VERTEX_POINT('',#53976); +#53979=VERTEX_POINT('',#53977); +#53980=CARTESIAN_POINT('',(2.455E1,-1.12E1,-2.5815E1)); +#53981=CARTESIAN_POINT('',(2.455E1,-1.12E1,-2.5185E1)); +#53982=VERTEX_POINT('',#53980); +#53983=VERTEX_POINT('',#53981); +#53984=CARTESIAN_POINT('',(2.67E1,-1.35E1,-2.8355E1)); +#53985=CARTESIAN_POINT('',(2.67E1,-1.35E1,-2.7725E1)); +#53986=VERTEX_POINT('',#53984); +#53987=VERTEX_POINT('',#53985); +#53988=CARTESIAN_POINT('',(2.67E1,-1.35E1,-2.5815E1)); +#53989=CARTESIAN_POINT('',(2.67E1,-1.35E1,-2.5185E1)); +#53990=VERTEX_POINT('',#53988); +#53991=VERTEX_POINT('',#53989); +#53992=CARTESIAN_POINT('',(2.67E1,-1.12E1,-2.8355E1)); +#53993=CARTESIAN_POINT('',(2.67E1,-1.12E1,-2.7725E1)); +#53994=VERTEX_POINT('',#53992); +#53995=VERTEX_POINT('',#53993); +#53996=CARTESIAN_POINT('',(2.67E1,-1.12E1,-2.5815E1)); +#53997=CARTESIAN_POINT('',(2.67E1,-1.12E1,-2.5185E1)); +#53998=VERTEX_POINT('',#53996); +#53999=VERTEX_POINT('',#53997); +#54000=CARTESIAN_POINT('',(2.61E1,-1.35E1,-2.8355E1)); +#54001=CARTESIAN_POINT('',(2.61E1,-1.35E1,-2.7725E1)); +#54002=VERTEX_POINT('',#54000); +#54003=VERTEX_POINT('',#54001); +#54004=CARTESIAN_POINT('',(2.61E1,-1.35E1,-2.5815E1)); +#54005=CARTESIAN_POINT('',(2.61E1,-1.35E1,-2.5185E1)); +#54006=VERTEX_POINT('',#54004); +#54007=VERTEX_POINT('',#54005); +#54008=CARTESIAN_POINT('',(2.61E1,-1.12E1,-2.8355E1)); +#54009=CARTESIAN_POINT('',(2.61E1,-1.12E1,-2.7725E1)); +#54010=VERTEX_POINT('',#54008); +#54011=VERTEX_POINT('',#54009); +#54012=CARTESIAN_POINT('',(2.61E1,-1.12E1,-2.5815E1)); +#54013=CARTESIAN_POINT('',(2.61E1,-1.12E1,-2.5185E1)); +#54014=VERTEX_POINT('',#54012); +#54015=VERTEX_POINT('',#54013); +#54016=CARTESIAN_POINT('',(2.81E1,4.815E0,-2.9265E1)); +#54017=CARTESIAN_POINT('',(2.81E1,3.815E0,-3.0265E1)); +#54018=VERTEX_POINT('',#54016); +#54019=VERTEX_POINT('',#54017); +#54020=CARTESIAN_POINT('',(2.81E1,3.815E0,-3.0895E1)); +#54021=CARTESIAN_POINT('',(2.81E1,5.445E0,-2.9265E1)); +#54022=VERTEX_POINT('',#54020); +#54023=VERTEX_POINT('',#54021); +#54024=CARTESIAN_POINT('',(2.81E1,-2.785E0,-2.4685E1)); +#54025=CARTESIAN_POINT('',(2.81E1,-3.285E0,-2.5185E1)); +#54026=VERTEX_POINT('',#54024); +#54027=VERTEX_POINT('',#54025); +#54028=CARTESIAN_POINT('',(2.81E1,-3.285E0,-2.5815E1)); +#54029=CARTESIAN_POINT('',(2.81E1,-2.155E0,-2.4685E1)); +#54030=VERTEX_POINT('',#54028); +#54031=VERTEX_POINT('',#54029); +#54032=CARTESIAN_POINT('',(2.9E1,4.815E0,-2.9265E1)); +#54033=CARTESIAN_POINT('',(2.9E1,3.815E0,-3.0265E1)); +#54034=VERTEX_POINT('',#54032); +#54035=VERTEX_POINT('',#54033); +#54036=CARTESIAN_POINT('',(2.9E1,3.815E0,-3.0895E1)); +#54037=CARTESIAN_POINT('',(2.9E1,5.445E0,-2.9265E1)); +#54038=VERTEX_POINT('',#54036); +#54039=VERTEX_POINT('',#54037); +#54040=CARTESIAN_POINT('',(2.9E1,-2.785E0,-2.4685E1)); +#54041=CARTESIAN_POINT('',(2.9E1,-3.285E0,-2.5185E1)); +#54042=VERTEX_POINT('',#54040); +#54043=VERTEX_POINT('',#54041); +#54044=CARTESIAN_POINT('',(2.9E1,-3.285E0,-2.5815E1)); +#54045=CARTESIAN_POINT('',(2.9E1,-2.155E0,-2.4685E1)); +#54046=VERTEX_POINT('',#54044); +#54047=VERTEX_POINT('',#54045); +#54048=CARTESIAN_POINT('',(2.81E1,4.815E0,-2.584E1)); +#54049=VERTEX_POINT('',#54048); +#54050=CARTESIAN_POINT('',(2.81E1,5.445E0,-2.584E1)); +#54051=VERTEX_POINT('',#54050); +#54052=CARTESIAN_POINT('',(2.81E1,-2.785E0,-2.36E1)); +#54053=VERTEX_POINT('',#54052); +#54054=CARTESIAN_POINT('',(2.81E1,-2.155E0,-2.36E1)); +#54055=VERTEX_POINT('',#54054); +#54056=CARTESIAN_POINT('',(2.9E1,4.815E0,-2.584E1)); +#54057=VERTEX_POINT('',#54056); +#54058=CARTESIAN_POINT('',(2.9E1,5.445E0,-2.584E1)); +#54059=VERTEX_POINT('',#54058); +#54060=CARTESIAN_POINT('',(2.9E1,-2.785E0,-2.36E1)); +#54061=VERTEX_POINT('',#54060); +#54062=CARTESIAN_POINT('',(2.9E1,-2.155E0,-2.36E1)); +#54063=VERTEX_POINT('',#54062); +#54064=CARTESIAN_POINT('',(3.025E1,-2.785E0,-2.4685E1)); +#54065=CARTESIAN_POINT('',(3.025E1,-3.285E0,-2.5185E1)); +#54066=VERTEX_POINT('',#54064); +#54067=VERTEX_POINT('',#54065); +#54068=CARTESIAN_POINT('',(3.025E1,-3.285E0,-2.5815E1)); +#54069=CARTESIAN_POINT('',(3.025E1,-2.155E0,-2.4685E1)); +#54070=VERTEX_POINT('',#54068); +#54071=VERTEX_POINT('',#54069); +#54072=CARTESIAN_POINT('',(3.025E1,4.815E0,-2.6725E1)); +#54073=CARTESIAN_POINT('',(3.025E1,3.815E0,-2.7725E1)); +#54074=VERTEX_POINT('',#54072); +#54075=VERTEX_POINT('',#54073); +#54076=CARTESIAN_POINT('',(3.025E1,3.815E0,-2.8355E1)); +#54077=CARTESIAN_POINT('',(3.025E1,5.445E0,-2.6725E1)); +#54078=VERTEX_POINT('',#54076); +#54079=VERTEX_POINT('',#54077); +#54080=CARTESIAN_POINT('',(3.115E1,-2.785E0,-2.4685E1)); +#54081=CARTESIAN_POINT('',(3.115E1,-3.285E0,-2.5185E1)); +#54082=VERTEX_POINT('',#54080); +#54083=VERTEX_POINT('',#54081); +#54084=CARTESIAN_POINT('',(3.115E1,-3.285E0,-2.5815E1)); +#54085=CARTESIAN_POINT('',(3.115E1,-2.155E0,-2.4685E1)); +#54086=VERTEX_POINT('',#54084); +#54087=VERTEX_POINT('',#54085); +#54088=CARTESIAN_POINT('',(3.115E1,4.815E0,-2.6725E1)); +#54089=CARTESIAN_POINT('',(3.115E1,3.815E0,-2.7725E1)); +#54090=VERTEX_POINT('',#54088); +#54091=VERTEX_POINT('',#54089); +#54092=CARTESIAN_POINT('',(3.115E1,3.815E0,-2.8355E1)); +#54093=CARTESIAN_POINT('',(3.115E1,5.445E0,-2.6725E1)); +#54094=VERTEX_POINT('',#54092); +#54095=VERTEX_POINT('',#54093); +#54096=CARTESIAN_POINT('',(3.025E1,-2.785E0,-2.36E1)); +#54097=VERTEX_POINT('',#54096); +#54098=CARTESIAN_POINT('',(3.025E1,-2.155E0,-2.36E1)); +#54099=VERTEX_POINT('',#54098); +#54100=CARTESIAN_POINT('',(3.025E1,4.815E0,-2.584E1)); +#54101=VERTEX_POINT('',#54100); +#54102=CARTESIAN_POINT('',(3.025E1,5.445E0,-2.584E1)); +#54103=VERTEX_POINT('',#54102); +#54104=CARTESIAN_POINT('',(3.115E1,-2.785E0,-2.36E1)); +#54105=VERTEX_POINT('',#54104); +#54106=CARTESIAN_POINT('',(3.115E1,-2.155E0,-2.36E1)); +#54107=VERTEX_POINT('',#54106); +#54108=CARTESIAN_POINT('',(3.115E1,4.815E0,-2.584E1)); +#54109=VERTEX_POINT('',#54108); +#54110=CARTESIAN_POINT('',(3.115E1,5.445E0,-2.584E1)); +#54111=VERTEX_POINT('',#54110); +#54112=CARTESIAN_POINT('',(2.825E1,-1.35E1,-3.0895E1)); +#54113=CARTESIAN_POINT('',(2.825E1,-1.35E1,-3.0265E1)); +#54114=VERTEX_POINT('',#54112); +#54115=VERTEX_POINT('',#54113); +#54116=CARTESIAN_POINT('',(2.825E1,-1.35E1,-2.5815E1)); +#54117=CARTESIAN_POINT('',(2.825E1,-1.35E1,-2.5185E1)); +#54118=VERTEX_POINT('',#54116); +#54119=VERTEX_POINT('',#54117); +#54120=CARTESIAN_POINT('',(2.825E1,-1.12E1,-3.0895E1)); +#54121=CARTESIAN_POINT('',(2.825E1,-1.12E1,-3.0265E1)); +#54122=VERTEX_POINT('',#54120); +#54123=VERTEX_POINT('',#54121); +#54124=CARTESIAN_POINT('',(2.825E1,-1.12E1,-2.5815E1)); +#54125=CARTESIAN_POINT('',(2.825E1,-1.12E1,-2.5185E1)); +#54126=VERTEX_POINT('',#54124); +#54127=VERTEX_POINT('',#54125); +#54128=CARTESIAN_POINT('',(2.885E1,-1.35E1,-3.0895E1)); +#54129=CARTESIAN_POINT('',(2.885E1,-1.35E1,-3.0265E1)); +#54130=VERTEX_POINT('',#54128); +#54131=VERTEX_POINT('',#54129); +#54132=CARTESIAN_POINT('',(2.885E1,-1.35E1,-2.5815E1)); +#54133=CARTESIAN_POINT('',(2.885E1,-1.35E1,-2.5185E1)); +#54134=VERTEX_POINT('',#54132); +#54135=VERTEX_POINT('',#54133); +#54136=CARTESIAN_POINT('',(2.885E1,-1.12E1,-3.0895E1)); +#54137=CARTESIAN_POINT('',(2.885E1,-1.12E1,-3.0265E1)); +#54138=VERTEX_POINT('',#54136); +#54139=VERTEX_POINT('',#54137); +#54140=CARTESIAN_POINT('',(2.885E1,-1.12E1,-2.5815E1)); +#54141=CARTESIAN_POINT('',(2.885E1,-1.12E1,-2.5185E1)); +#54142=VERTEX_POINT('',#54140); +#54143=VERTEX_POINT('',#54141); +#54144=CARTESIAN_POINT('',(3.1E1,-1.35E1,-2.8355E1)); +#54145=CARTESIAN_POINT('',(3.1E1,-1.35E1,-2.7725E1)); +#54146=VERTEX_POINT('',#54144); +#54147=VERTEX_POINT('',#54145); +#54148=CARTESIAN_POINT('',(3.1E1,-1.35E1,-2.5815E1)); +#54149=CARTESIAN_POINT('',(3.1E1,-1.35E1,-2.5185E1)); +#54150=VERTEX_POINT('',#54148); +#54151=VERTEX_POINT('',#54149); +#54152=CARTESIAN_POINT('',(3.1E1,-1.12E1,-2.8355E1)); +#54153=CARTESIAN_POINT('',(3.1E1,-1.12E1,-2.7725E1)); +#54154=VERTEX_POINT('',#54152); +#54155=VERTEX_POINT('',#54153); +#54156=CARTESIAN_POINT('',(3.1E1,-1.12E1,-2.5815E1)); +#54157=CARTESIAN_POINT('',(3.1E1,-1.12E1,-2.5185E1)); +#54158=VERTEX_POINT('',#54156); +#54159=VERTEX_POINT('',#54157); +#54160=CARTESIAN_POINT('',(3.04E1,-1.35E1,-2.8355E1)); +#54161=CARTESIAN_POINT('',(3.04E1,-1.35E1,-2.7725E1)); +#54162=VERTEX_POINT('',#54160); +#54163=VERTEX_POINT('',#54161); +#54164=CARTESIAN_POINT('',(3.04E1,-1.35E1,-2.5815E1)); +#54165=CARTESIAN_POINT('',(3.04E1,-1.35E1,-2.5185E1)); +#54166=VERTEX_POINT('',#54164); +#54167=VERTEX_POINT('',#54165); +#54168=CARTESIAN_POINT('',(3.04E1,-1.12E1,-2.8355E1)); +#54169=CARTESIAN_POINT('',(3.04E1,-1.12E1,-2.7725E1)); +#54170=VERTEX_POINT('',#54168); +#54171=VERTEX_POINT('',#54169); +#54172=CARTESIAN_POINT('',(3.04E1,-1.12E1,-2.5815E1)); +#54173=CARTESIAN_POINT('',(3.04E1,-1.12E1,-2.5185E1)); +#54174=VERTEX_POINT('',#54172); +#54175=VERTEX_POINT('',#54173); +#54176=CARTESIAN_POINT('',(3.24E1,4.815E0,-2.9265E1)); +#54177=CARTESIAN_POINT('',(3.24E1,3.815E0,-3.0265E1)); +#54178=VERTEX_POINT('',#54176); +#54179=VERTEX_POINT('',#54177); +#54180=CARTESIAN_POINT('',(3.24E1,3.815E0,-3.0895E1)); +#54181=CARTESIAN_POINT('',(3.24E1,5.445E0,-2.9265E1)); +#54182=VERTEX_POINT('',#54180); +#54183=VERTEX_POINT('',#54181); +#54184=CARTESIAN_POINT('',(3.24E1,-2.785E0,-2.4685E1)); +#54185=CARTESIAN_POINT('',(3.24E1,-3.285E0,-2.5185E1)); +#54186=VERTEX_POINT('',#54184); +#54187=VERTEX_POINT('',#54185); +#54188=CARTESIAN_POINT('',(3.24E1,-3.285E0,-2.5815E1)); +#54189=CARTESIAN_POINT('',(3.24E1,-2.155E0,-2.4685E1)); +#54190=VERTEX_POINT('',#54188); +#54191=VERTEX_POINT('',#54189); +#54192=CARTESIAN_POINT('',(3.33E1,4.815E0,-2.9265E1)); +#54193=CARTESIAN_POINT('',(3.33E1,3.815E0,-3.0265E1)); +#54194=VERTEX_POINT('',#54192); +#54195=VERTEX_POINT('',#54193); +#54196=CARTESIAN_POINT('',(3.33E1,3.815E0,-3.0895E1)); +#54197=CARTESIAN_POINT('',(3.33E1,5.445E0,-2.9265E1)); +#54198=VERTEX_POINT('',#54196); +#54199=VERTEX_POINT('',#54197); +#54200=CARTESIAN_POINT('',(3.33E1,-2.785E0,-2.4685E1)); +#54201=CARTESIAN_POINT('',(3.33E1,-3.285E0,-2.5185E1)); +#54202=VERTEX_POINT('',#54200); +#54203=VERTEX_POINT('',#54201); +#54204=CARTESIAN_POINT('',(3.33E1,-3.285E0,-2.5815E1)); +#54205=CARTESIAN_POINT('',(3.33E1,-2.155E0,-2.4685E1)); +#54206=VERTEX_POINT('',#54204); +#54207=VERTEX_POINT('',#54205); +#54208=CARTESIAN_POINT('',(3.24E1,4.815E0,-2.584E1)); +#54209=VERTEX_POINT('',#54208); +#54210=CARTESIAN_POINT('',(3.24E1,5.445E0,-2.584E1)); +#54211=VERTEX_POINT('',#54210); +#54212=CARTESIAN_POINT('',(3.24E1,-2.785E0,-2.36E1)); +#54213=VERTEX_POINT('',#54212); +#54214=CARTESIAN_POINT('',(3.24E1,-2.155E0,-2.36E1)); +#54215=VERTEX_POINT('',#54214); +#54216=CARTESIAN_POINT('',(3.33E1,4.815E0,-2.584E1)); +#54217=VERTEX_POINT('',#54216); +#54218=CARTESIAN_POINT('',(3.33E1,5.445E0,-2.584E1)); +#54219=VERTEX_POINT('',#54218); +#54220=CARTESIAN_POINT('',(3.33E1,-2.785E0,-2.36E1)); +#54221=VERTEX_POINT('',#54220); +#54222=CARTESIAN_POINT('',(3.33E1,-2.155E0,-2.36E1)); +#54223=VERTEX_POINT('',#54222); +#54224=CARTESIAN_POINT('',(3.455E1,-2.785E0,-2.4685E1)); +#54225=CARTESIAN_POINT('',(3.455E1,-3.285E0,-2.5185E1)); +#54226=VERTEX_POINT('',#54224); +#54227=VERTEX_POINT('',#54225); +#54228=CARTESIAN_POINT('',(3.455E1,-3.285E0,-2.5815E1)); +#54229=CARTESIAN_POINT('',(3.455E1,-2.155E0,-2.4685E1)); +#54230=VERTEX_POINT('',#54228); +#54231=VERTEX_POINT('',#54229); +#54232=CARTESIAN_POINT('',(3.455E1,4.815E0,-2.6725E1)); +#54233=CARTESIAN_POINT('',(3.455E1,3.815E0,-2.7725E1)); +#54234=VERTEX_POINT('',#54232); +#54235=VERTEX_POINT('',#54233); +#54236=CARTESIAN_POINT('',(3.455E1,3.815E0,-2.8355E1)); +#54237=CARTESIAN_POINT('',(3.455E1,5.445E0,-2.6725E1)); +#54238=VERTEX_POINT('',#54236); +#54239=VERTEX_POINT('',#54237); +#54240=CARTESIAN_POINT('',(3.545E1,-2.785E0,-2.4685E1)); +#54241=CARTESIAN_POINT('',(3.545E1,-3.285E0,-2.5185E1)); +#54242=VERTEX_POINT('',#54240); +#54243=VERTEX_POINT('',#54241); +#54244=CARTESIAN_POINT('',(3.545E1,-3.285E0,-2.5815E1)); +#54245=CARTESIAN_POINT('',(3.545E1,-2.155E0,-2.4685E1)); +#54246=VERTEX_POINT('',#54244); +#54247=VERTEX_POINT('',#54245); +#54248=CARTESIAN_POINT('',(3.545E1,4.815E0,-2.6725E1)); +#54249=CARTESIAN_POINT('',(3.545E1,3.815E0,-2.7725E1)); +#54250=VERTEX_POINT('',#54248); +#54251=VERTEX_POINT('',#54249); +#54252=CARTESIAN_POINT('',(3.545E1,3.815E0,-2.8355E1)); +#54253=CARTESIAN_POINT('',(3.545E1,5.445E0,-2.6725E1)); +#54254=VERTEX_POINT('',#54252); +#54255=VERTEX_POINT('',#54253); +#54256=CARTESIAN_POINT('',(3.455E1,-2.785E0,-2.36E1)); +#54257=VERTEX_POINT('',#54256); +#54258=CARTESIAN_POINT('',(3.455E1,-2.155E0,-2.36E1)); +#54259=VERTEX_POINT('',#54258); +#54260=CARTESIAN_POINT('',(3.455E1,4.815E0,-2.584E1)); +#54261=VERTEX_POINT('',#54260); +#54262=CARTESIAN_POINT('',(3.455E1,5.445E0,-2.584E1)); +#54263=VERTEX_POINT('',#54262); +#54264=CARTESIAN_POINT('',(3.545E1,-2.785E0,-2.36E1)); +#54265=VERTEX_POINT('',#54264); +#54266=CARTESIAN_POINT('',(3.545E1,-2.155E0,-2.36E1)); +#54267=VERTEX_POINT('',#54266); +#54268=CARTESIAN_POINT('',(3.545E1,4.815E0,-2.584E1)); +#54269=VERTEX_POINT('',#54268); +#54270=CARTESIAN_POINT('',(3.545E1,5.445E0,-2.584E1)); +#54271=VERTEX_POINT('',#54270); +#54272=CARTESIAN_POINT('',(3.255E1,-1.35E1,-3.0895E1)); +#54273=CARTESIAN_POINT('',(3.255E1,-1.35E1,-3.0265E1)); +#54274=VERTEX_POINT('',#54272); +#54275=VERTEX_POINT('',#54273); +#54276=CARTESIAN_POINT('',(3.255E1,-1.35E1,-2.5815E1)); +#54277=CARTESIAN_POINT('',(3.255E1,-1.35E1,-2.5185E1)); +#54278=VERTEX_POINT('',#54276); +#54279=VERTEX_POINT('',#54277); +#54280=CARTESIAN_POINT('',(3.255E1,-1.12E1,-3.0895E1)); +#54281=CARTESIAN_POINT('',(3.255E1,-1.12E1,-3.0265E1)); +#54282=VERTEX_POINT('',#54280); +#54283=VERTEX_POINT('',#54281); +#54284=CARTESIAN_POINT('',(3.255E1,-1.12E1,-2.5815E1)); +#54285=CARTESIAN_POINT('',(3.255E1,-1.12E1,-2.5185E1)); +#54286=VERTEX_POINT('',#54284); +#54287=VERTEX_POINT('',#54285); +#54288=CARTESIAN_POINT('',(3.315E1,-1.35E1,-3.0895E1)); +#54289=CARTESIAN_POINT('',(3.315E1,-1.35E1,-3.0265E1)); +#54290=VERTEX_POINT('',#54288); +#54291=VERTEX_POINT('',#54289); +#54292=CARTESIAN_POINT('',(3.315E1,-1.35E1,-2.5815E1)); +#54293=CARTESIAN_POINT('',(3.315E1,-1.35E1,-2.5185E1)); +#54294=VERTEX_POINT('',#54292); +#54295=VERTEX_POINT('',#54293); +#54296=CARTESIAN_POINT('',(3.315E1,-1.12E1,-3.0895E1)); +#54297=CARTESIAN_POINT('',(3.315E1,-1.12E1,-3.0265E1)); +#54298=VERTEX_POINT('',#54296); +#54299=VERTEX_POINT('',#54297); +#54300=CARTESIAN_POINT('',(3.315E1,-1.12E1,-2.5815E1)); +#54301=CARTESIAN_POINT('',(3.315E1,-1.12E1,-2.5185E1)); +#54302=VERTEX_POINT('',#54300); +#54303=VERTEX_POINT('',#54301); +#54304=CARTESIAN_POINT('',(3.53E1,-1.35E1,-2.8355E1)); +#54305=CARTESIAN_POINT('',(3.53E1,-1.35E1,-2.7725E1)); +#54306=VERTEX_POINT('',#54304); +#54307=VERTEX_POINT('',#54305); +#54308=CARTESIAN_POINT('',(3.53E1,-1.35E1,-2.5815E1)); +#54309=CARTESIAN_POINT('',(3.53E1,-1.35E1,-2.5185E1)); +#54310=VERTEX_POINT('',#54308); +#54311=VERTEX_POINT('',#54309); +#54312=CARTESIAN_POINT('',(3.53E1,-1.12E1,-2.8355E1)); +#54313=CARTESIAN_POINT('',(3.53E1,-1.12E1,-2.7725E1)); +#54314=VERTEX_POINT('',#54312); +#54315=VERTEX_POINT('',#54313); +#54316=CARTESIAN_POINT('',(3.53E1,-1.12E1,-2.5815E1)); +#54317=CARTESIAN_POINT('',(3.53E1,-1.12E1,-2.5185E1)); +#54318=VERTEX_POINT('',#54316); +#54319=VERTEX_POINT('',#54317); +#54320=CARTESIAN_POINT('',(3.47E1,-1.35E1,-2.8355E1)); +#54321=CARTESIAN_POINT('',(3.47E1,-1.35E1,-2.7725E1)); +#54322=VERTEX_POINT('',#54320); +#54323=VERTEX_POINT('',#54321); +#54324=CARTESIAN_POINT('',(3.47E1,-1.35E1,-2.5815E1)); +#54325=CARTESIAN_POINT('',(3.47E1,-1.35E1,-2.5185E1)); +#54326=VERTEX_POINT('',#54324); +#54327=VERTEX_POINT('',#54325); +#54328=CARTESIAN_POINT('',(3.47E1,-1.12E1,-2.8355E1)); +#54329=CARTESIAN_POINT('',(3.47E1,-1.12E1,-2.7725E1)); +#54330=VERTEX_POINT('',#54328); +#54331=VERTEX_POINT('',#54329); +#54332=CARTESIAN_POINT('',(3.47E1,-1.12E1,-2.5815E1)); +#54333=CARTESIAN_POINT('',(3.47E1,-1.12E1,-2.5185E1)); +#54334=VERTEX_POINT('',#54332); +#54335=VERTEX_POINT('',#54333); +#54336=CARTESIAN_POINT('',(3.67E1,4.815E0,-2.9265E1)); +#54337=CARTESIAN_POINT('',(3.67E1,3.815E0,-3.0265E1)); +#54338=VERTEX_POINT('',#54336); +#54339=VERTEX_POINT('',#54337); +#54340=CARTESIAN_POINT('',(3.67E1,3.815E0,-3.0895E1)); +#54341=CARTESIAN_POINT('',(3.67E1,5.445E0,-2.9265E1)); +#54342=VERTEX_POINT('',#54340); +#54343=VERTEX_POINT('',#54341); +#54344=CARTESIAN_POINT('',(3.67E1,-2.785E0,-2.4685E1)); +#54345=CARTESIAN_POINT('',(3.67E1,-3.285E0,-2.5185E1)); +#54346=VERTEX_POINT('',#54344); +#54347=VERTEX_POINT('',#54345); +#54348=CARTESIAN_POINT('',(3.67E1,-3.285E0,-2.5815E1)); +#54349=CARTESIAN_POINT('',(3.67E1,-2.155E0,-2.4685E1)); +#54350=VERTEX_POINT('',#54348); +#54351=VERTEX_POINT('',#54349); +#54352=CARTESIAN_POINT('',(3.76E1,4.815E0,-2.9265E1)); +#54353=CARTESIAN_POINT('',(3.76E1,3.815E0,-3.0265E1)); +#54354=VERTEX_POINT('',#54352); +#54355=VERTEX_POINT('',#54353); +#54356=CARTESIAN_POINT('',(3.76E1,3.815E0,-3.0895E1)); +#54357=CARTESIAN_POINT('',(3.76E1,5.445E0,-2.9265E1)); +#54358=VERTEX_POINT('',#54356); +#54359=VERTEX_POINT('',#54357); +#54360=CARTESIAN_POINT('',(3.76E1,-2.785E0,-2.4685E1)); +#54361=CARTESIAN_POINT('',(3.76E1,-3.285E0,-2.5185E1)); +#54362=VERTEX_POINT('',#54360); +#54363=VERTEX_POINT('',#54361); +#54364=CARTESIAN_POINT('',(3.76E1,-3.285E0,-2.5815E1)); +#54365=CARTESIAN_POINT('',(3.76E1,-2.155E0,-2.4685E1)); +#54366=VERTEX_POINT('',#54364); +#54367=VERTEX_POINT('',#54365); +#54368=CARTESIAN_POINT('',(3.67E1,4.815E0,-2.584E1)); +#54369=VERTEX_POINT('',#54368); +#54370=CARTESIAN_POINT('',(3.67E1,5.445E0,-2.584E1)); +#54371=VERTEX_POINT('',#54370); +#54372=CARTESIAN_POINT('',(3.67E1,-2.785E0,-2.36E1)); +#54373=VERTEX_POINT('',#54372); +#54374=CARTESIAN_POINT('',(3.67E1,-2.155E0,-2.36E1)); +#54375=VERTEX_POINT('',#54374); +#54376=CARTESIAN_POINT('',(3.76E1,4.815E0,-2.584E1)); +#54377=VERTEX_POINT('',#54376); +#54378=CARTESIAN_POINT('',(3.76E1,5.445E0,-2.584E1)); +#54379=VERTEX_POINT('',#54378); +#54380=CARTESIAN_POINT('',(3.76E1,-2.785E0,-2.36E1)); +#54381=VERTEX_POINT('',#54380); +#54382=CARTESIAN_POINT('',(3.76E1,-2.155E0,-2.36E1)); +#54383=VERTEX_POINT('',#54382); +#54384=CARTESIAN_POINT('',(3.885E1,-2.785E0,-2.4685E1)); +#54385=CARTESIAN_POINT('',(3.885E1,-3.285E0,-2.5185E1)); +#54386=VERTEX_POINT('',#54384); +#54387=VERTEX_POINT('',#54385); +#54388=CARTESIAN_POINT('',(3.885E1,-3.285E0,-2.5815E1)); +#54389=CARTESIAN_POINT('',(3.885E1,-2.155E0,-2.4685E1)); +#54390=VERTEX_POINT('',#54388); +#54391=VERTEX_POINT('',#54389); +#54392=CARTESIAN_POINT('',(3.885E1,4.815E0,-2.6725E1)); +#54393=CARTESIAN_POINT('',(3.885E1,3.815E0,-2.7725E1)); +#54394=VERTEX_POINT('',#54392); +#54395=VERTEX_POINT('',#54393); +#54396=CARTESIAN_POINT('',(3.885E1,3.815E0,-2.8355E1)); +#54397=CARTESIAN_POINT('',(3.885E1,5.445E0,-2.6725E1)); +#54398=VERTEX_POINT('',#54396); +#54399=VERTEX_POINT('',#54397); +#54400=CARTESIAN_POINT('',(3.975E1,-2.785E0,-2.4685E1)); +#54401=CARTESIAN_POINT('',(3.975E1,-3.285E0,-2.5185E1)); +#54402=VERTEX_POINT('',#54400); +#54403=VERTEX_POINT('',#54401); +#54404=CARTESIAN_POINT('',(3.975E1,-3.285E0,-2.5815E1)); +#54405=CARTESIAN_POINT('',(3.975E1,-2.155E0,-2.4685E1)); +#54406=VERTEX_POINT('',#54404); +#54407=VERTEX_POINT('',#54405); +#54408=CARTESIAN_POINT('',(3.975E1,4.815E0,-2.6725E1)); +#54409=CARTESIAN_POINT('',(3.975E1,3.815E0,-2.7725E1)); +#54410=VERTEX_POINT('',#54408); +#54411=VERTEX_POINT('',#54409); +#54412=CARTESIAN_POINT('',(3.975E1,3.815E0,-2.8355E1)); +#54413=CARTESIAN_POINT('',(3.975E1,5.445E0,-2.6725E1)); +#54414=VERTEX_POINT('',#54412); +#54415=VERTEX_POINT('',#54413); +#54416=CARTESIAN_POINT('',(3.885E1,-2.785E0,-2.36E1)); +#54417=VERTEX_POINT('',#54416); +#54418=CARTESIAN_POINT('',(3.885E1,-2.155E0,-2.36E1)); +#54419=VERTEX_POINT('',#54418); +#54420=CARTESIAN_POINT('',(3.885E1,4.815E0,-2.584E1)); +#54421=VERTEX_POINT('',#54420); +#54422=CARTESIAN_POINT('',(3.885E1,5.445E0,-2.584E1)); +#54423=VERTEX_POINT('',#54422); +#54424=CARTESIAN_POINT('',(3.975E1,-2.785E0,-2.36E1)); +#54425=VERTEX_POINT('',#54424); +#54426=CARTESIAN_POINT('',(3.975E1,-2.155E0,-2.36E1)); +#54427=VERTEX_POINT('',#54426); +#54428=CARTESIAN_POINT('',(3.975E1,4.815E0,-2.584E1)); +#54429=VERTEX_POINT('',#54428); +#54430=CARTESIAN_POINT('',(3.975E1,5.445E0,-2.584E1)); +#54431=VERTEX_POINT('',#54430); +#54432=CARTESIAN_POINT('',(3.685E1,-1.35E1,-3.0895E1)); +#54433=CARTESIAN_POINT('',(3.685E1,-1.35E1,-3.0265E1)); +#54434=VERTEX_POINT('',#54432); +#54435=VERTEX_POINT('',#54433); +#54436=CARTESIAN_POINT('',(3.685E1,-1.35E1,-2.5815E1)); +#54437=CARTESIAN_POINT('',(3.685E1,-1.35E1,-2.5185E1)); +#54438=VERTEX_POINT('',#54436); +#54439=VERTEX_POINT('',#54437); +#54440=CARTESIAN_POINT('',(3.685E1,-1.12E1,-3.0895E1)); +#54441=CARTESIAN_POINT('',(3.685E1,-1.12E1,-3.0265E1)); +#54442=VERTEX_POINT('',#54440); +#54443=VERTEX_POINT('',#54441); +#54444=CARTESIAN_POINT('',(3.685E1,-1.12E1,-2.5815E1)); +#54445=CARTESIAN_POINT('',(3.685E1,-1.12E1,-2.5185E1)); +#54446=VERTEX_POINT('',#54444); +#54447=VERTEX_POINT('',#54445); +#54448=CARTESIAN_POINT('',(3.745E1,-1.35E1,-3.0895E1)); +#54449=CARTESIAN_POINT('',(3.745E1,-1.35E1,-3.0265E1)); +#54450=VERTEX_POINT('',#54448); +#54451=VERTEX_POINT('',#54449); +#54452=CARTESIAN_POINT('',(3.745E1,-1.35E1,-2.5815E1)); +#54453=CARTESIAN_POINT('',(3.745E1,-1.35E1,-2.5185E1)); +#54454=VERTEX_POINT('',#54452); +#54455=VERTEX_POINT('',#54453); +#54456=CARTESIAN_POINT('',(3.745E1,-1.12E1,-3.0895E1)); +#54457=CARTESIAN_POINT('',(3.745E1,-1.12E1,-3.0265E1)); +#54458=VERTEX_POINT('',#54456); +#54459=VERTEX_POINT('',#54457); +#54460=CARTESIAN_POINT('',(3.745E1,-1.12E1,-2.5815E1)); +#54461=CARTESIAN_POINT('',(3.745E1,-1.12E1,-2.5185E1)); +#54462=VERTEX_POINT('',#54460); +#54463=VERTEX_POINT('',#54461); +#54464=CARTESIAN_POINT('',(3.96E1,-1.35E1,-2.8355E1)); +#54465=CARTESIAN_POINT('',(3.96E1,-1.35E1,-2.7725E1)); +#54466=VERTEX_POINT('',#54464); +#54467=VERTEX_POINT('',#54465); +#54468=CARTESIAN_POINT('',(3.96E1,-1.35E1,-2.5815E1)); +#54469=CARTESIAN_POINT('',(3.96E1,-1.35E1,-2.5185E1)); +#54470=VERTEX_POINT('',#54468); +#54471=VERTEX_POINT('',#54469); +#54472=CARTESIAN_POINT('',(3.96E1,-1.12E1,-2.8355E1)); +#54473=CARTESIAN_POINT('',(3.96E1,-1.12E1,-2.7725E1)); +#54474=VERTEX_POINT('',#54472); +#54475=VERTEX_POINT('',#54473); +#54476=CARTESIAN_POINT('',(3.96E1,-1.12E1,-2.5815E1)); +#54477=CARTESIAN_POINT('',(3.96E1,-1.12E1,-2.5185E1)); +#54478=VERTEX_POINT('',#54476); +#54479=VERTEX_POINT('',#54477); +#54480=CARTESIAN_POINT('',(3.9E1,-1.35E1,-2.8355E1)); +#54481=CARTESIAN_POINT('',(3.9E1,-1.35E1,-2.7725E1)); +#54482=VERTEX_POINT('',#54480); +#54483=VERTEX_POINT('',#54481); +#54484=CARTESIAN_POINT('',(3.9E1,-1.35E1,-2.5815E1)); +#54485=CARTESIAN_POINT('',(3.9E1,-1.35E1,-2.5185E1)); +#54486=VERTEX_POINT('',#54484); +#54487=VERTEX_POINT('',#54485); +#54488=CARTESIAN_POINT('',(3.9E1,-1.12E1,-2.8355E1)); +#54489=CARTESIAN_POINT('',(3.9E1,-1.12E1,-2.7725E1)); +#54490=VERTEX_POINT('',#54488); +#54491=VERTEX_POINT('',#54489); +#54492=CARTESIAN_POINT('',(3.9E1,-1.12E1,-2.5815E1)); +#54493=CARTESIAN_POINT('',(3.9E1,-1.12E1,-2.5185E1)); +#54494=VERTEX_POINT('',#54492); +#54495=VERTEX_POINT('',#54493); +#54496=CARTESIAN_POINT('',(4.1E1,4.815E0,-2.9265E1)); +#54497=CARTESIAN_POINT('',(4.1E1,3.815E0,-3.0265E1)); +#54498=VERTEX_POINT('',#54496); +#54499=VERTEX_POINT('',#54497); +#54500=CARTESIAN_POINT('',(4.1E1,3.815E0,-3.0895E1)); +#54501=CARTESIAN_POINT('',(4.1E1,5.445E0,-2.9265E1)); +#54502=VERTEX_POINT('',#54500); +#54503=VERTEX_POINT('',#54501); +#54504=CARTESIAN_POINT('',(4.1E1,-2.785E0,-2.4685E1)); +#54505=CARTESIAN_POINT('',(4.1E1,-3.285E0,-2.5185E1)); +#54506=VERTEX_POINT('',#54504); +#54507=VERTEX_POINT('',#54505); +#54508=CARTESIAN_POINT('',(4.1E1,-3.285E0,-2.5815E1)); +#54509=CARTESIAN_POINT('',(4.1E1,-2.155E0,-2.4685E1)); +#54510=VERTEX_POINT('',#54508); +#54511=VERTEX_POINT('',#54509); +#54512=CARTESIAN_POINT('',(4.19E1,4.815E0,-2.9265E1)); +#54513=CARTESIAN_POINT('',(4.19E1,3.815E0,-3.0265E1)); +#54514=VERTEX_POINT('',#54512); +#54515=VERTEX_POINT('',#54513); +#54516=CARTESIAN_POINT('',(4.19E1,3.815E0,-3.0895E1)); +#54517=CARTESIAN_POINT('',(4.19E1,5.445E0,-2.9265E1)); +#54518=VERTEX_POINT('',#54516); +#54519=VERTEX_POINT('',#54517); +#54520=CARTESIAN_POINT('',(4.19E1,-2.785E0,-2.4685E1)); +#54521=CARTESIAN_POINT('',(4.19E1,-3.285E0,-2.5185E1)); +#54522=VERTEX_POINT('',#54520); +#54523=VERTEX_POINT('',#54521); +#54524=CARTESIAN_POINT('',(4.19E1,-3.285E0,-2.5815E1)); +#54525=CARTESIAN_POINT('',(4.19E1,-2.155E0,-2.4685E1)); +#54526=VERTEX_POINT('',#54524); +#54527=VERTEX_POINT('',#54525); +#54528=CARTESIAN_POINT('',(4.1E1,4.815E0,-2.584E1)); +#54529=VERTEX_POINT('',#54528); +#54530=CARTESIAN_POINT('',(4.1E1,5.445E0,-2.584E1)); +#54531=VERTEX_POINT('',#54530); +#54532=CARTESIAN_POINT('',(4.1E1,-2.785E0,-2.36E1)); +#54533=VERTEX_POINT('',#54532); +#54534=CARTESIAN_POINT('',(4.1E1,-2.155E0,-2.36E1)); +#54535=VERTEX_POINT('',#54534); +#54536=CARTESIAN_POINT('',(4.19E1,4.815E0,-2.584E1)); +#54537=VERTEX_POINT('',#54536); +#54538=CARTESIAN_POINT('',(4.19E1,5.445E0,-2.584E1)); +#54539=VERTEX_POINT('',#54538); +#54540=CARTESIAN_POINT('',(4.19E1,-2.785E0,-2.36E1)); +#54541=VERTEX_POINT('',#54540); +#54542=CARTESIAN_POINT('',(4.19E1,-2.155E0,-2.36E1)); +#54543=VERTEX_POINT('',#54542); +#54544=CARTESIAN_POINT('',(4.315E1,-2.785E0,-2.4685E1)); +#54545=CARTESIAN_POINT('',(4.315E1,-3.285E0,-2.5185E1)); +#54546=VERTEX_POINT('',#54544); +#54547=VERTEX_POINT('',#54545); +#54548=CARTESIAN_POINT('',(4.315E1,-3.285E0,-2.5815E1)); +#54549=CARTESIAN_POINT('',(4.315E1,-2.155E0,-2.4685E1)); +#54550=VERTEX_POINT('',#54548); +#54551=VERTEX_POINT('',#54549); +#54552=CARTESIAN_POINT('',(4.315E1,4.815E0,-2.6725E1)); +#54553=CARTESIAN_POINT('',(4.315E1,3.815E0,-2.7725E1)); +#54554=VERTEX_POINT('',#54552); +#54555=VERTEX_POINT('',#54553); +#54556=CARTESIAN_POINT('',(4.315E1,3.815E0,-2.8355E1)); +#54557=CARTESIAN_POINT('',(4.315E1,5.445E0,-2.6725E1)); +#54558=VERTEX_POINT('',#54556); +#54559=VERTEX_POINT('',#54557); +#54560=CARTESIAN_POINT('',(4.405E1,-2.785E0,-2.4685E1)); +#54561=CARTESIAN_POINT('',(4.405E1,-3.285E0,-2.5185E1)); +#54562=VERTEX_POINT('',#54560); +#54563=VERTEX_POINT('',#54561); +#54564=CARTESIAN_POINT('',(4.405E1,-3.285E0,-2.5815E1)); +#54565=CARTESIAN_POINT('',(4.405E1,-2.155E0,-2.4685E1)); +#54566=VERTEX_POINT('',#54564); +#54567=VERTEX_POINT('',#54565); +#54568=CARTESIAN_POINT('',(4.405E1,4.815E0,-2.6725E1)); +#54569=CARTESIAN_POINT('',(4.405E1,3.815E0,-2.7725E1)); +#54570=VERTEX_POINT('',#54568); +#54571=VERTEX_POINT('',#54569); +#54572=CARTESIAN_POINT('',(4.405E1,3.815E0,-2.8355E1)); +#54573=CARTESIAN_POINT('',(4.405E1,5.445E0,-2.6725E1)); +#54574=VERTEX_POINT('',#54572); +#54575=VERTEX_POINT('',#54573); +#54576=CARTESIAN_POINT('',(4.315E1,-2.785E0,-2.36E1)); +#54577=VERTEX_POINT('',#54576); +#54578=CARTESIAN_POINT('',(4.315E1,-2.155E0,-2.36E1)); +#54579=VERTEX_POINT('',#54578); +#54580=CARTESIAN_POINT('',(4.315E1,4.815E0,-2.584E1)); +#54581=VERTEX_POINT('',#54580); +#54582=CARTESIAN_POINT('',(4.315E1,5.445E0,-2.584E1)); +#54583=VERTEX_POINT('',#54582); +#54584=CARTESIAN_POINT('',(4.405E1,-2.785E0,-2.36E1)); +#54585=VERTEX_POINT('',#54584); +#54586=CARTESIAN_POINT('',(4.405E1,-2.155E0,-2.36E1)); +#54587=VERTEX_POINT('',#54586); +#54588=CARTESIAN_POINT('',(4.405E1,4.815E0,-2.584E1)); +#54589=VERTEX_POINT('',#54588); +#54590=CARTESIAN_POINT('',(4.405E1,5.445E0,-2.584E1)); +#54591=VERTEX_POINT('',#54590); +#54592=CARTESIAN_POINT('',(4.115E1,-1.35E1,-3.0895E1)); +#54593=CARTESIAN_POINT('',(4.115E1,-1.35E1,-3.0265E1)); +#54594=VERTEX_POINT('',#54592); +#54595=VERTEX_POINT('',#54593); +#54596=CARTESIAN_POINT('',(4.115E1,-1.35E1,-2.5815E1)); +#54597=CARTESIAN_POINT('',(4.115E1,-1.35E1,-2.5185E1)); +#54598=VERTEX_POINT('',#54596); +#54599=VERTEX_POINT('',#54597); +#54600=CARTESIAN_POINT('',(4.115E1,-1.12E1,-3.0895E1)); +#54601=CARTESIAN_POINT('',(4.115E1,-1.12E1,-3.0265E1)); +#54602=VERTEX_POINT('',#54600); +#54603=VERTEX_POINT('',#54601); +#54604=CARTESIAN_POINT('',(4.115E1,-1.12E1,-2.5815E1)); +#54605=CARTESIAN_POINT('',(4.115E1,-1.12E1,-2.5185E1)); +#54606=VERTEX_POINT('',#54604); +#54607=VERTEX_POINT('',#54605); +#54608=CARTESIAN_POINT('',(4.175E1,-1.35E1,-3.0895E1)); +#54609=CARTESIAN_POINT('',(4.175E1,-1.35E1,-3.0265E1)); +#54610=VERTEX_POINT('',#54608); +#54611=VERTEX_POINT('',#54609); +#54612=CARTESIAN_POINT('',(4.175E1,-1.35E1,-2.5815E1)); +#54613=CARTESIAN_POINT('',(4.175E1,-1.35E1,-2.5185E1)); +#54614=VERTEX_POINT('',#54612); +#54615=VERTEX_POINT('',#54613); +#54616=CARTESIAN_POINT('',(4.175E1,-1.12E1,-3.0895E1)); +#54617=CARTESIAN_POINT('',(4.175E1,-1.12E1,-3.0265E1)); +#54618=VERTEX_POINT('',#54616); +#54619=VERTEX_POINT('',#54617); +#54620=CARTESIAN_POINT('',(4.175E1,-1.12E1,-2.5815E1)); +#54621=CARTESIAN_POINT('',(4.175E1,-1.12E1,-2.5185E1)); +#54622=VERTEX_POINT('',#54620); +#54623=VERTEX_POINT('',#54621); +#54624=CARTESIAN_POINT('',(4.39E1,-1.35E1,-2.8355E1)); +#54625=CARTESIAN_POINT('',(4.39E1,-1.35E1,-2.7725E1)); +#54626=VERTEX_POINT('',#54624); +#54627=VERTEX_POINT('',#54625); +#54628=CARTESIAN_POINT('',(4.39E1,-1.35E1,-2.5815E1)); +#54629=CARTESIAN_POINT('',(4.39E1,-1.35E1,-2.5185E1)); +#54630=VERTEX_POINT('',#54628); +#54631=VERTEX_POINT('',#54629); +#54632=CARTESIAN_POINT('',(4.39E1,-1.12E1,-2.8355E1)); +#54633=CARTESIAN_POINT('',(4.39E1,-1.12E1,-2.7725E1)); +#54634=VERTEX_POINT('',#54632); +#54635=VERTEX_POINT('',#54633); +#54636=CARTESIAN_POINT('',(4.39E1,-1.12E1,-2.5815E1)); +#54637=CARTESIAN_POINT('',(4.39E1,-1.12E1,-2.5185E1)); +#54638=VERTEX_POINT('',#54636); +#54639=VERTEX_POINT('',#54637); +#54640=CARTESIAN_POINT('',(4.33E1,-1.35E1,-2.8355E1)); +#54641=CARTESIAN_POINT('',(4.33E1,-1.35E1,-2.7725E1)); +#54642=VERTEX_POINT('',#54640); +#54643=VERTEX_POINT('',#54641); +#54644=CARTESIAN_POINT('',(4.33E1,-1.35E1,-2.5815E1)); +#54645=CARTESIAN_POINT('',(4.33E1,-1.35E1,-2.5185E1)); +#54646=VERTEX_POINT('',#54644); +#54647=VERTEX_POINT('',#54645); +#54648=CARTESIAN_POINT('',(4.33E1,-1.12E1,-2.8355E1)); +#54649=CARTESIAN_POINT('',(4.33E1,-1.12E1,-2.7725E1)); +#54650=VERTEX_POINT('',#54648); +#54651=VERTEX_POINT('',#54649); +#54652=CARTESIAN_POINT('',(4.33E1,-1.12E1,-2.5815E1)); +#54653=CARTESIAN_POINT('',(4.33E1,-1.12E1,-2.5185E1)); +#54654=VERTEX_POINT('',#54652); +#54655=VERTEX_POINT('',#54653); +#54656=CARTESIAN_POINT('',(4.53E1,4.815E0,-2.9265E1)); +#54657=CARTESIAN_POINT('',(4.53E1,3.815E0,-3.0265E1)); +#54658=VERTEX_POINT('',#54656); +#54659=VERTEX_POINT('',#54657); +#54660=CARTESIAN_POINT('',(4.53E1,3.815E0,-3.0895E1)); +#54661=CARTESIAN_POINT('',(4.53E1,5.445E0,-2.9265E1)); +#54662=VERTEX_POINT('',#54660); +#54663=VERTEX_POINT('',#54661); +#54664=CARTESIAN_POINT('',(4.53E1,-2.785E0,-2.4685E1)); +#54665=CARTESIAN_POINT('',(4.53E1,-3.285E0,-2.5185E1)); +#54666=VERTEX_POINT('',#54664); +#54667=VERTEX_POINT('',#54665); +#54668=CARTESIAN_POINT('',(4.53E1,-3.285E0,-2.5815E1)); +#54669=CARTESIAN_POINT('',(4.53E1,-2.155E0,-2.4685E1)); +#54670=VERTEX_POINT('',#54668); +#54671=VERTEX_POINT('',#54669); +#54672=CARTESIAN_POINT('',(4.62E1,4.815E0,-2.9265E1)); +#54673=CARTESIAN_POINT('',(4.62E1,3.815E0,-3.0265E1)); +#54674=VERTEX_POINT('',#54672); +#54675=VERTEX_POINT('',#54673); +#54676=CARTESIAN_POINT('',(4.62E1,3.815E0,-3.0895E1)); +#54677=CARTESIAN_POINT('',(4.62E1,5.445E0,-2.9265E1)); +#54678=VERTEX_POINT('',#54676); +#54679=VERTEX_POINT('',#54677); +#54680=CARTESIAN_POINT('',(4.62E1,-2.785E0,-2.4685E1)); +#54681=CARTESIAN_POINT('',(4.62E1,-3.285E0,-2.5185E1)); +#54682=VERTEX_POINT('',#54680); +#54683=VERTEX_POINT('',#54681); +#54684=CARTESIAN_POINT('',(4.62E1,-3.285E0,-2.5815E1)); +#54685=CARTESIAN_POINT('',(4.62E1,-2.155E0,-2.4685E1)); +#54686=VERTEX_POINT('',#54684); +#54687=VERTEX_POINT('',#54685); +#54688=CARTESIAN_POINT('',(4.53E1,4.815E0,-2.584E1)); +#54689=VERTEX_POINT('',#54688); +#54690=CARTESIAN_POINT('',(4.53E1,5.445E0,-2.584E1)); +#54691=VERTEX_POINT('',#54690); +#54692=CARTESIAN_POINT('',(4.53E1,-2.785E0,-2.36E1)); +#54693=VERTEX_POINT('',#54692); +#54694=CARTESIAN_POINT('',(4.53E1,-2.155E0,-2.36E1)); +#54695=VERTEX_POINT('',#54694); +#54696=CARTESIAN_POINT('',(4.62E1,4.815E0,-2.584E1)); +#54697=VERTEX_POINT('',#54696); +#54698=CARTESIAN_POINT('',(4.62E1,5.445E0,-2.584E1)); +#54699=VERTEX_POINT('',#54698); +#54700=CARTESIAN_POINT('',(4.62E1,-2.785E0,-2.36E1)); +#54701=VERTEX_POINT('',#54700); +#54702=CARTESIAN_POINT('',(4.62E1,-2.155E0,-2.36E1)); +#54703=VERTEX_POINT('',#54702); +#54704=CARTESIAN_POINT('',(4.745E1,-2.785E0,-2.4685E1)); +#54705=CARTESIAN_POINT('',(4.745E1,-3.285E0,-2.5185E1)); +#54706=VERTEX_POINT('',#54704); +#54707=VERTEX_POINT('',#54705); +#54708=CARTESIAN_POINT('',(4.745E1,-3.285E0,-2.5815E1)); +#54709=CARTESIAN_POINT('',(4.745E1,-2.155E0,-2.4685E1)); +#54710=VERTEX_POINT('',#54708); +#54711=VERTEX_POINT('',#54709); +#54712=CARTESIAN_POINT('',(4.745E1,4.815E0,-2.6725E1)); +#54713=CARTESIAN_POINT('',(4.745E1,3.815E0,-2.7725E1)); +#54714=VERTEX_POINT('',#54712); +#54715=VERTEX_POINT('',#54713); +#54716=CARTESIAN_POINT('',(4.745E1,3.815E0,-2.8355E1)); +#54717=CARTESIAN_POINT('',(4.745E1,5.445E0,-2.6725E1)); +#54718=VERTEX_POINT('',#54716); +#54719=VERTEX_POINT('',#54717); +#54720=CARTESIAN_POINT('',(4.835E1,-2.785E0,-2.4685E1)); +#54721=CARTESIAN_POINT('',(4.835E1,-3.285E0,-2.5185E1)); +#54722=VERTEX_POINT('',#54720); +#54723=VERTEX_POINT('',#54721); +#54724=CARTESIAN_POINT('',(4.835E1,-3.285E0,-2.5815E1)); +#54725=CARTESIAN_POINT('',(4.835E1,-2.155E0,-2.4685E1)); +#54726=VERTEX_POINT('',#54724); +#54727=VERTEX_POINT('',#54725); +#54728=CARTESIAN_POINT('',(4.835E1,4.815E0,-2.6725E1)); +#54729=CARTESIAN_POINT('',(4.835E1,3.815E0,-2.7725E1)); +#54730=VERTEX_POINT('',#54728); +#54731=VERTEX_POINT('',#54729); +#54732=CARTESIAN_POINT('',(4.835E1,3.815E0,-2.8355E1)); +#54733=CARTESIAN_POINT('',(4.835E1,5.445E0,-2.6725E1)); +#54734=VERTEX_POINT('',#54732); +#54735=VERTEX_POINT('',#54733); +#54736=CARTESIAN_POINT('',(4.745E1,-2.785E0,-2.36E1)); +#54737=VERTEX_POINT('',#54736); +#54738=CARTESIAN_POINT('',(4.745E1,-2.155E0,-2.36E1)); +#54739=VERTEX_POINT('',#54738); +#54740=CARTESIAN_POINT('',(4.745E1,4.815E0,-2.584E1)); +#54741=VERTEX_POINT('',#54740); +#54742=CARTESIAN_POINT('',(4.745E1,5.445E0,-2.584E1)); +#54743=VERTEX_POINT('',#54742); +#54744=CARTESIAN_POINT('',(4.835E1,-2.785E0,-2.36E1)); +#54745=VERTEX_POINT('',#54744); +#54746=CARTESIAN_POINT('',(4.835E1,-2.155E0,-2.36E1)); +#54747=VERTEX_POINT('',#54746); +#54748=CARTESIAN_POINT('',(4.835E1,4.815E0,-2.584E1)); +#54749=VERTEX_POINT('',#54748); +#54750=CARTESIAN_POINT('',(4.835E1,5.445E0,-2.584E1)); +#54751=VERTEX_POINT('',#54750); +#54752=CARTESIAN_POINT('',(4.545E1,-1.35E1,-3.0895E1)); +#54753=CARTESIAN_POINT('',(4.545E1,-1.35E1,-3.0265E1)); +#54754=VERTEX_POINT('',#54752); +#54755=VERTEX_POINT('',#54753); +#54756=CARTESIAN_POINT('',(4.545E1,-1.35E1,-2.5815E1)); +#54757=CARTESIAN_POINT('',(4.545E1,-1.35E1,-2.5185E1)); +#54758=VERTEX_POINT('',#54756); +#54759=VERTEX_POINT('',#54757); +#54760=CARTESIAN_POINT('',(4.545E1,-1.12E1,-3.0895E1)); +#54761=CARTESIAN_POINT('',(4.545E1,-1.12E1,-3.0265E1)); +#54762=VERTEX_POINT('',#54760); +#54763=VERTEX_POINT('',#54761); +#54764=CARTESIAN_POINT('',(4.545E1,-1.12E1,-2.5815E1)); +#54765=CARTESIAN_POINT('',(4.545E1,-1.12E1,-2.5185E1)); +#54766=VERTEX_POINT('',#54764); +#54767=VERTEX_POINT('',#54765); +#54768=CARTESIAN_POINT('',(4.605E1,-1.35E1,-3.0895E1)); +#54769=CARTESIAN_POINT('',(4.605E1,-1.35E1,-3.0265E1)); +#54770=VERTEX_POINT('',#54768); +#54771=VERTEX_POINT('',#54769); +#54772=CARTESIAN_POINT('',(4.605E1,-1.35E1,-2.5815E1)); +#54773=CARTESIAN_POINT('',(4.605E1,-1.35E1,-2.5185E1)); +#54774=VERTEX_POINT('',#54772); +#54775=VERTEX_POINT('',#54773); +#54776=CARTESIAN_POINT('',(4.605E1,-1.12E1,-3.0895E1)); +#54777=CARTESIAN_POINT('',(4.605E1,-1.12E1,-3.0265E1)); +#54778=VERTEX_POINT('',#54776); +#54779=VERTEX_POINT('',#54777); +#54780=CARTESIAN_POINT('',(4.605E1,-1.12E1,-2.5815E1)); +#54781=CARTESIAN_POINT('',(4.605E1,-1.12E1,-2.5185E1)); +#54782=VERTEX_POINT('',#54780); +#54783=VERTEX_POINT('',#54781); +#54784=CARTESIAN_POINT('',(4.82E1,-1.35E1,-2.8355E1)); +#54785=CARTESIAN_POINT('',(4.82E1,-1.35E1,-2.7725E1)); +#54786=VERTEX_POINT('',#54784); +#54787=VERTEX_POINT('',#54785); +#54788=CARTESIAN_POINT('',(4.82E1,-1.35E1,-2.5815E1)); +#54789=CARTESIAN_POINT('',(4.82E1,-1.35E1,-2.5185E1)); +#54790=VERTEX_POINT('',#54788); +#54791=VERTEX_POINT('',#54789); +#54792=CARTESIAN_POINT('',(4.82E1,-1.12E1,-2.8355E1)); +#54793=CARTESIAN_POINT('',(4.82E1,-1.12E1,-2.7725E1)); +#54794=VERTEX_POINT('',#54792); +#54795=VERTEX_POINT('',#54793); +#54796=CARTESIAN_POINT('',(4.82E1,-1.12E1,-2.5815E1)); +#54797=CARTESIAN_POINT('',(4.82E1,-1.12E1,-2.5185E1)); +#54798=VERTEX_POINT('',#54796); +#54799=VERTEX_POINT('',#54797); +#54800=CARTESIAN_POINT('',(4.76E1,-1.35E1,-2.8355E1)); +#54801=CARTESIAN_POINT('',(4.76E1,-1.35E1,-2.7725E1)); +#54802=VERTEX_POINT('',#54800); +#54803=VERTEX_POINT('',#54801); +#54804=CARTESIAN_POINT('',(4.76E1,-1.35E1,-2.5815E1)); +#54805=CARTESIAN_POINT('',(4.76E1,-1.35E1,-2.5185E1)); +#54806=VERTEX_POINT('',#54804); +#54807=VERTEX_POINT('',#54805); +#54808=CARTESIAN_POINT('',(4.76E1,-1.12E1,-2.8355E1)); +#54809=CARTESIAN_POINT('',(4.76E1,-1.12E1,-2.7725E1)); +#54810=VERTEX_POINT('',#54808); +#54811=VERTEX_POINT('',#54809); +#54812=CARTESIAN_POINT('',(4.76E1,-1.12E1,-2.5815E1)); +#54813=CARTESIAN_POINT('',(4.76E1,-1.12E1,-2.5185E1)); +#54814=VERTEX_POINT('',#54812); +#54815=VERTEX_POINT('',#54813); +#54816=CARTESIAN_POINT('',(4.96E1,4.815E0,-2.9265E1)); +#54817=CARTESIAN_POINT('',(4.96E1,3.815E0,-3.0265E1)); +#54818=VERTEX_POINT('',#54816); +#54819=VERTEX_POINT('',#54817); +#54820=CARTESIAN_POINT('',(4.96E1,3.815E0,-3.0895E1)); +#54821=CARTESIAN_POINT('',(4.96E1,5.445E0,-2.9265E1)); +#54822=VERTEX_POINT('',#54820); +#54823=VERTEX_POINT('',#54821); +#54824=CARTESIAN_POINT('',(4.96E1,-2.785E0,-2.4685E1)); +#54825=CARTESIAN_POINT('',(4.96E1,-3.285E0,-2.5185E1)); +#54826=VERTEX_POINT('',#54824); +#54827=VERTEX_POINT('',#54825); +#54828=CARTESIAN_POINT('',(4.96E1,-3.285E0,-2.5815E1)); +#54829=CARTESIAN_POINT('',(4.96E1,-2.155E0,-2.4685E1)); +#54830=VERTEX_POINT('',#54828); +#54831=VERTEX_POINT('',#54829); +#54832=CARTESIAN_POINT('',(5.05E1,4.815E0,-2.9265E1)); +#54833=CARTESIAN_POINT('',(5.05E1,3.815E0,-3.0265E1)); +#54834=VERTEX_POINT('',#54832); +#54835=VERTEX_POINT('',#54833); +#54836=CARTESIAN_POINT('',(5.05E1,3.815E0,-3.0895E1)); +#54837=CARTESIAN_POINT('',(5.05E1,5.445E0,-2.9265E1)); +#54838=VERTEX_POINT('',#54836); +#54839=VERTEX_POINT('',#54837); +#54840=CARTESIAN_POINT('',(5.05E1,-2.785E0,-2.4685E1)); +#54841=CARTESIAN_POINT('',(5.05E1,-3.285E0,-2.5185E1)); +#54842=VERTEX_POINT('',#54840); +#54843=VERTEX_POINT('',#54841); +#54844=CARTESIAN_POINT('',(5.05E1,-3.285E0,-2.5815E1)); +#54845=CARTESIAN_POINT('',(5.05E1,-2.155E0,-2.4685E1)); +#54846=VERTEX_POINT('',#54844); +#54847=VERTEX_POINT('',#54845); +#54848=CARTESIAN_POINT('',(4.96E1,4.815E0,-2.584E1)); +#54849=VERTEX_POINT('',#54848); +#54850=CARTESIAN_POINT('',(4.96E1,5.445E0,-2.584E1)); +#54851=VERTEX_POINT('',#54850); +#54852=CARTESIAN_POINT('',(4.96E1,-2.785E0,-2.36E1)); +#54853=VERTEX_POINT('',#54852); +#54854=CARTESIAN_POINT('',(4.96E1,-2.155E0,-2.36E1)); +#54855=VERTEX_POINT('',#54854); +#54856=CARTESIAN_POINT('',(5.05E1,4.815E0,-2.584E1)); +#54857=VERTEX_POINT('',#54856); +#54858=CARTESIAN_POINT('',(5.05E1,5.445E0,-2.584E1)); +#54859=VERTEX_POINT('',#54858); +#54860=CARTESIAN_POINT('',(5.05E1,-2.785E0,-2.36E1)); +#54861=VERTEX_POINT('',#54860); +#54862=CARTESIAN_POINT('',(5.05E1,-2.155E0,-2.36E1)); +#54863=VERTEX_POINT('',#54862); +#54864=CARTESIAN_POINT('',(5.175E1,-2.785E0,-2.4685E1)); +#54865=CARTESIAN_POINT('',(5.175E1,-3.285E0,-2.5185E1)); +#54866=VERTEX_POINT('',#54864); +#54867=VERTEX_POINT('',#54865); +#54868=CARTESIAN_POINT('',(5.175E1,-3.285E0,-2.5815E1)); +#54869=CARTESIAN_POINT('',(5.175E1,-2.155E0,-2.4685E1)); +#54870=VERTEX_POINT('',#54868); +#54871=VERTEX_POINT('',#54869); +#54872=CARTESIAN_POINT('',(5.175E1,4.815E0,-2.6725E1)); +#54873=CARTESIAN_POINT('',(5.175E1,3.815E0,-2.7725E1)); +#54874=VERTEX_POINT('',#54872); +#54875=VERTEX_POINT('',#54873); +#54876=CARTESIAN_POINT('',(5.175E1,3.815E0,-2.8355E1)); +#54877=CARTESIAN_POINT('',(5.175E1,5.445E0,-2.6725E1)); +#54878=VERTEX_POINT('',#54876); +#54879=VERTEX_POINT('',#54877); +#54880=CARTESIAN_POINT('',(5.265E1,-2.785E0,-2.4685E1)); +#54881=CARTESIAN_POINT('',(5.265E1,-3.285E0,-2.5185E1)); +#54882=VERTEX_POINT('',#54880); +#54883=VERTEX_POINT('',#54881); +#54884=CARTESIAN_POINT('',(5.265E1,-3.285E0,-2.5815E1)); +#54885=CARTESIAN_POINT('',(5.265E1,-2.155E0,-2.4685E1)); +#54886=VERTEX_POINT('',#54884); +#54887=VERTEX_POINT('',#54885); +#54888=CARTESIAN_POINT('',(5.265E1,4.815E0,-2.6725E1)); +#54889=CARTESIAN_POINT('',(5.265E1,3.815E0,-2.7725E1)); +#54890=VERTEX_POINT('',#54888); +#54891=VERTEX_POINT('',#54889); +#54892=CARTESIAN_POINT('',(5.265E1,3.815E0,-2.8355E1)); +#54893=CARTESIAN_POINT('',(5.265E1,5.445E0,-2.6725E1)); +#54894=VERTEX_POINT('',#54892); +#54895=VERTEX_POINT('',#54893); +#54896=CARTESIAN_POINT('',(5.175E1,-2.785E0,-2.36E1)); +#54897=VERTEX_POINT('',#54896); +#54898=CARTESIAN_POINT('',(5.175E1,-2.155E0,-2.36E1)); +#54899=VERTEX_POINT('',#54898); +#54900=CARTESIAN_POINT('',(5.175E1,4.815E0,-2.584E1)); +#54901=VERTEX_POINT('',#54900); +#54902=CARTESIAN_POINT('',(5.175E1,5.445E0,-2.584E1)); +#54903=VERTEX_POINT('',#54902); +#54904=CARTESIAN_POINT('',(5.265E1,-2.785E0,-2.36E1)); +#54905=VERTEX_POINT('',#54904); +#54906=CARTESIAN_POINT('',(5.265E1,-2.155E0,-2.36E1)); +#54907=VERTEX_POINT('',#54906); +#54908=CARTESIAN_POINT('',(5.265E1,4.815E0,-2.584E1)); +#54909=VERTEX_POINT('',#54908); +#54910=CARTESIAN_POINT('',(5.265E1,5.445E0,-2.584E1)); +#54911=VERTEX_POINT('',#54910); +#54912=CARTESIAN_POINT('',(4.975E1,-1.35E1,-3.0895E1)); +#54913=CARTESIAN_POINT('',(4.975E1,-1.35E1,-3.0265E1)); +#54914=VERTEX_POINT('',#54912); +#54915=VERTEX_POINT('',#54913); +#54916=CARTESIAN_POINT('',(4.975E1,-1.35E1,-2.5815E1)); +#54917=CARTESIAN_POINT('',(4.975E1,-1.35E1,-2.5185E1)); +#54918=VERTEX_POINT('',#54916); +#54919=VERTEX_POINT('',#54917); +#54920=CARTESIAN_POINT('',(4.975E1,-1.12E1,-3.0895E1)); +#54921=CARTESIAN_POINT('',(4.975E1,-1.12E1,-3.0265E1)); +#54922=VERTEX_POINT('',#54920); +#54923=VERTEX_POINT('',#54921); +#54924=CARTESIAN_POINT('',(4.975E1,-1.12E1,-2.5815E1)); +#54925=CARTESIAN_POINT('',(4.975E1,-1.12E1,-2.5185E1)); +#54926=VERTEX_POINT('',#54924); +#54927=VERTEX_POINT('',#54925); +#54928=CARTESIAN_POINT('',(5.035E1,-1.35E1,-3.0895E1)); +#54929=CARTESIAN_POINT('',(5.035E1,-1.35E1,-3.0265E1)); +#54930=VERTEX_POINT('',#54928); +#54931=VERTEX_POINT('',#54929); +#54932=CARTESIAN_POINT('',(5.035E1,-1.35E1,-2.5815E1)); +#54933=CARTESIAN_POINT('',(5.035E1,-1.35E1,-2.5185E1)); +#54934=VERTEX_POINT('',#54932); +#54935=VERTEX_POINT('',#54933); +#54936=CARTESIAN_POINT('',(5.035E1,-1.12E1,-3.0895E1)); +#54937=CARTESIAN_POINT('',(5.035E1,-1.12E1,-3.0265E1)); +#54938=VERTEX_POINT('',#54936); +#54939=VERTEX_POINT('',#54937); +#54940=CARTESIAN_POINT('',(5.035E1,-1.12E1,-2.5815E1)); +#54941=CARTESIAN_POINT('',(5.035E1,-1.12E1,-2.5185E1)); +#54942=VERTEX_POINT('',#54940); +#54943=VERTEX_POINT('',#54941); +#54944=CARTESIAN_POINT('',(5.25E1,-1.35E1,-2.8355E1)); +#54945=CARTESIAN_POINT('',(5.25E1,-1.35E1,-2.7725E1)); +#54946=VERTEX_POINT('',#54944); +#54947=VERTEX_POINT('',#54945); +#54948=CARTESIAN_POINT('',(5.25E1,-1.35E1,-2.5815E1)); +#54949=CARTESIAN_POINT('',(5.25E1,-1.35E1,-2.5185E1)); +#54950=VERTEX_POINT('',#54948); +#54951=VERTEX_POINT('',#54949); +#54952=CARTESIAN_POINT('',(5.25E1,-1.12E1,-2.8355E1)); +#54953=CARTESIAN_POINT('',(5.25E1,-1.12E1,-2.7725E1)); +#54954=VERTEX_POINT('',#54952); +#54955=VERTEX_POINT('',#54953); +#54956=CARTESIAN_POINT('',(5.25E1,-1.12E1,-2.5815E1)); +#54957=CARTESIAN_POINT('',(5.25E1,-1.12E1,-2.5185E1)); +#54958=VERTEX_POINT('',#54956); +#54959=VERTEX_POINT('',#54957); +#54960=CARTESIAN_POINT('',(5.19E1,-1.35E1,-2.8355E1)); +#54961=CARTESIAN_POINT('',(5.19E1,-1.35E1,-2.7725E1)); +#54962=VERTEX_POINT('',#54960); +#54963=VERTEX_POINT('',#54961); +#54964=CARTESIAN_POINT('',(5.19E1,-1.35E1,-2.5815E1)); +#54965=CARTESIAN_POINT('',(5.19E1,-1.35E1,-2.5185E1)); +#54966=VERTEX_POINT('',#54964); +#54967=VERTEX_POINT('',#54965); +#54968=CARTESIAN_POINT('',(5.19E1,-1.12E1,-2.8355E1)); +#54969=CARTESIAN_POINT('',(5.19E1,-1.12E1,-2.7725E1)); +#54970=VERTEX_POINT('',#54968); +#54971=VERTEX_POINT('',#54969); +#54972=CARTESIAN_POINT('',(5.19E1,-1.12E1,-2.5815E1)); +#54973=CARTESIAN_POINT('',(5.19E1,-1.12E1,-2.5185E1)); +#54974=VERTEX_POINT('',#54972); +#54975=VERTEX_POINT('',#54973); +#54976=CARTESIAN_POINT('',(2.38E1,-1.094019237886E1,-3.0895E1)); +#54977=CARTESIAN_POINT('',(2.38E1,-1.094019237886E1,-3.0265E1)); +#54978=VERTEX_POINT('',#54976); +#54979=VERTEX_POINT('',#54977); +#54980=CARTESIAN_POINT('',(2.38E1,-1.094019237886E1,-2.5815E1)); +#54981=CARTESIAN_POINT('',(2.38E1,-1.094019237886E1,-2.5185E1)); +#54982=VERTEX_POINT('',#54980); +#54983=VERTEX_POINT('',#54981); +#54984=CARTESIAN_POINT('',(2.47E1,-1.094019237886E1,-3.0895E1)); +#54985=CARTESIAN_POINT('',(2.47E1,-1.094019237886E1,-3.0265E1)); +#54986=VERTEX_POINT('',#54984); +#54987=VERTEX_POINT('',#54985); +#54988=CARTESIAN_POINT('',(2.47E1,-1.094019237886E1,-2.5815E1)); +#54989=CARTESIAN_POINT('',(2.47E1,-1.094019237886E1,-2.5185E1)); +#54990=VERTEX_POINT('',#54988); +#54991=VERTEX_POINT('',#54989); +#54992=CARTESIAN_POINT('',(2.395E1,-1.72E1,-3.0265E1)); +#54993=VERTEX_POINT('',#54992); +#54994=CARTESIAN_POINT('',(2.455E1,-1.72E1,-3.0265E1)); +#54995=VERTEX_POINT('',#54994); +#54996=CARTESIAN_POINT('',(2.395E1,-1.72E1,-3.0895E1)); +#54997=VERTEX_POINT('',#54996); +#54998=CARTESIAN_POINT('',(2.455E1,-1.72E1,-3.0895E1)); +#54999=VERTEX_POINT('',#54998); +#55000=CARTESIAN_POINT('',(2.395E1,-1.72E1,-2.5185E1)); +#55001=VERTEX_POINT('',#55000); +#55002=CARTESIAN_POINT('',(2.455E1,-1.72E1,-2.5185E1)); +#55003=VERTEX_POINT('',#55002); +#55004=CARTESIAN_POINT('',(2.395E1,-1.72E1,-2.5815E1)); +#55005=VERTEX_POINT('',#55004); +#55006=CARTESIAN_POINT('',(2.455E1,-1.72E1,-2.5815E1)); +#55007=VERTEX_POINT('',#55006); +#55008=CARTESIAN_POINT('',(2.595E1,-1.094019237886E1,-2.8355E1)); +#55009=CARTESIAN_POINT('',(2.595E1,-1.094019237886E1,-2.7725E1)); +#55010=VERTEX_POINT('',#55008); +#55011=VERTEX_POINT('',#55009); +#55012=CARTESIAN_POINT('',(2.595E1,-1.094019237886E1,-2.5815E1)); +#55013=CARTESIAN_POINT('',(2.595E1,-1.094019237886E1,-2.5185E1)); +#55014=VERTEX_POINT('',#55012); +#55015=VERTEX_POINT('',#55013); +#55016=CARTESIAN_POINT('',(2.685E1,-1.094019237886E1,-2.8355E1)); +#55017=CARTESIAN_POINT('',(2.685E1,-1.094019237886E1,-2.7725E1)); +#55018=VERTEX_POINT('',#55016); +#55019=VERTEX_POINT('',#55017); +#55020=CARTESIAN_POINT('',(2.685E1,-1.094019237886E1,-2.5815E1)); +#55021=CARTESIAN_POINT('',(2.685E1,-1.094019237886E1,-2.5185E1)); +#55022=VERTEX_POINT('',#55020); +#55023=VERTEX_POINT('',#55021); +#55024=CARTESIAN_POINT('',(2.67E1,-1.72E1,-2.5185E1)); +#55025=VERTEX_POINT('',#55024); +#55026=CARTESIAN_POINT('',(2.61E1,-1.72E1,-2.5185E1)); +#55027=VERTEX_POINT('',#55026); +#55028=CARTESIAN_POINT('',(2.67E1,-1.72E1,-2.5815E1)); +#55029=VERTEX_POINT('',#55028); +#55030=CARTESIAN_POINT('',(2.61E1,-1.72E1,-2.5815E1)); +#55031=VERTEX_POINT('',#55030); +#55032=CARTESIAN_POINT('',(2.67E1,-1.72E1,-2.7725E1)); +#55033=VERTEX_POINT('',#55032); +#55034=CARTESIAN_POINT('',(2.61E1,-1.72E1,-2.7725E1)); +#55035=VERTEX_POINT('',#55034); +#55036=CARTESIAN_POINT('',(2.67E1,-1.72E1,-2.8355E1)); +#55037=VERTEX_POINT('',#55036); +#55038=CARTESIAN_POINT('',(2.61E1,-1.72E1,-2.8355E1)); +#55039=VERTEX_POINT('',#55038); +#55040=CARTESIAN_POINT('',(2.81E1,-1.094019237886E1,-3.0895E1)); +#55041=CARTESIAN_POINT('',(2.81E1,-1.094019237886E1,-3.0265E1)); +#55042=VERTEX_POINT('',#55040); +#55043=VERTEX_POINT('',#55041); +#55044=CARTESIAN_POINT('',(2.81E1,-1.094019237886E1,-2.5815E1)); +#55045=CARTESIAN_POINT('',(2.81E1,-1.094019237886E1,-2.5185E1)); +#55046=VERTEX_POINT('',#55044); +#55047=VERTEX_POINT('',#55045); +#55048=CARTESIAN_POINT('',(2.9E1,-1.094019237886E1,-3.0895E1)); +#55049=CARTESIAN_POINT('',(2.9E1,-1.094019237886E1,-3.0265E1)); +#55050=VERTEX_POINT('',#55048); +#55051=VERTEX_POINT('',#55049); +#55052=CARTESIAN_POINT('',(2.9E1,-1.094019237886E1,-2.5815E1)); +#55053=CARTESIAN_POINT('',(2.9E1,-1.094019237886E1,-2.5185E1)); +#55054=VERTEX_POINT('',#55052); +#55055=VERTEX_POINT('',#55053); +#55056=CARTESIAN_POINT('',(2.825E1,-1.72E1,-3.0265E1)); +#55057=VERTEX_POINT('',#55056); +#55058=CARTESIAN_POINT('',(2.885E1,-1.72E1,-3.0265E1)); +#55059=VERTEX_POINT('',#55058); +#55060=CARTESIAN_POINT('',(2.825E1,-1.72E1,-3.0895E1)); +#55061=VERTEX_POINT('',#55060); +#55062=CARTESIAN_POINT('',(2.885E1,-1.72E1,-3.0895E1)); +#55063=VERTEX_POINT('',#55062); +#55064=CARTESIAN_POINT('',(2.825E1,-1.72E1,-2.5185E1)); +#55065=VERTEX_POINT('',#55064); +#55066=CARTESIAN_POINT('',(2.885E1,-1.72E1,-2.5185E1)); +#55067=VERTEX_POINT('',#55066); +#55068=CARTESIAN_POINT('',(2.825E1,-1.72E1,-2.5815E1)); +#55069=VERTEX_POINT('',#55068); +#55070=CARTESIAN_POINT('',(2.885E1,-1.72E1,-2.5815E1)); +#55071=VERTEX_POINT('',#55070); +#55072=CARTESIAN_POINT('',(3.025E1,-1.094019237886E1,-2.8355E1)); +#55073=CARTESIAN_POINT('',(3.025E1,-1.094019237886E1,-2.7725E1)); +#55074=VERTEX_POINT('',#55072); +#55075=VERTEX_POINT('',#55073); +#55076=CARTESIAN_POINT('',(3.025E1,-1.094019237886E1,-2.5815E1)); +#55077=CARTESIAN_POINT('',(3.025E1,-1.094019237886E1,-2.5185E1)); +#55078=VERTEX_POINT('',#55076); +#55079=VERTEX_POINT('',#55077); +#55080=CARTESIAN_POINT('',(3.115E1,-1.094019237886E1,-2.8355E1)); +#55081=CARTESIAN_POINT('',(3.115E1,-1.094019237886E1,-2.7725E1)); +#55082=VERTEX_POINT('',#55080); +#55083=VERTEX_POINT('',#55081); +#55084=CARTESIAN_POINT('',(3.115E1,-1.094019237886E1,-2.5815E1)); +#55085=CARTESIAN_POINT('',(3.115E1,-1.094019237886E1,-2.5185E1)); +#55086=VERTEX_POINT('',#55084); +#55087=VERTEX_POINT('',#55085); +#55088=CARTESIAN_POINT('',(3.1E1,-1.72E1,-2.5185E1)); +#55089=VERTEX_POINT('',#55088); +#55090=CARTESIAN_POINT('',(3.04E1,-1.72E1,-2.5185E1)); +#55091=VERTEX_POINT('',#55090); +#55092=CARTESIAN_POINT('',(3.1E1,-1.72E1,-2.5815E1)); +#55093=VERTEX_POINT('',#55092); +#55094=CARTESIAN_POINT('',(3.04E1,-1.72E1,-2.5815E1)); +#55095=VERTEX_POINT('',#55094); +#55096=CARTESIAN_POINT('',(3.1E1,-1.72E1,-2.7725E1)); +#55097=VERTEX_POINT('',#55096); +#55098=CARTESIAN_POINT('',(3.04E1,-1.72E1,-2.7725E1)); +#55099=VERTEX_POINT('',#55098); +#55100=CARTESIAN_POINT('',(3.1E1,-1.72E1,-2.8355E1)); +#55101=VERTEX_POINT('',#55100); +#55102=CARTESIAN_POINT('',(3.04E1,-1.72E1,-2.8355E1)); +#55103=VERTEX_POINT('',#55102); +#55104=CARTESIAN_POINT('',(3.24E1,-1.094019237886E1,-3.0895E1)); +#55105=CARTESIAN_POINT('',(3.24E1,-1.094019237886E1,-3.0265E1)); +#55106=VERTEX_POINT('',#55104); +#55107=VERTEX_POINT('',#55105); +#55108=CARTESIAN_POINT('',(3.24E1,-1.094019237886E1,-2.5815E1)); +#55109=CARTESIAN_POINT('',(3.24E1,-1.094019237886E1,-2.5185E1)); +#55110=VERTEX_POINT('',#55108); +#55111=VERTEX_POINT('',#55109); +#55112=CARTESIAN_POINT('',(3.33E1,-1.094019237886E1,-3.0895E1)); +#55113=CARTESIAN_POINT('',(3.33E1,-1.094019237886E1,-3.0265E1)); +#55114=VERTEX_POINT('',#55112); +#55115=VERTEX_POINT('',#55113); +#55116=CARTESIAN_POINT('',(3.33E1,-1.094019237886E1,-2.5815E1)); +#55117=CARTESIAN_POINT('',(3.33E1,-1.094019237886E1,-2.5185E1)); +#55118=VERTEX_POINT('',#55116); +#55119=VERTEX_POINT('',#55117); +#55120=CARTESIAN_POINT('',(3.255E1,-1.72E1,-3.0265E1)); +#55121=VERTEX_POINT('',#55120); +#55122=CARTESIAN_POINT('',(3.315E1,-1.72E1,-3.0265E1)); +#55123=VERTEX_POINT('',#55122); +#55124=CARTESIAN_POINT('',(3.255E1,-1.72E1,-3.0895E1)); +#55125=VERTEX_POINT('',#55124); +#55126=CARTESIAN_POINT('',(3.315E1,-1.72E1,-3.0895E1)); +#55127=VERTEX_POINT('',#55126); +#55128=CARTESIAN_POINT('',(3.255E1,-1.72E1,-2.5185E1)); +#55129=VERTEX_POINT('',#55128); +#55130=CARTESIAN_POINT('',(3.315E1,-1.72E1,-2.5185E1)); +#55131=VERTEX_POINT('',#55130); +#55132=CARTESIAN_POINT('',(3.255E1,-1.72E1,-2.5815E1)); +#55133=VERTEX_POINT('',#55132); +#55134=CARTESIAN_POINT('',(3.315E1,-1.72E1,-2.5815E1)); +#55135=VERTEX_POINT('',#55134); +#55136=CARTESIAN_POINT('',(3.455E1,-1.094019237886E1,-2.8355E1)); +#55137=CARTESIAN_POINT('',(3.455E1,-1.094019237886E1,-2.7725E1)); +#55138=VERTEX_POINT('',#55136); +#55139=VERTEX_POINT('',#55137); +#55140=CARTESIAN_POINT('',(3.455E1,-1.094019237886E1,-2.5815E1)); +#55141=CARTESIAN_POINT('',(3.455E1,-1.094019237886E1,-2.5185E1)); +#55142=VERTEX_POINT('',#55140); +#55143=VERTEX_POINT('',#55141); +#55144=CARTESIAN_POINT('',(3.545E1,-1.094019237886E1,-2.8355E1)); +#55145=CARTESIAN_POINT('',(3.545E1,-1.094019237886E1,-2.7725E1)); +#55146=VERTEX_POINT('',#55144); +#55147=VERTEX_POINT('',#55145); +#55148=CARTESIAN_POINT('',(3.545E1,-1.094019237886E1,-2.5815E1)); +#55149=CARTESIAN_POINT('',(3.545E1,-1.094019237886E1,-2.5185E1)); +#55150=VERTEX_POINT('',#55148); +#55151=VERTEX_POINT('',#55149); +#55152=CARTESIAN_POINT('',(3.53E1,-1.72E1,-2.5185E1)); +#55153=VERTEX_POINT('',#55152); +#55154=CARTESIAN_POINT('',(3.47E1,-1.72E1,-2.5185E1)); +#55155=VERTEX_POINT('',#55154); +#55156=CARTESIAN_POINT('',(3.53E1,-1.72E1,-2.5815E1)); +#55157=VERTEX_POINT('',#55156); +#55158=CARTESIAN_POINT('',(3.47E1,-1.72E1,-2.5815E1)); +#55159=VERTEX_POINT('',#55158); +#55160=CARTESIAN_POINT('',(3.53E1,-1.72E1,-2.7725E1)); +#55161=VERTEX_POINT('',#55160); +#55162=CARTESIAN_POINT('',(3.47E1,-1.72E1,-2.7725E1)); +#55163=VERTEX_POINT('',#55162); +#55164=CARTESIAN_POINT('',(3.53E1,-1.72E1,-2.8355E1)); +#55165=VERTEX_POINT('',#55164); +#55166=CARTESIAN_POINT('',(3.47E1,-1.72E1,-2.8355E1)); +#55167=VERTEX_POINT('',#55166); +#55168=CARTESIAN_POINT('',(3.67E1,-1.094019237886E1,-3.0895E1)); +#55169=CARTESIAN_POINT('',(3.67E1,-1.094019237886E1,-3.0265E1)); +#55170=VERTEX_POINT('',#55168); +#55171=VERTEX_POINT('',#55169); +#55172=CARTESIAN_POINT('',(3.67E1,-1.094019237886E1,-2.5815E1)); +#55173=CARTESIAN_POINT('',(3.67E1,-1.094019237886E1,-2.5185E1)); +#55174=VERTEX_POINT('',#55172); +#55175=VERTEX_POINT('',#55173); +#55176=CARTESIAN_POINT('',(3.76E1,-1.094019237886E1,-3.0895E1)); +#55177=CARTESIAN_POINT('',(3.76E1,-1.094019237886E1,-3.0265E1)); +#55178=VERTEX_POINT('',#55176); +#55179=VERTEX_POINT('',#55177); +#55180=CARTESIAN_POINT('',(3.76E1,-1.094019237886E1,-2.5815E1)); +#55181=CARTESIAN_POINT('',(3.76E1,-1.094019237886E1,-2.5185E1)); +#55182=VERTEX_POINT('',#55180); +#55183=VERTEX_POINT('',#55181); +#55184=CARTESIAN_POINT('',(3.685E1,-1.72E1,-3.0265E1)); +#55185=VERTEX_POINT('',#55184); +#55186=CARTESIAN_POINT('',(3.745E1,-1.72E1,-3.0265E1)); +#55187=VERTEX_POINT('',#55186); +#55188=CARTESIAN_POINT('',(3.685E1,-1.72E1,-3.0895E1)); +#55189=VERTEX_POINT('',#55188); +#55190=CARTESIAN_POINT('',(3.745E1,-1.72E1,-3.0895E1)); +#55191=VERTEX_POINT('',#55190); +#55192=CARTESIAN_POINT('',(3.685E1,-1.72E1,-2.5185E1)); +#55193=VERTEX_POINT('',#55192); +#55194=CARTESIAN_POINT('',(3.745E1,-1.72E1,-2.5185E1)); +#55195=VERTEX_POINT('',#55194); +#55196=CARTESIAN_POINT('',(3.685E1,-1.72E1,-2.5815E1)); +#55197=VERTEX_POINT('',#55196); +#55198=CARTESIAN_POINT('',(3.745E1,-1.72E1,-2.5815E1)); +#55199=VERTEX_POINT('',#55198); +#55200=CARTESIAN_POINT('',(3.885E1,-1.094019237886E1,-2.8355E1)); +#55201=CARTESIAN_POINT('',(3.885E1,-1.094019237886E1,-2.7725E1)); +#55202=VERTEX_POINT('',#55200); +#55203=VERTEX_POINT('',#55201); +#55204=CARTESIAN_POINT('',(3.885E1,-1.094019237886E1,-2.5815E1)); +#55205=CARTESIAN_POINT('',(3.885E1,-1.094019237886E1,-2.5185E1)); +#55206=VERTEX_POINT('',#55204); +#55207=VERTEX_POINT('',#55205); +#55208=CARTESIAN_POINT('',(3.975E1,-1.094019237886E1,-2.8355E1)); +#55209=CARTESIAN_POINT('',(3.975E1,-1.094019237886E1,-2.7725E1)); +#55210=VERTEX_POINT('',#55208); +#55211=VERTEX_POINT('',#55209); +#55212=CARTESIAN_POINT('',(3.975E1,-1.094019237886E1,-2.5815E1)); +#55213=CARTESIAN_POINT('',(3.975E1,-1.094019237886E1,-2.5185E1)); +#55214=VERTEX_POINT('',#55212); +#55215=VERTEX_POINT('',#55213); +#55216=CARTESIAN_POINT('',(3.96E1,-1.72E1,-2.5185E1)); +#55217=VERTEX_POINT('',#55216); +#55218=CARTESIAN_POINT('',(3.9E1,-1.72E1,-2.5185E1)); +#55219=VERTEX_POINT('',#55218); +#55220=CARTESIAN_POINT('',(3.96E1,-1.72E1,-2.5815E1)); +#55221=VERTEX_POINT('',#55220); +#55222=CARTESIAN_POINT('',(3.9E1,-1.72E1,-2.5815E1)); +#55223=VERTEX_POINT('',#55222); +#55224=CARTESIAN_POINT('',(3.96E1,-1.72E1,-2.7725E1)); +#55225=VERTEX_POINT('',#55224); +#55226=CARTESIAN_POINT('',(3.9E1,-1.72E1,-2.7725E1)); +#55227=VERTEX_POINT('',#55226); +#55228=CARTESIAN_POINT('',(3.96E1,-1.72E1,-2.8355E1)); +#55229=VERTEX_POINT('',#55228); +#55230=CARTESIAN_POINT('',(3.9E1,-1.72E1,-2.8355E1)); +#55231=VERTEX_POINT('',#55230); +#55232=CARTESIAN_POINT('',(4.1E1,-1.094019237886E1,-3.0895E1)); +#55233=CARTESIAN_POINT('',(4.1E1,-1.094019237886E1,-3.0265E1)); +#55234=VERTEX_POINT('',#55232); +#55235=VERTEX_POINT('',#55233); +#55236=CARTESIAN_POINT('',(4.1E1,-1.094019237886E1,-2.5815E1)); +#55237=CARTESIAN_POINT('',(4.1E1,-1.094019237886E1,-2.5185E1)); +#55238=VERTEX_POINT('',#55236); +#55239=VERTEX_POINT('',#55237); +#55240=CARTESIAN_POINT('',(4.19E1,-1.094019237886E1,-3.0895E1)); +#55241=CARTESIAN_POINT('',(4.19E1,-1.094019237886E1,-3.0265E1)); +#55242=VERTEX_POINT('',#55240); +#55243=VERTEX_POINT('',#55241); +#55244=CARTESIAN_POINT('',(4.19E1,-1.094019237886E1,-2.5815E1)); +#55245=CARTESIAN_POINT('',(4.19E1,-1.094019237886E1,-2.5185E1)); +#55246=VERTEX_POINT('',#55244); +#55247=VERTEX_POINT('',#55245); +#55248=CARTESIAN_POINT('',(4.115E1,-1.72E1,-3.0265E1)); +#55249=VERTEX_POINT('',#55248); +#55250=CARTESIAN_POINT('',(4.175E1,-1.72E1,-3.0265E1)); +#55251=VERTEX_POINT('',#55250); +#55252=CARTESIAN_POINT('',(4.115E1,-1.72E1,-3.0895E1)); +#55253=VERTEX_POINT('',#55252); +#55254=CARTESIAN_POINT('',(4.175E1,-1.72E1,-3.0895E1)); +#55255=VERTEX_POINT('',#55254); +#55256=CARTESIAN_POINT('',(4.115E1,-1.72E1,-2.5185E1)); +#55257=VERTEX_POINT('',#55256); +#55258=CARTESIAN_POINT('',(4.175E1,-1.72E1,-2.5185E1)); +#55259=VERTEX_POINT('',#55258); +#55260=CARTESIAN_POINT('',(4.115E1,-1.72E1,-2.5815E1)); +#55261=VERTEX_POINT('',#55260); +#55262=CARTESIAN_POINT('',(4.175E1,-1.72E1,-2.5815E1)); +#55263=VERTEX_POINT('',#55262); +#55264=CARTESIAN_POINT('',(4.315E1,-1.094019237886E1,-2.8355E1)); +#55265=CARTESIAN_POINT('',(4.315E1,-1.094019237886E1,-2.7725E1)); +#55266=VERTEX_POINT('',#55264); +#55267=VERTEX_POINT('',#55265); +#55268=CARTESIAN_POINT('',(4.315E1,-1.094019237886E1,-2.5815E1)); +#55269=CARTESIAN_POINT('',(4.315E1,-1.094019237886E1,-2.5185E1)); +#55270=VERTEX_POINT('',#55268); +#55271=VERTEX_POINT('',#55269); +#55272=CARTESIAN_POINT('',(4.405E1,-1.094019237886E1,-2.8355E1)); +#55273=CARTESIAN_POINT('',(4.405E1,-1.094019237886E1,-2.7725E1)); +#55274=VERTEX_POINT('',#55272); +#55275=VERTEX_POINT('',#55273); +#55276=CARTESIAN_POINT('',(4.405E1,-1.094019237886E1,-2.5815E1)); +#55277=CARTESIAN_POINT('',(4.405E1,-1.094019237886E1,-2.5185E1)); +#55278=VERTEX_POINT('',#55276); +#55279=VERTEX_POINT('',#55277); +#55280=CARTESIAN_POINT('',(4.39E1,-1.72E1,-2.5185E1)); +#55281=VERTEX_POINT('',#55280); +#55282=CARTESIAN_POINT('',(4.33E1,-1.72E1,-2.5185E1)); +#55283=VERTEX_POINT('',#55282); +#55284=CARTESIAN_POINT('',(4.39E1,-1.72E1,-2.5815E1)); +#55285=VERTEX_POINT('',#55284); +#55286=CARTESIAN_POINT('',(4.33E1,-1.72E1,-2.5815E1)); +#55287=VERTEX_POINT('',#55286); +#55288=CARTESIAN_POINT('',(4.39E1,-1.72E1,-2.7725E1)); +#55289=VERTEX_POINT('',#55288); +#55290=CARTESIAN_POINT('',(4.33E1,-1.72E1,-2.7725E1)); +#55291=VERTEX_POINT('',#55290); +#55292=CARTESIAN_POINT('',(4.39E1,-1.72E1,-2.8355E1)); +#55293=VERTEX_POINT('',#55292); +#55294=CARTESIAN_POINT('',(4.33E1,-1.72E1,-2.8355E1)); +#55295=VERTEX_POINT('',#55294); +#55296=CARTESIAN_POINT('',(4.53E1,-1.094019237886E1,-3.0895E1)); +#55297=CARTESIAN_POINT('',(4.53E1,-1.094019237886E1,-3.0265E1)); +#55298=VERTEX_POINT('',#55296); +#55299=VERTEX_POINT('',#55297); +#55300=CARTESIAN_POINT('',(4.53E1,-1.094019237886E1,-2.5815E1)); +#55301=CARTESIAN_POINT('',(4.53E1,-1.094019237886E1,-2.5185E1)); +#55302=VERTEX_POINT('',#55300); +#55303=VERTEX_POINT('',#55301); +#55304=CARTESIAN_POINT('',(4.62E1,-1.094019237886E1,-3.0895E1)); +#55305=CARTESIAN_POINT('',(4.62E1,-1.094019237886E1,-3.0265E1)); +#55306=VERTEX_POINT('',#55304); +#55307=VERTEX_POINT('',#55305); +#55308=CARTESIAN_POINT('',(4.62E1,-1.094019237886E1,-2.5815E1)); +#55309=CARTESIAN_POINT('',(4.62E1,-1.094019237886E1,-2.5185E1)); +#55310=VERTEX_POINT('',#55308); +#55311=VERTEX_POINT('',#55309); +#55312=CARTESIAN_POINT('',(4.545E1,-1.72E1,-3.0265E1)); +#55313=VERTEX_POINT('',#55312); +#55314=CARTESIAN_POINT('',(4.605E1,-1.72E1,-3.0265E1)); +#55315=VERTEX_POINT('',#55314); +#55316=CARTESIAN_POINT('',(4.545E1,-1.72E1,-3.0895E1)); +#55317=VERTEX_POINT('',#55316); +#55318=CARTESIAN_POINT('',(4.605E1,-1.72E1,-3.0895E1)); +#55319=VERTEX_POINT('',#55318); +#55320=CARTESIAN_POINT('',(4.545E1,-1.72E1,-2.5185E1)); +#55321=VERTEX_POINT('',#55320); +#55322=CARTESIAN_POINT('',(4.605E1,-1.72E1,-2.5185E1)); +#55323=VERTEX_POINT('',#55322); +#55324=CARTESIAN_POINT('',(4.545E1,-1.72E1,-2.5815E1)); +#55325=VERTEX_POINT('',#55324); +#55326=CARTESIAN_POINT('',(4.605E1,-1.72E1,-2.5815E1)); +#55327=VERTEX_POINT('',#55326); +#55328=CARTESIAN_POINT('',(4.745E1,-1.094019237886E1,-2.8355E1)); +#55329=CARTESIAN_POINT('',(4.745E1,-1.094019237886E1,-2.7725E1)); +#55330=VERTEX_POINT('',#55328); +#55331=VERTEX_POINT('',#55329); +#55332=CARTESIAN_POINT('',(4.745E1,-1.094019237886E1,-2.5815E1)); +#55333=CARTESIAN_POINT('',(4.745E1,-1.094019237886E1,-2.5185E1)); +#55334=VERTEX_POINT('',#55332); +#55335=VERTEX_POINT('',#55333); +#55336=CARTESIAN_POINT('',(4.835E1,-1.094019237886E1,-2.8355E1)); +#55337=CARTESIAN_POINT('',(4.835E1,-1.094019237886E1,-2.7725E1)); +#55338=VERTEX_POINT('',#55336); +#55339=VERTEX_POINT('',#55337); +#55340=CARTESIAN_POINT('',(4.835E1,-1.094019237886E1,-2.5815E1)); +#55341=CARTESIAN_POINT('',(4.835E1,-1.094019237886E1,-2.5185E1)); +#55342=VERTEX_POINT('',#55340); +#55343=VERTEX_POINT('',#55341); +#55344=CARTESIAN_POINT('',(4.82E1,-1.72E1,-2.5185E1)); +#55345=VERTEX_POINT('',#55344); +#55346=CARTESIAN_POINT('',(4.76E1,-1.72E1,-2.5185E1)); +#55347=VERTEX_POINT('',#55346); +#55348=CARTESIAN_POINT('',(4.82E1,-1.72E1,-2.5815E1)); +#55349=VERTEX_POINT('',#55348); +#55350=CARTESIAN_POINT('',(4.76E1,-1.72E1,-2.5815E1)); +#55351=VERTEX_POINT('',#55350); +#55352=CARTESIAN_POINT('',(4.82E1,-1.72E1,-2.7725E1)); +#55353=VERTEX_POINT('',#55352); +#55354=CARTESIAN_POINT('',(4.76E1,-1.72E1,-2.7725E1)); +#55355=VERTEX_POINT('',#55354); +#55356=CARTESIAN_POINT('',(4.82E1,-1.72E1,-2.8355E1)); +#55357=VERTEX_POINT('',#55356); +#55358=CARTESIAN_POINT('',(4.76E1,-1.72E1,-2.8355E1)); +#55359=VERTEX_POINT('',#55358); +#55360=CARTESIAN_POINT('',(4.96E1,-1.094019237886E1,-3.0895E1)); +#55361=CARTESIAN_POINT('',(4.96E1,-1.094019237886E1,-3.0265E1)); +#55362=VERTEX_POINT('',#55360); +#55363=VERTEX_POINT('',#55361); +#55364=CARTESIAN_POINT('',(4.96E1,-1.094019237886E1,-2.5815E1)); +#55365=CARTESIAN_POINT('',(4.96E1,-1.094019237886E1,-2.5185E1)); +#55366=VERTEX_POINT('',#55364); +#55367=VERTEX_POINT('',#55365); +#55368=CARTESIAN_POINT('',(5.05E1,-1.094019237886E1,-3.0895E1)); +#55369=CARTESIAN_POINT('',(5.05E1,-1.094019237886E1,-3.0265E1)); +#55370=VERTEX_POINT('',#55368); +#55371=VERTEX_POINT('',#55369); +#55372=CARTESIAN_POINT('',(5.05E1,-1.094019237886E1,-2.5815E1)); +#55373=CARTESIAN_POINT('',(5.05E1,-1.094019237886E1,-2.5185E1)); +#55374=VERTEX_POINT('',#55372); +#55375=VERTEX_POINT('',#55373); +#55376=CARTESIAN_POINT('',(4.975E1,-1.72E1,-3.0265E1)); +#55377=VERTEX_POINT('',#55376); +#55378=CARTESIAN_POINT('',(5.035E1,-1.72E1,-3.0265E1)); +#55379=VERTEX_POINT('',#55378); +#55380=CARTESIAN_POINT('',(4.975E1,-1.72E1,-3.0895E1)); +#55381=VERTEX_POINT('',#55380); +#55382=CARTESIAN_POINT('',(5.035E1,-1.72E1,-3.0895E1)); +#55383=VERTEX_POINT('',#55382); +#55384=CARTESIAN_POINT('',(4.975E1,-1.72E1,-2.5185E1)); +#55385=VERTEX_POINT('',#55384); +#55386=CARTESIAN_POINT('',(5.035E1,-1.72E1,-2.5185E1)); +#55387=VERTEX_POINT('',#55386); +#55388=CARTESIAN_POINT('',(4.975E1,-1.72E1,-2.5815E1)); +#55389=VERTEX_POINT('',#55388); +#55390=CARTESIAN_POINT('',(5.035E1,-1.72E1,-2.5815E1)); +#55391=VERTEX_POINT('',#55390); +#55392=CARTESIAN_POINT('',(5.175E1,-1.094019237886E1,-2.8355E1)); +#55393=CARTESIAN_POINT('',(5.175E1,-1.094019237886E1,-2.7725E1)); +#55394=VERTEX_POINT('',#55392); +#55395=VERTEX_POINT('',#55393); +#55396=CARTESIAN_POINT('',(5.175E1,-1.094019237886E1,-2.5815E1)); +#55397=CARTESIAN_POINT('',(5.175E1,-1.094019237886E1,-2.5185E1)); +#55398=VERTEX_POINT('',#55396); +#55399=VERTEX_POINT('',#55397); +#55400=CARTESIAN_POINT('',(5.265E1,-1.094019237886E1,-2.8355E1)); +#55401=CARTESIAN_POINT('',(5.265E1,-1.094019237886E1,-2.7725E1)); +#55402=VERTEX_POINT('',#55400); +#55403=VERTEX_POINT('',#55401); +#55404=CARTESIAN_POINT('',(5.265E1,-1.094019237886E1,-2.5815E1)); +#55405=CARTESIAN_POINT('',(5.265E1,-1.094019237886E1,-2.5185E1)); +#55406=VERTEX_POINT('',#55404); +#55407=VERTEX_POINT('',#55405); +#55408=CARTESIAN_POINT('',(5.25E1,-1.72E1,-2.5185E1)); +#55409=VERTEX_POINT('',#55408); +#55410=CARTESIAN_POINT('',(5.19E1,-1.72E1,-2.5185E1)); +#55411=VERTEX_POINT('',#55410); +#55412=CARTESIAN_POINT('',(5.25E1,-1.72E1,-2.5815E1)); +#55413=VERTEX_POINT('',#55412); +#55414=CARTESIAN_POINT('',(5.19E1,-1.72E1,-2.5815E1)); +#55415=VERTEX_POINT('',#55414); +#55416=CARTESIAN_POINT('',(5.25E1,-1.72E1,-2.7725E1)); +#55417=VERTEX_POINT('',#55416); +#55418=CARTESIAN_POINT('',(5.19E1,-1.72E1,-2.7725E1)); +#55419=VERTEX_POINT('',#55418); +#55420=CARTESIAN_POINT('',(5.25E1,-1.72E1,-2.8355E1)); +#55421=VERTEX_POINT('',#55420); +#55422=CARTESIAN_POINT('',(5.19E1,-1.72E1,-2.8355E1)); +#55423=VERTEX_POINT('',#55422); +#55424=VERTEX_POINT('',#5224); +#55425=VERTEX_POINT('',#5228); +#55426=CARTESIAN_POINT('',(-6.320257241184E1,7.810611959521E0,-2.7E1)); +#55427=VERTEX_POINT('',#55426); +#55428=CARTESIAN_POINT('',(-6.274909407443E1,8.1E0,-2.7E1)); +#55429=VERTEX_POINT('',#55428); +#55430=CARTESIAN_POINT('',(-6.338834707153E1,7.410611959521E0,-2.93E1)); +#55431=CARTESIAN_POINT('',(-6.293486873411E1,7.7E0,-2.93E1)); +#55432=VERTEX_POINT('',#55430); +#55433=VERTEX_POINT('',#55431); +#55434=CARTESIAN_POINT('',(-6.293486873411E1,7.7E0,-2.7E1)); +#55435=VERTEX_POINT('',#55434); +#55436=CARTESIAN_POINT('',(-6.338834707153E1,7.410611959521E0,-2.7E1)); +#55437=VERTEX_POINT('',#55436); +#55438=CARTESIAN_POINT('',(6.293486873411E1,7.7E0,-2.7E1)); +#55439=VERTEX_POINT('',#55438); +#55440=CARTESIAN_POINT('',(-6.21E1,7.7E0,-3.64E1)); +#55441=CARTESIAN_POINT('',(-5.575E1,7.7E0,-3.64E1)); +#55442=VERTEX_POINT('',#55440); +#55443=VERTEX_POINT('',#55441); +#55444=CARTESIAN_POINT('',(-6.21E1,7.2E0,-3.69E1)); +#55445=CARTESIAN_POINT('',(-5.575E1,7.2E0,-3.69E1)); +#55446=VERTEX_POINT('',#55444); +#55447=VERTEX_POINT('',#55445); +#55448=CARTESIAN_POINT('',(-5.575E1,7.7E0,-2.93E1)); +#55449=VERTEX_POINT('',#55448); +#55450=CARTESIAN_POINT('',(-5.525E1,7.2E0,-3.64E1)); +#55451=CARTESIAN_POINT('',(-5.525E1,7.2E0,-2.93E1)); +#55452=VERTEX_POINT('',#55450); +#55453=VERTEX_POINT('',#55451); +#55454=CARTESIAN_POINT('',(-5.575E1,6.748623679426E0,-3.69E1)); +#55455=VERTEX_POINT('',#55454); +#55456=CARTESIAN_POINT('',(-5.525E1,6.293746088860E0,-3.64E1)); +#55457=VERTEX_POINT('',#55456); +#55458=CARTESIAN_POINT('',(-5.866606055596E1,4.7E0,-3.64E1)); +#55459=VERTEX_POINT('',#55458); +#55460=CARTESIAN_POINT('',(-5.887814388593E1,5.2E0,-3.69E1)); +#55461=VERTEX_POINT('',#55460); +#55462=CARTESIAN_POINT('',(-6.26E1,4.7E0,-3.64E1)); +#55463=VERTEX_POINT('',#55462); +#55464=CARTESIAN_POINT('',(-6.21E1,5.2E0,-3.69E1)); +#55465=VERTEX_POINT('',#55464); +#55466=CARTESIAN_POINT('',(-6.26E1,7.2E0,-3.64E1)); +#55467=VERTEX_POINT('',#55466); +#55468=CARTESIAN_POINT('',(-6.26E1,7.2E0,-2.93E1)); +#55469=VERTEX_POINT('',#55468); +#55470=CARTESIAN_POINT('',(-6.21E1,7.7E0,-2.93E1)); +#55471=VERTEX_POINT('',#55470); +#55472=VERTEX_POINT('',#5326); +#55473=VERTEX_POINT('',#5330); +#55474=CARTESIAN_POINT('',(6.274909407443E1,8.1E0,-2.7E1)); +#55475=VERTEX_POINT('',#55474); +#55476=CARTESIAN_POINT('',(6.320257241184E1,7.810611959521E0,-2.7E1)); +#55477=VERTEX_POINT('',#55476); +#55478=CARTESIAN_POINT('',(6.293486873411E1,7.7E0,-2.93E1)); +#55479=VERTEX_POINT('',#55478); +#55480=CARTESIAN_POINT('',(6.338834707153E1,7.410611959521E0,-2.93E1)); +#55481=VERTEX_POINT('',#55480); +#55482=CARTESIAN_POINT('',(6.338834707153E1,7.410611959521E0,-2.7E1)); +#55483=VERTEX_POINT('',#55482); +#55484=CARTESIAN_POINT('',(5.575E1,7.7E0,-3.64E1)); +#55485=CARTESIAN_POINT('',(6.21E1,7.7E0,-3.64E1)); +#55486=VERTEX_POINT('',#55484); +#55487=VERTEX_POINT('',#55485); +#55488=CARTESIAN_POINT('',(5.575E1,7.2E0,-3.69E1)); +#55489=CARTESIAN_POINT('',(6.21E1,7.2E0,-3.69E1)); +#55490=VERTEX_POINT('',#55488); +#55491=VERTEX_POINT('',#55489); +#55492=CARTESIAN_POINT('',(5.525E1,7.2E0,-3.64E1)); +#55493=CARTESIAN_POINT('',(5.525E1,7.2E0,-2.93E1)); +#55494=VERTEX_POINT('',#55492); +#55495=VERTEX_POINT('',#55493); +#55496=CARTESIAN_POINT('',(5.575E1,7.7E0,-2.93E1)); +#55497=VERTEX_POINT('',#55496); +#55498=CARTESIAN_POINT('',(5.525E1,6.293746088860E0,-3.64E1)); +#55499=VERTEX_POINT('',#55498); +#55500=CARTESIAN_POINT('',(5.575E1,6.748623679426E0,-3.69E1)); +#55501=VERTEX_POINT('',#55500); +#55502=CARTESIAN_POINT('',(5.866606055596E1,4.7E0,-3.64E1)); +#55503=VERTEX_POINT('',#55502); +#55504=CARTESIAN_POINT('',(5.887814388593E1,5.2E0,-3.69E1)); +#55505=VERTEX_POINT('',#55504); +#55506=CARTESIAN_POINT('',(6.21E1,5.2E0,-3.69E1)); +#55507=VERTEX_POINT('',#55506); +#55508=CARTESIAN_POINT('',(6.26E1,4.7E0,-3.64E1)); +#55509=VERTEX_POINT('',#55508); +#55510=CARTESIAN_POINT('',(6.26E1,7.2E0,-3.64E1)); +#55511=VERTEX_POINT('',#55510); +#55512=CARTESIAN_POINT('',(6.21E1,7.7E0,-2.93E1)); +#55513=VERTEX_POINT('',#55512); +#55514=CARTESIAN_POINT('',(6.26E1,7.2E0,-2.93E1)); +#55515=VERTEX_POINT('',#55514); +#55516=CARTESIAN_POINT('',(-5.975E1,1.E1,-3.36E1)); +#55517=CARTESIAN_POINT('',(-5.775E1,1.E1,-3.36E1)); +#55518=VERTEX_POINT('',#55516); +#55519=VERTEX_POINT('',#55517); +#55520=CARTESIAN_POINT('',(-6.025E1,9.5E0,-3.36E1)); +#55521=CARTESIAN_POINT('',(-5.725E1,9.5E0,-3.36E1)); +#55522=VERTEX_POINT('',#55520); +#55523=VERTEX_POINT('',#55521); +#55524=CARTESIAN_POINT('',(1.545E1,1.E1,-3.36E1)); +#55525=CARTESIAN_POINT('',(1.745E1,1.E1,-3.36E1)); +#55526=VERTEX_POINT('',#55524); +#55527=VERTEX_POINT('',#55525); +#55528=CARTESIAN_POINT('',(1.495E1,9.5E0,-3.36E1)); +#55529=CARTESIAN_POINT('',(1.795E1,9.5E0,-3.36E1)); +#55530=VERTEX_POINT('',#55528); +#55531=VERTEX_POINT('',#55529); +#55532=CARTESIAN_POINT('',(5.775E1,1.E1,-3.36E1)); +#55533=CARTESIAN_POINT('',(5.975E1,1.E1,-3.36E1)); +#55534=VERTEX_POINT('',#55532); +#55535=VERTEX_POINT('',#55533); +#55536=CARTESIAN_POINT('',(5.725E1,9.5E0,-3.36E1)); +#55537=CARTESIAN_POINT('',(6.025E1,9.5E0,-3.36E1)); +#55538=VERTEX_POINT('',#55536); +#55539=VERTEX_POINT('',#55537); +#55540=CARTESIAN_POINT('',(1.395E1,6.8E0,-3.69E1)); +#55541=CARTESIAN_POINT('',(1.395E1,6.3E0,-3.64E1)); +#55542=VERTEX_POINT('',#55540); +#55543=VERTEX_POINT('',#55541); +#55544=CARTESIAN_POINT('',(1.895E1,6.3E0,-3.64E1)); +#55545=VERTEX_POINT('',#55544); +#55546=CARTESIAN_POINT('',(1.895E1,6.8E0,-3.69E1)); +#55547=VERTEX_POINT('',#55546); +#55548=CARTESIAN_POINT('',(1.895E1,7.2E0,-3.69E1)); +#55549=CARTESIAN_POINT('',(1.895E1,7.7E0,-3.64E1)); +#55550=VERTEX_POINT('',#55548); +#55551=VERTEX_POINT('',#55549); +#55552=CARTESIAN_POINT('',(1.395E1,7.7E0,-3.64E1)); +#55553=VERTEX_POINT('',#55552); +#55554=CARTESIAN_POINT('',(1.395E1,7.2E0,-3.69E1)); +#55555=VERTEX_POINT('',#55554); +#55556=CARTESIAN_POINT('',(6.029154123977E1,1.217780915046E1, +-2.071497334384E1)); +#55557=CARTESIAN_POINT('',(6.029154123977E1,1.217780915046E1,-9.65E0)); +#55558=VERTEX_POINT('',#55556); +#55559=VERTEX_POINT('',#55557); +#55560=VERTEX_POINT('',#5500); +#55561=CARTESIAN_POINT('',(6.04E1,1.186685021819E1,-2.097933017911E1)); +#55562=CARTESIAN_POINT('',(6.04E1,1.186685021819E1,-9.65E0)); +#55563=VERTEX_POINT('',#55561); +#55564=VERTEX_POINT('',#55562); +#55565=CARTESIAN_POINT('',(-6.04E1,1.143344063784E1,-2.1E1)); +#55566=CARTESIAN_POINT('',(-6.030649814990E1,1.172457506405E1,-2.1E1)); +#55567=VERTEX_POINT('',#55565); +#55568=VERTEX_POINT('',#55566); +#55569=CARTESIAN_POINT('',(-6.02233908E1,1.181477827102E1,-9.65E0)); +#55570=CARTESIAN_POINT('',(-6.02233908E1,1.181477827102E1,-2.099179991675E1)); +#55571=VERTEX_POINT('',#55569); +#55572=VERTEX_POINT('',#55570); +#55573=CARTESIAN_POINT('',(-6.04E1,1.143344063784E1,-9.65E0)); +#55574=VERTEX_POINT('',#55573); +#55575=CARTESIAN_POINT('',(6.04E1,1.172457379921E1,-2.1E1)); +#55576=VERTEX_POINT('',#55575); +#55577=CARTESIAN_POINT('',(-5.980158807131E1,1.215758650110E1,-2.075E1)); +#55578=VERTEX_POINT('',#55577); +#55579=CARTESIAN_POINT('',(6.198E0,-1.47E0,-1.98E1)); +#55580=CARTESIAN_POINT('',(6.198E0,2.3E-1,-1.98E1)); +#55581=VERTEX_POINT('',#55579); +#55582=VERTEX_POINT('',#55580); +#55583=CARTESIAN_POINT('',(6.079E0,2.3E-1,-1.98E1)); +#55584=VERTEX_POINT('',#55583); +#55585=CARTESIAN_POINT('',(5.96E0,-2.319145917892E-2,-1.98E1)); +#55586=VERTEX_POINT('',#55585); +#55587=CARTESIAN_POINT('',(5.96E0,-3.125532281399E-1,-1.98E1)); +#55588=VERTEX_POINT('',#55587); +#55589=CARTESIAN_POINT('',(6.079E0,-5.936166763306E-2,-1.98E1)); +#55590=VERTEX_POINT('',#55589); +#55591=CARTESIAN_POINT('',(6.079E0,-1.47E0,-1.98E1)); +#55592=VERTEX_POINT('',#55591); +#55593=CARTESIAN_POINT('',(6.832666685581E0,2.3E-1,-1.98E1)); +#55594=CARTESIAN_POINT('',(6.356666657209E0,-7.465957295895E-1,-1.98E1)); +#55595=VERTEX_POINT('',#55593); +#55596=VERTEX_POINT('',#55594); +#55597=CARTESIAN_POINT('',(6.356666657209E0,-9.636170309782E-1,-1.98E1)); +#55598=VERTEX_POINT('',#55597); +#55599=CARTESIAN_POINT('',(6.832666685581E0,-9.636170309782E-1,-1.98E1)); +#55600=VERTEX_POINT('',#55599); +#55601=CARTESIAN_POINT('',(6.832666685581E0,-1.47E0,-1.98E1)); +#55602=VERTEX_POINT('',#55601); +#55603=CARTESIAN_POINT('',(6.951666628838E0,-1.47E0,-1.98E1)); +#55604=VERTEX_POINT('',#55603); +#55605=CARTESIAN_POINT('',(6.951666628838E0,-9.636170309782E-1,-1.98E1)); +#55606=VERTEX_POINT('',#55605); +#55607=CARTESIAN_POINT('',(7.15E0,-9.636170309782E-1,-1.98E1)); +#55608=VERTEX_POINT('',#55607); +#55609=CARTESIAN_POINT('',(7.15E0,-7.465957295895E-1,-1.98E1)); +#55610=VERTEX_POINT('',#55609); +#55611=CARTESIAN_POINT('',(6.951666628838E0,-7.465957295895E-1,-1.98E1)); +#55612=VERTEX_POINT('',#55611); +#55613=CARTESIAN_POINT('',(6.951666628838E0,2.3E-1,-1.98E1)); +#55614=VERTEX_POINT('',#55613); +#55615=CARTESIAN_POINT('',(6.4955E0,-7.465957295895E-1,-1.98E1)); +#55616=CARTESIAN_POINT('',(6.832666685581E0,-5.936166763306E-2,-1.98E1)); +#55617=VERTEX_POINT('',#55615); +#55618=VERTEX_POINT('',#55616); +#55619=CARTESIAN_POINT('',(6.832666685581E0,-7.465957295895E-1,-1.98E1)); +#55620=VERTEX_POINT('',#55619); +#55621=CARTESIAN_POINT('',(9.9E0,7.427659618855E-1,-1.98E1)); +#55622=CARTESIAN_POINT('',(9.9E0,5.3E-1,-1.98E1)); +#55623=VERTEX_POINT('',#55621); +#55624=VERTEX_POINT('',#55622); +#55625=CARTESIAN_POINT('',(1.047E1,5.3E-1,-1.98E1)); +#55626=VERTEX_POINT('',#55625); +#55627=CARTESIAN_POINT('',(1.047E1,7.427659618855E-1,-1.98E1)); +#55628=VERTEX_POINT('',#55627); +#55629=CARTESIAN_POINT('',(1.002666667044E1,7.427659618855E-1,-1.98E1)); +#55630=VERTEX_POINT('',#55629); +#55631=CARTESIAN_POINT('',(1.04225E1,1.678936152458E0,-1.98E1)); +#55632=VERTEX_POINT('',#55631); +#55633=CARTESIAN_POINT('',(1.045416668177E1,1.806595711708E0,-1.98E1)); +#55634=VERTEX_POINT('',#55633); +#55635=CARTESIAN_POINT('',(1.047E1,1.976808457375E0,-1.98E1)); +#55636=VERTEX_POINT('',#55635); +#55637=CARTESIAN_POINT('',(1.047E1,2.061914949417E0,-1.98E1)); +#55638=VERTEX_POINT('',#55637); +#55639=CARTESIAN_POINT('',(1.045416668177E1,2.232127695084E0,-1.98E1)); +#55640=VERTEX_POINT('',#55639); +#55641=CARTESIAN_POINT('',(1.039083331823E1,2.402340440750E0,-1.98E1)); +#55642=VERTEX_POINT('',#55641); +#55643=CARTESIAN_POINT('',(1.03275E1,2.487446813583E0,-1.98E1)); +#55644=VERTEX_POINT('',#55643); +#55645=CARTESIAN_POINT('',(1.02325E1,2.53E0,-1.98E1)); +#55646=VERTEX_POINT('',#55645); +#55647=CARTESIAN_POINT('',(1.016916665912E1,2.53E0,-1.98E1)); +#55648=VERTEX_POINT('',#55647); +#55649=CARTESIAN_POINT('',(1.005833332956E1,2.487446813583E0,-1.98E1)); +#55650=VERTEX_POINT('',#55649); +#55651=CARTESIAN_POINT('',(9.995E0,2.402340440750E0,-1.98E1)); +#55652=VERTEX_POINT('',#55651); +#55653=CARTESIAN_POINT('',(9.931666667610E0,2.232127695084E0,-1.98E1)); +#55654=VERTEX_POINT('',#55653); +#55655=CARTESIAN_POINT('',(9.915833333805E0,2.061914949417E0,-1.98E1)); +#55656=VERTEX_POINT('',#55655); +#55657=CARTESIAN_POINT('',(9.995E0,2.061914949417E0,-1.98E1)); +#55658=VERTEX_POINT('',#55657); +#55659=CARTESIAN_POINT('',(1.002666667044E1,2.189574508667E0,-1.98E1)); +#55660=VERTEX_POINT('',#55659); +#55661=CARTESIAN_POINT('',(1.007416667044E1,2.274680881500E0,-1.98E1)); +#55662=VERTEX_POINT('',#55661); +#55663=CARTESIAN_POINT('',(1.015333334088E1,2.317234067917E0,-1.98E1)); +#55664=VERTEX_POINT('',#55663); +#55665=CARTESIAN_POINT('',(1.021666665912E1,2.317234067917E0,-1.98E1)); +#55666=VERTEX_POINT('',#55665); +#55667=CARTESIAN_POINT('',(1.029583331823E1,2.274680881500E0,-1.98E1)); +#55668=VERTEX_POINT('',#55667); +#55669=CARTESIAN_POINT('',(1.034333331823E1,2.189574508667E0,-1.98E1)); +#55670=VERTEX_POINT('',#55669); +#55671=CARTESIAN_POINT('',(1.0375E1,2.061914949417E0,-1.98E1)); +#55672=VERTEX_POINT('',#55671); +#55673=CARTESIAN_POINT('',(1.0375E1,1.976808457375E0,-1.98E1)); +#55674=VERTEX_POINT('',#55673); +#55675=CARTESIAN_POINT('',(1.034333331823E1,1.806595711708E0,-1.98E1)); +#55676=VERTEX_POINT('',#55675); +#55677=CARTESIAN_POINT('',(1.1135E1,1.508723406792E0,-1.98E1)); +#55678=CARTESIAN_POINT('',(1.10875E1,1.636382966042E0,-1.98E1)); +#55679=VERTEX_POINT('',#55677); +#55680=VERTEX_POINT('',#55678); +#55681=CARTESIAN_POINT('',(1.100833336353E1,1.764042525291E0,-1.98E1)); +#55682=VERTEX_POINT('',#55681); +#55683=CARTESIAN_POINT('',(1.092916663647E1,1.806595711708E0,-1.98E1)); +#55684=VERTEX_POINT('',#55683); +#55685=CARTESIAN_POINT('',(1.085E1,1.806595711708E0,-1.98E1)); +#55686=VERTEX_POINT('',#55685); +#55687=CARTESIAN_POINT('',(1.077083336353E1,1.764042525291E0,-1.98E1)); +#55688=VERTEX_POINT('',#55687); +#55689=CARTESIAN_POINT('',(1.067583336353E1,1.593829779625E0,-1.98E1)); +#55690=VERTEX_POINT('',#55689); +#55691=CARTESIAN_POINT('',(1.067583336353E1,1.678936152458E0,-1.98E1)); +#55692=VERTEX_POINT('',#55691); +#55693=CARTESIAN_POINT('',(1.069166663647E1,1.934255270958E0,-1.98E1)); +#55694=VERTEX_POINT('',#55693); +#55695=CARTESIAN_POINT('',(1.07075E1,2.061914949417E0,-1.98E1)); +#55696=VERTEX_POINT('',#55695); +#55697=CARTESIAN_POINT('',(1.073916663647E1,2.189574508667E0,-1.98E1)); +#55698=VERTEX_POINT('',#55697); +#55699=CARTESIAN_POINT('',(1.078666663647E1,2.274680881500E0,-1.98E1)); +#55700=VERTEX_POINT('',#55699); +#55701=CARTESIAN_POINT('',(1.083416663647E1,2.317234067917E0,-1.98E1)); +#55702=VERTEX_POINT('',#55701); +#55703=CARTESIAN_POINT('',(1.092916663647E1,2.317234067917E0,-1.98E1)); +#55704=VERTEX_POINT('',#55703); +#55705=CARTESIAN_POINT('',(1.097666663647E1,2.274680881500E0,-1.98E1)); +#55706=VERTEX_POINT('',#55705); +#55707=CARTESIAN_POINT('',(1.102416663647E1,2.189574508667E0,-1.98E1)); +#55708=VERTEX_POINT('',#55707); +#55709=CARTESIAN_POINT('',(1.105583336353E1,2.061914949417E0,-1.98E1)); +#55710=VERTEX_POINT('',#55709); +#55711=CARTESIAN_POINT('',(1.1135E1,2.061914949417E0,-1.98E1)); +#55712=VERTEX_POINT('',#55711); +#55713=CARTESIAN_POINT('',(1.111916663647E1,2.189574508667E0,-1.98E1)); +#55714=VERTEX_POINT('',#55713); +#55715=CARTESIAN_POINT('',(1.107166663647E1,2.359787254333E0,-1.98E1)); +#55716=VERTEX_POINT('',#55715); +#55717=CARTESIAN_POINT('',(1.09925E1,2.487446813583E0,-1.98E1)); +#55718=VERTEX_POINT('',#55717); +#55719=CARTESIAN_POINT('',(1.091333336353E1,2.53E0,-1.98E1)); +#55720=VERTEX_POINT('',#55719); +#55721=CARTESIAN_POINT('',(1.085E1,2.53E0,-1.98E1)); +#55722=VERTEX_POINT('',#55721); +#55723=CARTESIAN_POINT('',(1.077083336353E1,2.487446813583E0,-1.98E1)); +#55724=VERTEX_POINT('',#55723); +#55725=CARTESIAN_POINT('',(1.069166663647E1,2.359787254333E0,-1.98E1)); +#55726=VERTEX_POINT('',#55725); +#55727=CARTESIAN_POINT('',(1.064416668177E1,2.189574508667E0,-1.98E1)); +#55728=VERTEX_POINT('',#55727); +#55729=CARTESIAN_POINT('',(1.06125E1,1.976808457375E0,-1.98E1)); +#55730=VERTEX_POINT('',#55729); +#55731=CARTESIAN_POINT('',(1.059666668177E1,1.678936152458E0,-1.98E1)); +#55732=VERTEX_POINT('',#55731); +#55733=CARTESIAN_POINT('',(1.059666668177E1,1.381063847542E0,-1.98E1)); +#55734=VERTEX_POINT('',#55733); +#55735=CARTESIAN_POINT('',(1.06125E1,1.083191483021E0,-1.98E1)); +#55736=VERTEX_POINT('',#55735); +#55737=CARTESIAN_POINT('',(1.064416668177E1,8.704255211353E-1,-1.98E1)); +#55738=VERTEX_POINT('',#55737); +#55739=CARTESIAN_POINT('',(1.069166663647E1,7.002127605677E-1,-1.98E1)); +#55740=VERTEX_POINT('',#55739); +#55741=CARTESIAN_POINT('',(1.077083336353E1,5.725531901419E-1,-1.98E1)); +#55742=VERTEX_POINT('',#55741); +#55743=CARTESIAN_POINT('',(1.085E1,5.3E-1,-1.98E1)); +#55744=VERTEX_POINT('',#55743); +#55745=CARTESIAN_POINT('',(1.092916663647E1,5.3E-1,-1.98E1)); +#55746=VERTEX_POINT('',#55745); +#55747=CARTESIAN_POINT('',(1.100833336353E1,5.725531901419E-1,-1.98E1)); +#55748=VERTEX_POINT('',#55747); +#55749=CARTESIAN_POINT('',(1.10875E1,7.002127605677E-1,-1.98E1)); +#55750=VERTEX_POINT('',#55749); +#55751=CARTESIAN_POINT('',(1.1135E1,8.704255211353E-1,-1.98E1)); +#55752=VERTEX_POINT('',#55751); +#55753=CARTESIAN_POINT('',(1.116666663647E1,1.083191483021E0,-1.98E1)); +#55754=VERTEX_POINT('',#55753); +#55755=CARTESIAN_POINT('',(1.116666663647E1,1.295957474709E0,-1.98E1)); +#55756=VERTEX_POINT('',#55755); +#55757=CARTESIAN_POINT('',(1.107166663647E1,1.381063847542E0,-1.98E1)); +#55758=CARTESIAN_POINT('',(1.10875E1,1.253404228687E0,-1.98E1)); +#55759=VERTEX_POINT('',#55757); +#55760=VERTEX_POINT('',#55758); +#55761=CARTESIAN_POINT('',(1.10875E1,1.125744669437E0,-1.98E1)); +#55762=VERTEX_POINT('',#55761); +#55763=CARTESIAN_POINT('',(1.107166663647E1,9.980851101875E-1,-1.98E1)); +#55764=VERTEX_POINT('',#55763); +#55765=CARTESIAN_POINT('',(1.104E1,8.704255211353E-1,-1.98E1)); +#55766=VERTEX_POINT('',#55765); +#55767=CARTESIAN_POINT('',(1.09925E1,7.853191483021E-1,-1.98E1)); +#55768=VERTEX_POINT('',#55767); +#55769=CARTESIAN_POINT('',(1.0945E1,7.427659618855E-1,-1.98E1)); +#55770=VERTEX_POINT('',#55769); +#55771=CARTESIAN_POINT('',(1.083416663647E1,7.427659618855E-1,-1.98E1)); +#55772=VERTEX_POINT('',#55771); +#55773=CARTESIAN_POINT('',(1.078666663647E1,7.853191483021E-1,-1.98E1)); +#55774=VERTEX_POINT('',#55773); +#55775=CARTESIAN_POINT('',(1.073916663647E1,8.704255211353E-1,-1.98E1)); +#55776=VERTEX_POINT('',#55775); +#55777=CARTESIAN_POINT('',(1.07075E1,9.980851101875E-1,-1.98E1)); +#55778=VERTEX_POINT('',#55777); +#55779=CARTESIAN_POINT('',(1.069166663647E1,1.125744669437E0,-1.98E1)); +#55780=VERTEX_POINT('',#55779); +#55781=CARTESIAN_POINT('',(1.069166663647E1,1.253404228687E0,-1.98E1)); +#55782=VERTEX_POINT('',#55781); +#55783=CARTESIAN_POINT('',(1.07075E1,1.381063847542E0,-1.98E1)); +#55784=VERTEX_POINT('',#55783); +#55785=CARTESIAN_POINT('',(1.073916663647E1,1.466170220375E0,-1.98E1)); +#55786=VERTEX_POINT('',#55785); +#55787=CARTESIAN_POINT('',(1.078666663647E1,1.551276593208E0,-1.98E1)); +#55788=VERTEX_POINT('',#55787); +#55789=CARTESIAN_POINT('',(1.083416663647E1,1.593829779625E0,-1.98E1)); +#55790=VERTEX_POINT('',#55789); +#55791=CARTESIAN_POINT('',(1.0945E1,1.593829779625E0,-1.98E1)); +#55792=VERTEX_POINT('',#55791); +#55793=CARTESIAN_POINT('',(1.09925E1,1.551276593208E0,-1.98E1)); +#55794=VERTEX_POINT('',#55793); +#55795=CARTESIAN_POINT('',(1.104E1,1.466170220375E0,-1.98E1)); +#55796=VERTEX_POINT('',#55795); +#55797=CARTESIAN_POINT('',(2.371899999595E1,-8.054255211353E-1,-1.98E1)); +#55798=CARTESIAN_POINT('',(2.378700000405E1,-6.969148957729E-1,-1.98E1)); +#55799=VERTEX_POINT('',#55797); +#55800=VERTEX_POINT('',#55798); +#55801=CARTESIAN_POINT('',(2.3855E1,-6.607446873188E-1,-1.98E1)); +#55802=VERTEX_POINT('',#55801); +#55803=CARTESIAN_POINT('',(2.3957E1,-6.607446873188E-1,-1.98E1)); +#55804=VERTEX_POINT('',#55803); +#55805=CARTESIAN_POINT('',(2.402499998379E1,-6.969148957729E-1,-1.98E1)); +#55806=VERTEX_POINT('',#55805); +#55807=CARTESIAN_POINT('',(2.407599998379E1,-7.692553126812E-1,-1.98E1)); +#55808=VERTEX_POINT('',#55807); +#55809=CARTESIAN_POINT('',(2.411E1,-8.777659380436E-1,-1.98E1)); +#55810=VERTEX_POINT('',#55809); +#55811=CARTESIAN_POINT('',(2.412699998379E1,-9.862766140699E-1,-1.98E1)); +#55812=VERTEX_POINT('',#55811); +#55813=CARTESIAN_POINT('',(2.412699998379E1,-1.058617030978E0,-1.98E1)); +#55814=VERTEX_POINT('',#55813); +#55815=CARTESIAN_POINT('',(2.411E1,-1.167127656341E0,-1.98E1)); +#55816=VERTEX_POINT('',#55815); +#55817=CARTESIAN_POINT('',(2.407599998379E1,-1.275638307035E0,-1.98E1)); +#55818=VERTEX_POINT('',#55817); +#55819=CARTESIAN_POINT('',(2.402499998379E1,-1.347978723943E0,-1.98E1)); +#55820=VERTEX_POINT('',#55819); +#55821=CARTESIAN_POINT('',(2.3957E1,-1.384148932397E0,-1.98E1)); +#55822=VERTEX_POINT('',#55821); +#55823=CARTESIAN_POINT('',(2.3855E1,-1.384148932397E0,-1.98E1)); +#55824=VERTEX_POINT('',#55823); +#55825=CARTESIAN_POINT('',(2.378700000405E1,-1.347978723943E0,-1.98E1)); +#55826=VERTEX_POINT('',#55825); +#55827=CARTESIAN_POINT('',(2.373600000405E1,-1.275638307035E0,-1.98E1)); +#55828=VERTEX_POINT('',#55827); +#55829=CARTESIAN_POINT('',(2.3702E1,-1.130957447886E0,-1.98E1)); +#55830=VERTEX_POINT('',#55829); +#55831=CARTESIAN_POINT('',(2.36E1,-1.130957447886E0,-1.98E1)); +#55832=VERTEX_POINT('',#55831); +#55833=CARTESIAN_POINT('',(2.363400000101E1,-1.275638307035E0,-1.98E1)); +#55834=VERTEX_POINT('',#55833); +#55835=CARTESIAN_POINT('',(2.368499999797E1,-1.420319153517E0,-1.98E1)); +#55836=VERTEX_POINT('',#55835); +#55837=CARTESIAN_POINT('',(2.376999999595E1,-1.528829788379E0,-1.98E1)); +#55838=VERTEX_POINT('',#55837); +#55839=CARTESIAN_POINT('',(2.3855E1,-1.565E0,-1.98E1)); +#55840=VERTEX_POINT('',#55839); +#55841=CARTESIAN_POINT('',(2.3957E1,-1.565E0,-1.98E1)); +#55842=VERTEX_POINT('',#55841); +#55843=CARTESIAN_POINT('',(2.404200001621E1,-1.528829788379E0,-1.98E1)); +#55844=VERTEX_POINT('',#55843); +#55845=CARTESIAN_POINT('',(2.412699998379E1,-1.420319153517E0,-1.98E1)); +#55846=VERTEX_POINT('',#55845); +#55847=CARTESIAN_POINT('',(2.417799998379E1,-1.275638307035E0,-1.98E1)); +#55848=VERTEX_POINT('',#55847); +#55849=CARTESIAN_POINT('',(2.4212E1,-1.094787239432E0,-1.98E1)); +#55850=VERTEX_POINT('',#55849); +#55851=CARTESIAN_POINT('',(2.4212E1,-9.501064056158E-1,-1.98E1)); +#55852=VERTEX_POINT('',#55851); +#55853=CARTESIAN_POINT('',(2.417799998379E1,-7.692553126812E-1,-1.98E1)); +#55854=VERTEX_POINT('',#55853); +#55855=CARTESIAN_POINT('',(2.412699998379E1,-6.245744788647E-1,-1.98E1)); +#55856=VERTEX_POINT('',#55855); +#55857=CARTESIAN_POINT('',(2.404200001621E1,-5.160638535023E-1,-1.98E1)); +#55858=VERTEX_POINT('',#55857); +#55859=CARTESIAN_POINT('',(2.3957E1,-4.798936450481E-1,-1.98E1)); +#55860=VERTEX_POINT('',#55859); +#55861=CARTESIAN_POINT('',(2.3855E1,-4.798936450481E-1,-1.98E1)); +#55862=VERTEX_POINT('',#55861); +#55863=CARTESIAN_POINT('',(2.376999999595E1,-5.160638535023E-1,-1.98E1)); +#55864=VERTEX_POINT('',#55863); +#55865=CARTESIAN_POINT('',(2.368499999797E1,-6.245744788647E-1,-1.98E1)); +#55866=VERTEX_POINT('',#55865); +#55867=CARTESIAN_POINT('',(2.368499999797E1,-4.585104227066E-2,-1.98E1)); +#55868=VERTEX_POINT('',#55867); +#55869=CARTESIAN_POINT('',(2.417799998379E1,-4.585104227066E-2,-1.98E1)); +#55870=VERTEX_POINT('',#55869); +#55871=CARTESIAN_POINT('',(2.417799998379E1,1.35E-1,-1.98E1)); +#55872=VERTEX_POINT('',#55871); +#55873=CARTESIAN_POINT('',(2.36E1,1.35E-1,-1.98E1)); +#55874=VERTEX_POINT('',#55873); +#55875=CARTESIAN_POINT('',(2.36E1,-8.054255211353E-1,-1.98E1)); +#55876=VERTEX_POINT('',#55875); +#55877=CARTESIAN_POINT('',(2.490899996758E1,-1.384148932397E0,-1.98E1)); +#55878=CARTESIAN_POINT('',(2.494300003242E1,-1.275638307035E0,-1.98E1)); +#55879=VERTEX_POINT('',#55877); +#55880=VERTEX_POINT('',#55878); +#55881=CARTESIAN_POINT('',(2.495999996758E1,-1.167127656341E0,-1.98E1)); +#55882=VERTEX_POINT('',#55881); +#55883=CARTESIAN_POINT('',(2.495999996758E1,-1.022446822524E0,-1.98E1)); +#55884=VERTEX_POINT('',#55883); +#55885=CARTESIAN_POINT('',(2.494300003242E1,-8.777659380436E-1,-1.98E1)); +#55886=VERTEX_POINT('',#55885); +#55887=CARTESIAN_POINT('',(2.490899996758E1,-7.692553126812E-1,-1.98E1)); +#55888=VERTEX_POINT('',#55887); +#55889=CARTESIAN_POINT('',(2.4824E1,-6.969148957729E-1,-1.98E1)); +#55890=VERTEX_POINT('',#55889); +#55891=CARTESIAN_POINT('',(2.489200003242E1,-5.884042704105E-1,-1.98E1)); +#55892=VERTEX_POINT('',#55891); +#55893=CARTESIAN_POINT('',(2.4926E1,-4.798936450481E-1,-1.98E1)); +#55894=VERTEX_POINT('',#55893); +#55895=CARTESIAN_POINT('',(2.494300003242E1,-3.352128112316E-1,-1.98E1)); +#55896=VERTEX_POINT('',#55895); +#55897=CARTESIAN_POINT('',(2.494300003242E1,-2.628722929955E-1,-1.98E1)); +#55898=VERTEX_POINT('',#55897); +#55899=CARTESIAN_POINT('',(2.4926E1,-1.181914591789E-1,-1.98E1)); +#55900=VERTEX_POINT('',#55899); +#55901=CARTESIAN_POINT('',(2.485799996758E1,2.648937463760E-2,-1.98E1)); +#55902=VERTEX_POINT('',#55901); +#55903=CARTESIAN_POINT('',(2.479000003242E1,9.882979154587E-2,-1.98E1)); +#55904=VERTEX_POINT('',#55903); +#55905=CARTESIAN_POINT('',(2.4671E1,1.35E-1,-1.98E1)); +#55906=VERTEX_POINT('',#55905); +#55907=CARTESIAN_POINT('',(2.463700003242E1,1.35E-1,-1.98E1)); +#55908=VERTEX_POINT('',#55907); +#55909=CARTESIAN_POINT('',(2.4518E1,9.882979154587E-2,-1.98E1)); +#55910=VERTEX_POINT('',#55909); +#55911=CARTESIAN_POINT('',(2.444999996758E1,2.648937463760E-2,-1.98E1)); +#55912=VERTEX_POINT('',#55911); +#55913=CARTESIAN_POINT('',(2.438199998379E1,-1.181914591789E-1,-1.98E1)); +#55914=VERTEX_POINT('',#55913); +#55915=CARTESIAN_POINT('',(2.4365E1,-2.628722929955E-1,-1.98E1)); +#55916=VERTEX_POINT('',#55915); +#55917=CARTESIAN_POINT('',(2.444999996758E1,-2.628722929955E-1,-1.98E1)); +#55918=VERTEX_POINT('',#55917); +#55919=CARTESIAN_POINT('',(2.448400003242E1,-1.543616676331E-1,-1.98E1)); +#55920=VERTEX_POINT('',#55919); +#55921=CARTESIAN_POINT('',(2.453500003242E1,-8.202125072479E-2,-1.98E1)); +#55922=VERTEX_POINT('',#55921); +#55923=CARTESIAN_POINT('',(2.462E1,-4.585104227066E-2,-1.98E1)); +#55924=VERTEX_POINT('',#55923); +#55925=CARTESIAN_POINT('',(2.468800003242E1,-4.585104227066E-2,-1.98E1)); +#55926=VERTEX_POINT('',#55925); +#55927=CARTESIAN_POINT('',(2.4773E1,-8.202125072479E-2,-1.98E1)); +#55928=VERTEX_POINT('',#55927); +#55929=CARTESIAN_POINT('',(2.4824E1,-1.543616676331E-1,-1.98E1)); +#55930=VERTEX_POINT('',#55929); +#55931=CARTESIAN_POINT('',(2.485799996758E1,-2.628722929955E-1,-1.98E1)); +#55932=VERTEX_POINT('',#55931); +#55933=CARTESIAN_POINT('',(2.485799996758E1,-3.352128112316E-1,-1.98E1)); +#55934=VERTEX_POINT('',#55933); +#55935=CARTESIAN_POINT('',(2.4824E1,-4.798936450481E-1,-1.98E1)); +#55936=VERTEX_POINT('',#55935); +#55937=CARTESIAN_POINT('',(2.479000003242E1,-5.522340619564E-1,-1.98E1)); +#55938=VERTEX_POINT('',#55937); +#55939=CARTESIAN_POINT('',(2.468800003242E1,-6.245744788647E-1,-1.98E1)); +#55940=VERTEX_POINT('',#55939); +#55941=CARTESIAN_POINT('',(2.462E1,-6.245744788647E-1,-1.98E1)); +#55942=VERTEX_POINT('',#55941); +#55943=CARTESIAN_POINT('',(2.462E1,-7.692553126812E-1,-1.98E1)); +#55944=VERTEX_POINT('',#55943); +#55945=CARTESIAN_POINT('',(2.468800003242E1,-7.692553126812E-1,-1.98E1)); +#55946=VERTEX_POINT('',#55945); +#55947=CARTESIAN_POINT('',(2.479000003242E1,-8.054255211353E-1,-1.98E1)); +#55948=VERTEX_POINT('',#55947); +#55949=CARTESIAN_POINT('',(2.484100003242E1,-8.777659380436E-1,-1.98E1)); +#55950=VERTEX_POINT('',#55949); +#55951=CARTESIAN_POINT('',(2.4875E1,-1.022446822524E0,-1.98E1)); +#55952=VERTEX_POINT('',#55951); +#55953=CARTESIAN_POINT('',(2.4875E1,-1.167127656341E0,-1.98E1)); +#55954=VERTEX_POINT('',#55953); +#55955=CARTESIAN_POINT('',(2.485799996758E1,-1.239468073249E0,-1.98E1)); +#55956=VERTEX_POINT('',#55955); +#55957=CARTESIAN_POINT('',(2.4824E1,-1.311808515489E0,-1.98E1)); +#55958=VERTEX_POINT('',#55957); +#55959=CARTESIAN_POINT('',(2.479000003242E1,-1.347978723943E0,-1.98E1)); +#55960=VERTEX_POINT('',#55959); +#55961=CARTESIAN_POINT('',(2.470499996758E1,-1.384148932397E0,-1.98E1)); +#55962=VERTEX_POINT('',#55961); +#55963=CARTESIAN_POINT('',(2.460299996758E1,-1.384148932397E0,-1.98E1)); +#55964=VERTEX_POINT('',#55963); +#55965=CARTESIAN_POINT('',(2.4518E1,-1.347978723943E0,-1.98E1)); +#55966=VERTEX_POINT('',#55965); +#55967=CARTESIAN_POINT('',(2.448400003242E1,-1.311808515489E0,-1.98E1)); +#55968=VERTEX_POINT('',#55967); +#55969=CARTESIAN_POINT('',(2.444999996758E1,-1.239468073249E0,-1.98E1)); +#55970=VERTEX_POINT('',#55969); +#55971=CARTESIAN_POINT('',(2.443300003242E1,-1.167127656341E0,-1.98E1)); +#55972=VERTEX_POINT('',#55971); +#55973=CARTESIAN_POINT('',(2.434800001621E1,-1.167127656341E0,-1.98E1)); +#55974=VERTEX_POINT('',#55973); +#55975=CARTESIAN_POINT('',(2.4365E1,-1.275638307035E0,-1.98E1)); +#55976=VERTEX_POINT('',#55975); +#55977=CARTESIAN_POINT('',(2.439900001621E1,-1.384148932397E0,-1.98E1)); +#55978=VERTEX_POINT('',#55977); +#55979=CARTESIAN_POINT('',(2.444999996758E1,-1.456489361972E0,-1.98E1)); +#55980=VERTEX_POINT('',#55979); +#55981=CARTESIAN_POINT('',(2.4518E1,-1.528829788379E0,-1.98E1)); +#55982=VERTEX_POINT('',#55981); +#55983=CARTESIAN_POINT('',(2.458600003242E1,-1.565E0,-1.98E1)); +#55984=VERTEX_POINT('',#55983); +#55985=CARTESIAN_POINT('',(2.4722E1,-1.565E0,-1.98E1)); +#55986=VERTEX_POINT('',#55985); +#55987=CARTESIAN_POINT('',(2.479000003242E1,-1.528829788379E0,-1.98E1)); +#55988=VERTEX_POINT('',#55987); +#55989=CARTESIAN_POINT('',(2.485799996758E1,-1.456489361972E0,-1.98E1)); +#55990=VERTEX_POINT('',#55989); +#55991=CARTESIAN_POINT('',(4.946943749593E1,-6.457446694374E-1,-1.98E1)); +#55992=CARTESIAN_POINT('',(4.953768750407E1,-5.308510661125E-1,-1.98E1)); +#55993=VERTEX_POINT('',#55991); +#55994=VERTEX_POINT('',#55992); +#55995=CARTESIAN_POINT('',(4.96059375E1,-4.925531983376E-1,-1.98E1)); +#55996=VERTEX_POINT('',#55995); +#55997=CARTESIAN_POINT('',(4.97083125E1,-4.925531983376E-1,-1.98E1)); +#55998=VERTEX_POINT('',#55997); +#55999=CARTESIAN_POINT('',(4.977656248373E1,-5.308510661125E-1,-1.98E1)); +#56000=VERTEX_POINT('',#55999); +#56001=CARTESIAN_POINT('',(4.982774998373E1,-6.074468016624E-1,-1.98E1)); +#56002=VERTEX_POINT('',#56001); +#56003=CARTESIAN_POINT('',(4.9861875E1,-7.223404049873E-1,-1.98E1)); +#56004=VERTEX_POINT('',#56003); +#56005=CARTESIAN_POINT('',(4.987893748373E1,-8.372340619564E-1,-1.98E1)); +#56006=VERTEX_POINT('',#56005); +#56007=CARTESIAN_POINT('',(4.987893748373E1,-9.138297975063E-1,-1.98E1)); +#56008=VERTEX_POINT('',#56007); +#56009=CARTESIAN_POINT('',(4.9861875E1,-1.028723400831E0,-1.98E1)); +#56010=VERTEX_POINT('',#56009); +#56011=CARTESIAN_POINT('',(4.982774998373E1,-1.143617030978E0,-1.98E1)); +#56012=VERTEX_POINT('',#56011); +#56013=CARTESIAN_POINT('',(4.977656248373E1,-1.220212766528E0,-1.98E1)); +#56014=VERTEX_POINT('',#56013); +#56015=CARTESIAN_POINT('',(4.97083125E1,-1.258510634303E0,-1.98E1)); +#56016=VERTEX_POINT('',#56015); +#56017=CARTESIAN_POINT('',(4.96059375E1,-1.258510634303E0,-1.98E1)); +#56018=VERTEX_POINT('',#56017); +#56019=CARTESIAN_POINT('',(4.953768750407E1,-1.220212766528E0,-1.98E1)); +#56020=VERTEX_POINT('',#56019); +#56021=CARTESIAN_POINT('',(4.948650000407E1,-1.143617030978E0,-1.98E1)); +#56022=VERTEX_POINT('',#56021); +#56023=CARTESIAN_POINT('',(4.9452375E1,-9.904255330563E-1,-1.98E1)); +#56024=VERTEX_POINT('',#56023); +#56025=CARTESIAN_POINT('',(4.935E1,-9.904255330563E-1,-1.98E1)); +#56026=VERTEX_POINT('',#56025); +#56027=CARTESIAN_POINT('',(4.938412500102E1,-1.143617030978E0,-1.98E1)); +#56028=VERTEX_POINT('',#56027); +#56029=CARTESIAN_POINT('',(4.943531249797E1,-1.296808515489E0,-1.98E1)); +#56030=VERTEX_POINT('',#56029); +#56031=CARTESIAN_POINT('',(4.952062499593E1,-1.411702128872E0,-1.98E1)); +#56032=VERTEX_POINT('',#56031); +#56033=CARTESIAN_POINT('',(4.96059375E1,-1.45E0,-1.98E1)); +#56034=VERTEX_POINT('',#56033); +#56035=CARTESIAN_POINT('',(4.97083125E1,-1.45E0,-1.98E1)); +#56036=VERTEX_POINT('',#56035); +#56037=CARTESIAN_POINT('',(4.979362501627E1,-1.411702128872E0,-1.98E1)); +#56038=VERTEX_POINT('',#56037); +#56039=CARTESIAN_POINT('',(4.987893748373E1,-1.296808515489E0,-1.98E1)); +#56040=VERTEX_POINT('',#56039); +#56041=CARTESIAN_POINT('',(4.993012498373E1,-1.143617030978E0,-1.98E1)); +#56042=VERTEX_POINT('',#56041); +#56043=CARTESIAN_POINT('',(4.996425E1,-9.521276652813E-1,-1.98E1)); +#56044=VERTEX_POINT('',#56043); +#56045=CARTESIAN_POINT('',(4.996425E1,-7.989361941814E-1,-1.98E1)); +#56046=VERTEX_POINT('',#56045); +#56047=CARTESIAN_POINT('',(4.993012498373E1,-6.074468016624E-1,-1.98E1)); +#56048=VERTEX_POINT('',#56047); +#56049=CARTESIAN_POINT('',(4.987893748373E1,-4.542553305626E-1,-1.98E1)); +#56050=VERTEX_POINT('',#56049); +#56051=CARTESIAN_POINT('',(4.979362501627E1,-3.393617272377E-1,-1.98E1)); +#56052=VERTEX_POINT('',#56051); +#56053=CARTESIAN_POINT('',(4.97083125E1,-3.010638594627E-1,-1.98E1)); +#56054=VERTEX_POINT('',#56053); +#56055=CARTESIAN_POINT('',(4.96059375E1,-3.010638594627E-1,-1.98E1)); +#56056=VERTEX_POINT('',#56055); +#56057=CARTESIAN_POINT('',(4.952062499593E1,-3.393617272377E-1,-1.98E1)); +#56058=VERTEX_POINT('',#56057); +#56059=CARTESIAN_POINT('',(4.943531249797E1,-4.542553305626E-1,-1.98E1)); +#56060=VERTEX_POINT('',#56059); +#56061=CARTESIAN_POINT('',(4.943531249797E1,1.585106611252E-1,-1.98E1)); +#56062=VERTEX_POINT('',#56061); +#56063=CARTESIAN_POINT('',(4.993012498373E1,1.585106611252E-1,-1.98E1)); +#56064=VERTEX_POINT('',#56063); +#56065=CARTESIAN_POINT('',(4.993012498373E1,3.5E-1,-1.98E1)); +#56066=VERTEX_POINT('',#56065); +#56067=CARTESIAN_POINT('',(4.935E1,3.5E-1,-1.98E1)); +#56068=VERTEX_POINT('',#56067); +#56069=CARTESIAN_POINT('',(4.935E1,-6.457446694374E-1,-1.98E1)); +#56070=VERTEX_POINT('',#56069); +#56071=CARTESIAN_POINT('',(5.013487498373E1,-1.028723400831E0,-1.98E1)); +#56072=CARTESIAN_POINT('',(5.015193751627E1,-1.143617030978E0,-1.98E1)); +#56073=VERTEX_POINT('',#56071); +#56074=VERTEX_POINT('',#56072); +#56075=CARTESIAN_POINT('',(5.020312496746E1,-1.296808515489E0,-1.98E1)); +#56076=VERTEX_POINT('',#56075); +#56077=CARTESIAN_POINT('',(5.028843753254E1,-1.411702128872E0,-1.98E1)); +#56078=VERTEX_POINT('',#56077); +#56079=CARTESIAN_POINT('',(5.037375E1,-1.45E0,-1.98E1)); +#56080=VERTEX_POINT('',#56079); +#56081=CARTESIAN_POINT('',(5.044200003254E1,-1.45E0,-1.98E1)); +#56082=VERTEX_POINT('',#56081); +#56083=CARTESIAN_POINT('',(5.05273125E1,-1.411702128872E0,-1.98E1)); +#56084=VERTEX_POINT('',#56083); +#56085=CARTESIAN_POINT('',(5.061262496746E1,-1.296808515489E0,-1.98E1)); +#56086=VERTEX_POINT('',#56085); +#56087=CARTESIAN_POINT('',(5.066381246746E1,-1.143617030978E0,-1.98E1)); +#56088=VERTEX_POINT('',#56087); +#56089=CARTESIAN_POINT('',(5.069793753254E1,-9.521276652813E-1,-1.98E1)); +#56090=VERTEX_POINT('',#56089); +#56091=CARTESIAN_POINT('',(5.071499996746E1,-6.840425372124E-1,-1.98E1)); +#56092=VERTEX_POINT('',#56091); +#56093=CARTESIAN_POINT('',(5.071499996746E1,-4.159574627876E-1,-1.98E1)); +#56094=VERTEX_POINT('',#56093); +#56095=CARTESIAN_POINT('',(5.069793753254E1,-1.478723883629E-1,-1.98E1)); +#56096=VERTEX_POINT('',#56095); +#56097=CARTESIAN_POINT('',(5.066381246746E1,4.361705780029E-2,-1.98E1)); +#56098=VERTEX_POINT('',#56097); +#56099=CARTESIAN_POINT('',(5.061262496746E1,1.968085289001E-1,-1.98E1)); +#56100=VERTEX_POINT('',#56099); +#56101=CARTESIAN_POINT('',(5.05273125E1,3.117021322250E-1,-1.98E1)); +#56102=VERTEX_POINT('',#56101); +#56103=CARTESIAN_POINT('',(5.044200003254E1,3.5E-1,-1.98E1)); +#56104=VERTEX_POINT('',#56103); +#56105=CARTESIAN_POINT('',(5.035668746746E1,3.5E-1,-1.98E1)); +#56106=VERTEX_POINT('',#56105); +#56107=CARTESIAN_POINT('',(5.0271375E1,3.117021322250E-1,-1.98E1)); +#56108=VERTEX_POINT('',#56107); +#56109=CARTESIAN_POINT('',(5.018606253254E1,1.968085289001E-1,-1.98E1)); +#56110=VERTEX_POINT('',#56109); +#56111=CARTESIAN_POINT('',(5.013487498373E1,4.361705780029E-2,-1.98E1)); +#56112=VERTEX_POINT('',#56111); +#56113=CARTESIAN_POINT('',(5.010075001627E1,-1.478723883629E-1,-1.98E1)); +#56114=VERTEX_POINT('',#56113); +#56115=CARTESIAN_POINT('',(5.010075001627E1,-3.010638594627E-1,-1.98E1)); +#56116=VERTEX_POINT('',#56115); +#56117=CARTESIAN_POINT('',(5.013487498373E1,-4.925531983376E-1,-1.98E1)); +#56118=VERTEX_POINT('',#56117); +#56119=CARTESIAN_POINT('',(5.018606253254E1,-6.457446694374E-1,-1.98E1)); +#56120=VERTEX_POINT('',#56119); +#56121=CARTESIAN_POINT('',(5.0271375E1,-7.606382727623E-1,-1.98E1)); +#56122=VERTEX_POINT('',#56121); +#56123=CARTESIAN_POINT('',(5.035668746746E1,-7.989361941814E-1,-1.98E1)); +#56124=VERTEX_POINT('',#56123); +#56125=CARTESIAN_POINT('',(5.044200003254E1,-7.989361941814E-1,-1.98E1)); +#56126=VERTEX_POINT('',#56125); +#56127=CARTESIAN_POINT('',(5.05273125E1,-7.606382727623E-1,-1.98E1)); +#56128=VERTEX_POINT('',#56127); +#56129=CARTESIAN_POINT('',(5.06296875E1,-6.074468016624E-1,-1.98E1)); +#56130=VERTEX_POINT('',#56129); +#56131=CARTESIAN_POINT('',(5.06296875E1,-6.840425372124E-1,-1.98E1)); +#56132=VERTEX_POINT('',#56131); +#56133=CARTESIAN_POINT('',(5.061262496746E1,-9.138297975063E-1,-1.98E1)); +#56134=VERTEX_POINT('',#56133); +#56135=CARTESIAN_POINT('',(5.059556253254E1,-1.028723400831E0,-1.98E1)); +#56136=VERTEX_POINT('',#56135); +#56137=CARTESIAN_POINT('',(5.056143746746E1,-1.143617030978E0,-1.98E1)); +#56138=VERTEX_POINT('',#56137); +#56139=CARTESIAN_POINT('',(5.051024996746E1,-1.220212766528E0,-1.98E1)); +#56140=VERTEX_POINT('',#56139); +#56141=CARTESIAN_POINT('',(5.045906246746E1,-1.258510634303E0,-1.98E1)); +#56142=VERTEX_POINT('',#56141); +#56143=CARTESIAN_POINT('',(5.035668746746E1,-1.258510634303E0,-1.98E1)); +#56144=VERTEX_POINT('',#56143); +#56145=CARTESIAN_POINT('',(5.030549996746E1,-1.220212766528E0,-1.98E1)); +#56146=VERTEX_POINT('',#56145); +#56147=CARTESIAN_POINT('',(5.025431246746E1,-1.143617030978E0,-1.98E1)); +#56148=VERTEX_POINT('',#56147); +#56149=CARTESIAN_POINT('',(5.02201875E1,-1.028723400831E0,-1.98E1)); +#56150=VERTEX_POINT('',#56149); +#56151=CARTESIAN_POINT('',(5.061262496746E1,-2.627659916878E-1,-1.98E1)); +#56152=CARTESIAN_POINT('',(5.059556253254E1,-3.776595950127E-1,-1.98E1)); +#56153=VERTEX_POINT('',#56151); +#56154=VERTEX_POINT('',#56152); +#56155=CARTESIAN_POINT('',(5.056143746746E1,-4.925531983376E-1,-1.98E1)); +#56156=VERTEX_POINT('',#56155); +#56157=CARTESIAN_POINT('',(5.051024996746E1,-5.691489338875E-1,-1.98E1)); +#56158=VERTEX_POINT('',#56157); +#56159=CARTESIAN_POINT('',(5.045906246746E1,-6.074468016624E-1,-1.98E1)); +#56160=VERTEX_POINT('',#56159); +#56161=CARTESIAN_POINT('',(5.033962503254E1,-6.074468016624E-1,-1.98E1)); +#56162=VERTEX_POINT('',#56161); +#56163=CARTESIAN_POINT('',(5.028843753254E1,-5.691489338875E-1,-1.98E1)); +#56164=VERTEX_POINT('',#56163); +#56165=CARTESIAN_POINT('',(5.023725003254E1,-4.925531983376E-1,-1.98E1)); +#56166=VERTEX_POINT('',#56165); +#56167=CARTESIAN_POINT('',(5.020312496746E1,-3.776595950127E-1,-1.98E1)); +#56168=VERTEX_POINT('',#56167); +#56169=CARTESIAN_POINT('',(5.018606253254E1,-2.627659916878E-1,-1.98E1)); +#56170=VERTEX_POINT('',#56169); +#56171=CARTESIAN_POINT('',(5.018606253254E1,-1.861702561378E-1,-1.98E1)); +#56172=VERTEX_POINT('',#56171); +#56173=CARTESIAN_POINT('',(5.020312496746E1,-7.127654552460E-2,-1.98E1)); +#56174=VERTEX_POINT('',#56173); +#56175=CARTESIAN_POINT('',(5.023725003254E1,4.361705780029E-2,-1.98E1)); +#56176=VERTEX_POINT('',#56175); +#56177=CARTESIAN_POINT('',(5.028843753254E1,1.202127933502E-1,-1.98E1)); +#56178=VERTEX_POINT('',#56177); +#56179=CARTESIAN_POINT('',(5.033962503254E1,1.585106611252E-1,-1.98E1)); +#56180=VERTEX_POINT('',#56179); +#56181=CARTESIAN_POINT('',(5.045906246746E1,1.585106611252E-1,-1.98E1)); +#56182=VERTEX_POINT('',#56181); +#56183=CARTESIAN_POINT('',(5.051024996746E1,1.202127933502E-1,-1.98E1)); +#56184=VERTEX_POINT('',#56183); +#56185=CARTESIAN_POINT('',(5.056143746746E1,4.361705780029E-2,-1.98E1)); +#56186=VERTEX_POINT('',#56185); +#56187=CARTESIAN_POINT('',(5.059556253254E1,-7.127654552460E-2,-1.98E1)); +#56188=VERTEX_POINT('',#56187); +#56189=CARTESIAN_POINT('',(5.061262496746E1,-1.861702561378E-1,-1.98E1)); +#56190=VERTEX_POINT('',#56189); +#56191=CARTESIAN_POINT('',(6.198E0,-1.47E0,-1.995E1)); +#56192=CARTESIAN_POINT('',(6.198E0,2.3E-1,-1.995E1)); +#56193=VERTEX_POINT('',#56191); +#56194=VERTEX_POINT('',#56192); +#56195=CARTESIAN_POINT('',(6.079E0,2.3E-1,-1.995E1)); +#56196=VERTEX_POINT('',#56195); +#56197=CARTESIAN_POINT('',(5.96E0,-2.319145917892E-2,-1.995E1)); +#56198=VERTEX_POINT('',#56197); +#56199=CARTESIAN_POINT('',(5.96E0,-3.125532281399E-1,-1.995E1)); +#56200=VERTEX_POINT('',#56199); +#56201=CARTESIAN_POINT('',(6.079E0,-5.936166763306E-2,-1.995E1)); +#56202=VERTEX_POINT('',#56201); +#56203=CARTESIAN_POINT('',(6.079E0,-1.47E0,-1.995E1)); +#56204=VERTEX_POINT('',#56203); +#56205=CARTESIAN_POINT('',(6.832666685581E0,2.3E-1,-1.995E1)); +#56206=CARTESIAN_POINT('',(6.356666657209E0,-7.465957295895E-1,-1.995E1)); +#56207=VERTEX_POINT('',#56205); +#56208=VERTEX_POINT('',#56206); +#56209=CARTESIAN_POINT('',(6.356666657209E0,-9.636170309782E-1,-1.995E1)); +#56210=VERTEX_POINT('',#56209); +#56211=CARTESIAN_POINT('',(6.832666685581E0,-9.636170309782E-1,-1.995E1)); +#56212=VERTEX_POINT('',#56211); +#56213=CARTESIAN_POINT('',(6.832666685581E0,-1.47E0,-1.995E1)); +#56214=VERTEX_POINT('',#56213); +#56215=CARTESIAN_POINT('',(6.951666628838E0,-1.47E0,-1.995E1)); +#56216=VERTEX_POINT('',#56215); +#56217=CARTESIAN_POINT('',(6.951666628838E0,-9.636170309782E-1,-1.995E1)); +#56218=VERTEX_POINT('',#56217); +#56219=CARTESIAN_POINT('',(7.15E0,-9.636170309782E-1,-1.995E1)); +#56220=VERTEX_POINT('',#56219); +#56221=CARTESIAN_POINT('',(7.15E0,-7.465957295895E-1,-1.995E1)); +#56222=VERTEX_POINT('',#56221); +#56223=CARTESIAN_POINT('',(6.951666628838E0,-7.465957295895E-1,-1.995E1)); +#56224=VERTEX_POINT('',#56223); +#56225=CARTESIAN_POINT('',(6.951666628838E0,2.3E-1,-1.995E1)); +#56226=VERTEX_POINT('',#56225); +#56227=CARTESIAN_POINT('',(6.4955E0,-7.465957295895E-1,-1.995E1)); +#56228=CARTESIAN_POINT('',(6.832666685581E0,-5.936166763306E-2,-1.995E1)); +#56229=VERTEX_POINT('',#56227); +#56230=VERTEX_POINT('',#56228); +#56231=CARTESIAN_POINT('',(6.832666685581E0,-7.465957295895E-1,-1.995E1)); +#56232=VERTEX_POINT('',#56231); +#56233=CARTESIAN_POINT('',(9.9E0,7.427659618855E-1,-1.995E1)); +#56234=CARTESIAN_POINT('',(9.9E0,5.3E-1,-1.995E1)); +#56235=VERTEX_POINT('',#56233); +#56236=VERTEX_POINT('',#56234); +#56237=CARTESIAN_POINT('',(1.047E1,5.3E-1,-1.995E1)); +#56238=VERTEX_POINT('',#56237); +#56239=CARTESIAN_POINT('',(1.047E1,7.427659618855E-1,-1.995E1)); +#56240=VERTEX_POINT('',#56239); +#56241=CARTESIAN_POINT('',(1.002666667044E1,7.427659618855E-1,-1.995E1)); +#56242=VERTEX_POINT('',#56241); +#56243=CARTESIAN_POINT('',(1.04225E1,1.678936152458E0,-1.995E1)); +#56244=VERTEX_POINT('',#56243); +#56245=CARTESIAN_POINT('',(1.045416668177E1,1.806595711708E0,-1.995E1)); +#56246=VERTEX_POINT('',#56245); +#56247=CARTESIAN_POINT('',(1.047E1,1.976808457375E0,-1.995E1)); +#56248=VERTEX_POINT('',#56247); +#56249=CARTESIAN_POINT('',(1.047E1,2.061914949417E0,-1.995E1)); +#56250=VERTEX_POINT('',#56249); +#56251=CARTESIAN_POINT('',(1.045416668177E1,2.232127695084E0,-1.995E1)); +#56252=VERTEX_POINT('',#56251); +#56253=CARTESIAN_POINT('',(1.039083331823E1,2.402340440750E0,-1.995E1)); +#56254=VERTEX_POINT('',#56253); +#56255=CARTESIAN_POINT('',(1.03275E1,2.487446813583E0,-1.995E1)); +#56256=VERTEX_POINT('',#56255); +#56257=CARTESIAN_POINT('',(1.02325E1,2.53E0,-1.995E1)); +#56258=VERTEX_POINT('',#56257); +#56259=CARTESIAN_POINT('',(1.016916665912E1,2.53E0,-1.995E1)); +#56260=VERTEX_POINT('',#56259); +#56261=CARTESIAN_POINT('',(1.005833332956E1,2.487446813583E0,-1.995E1)); +#56262=VERTEX_POINT('',#56261); +#56263=CARTESIAN_POINT('',(9.995E0,2.402340440750E0,-1.995E1)); +#56264=VERTEX_POINT('',#56263); +#56265=CARTESIAN_POINT('',(9.931666667610E0,2.232127695084E0,-1.995E1)); +#56266=VERTEX_POINT('',#56265); +#56267=CARTESIAN_POINT('',(9.915833333805E0,2.061914949417E0,-1.995E1)); +#56268=VERTEX_POINT('',#56267); +#56269=CARTESIAN_POINT('',(9.995E0,2.061914949417E0,-1.995E1)); +#56270=VERTEX_POINT('',#56269); +#56271=CARTESIAN_POINT('',(1.002666667044E1,2.189574508667E0,-1.995E1)); +#56272=VERTEX_POINT('',#56271); +#56273=CARTESIAN_POINT('',(1.007416667044E1,2.274680881500E0,-1.995E1)); +#56274=VERTEX_POINT('',#56273); +#56275=CARTESIAN_POINT('',(1.015333334088E1,2.317234067917E0,-1.995E1)); +#56276=VERTEX_POINT('',#56275); +#56277=CARTESIAN_POINT('',(1.021666665912E1,2.317234067917E0,-1.995E1)); +#56278=VERTEX_POINT('',#56277); +#56279=CARTESIAN_POINT('',(1.029583331823E1,2.274680881500E0,-1.995E1)); +#56280=VERTEX_POINT('',#56279); +#56281=CARTESIAN_POINT('',(1.034333331823E1,2.189574508667E0,-1.995E1)); +#56282=VERTEX_POINT('',#56281); +#56283=CARTESIAN_POINT('',(1.0375E1,2.061914949417E0,-1.995E1)); +#56284=VERTEX_POINT('',#56283); +#56285=CARTESIAN_POINT('',(1.0375E1,1.976808457375E0,-1.995E1)); +#56286=VERTEX_POINT('',#56285); +#56287=CARTESIAN_POINT('',(1.034333331823E1,1.806595711708E0,-1.995E1)); +#56288=VERTEX_POINT('',#56287); +#56289=CARTESIAN_POINT('',(1.1135E1,1.508723406792E0,-1.995E1)); +#56290=CARTESIAN_POINT('',(1.10875E1,1.636382966042E0,-1.995E1)); +#56291=VERTEX_POINT('',#56289); +#56292=VERTEX_POINT('',#56290); +#56293=CARTESIAN_POINT('',(1.100833336353E1,1.764042525291E0,-1.995E1)); +#56294=VERTEX_POINT('',#56293); +#56295=CARTESIAN_POINT('',(1.092916663647E1,1.806595711708E0,-1.995E1)); +#56296=VERTEX_POINT('',#56295); +#56297=CARTESIAN_POINT('',(1.085E1,1.806595711708E0,-1.995E1)); +#56298=VERTEX_POINT('',#56297); +#56299=CARTESIAN_POINT('',(1.077083336353E1,1.764042525291E0,-1.995E1)); +#56300=VERTEX_POINT('',#56299); +#56301=CARTESIAN_POINT('',(1.067583336353E1,1.593829779625E0,-1.995E1)); +#56302=VERTEX_POINT('',#56301); +#56303=CARTESIAN_POINT('',(1.067583336353E1,1.678936152458E0,-1.995E1)); +#56304=VERTEX_POINT('',#56303); +#56305=CARTESIAN_POINT('',(1.069166663647E1,1.934255270958E0,-1.995E1)); +#56306=VERTEX_POINT('',#56305); +#56307=CARTESIAN_POINT('',(1.07075E1,2.061914949417E0,-1.995E1)); +#56308=VERTEX_POINT('',#56307); +#56309=CARTESIAN_POINT('',(1.073916663647E1,2.189574508667E0,-1.995E1)); +#56310=VERTEX_POINT('',#56309); +#56311=CARTESIAN_POINT('',(1.078666663647E1,2.274680881500E0,-1.995E1)); +#56312=VERTEX_POINT('',#56311); +#56313=CARTESIAN_POINT('',(1.083416663647E1,2.317234067917E0,-1.995E1)); +#56314=VERTEX_POINT('',#56313); +#56315=CARTESIAN_POINT('',(1.092916663647E1,2.317234067917E0,-1.995E1)); +#56316=VERTEX_POINT('',#56315); +#56317=CARTESIAN_POINT('',(1.097666663647E1,2.274680881500E0,-1.995E1)); +#56318=VERTEX_POINT('',#56317); +#56319=CARTESIAN_POINT('',(1.102416663647E1,2.189574508667E0,-1.995E1)); +#56320=VERTEX_POINT('',#56319); +#56321=CARTESIAN_POINT('',(1.105583336353E1,2.061914949417E0,-1.995E1)); +#56322=VERTEX_POINT('',#56321); +#56323=CARTESIAN_POINT('',(1.1135E1,2.061914949417E0,-1.995E1)); +#56324=VERTEX_POINT('',#56323); +#56325=CARTESIAN_POINT('',(1.111916663647E1,2.189574508667E0,-1.995E1)); +#56326=VERTEX_POINT('',#56325); +#56327=CARTESIAN_POINT('',(1.107166663647E1,2.359787254333E0,-1.995E1)); +#56328=VERTEX_POINT('',#56327); +#56329=CARTESIAN_POINT('',(1.09925E1,2.487446813583E0,-1.995E1)); +#56330=VERTEX_POINT('',#56329); +#56331=CARTESIAN_POINT('',(1.091333336353E1,2.53E0,-1.995E1)); +#56332=VERTEX_POINT('',#56331); +#56333=CARTESIAN_POINT('',(1.085E1,2.53E0,-1.995E1)); +#56334=VERTEX_POINT('',#56333); +#56335=CARTESIAN_POINT('',(1.077083336353E1,2.487446813583E0,-1.995E1)); +#56336=VERTEX_POINT('',#56335); +#56337=CARTESIAN_POINT('',(1.069166663647E1,2.359787254333E0,-1.995E1)); +#56338=VERTEX_POINT('',#56337); +#56339=CARTESIAN_POINT('',(1.064416668177E1,2.189574508667E0,-1.995E1)); +#56340=VERTEX_POINT('',#56339); +#56341=CARTESIAN_POINT('',(1.06125E1,1.976808457375E0,-1.995E1)); +#56342=VERTEX_POINT('',#56341); +#56343=CARTESIAN_POINT('',(1.059666668177E1,1.678936152458E0,-1.995E1)); +#56344=VERTEX_POINT('',#56343); +#56345=CARTESIAN_POINT('',(1.059666668177E1,1.381063847542E0,-1.995E1)); +#56346=VERTEX_POINT('',#56345); +#56347=CARTESIAN_POINT('',(1.06125E1,1.083191483021E0,-1.995E1)); +#56348=VERTEX_POINT('',#56347); +#56349=CARTESIAN_POINT('',(1.064416668177E1,8.704255211353E-1,-1.995E1)); +#56350=VERTEX_POINT('',#56349); +#56351=CARTESIAN_POINT('',(1.069166663647E1,7.002127605677E-1,-1.995E1)); +#56352=VERTEX_POINT('',#56351); +#56353=CARTESIAN_POINT('',(1.077083336353E1,5.725531901419E-1,-1.995E1)); +#56354=VERTEX_POINT('',#56353); +#56355=CARTESIAN_POINT('',(1.085E1,5.3E-1,-1.995E1)); +#56356=VERTEX_POINT('',#56355); +#56357=CARTESIAN_POINT('',(1.092916663647E1,5.3E-1,-1.995E1)); +#56358=VERTEX_POINT('',#56357); +#56359=CARTESIAN_POINT('',(1.100833336353E1,5.725531901419E-1,-1.995E1)); +#56360=VERTEX_POINT('',#56359); +#56361=CARTESIAN_POINT('',(1.10875E1,7.002127605677E-1,-1.995E1)); +#56362=VERTEX_POINT('',#56361); +#56363=CARTESIAN_POINT('',(1.1135E1,8.704255211353E-1,-1.995E1)); +#56364=VERTEX_POINT('',#56363); +#56365=CARTESIAN_POINT('',(1.116666663647E1,1.083191483021E0,-1.995E1)); +#56366=VERTEX_POINT('',#56365); +#56367=CARTESIAN_POINT('',(1.116666663647E1,1.295957474709E0,-1.995E1)); +#56368=VERTEX_POINT('',#56367); +#56369=CARTESIAN_POINT('',(1.107166663647E1,1.381063847542E0,-1.995E1)); +#56370=CARTESIAN_POINT('',(1.10875E1,1.253404228687E0,-1.995E1)); +#56371=VERTEX_POINT('',#56369); +#56372=VERTEX_POINT('',#56370); +#56373=CARTESIAN_POINT('',(1.10875E1,1.125744669437E0,-1.995E1)); +#56374=VERTEX_POINT('',#56373); +#56375=CARTESIAN_POINT('',(1.107166663647E1,9.980851101875E-1,-1.995E1)); +#56376=VERTEX_POINT('',#56375); +#56377=CARTESIAN_POINT('',(1.104E1,8.704255211353E-1,-1.995E1)); +#56378=VERTEX_POINT('',#56377); +#56379=CARTESIAN_POINT('',(1.09925E1,7.853191483021E-1,-1.995E1)); +#56380=VERTEX_POINT('',#56379); +#56381=CARTESIAN_POINT('',(1.0945E1,7.427659618855E-1,-1.995E1)); +#56382=VERTEX_POINT('',#56381); +#56383=CARTESIAN_POINT('',(1.083416663647E1,7.427659618855E-1,-1.995E1)); +#56384=VERTEX_POINT('',#56383); +#56385=CARTESIAN_POINT('',(1.078666663647E1,7.853191483021E-1,-1.995E1)); +#56386=VERTEX_POINT('',#56385); +#56387=CARTESIAN_POINT('',(1.073916663647E1,8.704255211353E-1,-1.995E1)); +#56388=VERTEX_POINT('',#56387); +#56389=CARTESIAN_POINT('',(1.07075E1,9.980851101875E-1,-1.995E1)); +#56390=VERTEX_POINT('',#56389); +#56391=CARTESIAN_POINT('',(1.069166663647E1,1.125744669437E0,-1.995E1)); +#56392=VERTEX_POINT('',#56391); +#56393=CARTESIAN_POINT('',(1.069166663647E1,1.253404228687E0,-1.995E1)); +#56394=VERTEX_POINT('',#56393); +#56395=CARTESIAN_POINT('',(1.07075E1,1.381063847542E0,-1.995E1)); +#56396=VERTEX_POINT('',#56395); +#56397=CARTESIAN_POINT('',(1.073916663647E1,1.466170220375E0,-1.995E1)); +#56398=VERTEX_POINT('',#56397); +#56399=CARTESIAN_POINT('',(1.078666663647E1,1.551276593208E0,-1.995E1)); +#56400=VERTEX_POINT('',#56399); +#56401=CARTESIAN_POINT('',(1.083416663647E1,1.593829779625E0,-1.995E1)); +#56402=VERTEX_POINT('',#56401); +#56403=CARTESIAN_POINT('',(1.0945E1,1.593829779625E0,-1.995E1)); +#56404=VERTEX_POINT('',#56403); +#56405=CARTESIAN_POINT('',(1.09925E1,1.551276593208E0,-1.995E1)); +#56406=VERTEX_POINT('',#56405); +#56407=CARTESIAN_POINT('',(1.104E1,1.466170220375E0,-1.995E1)); +#56408=VERTEX_POINT('',#56407); +#56409=CARTESIAN_POINT('',(2.371899999595E1,-8.054255211353E-1,-1.995E1)); +#56410=CARTESIAN_POINT('',(2.378700000405E1,-6.969148957729E-1,-1.995E1)); +#56411=VERTEX_POINT('',#56409); +#56412=VERTEX_POINT('',#56410); +#56413=CARTESIAN_POINT('',(2.3855E1,-6.607446873188E-1,-1.995E1)); +#56414=VERTEX_POINT('',#56413); +#56415=CARTESIAN_POINT('',(2.3957E1,-6.607446873188E-1,-1.995E1)); +#56416=VERTEX_POINT('',#56415); +#56417=CARTESIAN_POINT('',(2.402499998379E1,-6.969148957729E-1,-1.995E1)); +#56418=VERTEX_POINT('',#56417); +#56419=CARTESIAN_POINT('',(2.407599998379E1,-7.692553126812E-1,-1.995E1)); +#56420=VERTEX_POINT('',#56419); +#56421=CARTESIAN_POINT('',(2.411E1,-8.777659380436E-1,-1.995E1)); +#56422=VERTEX_POINT('',#56421); +#56423=CARTESIAN_POINT('',(2.412699998379E1,-9.862766140699E-1,-1.995E1)); +#56424=VERTEX_POINT('',#56423); +#56425=CARTESIAN_POINT('',(2.412699998379E1,-1.058617030978E0,-1.995E1)); +#56426=VERTEX_POINT('',#56425); +#56427=CARTESIAN_POINT('',(2.411E1,-1.167127656341E0,-1.995E1)); +#56428=VERTEX_POINT('',#56427); +#56429=CARTESIAN_POINT('',(2.407599998379E1,-1.275638307035E0,-1.995E1)); +#56430=VERTEX_POINT('',#56429); +#56431=CARTESIAN_POINT('',(2.402499998379E1,-1.347978723943E0,-1.995E1)); +#56432=VERTEX_POINT('',#56431); +#56433=CARTESIAN_POINT('',(2.3957E1,-1.384148932397E0,-1.995E1)); +#56434=VERTEX_POINT('',#56433); +#56435=CARTESIAN_POINT('',(2.3855E1,-1.384148932397E0,-1.995E1)); +#56436=VERTEX_POINT('',#56435); +#56437=CARTESIAN_POINT('',(2.378700000405E1,-1.347978723943E0,-1.995E1)); +#56438=VERTEX_POINT('',#56437); +#56439=CARTESIAN_POINT('',(2.373600000405E1,-1.275638307035E0,-1.995E1)); +#56440=VERTEX_POINT('',#56439); +#56441=CARTESIAN_POINT('',(2.3702E1,-1.130957447886E0,-1.995E1)); +#56442=VERTEX_POINT('',#56441); +#56443=CARTESIAN_POINT('',(2.36E1,-1.130957447886E0,-1.995E1)); +#56444=VERTEX_POINT('',#56443); +#56445=CARTESIAN_POINT('',(2.363400000101E1,-1.275638307035E0,-1.995E1)); +#56446=VERTEX_POINT('',#56445); +#56447=CARTESIAN_POINT('',(2.368499999797E1,-1.420319153517E0,-1.995E1)); +#56448=VERTEX_POINT('',#56447); +#56449=CARTESIAN_POINT('',(2.376999999595E1,-1.528829788379E0,-1.995E1)); +#56450=VERTEX_POINT('',#56449); +#56451=CARTESIAN_POINT('',(2.3855E1,-1.565E0,-1.995E1)); +#56452=VERTEX_POINT('',#56451); +#56453=CARTESIAN_POINT('',(2.3957E1,-1.565E0,-1.995E1)); +#56454=VERTEX_POINT('',#56453); +#56455=CARTESIAN_POINT('',(2.404200001621E1,-1.528829788379E0,-1.995E1)); +#56456=VERTEX_POINT('',#56455); +#56457=CARTESIAN_POINT('',(2.412699998379E1,-1.420319153517E0,-1.995E1)); +#56458=VERTEX_POINT('',#56457); +#56459=CARTESIAN_POINT('',(2.417799998379E1,-1.275638307035E0,-1.995E1)); +#56460=VERTEX_POINT('',#56459); +#56461=CARTESIAN_POINT('',(2.4212E1,-1.094787239432E0,-1.995E1)); +#56462=VERTEX_POINT('',#56461); +#56463=CARTESIAN_POINT('',(2.4212E1,-9.501064056158E-1,-1.995E1)); +#56464=VERTEX_POINT('',#56463); +#56465=CARTESIAN_POINT('',(2.417799998379E1,-7.692553126812E-1,-1.995E1)); +#56466=VERTEX_POINT('',#56465); +#56467=CARTESIAN_POINT('',(2.412699998379E1,-6.245744788647E-1,-1.995E1)); +#56468=VERTEX_POINT('',#56467); +#56469=CARTESIAN_POINT('',(2.404200001621E1,-5.160638535023E-1,-1.995E1)); +#56470=VERTEX_POINT('',#56469); +#56471=CARTESIAN_POINT('',(2.3957E1,-4.798936450481E-1,-1.995E1)); +#56472=VERTEX_POINT('',#56471); +#56473=CARTESIAN_POINT('',(2.3855E1,-4.798936450481E-1,-1.995E1)); +#56474=VERTEX_POINT('',#56473); +#56475=CARTESIAN_POINT('',(2.376999999595E1,-5.160638535023E-1,-1.995E1)); +#56476=VERTEX_POINT('',#56475); +#56477=CARTESIAN_POINT('',(2.368499999797E1,-6.245744788647E-1,-1.995E1)); +#56478=VERTEX_POINT('',#56477); +#56479=CARTESIAN_POINT('',(2.368499999797E1,-4.585104227066E-2,-1.995E1)); +#56480=VERTEX_POINT('',#56479); +#56481=CARTESIAN_POINT('',(2.417799998379E1,-4.585104227066E-2,-1.995E1)); +#56482=VERTEX_POINT('',#56481); +#56483=CARTESIAN_POINT('',(2.417799998379E1,1.35E-1,-1.995E1)); +#56484=VERTEX_POINT('',#56483); +#56485=CARTESIAN_POINT('',(2.36E1,1.35E-1,-1.995E1)); +#56486=VERTEX_POINT('',#56485); +#56487=CARTESIAN_POINT('',(2.36E1,-8.054255211353E-1,-1.995E1)); +#56488=VERTEX_POINT('',#56487); +#56489=CARTESIAN_POINT('',(2.490899996758E1,-1.384148932397E0,-1.995E1)); +#56490=CARTESIAN_POINT('',(2.494300003242E1,-1.275638307035E0,-1.995E1)); +#56491=VERTEX_POINT('',#56489); +#56492=VERTEX_POINT('',#56490); +#56493=CARTESIAN_POINT('',(2.495999996758E1,-1.167127656341E0,-1.995E1)); +#56494=VERTEX_POINT('',#56493); +#56495=CARTESIAN_POINT('',(2.495999996758E1,-1.022446822524E0,-1.995E1)); +#56496=VERTEX_POINT('',#56495); +#56497=CARTESIAN_POINT('',(2.494300003242E1,-8.777659380436E-1,-1.995E1)); +#56498=VERTEX_POINT('',#56497); +#56499=CARTESIAN_POINT('',(2.490899996758E1,-7.692553126812E-1,-1.995E1)); +#56500=VERTEX_POINT('',#56499); +#56501=CARTESIAN_POINT('',(2.4824E1,-6.969148957729E-1,-1.995E1)); +#56502=VERTEX_POINT('',#56501); +#56503=CARTESIAN_POINT('',(2.489200003242E1,-5.884042704105E-1,-1.995E1)); +#56504=VERTEX_POINT('',#56503); +#56505=CARTESIAN_POINT('',(2.4926E1,-4.798936450482E-1,-1.995E1)); +#56506=VERTEX_POINT('',#56505); +#56507=CARTESIAN_POINT('',(2.494300003242E1,-3.352128112316E-1,-1.995E1)); +#56508=VERTEX_POINT('',#56507); +#56509=CARTESIAN_POINT('',(2.494300003242E1,-2.628722929955E-1,-1.995E1)); +#56510=VERTEX_POINT('',#56509); +#56511=CARTESIAN_POINT('',(2.4926E1,-1.181914591789E-1,-1.995E1)); +#56512=VERTEX_POINT('',#56511); +#56513=CARTESIAN_POINT('',(2.485799996758E1,2.648937463761E-2,-1.995E1)); +#56514=VERTEX_POINT('',#56513); +#56515=CARTESIAN_POINT('',(2.479000003242E1,9.882979154587E-2,-1.995E1)); +#56516=VERTEX_POINT('',#56515); +#56517=CARTESIAN_POINT('',(2.4671E1,1.35E-1,-1.995E1)); +#56518=VERTEX_POINT('',#56517); +#56519=CARTESIAN_POINT('',(2.463700003242E1,1.35E-1,-1.995E1)); +#56520=VERTEX_POINT('',#56519); +#56521=CARTESIAN_POINT('',(2.4518E1,9.882979154587E-2,-1.995E1)); +#56522=VERTEX_POINT('',#56521); +#56523=CARTESIAN_POINT('',(2.444999996758E1,2.648937463760E-2,-1.995E1)); +#56524=VERTEX_POINT('',#56523); +#56525=CARTESIAN_POINT('',(2.438199998379E1,-1.181914591789E-1,-1.995E1)); +#56526=VERTEX_POINT('',#56525); +#56527=CARTESIAN_POINT('',(2.4365E1,-2.628722929955E-1,-1.995E1)); +#56528=VERTEX_POINT('',#56527); +#56529=CARTESIAN_POINT('',(2.444999996758E1,-2.628722929955E-1,-1.995E1)); +#56530=VERTEX_POINT('',#56529); +#56531=CARTESIAN_POINT('',(2.448400003242E1,-1.543616676331E-1,-1.995E1)); +#56532=VERTEX_POINT('',#56531); +#56533=CARTESIAN_POINT('',(2.453500003242E1,-8.202125072479E-2,-1.995E1)); +#56534=VERTEX_POINT('',#56533); +#56535=CARTESIAN_POINT('',(2.462E1,-4.585104227066E-2,-1.995E1)); +#56536=VERTEX_POINT('',#56535); +#56537=CARTESIAN_POINT('',(2.468800003242E1,-4.585104227066E-2,-1.995E1)); +#56538=VERTEX_POINT('',#56537); +#56539=CARTESIAN_POINT('',(2.4773E1,-8.202125072479E-2,-1.995E1)); +#56540=VERTEX_POINT('',#56539); +#56541=CARTESIAN_POINT('',(2.4824E1,-1.543616676331E-1,-1.995E1)); +#56542=VERTEX_POINT('',#56541); +#56543=CARTESIAN_POINT('',(2.485799996758E1,-2.628722929955E-1,-1.995E1)); +#56544=VERTEX_POINT('',#56543); +#56545=CARTESIAN_POINT('',(2.485799996758E1,-3.352128112316E-1,-1.995E1)); +#56546=VERTEX_POINT('',#56545); +#56547=CARTESIAN_POINT('',(2.4824E1,-4.798936450481E-1,-1.995E1)); +#56548=VERTEX_POINT('',#56547); +#56549=CARTESIAN_POINT('',(2.479000003242E1,-5.522340619564E-1,-1.995E1)); +#56550=VERTEX_POINT('',#56549); +#56551=CARTESIAN_POINT('',(2.468800003242E1,-6.245744788647E-1,-1.995E1)); +#56552=VERTEX_POINT('',#56551); +#56553=CARTESIAN_POINT('',(2.462E1,-6.245744788647E-1,-1.995E1)); +#56554=VERTEX_POINT('',#56553); +#56555=CARTESIAN_POINT('',(2.462E1,-7.692553126812E-1,-1.995E1)); +#56556=VERTEX_POINT('',#56555); +#56557=CARTESIAN_POINT('',(2.468800003242E1,-7.692553126812E-1,-1.995E1)); +#56558=VERTEX_POINT('',#56557); +#56559=CARTESIAN_POINT('',(2.479000003242E1,-8.054255211353E-1,-1.995E1)); +#56560=VERTEX_POINT('',#56559); +#56561=CARTESIAN_POINT('',(2.484100003242E1,-8.777659380436E-1,-1.995E1)); +#56562=VERTEX_POINT('',#56561); +#56563=CARTESIAN_POINT('',(2.4875E1,-1.022446822524E0,-1.995E1)); +#56564=VERTEX_POINT('',#56563); +#56565=CARTESIAN_POINT('',(2.4875E1,-1.167127656341E0,-1.995E1)); +#56566=VERTEX_POINT('',#56565); +#56567=CARTESIAN_POINT('',(2.485799996758E1,-1.239468073249E0,-1.995E1)); +#56568=VERTEX_POINT('',#56567); +#56569=CARTESIAN_POINT('',(2.4824E1,-1.311808515489E0,-1.995E1)); +#56570=VERTEX_POINT('',#56569); +#56571=CARTESIAN_POINT('',(2.479000003242E1,-1.347978723943E0,-1.995E1)); +#56572=VERTEX_POINT('',#56571); +#56573=CARTESIAN_POINT('',(2.470499996758E1,-1.384148932397E0,-1.995E1)); +#56574=VERTEX_POINT('',#56573); +#56575=CARTESIAN_POINT('',(2.460299996758E1,-1.384148932397E0,-1.995E1)); +#56576=VERTEX_POINT('',#56575); +#56577=CARTESIAN_POINT('',(2.4518E1,-1.347978723943E0,-1.995E1)); +#56578=VERTEX_POINT('',#56577); +#56579=CARTESIAN_POINT('',(2.448400003242E1,-1.311808515489E0,-1.995E1)); +#56580=VERTEX_POINT('',#56579); +#56581=CARTESIAN_POINT('',(2.444999996758E1,-1.239468073249E0,-1.995E1)); +#56582=VERTEX_POINT('',#56581); +#56583=CARTESIAN_POINT('',(2.443300003242E1,-1.167127656341E0,-1.995E1)); +#56584=VERTEX_POINT('',#56583); +#56585=CARTESIAN_POINT('',(2.434800001621E1,-1.167127656341E0,-1.995E1)); +#56586=VERTEX_POINT('',#56585); +#56587=CARTESIAN_POINT('',(2.4365E1,-1.275638307035E0,-1.995E1)); +#56588=VERTEX_POINT('',#56587); +#56589=CARTESIAN_POINT('',(2.439900001621E1,-1.384148932397E0,-1.995E1)); +#56590=VERTEX_POINT('',#56589); +#56591=CARTESIAN_POINT('',(2.444999996758E1,-1.456489361972E0,-1.995E1)); +#56592=VERTEX_POINT('',#56591); +#56593=CARTESIAN_POINT('',(2.4518E1,-1.528829788379E0,-1.995E1)); +#56594=VERTEX_POINT('',#56593); +#56595=CARTESIAN_POINT('',(2.458600003242E1,-1.565E0,-1.995E1)); +#56596=VERTEX_POINT('',#56595); +#56597=CARTESIAN_POINT('',(2.4722E1,-1.565E0,-1.995E1)); +#56598=VERTEX_POINT('',#56597); +#56599=CARTESIAN_POINT('',(2.479000003242E1,-1.528829788379E0,-1.995E1)); +#56600=VERTEX_POINT('',#56599); +#56601=CARTESIAN_POINT('',(2.485799996758E1,-1.456489361972E0,-1.995E1)); +#56602=VERTEX_POINT('',#56601); +#56603=CARTESIAN_POINT('',(4.946943749593E1,-6.457446694374E-1,-1.995E1)); +#56604=CARTESIAN_POINT('',(4.953768750407E1,-5.308510661125E-1,-1.995E1)); +#56605=VERTEX_POINT('',#56603); +#56606=VERTEX_POINT('',#56604); +#56607=CARTESIAN_POINT('',(4.96059375E1,-4.925531983376E-1,-1.995E1)); +#56608=VERTEX_POINT('',#56607); +#56609=CARTESIAN_POINT('',(4.97083125E1,-4.925531983376E-1,-1.995E1)); +#56610=VERTEX_POINT('',#56609); +#56611=CARTESIAN_POINT('',(4.977656248373E1,-5.308510661125E-1,-1.995E1)); +#56612=VERTEX_POINT('',#56611); +#56613=CARTESIAN_POINT('',(4.982774998373E1,-6.074468016624E-1,-1.995E1)); +#56614=VERTEX_POINT('',#56613); +#56615=CARTESIAN_POINT('',(4.9861875E1,-7.223404049874E-1,-1.995E1)); +#56616=VERTEX_POINT('',#56615); +#56617=CARTESIAN_POINT('',(4.987893748373E1,-8.372340619564E-1,-1.995E1)); +#56618=VERTEX_POINT('',#56617); +#56619=CARTESIAN_POINT('',(4.987893748373E1,-9.138297975063E-1,-1.995E1)); +#56620=VERTEX_POINT('',#56619); +#56621=CARTESIAN_POINT('',(4.9861875E1,-1.028723400831E0,-1.995E1)); +#56622=VERTEX_POINT('',#56621); +#56623=CARTESIAN_POINT('',(4.982774998373E1,-1.143617030978E0,-1.995E1)); +#56624=VERTEX_POINT('',#56623); +#56625=CARTESIAN_POINT('',(4.977656248373E1,-1.220212766528E0,-1.995E1)); +#56626=VERTEX_POINT('',#56625); +#56627=CARTESIAN_POINT('',(4.97083125E1,-1.258510634303E0,-1.995E1)); +#56628=VERTEX_POINT('',#56627); +#56629=CARTESIAN_POINT('',(4.96059375E1,-1.258510634303E0,-1.995E1)); +#56630=VERTEX_POINT('',#56629); +#56631=CARTESIAN_POINT('',(4.953768750407E1,-1.220212766528E0,-1.995E1)); +#56632=VERTEX_POINT('',#56631); +#56633=CARTESIAN_POINT('',(4.948650000407E1,-1.143617030978E0,-1.995E1)); +#56634=VERTEX_POINT('',#56633); +#56635=CARTESIAN_POINT('',(4.9452375E1,-9.904255330563E-1,-1.995E1)); +#56636=VERTEX_POINT('',#56635); +#56637=CARTESIAN_POINT('',(4.935E1,-9.904255330563E-1,-1.995E1)); +#56638=VERTEX_POINT('',#56637); +#56639=CARTESIAN_POINT('',(4.938412500102E1,-1.143617030978E0,-1.995E1)); +#56640=VERTEX_POINT('',#56639); +#56641=CARTESIAN_POINT('',(4.943531249797E1,-1.296808515489E0,-1.995E1)); +#56642=VERTEX_POINT('',#56641); +#56643=CARTESIAN_POINT('',(4.952062499593E1,-1.411702128872E0,-1.995E1)); +#56644=VERTEX_POINT('',#56643); +#56645=CARTESIAN_POINT('',(4.96059375E1,-1.45E0,-1.995E1)); +#56646=VERTEX_POINT('',#56645); +#56647=CARTESIAN_POINT('',(4.97083125E1,-1.45E0,-1.995E1)); +#56648=VERTEX_POINT('',#56647); +#56649=CARTESIAN_POINT('',(4.979362501627E1,-1.411702128872E0,-1.995E1)); +#56650=VERTEX_POINT('',#56649); +#56651=CARTESIAN_POINT('',(4.987893748373E1,-1.296808515489E0,-1.995E1)); +#56652=VERTEX_POINT('',#56651); +#56653=CARTESIAN_POINT('',(4.993012498373E1,-1.143617030978E0,-1.995E1)); +#56654=VERTEX_POINT('',#56653); +#56655=CARTESIAN_POINT('',(4.996425E1,-9.521276652813E-1,-1.995E1)); +#56656=VERTEX_POINT('',#56655); +#56657=CARTESIAN_POINT('',(4.996425E1,-7.989361941814E-1,-1.995E1)); +#56658=VERTEX_POINT('',#56657); +#56659=CARTESIAN_POINT('',(4.993012498373E1,-6.074468016624E-1,-1.995E1)); +#56660=VERTEX_POINT('',#56659); +#56661=CARTESIAN_POINT('',(4.987893748373E1,-4.542553305626E-1,-1.995E1)); +#56662=VERTEX_POINT('',#56661); +#56663=CARTESIAN_POINT('',(4.979362501627E1,-3.393617272377E-1,-1.995E1)); +#56664=VERTEX_POINT('',#56663); +#56665=CARTESIAN_POINT('',(4.97083125E1,-3.010638594627E-1,-1.995E1)); +#56666=VERTEX_POINT('',#56665); +#56667=CARTESIAN_POINT('',(4.96059375E1,-3.010638594627E-1,-1.995E1)); +#56668=VERTEX_POINT('',#56667); +#56669=CARTESIAN_POINT('',(4.952062499593E1,-3.393617272377E-1,-1.995E1)); +#56670=VERTEX_POINT('',#56669); +#56671=CARTESIAN_POINT('',(4.943531249797E1,-4.542553305626E-1,-1.995E1)); +#56672=VERTEX_POINT('',#56671); +#56673=CARTESIAN_POINT('',(4.943531249797E1,1.585106611252E-1,-1.995E1)); +#56674=VERTEX_POINT('',#56673); +#56675=CARTESIAN_POINT('',(4.993012498373E1,1.585106611252E-1,-1.995E1)); +#56676=VERTEX_POINT('',#56675); +#56677=CARTESIAN_POINT('',(4.993012498373E1,3.5E-1,-1.995E1)); +#56678=VERTEX_POINT('',#56677); +#56679=CARTESIAN_POINT('',(4.935E1,3.5E-1,-1.995E1)); +#56680=VERTEX_POINT('',#56679); +#56681=CARTESIAN_POINT('',(4.935E1,-6.457446694374E-1,-1.995E1)); +#56682=VERTEX_POINT('',#56681); +#56683=CARTESIAN_POINT('',(5.013487498373E1,-1.028723400831E0,-1.995E1)); +#56684=CARTESIAN_POINT('',(5.015193751627E1,-1.143617030978E0,-1.995E1)); +#56685=VERTEX_POINT('',#56683); +#56686=VERTEX_POINT('',#56684); +#56687=CARTESIAN_POINT('',(5.020312496746E1,-1.296808515489E0,-1.995E1)); +#56688=VERTEX_POINT('',#56687); +#56689=CARTESIAN_POINT('',(5.028843753254E1,-1.411702128872E0,-1.995E1)); +#56690=VERTEX_POINT('',#56689); +#56691=CARTESIAN_POINT('',(5.037375E1,-1.45E0,-1.995E1)); +#56692=VERTEX_POINT('',#56691); +#56693=CARTESIAN_POINT('',(5.044200003254E1,-1.45E0,-1.995E1)); +#56694=VERTEX_POINT('',#56693); +#56695=CARTESIAN_POINT('',(5.05273125E1,-1.411702128872E0,-1.995E1)); +#56696=VERTEX_POINT('',#56695); +#56697=CARTESIAN_POINT('',(5.061262496746E1,-1.296808515489E0,-1.995E1)); +#56698=VERTEX_POINT('',#56697); +#56699=CARTESIAN_POINT('',(5.066381246746E1,-1.143617030978E0,-1.995E1)); +#56700=VERTEX_POINT('',#56699); +#56701=CARTESIAN_POINT('',(5.069793753254E1,-9.521276652814E-1,-1.995E1)); +#56702=VERTEX_POINT('',#56701); +#56703=CARTESIAN_POINT('',(5.071499996746E1,-6.840425372124E-1,-1.995E1)); +#56704=VERTEX_POINT('',#56703); +#56705=CARTESIAN_POINT('',(5.071499996746E1,-4.159574627876E-1,-1.995E1)); +#56706=VERTEX_POINT('',#56705); +#56707=CARTESIAN_POINT('',(5.069793753254E1,-1.478723883629E-1,-1.995E1)); +#56708=VERTEX_POINT('',#56707); +#56709=CARTESIAN_POINT('',(5.066381246746E1,4.361705780023E-2,-1.995E1)); +#56710=VERTEX_POINT('',#56709); +#56711=CARTESIAN_POINT('',(5.061262496746E1,1.968085289001E-1,-1.995E1)); +#56712=VERTEX_POINT('',#56711); +#56713=CARTESIAN_POINT('',(5.05273125E1,3.117021322250E-1,-1.995E1)); +#56714=VERTEX_POINT('',#56713); +#56715=CARTESIAN_POINT('',(5.044200003254E1,3.5E-1,-1.995E1)); +#56716=VERTEX_POINT('',#56715); +#56717=CARTESIAN_POINT('',(5.035668746746E1,3.5E-1,-1.995E1)); +#56718=VERTEX_POINT('',#56717); +#56719=CARTESIAN_POINT('',(5.0271375E1,3.117021322250E-1,-1.995E1)); +#56720=VERTEX_POINT('',#56719); +#56721=CARTESIAN_POINT('',(5.018606253254E1,1.968085289001E-1,-1.995E1)); +#56722=VERTEX_POINT('',#56721); +#56723=CARTESIAN_POINT('',(5.013487498373E1,4.361705780031E-2,-1.995E1)); +#56724=VERTEX_POINT('',#56723); +#56725=CARTESIAN_POINT('',(5.010075001627E1,-1.478723883629E-1,-1.995E1)); +#56726=VERTEX_POINT('',#56725); +#56727=CARTESIAN_POINT('',(5.010075001627E1,-3.010638594627E-1,-1.995E1)); +#56728=VERTEX_POINT('',#56727); +#56729=CARTESIAN_POINT('',(5.013487498373E1,-4.925531983376E-1,-1.995E1)); +#56730=VERTEX_POINT('',#56729); +#56731=CARTESIAN_POINT('',(5.018606253254E1,-6.457446694374E-1,-1.995E1)); +#56732=VERTEX_POINT('',#56731); +#56733=CARTESIAN_POINT('',(5.0271375E1,-7.606382727623E-1,-1.995E1)); +#56734=VERTEX_POINT('',#56733); +#56735=CARTESIAN_POINT('',(5.035668746746E1,-7.989361941814E-1,-1.995E1)); +#56736=VERTEX_POINT('',#56735); +#56737=CARTESIAN_POINT('',(5.044200003254E1,-7.989361941814E-1,-1.995E1)); +#56738=VERTEX_POINT('',#56737); +#56739=CARTESIAN_POINT('',(5.05273125E1,-7.606382727623E-1,-1.995E1)); +#56740=VERTEX_POINT('',#56739); +#56741=CARTESIAN_POINT('',(5.06296875E1,-6.074468016625E-1,-1.995E1)); +#56742=VERTEX_POINT('',#56741); +#56743=CARTESIAN_POINT('',(5.06296875E1,-6.840425372123E-1,-1.995E1)); +#56744=VERTEX_POINT('',#56743); +#56745=CARTESIAN_POINT('',(5.061262496746E1,-9.138297975063E-1,-1.995E1)); +#56746=VERTEX_POINT('',#56745); +#56747=CARTESIAN_POINT('',(5.059556253254E1,-1.028723400831E0,-1.995E1)); +#56748=VERTEX_POINT('',#56747); +#56749=CARTESIAN_POINT('',(5.056143746746E1,-1.143617030978E0,-1.995E1)); +#56750=VERTEX_POINT('',#56749); +#56751=CARTESIAN_POINT('',(5.051024996746E1,-1.220212766528E0,-1.995E1)); +#56752=VERTEX_POINT('',#56751); +#56753=CARTESIAN_POINT('',(5.045906246746E1,-1.258510634303E0,-1.995E1)); +#56754=VERTEX_POINT('',#56753); +#56755=CARTESIAN_POINT('',(5.035668746746E1,-1.258510634303E0,-1.995E1)); +#56756=VERTEX_POINT('',#56755); +#56757=CARTESIAN_POINT('',(5.030549996746E1,-1.220212766528E0,-1.995E1)); +#56758=VERTEX_POINT('',#56757); +#56759=CARTESIAN_POINT('',(5.025431246746E1,-1.143617030978E0,-1.995E1)); +#56760=VERTEX_POINT('',#56759); +#56761=CARTESIAN_POINT('',(5.02201875E1,-1.028723400831E0,-1.995E1)); +#56762=VERTEX_POINT('',#56761); +#56763=CARTESIAN_POINT('',(5.061262496746E1,-2.627659916878E-1,-1.995E1)); +#56764=CARTESIAN_POINT('',(5.059556253254E1,-3.776595950127E-1,-1.995E1)); +#56765=VERTEX_POINT('',#56763); +#56766=VERTEX_POINT('',#56764); +#56767=CARTESIAN_POINT('',(5.056143746746E1,-4.925531983375E-1,-1.995E1)); +#56768=VERTEX_POINT('',#56767); +#56769=CARTESIAN_POINT('',(5.051024996746E1,-5.691489338875E-1,-1.995E1)); +#56770=VERTEX_POINT('',#56769); +#56771=CARTESIAN_POINT('',(5.045906246746E1,-6.074468016624E-1,-1.995E1)); +#56772=VERTEX_POINT('',#56771); +#56773=CARTESIAN_POINT('',(5.033962503254E1,-6.074468016624E-1,-1.995E1)); +#56774=VERTEX_POINT('',#56773); +#56775=CARTESIAN_POINT('',(5.028843753254E1,-5.691489338875E-1,-1.995E1)); +#56776=VERTEX_POINT('',#56775); +#56777=CARTESIAN_POINT('',(5.023725003254E1,-4.925531983376E-1,-1.995E1)); +#56778=VERTEX_POINT('',#56777); +#56779=CARTESIAN_POINT('',(5.020312496746E1,-3.776595950127E-1,-1.995E1)); +#56780=VERTEX_POINT('',#56779); +#56781=CARTESIAN_POINT('',(5.018606253254E1,-2.627659916878E-1,-1.995E1)); +#56782=VERTEX_POINT('',#56781); +#56783=CARTESIAN_POINT('',(5.018606253254E1,-1.861702561379E-1,-1.995E1)); +#56784=VERTEX_POINT('',#56783); +#56785=CARTESIAN_POINT('',(5.020312496746E1,-7.127654552466E-2,-1.995E1)); +#56786=VERTEX_POINT('',#56785); +#56787=CARTESIAN_POINT('',(5.023725003254E1,4.361705780029E-2,-1.995E1)); +#56788=VERTEX_POINT('',#56787); +#56789=CARTESIAN_POINT('',(5.028843753254E1,1.202127933502E-1,-1.995E1)); +#56790=VERTEX_POINT('',#56789); +#56791=CARTESIAN_POINT('',(5.033962503254E1,1.585106611252E-1,-1.995E1)); +#56792=VERTEX_POINT('',#56791); +#56793=CARTESIAN_POINT('',(5.045906246746E1,1.585106611252E-1,-1.995E1)); +#56794=VERTEX_POINT('',#56793); +#56795=CARTESIAN_POINT('',(5.051024996746E1,1.202127933502E-1,-1.995E1)); +#56796=VERTEX_POINT('',#56795); +#56797=CARTESIAN_POINT('',(5.056143746746E1,4.361705780028E-2,-1.995E1)); +#56798=VERTEX_POINT('',#56797); +#56799=CARTESIAN_POINT('',(5.059556253254E1,-7.127654552454E-2,-1.995E1)); +#56800=VERTEX_POINT('',#56799); +#56801=CARTESIAN_POINT('',(5.061262496746E1,-1.861702561379E-1,-1.995E1)); +#56802=VERTEX_POINT('',#56801); +#56803=CARTESIAN_POINT('',(-3.88E1,8.970557103545E0,-1.844145943091E1)); +#56804=CARTESIAN_POINT('',(-3.92E1,8.217634385110E0,-1.896866159405E1)); +#56805=VERTEX_POINT('',#56803); +#56806=VERTEX_POINT('',#56804); +#56807=CARTESIAN_POINT('',(-3.92E1,8.050318203758E0,-1.908581764550E1)); +#56808=VERTEX_POINT('',#56807); +#56809=CARTESIAN_POINT('',(-3.88E1,8.050318203758E0,-1.908581764550E1)); +#56810=VERTEX_POINT('',#56809); +#56811=CARTESIAN_POINT('',(-3.88E1,7.659913832683E0,-1.935918172907E1)); +#56812=VERTEX_POINT('',#56811); +#56813=CARTESIAN_POINT('',(-3.87E1,7.659913832683E0,-1.935918172907E1)); +#56814=VERTEX_POINT('',#56813); +#56815=CARTESIAN_POINT('',(-3.87E1,8.050318203758E0,-1.908581764550E1)); +#56816=VERTEX_POINT('',#56815); +#56817=CARTESIAN_POINT('',(-3.853333334923E1,8.050318203758E0, +-1.908581764550E1)); +#56818=VERTEX_POINT('',#56817); +#56819=CARTESIAN_POINT('',(-3.853333334923E1,8.217634385110E0, +-1.896866159405E1)); +#56820=VERTEX_POINT('',#56819); +#56821=CARTESIAN_POINT('',(-3.87E1,8.217634385110E0,-1.896866159405E1)); +#56822=VERTEX_POINT('',#56821); +#56823=CARTESIAN_POINT('',(-3.87E1,8.970557103545E0,-1.844145943091E1)); +#56824=VERTEX_POINT('',#56823); +#56825=CARTESIAN_POINT('',(-3.908333333731E1,8.217634385110E0, +-1.896866159405E1)); +#56826=CARTESIAN_POINT('',(-3.88E1,8.747468913822E0,-1.859766746304E1)); +#56827=VERTEX_POINT('',#56825); +#56828=VERTEX_POINT('',#56826); +#56829=CARTESIAN_POINT('',(-3.88E1,8.217634385110E0,-1.896866159405E1)); +#56830=VERTEX_POINT('',#56829); +#56831=CARTESIAN_POINT('',(-3.82E1,7.659913832683E0,-1.935918172907E1)); +#56832=CARTESIAN_POINT('',(-3.82E1,8.970557103545E0,-1.844145943091E1)); +#56833=VERTEX_POINT('',#56831); +#56834=VERTEX_POINT('',#56832); +#56835=CARTESIAN_POINT('',(-3.83E1,8.970557103545E0,-1.844145943091E1)); +#56836=VERTEX_POINT('',#56835); +#56837=CARTESIAN_POINT('',(-3.84E1,8.775354937538E0,-1.857814145902E1)); +#56838=VERTEX_POINT('',#56837); +#56839=CARTESIAN_POINT('',(-3.84E1,8.552266669694E0,-1.873434954585E1)); +#56840=VERTEX_POINT('',#56839); +#56841=CARTESIAN_POINT('',(-3.83E1,8.747468913822E0,-1.859766746304E1)); +#56842=VERTEX_POINT('',#56841); +#56843=CARTESIAN_POINT('',(-3.83E1,7.659913832683E0,-1.935918172907E1)); +#56844=VERTEX_POINT('',#56843); +#56845=CARTESIAN_POINT('',(8.049999976158E0,8.245520408825E0, +-1.894913559003E1)); +#56846=CARTESIAN_POINT('',(8.116666650772E0,8.329178479972E0, +-1.889055757798E1)); +#56847=VERTEX_POINT('',#56845); +#56848=VERTEX_POINT('',#56846); +#56849=CARTESIAN_POINT('',(8.183333313465E0,8.357064503687E0, +-1.887103157397E1)); +#56850=VERTEX_POINT('',#56849); +#56851=CARTESIAN_POINT('',(8.283333313465E0,8.357064503687E0, +-1.887103157397E1)); +#56852=VERTEX_POINT('',#56851); +#56853=CARTESIAN_POINT('',(8.349999964237E0,8.329178479972E0, +-1.889055757798E1)); +#56854=VERTEX_POINT('',#56853); +#56855=CARTESIAN_POINT('',(8.399999964237E0,8.273406432541E0, +-1.892960958602E1)); +#56856=VERTEX_POINT('',#56855); +#56857=CARTESIAN_POINT('',(8.433333313465E0,8.189748361395E0, +-1.898818759807E1)); +#56858=VERTEX_POINT('',#56857); +#56859=CARTESIAN_POINT('',(8.449999964237E0,8.106090251188E0, +-1.904676563746E1)); +#56860=VERTEX_POINT('',#56859); +#56861=CARTESIAN_POINT('',(8.449999964237E0,8.050318203758E0, +-1.908581764550E1)); +#56862=VERTEX_POINT('',#56861); +#56863=CARTESIAN_POINT('',(8.433333313465E0,7.966660132612E0, +-1.914439565754E1)); +#56864=VERTEX_POINT('',#56863); +#56865=CARTESIAN_POINT('',(8.399999964237E0,7.883002041936E0, +-1.920297368327E1)); +#56866=VERTEX_POINT('',#56865); +#56867=CARTESIAN_POINT('',(8.349999964237E0,7.827229994505E0, +-1.924202569130E1)); +#56868=VERTEX_POINT('',#56867); +#56869=CARTESIAN_POINT('',(8.283333313465E0,7.799343970790E0, +-1.926155169532E1)); +#56870=VERTEX_POINT('',#56869); +#56871=CARTESIAN_POINT('',(8.183333313465E0,7.799343970790E0, +-1.926155169532E1)); +#56872=VERTEX_POINT('',#56871); +#56873=CARTESIAN_POINT('',(8.116666650772E0,7.827229994505E0, +-1.924202569130E1)); +#56874=VERTEX_POINT('',#56873); +#56875=CARTESIAN_POINT('',(8.066666650772E0,7.883002041936E0, +-1.920297368327E1)); +#56876=VERTEX_POINT('',#56875); +#56877=CARTESIAN_POINT('',(8.033333313465E0,7.994546156327E0, +-1.912486965353E1)); +#56878=VERTEX_POINT('',#56877); +#56879=CARTESIAN_POINT('',(7.933333313465E0,7.994546156327E0, +-1.912486965353E1)); +#56880=VERTEX_POINT('',#56879); +#56881=CARTESIAN_POINT('',(7.966666647792E0,7.883002041936E0, +-1.920297368327E1)); +#56882=VERTEX_POINT('',#56881); +#56883=CARTESIAN_POINT('',(8.016666644812E0,7.771457937309E0, +-1.928107770617E1)); +#56884=VERTEX_POINT('',#56883); +#56885=CARTESIAN_POINT('',(8.099999976158E0,7.687799858839E0, +-1.933965572335E1)); +#56886=VERTEX_POINT('',#56885); +#56887=CARTESIAN_POINT('',(8.183333313465E0,7.659913832683E0, +-1.935918172907E1)); +#56888=VERTEX_POINT('',#56887); +#56889=CARTESIAN_POINT('',(8.283333313465E0,7.659913832683E0, +-1.935918172907E1)); +#56890=VERTEX_POINT('',#56889); +#56891=CARTESIAN_POINT('',(8.366666662693E0,7.687799858839E0, +-1.933965572335E1)); +#56892=VERTEX_POINT('',#56891); +#56893=CARTESIAN_POINT('',(8.449999964237E0,7.771457937309E0, +-1.928107770617E1)); +#56894=VERTEX_POINT('',#56893); +#56895=CARTESIAN_POINT('',(8.499999964237E0,7.883002041936E0, +-1.920297368327E1)); +#56896=VERTEX_POINT('',#56895); +#56897=CARTESIAN_POINT('',(8.533333313465E0,8.022432180042E0, +-1.910534364951E1)); +#56898=VERTEX_POINT('',#56897); +#56899=CARTESIAN_POINT('',(8.533333313465E0,8.133976274904E0, +-1.902723963345E1)); +#56900=VERTEX_POINT('',#56899); +#56901=CARTESIAN_POINT('',(8.499999964237E0,8.273406432541E0, +-1.892960958602E1)); +#56902=VERTEX_POINT('',#56901); +#56903=CARTESIAN_POINT('',(8.449999964237E0,8.384950527402E0, +-1.885150556995E1)); +#56904=VERTEX_POINT('',#56903); +#56905=CARTESIAN_POINT('',(8.366666662693E0,8.468608598548E0, +-1.879292755790E1)); +#56906=VERTEX_POINT('',#56905); +#56907=CARTESIAN_POINT('',(8.283333313465E0,8.496494622264E0, +-1.877340155389E1)); +#56908=VERTEX_POINT('',#56907); +#56909=CARTESIAN_POINT('',(8.183333313465E0,8.496494622264E0, +-1.877340155389E1)); +#56910=VERTEX_POINT('',#56909); +#56911=CARTESIAN_POINT('',(8.099999976158E0,8.468608598548E0, +-1.879292755790E1)); +#56912=VERTEX_POINT('',#56911); +#56913=CARTESIAN_POINT('',(8.016666644812E0,8.384950527402E0, +-1.885150556995E1)); +#56914=VERTEX_POINT('',#56913); +#56915=CARTESIAN_POINT('',(8.016666644812E0,8.831126984968E0, +-1.853908945099E1)); +#56916=VERTEX_POINT('',#56915); +#56917=CARTESIAN_POINT('',(8.499999964237E0,8.831126984968E0, +-1.853908945099E1)); +#56918=VERTEX_POINT('',#56917); +#56919=CARTESIAN_POINT('',(8.499999964237E0,8.970557103545E0, +-1.844145943091E1)); +#56920=VERTEX_POINT('',#56919); +#56921=CARTESIAN_POINT('',(7.933333313465E0,8.970557103545E0, +-1.844145943091E1)); +#56922=VERTEX_POINT('',#56921); +#56923=CARTESIAN_POINT('',(7.933333313465E0,8.245520408825E0, +-1.894913559003E1)); +#56924=VERTEX_POINT('',#56923); +#56925=CARTESIAN_POINT('',(8.666666662693E0,7.799343970790E0, +-1.926155169532E1)); +#56926=CARTESIAN_POINT('',(8.666666662693E0,7.659913832683E0, +-1.935918172907E1)); +#56927=VERTEX_POINT('',#56925); +#56928=VERTEX_POINT('',#56926); +#56929=CARTESIAN_POINT('',(9.266666615009E0,7.659913832683E0, +-1.935918172907E1)); +#56930=VERTEX_POINT('',#56929); +#56931=CARTESIAN_POINT('',(9.266666615009E0,7.799343970790E0, +-1.926155169532E1)); +#56932=VERTEX_POINT('',#56931); +#56933=CARTESIAN_POINT('',(8.800000011921E0,7.799343970790E0, +-1.926155169532E1)); +#56934=VERTEX_POINT('',#56933); +#56935=CARTESIAN_POINT('',(9.216666615009E0,8.412836551118E0, +-1.883197956594E1)); +#56936=VERTEX_POINT('',#56935); +#56937=CARTESIAN_POINT('',(9.250000011921E0,8.496494622264E0, +-1.877340155389E1)); +#56938=VERTEX_POINT('',#56937); +#56939=CARTESIAN_POINT('',(9.266666615009E0,8.608038717125E0, +-1.869529753782E1)); +#56940=VERTEX_POINT('',#56939); +#56941=CARTESIAN_POINT('',(9.266666615009E0,8.663810842676E0, +-1.865624547509E1)); +#56942=VERTEX_POINT('',#56941); +#56943=CARTESIAN_POINT('',(9.250000011921E0,8.775354937538E0, +-1.857814145902E1)); +#56944=VERTEX_POINT('',#56943); +#56945=CARTESIAN_POINT('',(9.183333313465E0,8.886899032399E0, +-1.850003744296E1)); +#56946=VERTEX_POINT('',#56945); +#56947=CARTESIAN_POINT('',(9.116666615009E0,8.942671079830E0, +-1.846098543493E1)); +#56948=VERTEX_POINT('',#56947); +#56949=CARTESIAN_POINT('',(9.016666615009E0,8.970557103545E0, +-1.844145943091E1)); +#56950=VERTEX_POINT('',#56949); +#56951=CARTESIAN_POINT('',(8.950000011921E0,8.970557103545E0, +-1.844145943091E1)); +#56952=VERTEX_POINT('',#56951); +#56953=CARTESIAN_POINT('',(8.833333313465E0,8.942671079830E0, +-1.846098543493E1)); +#56954=VERTEX_POINT('',#56953); +#56955=CARTESIAN_POINT('',(8.766666615009E0,8.886899032399E0, +-1.850003744296E1)); +#56956=VERTEX_POINT('',#56955); +#56957=CARTESIAN_POINT('',(8.699999964237E0,8.775354937538E0, +-1.857814145902E1)); +#56958=VERTEX_POINT('',#56957); +#56959=CARTESIAN_POINT('',(8.683333313465E0,8.663810842676E0, +-1.865624547509E1)); +#56960=VERTEX_POINT('',#56959); +#56961=CARTESIAN_POINT('',(8.766666615009E0,8.663810842676E0, +-1.865624547509E1)); +#56962=VERTEX_POINT('',#56961); +#56963=CARTESIAN_POINT('',(8.800000011921E0,8.747468913822E0, +-1.859766746304E1)); +#56964=VERTEX_POINT('',#56963); +#56965=CARTESIAN_POINT('',(8.850000011921E0,8.803240961253E0, +-1.855861545501E1)); +#56966=VERTEX_POINT('',#56965); +#56967=CARTESIAN_POINT('',(8.933333313465E0,8.831126984968E0, +-1.853908945099E1)); +#56968=VERTEX_POINT('',#56967); +#56969=CARTESIAN_POINT('',(9.000000011921E0,8.831126984968E0, +-1.853908945099E1)); +#56970=VERTEX_POINT('',#56969); +#56971=CARTESIAN_POINT('',(9.083333313465E0,8.803240961253E0, +-1.855861545501E1)); +#56972=VERTEX_POINT('',#56971); +#56973=CARTESIAN_POINT('',(9.133333313465E0,8.747468913822E0, +-1.859766746304E1)); +#56974=VERTEX_POINT('',#56973); +#56975=CARTESIAN_POINT('',(9.166666615009E0,8.663810842676E0, +-1.865624547509E1)); +#56976=VERTEX_POINT('',#56975); +#56977=CARTESIAN_POINT('',(9.166666615009E0,8.608038717125E0, +-1.869529753782E1)); +#56978=VERTEX_POINT('',#56977); +#56979=CARTESIAN_POINT('',(9.133333313465E0,8.496494622264E0, +-1.877340155389E1)); +#56980=VERTEX_POINT('',#56979); +#56981=CARTESIAN_POINT('',(2.630000001192E1,8.831126984968E0, +-1.853908945099E1)); +#56982=CARTESIAN_POINT('',(2.630000001192E1,8.970557103545E0, +-1.844145943091E1)); +#56983=VERTEX_POINT('',#56981); +#56984=VERTEX_POINT('',#56982); +#56985=CARTESIAN_POINT('',(2.570000001192E1,8.970557103545E0, +-1.844145943091E1)); +#56986=VERTEX_POINT('',#56985); +#56987=CARTESIAN_POINT('',(2.570000001192E1,8.831126984968E0, +-1.853908945099E1)); +#56988=VERTEX_POINT('',#56987); +#56989=CARTESIAN_POINT('',(2.620000001192E1,8.831126984968E0, +-1.853908945099E1)); +#56990=VERTEX_POINT('',#56989); +#56991=CARTESIAN_POINT('',(2.586666667461E1,7.659913832683E0, +-1.935918172907E1)); +#56992=VERTEX_POINT('',#56991); +#56993=CARTESIAN_POINT('',(2.596666668653E1,7.659913832683E0, +-1.935918172907E1)); +#56994=VERTEX_POINT('',#56993); +#56995=CARTESIAN_POINT('',(2.683333331347E1,8.970557103545E0, +-1.844145943091E1)); +#56996=CARTESIAN_POINT('',(2.643333336115E1,8.217634385110E0, +-1.896866159405E1)); +#56997=VERTEX_POINT('',#56995); +#56998=VERTEX_POINT('',#56996); +#56999=CARTESIAN_POINT('',(2.643333336115E1,8.050318203758E0, +-1.908581764550E1)); +#57000=VERTEX_POINT('',#56999); +#57001=CARTESIAN_POINT('',(2.683333331347E1,8.050318203758E0, +-1.908581764550E1)); +#57002=VERTEX_POINT('',#57001); +#57003=CARTESIAN_POINT('',(2.683333331347E1,7.659913832683E0, +-1.935918172907E1)); +#57004=VERTEX_POINT('',#57003); +#57005=CARTESIAN_POINT('',(2.693333331347E1,7.659913832683E0, +-1.935918172907E1)); +#57006=VERTEX_POINT('',#57005); +#57007=CARTESIAN_POINT('',(2.693333331347E1,8.050318203758E0, +-1.908581764550E1)); +#57008=VERTEX_POINT('',#57007); +#57009=CARTESIAN_POINT('',(2.710000001192E1,8.050318203758E0, +-1.908581764550E1)); +#57010=VERTEX_POINT('',#57009); +#57011=CARTESIAN_POINT('',(2.710000001192E1,8.217634385110E0, +-1.896866159405E1)); +#57012=VERTEX_POINT('',#57011); +#57013=CARTESIAN_POINT('',(2.693333331347E1,8.217634385110E0, +-1.896866159405E1)); +#57014=VERTEX_POINT('',#57013); +#57015=CARTESIAN_POINT('',(2.693333331347E1,8.970557103545E0, +-1.844145943091E1)); +#57016=VERTEX_POINT('',#57015); +#57017=CARTESIAN_POINT('',(2.655000001192E1,8.217634385110E0, +-1.896866159405E1)); +#57018=CARTESIAN_POINT('',(2.683333331347E1,8.747468913822E0, +-1.859766746304E1)); +#57019=VERTEX_POINT('',#57017); +#57020=VERTEX_POINT('',#57018); +#57021=CARTESIAN_POINT('',(2.683333331347E1,8.217634385110E0, +-1.896866159405E1)); +#57022=VERTEX_POINT('',#57021); +#57023=CARTESIAN_POINT('',(5.166666665077E1,8.329178479972E0, +-1.889055757798E1)); +#57024=CARTESIAN_POINT('',(5.163333331347E1,8.301292456256E0, +-1.891008358200E1)); +#57025=VERTEX_POINT('',#57023); +#57026=VERTEX_POINT('',#57024); +#57027=CARTESIAN_POINT('',(5.156666664779E1,8.217634385110E0, +-1.896866159405E1)); +#57028=VERTEX_POINT('',#57027); +#57029=CARTESIAN_POINT('',(5.153333331347E1,8.078204227473E0, +-1.906629164148E1)); +#57030=VERTEX_POINT('',#57029); +#57031=CARTESIAN_POINT('',(5.153333331347E1,7.994546156327E0, +-1.912486965353E1)); +#57032=VERTEX_POINT('',#57031); +#57033=CARTESIAN_POINT('',(5.156666664779E1,7.855116018220E0, +-1.922249968728E1)); +#57034=VERTEX_POINT('',#57033); +#57035=CARTESIAN_POINT('',(5.161666664481E1,7.771457937309E0, +-1.928107770617E1)); +#57036=VERTEX_POINT('',#57035); +#57037=CARTESIAN_POINT('',(5.169999997616E1,7.687799858839E0, +-1.933965572335E1)); +#57038=VERTEX_POINT('',#57037); +#57039=CARTESIAN_POINT('',(5.178333331347E1,7.659913832683E0, +-1.935918172907E1)); +#57040=VERTEX_POINT('',#57039); +#57041=CARTESIAN_POINT('',(5.188333331347E1,7.659913832683E0, +-1.935918172907E1)); +#57042=VERTEX_POINT('',#57041); +#57043=CARTESIAN_POINT('',(5.196666666269E1,7.687799858839E0, +-1.933965572335E1)); +#57044=VERTEX_POINT('',#57043); +#57045=CARTESIAN_POINT('',(5.204999996424E1,7.771457937309E0, +-1.928107770617E1)); +#57046=VERTEX_POINT('',#57045); +#57047=CARTESIAN_POINT('',(5.209999996424E1,7.855116018220E0, +-1.922249968728E1)); +#57048=VERTEX_POINT('',#57047); +#57049=CARTESIAN_POINT('',(5.213333331347E1,7.994546156327E0, +-1.912486965353E1)); +#57050=VERTEX_POINT('',#57049); +#57051=CARTESIAN_POINT('',(5.213333331347E1,8.078204227473E0, +-1.906629164148E1)); +#57052=VERTEX_POINT('',#57051); +#57053=CARTESIAN_POINT('',(5.209999996424E1,8.217634385110E0, +-1.896866159405E1)); +#57054=VERTEX_POINT('',#57053); +#57055=CARTESIAN_POINT('',(5.203333331347E1,8.301292456256E0, +-1.891008358200E1)); +#57056=VERTEX_POINT('',#57055); +#57057=CARTESIAN_POINT('',(5.199999996424E1,8.329178479972E0, +-1.889055757798E1)); +#57058=VERTEX_POINT('',#57057); +#57059=CARTESIAN_POINT('',(5.203333331347E1,8.357064503687E0, +-1.887103157397E1)); +#57060=VERTEX_POINT('',#57059); +#57061=CARTESIAN_POINT('',(5.208333331347E1,8.440722574833E0, +-1.881245356192E1)); +#57062=VERTEX_POINT('',#57061); +#57063=CARTESIAN_POINT('',(5.211666666269E1,8.552266669694E0, +-1.873434954585E1)); +#57064=VERTEX_POINT('',#57063); +#57065=CARTESIAN_POINT('',(5.211666666269E1,8.635924740840E0, +-1.867577153381E1)); +#57066=VERTEX_POINT('',#57065); +#57067=CARTESIAN_POINT('',(5.208333331347E1,8.775354937538E0, +-1.857814145902E1)); +#57068=VERTEX_POINT('',#57067); +#57069=CARTESIAN_POINT('',(5.203333331347E1,8.859013008684E0, +-1.851956344698E1)); +#57070=VERTEX_POINT('',#57069); +#57071=CARTESIAN_POINT('',(5.194999996424E1,8.942671079830E0, +-1.846098543493E1)); +#57072=VERTEX_POINT('',#57071); +#57073=CARTESIAN_POINT('',(5.186666663885E1,8.970557103545E0, +-1.844145943091E1)); +#57074=VERTEX_POINT('',#57073); +#57075=CARTESIAN_POINT('',(5.179999998808E1,8.970557103545E0, +-1.844145943091E1)); +#57076=VERTEX_POINT('',#57075); +#57077=CARTESIAN_POINT('',(5.171666665077E1,8.942671079830E0, +-1.846098543493E1)); +#57078=VERTEX_POINT('',#57077); +#57079=CARTESIAN_POINT('',(5.163333331347E1,8.859013008684E0, +-1.851956344698E1)); +#57080=VERTEX_POINT('',#57079); +#57081=CARTESIAN_POINT('',(5.158333331347E1,8.775354937538E0, +-1.857814145902E1)); +#57082=VERTEX_POINT('',#57081); +#57083=CARTESIAN_POINT('',(5.154999998063E1,8.635924740840E0, +-1.867577153381E1)); +#57084=VERTEX_POINT('',#57083); +#57085=CARTESIAN_POINT('',(5.154999998063E1,8.552266669694E0, +-1.873434954585E1)); +#57086=VERTEX_POINT('',#57085); +#57087=CARTESIAN_POINT('',(5.158333331347E1,8.440722574833E0, +-1.881245356192E1)); +#57088=VERTEX_POINT('',#57087); +#57089=CARTESIAN_POINT('',(5.163333331347E1,8.357064503687E0, +-1.887103157397E1)); +#57090=VERTEX_POINT('',#57089); +#57091=CARTESIAN_POINT('',(5.179999998808E1,7.799343970790E0, +-1.926155169532E1)); +#57092=CARTESIAN_POINT('',(5.173333331347E1,7.827229994505E0, +-1.924202569130E1)); +#57093=VERTEX_POINT('',#57091); +#57094=VERTEX_POINT('',#57092); +#57095=CARTESIAN_POINT('',(5.166666665077E1,7.883002041936E0, +-1.920297368327E1)); +#57096=VERTEX_POINT('',#57095); +#57097=CARTESIAN_POINT('',(5.161666664481E1,7.994546156327E0, +-1.912486965353E1)); +#57098=VERTEX_POINT('',#57097); +#57099=CARTESIAN_POINT('',(5.161666664481E1,8.078204227473E0, +-1.906629164148E1)); +#57100=VERTEX_POINT('',#57099); +#57101=CARTESIAN_POINT('',(5.166666665077E1,8.189748361395E0, +-1.898818759807E1)); +#57102=VERTEX_POINT('',#57101); +#57103=CARTESIAN_POINT('',(5.173333331347E1,8.245520408825E0, +-1.894913559003E1)); +#57104=VERTEX_POINT('',#57103); +#57105=CARTESIAN_POINT('',(5.179999998808E1,8.273406432541E0, +-1.892960958602E1)); +#57106=VERTEX_POINT('',#57105); +#57107=CARTESIAN_POINT('',(5.186666663885E1,8.273406432541E0, +-1.892960958602E1)); +#57108=VERTEX_POINT('',#57107); +#57109=CARTESIAN_POINT('',(5.193333331347E1,8.245520408825E0, +-1.894913559003E1)); +#57110=VERTEX_POINT('',#57109); +#57111=CARTESIAN_POINT('',(5.199999996424E1,8.189748361395E0, +-1.898818759807E1)); +#57112=VERTEX_POINT('',#57111); +#57113=CARTESIAN_POINT('',(5.204999996424E1,8.078204227473E0, +-1.906629164148E1)); +#57114=VERTEX_POINT('',#57113); +#57115=CARTESIAN_POINT('',(5.204999996424E1,7.994546156327E0, +-1.912486965353E1)); +#57116=VERTEX_POINT('',#57115); +#57117=CARTESIAN_POINT('',(5.199999996424E1,7.883002041936E0, +-1.920297368327E1)); +#57118=VERTEX_POINT('',#57117); +#57119=CARTESIAN_POINT('',(5.193333331347E1,7.827229994505E0, +-1.924202569130E1)); +#57120=VERTEX_POINT('',#57119); +#57121=CARTESIAN_POINT('',(5.186666663885E1,7.799343970790E0, +-1.926155169532E1)); +#57122=VERTEX_POINT('',#57121); +#57123=CARTESIAN_POINT('',(5.186666663885E1,8.831126984968E0, +-1.853908945099E1)); +#57124=CARTESIAN_POINT('',(5.193333331347E1,8.803240961253E0, +-1.855861545501E1)); +#57125=VERTEX_POINT('',#57123); +#57126=VERTEX_POINT('',#57124); +#57127=CARTESIAN_POINT('',(5.199999996424E1,8.719582890107E0, +-1.861719346706E1)); +#57128=VERTEX_POINT('',#57127); +#57129=CARTESIAN_POINT('',(5.203333331347E1,8.635924740840E0, +-1.867577153381E1)); +#57130=VERTEX_POINT('',#57129); +#57131=CARTESIAN_POINT('',(5.203333331347E1,8.580152693410E0, +-1.871482354184E1)); +#57132=VERTEX_POINT('',#57131); +#57133=CARTESIAN_POINT('',(5.199999996424E1,8.496494622264E0, +-1.877340155389E1)); +#57134=VERTEX_POINT('',#57133); +#57135=CARTESIAN_POINT('',(5.193333331347E1,8.412836551118E0, +-1.883197956594E1)); +#57136=VERTEX_POINT('',#57135); +#57137=CARTESIAN_POINT('',(5.186666663885E1,8.384950527402E0, +-1.885150556995E1)); +#57138=VERTEX_POINT('',#57137); +#57139=CARTESIAN_POINT('',(5.179999998808E1,8.384950527402E0, +-1.885150556995E1)); +#57140=VERTEX_POINT('',#57139); +#57141=CARTESIAN_POINT('',(5.173333331347E1,8.412836551118E0, +-1.883197956594E1)); +#57142=VERTEX_POINT('',#57141); +#57143=CARTESIAN_POINT('',(5.166666665077E1,8.496494622264E0, +-1.877340155389E1)); +#57144=VERTEX_POINT('',#57143); +#57145=CARTESIAN_POINT('',(5.163333331347E1,8.580152693410E0, +-1.871482354184E1)); +#57146=VERTEX_POINT('',#57145); +#57147=CARTESIAN_POINT('',(5.163333331347E1,8.635924740840E0, +-1.867577153381E1)); +#57148=VERTEX_POINT('',#57147); +#57149=CARTESIAN_POINT('',(5.166666665077E1,8.719582890107E0, +-1.861719346706E1)); +#57150=VERTEX_POINT('',#57149); +#57151=CARTESIAN_POINT('',(5.173333331347E1,8.803240961253E0, +-1.855861545501E1)); +#57152=VERTEX_POINT('',#57151); +#57153=CARTESIAN_POINT('',(5.179999998808E1,8.831126984968E0, +-1.853908945099E1)); +#57154=VERTEX_POINT('',#57153); +#57155=CARTESIAN_POINT('',(5.226666666269E1,8.440722574833E0, +-1.881245356192E1)); +#57156=CARTESIAN_POINT('',(5.226666666269E1,8.189748361395E0, +-1.898818759807E1)); +#57157=VERTEX_POINT('',#57155); +#57158=VERTEX_POINT('',#57156); +#57159=CARTESIAN_POINT('',(5.228333331347E1,8.050318203758E0, +-1.908581764550E1)); +#57160=VERTEX_POINT('',#57159); +#57161=CARTESIAN_POINT('',(5.229999996424E1,7.966660132612E0, +-1.914439565754E1)); +#57162=VERTEX_POINT('',#57161); +#57163=CARTESIAN_POINT('',(5.233333331347E1,7.855116018220E0, +-1.922249968728E1)); +#57164=VERTEX_POINT('',#57163); +#57165=CARTESIAN_POINT('',(5.238333331347E1,7.771457937309E0, +-1.928107770617E1)); +#57166=VERTEX_POINT('',#57165); +#57167=CARTESIAN_POINT('',(5.246666661501E1,7.687799858839E0, +-1.933965572335E1)); +#57168=VERTEX_POINT('',#57167); +#57169=CARTESIAN_POINT('',(5.256666661501E1,7.659913832683E0, +-1.935918172907E1)); +#57170=VERTEX_POINT('',#57169); +#57171=CARTESIAN_POINT('',(5.266666661501E1,7.687799858839E0, +-1.933965572335E1)); +#57172=VERTEX_POINT('',#57171); +#57173=CARTESIAN_POINT('',(5.275000001192E1,7.771457937309E0, +-1.928107770617E1)); +#57174=VERTEX_POINT('',#57173); +#57175=CARTESIAN_POINT('',(5.280000001192E1,7.855116018220E0, +-1.922249968728E1)); +#57176=VERTEX_POINT('',#57175); +#57177=CARTESIAN_POINT('',(5.283333331347E1,7.966660132612E0, +-1.914439565754E1)); +#57178=VERTEX_POINT('',#57177); +#57179=CARTESIAN_POINT('',(5.285000001192E1,8.050318203758E0, +-1.908581764550E1)); +#57180=VERTEX_POINT('',#57179); +#57181=CARTESIAN_POINT('',(5.286666661501E1,8.189748361395E0, +-1.898818759807E1)); +#57182=VERTEX_POINT('',#57181); +#57183=CARTESIAN_POINT('',(5.286666661501E1,8.440722574833E0, +-1.881245356192E1)); +#57184=VERTEX_POINT('',#57183); +#57185=CARTESIAN_POINT('',(5.285000001192E1,8.580152693410E0, +-1.871482354184E1)); +#57186=VERTEX_POINT('',#57185); +#57187=CARTESIAN_POINT('',(5.283333331347E1,8.663810842676E0, +-1.865624547509E1)); +#57188=VERTEX_POINT('',#57187); +#57189=CARTESIAN_POINT('',(5.280000001192E1,8.775354937538E0, +-1.857814145902E1)); +#57190=VERTEX_POINT('',#57189); +#57191=CARTESIAN_POINT('',(5.275000001192E1,8.859013008684E0, +-1.851956344698E1)); +#57192=VERTEX_POINT('',#57191); +#57193=CARTESIAN_POINT('',(5.266666661501E1,8.942671079830E0, +-1.846098543493E1)); +#57194=VERTEX_POINT('',#57193); +#57195=CARTESIAN_POINT('',(5.256666661501E1,8.970557103545E0, +-1.844145943091E1)); +#57196=VERTEX_POINT('',#57195); +#57197=CARTESIAN_POINT('',(5.246666661501E1,8.942671079830E0, +-1.846098543493E1)); +#57198=VERTEX_POINT('',#57197); +#57199=CARTESIAN_POINT('',(5.238333331347E1,8.859013008684E0, +-1.851956344698E1)); +#57200=VERTEX_POINT('',#57199); +#57201=CARTESIAN_POINT('',(5.233333331347E1,8.775354937538E0, +-1.857814145902E1)); +#57202=VERTEX_POINT('',#57201); +#57203=CARTESIAN_POINT('',(5.229999996424E1,8.663810842676E0, +-1.865624547509E1)); +#57204=VERTEX_POINT('',#57203); +#57205=CARTESIAN_POINT('',(5.228333331347E1,8.580152693410E0, +-1.871482354184E1)); +#57206=VERTEX_POINT('',#57205); +#57207=CARTESIAN_POINT('',(5.235000001192E1,8.412836551118E0, +-1.883197956594E1)); +#57208=CARTESIAN_POINT('',(5.236666661501E1,8.580152693410E0, +-1.871482354184E1)); +#57209=VERTEX_POINT('',#57207); +#57210=VERTEX_POINT('',#57208); +#57211=CARTESIAN_POINT('',(5.243333331347E1,8.747468913822E0, +-1.859766746304E1)); +#57212=VERTEX_POINT('',#57211); +#57213=CARTESIAN_POINT('',(5.248333331347E1,8.803240961253E0, +-1.855861545501E1)); +#57214=VERTEX_POINT('',#57213); +#57215=CARTESIAN_POINT('',(5.256666661501E1,8.831126984968E0, +-1.853908945099E1)); +#57216=VERTEX_POINT('',#57215); +#57217=CARTESIAN_POINT('',(5.265000001192E1,8.803240961253E0, +-1.855861545501E1)); +#57218=VERTEX_POINT('',#57217); +#57219=CARTESIAN_POINT('',(5.270000001192E1,8.747468913822E0, +-1.859766746304E1)); +#57220=VERTEX_POINT('',#57219); +#57221=CARTESIAN_POINT('',(5.276666661501E1,8.580152693410E0, +-1.871482354184E1)); +#57222=VERTEX_POINT('',#57221); +#57223=CARTESIAN_POINT('',(5.278333331347E1,8.412836551118E0, +-1.883197956594E1)); +#57224=VERTEX_POINT('',#57223); +#57225=CARTESIAN_POINT('',(5.278333331347E1,8.217634385110E0, +-1.896866159405E1)); +#57226=VERTEX_POINT('',#57225); +#57227=CARTESIAN_POINT('',(5.276666661501E1,8.050318203758E0, +-1.908581764550E1)); +#57228=VERTEX_POINT('',#57227); +#57229=CARTESIAN_POINT('',(5.270000001192E1,7.883002041936E0, +-1.920297368327E1)); +#57230=VERTEX_POINT('',#57229); +#57231=CARTESIAN_POINT('',(5.265000001192E1,7.827229994505E0, +-1.924202569130E1)); +#57232=VERTEX_POINT('',#57231); +#57233=CARTESIAN_POINT('',(5.256666661501E1,7.799343970790E0, +-1.926155169532E1)); +#57234=VERTEX_POINT('',#57233); +#57235=CARTESIAN_POINT('',(5.248333331347E1,7.827229994505E0, +-1.924202569130E1)); +#57236=VERTEX_POINT('',#57235); +#57237=CARTESIAN_POINT('',(5.243333331347E1,7.883002041936E0, +-1.920297368327E1)); +#57238=VERTEX_POINT('',#57237); +#57239=CARTESIAN_POINT('',(5.236666661501E1,8.050318203758E0, +-1.908581764550E1)); +#57240=VERTEX_POINT('',#57239); +#57241=CARTESIAN_POINT('',(5.235000001192E1,8.217634385110E0, +-1.896866159405E1)); +#57242=VERTEX_POINT('',#57241); +#57243=CARTESIAN_POINT('',(-3.88E1,9.056593568998E0,-1.856433223755E1)); +#57244=CARTESIAN_POINT('',(-3.92E1,8.303670850563E0,-1.909153440069E1)); +#57245=VERTEX_POINT('',#57243); +#57246=VERTEX_POINT('',#57244); +#57247=CARTESIAN_POINT('',(-3.92E1,8.136354669210E0,-1.920869045214E1)); +#57248=VERTEX_POINT('',#57247); +#57249=CARTESIAN_POINT('',(-3.88E1,8.136354669210E0,-1.920869045214E1)); +#57250=VERTEX_POINT('',#57249); +#57251=CARTESIAN_POINT('',(-3.88E1,7.745950298135E0,-1.948205453572E1)); +#57252=VERTEX_POINT('',#57251); +#57253=CARTESIAN_POINT('',(-3.87E1,7.745950298135E0,-1.948205453572E1)); +#57254=VERTEX_POINT('',#57253); +#57255=CARTESIAN_POINT('',(-3.87E1,8.136354669210E0,-1.920869045214E1)); +#57256=VERTEX_POINT('',#57255); +#57257=CARTESIAN_POINT('',(-3.853333334923E1,8.136354669210E0, +-1.920869045214E1)); +#57258=VERTEX_POINT('',#57257); +#57259=CARTESIAN_POINT('',(-3.853333334923E1,8.303670850563E0, +-1.909153440069E1)); +#57260=VERTEX_POINT('',#57259); +#57261=CARTESIAN_POINT('',(-3.87E1,8.303670850563E0,-1.909153440069E1)); +#57262=VERTEX_POINT('',#57261); +#57263=CARTESIAN_POINT('',(-3.87E1,9.056593568998E0,-1.856433223755E1)); +#57264=VERTEX_POINT('',#57263); +#57265=CARTESIAN_POINT('',(-3.908333333731E1,8.303670850563E0, +-1.909153440069E1)); +#57266=CARTESIAN_POINT('',(-3.88E1,8.833505379275E0,-1.872054026968E1)); +#57267=VERTEX_POINT('',#57265); +#57268=VERTEX_POINT('',#57266); +#57269=CARTESIAN_POINT('',(-3.88E1,8.303670850563E0,-1.909153440069E1)); +#57270=VERTEX_POINT('',#57269); +#57271=CARTESIAN_POINT('',(-3.82E1,7.745950298135E0,-1.948205453572E1)); +#57272=CARTESIAN_POINT('',(-3.82E1,9.056593568998E0,-1.856433223755E1)); +#57273=VERTEX_POINT('',#57271); +#57274=VERTEX_POINT('',#57272); +#57275=CARTESIAN_POINT('',(-3.83E1,9.056593568998E0,-1.856433223755E1)); +#57276=VERTEX_POINT('',#57275); +#57277=CARTESIAN_POINT('',(-3.84E1,8.861391402990E0,-1.870101426567E1)); +#57278=VERTEX_POINT('',#57277); +#57279=CARTESIAN_POINT('',(-3.84E1,8.638303135147E0,-1.885722235250E1)); +#57280=VERTEX_POINT('',#57279); +#57281=CARTESIAN_POINT('',(-3.83E1,8.833505379275E0,-1.872054026968E1)); +#57282=VERTEX_POINT('',#57281); +#57283=CARTESIAN_POINT('',(-3.83E1,7.745950298135E0,-1.948205453572E1)); +#57284=VERTEX_POINT('',#57283); +#57285=CARTESIAN_POINT('',(2.630000001192E1,8.917163450421E0, +-1.866196225764E1)); +#57286=CARTESIAN_POINT('',(2.630000001192E1,9.056593568998E0, +-1.856433223755E1)); +#57287=VERTEX_POINT('',#57285); +#57288=VERTEX_POINT('',#57286); +#57289=CARTESIAN_POINT('',(2.570000001192E1,9.056593568998E0, +-1.856433223755E1)); +#57290=VERTEX_POINT('',#57289); +#57291=CARTESIAN_POINT('',(2.570000001192E1,8.917163450421E0, +-1.866196225764E1)); +#57292=VERTEX_POINT('',#57291); +#57293=CARTESIAN_POINT('',(2.620000001192E1,8.917163450421E0, +-1.866196225764E1)); +#57294=VERTEX_POINT('',#57293); +#57295=CARTESIAN_POINT('',(2.586666667461E1,7.745950298135E0, +-1.948205453572E1)); +#57296=VERTEX_POINT('',#57295); +#57297=CARTESIAN_POINT('',(2.596666668653E1,7.745950298135E0, +-1.948205453572E1)); +#57298=VERTEX_POINT('',#57297); +#57299=CARTESIAN_POINT('',(2.683333331347E1,9.056593568998E0, +-1.856433223755E1)); +#57300=CARTESIAN_POINT('',(2.643333336115E1,8.303670850563E0, +-1.909153440069E1)); +#57301=VERTEX_POINT('',#57299); +#57302=VERTEX_POINT('',#57300); +#57303=CARTESIAN_POINT('',(2.643333336115E1,8.136354669210E0, +-1.920869045214E1)); +#57304=VERTEX_POINT('',#57303); +#57305=CARTESIAN_POINT('',(2.683333331347E1,8.136354669210E0, +-1.920869045214E1)); +#57306=VERTEX_POINT('',#57305); +#57307=CARTESIAN_POINT('',(2.683333331347E1,7.745950298135E0, +-1.948205453572E1)); +#57308=VERTEX_POINT('',#57307); +#57309=CARTESIAN_POINT('',(2.693333331347E1,7.745950298135E0, +-1.948205453572E1)); +#57310=VERTEX_POINT('',#57309); +#57311=CARTESIAN_POINT('',(2.693333331347E1,8.136354669210E0, +-1.920869045214E1)); +#57312=VERTEX_POINT('',#57311); +#57313=CARTESIAN_POINT('',(2.710000001192E1,8.136354669210E0, +-1.920869045214E1)); +#57314=VERTEX_POINT('',#57313); +#57315=CARTESIAN_POINT('',(2.710000001192E1,8.303670850563E0, +-1.909153440069E1)); +#57316=VERTEX_POINT('',#57315); +#57317=CARTESIAN_POINT('',(2.693333331347E1,8.303670850563E0, +-1.909153440069E1)); +#57318=VERTEX_POINT('',#57317); +#57319=CARTESIAN_POINT('',(2.693333331347E1,9.056593568998E0, +-1.856433223755E1)); +#57320=VERTEX_POINT('',#57319); +#57321=CARTESIAN_POINT('',(2.655000001192E1,8.303670850563E0, +-1.909153440069E1)); +#57322=CARTESIAN_POINT('',(2.683333331347E1,8.833505379275E0, +-1.872054026968E1)); +#57323=VERTEX_POINT('',#57321); +#57324=VERTEX_POINT('',#57322); +#57325=CARTESIAN_POINT('',(2.683333331347E1,8.303670850563E0, +-1.909153440069E1)); +#57326=VERTEX_POINT('',#57325); +#57327=CARTESIAN_POINT('',(8.049999976158E0,8.331556874278E0, +-1.907200839668E1)); +#57328=CARTESIAN_POINT('',(8.116666650772E0,8.415214945424E0, +-1.901343038463E1)); +#57329=VERTEX_POINT('',#57327); +#57330=VERTEX_POINT('',#57328); +#57331=CARTESIAN_POINT('',(8.183333313465E0,8.443100969140E0, +-1.899390438061E1)); +#57332=VERTEX_POINT('',#57331); +#57333=CARTESIAN_POINT('',(8.283333313465E0,8.443100969140E0, +-1.899390438061E1)); +#57334=VERTEX_POINT('',#57333); +#57335=CARTESIAN_POINT('',(8.349999964237E0,8.415214945424E0, +-1.901343038463E1)); +#57336=VERTEX_POINT('',#57335); +#57337=CARTESIAN_POINT('',(8.399999964237E0,8.359442897993E0, +-1.905248239266E1)); +#57338=VERTEX_POINT('',#57337); +#57339=CARTESIAN_POINT('',(8.433333313465E0,8.275784826847E0, +-1.911106040471E1)); +#57340=VERTEX_POINT('',#57339); +#57341=CARTESIAN_POINT('',(8.449999964237E0,8.192126716641E0, +-1.916963844411E1)); +#57342=VERTEX_POINT('',#57341); +#57343=CARTESIAN_POINT('',(8.449999964237E0,8.136354669210E0, +-1.920869045214E1)); +#57344=VERTEX_POINT('',#57343); +#57345=CARTESIAN_POINT('',(8.433333313465E0,8.052696598064E0, +-1.926726846419E1)); +#57346=VERTEX_POINT('',#57345); +#57347=CARTESIAN_POINT('',(8.399999964237E0,7.969038507388E0, +-1.932584648991E1)); +#57348=VERTEX_POINT('',#57347); +#57349=CARTESIAN_POINT('',(8.349999964237E0,7.913266459958E0, +-1.936489849794E1)); +#57350=VERTEX_POINT('',#57349); +#57351=CARTESIAN_POINT('',(8.283333313465E0,7.885380436242E0, +-1.938442450196E1)); +#57352=VERTEX_POINT('',#57351); +#57353=CARTESIAN_POINT('',(8.183333313465E0,7.885380436242E0, +-1.938442450196E1)); +#57354=VERTEX_POINT('',#57353); +#57355=CARTESIAN_POINT('',(8.116666650772E0,7.913266459958E0, +-1.936489849794E1)); +#57356=VERTEX_POINT('',#57355); +#57357=CARTESIAN_POINT('',(8.066666650772E0,7.969038507388E0, +-1.932584648991E1)); +#57358=VERTEX_POINT('',#57357); +#57359=CARTESIAN_POINT('',(8.033333313465E0,8.080582621780E0, +-1.924774246017E1)); +#57360=VERTEX_POINT('',#57359); +#57361=CARTESIAN_POINT('',(7.933333313465E0,8.080582621780E0, +-1.924774246017E1)); +#57362=VERTEX_POINT('',#57361); +#57363=CARTESIAN_POINT('',(7.966666647792E0,7.969038507388E0, +-1.932584648991E1)); +#57364=VERTEX_POINT('',#57363); +#57365=CARTESIAN_POINT('',(8.016666644812E0,7.857494402762E0, +-1.940395051281E1)); +#57366=VERTEX_POINT('',#57365); +#57367=CARTESIAN_POINT('',(8.099999976158E0,7.773836324292E0, +-1.946252852999E1)); +#57368=VERTEX_POINT('',#57367); +#57369=CARTESIAN_POINT('',(8.183333313465E0,7.745950298135E0, +-1.948205453572E1)); +#57370=VERTEX_POINT('',#57369); +#57371=CARTESIAN_POINT('',(8.283333313465E0,7.745950298135E0, +-1.948205453572E1)); +#57372=VERTEX_POINT('',#57371); +#57373=CARTESIAN_POINT('',(8.366666662693E0,7.773836324292E0, +-1.946252852999E1)); +#57374=VERTEX_POINT('',#57373); +#57375=CARTESIAN_POINT('',(8.449999964237E0,7.857494402762E0, +-1.940395051281E1)); +#57376=VERTEX_POINT('',#57375); +#57377=CARTESIAN_POINT('',(8.499999964237E0,7.969038507388E0, +-1.932584648991E1)); +#57378=VERTEX_POINT('',#57377); +#57379=CARTESIAN_POINT('',(8.533333313465E0,8.108468645495E0, +-1.922821645616E1)); +#57380=VERTEX_POINT('',#57379); +#57381=CARTESIAN_POINT('',(8.533333313465E0,8.220012740356E0, +-1.915011244009E1)); +#57382=VERTEX_POINT('',#57381); +#57383=CARTESIAN_POINT('',(8.499999964237E0,8.359442897993E0, +-1.905248239266E1)); +#57384=VERTEX_POINT('',#57383); +#57385=CARTESIAN_POINT('',(8.449999964237E0,8.470986992855E0, +-1.897437837660E1)); +#57386=VERTEX_POINT('',#57385); +#57387=CARTESIAN_POINT('',(8.366666662693E0,8.554645064001E0, +-1.891580036455E1)); +#57388=VERTEX_POINT('',#57387); +#57389=CARTESIAN_POINT('',(8.283333313465E0,8.582531087716E0, +-1.889627436053E1)); +#57390=VERTEX_POINT('',#57389); +#57391=CARTESIAN_POINT('',(8.183333313465E0,8.582531087716E0, +-1.889627436053E1)); +#57392=VERTEX_POINT('',#57391); +#57393=CARTESIAN_POINT('',(8.099999976158E0,8.554645064001E0, +-1.891580036455E1)); +#57394=VERTEX_POINT('',#57393); +#57395=CARTESIAN_POINT('',(8.016666644812E0,8.470986992855E0, +-1.897437837660E1)); +#57396=VERTEX_POINT('',#57395); +#57397=CARTESIAN_POINT('',(8.016666644812E0,8.917163450421E0, +-1.866196225764E1)); +#57398=VERTEX_POINT('',#57397); +#57399=CARTESIAN_POINT('',(8.499999964237E0,8.917163450421E0, +-1.866196225764E1)); +#57400=VERTEX_POINT('',#57399); +#57401=CARTESIAN_POINT('',(8.499999964237E0,9.056593568998E0, +-1.856433223755E1)); +#57402=VERTEX_POINT('',#57401); +#57403=CARTESIAN_POINT('',(7.933333313465E0,9.056593568998E0, +-1.856433223755E1)); +#57404=VERTEX_POINT('',#57403); +#57405=CARTESIAN_POINT('',(7.933333313465E0,8.331556874278E0, +-1.907200839668E1)); +#57406=VERTEX_POINT('',#57405); +#57407=CARTESIAN_POINT('',(8.666666662693E0,7.885380436242E0, +-1.938442450196E1)); +#57408=CARTESIAN_POINT('',(8.666666662693E0,7.745950298135E0, +-1.948205453572E1)); +#57409=VERTEX_POINT('',#57407); +#57410=VERTEX_POINT('',#57408); +#57411=CARTESIAN_POINT('',(9.266666615009E0,7.745950298135E0, +-1.948205453572E1)); +#57412=VERTEX_POINT('',#57411); +#57413=CARTESIAN_POINT('',(9.266666615009E0,7.885380436242E0, +-1.938442450196E1)); +#57414=VERTEX_POINT('',#57413); +#57415=CARTESIAN_POINT('',(8.800000011921E0,7.885380436242E0, +-1.938442450196E1)); +#57416=VERTEX_POINT('',#57415); +#57417=CARTESIAN_POINT('',(9.216666615009E0,8.498873016570E0, +-1.895485237258E1)); +#57418=VERTEX_POINT('',#57417); +#57419=CARTESIAN_POINT('',(9.250000011921E0,8.582531087716E0, +-1.889627436053E1)); +#57420=VERTEX_POINT('',#57419); +#57421=CARTESIAN_POINT('',(9.266666615009E0,8.694075182578E0, +-1.881817034447E1)); +#57422=VERTEX_POINT('',#57421); +#57423=CARTESIAN_POINT('',(9.266666615009E0,8.749847308129E0, +-1.877911828173E1)); +#57424=VERTEX_POINT('',#57423); +#57425=CARTESIAN_POINT('',(9.250000011921E0,8.861391402990E0, +-1.870101426567E1)); +#57426=VERTEX_POINT('',#57425); +#57427=CARTESIAN_POINT('',(9.183333313465E0,8.972935497852E0, +-1.862291024960E1)); +#57428=VERTEX_POINT('',#57427); +#57429=CARTESIAN_POINT('',(9.116666615009E0,9.028707545282E0, +-1.858385824157E1)); +#57430=VERTEX_POINT('',#57429); +#57431=CARTESIAN_POINT('',(9.016666615009E0,9.056593568998E0, +-1.856433223755E1)); +#57432=VERTEX_POINT('',#57431); +#57433=CARTESIAN_POINT('',(8.950000011921E0,9.056593568998E0, +-1.856433223755E1)); +#57434=VERTEX_POINT('',#57433); +#57435=CARTESIAN_POINT('',(8.833333313465E0,9.028707545282E0, +-1.858385824157E1)); +#57436=VERTEX_POINT('',#57435); +#57437=CARTESIAN_POINT('',(8.766666615009E0,8.972935497852E0, +-1.862291024960E1)); +#57438=VERTEX_POINT('',#57437); +#57439=CARTESIAN_POINT('',(8.699999964237E0,8.861391402990E0, +-1.870101426567E1)); +#57440=VERTEX_POINT('',#57439); +#57441=CARTESIAN_POINT('',(8.683333313465E0,8.749847308129E0, +-1.877911828173E1)); +#57442=VERTEX_POINT('',#57441); +#57443=CARTESIAN_POINT('',(8.766666615009E0,8.749847308129E0, +-1.877911828173E1)); +#57444=VERTEX_POINT('',#57443); +#57445=CARTESIAN_POINT('',(8.800000011921E0,8.833505379275E0, +-1.872054026968E1)); +#57446=VERTEX_POINT('',#57445); +#57447=CARTESIAN_POINT('',(8.850000011921E0,8.889277426706E0, +-1.868148826165E1)); +#57448=VERTEX_POINT('',#57447); +#57449=CARTESIAN_POINT('',(8.933333313465E0,8.917163450421E0, +-1.866196225764E1)); +#57450=VERTEX_POINT('',#57449); +#57451=CARTESIAN_POINT('',(9.000000011921E0,8.917163450421E0, +-1.866196225764E1)); +#57452=VERTEX_POINT('',#57451); +#57453=CARTESIAN_POINT('',(9.083333313465E0,8.889277426706E0, +-1.868148826165E1)); +#57454=VERTEX_POINT('',#57453); +#57455=CARTESIAN_POINT('',(9.133333313465E0,8.833505379275E0, +-1.872054026968E1)); +#57456=VERTEX_POINT('',#57455); +#57457=CARTESIAN_POINT('',(9.166666615009E0,8.749847308129E0, +-1.877911828173E1)); +#57458=VERTEX_POINT('',#57457); +#57459=CARTESIAN_POINT('',(9.166666615009E0,8.694075182578E0, +-1.881817034447E1)); +#57460=VERTEX_POINT('',#57459); +#57461=CARTESIAN_POINT('',(9.133333313465E0,8.582531087716E0, +-1.889627436053E1)); +#57462=VERTEX_POINT('',#57461); +#57463=CARTESIAN_POINT('',(5.166666665077E1,8.415214945424E0, +-1.901343038463E1)); +#57464=CARTESIAN_POINT('',(5.163333331347E1,8.387328921709E0, +-1.903295638864E1)); +#57465=VERTEX_POINT('',#57463); +#57466=VERTEX_POINT('',#57464); +#57467=CARTESIAN_POINT('',(5.156666664779E1,8.303670850563E0, +-1.909153440069E1)); +#57468=VERTEX_POINT('',#57467); +#57469=CARTESIAN_POINT('',(5.153333331347E1,8.164240692926E0, +-1.918916444812E1)); +#57470=VERTEX_POINT('',#57469); +#57471=CARTESIAN_POINT('',(5.153333331347E1,8.080582621780E0, +-1.924774246017E1)); +#57472=VERTEX_POINT('',#57471); +#57473=CARTESIAN_POINT('',(5.156666664779E1,7.941152483673E0, +-1.934537249393E1)); +#57474=VERTEX_POINT('',#57473); +#57475=CARTESIAN_POINT('',(5.161666664481E1,7.857494402762E0, +-1.940395051281E1)); +#57476=VERTEX_POINT('',#57475); +#57477=CARTESIAN_POINT('',(5.169999997616E1,7.773836324292E0, +-1.946252852999E1)); +#57478=VERTEX_POINT('',#57477); +#57479=CARTESIAN_POINT('',(5.178333331347E1,7.745950298135E0, +-1.948205453572E1)); +#57480=VERTEX_POINT('',#57479); +#57481=CARTESIAN_POINT('',(5.188333331347E1,7.745950298135E0, +-1.948205453572E1)); +#57482=VERTEX_POINT('',#57481); +#57483=CARTESIAN_POINT('',(5.196666666269E1,7.773836324292E0, +-1.946252852999E1)); +#57484=VERTEX_POINT('',#57483); +#57485=CARTESIAN_POINT('',(5.204999996424E1,7.857494402762E0, +-1.940395051281E1)); +#57486=VERTEX_POINT('',#57485); +#57487=CARTESIAN_POINT('',(5.209999996424E1,7.941152483673E0, +-1.934537249393E1)); +#57488=VERTEX_POINT('',#57487); +#57489=CARTESIAN_POINT('',(5.213333331347E1,8.080582621780E0, +-1.924774246017E1)); +#57490=VERTEX_POINT('',#57489); +#57491=CARTESIAN_POINT('',(5.213333331347E1,8.164240692926E0, +-1.918916444812E1)); +#57492=VERTEX_POINT('',#57491); +#57493=CARTESIAN_POINT('',(5.209999996424E1,8.303670850563E0, +-1.909153440069E1)); +#57494=VERTEX_POINT('',#57493); +#57495=CARTESIAN_POINT('',(5.203333331347E1,8.387328921709E0, +-1.903295638864E1)); +#57496=VERTEX_POINT('',#57495); +#57497=CARTESIAN_POINT('',(5.199999996424E1,8.415214945424E0, +-1.901343038463E1)); +#57498=VERTEX_POINT('',#57497); +#57499=CARTESIAN_POINT('',(5.203333331347E1,8.443100969140E0, +-1.899390438061E1)); +#57500=VERTEX_POINT('',#57499); +#57501=CARTESIAN_POINT('',(5.208333331347E1,8.526759040286E0, +-1.893532636856E1)); +#57502=VERTEX_POINT('',#57501); +#57503=CARTESIAN_POINT('',(5.211666666269E1,8.638303135147E0, +-1.885722235250E1)); +#57504=VERTEX_POINT('',#57503); +#57505=CARTESIAN_POINT('',(5.211666666269E1,8.721961206293E0, +-1.879864434045E1)); +#57506=VERTEX_POINT('',#57505); +#57507=CARTESIAN_POINT('',(5.208333331347E1,8.861391402990E0, +-1.870101426567E1)); +#57508=VERTEX_POINT('',#57507); +#57509=CARTESIAN_POINT('',(5.203333331347E1,8.945049474136E0, +-1.864243625362E1)); +#57510=VERTEX_POINT('',#57509); +#57511=CARTESIAN_POINT('',(5.194999996424E1,9.028707545282E0, +-1.858385824157E1)); +#57512=VERTEX_POINT('',#57511); +#57513=CARTESIAN_POINT('',(5.186666663885E1,9.056593568998E0, +-1.856433223755E1)); +#57514=VERTEX_POINT('',#57513); +#57515=CARTESIAN_POINT('',(5.179999998808E1,9.056593568998E0, +-1.856433223755E1)); +#57516=VERTEX_POINT('',#57515); +#57517=CARTESIAN_POINT('',(5.171666665077E1,9.028707545282E0, +-1.858385824157E1)); +#57518=VERTEX_POINT('',#57517); +#57519=CARTESIAN_POINT('',(5.163333331347E1,8.945049474136E0, +-1.864243625362E1)); +#57520=VERTEX_POINT('',#57519); +#57521=CARTESIAN_POINT('',(5.158333331347E1,8.861391402990E0, +-1.870101426567E1)); +#57522=VERTEX_POINT('',#57521); +#57523=CARTESIAN_POINT('',(5.154999998063E1,8.721961206293E0, +-1.879864434045E1)); +#57524=VERTEX_POINT('',#57523); +#57525=CARTESIAN_POINT('',(5.154999998063E1,8.638303135147E0, +-1.885722235250E1)); +#57526=VERTEX_POINT('',#57525); +#57527=CARTESIAN_POINT('',(5.158333331347E1,8.526759040286E0, +-1.893532636856E1)); +#57528=VERTEX_POINT('',#57527); +#57529=CARTESIAN_POINT('',(5.163333331347E1,8.443100969140E0, +-1.899390438061E1)); +#57530=VERTEX_POINT('',#57529); +#57531=CARTESIAN_POINT('',(5.179999998808E1,7.885380436242E0, +-1.938442450196E1)); +#57532=CARTESIAN_POINT('',(5.173333331347E1,7.913266459958E0, +-1.936489849794E1)); +#57533=VERTEX_POINT('',#57531); +#57534=VERTEX_POINT('',#57532); +#57535=CARTESIAN_POINT('',(5.166666665077E1,7.969038507388E0, +-1.932584648991E1)); +#57536=VERTEX_POINT('',#57535); +#57537=CARTESIAN_POINT('',(5.161666664481E1,8.080582621780E0, +-1.924774246017E1)); +#57538=VERTEX_POINT('',#57537); +#57539=CARTESIAN_POINT('',(5.161666664481E1,8.164240692926E0, +-1.918916444812E1)); +#57540=VERTEX_POINT('',#57539); +#57541=CARTESIAN_POINT('',(5.166666665077E1,8.275784826847E0, +-1.911106040471E1)); +#57542=VERTEX_POINT('',#57541); +#57543=CARTESIAN_POINT('',(5.173333331347E1,8.331556874278E0, +-1.907200839668E1)); +#57544=VERTEX_POINT('',#57543); +#57545=CARTESIAN_POINT('',(5.179999998808E1,8.359442897993E0, +-1.905248239266E1)); +#57546=VERTEX_POINT('',#57545); +#57547=CARTESIAN_POINT('',(5.186666663885E1,8.359442897993E0, +-1.905248239266E1)); +#57548=VERTEX_POINT('',#57547); +#57549=CARTESIAN_POINT('',(5.193333331347E1,8.331556874278E0, +-1.907200839668E1)); +#57550=VERTEX_POINT('',#57549); +#57551=CARTESIAN_POINT('',(5.199999996424E1,8.275784826847E0, +-1.911106040471E1)); +#57552=VERTEX_POINT('',#57551); +#57553=CARTESIAN_POINT('',(5.204999996424E1,8.164240692926E0, +-1.918916444812E1)); +#57554=VERTEX_POINT('',#57553); +#57555=CARTESIAN_POINT('',(5.204999996424E1,8.080582621780E0, +-1.924774246017E1)); +#57556=VERTEX_POINT('',#57555); +#57557=CARTESIAN_POINT('',(5.199999996424E1,7.969038507388E0, +-1.932584648991E1)); +#57558=VERTEX_POINT('',#57557); +#57559=CARTESIAN_POINT('',(5.193333331347E1,7.913266459958E0, +-1.936489849794E1)); +#57560=VERTEX_POINT('',#57559); +#57561=CARTESIAN_POINT('',(5.186666663885E1,7.885380436242E0, +-1.938442450196E1)); +#57562=VERTEX_POINT('',#57561); +#57563=CARTESIAN_POINT('',(5.186666663885E1,8.917163450421E0, +-1.866196225764E1)); +#57564=CARTESIAN_POINT('',(5.193333331347E1,8.889277426706E0, +-1.868148826165E1)); +#57565=VERTEX_POINT('',#57563); +#57566=VERTEX_POINT('',#57564); +#57567=CARTESIAN_POINT('',(5.199999996424E1,8.805619355560E0, +-1.874006627370E1)); +#57568=VERTEX_POINT('',#57567); +#57569=CARTESIAN_POINT('',(5.203333331347E1,8.721961206293E0, +-1.879864434045E1)); +#57570=VERTEX_POINT('',#57569); +#57571=CARTESIAN_POINT('',(5.203333331347E1,8.666189158862E0, +-1.883769634848E1)); +#57572=VERTEX_POINT('',#57571); +#57573=CARTESIAN_POINT('',(5.199999996424E1,8.582531087716E0, +-1.889627436053E1)); +#57574=VERTEX_POINT('',#57573); +#57575=CARTESIAN_POINT('',(5.193333331347E1,8.498873016570E0, +-1.895485237258E1)); +#57576=VERTEX_POINT('',#57575); +#57577=CARTESIAN_POINT('',(5.186666663885E1,8.470986992855E0, +-1.897437837660E1)); +#57578=VERTEX_POINT('',#57577); +#57579=CARTESIAN_POINT('',(5.179999998808E1,8.470986992855E0, +-1.897437837660E1)); +#57580=VERTEX_POINT('',#57579); +#57581=CARTESIAN_POINT('',(5.173333331347E1,8.498873016570E0, +-1.895485237258E1)); +#57582=VERTEX_POINT('',#57581); +#57583=CARTESIAN_POINT('',(5.166666665077E1,8.582531087716E0, +-1.889627436053E1)); +#57584=VERTEX_POINT('',#57583); +#57585=CARTESIAN_POINT('',(5.163333331347E1,8.666189158862E0, +-1.883769634848E1)); +#57586=VERTEX_POINT('',#57585); +#57587=CARTESIAN_POINT('',(5.163333331347E1,8.721961206293E0, +-1.879864434045E1)); +#57588=VERTEX_POINT('',#57587); +#57589=CARTESIAN_POINT('',(5.166666665077E1,8.805619355560E0, +-1.874006627370E1)); +#57590=VERTEX_POINT('',#57589); +#57591=CARTESIAN_POINT('',(5.173333331347E1,8.889277426706E0, +-1.868148826165E1)); +#57592=VERTEX_POINT('',#57591); +#57593=CARTESIAN_POINT('',(5.179999998808E1,8.917163450421E0, +-1.866196225764E1)); +#57594=VERTEX_POINT('',#57593); +#57595=CARTESIAN_POINT('',(5.226666666269E1,8.526759040286E0, +-1.893532636856E1)); +#57596=CARTESIAN_POINT('',(5.226666666269E1,8.275784826847E0, +-1.911106040471E1)); +#57597=VERTEX_POINT('',#57595); +#57598=VERTEX_POINT('',#57596); +#57599=CARTESIAN_POINT('',(5.228333331347E1,8.136354669210E0, +-1.920869045214E1)); +#57600=VERTEX_POINT('',#57599); +#57601=CARTESIAN_POINT('',(5.229999996424E1,8.052696598064E0, +-1.926726846419E1)); +#57602=VERTEX_POINT('',#57601); +#57603=CARTESIAN_POINT('',(5.233333331347E1,7.941152483673E0, +-1.934537249393E1)); +#57604=VERTEX_POINT('',#57603); +#57605=CARTESIAN_POINT('',(5.238333331347E1,7.857494402762E0, +-1.940395051281E1)); +#57606=VERTEX_POINT('',#57605); +#57607=CARTESIAN_POINT('',(5.246666661501E1,7.773836324292E0, +-1.946252852999E1)); +#57608=VERTEX_POINT('',#57607); +#57609=CARTESIAN_POINT('',(5.256666661501E1,7.745950298135E0, +-1.948205453572E1)); +#57610=VERTEX_POINT('',#57609); +#57611=CARTESIAN_POINT('',(5.266666661501E1,7.773836324292E0, +-1.946252852999E1)); +#57612=VERTEX_POINT('',#57611); +#57613=CARTESIAN_POINT('',(5.275000001192E1,7.857494402762E0, +-1.940395051281E1)); +#57614=VERTEX_POINT('',#57613); +#57615=CARTESIAN_POINT('',(5.280000001192E1,7.941152483673E0, +-1.934537249393E1)); +#57616=VERTEX_POINT('',#57615); +#57617=CARTESIAN_POINT('',(5.283333331347E1,8.052696598064E0, +-1.926726846419E1)); +#57618=VERTEX_POINT('',#57617); +#57619=CARTESIAN_POINT('',(5.285000001192E1,8.136354669210E0, +-1.920869045214E1)); +#57620=VERTEX_POINT('',#57619); +#57621=CARTESIAN_POINT('',(5.286666661501E1,8.275784826847E0, +-1.911106040471E1)); +#57622=VERTEX_POINT('',#57621); +#57623=CARTESIAN_POINT('',(5.286666661501E1,8.526759040286E0, +-1.893532636856E1)); +#57624=VERTEX_POINT('',#57623); +#57625=CARTESIAN_POINT('',(5.285000001192E1,8.666189158862E0, +-1.883769634848E1)); +#57626=VERTEX_POINT('',#57625); +#57627=CARTESIAN_POINT('',(5.283333331347E1,8.749847308129E0, +-1.877911828173E1)); +#57628=VERTEX_POINT('',#57627); +#57629=CARTESIAN_POINT('',(5.280000001192E1,8.861391402990E0, +-1.870101426567E1)); +#57630=VERTEX_POINT('',#57629); +#57631=CARTESIAN_POINT('',(5.275000001192E1,8.945049474136E0, +-1.864243625362E1)); +#57632=VERTEX_POINT('',#57631); +#57633=CARTESIAN_POINT('',(5.266666661501E1,9.028707545282E0, +-1.858385824157E1)); +#57634=VERTEX_POINT('',#57633); +#57635=CARTESIAN_POINT('',(5.256666661501E1,9.056593568998E0, +-1.856433223755E1)); +#57636=VERTEX_POINT('',#57635); +#57637=CARTESIAN_POINT('',(5.246666661501E1,9.028707545282E0, +-1.858385824157E1)); +#57638=VERTEX_POINT('',#57637); +#57639=CARTESIAN_POINT('',(5.238333331347E1,8.945049474136E0, +-1.864243625362E1)); +#57640=VERTEX_POINT('',#57639); +#57641=CARTESIAN_POINT('',(5.233333331347E1,8.861391402990E0, +-1.870101426567E1)); +#57642=VERTEX_POINT('',#57641); +#57643=CARTESIAN_POINT('',(5.229999996424E1,8.749847308129E0, +-1.877911828173E1)); +#57644=VERTEX_POINT('',#57643); +#57645=CARTESIAN_POINT('',(5.228333331347E1,8.666189158862E0, +-1.883769634848E1)); +#57646=VERTEX_POINT('',#57645); +#57647=CARTESIAN_POINT('',(5.235000001192E1,8.498873016570E0, +-1.895485237258E1)); +#57648=CARTESIAN_POINT('',(5.236666661501E1,8.666189158862E0, +-1.883769634848E1)); +#57649=VERTEX_POINT('',#57647); +#57650=VERTEX_POINT('',#57648); +#57651=CARTESIAN_POINT('',(5.243333331347E1,8.833505379275E0, +-1.872054026968E1)); +#57652=VERTEX_POINT('',#57651); +#57653=CARTESIAN_POINT('',(5.248333331347E1,8.889277426706E0, +-1.868148826165E1)); +#57654=VERTEX_POINT('',#57653); +#57655=CARTESIAN_POINT('',(5.256666661501E1,8.917163450421E0, +-1.866196225764E1)); +#57656=VERTEX_POINT('',#57655); +#57657=CARTESIAN_POINT('',(5.265000001192E1,8.889277426706E0, +-1.868148826165E1)); +#57658=VERTEX_POINT('',#57657); +#57659=CARTESIAN_POINT('',(5.270000001192E1,8.833505379275E0, +-1.872054026968E1)); +#57660=VERTEX_POINT('',#57659); +#57661=CARTESIAN_POINT('',(5.276666661501E1,8.666189158862E0, +-1.883769634848E1)); +#57662=VERTEX_POINT('',#57661); +#57663=CARTESIAN_POINT('',(5.278333331347E1,8.498873016570E0, +-1.895485237258E1)); +#57664=VERTEX_POINT('',#57663); +#57665=CARTESIAN_POINT('',(5.278333331347E1,8.303670850563E0, +-1.909153440069E1)); +#57666=VERTEX_POINT('',#57665); +#57667=CARTESIAN_POINT('',(5.276666661501E1,8.136354669210E0, +-1.920869045214E1)); +#57668=VERTEX_POINT('',#57667); +#57669=CARTESIAN_POINT('',(5.270000001192E1,7.969038507388E0, +-1.932584648991E1)); +#57670=VERTEX_POINT('',#57669); +#57671=CARTESIAN_POINT('',(5.265000001192E1,7.913266459958E0, +-1.936489849794E1)); +#57672=VERTEX_POINT('',#57671); +#57673=CARTESIAN_POINT('',(5.256666661501E1,7.885380436242E0, +-1.938442450196E1)); +#57674=VERTEX_POINT('',#57673); +#57675=CARTESIAN_POINT('',(5.248333331347E1,7.913266459958E0, +-1.936489849794E1)); +#57676=VERTEX_POINT('',#57675); +#57677=CARTESIAN_POINT('',(5.243333331347E1,7.969038507388E0, +-1.932584648991E1)); +#57678=VERTEX_POINT('',#57677); +#57679=CARTESIAN_POINT('',(5.236666661501E1,8.136354669210E0, +-1.920869045214E1)); +#57680=VERTEX_POINT('',#57679); +#57681=CARTESIAN_POINT('',(5.235000001192E1,8.303670850563E0, +-1.909153440069E1)); +#57682=VERTEX_POINT('',#57681); +#57683=CARTESIAN_POINT('',(0.E0,-1.35E1,-2.93E1)); +#57684=DIRECTION('',(0.E0,-1.E0,0.E0)); +#57685=DIRECTION('',(1.E0,0.E0,0.E0)); +#57686=AXIS2_PLACEMENT_3D('',#57683,#57684,#57685); +#57687=PLANE('',#57686); +#57689=ORIENTED_EDGE('',*,*,#57688,.T.); +#57691=ORIENTED_EDGE('',*,*,#57690,.T.); +#57693=ORIENTED_EDGE('',*,*,#57692,.F.); +#57695=ORIENTED_EDGE('',*,*,#57694,.T.); +#57697=ORIENTED_EDGE('',*,*,#57696,.T.); +#57699=ORIENTED_EDGE('',*,*,#57698,.T.); +#57701=ORIENTED_EDGE('',*,*,#57700,.F.); +#57703=ORIENTED_EDGE('',*,*,#57702,.F.); +#57705=ORIENTED_EDGE('',*,*,#57704,.T.); +#57707=ORIENTED_EDGE('',*,*,#57706,.T.); +#57709=ORIENTED_EDGE('',*,*,#57708,.T.); +#57711=ORIENTED_EDGE('',*,*,#57710,.T.); +#57713=ORIENTED_EDGE('',*,*,#57712,.T.); +#57715=ORIENTED_EDGE('',*,*,#57714,.T.); +#57717=ORIENTED_EDGE('',*,*,#57716,.T.); +#57719=ORIENTED_EDGE('',*,*,#57718,.T.); +#57721=ORIENTED_EDGE('',*,*,#57720,.T.); +#57723=ORIENTED_EDGE('',*,*,#57722,.T.); +#57725=ORIENTED_EDGE('',*,*,#57724,.T.); +#57727=ORIENTED_EDGE('',*,*,#57726,.T.); +#57729=ORIENTED_EDGE('',*,*,#57728,.T.); +#57731=ORIENTED_EDGE('',*,*,#57730,.T.); +#57732=EDGE_LOOP('',(#57689,#57691,#57693,#57695,#57697,#57699,#57701,#57703, +#57705,#57707,#57709,#57711,#57713,#57715,#57717,#57719,#57721,#57723,#57725, +#57727,#57729,#57731)); +#57733=FACE_OUTER_BOUND('',#57732,.F.); +#57735=ORIENTED_EDGE('',*,*,#57734,.T.); +#57737=ORIENTED_EDGE('',*,*,#57736,.T.); +#57738=EDGE_LOOP('',(#57735,#57737)); +#57739=FACE_BOUND('',#57738,.F.); +#57741=ORIENTED_EDGE('',*,*,#57740,.T.); +#57743=ORIENTED_EDGE('',*,*,#57742,.T.); +#57744=EDGE_LOOP('',(#57741,#57743)); +#57745=FACE_BOUND('',#57744,.F.); +#57747=ORIENTED_EDGE('',*,*,#57746,.F.); +#57749=ORIENTED_EDGE('',*,*,#57748,.T.); +#57751=ORIENTED_EDGE('',*,*,#57750,.T.); +#57753=ORIENTED_EDGE('',*,*,#57752,.F.); +#57754=EDGE_LOOP('',(#57747,#57749,#57751,#57753)); +#57755=FACE_BOUND('',#57754,.F.); +#57757=ORIENTED_EDGE('',*,*,#57756,.F.); +#57759=ORIENTED_EDGE('',*,*,#57758,.T.); +#57761=ORIENTED_EDGE('',*,*,#57760,.T.); +#57763=ORIENTED_EDGE('',*,*,#57762,.F.); +#57764=EDGE_LOOP('',(#57757,#57759,#57761,#57763)); +#57765=FACE_BOUND('',#57764,.F.); +#57767=ORIENTED_EDGE('',*,*,#57766,.F.); +#57769=ORIENTED_EDGE('',*,*,#57768,.T.); +#57771=ORIENTED_EDGE('',*,*,#57770,.T.); +#57773=ORIENTED_EDGE('',*,*,#57772,.F.); +#57774=EDGE_LOOP('',(#57767,#57769,#57771,#57773)); +#57775=FACE_BOUND('',#57774,.F.); +#57777=ORIENTED_EDGE('',*,*,#57776,.F.); +#57779=ORIENTED_EDGE('',*,*,#57778,.T.); +#57781=ORIENTED_EDGE('',*,*,#57780,.T.); +#57783=ORIENTED_EDGE('',*,*,#57782,.F.); +#57784=EDGE_LOOP('',(#57777,#57779,#57781,#57783)); +#57785=FACE_BOUND('',#57784,.F.); +#57787=ORIENTED_EDGE('',*,*,#57786,.T.); +#57789=ORIENTED_EDGE('',*,*,#57788,.T.); +#57791=ORIENTED_EDGE('',*,*,#57790,.F.); +#57793=ORIENTED_EDGE('',*,*,#57792,.F.); +#57794=EDGE_LOOP('',(#57787,#57789,#57791,#57793)); +#57795=FACE_BOUND('',#57794,.F.); +#57797=ORIENTED_EDGE('',*,*,#57796,.T.); +#57799=ORIENTED_EDGE('',*,*,#57798,.T.); +#57801=ORIENTED_EDGE('',*,*,#57800,.F.); +#57803=ORIENTED_EDGE('',*,*,#57802,.F.); +#57804=EDGE_LOOP('',(#57797,#57799,#57801,#57803)); +#57805=FACE_BOUND('',#57804,.F.); +#57807=ORIENTED_EDGE('',*,*,#57806,.T.); +#57809=ORIENTED_EDGE('',*,*,#57808,.T.); +#57811=ORIENTED_EDGE('',*,*,#57810,.F.); +#57813=ORIENTED_EDGE('',*,*,#57812,.F.); +#57814=EDGE_LOOP('',(#57807,#57809,#57811,#57813)); +#57815=FACE_BOUND('',#57814,.F.); +#57817=ORIENTED_EDGE('',*,*,#57816,.T.); +#57819=ORIENTED_EDGE('',*,*,#57818,.T.); +#57821=ORIENTED_EDGE('',*,*,#57820,.F.); +#57823=ORIENTED_EDGE('',*,*,#57822,.F.); +#57824=EDGE_LOOP('',(#57817,#57819,#57821,#57823)); +#57825=FACE_BOUND('',#57824,.F.); +#57827=ORIENTED_EDGE('',*,*,#57826,.T.); +#57829=ORIENTED_EDGE('',*,*,#57828,.T.); +#57831=ORIENTED_EDGE('',*,*,#57830,.F.); +#57833=ORIENTED_EDGE('',*,*,#57832,.F.); +#57834=EDGE_LOOP('',(#57827,#57829,#57831,#57833)); +#57835=FACE_BOUND('',#57834,.F.); +#57837=ORIENTED_EDGE('',*,*,#57836,.T.); +#57839=ORIENTED_EDGE('',*,*,#57838,.T.); +#57841=ORIENTED_EDGE('',*,*,#57840,.F.); +#57843=ORIENTED_EDGE('',*,*,#57842,.F.); +#57844=EDGE_LOOP('',(#57837,#57839,#57841,#57843)); +#57845=FACE_BOUND('',#57844,.F.); +#57847=ORIENTED_EDGE('',*,*,#57846,.T.); +#57849=ORIENTED_EDGE('',*,*,#57848,.T.); +#57851=ORIENTED_EDGE('',*,*,#57850,.F.); +#57853=ORIENTED_EDGE('',*,*,#57852,.F.); +#57854=EDGE_LOOP('',(#57847,#57849,#57851,#57853)); +#57855=FACE_BOUND('',#57854,.F.); +#57857=ORIENTED_EDGE('',*,*,#57856,.T.); +#57859=ORIENTED_EDGE('',*,*,#57858,.T.); +#57861=ORIENTED_EDGE('',*,*,#57860,.F.); +#57863=ORIENTED_EDGE('',*,*,#57862,.F.); +#57864=EDGE_LOOP('',(#57857,#57859,#57861,#57863)); +#57865=FACE_BOUND('',#57864,.F.); +#57867=ORIENTED_EDGE('',*,*,#57866,.T.); +#57869=ORIENTED_EDGE('',*,*,#57868,.T.); +#57871=ORIENTED_EDGE('',*,*,#57870,.F.); +#57873=ORIENTED_EDGE('',*,*,#57872,.F.); +#57874=EDGE_LOOP('',(#57867,#57869,#57871,#57873)); +#57875=FACE_BOUND('',#57874,.F.); +#57877=ORIENTED_EDGE('',*,*,#57876,.T.); +#57879=ORIENTED_EDGE('',*,*,#57878,.T.); +#57881=ORIENTED_EDGE('',*,*,#57880,.F.); +#57883=ORIENTED_EDGE('',*,*,#57882,.F.); +#57884=EDGE_LOOP('',(#57877,#57879,#57881,#57883)); +#57885=FACE_BOUND('',#57884,.F.); +#57887=ORIENTED_EDGE('',*,*,#57886,.T.); +#57889=ORIENTED_EDGE('',*,*,#57888,.T.); +#57891=ORIENTED_EDGE('',*,*,#57890,.F.); +#57893=ORIENTED_EDGE('',*,*,#57892,.F.); +#57894=EDGE_LOOP('',(#57887,#57889,#57891,#57893)); +#57895=FACE_BOUND('',#57894,.F.); +#57897=ORIENTED_EDGE('',*,*,#57896,.T.); +#57899=ORIENTED_EDGE('',*,*,#57898,.T.); +#57901=ORIENTED_EDGE('',*,*,#57900,.F.); +#57903=ORIENTED_EDGE('',*,*,#57902,.F.); +#57904=EDGE_LOOP('',(#57897,#57899,#57901,#57903)); +#57905=FACE_BOUND('',#57904,.F.); +#57907=ORIENTED_EDGE('',*,*,#57906,.T.); +#57909=ORIENTED_EDGE('',*,*,#57908,.T.); +#57911=ORIENTED_EDGE('',*,*,#57910,.F.); +#57913=ORIENTED_EDGE('',*,*,#57912,.F.); +#57914=EDGE_LOOP('',(#57907,#57909,#57911,#57913)); +#57915=FACE_BOUND('',#57914,.F.); +#57917=ORIENTED_EDGE('',*,*,#57916,.T.); +#57919=ORIENTED_EDGE('',*,*,#57918,.T.); +#57921=ORIENTED_EDGE('',*,*,#57920,.F.); +#57923=ORIENTED_EDGE('',*,*,#57922,.F.); +#57924=EDGE_LOOP('',(#57917,#57919,#57921,#57923)); +#57925=FACE_BOUND('',#57924,.F.); +#57927=ORIENTED_EDGE('',*,*,#57926,.T.); +#57929=ORIENTED_EDGE('',*,*,#57928,.T.); +#57931=ORIENTED_EDGE('',*,*,#57930,.F.); +#57933=ORIENTED_EDGE('',*,*,#57932,.F.); +#57934=EDGE_LOOP('',(#57927,#57929,#57931,#57933)); +#57935=FACE_BOUND('',#57934,.F.); +#57937=ORIENTED_EDGE('',*,*,#57936,.T.); +#57939=ORIENTED_EDGE('',*,*,#57938,.T.); +#57941=ORIENTED_EDGE('',*,*,#57940,.F.); +#57943=ORIENTED_EDGE('',*,*,#57942,.F.); +#57944=EDGE_LOOP('',(#57937,#57939,#57941,#57943)); +#57945=FACE_BOUND('',#57944,.F.); +#57947=ORIENTED_EDGE('',*,*,#57946,.T.); +#57949=ORIENTED_EDGE('',*,*,#57948,.T.); +#57951=ORIENTED_EDGE('',*,*,#57950,.F.); +#57953=ORIENTED_EDGE('',*,*,#57952,.F.); +#57954=EDGE_LOOP('',(#57947,#57949,#57951,#57953)); +#57955=FACE_BOUND('',#57954,.F.); +#57957=ORIENTED_EDGE('',*,*,#57956,.T.); +#57959=ORIENTED_EDGE('',*,*,#57958,.T.); +#57961=ORIENTED_EDGE('',*,*,#57960,.F.); +#57963=ORIENTED_EDGE('',*,*,#57962,.F.); +#57964=EDGE_LOOP('',(#57957,#57959,#57961,#57963)); +#57965=FACE_BOUND('',#57964,.F.); +#57967=ORIENTED_EDGE('',*,*,#57966,.T.); +#57969=ORIENTED_EDGE('',*,*,#57968,.T.); +#57971=ORIENTED_EDGE('',*,*,#57970,.F.); +#57973=ORIENTED_EDGE('',*,*,#57972,.F.); +#57974=EDGE_LOOP('',(#57967,#57969,#57971,#57973)); +#57975=FACE_BOUND('',#57974,.F.); +#57977=ORIENTED_EDGE('',*,*,#57976,.T.); +#57979=ORIENTED_EDGE('',*,*,#57978,.T.); +#57981=ORIENTED_EDGE('',*,*,#57980,.F.); +#57983=ORIENTED_EDGE('',*,*,#57982,.F.); +#57984=EDGE_LOOP('',(#57977,#57979,#57981,#57983)); +#57985=FACE_BOUND('',#57984,.F.); +#57987=ORIENTED_EDGE('',*,*,#57986,.T.); +#57989=ORIENTED_EDGE('',*,*,#57988,.T.); +#57991=ORIENTED_EDGE('',*,*,#57990,.F.); +#57993=ORIENTED_EDGE('',*,*,#57992,.F.); +#57994=EDGE_LOOP('',(#57987,#57989,#57991,#57993)); +#57995=FACE_BOUND('',#57994,.F.); +#57997=ORIENTED_EDGE('',*,*,#57996,.T.); +#57999=ORIENTED_EDGE('',*,*,#57998,.T.); +#58001=ORIENTED_EDGE('',*,*,#58000,.F.); +#58003=ORIENTED_EDGE('',*,*,#58002,.F.); +#58004=EDGE_LOOP('',(#57997,#57999,#58001,#58003)); +#58005=FACE_BOUND('',#58004,.F.); +#58007=ORIENTED_EDGE('',*,*,#58006,.T.); +#58009=ORIENTED_EDGE('',*,*,#58008,.T.); +#58011=ORIENTED_EDGE('',*,*,#58010,.F.); +#58013=ORIENTED_EDGE('',*,*,#58012,.F.); +#58014=EDGE_LOOP('',(#58007,#58009,#58011,#58013)); +#58015=FACE_BOUND('',#58014,.F.); +#58017=ORIENTED_EDGE('',*,*,#58016,.T.); +#58019=ORIENTED_EDGE('',*,*,#58018,.T.); +#58021=ORIENTED_EDGE('',*,*,#58020,.F.); +#58023=ORIENTED_EDGE('',*,*,#58022,.F.); +#58024=EDGE_LOOP('',(#58017,#58019,#58021,#58023)); +#58025=FACE_BOUND('',#58024,.F.); +#58027=ORIENTED_EDGE('',*,*,#58026,.T.); +#58029=ORIENTED_EDGE('',*,*,#58028,.T.); +#58031=ORIENTED_EDGE('',*,*,#58030,.F.); +#58033=ORIENTED_EDGE('',*,*,#58032,.F.); +#58034=EDGE_LOOP('',(#58027,#58029,#58031,#58033)); +#58035=FACE_BOUND('',#58034,.F.); +#58037=ORIENTED_EDGE('',*,*,#58036,.T.); +#58039=ORIENTED_EDGE('',*,*,#58038,.T.); +#58041=ORIENTED_EDGE('',*,*,#58040,.F.); +#58043=ORIENTED_EDGE('',*,*,#58042,.F.); +#58044=EDGE_LOOP('',(#58037,#58039,#58041,#58043)); +#58045=FACE_BOUND('',#58044,.F.); +#58047=ORIENTED_EDGE('',*,*,#58046,.T.); +#58049=ORIENTED_EDGE('',*,*,#58048,.T.); +#58051=ORIENTED_EDGE('',*,*,#58050,.F.); +#58053=ORIENTED_EDGE('',*,*,#58052,.F.); +#58054=EDGE_LOOP('',(#58047,#58049,#58051,#58053)); +#58055=FACE_BOUND('',#58054,.F.); +#58057=ORIENTED_EDGE('',*,*,#58056,.T.); +#58059=ORIENTED_EDGE('',*,*,#58058,.T.); +#58061=ORIENTED_EDGE('',*,*,#58060,.F.); +#58063=ORIENTED_EDGE('',*,*,#58062,.F.); +#58064=EDGE_LOOP('',(#58057,#58059,#58061,#58063)); +#58065=FACE_BOUND('',#58064,.F.); +#58067=ORIENTED_EDGE('',*,*,#58066,.T.); +#58069=ORIENTED_EDGE('',*,*,#58068,.T.); +#58071=ORIENTED_EDGE('',*,*,#58070,.F.); +#58073=ORIENTED_EDGE('',*,*,#58072,.F.); +#58074=EDGE_LOOP('',(#58067,#58069,#58071,#58073)); +#58075=FACE_BOUND('',#58074,.F.); +#58077=ORIENTED_EDGE('',*,*,#58076,.T.); +#58079=ORIENTED_EDGE('',*,*,#58078,.T.); +#58081=ORIENTED_EDGE('',*,*,#58080,.F.); +#58083=ORIENTED_EDGE('',*,*,#58082,.F.); +#58084=EDGE_LOOP('',(#58077,#58079,#58081,#58083)); +#58085=FACE_BOUND('',#58084,.F.); +#58087=ORIENTED_EDGE('',*,*,#58086,.T.); +#58089=ORIENTED_EDGE('',*,*,#58088,.T.); +#58091=ORIENTED_EDGE('',*,*,#58090,.F.); +#58093=ORIENTED_EDGE('',*,*,#58092,.F.); +#58094=EDGE_LOOP('',(#58087,#58089,#58091,#58093)); +#58095=FACE_BOUND('',#58094,.F.); +#58097=ORIENTED_EDGE('',*,*,#58096,.T.); +#58099=ORIENTED_EDGE('',*,*,#58098,.T.); +#58101=ORIENTED_EDGE('',*,*,#58100,.F.); +#58103=ORIENTED_EDGE('',*,*,#58102,.F.); +#58104=EDGE_LOOP('',(#58097,#58099,#58101,#58103)); +#58105=FACE_BOUND('',#58104,.F.); +#58107=ORIENTED_EDGE('',*,*,#58106,.T.); +#58109=ORIENTED_EDGE('',*,*,#58108,.T.); +#58111=ORIENTED_EDGE('',*,*,#58110,.F.); +#58113=ORIENTED_EDGE('',*,*,#58112,.F.); +#58114=EDGE_LOOP('',(#58107,#58109,#58111,#58113)); +#58115=FACE_BOUND('',#58114,.F.); +#58117=ORIENTED_EDGE('',*,*,#58116,.T.); +#58119=ORIENTED_EDGE('',*,*,#58118,.T.); +#58121=ORIENTED_EDGE('',*,*,#58120,.F.); +#58123=ORIENTED_EDGE('',*,*,#58122,.F.); +#58124=EDGE_LOOP('',(#58117,#58119,#58121,#58123)); +#58125=FACE_BOUND('',#58124,.F.); +#58127=ORIENTED_EDGE('',*,*,#58126,.T.); +#58129=ORIENTED_EDGE('',*,*,#58128,.T.); +#58131=ORIENTED_EDGE('',*,*,#58130,.F.); +#58133=ORIENTED_EDGE('',*,*,#58132,.F.); +#58134=EDGE_LOOP('',(#58127,#58129,#58131,#58133)); +#58135=FACE_BOUND('',#58134,.F.); +#58137=ORIENTED_EDGE('',*,*,#58136,.T.); +#58139=ORIENTED_EDGE('',*,*,#58138,.T.); +#58141=ORIENTED_EDGE('',*,*,#58140,.F.); +#58143=ORIENTED_EDGE('',*,*,#58142,.F.); +#58144=EDGE_LOOP('',(#58137,#58139,#58141,#58143)); +#58145=FACE_BOUND('',#58144,.F.); +#58147=ORIENTED_EDGE('',*,*,#58146,.T.); +#58149=ORIENTED_EDGE('',*,*,#58148,.T.); +#58151=ORIENTED_EDGE('',*,*,#58150,.F.); +#58153=ORIENTED_EDGE('',*,*,#58152,.F.); +#58154=EDGE_LOOP('',(#58147,#58149,#58151,#58153)); +#58155=FACE_BOUND('',#58154,.F.); +#58157=ORIENTED_EDGE('',*,*,#58156,.T.); +#58159=ORIENTED_EDGE('',*,*,#58158,.T.); +#58161=ORIENTED_EDGE('',*,*,#58160,.F.); +#58163=ORIENTED_EDGE('',*,*,#58162,.F.); +#58164=EDGE_LOOP('',(#58157,#58159,#58161,#58163)); +#58165=FACE_BOUND('',#58164,.F.); +#58167=ORIENTED_EDGE('',*,*,#58166,.T.); +#58169=ORIENTED_EDGE('',*,*,#58168,.T.); +#58171=ORIENTED_EDGE('',*,*,#58170,.F.); +#58173=ORIENTED_EDGE('',*,*,#58172,.F.); +#58174=EDGE_LOOP('',(#58167,#58169,#58171,#58173)); +#58175=FACE_BOUND('',#58174,.F.); +#58177=ORIENTED_EDGE('',*,*,#58176,.T.); +#58179=ORIENTED_EDGE('',*,*,#58178,.T.); +#58181=ORIENTED_EDGE('',*,*,#58180,.F.); +#58183=ORIENTED_EDGE('',*,*,#58182,.F.); +#58184=EDGE_LOOP('',(#58177,#58179,#58181,#58183)); +#58185=FACE_BOUND('',#58184,.F.); +#58187=ORIENTED_EDGE('',*,*,#58186,.T.); +#58189=ORIENTED_EDGE('',*,*,#58188,.T.); +#58191=ORIENTED_EDGE('',*,*,#58190,.F.); +#58193=ORIENTED_EDGE('',*,*,#58192,.F.); +#58194=EDGE_LOOP('',(#58187,#58189,#58191,#58193)); +#58195=FACE_BOUND('',#58194,.F.); +#58197=ORIENTED_EDGE('',*,*,#58196,.T.); +#58199=ORIENTED_EDGE('',*,*,#58198,.T.); +#58201=ORIENTED_EDGE('',*,*,#58200,.F.); +#58203=ORIENTED_EDGE('',*,*,#58202,.F.); +#58204=EDGE_LOOP('',(#58197,#58199,#58201,#58203)); +#58205=FACE_BOUND('',#58204,.F.); +#58207=ORIENTED_EDGE('',*,*,#58206,.T.); +#58209=ORIENTED_EDGE('',*,*,#58208,.T.); +#58211=ORIENTED_EDGE('',*,*,#58210,.F.); +#58213=ORIENTED_EDGE('',*,*,#58212,.F.); +#58214=EDGE_LOOP('',(#58207,#58209,#58211,#58213)); +#58215=FACE_BOUND('',#58214,.F.); +#58217=ORIENTED_EDGE('',*,*,#58216,.T.); +#58219=ORIENTED_EDGE('',*,*,#58218,.T.); +#58221=ORIENTED_EDGE('',*,*,#58220,.F.); +#58223=ORIENTED_EDGE('',*,*,#58222,.F.); +#58224=EDGE_LOOP('',(#58217,#58219,#58221,#58223)); +#58225=FACE_BOUND('',#58224,.F.); +#58227=ORIENTED_EDGE('',*,*,#58226,.T.); +#58229=ORIENTED_EDGE('',*,*,#58228,.T.); +#58231=ORIENTED_EDGE('',*,*,#58230,.F.); +#58233=ORIENTED_EDGE('',*,*,#58232,.F.); +#58234=EDGE_LOOP('',(#58227,#58229,#58231,#58233)); +#58235=FACE_BOUND('',#58234,.F.); +#58237=ORIENTED_EDGE('',*,*,#58236,.T.); +#58239=ORIENTED_EDGE('',*,*,#58238,.T.); +#58241=ORIENTED_EDGE('',*,*,#58240,.F.); +#58243=ORIENTED_EDGE('',*,*,#58242,.F.); +#58244=EDGE_LOOP('',(#58237,#58239,#58241,#58243)); +#58245=FACE_BOUND('',#58244,.F.); +#58247=ORIENTED_EDGE('',*,*,#58246,.T.); +#58249=ORIENTED_EDGE('',*,*,#58248,.T.); +#58251=ORIENTED_EDGE('',*,*,#58250,.F.); +#58253=ORIENTED_EDGE('',*,*,#58252,.F.); +#58254=EDGE_LOOP('',(#58247,#58249,#58251,#58253)); +#58255=FACE_BOUND('',#58254,.F.); +#58257=ORIENTED_EDGE('',*,*,#58256,.T.); +#58259=ORIENTED_EDGE('',*,*,#58258,.T.); +#58261=ORIENTED_EDGE('',*,*,#58260,.F.); +#58263=ORIENTED_EDGE('',*,*,#58262,.F.); +#58264=EDGE_LOOP('',(#58257,#58259,#58261,#58263)); +#58265=FACE_BOUND('',#58264,.F.); +#58267=ORIENTED_EDGE('',*,*,#58266,.T.); +#58269=ORIENTED_EDGE('',*,*,#58268,.T.); +#58271=ORIENTED_EDGE('',*,*,#58270,.F.); +#58273=ORIENTED_EDGE('',*,*,#58272,.F.); +#58274=EDGE_LOOP('',(#58267,#58269,#58271,#58273)); +#58275=FACE_BOUND('',#58274,.F.); +#58277=ORIENTED_EDGE('',*,*,#58276,.T.); +#58279=ORIENTED_EDGE('',*,*,#58278,.T.); +#58281=ORIENTED_EDGE('',*,*,#58280,.F.); +#58283=ORIENTED_EDGE('',*,*,#58282,.F.); +#58284=EDGE_LOOP('',(#58277,#58279,#58281,#58283)); +#58285=FACE_BOUND('',#58284,.F.); +#58287=ORIENTED_EDGE('',*,*,#58286,.T.); +#58289=ORIENTED_EDGE('',*,*,#58288,.T.); +#58291=ORIENTED_EDGE('',*,*,#58290,.F.); +#58293=ORIENTED_EDGE('',*,*,#58292,.F.); +#58294=EDGE_LOOP('',(#58287,#58289,#58291,#58293)); +#58295=FACE_BOUND('',#58294,.F.); +#58297=ORIENTED_EDGE('',*,*,#58296,.T.); +#58299=ORIENTED_EDGE('',*,*,#58298,.T.); +#58301=ORIENTED_EDGE('',*,*,#58300,.F.); +#58303=ORIENTED_EDGE('',*,*,#58302,.F.); +#58304=EDGE_LOOP('',(#58297,#58299,#58301,#58303)); +#58305=FACE_BOUND('',#58304,.F.); +#58307=ORIENTED_EDGE('',*,*,#58306,.T.); +#58309=ORIENTED_EDGE('',*,*,#58308,.T.); +#58311=ORIENTED_EDGE('',*,*,#58310,.F.); +#58313=ORIENTED_EDGE('',*,*,#58312,.F.); +#58314=EDGE_LOOP('',(#58307,#58309,#58311,#58313)); +#58315=FACE_BOUND('',#58314,.F.); +#58317=ORIENTED_EDGE('',*,*,#58316,.T.); +#58319=ORIENTED_EDGE('',*,*,#58318,.T.); +#58321=ORIENTED_EDGE('',*,*,#58320,.F.); +#58323=ORIENTED_EDGE('',*,*,#58322,.F.); +#58324=EDGE_LOOP('',(#58317,#58319,#58321,#58323)); +#58325=FACE_BOUND('',#58324,.F.); +#58327=ORIENTED_EDGE('',*,*,#58326,.T.); +#58329=ORIENTED_EDGE('',*,*,#58328,.T.); +#58331=ORIENTED_EDGE('',*,*,#58330,.F.); +#58333=ORIENTED_EDGE('',*,*,#58332,.F.); +#58334=EDGE_LOOP('',(#58327,#58329,#58331,#58333)); +#58335=FACE_BOUND('',#58334,.F.); +#58337=ORIENTED_EDGE('',*,*,#58336,.T.); +#58339=ORIENTED_EDGE('',*,*,#58338,.T.); +#58341=ORIENTED_EDGE('',*,*,#58340,.F.); +#58343=ORIENTED_EDGE('',*,*,#58342,.F.); +#58344=EDGE_LOOP('',(#58337,#58339,#58341,#58343)); +#58345=FACE_BOUND('',#58344,.F.); +#58347=ORIENTED_EDGE('',*,*,#58346,.T.); +#58349=ORIENTED_EDGE('',*,*,#58348,.T.); +#58351=ORIENTED_EDGE('',*,*,#58350,.F.); +#58353=ORIENTED_EDGE('',*,*,#58352,.F.); +#58354=EDGE_LOOP('',(#58347,#58349,#58351,#58353)); +#58355=FACE_BOUND('',#58354,.F.); +#58357=ORIENTED_EDGE('',*,*,#58356,.T.); +#58359=ORIENTED_EDGE('',*,*,#58358,.T.); +#58361=ORIENTED_EDGE('',*,*,#58360,.F.); +#58363=ORIENTED_EDGE('',*,*,#58362,.F.); +#58364=EDGE_LOOP('',(#58357,#58359,#58361,#58363)); +#58365=FACE_BOUND('',#58364,.F.); +#58367=ORIENTED_EDGE('',*,*,#58366,.T.); +#58369=ORIENTED_EDGE('',*,*,#58368,.T.); +#58371=ORIENTED_EDGE('',*,*,#58370,.F.); +#58373=ORIENTED_EDGE('',*,*,#58372,.F.); +#58374=EDGE_LOOP('',(#58367,#58369,#58371,#58373)); +#58375=FACE_BOUND('',#58374,.F.); +#58377=ORIENTED_EDGE('',*,*,#58376,.T.); +#58379=ORIENTED_EDGE('',*,*,#58378,.T.); +#58381=ORIENTED_EDGE('',*,*,#58380,.F.); +#58383=ORIENTED_EDGE('',*,*,#58382,.F.); +#58384=EDGE_LOOP('',(#58377,#58379,#58381,#58383)); +#58385=FACE_BOUND('',#58384,.F.); +#58387=ORIENTED_EDGE('',*,*,#58386,.T.); +#58389=ORIENTED_EDGE('',*,*,#58388,.T.); +#58391=ORIENTED_EDGE('',*,*,#58390,.F.); +#58393=ORIENTED_EDGE('',*,*,#58392,.F.); +#58394=EDGE_LOOP('',(#58387,#58389,#58391,#58393)); +#58395=FACE_BOUND('',#58394,.F.); +#58397=ORIENTED_EDGE('',*,*,#58396,.T.); +#58399=ORIENTED_EDGE('',*,*,#58398,.T.); +#58401=ORIENTED_EDGE('',*,*,#58400,.F.); +#58403=ORIENTED_EDGE('',*,*,#58402,.F.); +#58404=EDGE_LOOP('',(#58397,#58399,#58401,#58403)); +#58405=FACE_BOUND('',#58404,.F.); +#58407=ORIENTED_EDGE('',*,*,#58406,.T.); +#58409=ORIENTED_EDGE('',*,*,#58408,.T.); +#58411=ORIENTED_EDGE('',*,*,#58410,.F.); +#58413=ORIENTED_EDGE('',*,*,#58412,.F.); +#58414=EDGE_LOOP('',(#58407,#58409,#58411,#58413)); +#58415=FACE_BOUND('',#58414,.F.); +#58417=ORIENTED_EDGE('',*,*,#58416,.T.); +#58419=ORIENTED_EDGE('',*,*,#58418,.T.); +#58421=ORIENTED_EDGE('',*,*,#58420,.F.); +#58423=ORIENTED_EDGE('',*,*,#58422,.F.); +#58424=EDGE_LOOP('',(#58417,#58419,#58421,#58423)); +#58425=FACE_BOUND('',#58424,.F.); +#58427=ORIENTED_EDGE('',*,*,#58426,.T.); +#58429=ORIENTED_EDGE('',*,*,#58428,.T.); +#58431=ORIENTED_EDGE('',*,*,#58430,.F.); +#58433=ORIENTED_EDGE('',*,*,#58432,.F.); +#58434=EDGE_LOOP('',(#58427,#58429,#58431,#58433)); +#58435=FACE_BOUND('',#58434,.F.); +#58437=ORIENTED_EDGE('',*,*,#58436,.T.); +#58439=ORIENTED_EDGE('',*,*,#58438,.T.); +#58441=ORIENTED_EDGE('',*,*,#58440,.F.); +#58443=ORIENTED_EDGE('',*,*,#58442,.F.); +#58444=EDGE_LOOP('',(#58437,#58439,#58441,#58443)); +#58445=FACE_BOUND('',#58444,.F.); +#58447=ORIENTED_EDGE('',*,*,#58446,.T.); +#58449=ORIENTED_EDGE('',*,*,#58448,.T.); +#58451=ORIENTED_EDGE('',*,*,#58450,.F.); +#58453=ORIENTED_EDGE('',*,*,#58452,.F.); +#58454=EDGE_LOOP('',(#58447,#58449,#58451,#58453)); +#58455=FACE_BOUND('',#58454,.F.); +#58457=ORIENTED_EDGE('',*,*,#58456,.T.); +#58459=ORIENTED_EDGE('',*,*,#58458,.T.); +#58461=ORIENTED_EDGE('',*,*,#58460,.F.); +#58463=ORIENTED_EDGE('',*,*,#58462,.F.); +#58464=EDGE_LOOP('',(#58457,#58459,#58461,#58463)); +#58465=FACE_BOUND('',#58464,.F.); +#58467=ORIENTED_EDGE('',*,*,#58466,.T.); +#58469=ORIENTED_EDGE('',*,*,#58468,.T.); +#58471=ORIENTED_EDGE('',*,*,#58470,.F.); +#58473=ORIENTED_EDGE('',*,*,#58472,.F.); +#58474=EDGE_LOOP('',(#58467,#58469,#58471,#58473)); +#58475=FACE_BOUND('',#58474,.F.); +#58477=ORIENTED_EDGE('',*,*,#58476,.T.); +#58479=ORIENTED_EDGE('',*,*,#58478,.T.); +#58481=ORIENTED_EDGE('',*,*,#58480,.F.); +#58483=ORIENTED_EDGE('',*,*,#58482,.F.); +#58484=EDGE_LOOP('',(#58477,#58479,#58481,#58483)); +#58485=FACE_BOUND('',#58484,.F.); +#58487=ORIENTED_EDGE('',*,*,#58486,.T.); +#58489=ORIENTED_EDGE('',*,*,#58488,.T.); +#58491=ORIENTED_EDGE('',*,*,#58490,.F.); +#58493=ORIENTED_EDGE('',*,*,#58492,.F.); +#58494=EDGE_LOOP('',(#58487,#58489,#58491,#58493)); +#58495=FACE_BOUND('',#58494,.F.); +#58497=ORIENTED_EDGE('',*,*,#58496,.T.); +#58499=ORIENTED_EDGE('',*,*,#58498,.T.); +#58501=ORIENTED_EDGE('',*,*,#58500,.F.); +#58503=ORIENTED_EDGE('',*,*,#58502,.F.); +#58504=EDGE_LOOP('',(#58497,#58499,#58501,#58503)); +#58505=FACE_BOUND('',#58504,.F.); +#58507=ORIENTED_EDGE('',*,*,#58506,.T.); +#58509=ORIENTED_EDGE('',*,*,#58508,.T.); +#58511=ORIENTED_EDGE('',*,*,#58510,.F.); +#58513=ORIENTED_EDGE('',*,*,#58512,.F.); +#58514=EDGE_LOOP('',(#58507,#58509,#58511,#58513)); +#58515=FACE_BOUND('',#58514,.F.); +#58517=ORIENTED_EDGE('',*,*,#58516,.T.); +#58519=ORIENTED_EDGE('',*,*,#58518,.T.); +#58521=ORIENTED_EDGE('',*,*,#58520,.F.); +#58523=ORIENTED_EDGE('',*,*,#58522,.F.); +#58524=EDGE_LOOP('',(#58517,#58519,#58521,#58523)); +#58525=FACE_BOUND('',#58524,.F.); +#58527=ORIENTED_EDGE('',*,*,#58526,.T.); +#58529=ORIENTED_EDGE('',*,*,#58528,.T.); +#58531=ORIENTED_EDGE('',*,*,#58530,.F.); +#58533=ORIENTED_EDGE('',*,*,#58532,.F.); +#58534=EDGE_LOOP('',(#58527,#58529,#58531,#58533)); +#58535=FACE_BOUND('',#58534,.F.); +#58537=ORIENTED_EDGE('',*,*,#58536,.T.); +#58539=ORIENTED_EDGE('',*,*,#58538,.T.); +#58541=ORIENTED_EDGE('',*,*,#58540,.F.); +#58543=ORIENTED_EDGE('',*,*,#58542,.F.); +#58544=EDGE_LOOP('',(#58537,#58539,#58541,#58543)); +#58545=FACE_BOUND('',#58544,.F.); +#58547=CARTESIAN_POINT('',(-6.09E1,-1.35E1,-3.125E1)); +#58548=DIRECTION('',(0.E0,-1.E0,0.E0)); +#58549=DIRECTION('',(1.E0,0.E0,0.E0)); +#58550=AXIS2_PLACEMENT_3D('',#58547,#58548,#58549); +#58551=CYLINDRICAL_SURFACE('',#58550,1.E0); +#58553=ORIENTED_EDGE('',*,*,#58552,.T.); +#58555=ORIENTED_EDGE('',*,*,#58554,.F.); +#58556=ORIENTED_EDGE('',*,*,#57740,.F.); +#58558=ORIENTED_EDGE('',*,*,#58557,.T.); +#58559=EDGE_LOOP('',(#58553,#58555,#58556,#58558)); +#58560=FACE_OUTER_BOUND('',#58559,.F.); +#58562=CARTESIAN_POINT('',(-6.09E1,-1.840897459622E1,-3.125E1)); +#58563=DIRECTION('',(0.E0,1.E0,0.E0)); +#58564=DIRECTION('',(-1.E0,0.E0,0.E0)); +#58565=AXIS2_PLACEMENT_3D('',#58562,#58563,#58564); +#58566=CONICAL_SURFACE('',#58565,6.299038105677E-1,3.E1); +#58567=ORIENTED_EDGE('',*,*,#58552,.F.); +#58569=ORIENTED_EDGE('',*,*,#58568,.T.); +#58571=ORIENTED_EDGE('',*,*,#58570,.F.); +#58573=ORIENTED_EDGE('',*,*,#58572,.F.); +#58574=EDGE_LOOP('',(#58567,#58569,#58571,#58573)); +#58575=FACE_OUTER_BOUND('',#58574,.F.); +#58577=CARTESIAN_POINT('',(-6.09E1,-1.840897459622E1,-3.125E1)); +#58578=DIRECTION('',(0.E0,1.E0,0.E0)); +#58579=DIRECTION('',(-1.E0,0.E0,0.E0)); +#58580=AXIS2_PLACEMENT_3D('',#58577,#58578,#58579); +#58581=CONICAL_SURFACE('',#58580,6.299038105677E-1,3.E1); +#58583=ORIENTED_EDGE('',*,*,#58582,.F.); +#58584=ORIENTED_EDGE('',*,*,#58572,.T.); +#58586=ORIENTED_EDGE('',*,*,#58585,.T.); +#58587=ORIENTED_EDGE('',*,*,#58568,.F.); +#58588=EDGE_LOOP('',(#58583,#58584,#58586,#58587)); +#58589=FACE_OUTER_BOUND('',#58588,.F.); +#58591=CARTESIAN_POINT('',(-6.09E1,-1.35E1,-3.125E1)); +#58592=DIRECTION('',(0.E0,-1.E0,0.E0)); +#58593=DIRECTION('',(1.E0,0.E0,0.E0)); +#58594=AXIS2_PLACEMENT_3D('',#58591,#58592,#58593); +#58595=CYLINDRICAL_SURFACE('',#58594,1.E0); +#58596=ORIENTED_EDGE('',*,*,#58582,.T.); +#58597=ORIENTED_EDGE('',*,*,#58557,.F.); +#58598=ORIENTED_EDGE('',*,*,#57742,.F.); +#58599=ORIENTED_EDGE('',*,*,#58554,.T.); +#58600=EDGE_LOOP('',(#58596,#58597,#58598,#58599)); +#58601=FACE_OUTER_BOUND('',#58600,.F.); +#58603=CARTESIAN_POINT('',(-6.09E1,-1.89E1,-3.125E1)); +#58604=DIRECTION('',(0.E0,1.E0,0.E0)); +#58605=DIRECTION('',(-1.E0,0.E0,0.E0)); +#58606=AXIS2_PLACEMENT_3D('',#58603,#58604,#58605); +#58607=SPHERICAL_SURFACE('',#58606,3.E-1); +#58609=ORIENTED_EDGE('',*,*,#58608,.T.); +#58611=ORIENTED_EDGE('',*,*,#58610,.F.); +#58612=ORIENTED_EDGE('',*,*,#58585,.F.); +#58613=EDGE_LOOP('',(#58609,#58611,#58612)); +#58614=FACE_OUTER_BOUND('',#58613,.F.); +#58616=CARTESIAN_POINT('',(-6.09E1,-1.89E1,-3.125E1)); +#58617=DIRECTION('',(0.E0,1.E0,0.E0)); +#58618=DIRECTION('',(-1.E0,0.E0,0.E0)); +#58619=AXIS2_PLACEMENT_3D('',#58616,#58617,#58618); +#58620=SPHERICAL_SURFACE('',#58619,3.E-1); +#58621=ORIENTED_EDGE('',*,*,#58608,.F.); +#58622=ORIENTED_EDGE('',*,*,#58570,.T.); +#58623=ORIENTED_EDGE('',*,*,#58610,.T.); +#58624=EDGE_LOOP('',(#58621,#58622,#58623)); +#58625=FACE_OUTER_BOUND('',#58624,.F.); +#58627=CARTESIAN_POINT('',(5.79E1,-1.35E1,-3.125E1)); +#58628=DIRECTION('',(0.E0,-1.E0,0.E0)); +#58629=DIRECTION('',(1.E0,0.E0,0.E0)); +#58630=AXIS2_PLACEMENT_3D('',#58627,#58628,#58629); +#58631=CYLINDRICAL_SURFACE('',#58630,1.E0); +#58633=ORIENTED_EDGE('',*,*,#58632,.T.); +#58635=ORIENTED_EDGE('',*,*,#58634,.F.); +#58636=ORIENTED_EDGE('',*,*,#57734,.F.); +#58638=ORIENTED_EDGE('',*,*,#58637,.T.); +#58639=EDGE_LOOP('',(#58633,#58635,#58636,#58638)); +#58640=FACE_OUTER_BOUND('',#58639,.F.); +#58642=CARTESIAN_POINT('',(5.79E1,-1.840897459622E1,-3.125E1)); +#58643=DIRECTION('',(0.E0,1.E0,0.E0)); +#58644=DIRECTION('',(-1.E0,0.E0,0.E0)); +#58645=AXIS2_PLACEMENT_3D('',#58642,#58643,#58644); +#58646=CONICAL_SURFACE('',#58645,6.299038105677E-1,3.E1); +#58647=ORIENTED_EDGE('',*,*,#58632,.F.); +#58649=ORIENTED_EDGE('',*,*,#58648,.F.); +#58651=ORIENTED_EDGE('',*,*,#58650,.T.); +#58653=ORIENTED_EDGE('',*,*,#58652,.T.); +#58654=EDGE_LOOP('',(#58647,#58649,#58651,#58653)); +#58655=FACE_OUTER_BOUND('',#58654,.F.); +#58657=CARTESIAN_POINT('',(5.79E1,-1.840897459622E1,-3.125E1)); +#58658=DIRECTION('',(0.E0,1.E0,0.E0)); +#58659=DIRECTION('',(-1.E0,0.E0,0.E0)); +#58660=AXIS2_PLACEMENT_3D('',#58657,#58658,#58659); +#58661=CONICAL_SURFACE('',#58660,6.299038105677E-1,3.E1); +#58663=ORIENTED_EDGE('',*,*,#58662,.F.); +#58664=ORIENTED_EDGE('',*,*,#58652,.F.); +#58666=ORIENTED_EDGE('',*,*,#58665,.F.); +#58667=ORIENTED_EDGE('',*,*,#58648,.T.); +#58668=EDGE_LOOP('',(#58663,#58664,#58666,#58667)); +#58669=FACE_OUTER_BOUND('',#58668,.F.); +#58671=CARTESIAN_POINT('',(5.79E1,-1.35E1,-3.125E1)); +#58672=DIRECTION('',(0.E0,-1.E0,0.E0)); +#58673=DIRECTION('',(1.E0,0.E0,0.E0)); +#58674=AXIS2_PLACEMENT_3D('',#58671,#58672,#58673); +#58675=CYLINDRICAL_SURFACE('',#58674,1.E0); +#58676=ORIENTED_EDGE('',*,*,#58662,.T.); +#58677=ORIENTED_EDGE('',*,*,#58637,.F.); +#58678=ORIENTED_EDGE('',*,*,#57736,.F.); +#58679=ORIENTED_EDGE('',*,*,#58634,.T.); +#58680=EDGE_LOOP('',(#58676,#58677,#58678,#58679)); +#58681=FACE_OUTER_BOUND('',#58680,.F.); +#58683=CARTESIAN_POINT('',(5.79E1,-1.89E1,-3.125E1)); +#58684=DIRECTION('',(0.E0,1.E0,0.E0)); +#58685=DIRECTION('',(-1.E0,0.E0,0.E0)); +#58686=AXIS2_PLACEMENT_3D('',#58683,#58684,#58685); +#58687=SPHERICAL_SURFACE('',#58686,3.E-1); +#58689=ORIENTED_EDGE('',*,*,#58688,.T.); +#58690=ORIENTED_EDGE('',*,*,#58665,.T.); +#58692=ORIENTED_EDGE('',*,*,#58691,.F.); +#58693=EDGE_LOOP('',(#58689,#58690,#58692)); +#58694=FACE_OUTER_BOUND('',#58693,.F.); +#58696=CARTESIAN_POINT('',(5.79E1,-1.89E1,-3.125E1)); +#58697=DIRECTION('',(0.E0,1.E0,0.E0)); +#58698=DIRECTION('',(-1.E0,0.E0,0.E0)); +#58699=AXIS2_PLACEMENT_3D('',#58696,#58697,#58698); +#58700=SPHERICAL_SURFACE('',#58699,3.E-1); +#58701=ORIENTED_EDGE('',*,*,#58688,.F.); +#58702=ORIENTED_EDGE('',*,*,#58691,.T.); +#58703=ORIENTED_EDGE('',*,*,#58650,.F.); +#58704=EDGE_LOOP('',(#58701,#58702,#58703)); +#58705=FACE_OUTER_BOUND('',#58704,.F.); +#58707=CARTESIAN_POINT('',(-5.86E1,-1.35E1,-2.815E1)); +#58708=DIRECTION('',(0.E0,0.E0,-1.E0)); +#58709=DIRECTION('',(1.E0,0.E0,0.E0)); +#58710=AXIS2_PLACEMENT_3D('',#58707,#58708,#58709); +#58711=PLANE('',#58710); +#58713=ORIENTED_EDGE('',*,*,#58712,.F.); +#58715=ORIENTED_EDGE('',*,*,#58714,.T.); +#58716=ORIENTED_EDGE('',*,*,#57704,.F.); +#58718=ORIENTED_EDGE('',*,*,#58717,.F.); +#58720=ORIENTED_EDGE('',*,*,#58719,.T.); +#58722=ORIENTED_EDGE('',*,*,#58721,.F.); +#58724=ORIENTED_EDGE('',*,*,#58723,.F.); +#58726=ORIENTED_EDGE('',*,*,#58725,.F.); +#58728=ORIENTED_EDGE('',*,*,#58727,.F.); +#58730=ORIENTED_EDGE('',*,*,#58729,.F.); +#58731=EDGE_LOOP('',(#58713,#58715,#58716,#58718,#58720,#58722,#58724,#58726, +#58728,#58730)); +#58732=FACE_OUTER_BOUND('',#58731,.F.); +#58734=CARTESIAN_POINT('',(-5.86E1,-1.35E1,-2.815E1)); +#58735=DIRECTION('',(0.E0,0.E0,-1.E0)); +#58736=DIRECTION('',(1.E0,0.E0,0.E0)); +#58737=AXIS2_PLACEMENT_3D('',#58734,#58735,#58736); +#58738=PLANE('',#58737); +#58740=ORIENTED_EDGE('',*,*,#58739,.F.); +#58742=ORIENTED_EDGE('',*,*,#58741,.F.); +#58744=ORIENTED_EDGE('',*,*,#58743,.F.); +#58746=ORIENTED_EDGE('',*,*,#58745,.F.); +#58748=ORIENTED_EDGE('',*,*,#58747,.F.); +#58750=ORIENTED_EDGE('',*,*,#58749,.F.); +#58752=ORIENTED_EDGE('',*,*,#58751,.F.); +#58754=ORIENTED_EDGE('',*,*,#58753,.F.); +#58756=ORIENTED_EDGE('',*,*,#58755,.F.); +#58757=ORIENTED_EDGE('',*,*,#57688,.F.); +#58758=EDGE_LOOP('',(#58740,#58742,#58744,#58746,#58748,#58750,#58752,#58754, +#58756,#58757)); +#58759=FACE_OUTER_BOUND('',#58758,.F.); +#58761=CARTESIAN_POINT('',(0.E0,-1.12E1,-2.93E1)); +#58762=DIRECTION('',(0.E0,-1.E0,0.E0)); +#58763=DIRECTION('',(1.E0,0.E0,0.E0)); +#58764=AXIS2_PLACEMENT_3D('',#58761,#58762,#58763); +#58765=PLANE('',#58764); +#58766=ORIENTED_EDGE('',*,*,#58712,.T.); +#58768=ORIENTED_EDGE('',*,*,#58767,.F.); +#58770=ORIENTED_EDGE('',*,*,#58769,.F.); +#58772=ORIENTED_EDGE('',*,*,#58771,.F.); +#58774=ORIENTED_EDGE('',*,*,#58773,.F.); +#58776=ORIENTED_EDGE('',*,*,#58775,.F.); +#58778=ORIENTED_EDGE('',*,*,#58777,.F.); +#58780=ORIENTED_EDGE('',*,*,#58779,.T.); +#58781=ORIENTED_EDGE('',*,*,#58741,.T.); +#58783=ORIENTED_EDGE('',*,*,#58782,.F.); +#58785=ORIENTED_EDGE('',*,*,#58784,.F.); +#58787=ORIENTED_EDGE('',*,*,#58786,.F.); +#58789=ORIENTED_EDGE('',*,*,#58788,.F.); +#58791=ORIENTED_EDGE('',*,*,#58790,.F.); +#58793=ORIENTED_EDGE('',*,*,#58792,.F.); +#58795=ORIENTED_EDGE('',*,*,#58794,.F.); +#58797=ORIENTED_EDGE('',*,*,#58796,.F.); +#58799=ORIENTED_EDGE('',*,*,#58798,.F.); +#58801=ORIENTED_EDGE('',*,*,#58800,.F.); +#58803=ORIENTED_EDGE('',*,*,#58802,.F.); +#58805=ORIENTED_EDGE('',*,*,#58804,.F.); +#58807=ORIENTED_EDGE('',*,*,#58806,.F.); +#58808=EDGE_LOOP('',(#58766,#58768,#58770,#58772,#58774,#58776,#58778,#58780, +#58781,#58783,#58785,#58787,#58789,#58791,#58793,#58795,#58797,#58799,#58801, +#58803,#58805,#58807)); +#58809=FACE_OUTER_BOUND('',#58808,.F.); +#58811=ORIENTED_EDGE('',*,*,#58810,.F.); +#58813=ORIENTED_EDGE('',*,*,#58812,.F.); +#58815=ORIENTED_EDGE('',*,*,#58814,.T.); +#58817=ORIENTED_EDGE('',*,*,#58816,.T.); +#58818=EDGE_LOOP('',(#58811,#58813,#58815,#58817)); +#58819=FACE_BOUND('',#58818,.F.); +#58821=ORIENTED_EDGE('',*,*,#58820,.F.); +#58823=ORIENTED_EDGE('',*,*,#58822,.F.); +#58825=ORIENTED_EDGE('',*,*,#58824,.T.); +#58827=ORIENTED_EDGE('',*,*,#58826,.T.); +#58828=EDGE_LOOP('',(#58821,#58823,#58825,#58827)); +#58829=FACE_BOUND('',#58828,.F.); +#58831=ORIENTED_EDGE('',*,*,#58830,.F.); +#58833=ORIENTED_EDGE('',*,*,#58832,.F.); +#58835=ORIENTED_EDGE('',*,*,#58834,.T.); +#58837=ORIENTED_EDGE('',*,*,#58836,.T.); +#58838=EDGE_LOOP('',(#58831,#58833,#58835,#58837)); +#58839=FACE_BOUND('',#58838,.F.); +#58841=ORIENTED_EDGE('',*,*,#58840,.F.); +#58843=ORIENTED_EDGE('',*,*,#58842,.F.); +#58845=ORIENTED_EDGE('',*,*,#58844,.T.); +#58847=ORIENTED_EDGE('',*,*,#58846,.T.); +#58848=EDGE_LOOP('',(#58841,#58843,#58845,#58847)); +#58849=FACE_BOUND('',#58848,.F.); +#58851=ORIENTED_EDGE('',*,*,#58850,.T.); +#58853=ORIENTED_EDGE('',*,*,#58852,.F.); +#58855=ORIENTED_EDGE('',*,*,#58854,.F.); +#58857=ORIENTED_EDGE('',*,*,#58856,.T.); +#58858=EDGE_LOOP('',(#58851,#58853,#58855,#58857)); +#58859=FACE_BOUND('',#58858,.F.); +#58861=ORIENTED_EDGE('',*,*,#58860,.T.); +#58863=ORIENTED_EDGE('',*,*,#58862,.F.); +#58865=ORIENTED_EDGE('',*,*,#58864,.F.); +#58867=ORIENTED_EDGE('',*,*,#58866,.T.); +#58868=EDGE_LOOP('',(#58861,#58863,#58865,#58867)); +#58869=FACE_BOUND('',#58868,.F.); +#58871=ORIENTED_EDGE('',*,*,#58870,.T.); +#58873=ORIENTED_EDGE('',*,*,#58872,.F.); +#58875=ORIENTED_EDGE('',*,*,#58874,.F.); +#58877=ORIENTED_EDGE('',*,*,#58876,.T.); +#58878=EDGE_LOOP('',(#58871,#58873,#58875,#58877)); +#58879=FACE_BOUND('',#58878,.F.); +#58881=ORIENTED_EDGE('',*,*,#58880,.T.); +#58883=ORIENTED_EDGE('',*,*,#58882,.F.); +#58885=ORIENTED_EDGE('',*,*,#58884,.F.); +#58887=ORIENTED_EDGE('',*,*,#58886,.T.); +#58888=EDGE_LOOP('',(#58881,#58883,#58885,#58887)); +#58889=FACE_BOUND('',#58888,.F.); +#58891=ORIENTED_EDGE('',*,*,#58890,.T.); +#58893=ORIENTED_EDGE('',*,*,#58892,.F.); +#58895=ORIENTED_EDGE('',*,*,#58894,.F.); +#58897=ORIENTED_EDGE('',*,*,#58896,.T.); +#58898=EDGE_LOOP('',(#58891,#58893,#58895,#58897)); +#58899=FACE_BOUND('',#58898,.F.); +#58901=ORIENTED_EDGE('',*,*,#58900,.T.); +#58903=ORIENTED_EDGE('',*,*,#58902,.F.); +#58905=ORIENTED_EDGE('',*,*,#58904,.F.); +#58907=ORIENTED_EDGE('',*,*,#58906,.T.); +#58908=EDGE_LOOP('',(#58901,#58903,#58905,#58907)); +#58909=FACE_BOUND('',#58908,.F.); +#58911=ORIENTED_EDGE('',*,*,#58910,.T.); +#58913=ORIENTED_EDGE('',*,*,#58912,.F.); +#58915=ORIENTED_EDGE('',*,*,#58914,.F.); +#58917=ORIENTED_EDGE('',*,*,#58916,.T.); +#58918=EDGE_LOOP('',(#58911,#58913,#58915,#58917)); +#58919=FACE_BOUND('',#58918,.F.); +#58921=ORIENTED_EDGE('',*,*,#58920,.T.); +#58923=ORIENTED_EDGE('',*,*,#58922,.F.); +#58925=ORIENTED_EDGE('',*,*,#58924,.F.); +#58927=ORIENTED_EDGE('',*,*,#58926,.T.); +#58928=EDGE_LOOP('',(#58921,#58923,#58925,#58927)); +#58929=FACE_BOUND('',#58928,.F.); +#58931=ORIENTED_EDGE('',*,*,#58930,.T.); +#58933=ORIENTED_EDGE('',*,*,#58932,.F.); +#58935=ORIENTED_EDGE('',*,*,#58934,.F.); +#58937=ORIENTED_EDGE('',*,*,#58936,.T.); +#58938=EDGE_LOOP('',(#58931,#58933,#58935,#58937)); +#58939=FACE_BOUND('',#58938,.F.); +#58941=ORIENTED_EDGE('',*,*,#58940,.T.); +#58943=ORIENTED_EDGE('',*,*,#58942,.F.); +#58945=ORIENTED_EDGE('',*,*,#58944,.F.); +#58947=ORIENTED_EDGE('',*,*,#58946,.T.); +#58948=EDGE_LOOP('',(#58941,#58943,#58945,#58947)); +#58949=FACE_BOUND('',#58948,.F.); +#58951=ORIENTED_EDGE('',*,*,#58950,.T.); +#58953=ORIENTED_EDGE('',*,*,#58952,.F.); +#58955=ORIENTED_EDGE('',*,*,#58954,.F.); +#58957=ORIENTED_EDGE('',*,*,#58956,.T.); +#58958=EDGE_LOOP('',(#58951,#58953,#58955,#58957)); +#58959=FACE_BOUND('',#58958,.F.); +#58961=ORIENTED_EDGE('',*,*,#58960,.T.); +#58963=ORIENTED_EDGE('',*,*,#58962,.F.); +#58965=ORIENTED_EDGE('',*,*,#58964,.F.); +#58967=ORIENTED_EDGE('',*,*,#58966,.T.); +#58968=EDGE_LOOP('',(#58961,#58963,#58965,#58967)); +#58969=FACE_BOUND('',#58968,.F.); +#58971=ORIENTED_EDGE('',*,*,#58970,.T.); +#58973=ORIENTED_EDGE('',*,*,#58972,.F.); +#58975=ORIENTED_EDGE('',*,*,#58974,.F.); +#58977=ORIENTED_EDGE('',*,*,#58976,.T.); +#58978=EDGE_LOOP('',(#58971,#58973,#58975,#58977)); +#58979=FACE_BOUND('',#58978,.F.); +#58981=ORIENTED_EDGE('',*,*,#58980,.T.); +#58983=ORIENTED_EDGE('',*,*,#58982,.F.); +#58985=ORIENTED_EDGE('',*,*,#58984,.F.); +#58987=ORIENTED_EDGE('',*,*,#58986,.T.); +#58988=EDGE_LOOP('',(#58981,#58983,#58985,#58987)); +#58989=FACE_BOUND('',#58988,.F.); +#58991=ORIENTED_EDGE('',*,*,#58990,.T.); +#58993=ORIENTED_EDGE('',*,*,#58992,.F.); +#58995=ORIENTED_EDGE('',*,*,#58994,.F.); +#58997=ORIENTED_EDGE('',*,*,#58996,.T.); +#58998=EDGE_LOOP('',(#58991,#58993,#58995,#58997)); +#58999=FACE_BOUND('',#58998,.F.); +#59001=ORIENTED_EDGE('',*,*,#59000,.T.); +#59003=ORIENTED_EDGE('',*,*,#59002,.F.); +#59005=ORIENTED_EDGE('',*,*,#59004,.F.); +#59007=ORIENTED_EDGE('',*,*,#59006,.T.); +#59008=EDGE_LOOP('',(#59001,#59003,#59005,#59007)); +#59009=FACE_BOUND('',#59008,.F.); +#59011=ORIENTED_EDGE('',*,*,#59010,.T.); +#59013=ORIENTED_EDGE('',*,*,#59012,.F.); +#59015=ORIENTED_EDGE('',*,*,#59014,.F.); +#59017=ORIENTED_EDGE('',*,*,#59016,.T.); +#59018=EDGE_LOOP('',(#59011,#59013,#59015,#59017)); +#59019=FACE_BOUND('',#59018,.F.); +#59021=ORIENTED_EDGE('',*,*,#59020,.T.); +#59023=ORIENTED_EDGE('',*,*,#59022,.F.); +#59025=ORIENTED_EDGE('',*,*,#59024,.F.); +#59027=ORIENTED_EDGE('',*,*,#59026,.T.); +#59028=EDGE_LOOP('',(#59021,#59023,#59025,#59027)); +#59029=FACE_BOUND('',#59028,.F.); +#59031=ORIENTED_EDGE('',*,*,#59030,.T.); +#59033=ORIENTED_EDGE('',*,*,#59032,.F.); +#59035=ORIENTED_EDGE('',*,*,#59034,.F.); +#59037=ORIENTED_EDGE('',*,*,#59036,.T.); +#59038=EDGE_LOOP('',(#59031,#59033,#59035,#59037)); +#59039=FACE_BOUND('',#59038,.F.); +#59041=ORIENTED_EDGE('',*,*,#59040,.T.); +#59043=ORIENTED_EDGE('',*,*,#59042,.F.); +#59045=ORIENTED_EDGE('',*,*,#59044,.F.); +#59047=ORIENTED_EDGE('',*,*,#59046,.T.); +#59048=EDGE_LOOP('',(#59041,#59043,#59045,#59047)); +#59049=FACE_BOUND('',#59048,.F.); +#59051=ORIENTED_EDGE('',*,*,#59050,.T.); +#59053=ORIENTED_EDGE('',*,*,#59052,.F.); +#59055=ORIENTED_EDGE('',*,*,#59054,.F.); +#59057=ORIENTED_EDGE('',*,*,#59056,.T.); +#59058=EDGE_LOOP('',(#59051,#59053,#59055,#59057)); +#59059=FACE_BOUND('',#59058,.F.); +#59061=ORIENTED_EDGE('',*,*,#59060,.T.); +#59063=ORIENTED_EDGE('',*,*,#59062,.F.); +#59065=ORIENTED_EDGE('',*,*,#59064,.F.); +#59067=ORIENTED_EDGE('',*,*,#59066,.T.); +#59068=EDGE_LOOP('',(#59061,#59063,#59065,#59067)); +#59069=FACE_BOUND('',#59068,.F.); +#59071=ORIENTED_EDGE('',*,*,#59070,.T.); +#59073=ORIENTED_EDGE('',*,*,#59072,.F.); +#59075=ORIENTED_EDGE('',*,*,#59074,.F.); +#59077=ORIENTED_EDGE('',*,*,#59076,.T.); +#59078=EDGE_LOOP('',(#59071,#59073,#59075,#59077)); +#59079=FACE_BOUND('',#59078,.F.); +#59081=ORIENTED_EDGE('',*,*,#59080,.T.); +#59083=ORIENTED_EDGE('',*,*,#59082,.F.); +#59085=ORIENTED_EDGE('',*,*,#59084,.F.); +#59087=ORIENTED_EDGE('',*,*,#59086,.T.); +#59088=EDGE_LOOP('',(#59081,#59083,#59085,#59087)); +#59089=FACE_BOUND('',#59088,.F.); +#59091=ORIENTED_EDGE('',*,*,#59090,.T.); +#59093=ORIENTED_EDGE('',*,*,#59092,.F.); +#59095=ORIENTED_EDGE('',*,*,#59094,.F.); +#59097=ORIENTED_EDGE('',*,*,#59096,.T.); +#59098=EDGE_LOOP('',(#59091,#59093,#59095,#59097)); +#59099=FACE_BOUND('',#59098,.F.); +#59101=ORIENTED_EDGE('',*,*,#59100,.T.); +#59103=ORIENTED_EDGE('',*,*,#59102,.F.); +#59105=ORIENTED_EDGE('',*,*,#59104,.F.); +#59107=ORIENTED_EDGE('',*,*,#59106,.T.); +#59108=EDGE_LOOP('',(#59101,#59103,#59105,#59107)); +#59109=FACE_BOUND('',#59108,.F.); +#59111=ORIENTED_EDGE('',*,*,#59110,.T.); +#59113=ORIENTED_EDGE('',*,*,#59112,.F.); +#59115=ORIENTED_EDGE('',*,*,#59114,.F.); +#59117=ORIENTED_EDGE('',*,*,#59116,.T.); +#59118=EDGE_LOOP('',(#59111,#59113,#59115,#59117)); +#59119=FACE_BOUND('',#59118,.F.); +#59121=ORIENTED_EDGE('',*,*,#59120,.T.); +#59123=ORIENTED_EDGE('',*,*,#59122,.F.); +#59125=ORIENTED_EDGE('',*,*,#59124,.F.); +#59127=ORIENTED_EDGE('',*,*,#59126,.T.); +#59128=EDGE_LOOP('',(#59121,#59123,#59125,#59127)); +#59129=FACE_BOUND('',#59128,.F.); +#59131=ORIENTED_EDGE('',*,*,#59130,.T.); +#59133=ORIENTED_EDGE('',*,*,#59132,.F.); +#59135=ORIENTED_EDGE('',*,*,#59134,.F.); +#59137=ORIENTED_EDGE('',*,*,#59136,.T.); +#59138=EDGE_LOOP('',(#59131,#59133,#59135,#59137)); +#59139=FACE_BOUND('',#59138,.F.); +#59141=ORIENTED_EDGE('',*,*,#59140,.T.); +#59143=ORIENTED_EDGE('',*,*,#59142,.F.); +#59145=ORIENTED_EDGE('',*,*,#59144,.F.); +#59147=ORIENTED_EDGE('',*,*,#59146,.T.); +#59148=EDGE_LOOP('',(#59141,#59143,#59145,#59147)); +#59149=FACE_BOUND('',#59148,.F.); +#59151=ORIENTED_EDGE('',*,*,#59150,.T.); +#59153=ORIENTED_EDGE('',*,*,#59152,.F.); +#59155=ORIENTED_EDGE('',*,*,#59154,.F.); +#59157=ORIENTED_EDGE('',*,*,#59156,.T.); +#59158=EDGE_LOOP('',(#59151,#59153,#59155,#59157)); +#59159=FACE_BOUND('',#59158,.F.); +#59161=ORIENTED_EDGE('',*,*,#59160,.T.); +#59163=ORIENTED_EDGE('',*,*,#59162,.F.); +#59165=ORIENTED_EDGE('',*,*,#59164,.F.); +#59167=ORIENTED_EDGE('',*,*,#59166,.T.); +#59168=EDGE_LOOP('',(#59161,#59163,#59165,#59167)); +#59169=FACE_BOUND('',#59168,.F.); +#59171=ORIENTED_EDGE('',*,*,#59170,.T.); +#59173=ORIENTED_EDGE('',*,*,#59172,.F.); +#59175=ORIENTED_EDGE('',*,*,#59174,.F.); +#59177=ORIENTED_EDGE('',*,*,#59176,.T.); +#59178=EDGE_LOOP('',(#59171,#59173,#59175,#59177)); +#59179=FACE_BOUND('',#59178,.F.); +#59181=ORIENTED_EDGE('',*,*,#59180,.T.); +#59183=ORIENTED_EDGE('',*,*,#59182,.F.); +#59185=ORIENTED_EDGE('',*,*,#59184,.F.); +#59187=ORIENTED_EDGE('',*,*,#59186,.T.); +#59188=EDGE_LOOP('',(#59181,#59183,#59185,#59187)); +#59189=FACE_BOUND('',#59188,.F.); +#59191=ORIENTED_EDGE('',*,*,#59190,.T.); +#59193=ORIENTED_EDGE('',*,*,#59192,.F.); +#59195=ORIENTED_EDGE('',*,*,#59194,.F.); +#59197=ORIENTED_EDGE('',*,*,#59196,.T.); +#59198=EDGE_LOOP('',(#59191,#59193,#59195,#59197)); +#59199=FACE_BOUND('',#59198,.F.); +#59201=ORIENTED_EDGE('',*,*,#59200,.T.); +#59203=ORIENTED_EDGE('',*,*,#59202,.F.); +#59205=ORIENTED_EDGE('',*,*,#59204,.F.); +#59207=ORIENTED_EDGE('',*,*,#59206,.T.); +#59208=EDGE_LOOP('',(#59201,#59203,#59205,#59207)); +#59209=FACE_BOUND('',#59208,.F.); +#59211=ORIENTED_EDGE('',*,*,#59210,.T.); +#59213=ORIENTED_EDGE('',*,*,#59212,.F.); +#59215=ORIENTED_EDGE('',*,*,#59214,.F.); +#59217=ORIENTED_EDGE('',*,*,#59216,.T.); +#59218=EDGE_LOOP('',(#59211,#59213,#59215,#59217)); +#59219=FACE_BOUND('',#59218,.F.); +#59221=ORIENTED_EDGE('',*,*,#59220,.T.); +#59223=ORIENTED_EDGE('',*,*,#59222,.F.); +#59225=ORIENTED_EDGE('',*,*,#59224,.F.); +#59227=ORIENTED_EDGE('',*,*,#59226,.T.); +#59228=EDGE_LOOP('',(#59221,#59223,#59225,#59227)); +#59229=FACE_BOUND('',#59228,.F.); +#59231=ORIENTED_EDGE('',*,*,#59230,.T.); +#59233=ORIENTED_EDGE('',*,*,#59232,.F.); +#59235=ORIENTED_EDGE('',*,*,#59234,.F.); +#59237=ORIENTED_EDGE('',*,*,#59236,.T.); +#59238=EDGE_LOOP('',(#59231,#59233,#59235,#59237)); +#59239=FACE_BOUND('',#59238,.F.); +#59241=ORIENTED_EDGE('',*,*,#59240,.T.); +#59243=ORIENTED_EDGE('',*,*,#59242,.F.); +#59245=ORIENTED_EDGE('',*,*,#59244,.F.); +#59247=ORIENTED_EDGE('',*,*,#59246,.T.); +#59248=EDGE_LOOP('',(#59241,#59243,#59245,#59247)); +#59249=FACE_BOUND('',#59248,.F.); +#59251=ORIENTED_EDGE('',*,*,#59250,.T.); +#59253=ORIENTED_EDGE('',*,*,#59252,.F.); +#59255=ORIENTED_EDGE('',*,*,#59254,.F.); +#59257=ORIENTED_EDGE('',*,*,#59256,.T.); +#59258=EDGE_LOOP('',(#59251,#59253,#59255,#59257)); +#59259=FACE_BOUND('',#59258,.F.); +#59261=ORIENTED_EDGE('',*,*,#59260,.T.); +#59263=ORIENTED_EDGE('',*,*,#59262,.F.); +#59265=ORIENTED_EDGE('',*,*,#59264,.F.); +#59267=ORIENTED_EDGE('',*,*,#59266,.T.); +#59268=EDGE_LOOP('',(#59261,#59263,#59265,#59267)); +#59269=FACE_BOUND('',#59268,.F.); +#59271=ORIENTED_EDGE('',*,*,#59270,.T.); +#59273=ORIENTED_EDGE('',*,*,#59272,.F.); +#59275=ORIENTED_EDGE('',*,*,#59274,.F.); +#59277=ORIENTED_EDGE('',*,*,#59276,.T.); +#59278=EDGE_LOOP('',(#59271,#59273,#59275,#59277)); +#59279=FACE_BOUND('',#59278,.F.); +#59281=ORIENTED_EDGE('',*,*,#59280,.T.); +#59283=ORIENTED_EDGE('',*,*,#59282,.F.); +#59285=ORIENTED_EDGE('',*,*,#59284,.F.); +#59287=ORIENTED_EDGE('',*,*,#59286,.T.); +#59288=EDGE_LOOP('',(#59281,#59283,#59285,#59287)); +#59289=FACE_BOUND('',#59288,.F.); +#59291=ORIENTED_EDGE('',*,*,#59290,.T.); +#59293=ORIENTED_EDGE('',*,*,#59292,.F.); +#59295=ORIENTED_EDGE('',*,*,#59294,.F.); +#59297=ORIENTED_EDGE('',*,*,#59296,.T.); +#59298=EDGE_LOOP('',(#59291,#59293,#59295,#59297)); +#59299=FACE_BOUND('',#59298,.F.); +#59301=ORIENTED_EDGE('',*,*,#59300,.T.); +#59303=ORIENTED_EDGE('',*,*,#59302,.F.); +#59305=ORIENTED_EDGE('',*,*,#59304,.F.); +#59307=ORIENTED_EDGE('',*,*,#59306,.T.); +#59308=EDGE_LOOP('',(#59301,#59303,#59305,#59307)); +#59309=FACE_BOUND('',#59308,.F.); +#59311=ORIENTED_EDGE('',*,*,#59310,.T.); +#59313=ORIENTED_EDGE('',*,*,#59312,.F.); +#59315=ORIENTED_EDGE('',*,*,#59314,.F.); +#59317=ORIENTED_EDGE('',*,*,#59316,.T.); +#59318=EDGE_LOOP('',(#59311,#59313,#59315,#59317)); +#59319=FACE_BOUND('',#59318,.F.); +#59321=ORIENTED_EDGE('',*,*,#59320,.T.); +#59323=ORIENTED_EDGE('',*,*,#59322,.F.); +#59325=ORIENTED_EDGE('',*,*,#59324,.F.); +#59327=ORIENTED_EDGE('',*,*,#59326,.T.); +#59328=EDGE_LOOP('',(#59321,#59323,#59325,#59327)); +#59329=FACE_BOUND('',#59328,.F.); +#59331=ORIENTED_EDGE('',*,*,#59330,.T.); +#59333=ORIENTED_EDGE('',*,*,#59332,.F.); +#59335=ORIENTED_EDGE('',*,*,#59334,.F.); +#59337=ORIENTED_EDGE('',*,*,#59336,.T.); +#59338=EDGE_LOOP('',(#59331,#59333,#59335,#59337)); +#59339=FACE_BOUND('',#59338,.F.); +#59341=ORIENTED_EDGE('',*,*,#59340,.T.); +#59343=ORIENTED_EDGE('',*,*,#59342,.F.); +#59345=ORIENTED_EDGE('',*,*,#59344,.F.); +#59347=ORIENTED_EDGE('',*,*,#59346,.T.); +#59348=EDGE_LOOP('',(#59341,#59343,#59345,#59347)); +#59349=FACE_BOUND('',#59348,.F.); +#59351=ORIENTED_EDGE('',*,*,#59350,.T.); +#59353=ORIENTED_EDGE('',*,*,#59352,.F.); +#59355=ORIENTED_EDGE('',*,*,#59354,.F.); +#59357=ORIENTED_EDGE('',*,*,#59356,.T.); +#59358=EDGE_LOOP('',(#59351,#59353,#59355,#59357)); +#59359=FACE_BOUND('',#59358,.F.); +#59361=ORIENTED_EDGE('',*,*,#59360,.T.); +#59363=ORIENTED_EDGE('',*,*,#59362,.F.); +#59365=ORIENTED_EDGE('',*,*,#59364,.F.); +#59367=ORIENTED_EDGE('',*,*,#59366,.T.); +#59368=EDGE_LOOP('',(#59361,#59363,#59365,#59367)); +#59369=FACE_BOUND('',#59368,.F.); +#59371=ORIENTED_EDGE('',*,*,#59370,.T.); +#59373=ORIENTED_EDGE('',*,*,#59372,.F.); +#59375=ORIENTED_EDGE('',*,*,#59374,.F.); +#59377=ORIENTED_EDGE('',*,*,#59376,.T.); +#59378=EDGE_LOOP('',(#59371,#59373,#59375,#59377)); +#59379=FACE_BOUND('',#59378,.F.); +#59381=ORIENTED_EDGE('',*,*,#59380,.T.); +#59383=ORIENTED_EDGE('',*,*,#59382,.F.); +#59385=ORIENTED_EDGE('',*,*,#59384,.F.); +#59387=ORIENTED_EDGE('',*,*,#59386,.T.); +#59388=EDGE_LOOP('',(#59381,#59383,#59385,#59387)); +#59389=FACE_BOUND('',#59388,.F.); +#59391=ORIENTED_EDGE('',*,*,#59390,.T.); +#59393=ORIENTED_EDGE('',*,*,#59392,.F.); +#59395=ORIENTED_EDGE('',*,*,#59394,.F.); +#59397=ORIENTED_EDGE('',*,*,#59396,.T.); +#59398=EDGE_LOOP('',(#59391,#59393,#59395,#59397)); +#59399=FACE_BOUND('',#59398,.F.); +#59401=ORIENTED_EDGE('',*,*,#59400,.T.); +#59403=ORIENTED_EDGE('',*,*,#59402,.F.); +#59405=ORIENTED_EDGE('',*,*,#59404,.F.); +#59407=ORIENTED_EDGE('',*,*,#59406,.T.); +#59408=EDGE_LOOP('',(#59401,#59403,#59405,#59407)); +#59409=FACE_BOUND('',#59408,.F.); +#59411=ORIENTED_EDGE('',*,*,#59410,.T.); +#59413=ORIENTED_EDGE('',*,*,#59412,.F.); +#59415=ORIENTED_EDGE('',*,*,#59414,.F.); +#59417=ORIENTED_EDGE('',*,*,#59416,.T.); +#59418=EDGE_LOOP('',(#59411,#59413,#59415,#59417)); +#59419=FACE_BOUND('',#59418,.F.); +#59421=ORIENTED_EDGE('',*,*,#59420,.T.); +#59423=ORIENTED_EDGE('',*,*,#59422,.F.); +#59425=ORIENTED_EDGE('',*,*,#59424,.F.); +#59427=ORIENTED_EDGE('',*,*,#59426,.T.); +#59428=EDGE_LOOP('',(#59421,#59423,#59425,#59427)); +#59429=FACE_BOUND('',#59428,.F.); +#59431=ORIENTED_EDGE('',*,*,#59430,.T.); +#59433=ORIENTED_EDGE('',*,*,#59432,.F.); +#59435=ORIENTED_EDGE('',*,*,#59434,.F.); +#59437=ORIENTED_EDGE('',*,*,#59436,.T.); +#59438=EDGE_LOOP('',(#59431,#59433,#59435,#59437)); +#59439=FACE_BOUND('',#59438,.F.); +#59441=ORIENTED_EDGE('',*,*,#59440,.T.); +#59443=ORIENTED_EDGE('',*,*,#59442,.F.); +#59445=ORIENTED_EDGE('',*,*,#59444,.F.); +#59447=ORIENTED_EDGE('',*,*,#59446,.T.); +#59448=EDGE_LOOP('',(#59441,#59443,#59445,#59447)); +#59449=FACE_BOUND('',#59448,.F.); +#59451=ORIENTED_EDGE('',*,*,#59450,.T.); +#59453=ORIENTED_EDGE('',*,*,#59452,.F.); +#59455=ORIENTED_EDGE('',*,*,#59454,.F.); +#59457=ORIENTED_EDGE('',*,*,#59456,.T.); +#59458=EDGE_LOOP('',(#59451,#59453,#59455,#59457)); +#59459=FACE_BOUND('',#59458,.F.); +#59461=ORIENTED_EDGE('',*,*,#59460,.T.); +#59463=ORIENTED_EDGE('',*,*,#59462,.F.); +#59465=ORIENTED_EDGE('',*,*,#59464,.F.); +#59467=ORIENTED_EDGE('',*,*,#59466,.T.); +#59468=EDGE_LOOP('',(#59461,#59463,#59465,#59467)); +#59469=FACE_BOUND('',#59468,.F.); +#59471=ORIENTED_EDGE('',*,*,#59470,.T.); +#59473=ORIENTED_EDGE('',*,*,#59472,.F.); +#59475=ORIENTED_EDGE('',*,*,#59474,.F.); +#59477=ORIENTED_EDGE('',*,*,#59476,.T.); +#59478=EDGE_LOOP('',(#59471,#59473,#59475,#59477)); +#59479=FACE_BOUND('',#59478,.F.); +#59481=ORIENTED_EDGE('',*,*,#59480,.T.); +#59483=ORIENTED_EDGE('',*,*,#59482,.F.); +#59485=ORIENTED_EDGE('',*,*,#59484,.F.); +#59487=ORIENTED_EDGE('',*,*,#59486,.T.); +#59488=EDGE_LOOP('',(#59481,#59483,#59485,#59487)); +#59489=FACE_BOUND('',#59488,.F.); +#59491=ORIENTED_EDGE('',*,*,#59490,.T.); +#59493=ORIENTED_EDGE('',*,*,#59492,.F.); +#59495=ORIENTED_EDGE('',*,*,#59494,.F.); +#59497=ORIENTED_EDGE('',*,*,#59496,.T.); +#59498=EDGE_LOOP('',(#59491,#59493,#59495,#59497)); +#59499=FACE_BOUND('',#59498,.F.); +#59501=ORIENTED_EDGE('',*,*,#59500,.T.); +#59503=ORIENTED_EDGE('',*,*,#59502,.F.); +#59505=ORIENTED_EDGE('',*,*,#59504,.F.); +#59507=ORIENTED_EDGE('',*,*,#59506,.T.); +#59508=EDGE_LOOP('',(#59501,#59503,#59505,#59507)); +#59509=FACE_BOUND('',#59508,.F.); +#59511=ORIENTED_EDGE('',*,*,#59510,.T.); +#59513=ORIENTED_EDGE('',*,*,#59512,.F.); +#59515=ORIENTED_EDGE('',*,*,#59514,.F.); +#59517=ORIENTED_EDGE('',*,*,#59516,.T.); +#59518=EDGE_LOOP('',(#59511,#59513,#59515,#59517)); +#59519=FACE_BOUND('',#59518,.F.); +#59521=ORIENTED_EDGE('',*,*,#59520,.T.); +#59523=ORIENTED_EDGE('',*,*,#59522,.F.); +#59525=ORIENTED_EDGE('',*,*,#59524,.F.); +#59527=ORIENTED_EDGE('',*,*,#59526,.T.); +#59528=EDGE_LOOP('',(#59521,#59523,#59525,#59527)); +#59529=FACE_BOUND('',#59528,.F.); +#59531=ORIENTED_EDGE('',*,*,#59530,.T.); +#59533=ORIENTED_EDGE('',*,*,#59532,.F.); +#59535=ORIENTED_EDGE('',*,*,#59534,.F.); +#59537=ORIENTED_EDGE('',*,*,#59536,.T.); +#59538=EDGE_LOOP('',(#59531,#59533,#59535,#59537)); +#59539=FACE_BOUND('',#59538,.F.); +#59541=ORIENTED_EDGE('',*,*,#59540,.T.); +#59543=ORIENTED_EDGE('',*,*,#59542,.F.); +#59545=ORIENTED_EDGE('',*,*,#59544,.F.); +#59547=ORIENTED_EDGE('',*,*,#59546,.T.); +#59548=EDGE_LOOP('',(#59541,#59543,#59545,#59547)); +#59549=FACE_BOUND('',#59548,.F.); +#59551=ORIENTED_EDGE('',*,*,#59550,.T.); +#59553=ORIENTED_EDGE('',*,*,#59552,.F.); +#59555=ORIENTED_EDGE('',*,*,#59554,.F.); +#59557=ORIENTED_EDGE('',*,*,#59556,.T.); +#59558=EDGE_LOOP('',(#59551,#59553,#59555,#59557)); +#59559=FACE_BOUND('',#59558,.F.); +#59561=ORIENTED_EDGE('',*,*,#59560,.T.); +#59563=ORIENTED_EDGE('',*,*,#59562,.F.); +#59565=ORIENTED_EDGE('',*,*,#59564,.F.); +#59567=ORIENTED_EDGE('',*,*,#59566,.T.); +#59568=EDGE_LOOP('',(#59561,#59563,#59565,#59567)); +#59569=FACE_BOUND('',#59568,.F.); +#59571=ORIENTED_EDGE('',*,*,#59570,.T.); +#59573=ORIENTED_EDGE('',*,*,#59572,.F.); +#59575=ORIENTED_EDGE('',*,*,#59574,.F.); +#59577=ORIENTED_EDGE('',*,*,#59576,.T.); +#59578=EDGE_LOOP('',(#59571,#59573,#59575,#59577)); +#59579=FACE_BOUND('',#59578,.F.); +#59581=ORIENTED_EDGE('',*,*,#59580,.T.); +#59583=ORIENTED_EDGE('',*,*,#59582,.F.); +#59585=ORIENTED_EDGE('',*,*,#59584,.F.); +#59587=ORIENTED_EDGE('',*,*,#59586,.T.); +#59588=EDGE_LOOP('',(#59581,#59583,#59585,#59587)); +#59589=FACE_BOUND('',#59588,.F.); +#59591=ORIENTED_EDGE('',*,*,#59590,.T.); +#59593=ORIENTED_EDGE('',*,*,#59592,.F.); +#59595=ORIENTED_EDGE('',*,*,#59594,.F.); +#59597=ORIENTED_EDGE('',*,*,#59596,.T.); +#59598=EDGE_LOOP('',(#59591,#59593,#59595,#59597)); +#59599=FACE_BOUND('',#59598,.F.); +#59601=ORIENTED_EDGE('',*,*,#59600,.T.); +#59603=ORIENTED_EDGE('',*,*,#59602,.F.); +#59605=ORIENTED_EDGE('',*,*,#59604,.F.); +#59607=ORIENTED_EDGE('',*,*,#59606,.T.); +#59608=EDGE_LOOP('',(#59601,#59603,#59605,#59607)); +#59609=FACE_BOUND('',#59608,.F.); +#59611=CARTESIAN_POINT('',(5.621497711906E1,-1.02E1,-2.815E1)); +#59612=DIRECTION('',(0.E0,0.E0,-1.E0)); +#59613=DIRECTION('',(-1.E0,0.E0,0.E0)); +#59614=AXIS2_PLACEMENT_3D('',#59611,#59612,#59613); +#59615=CYLINDRICAL_SURFACE('',#59614,1.E0); +#59616=ORIENTED_EDGE('',*,*,#58767,.T.); +#59617=ORIENTED_EDGE('',*,*,#58729,.T.); +#59619=ORIENTED_EDGE('',*,*,#59618,.T.); +#59621=ORIENTED_EDGE('',*,*,#59620,.F.); +#59622=EDGE_LOOP('',(#59616,#59617,#59619,#59621)); +#59623=FACE_OUTER_BOUND('',#59622,.F.); +#59625=CARTESIAN_POINT('',(5.721436794608E1,-1.023489949670E1,-2.815E1)); +#59626=DIRECTION('',(-9.993908270191E-1,3.489949670250E-2,0.E0)); +#59627=DIRECTION('',(3.489949670250E-2,9.993908270191E-1,0.E0)); +#59628=AXIS2_PLACEMENT_3D('',#59625,#59626,#59627); +#59629=PLANE('',#59628); +#59630=ORIENTED_EDGE('',*,*,#58727,.T.); +#59632=ORIENTED_EDGE('',*,*,#59631,.T.); +#59634=ORIENTED_EDGE('',*,*,#59633,.F.); +#59635=ORIENTED_EDGE('',*,*,#59618,.F.); +#59636=EDGE_LOOP('',(#59630,#59632,#59634,#59635)); +#59637=FACE_OUTER_BOUND('',#59636,.F.); +#59639=CARTESIAN_POINT('',(5.749844489624E1,-2.1E0,-2.815E1)); +#59640=DIRECTION('',(0.E0,1.E0,0.E0)); +#59641=DIRECTION('',(1.E0,0.E0,0.E0)); +#59642=AXIS2_PLACEMENT_3D('',#59639,#59640,#59641); +#59643=PLANE('',#59642); +#59644=ORIENTED_EDGE('',*,*,#58725,.T.); +#59646=ORIENTED_EDGE('',*,*,#59645,.T.); +#59648=ORIENTED_EDGE('',*,*,#59647,.F.); +#59649=ORIENTED_EDGE('',*,*,#59631,.F.); +#59650=EDGE_LOOP('',(#59644,#59646,#59648,#59649)); +#59651=FACE_OUTER_BOUND('',#59650,.F.); +#59653=CARTESIAN_POINT('',(5.915717967697E1,-2.1E0,-2.815E1)); +#59654=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#59655=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#59656=AXIS2_PLACEMENT_3D('',#59653,#59654,#59655); +#59657=PLANE('',#59656); +#59658=ORIENTED_EDGE('',*,*,#58723,.T.); +#59660=ORIENTED_EDGE('',*,*,#59659,.T.); +#59662=ORIENTED_EDGE('',*,*,#59661,.F.); +#59663=ORIENTED_EDGE('',*,*,#59645,.F.); +#59664=EDGE_LOOP('',(#59658,#59660,#59662,#59663)); +#59665=FACE_OUTER_BOUND('',#59664,.F.); +#59667=CARTESIAN_POINT('',(5.985E1,-3.3E0,-2.815E1)); +#59668=DIRECTION('',(1.E0,0.E0,0.E0)); +#59669=DIRECTION('',(0.E0,-1.E0,0.E0)); +#59670=AXIS2_PLACEMENT_3D('',#59667,#59668,#59669); +#59671=PLANE('',#59670); +#59673=ORIENTED_EDGE('',*,*,#59672,.F.); +#59675=ORIENTED_EDGE('',*,*,#59674,.F.); +#59676=ORIENTED_EDGE('',*,*,#59659,.F.); +#59677=ORIENTED_EDGE('',*,*,#58721,.T.); +#59678=EDGE_LOOP('',(#59673,#59675,#59676,#59677)); +#59679=FACE_OUTER_BOUND('',#59678,.F.); +#59681=CARTESIAN_POINT('',(6.E1,-3.8E0,-2.93E1)); +#59682=DIRECTION('',(0.E0,-1.E0,0.E0)); +#59683=DIRECTION('',(-1.E0,0.E0,0.E0)); +#59684=AXIS2_PLACEMENT_3D('',#59681,#59682,#59683); +#59685=PLANE('',#59684); +#59686=ORIENTED_EDGE('',*,*,#58719,.F.); +#59688=ORIENTED_EDGE('',*,*,#59687,.F.); +#59690=ORIENTED_EDGE('',*,*,#59689,.F.); +#59692=ORIENTED_EDGE('',*,*,#59691,.T.); +#59694=ORIENTED_EDGE('',*,*,#59693,.T.); +#59696=ORIENTED_EDGE('',*,*,#59695,.F.); +#59698=ORIENTED_EDGE('',*,*,#59697,.T.); +#59699=ORIENTED_EDGE('',*,*,#59672,.T.); +#59700=EDGE_LOOP('',(#59686,#59688,#59690,#59692,#59694,#59696,#59698,#59699)); +#59701=FACE_OUTER_BOUND('',#59700,.F.); +#59703=CARTESIAN_POINT('',(5.895E1,-3.8E0,-2.93E1)); +#59704=DIRECTION('',(1.E0,0.E0,0.E0)); +#59705=DIRECTION('',(0.E0,-1.E0,0.E0)); +#59706=AXIS2_PLACEMENT_3D('',#59703,#59704,#59705); +#59707=PLANE('',#59706); +#59708=ORIENTED_EDGE('',*,*,#59687,.T.); +#59710=ORIENTED_EDGE('',*,*,#59709,.F.); +#59711=ORIENTED_EDGE('',*,*,#59695,.T.); +#59713=ORIENTED_EDGE('',*,*,#59712,.T.); +#59715=ORIENTED_EDGE('',*,*,#59714,.F.); +#59717=ORIENTED_EDGE('',*,*,#59716,.F.); +#59718=EDGE_LOOP('',(#59708,#59710,#59711,#59713,#59715,#59717)); +#59719=FACE_OUTER_BOUND('',#59718,.F.); +#59721=CARTESIAN_POINT('',(5.895E1,-3.8E0,-2.815E1)); +#59722=DIRECTION('',(9.993908270191E-1,-3.489949670250E-2,0.E0)); +#59723=DIRECTION('',(-3.489949670250E-2,-9.993908270191E-1,0.E0)); +#59724=AXIS2_PLACEMENT_3D('',#59721,#59722,#59723); +#59725=PLANE('',#59724); +#59726=ORIENTED_EDGE('',*,*,#58717,.T.); +#59727=ORIENTED_EDGE('',*,*,#57702,.T.); +#59729=ORIENTED_EDGE('',*,*,#59728,.F.); +#59730=ORIENTED_EDGE('',*,*,#59709,.T.); +#59731=EDGE_LOOP('',(#59726,#59727,#59729,#59730)); +#59732=FACE_OUTER_BOUND('',#59731,.F.); +#59734=CARTESIAN_POINT('',(-5.71E1,-1.35E1,-2.545E1)); +#59735=DIRECTION('',(0.E0,0.E0,1.E0)); +#59736=DIRECTION('',(-1.E0,0.E0,0.E0)); +#59737=AXIS2_PLACEMENT_3D('',#59734,#59735,#59736); +#59738=PLANE('',#59737); +#59739=ORIENTED_EDGE('',*,*,#57700,.T.); +#59741=ORIENTED_EDGE('',*,*,#59740,.F.); +#59742=ORIENTED_EDGE('',*,*,#58769,.T.); +#59743=ORIENTED_EDGE('',*,*,#59620,.T.); +#59744=ORIENTED_EDGE('',*,*,#59633,.T.); +#59745=ORIENTED_EDGE('',*,*,#59647,.T.); +#59746=ORIENTED_EDGE('',*,*,#59661,.T.); +#59747=ORIENTED_EDGE('',*,*,#59674,.T.); +#59748=ORIENTED_EDGE('',*,*,#59697,.F.); +#59749=ORIENTED_EDGE('',*,*,#59728,.T.); +#59750=EDGE_LOOP('',(#59739,#59741,#59742,#59743,#59744,#59745,#59746,#59747, +#59748,#59749)); +#59751=FACE_OUTER_BOUND('',#59750,.F.); +#59753=CARTESIAN_POINT('',(-5.71E1,-1.35E1,-2.545E1)); +#59754=DIRECTION('',(0.E0,0.E0,1.E0)); +#59755=DIRECTION('',(-1.E0,0.E0,0.E0)); +#59756=AXIS2_PLACEMENT_3D('',#59753,#59754,#59755); +#59757=PLANE('',#59756); +#59758=ORIENTED_EDGE('',*,*,#57692,.T.); +#59760=ORIENTED_EDGE('',*,*,#59759,.T.); +#59762=ORIENTED_EDGE('',*,*,#59761,.T.); +#59764=ORIENTED_EDGE('',*,*,#59763,.T.); +#59766=ORIENTED_EDGE('',*,*,#59765,.T.); +#59768=ORIENTED_EDGE('',*,*,#59767,.T.); +#59770=ORIENTED_EDGE('',*,*,#59769,.T.); +#59772=ORIENTED_EDGE('',*,*,#59771,.T.); +#59773=ORIENTED_EDGE('',*,*,#58777,.T.); +#59775=ORIENTED_EDGE('',*,*,#59774,.T.); +#59776=EDGE_LOOP('',(#59758,#59760,#59762,#59764,#59766,#59768,#59770,#59772, +#59773,#59775)); +#59777=FACE_OUTER_BOUND('',#59776,.F.); +#59779=CARTESIAN_POINT('',(5.71E1,-1.35E1,-2.545E1)); +#59780=DIRECTION('',(1.E0,0.E0,0.E0)); +#59781=DIRECTION('',(0.E0,0.E0,1.E0)); +#59782=AXIS2_PLACEMENT_3D('',#59779,#59780,#59781); +#59783=PLANE('',#59782); +#59784=ORIENTED_EDGE('',*,*,#58771,.T.); +#59785=ORIENTED_EDGE('',*,*,#59740,.T.); +#59786=ORIENTED_EDGE('',*,*,#57698,.F.); +#59788=ORIENTED_EDGE('',*,*,#59787,.F.); +#59789=EDGE_LOOP('',(#59784,#59785,#59786,#59788)); +#59790=FACE_OUTER_BOUND('',#59789,.F.); +#59792=CARTESIAN_POINT('',(5.71E1,-1.35E1,-2.345E1)); +#59793=DIRECTION('',(0.E0,0.E0,1.E0)); +#59794=DIRECTION('',(-1.E0,0.E0,0.E0)); +#59795=AXIS2_PLACEMENT_3D('',#59792,#59793,#59794); +#59796=PLANE('',#59795); +#59797=ORIENTED_EDGE('',*,*,#57696,.F.); +#59799=ORIENTED_EDGE('',*,*,#59798,.F.); +#59800=ORIENTED_EDGE('',*,*,#58773,.T.); +#59801=ORIENTED_EDGE('',*,*,#59787,.T.); +#59802=EDGE_LOOP('',(#59797,#59799,#59800,#59801)); +#59803=FACE_OUTER_BOUND('',#59802,.F.); +#59805=CARTESIAN_POINT('',(-5.71E1,-1.35E1,-2.345E1)); +#59806=DIRECTION('',(-1.E0,0.E0,0.E0)); +#59807=DIRECTION('',(0.E0,0.E0,-1.E0)); +#59808=AXIS2_PLACEMENT_3D('',#59805,#59806,#59807); +#59809=PLANE('',#59808); +#59810=ORIENTED_EDGE('',*,*,#58775,.T.); +#59811=ORIENTED_EDGE('',*,*,#59798,.T.); +#59812=ORIENTED_EDGE('',*,*,#57694,.F.); +#59813=ORIENTED_EDGE('',*,*,#59774,.F.); +#59814=EDGE_LOOP('',(#59810,#59811,#59812,#59813)); +#59815=FACE_OUTER_BOUND('',#59814,.F.); +#59817=CARTESIAN_POINT('',(-5.861126853593E1,-1.35E1,-2.815E1)); +#59818=DIRECTION('',(-9.993908270191E-1,-3.489949670250E-2,0.E0)); +#59819=DIRECTION('',(-3.489949670250E-2,9.993908270191E-1,0.E0)); +#59820=AXIS2_PLACEMENT_3D('',#59817,#59818,#59819); +#59821=PLANE('',#59820); +#59823=ORIENTED_EDGE('',*,*,#59822,.F.); +#59824=ORIENTED_EDGE('',*,*,#59759,.F.); +#59825=ORIENTED_EDGE('',*,*,#57690,.F.); +#59826=ORIENTED_EDGE('',*,*,#58755,.T.); +#59827=EDGE_LOOP('',(#59823,#59824,#59825,#59826)); +#59828=FACE_OUTER_BOUND('',#59827,.F.); +#59830=CARTESIAN_POINT('',(-5.895E1,-4.2E0,-2.93E1)); +#59831=DIRECTION('',(-1.E0,0.E0,0.E0)); +#59832=DIRECTION('',(0.E0,1.E0,0.E0)); +#59833=AXIS2_PLACEMENT_3D('',#59830,#59831,#59832); +#59834=PLANE('',#59833); +#59836=ORIENTED_EDGE('',*,*,#59835,.F.); +#59838=ORIENTED_EDGE('',*,*,#59837,.F.); +#59840=ORIENTED_EDGE('',*,*,#59839,.T.); +#59842=ORIENTED_EDGE('',*,*,#59841,.T.); +#59844=ORIENTED_EDGE('',*,*,#59843,.F.); +#59845=ORIENTED_EDGE('',*,*,#59822,.T.); +#59846=EDGE_LOOP('',(#59836,#59838,#59840,#59842,#59844,#59845)); +#59847=FACE_OUTER_BOUND('',#59846,.F.); +#59849=CARTESIAN_POINT('',(-5.895E1,-3.8E0,-2.93E1)); +#59850=DIRECTION('',(0.E0,-1.E0,0.E0)); +#59851=DIRECTION('',(-1.E0,0.E0,0.E0)); +#59852=AXIS2_PLACEMENT_3D('',#59849,#59850,#59851); +#59853=PLANE('',#59852); +#59854=ORIENTED_EDGE('',*,*,#58753,.T.); +#59856=ORIENTED_EDGE('',*,*,#59855,.F.); +#59857=ORIENTED_EDGE('',*,*,#59761,.F.); +#59858=ORIENTED_EDGE('',*,*,#59843,.T.); +#59860=ORIENTED_EDGE('',*,*,#59859,.T.); +#59862=ORIENTED_EDGE('',*,*,#59861,.F.); +#59864=ORIENTED_EDGE('',*,*,#59863,.F.); +#59865=ORIENTED_EDGE('',*,*,#59835,.T.); +#59866=EDGE_LOOP('',(#59854,#59856,#59857,#59858,#59860,#59862,#59864,#59865)); +#59867=FACE_OUTER_BOUND('',#59866,.F.); +#59869=CARTESIAN_POINT('',(-5.985E1,-3.8E0,-2.815E1)); +#59870=DIRECTION('',(-1.E0,0.E0,0.E0)); +#59871=DIRECTION('',(0.E0,1.E0,0.E0)); +#59872=AXIS2_PLACEMENT_3D('',#59869,#59870,#59871); +#59873=PLANE('',#59872); +#59874=ORIENTED_EDGE('',*,*,#59855,.T.); +#59875=ORIENTED_EDGE('',*,*,#58751,.T.); +#59877=ORIENTED_EDGE('',*,*,#59876,.T.); +#59878=ORIENTED_EDGE('',*,*,#59763,.F.); +#59879=EDGE_LOOP('',(#59874,#59875,#59877,#59878)); +#59880=FACE_OUTER_BOUND('',#59879,.F.); +#59882=CARTESIAN_POINT('',(-5.985E1,-3.3E0,-2.815E1)); +#59883=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#59884=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#59885=AXIS2_PLACEMENT_3D('',#59882,#59883,#59884); +#59886=PLANE('',#59885); +#59887=ORIENTED_EDGE('',*,*,#58749,.T.); +#59889=ORIENTED_EDGE('',*,*,#59888,.T.); +#59890=ORIENTED_EDGE('',*,*,#59765,.F.); +#59891=ORIENTED_EDGE('',*,*,#59876,.F.); +#59892=EDGE_LOOP('',(#59887,#59889,#59890,#59891)); +#59893=FACE_OUTER_BOUND('',#59892,.F.); +#59895=CARTESIAN_POINT('',(-5.915717967697E1,-2.1E0,-2.815E1)); +#59896=DIRECTION('',(0.E0,1.E0,0.E0)); +#59897=DIRECTION('',(1.E0,0.E0,0.E0)); +#59898=AXIS2_PLACEMENT_3D('',#59895,#59896,#59897); +#59899=PLANE('',#59898); +#59900=ORIENTED_EDGE('',*,*,#58747,.T.); +#59902=ORIENTED_EDGE('',*,*,#59901,.T.); +#59903=ORIENTED_EDGE('',*,*,#59767,.F.); +#59904=ORIENTED_EDGE('',*,*,#59888,.F.); +#59905=EDGE_LOOP('',(#59900,#59902,#59903,#59904)); +#59906=FACE_OUTER_BOUND('',#59905,.F.); +#59908=CARTESIAN_POINT('',(-5.749844489624E1,-2.1E0,-2.815E1)); +#59909=DIRECTION('',(9.993908270191E-1,3.489949670250E-2,0.E0)); +#59910=DIRECTION('',(3.489949670250E-2,-9.993908270191E-1,0.E0)); +#59911=AXIS2_PLACEMENT_3D('',#59908,#59909,#59910); +#59912=PLANE('',#59911); +#59913=ORIENTED_EDGE('',*,*,#58745,.T.); +#59915=ORIENTED_EDGE('',*,*,#59914,.T.); +#59916=ORIENTED_EDGE('',*,*,#59769,.F.); +#59917=ORIENTED_EDGE('',*,*,#59901,.F.); +#59918=EDGE_LOOP('',(#59913,#59915,#59916,#59917)); +#59919=FACE_OUTER_BOUND('',#59918,.F.); +#59921=CARTESIAN_POINT('',(-5.621497711906E1,-1.02E1,-2.815E1)); +#59922=DIRECTION('',(0.E0,0.E0,-1.E0)); +#59923=DIRECTION('',(-1.E0,0.E0,0.E0)); +#59924=AXIS2_PLACEMENT_3D('',#59921,#59922,#59923); +#59925=CYLINDRICAL_SURFACE('',#59924,1.E0); +#59926=ORIENTED_EDGE('',*,*,#58779,.F.); +#59927=ORIENTED_EDGE('',*,*,#59771,.F.); +#59928=ORIENTED_EDGE('',*,*,#59914,.F.); +#59929=ORIENTED_EDGE('',*,*,#58743,.T.); +#59930=EDGE_LOOP('',(#59926,#59927,#59928,#59929)); +#59931=FACE_OUTER_BOUND('',#59930,.F.); +#59933=CARTESIAN_POINT('',(-5.775E1,6.3E0,-2.36E1)); +#59934=DIRECTION('',(0.E0,0.E0,1.E0)); +#59935=DIRECTION('',(0.E0,-1.E0,0.E0)); +#59936=AXIS2_PLACEMENT_3D('',#59933,#59934,#59935); +#59937=PLANE('',#59936); +#59939=ORIENTED_EDGE('',*,*,#59938,.T.); +#59941=ORIENTED_EDGE('',*,*,#59940,.T.); +#59943=ORIENTED_EDGE('',*,*,#59942,.F.); +#59945=ORIENTED_EDGE('',*,*,#59944,.T.); +#59947=ORIENTED_EDGE('',*,*,#59946,.T.); +#59949=ORIENTED_EDGE('',*,*,#59948,.F.); +#59951=ORIENTED_EDGE('',*,*,#59950,.F.); +#59953=ORIENTED_EDGE('',*,*,#59952,.T.); +#59955=ORIENTED_EDGE('',*,*,#59954,.T.); +#59957=ORIENTED_EDGE('',*,*,#59956,.T.); +#59959=ORIENTED_EDGE('',*,*,#59958,.F.); +#59961=ORIENTED_EDGE('',*,*,#59960,.T.); +#59963=ORIENTED_EDGE('',*,*,#59962,.F.); +#59965=ORIENTED_EDGE('',*,*,#59964,.F.); +#59967=ORIENTED_EDGE('',*,*,#59966,.F.); +#59969=ORIENTED_EDGE('',*,*,#59968,.F.); +#59971=ORIENTED_EDGE('',*,*,#59970,.F.); +#59973=ORIENTED_EDGE('',*,*,#59972,.F.); +#59974=ORIENTED_EDGE('',*,*,#59859,.F.); +#59975=ORIENTED_EDGE('',*,*,#59841,.F.); +#59977=ORIENTED_EDGE('',*,*,#59976,.F.); +#59979=ORIENTED_EDGE('',*,*,#59978,.F.); +#59981=ORIENTED_EDGE('',*,*,#59980,.F.); +#59983=ORIENTED_EDGE('',*,*,#59982,.T.); +#59985=ORIENTED_EDGE('',*,*,#59984,.F.); +#59987=ORIENTED_EDGE('',*,*,#59986,.F.); +#59989=ORIENTED_EDGE('',*,*,#59988,.F.); +#59991=ORIENTED_EDGE('',*,*,#59990,.F.); +#59993=ORIENTED_EDGE('',*,*,#59992,.F.); +#59994=ORIENTED_EDGE('',*,*,#59712,.F.); +#59995=ORIENTED_EDGE('',*,*,#59693,.F.); +#59997=ORIENTED_EDGE('',*,*,#59996,.F.); +#59999=ORIENTED_EDGE('',*,*,#59998,.F.); +#60001=ORIENTED_EDGE('',*,*,#60000,.T.); +#60003=ORIENTED_EDGE('',*,*,#60002,.F.); +#60005=ORIENTED_EDGE('',*,*,#60004,.F.); +#60007=ORIENTED_EDGE('',*,*,#60006,.F.); +#60009=ORIENTED_EDGE('',*,*,#60008,.T.); +#60010=EDGE_LOOP('',(#59939,#59941,#59943,#59945,#59947,#59949,#59951,#59953, +#59955,#59957,#59959,#59961,#59963,#59965,#59967,#59969,#59971,#59973,#59974, +#59975,#59977,#59979,#59981,#59983,#59985,#59987,#59989,#59991,#59993,#59994, +#59995,#59997,#59999,#60001,#60003,#60005,#60007,#60009)); +#60011=FACE_OUTER_BOUND('',#60010,.F.); +#60013=ORIENTED_EDGE('',*,*,#60012,.T.); +#60015=ORIENTED_EDGE('',*,*,#60014,.T.); +#60017=ORIENTED_EDGE('',*,*,#60016,.T.); +#60019=ORIENTED_EDGE('',*,*,#60018,.F.); +#60020=EDGE_LOOP('',(#60013,#60015,#60017,#60019)); +#60021=FACE_BOUND('',#60020,.F.); +#60023=ORIENTED_EDGE('',*,*,#60022,.T.); +#60025=ORIENTED_EDGE('',*,*,#60024,.T.); +#60027=ORIENTED_EDGE('',*,*,#60026,.T.); +#60029=ORIENTED_EDGE('',*,*,#60028,.F.); +#60030=EDGE_LOOP('',(#60023,#60025,#60027,#60029)); +#60031=FACE_BOUND('',#60030,.F.); +#60033=ORIENTED_EDGE('',*,*,#60032,.T.); +#60035=ORIENTED_EDGE('',*,*,#60034,.T.); +#60037=ORIENTED_EDGE('',*,*,#60036,.T.); +#60039=ORIENTED_EDGE('',*,*,#60038,.F.); +#60040=EDGE_LOOP('',(#60033,#60035,#60037,#60039)); +#60041=FACE_BOUND('',#60040,.F.); +#60043=ORIENTED_EDGE('',*,*,#60042,.T.); +#60045=ORIENTED_EDGE('',*,*,#60044,.T.); +#60047=ORIENTED_EDGE('',*,*,#60046,.T.); +#60049=ORIENTED_EDGE('',*,*,#60048,.F.); +#60050=EDGE_LOOP('',(#60043,#60045,#60047,#60049)); +#60051=FACE_BOUND('',#60050,.F.); +#60053=ORIENTED_EDGE('',*,*,#60052,.T.); +#60055=ORIENTED_EDGE('',*,*,#60054,.T.); +#60057=ORIENTED_EDGE('',*,*,#60056,.F.); +#60059=ORIENTED_EDGE('',*,*,#60058,.F.); +#60060=EDGE_LOOP('',(#60053,#60055,#60057,#60059)); +#60061=FACE_BOUND('',#60060,.F.); +#60063=ORIENTED_EDGE('',*,*,#60062,.T.); +#60065=ORIENTED_EDGE('',*,*,#60064,.T.); +#60067=ORIENTED_EDGE('',*,*,#60066,.F.); +#60069=ORIENTED_EDGE('',*,*,#60068,.F.); +#60070=EDGE_LOOP('',(#60063,#60065,#60067,#60069)); +#60071=FACE_BOUND('',#60070,.F.); +#60073=ORIENTED_EDGE('',*,*,#60072,.T.); +#60075=ORIENTED_EDGE('',*,*,#60074,.T.); +#60077=ORIENTED_EDGE('',*,*,#60076,.F.); +#60079=ORIENTED_EDGE('',*,*,#60078,.F.); +#60080=EDGE_LOOP('',(#60073,#60075,#60077,#60079)); +#60081=FACE_BOUND('',#60080,.F.); +#60083=ORIENTED_EDGE('',*,*,#60082,.T.); +#60085=ORIENTED_EDGE('',*,*,#60084,.T.); +#60087=ORIENTED_EDGE('',*,*,#60086,.F.); +#60089=ORIENTED_EDGE('',*,*,#60088,.F.); +#60090=EDGE_LOOP('',(#60083,#60085,#60087,#60089)); +#60091=FACE_BOUND('',#60090,.F.); +#60093=ORIENTED_EDGE('',*,*,#60092,.T.); +#60095=ORIENTED_EDGE('',*,*,#60094,.T.); +#60097=ORIENTED_EDGE('',*,*,#60096,.F.); +#60099=ORIENTED_EDGE('',*,*,#60098,.F.); +#60100=EDGE_LOOP('',(#60093,#60095,#60097,#60099)); +#60101=FACE_BOUND('',#60100,.F.); +#60103=ORIENTED_EDGE('',*,*,#60102,.T.); +#60105=ORIENTED_EDGE('',*,*,#60104,.T.); +#60107=ORIENTED_EDGE('',*,*,#60106,.F.); +#60109=ORIENTED_EDGE('',*,*,#60108,.F.); +#60110=EDGE_LOOP('',(#60103,#60105,#60107,#60109)); +#60111=FACE_BOUND('',#60110,.F.); +#60113=ORIENTED_EDGE('',*,*,#60112,.T.); +#60115=ORIENTED_EDGE('',*,*,#60114,.T.); +#60117=ORIENTED_EDGE('',*,*,#60116,.F.); +#60119=ORIENTED_EDGE('',*,*,#60118,.F.); +#60120=EDGE_LOOP('',(#60113,#60115,#60117,#60119)); +#60121=FACE_BOUND('',#60120,.F.); +#60123=ORIENTED_EDGE('',*,*,#60122,.T.); +#60125=ORIENTED_EDGE('',*,*,#60124,.T.); +#60127=ORIENTED_EDGE('',*,*,#60126,.F.); +#60129=ORIENTED_EDGE('',*,*,#60128,.F.); +#60130=EDGE_LOOP('',(#60123,#60125,#60127,#60129)); +#60131=FACE_BOUND('',#60130,.F.); +#60133=ORIENTED_EDGE('',*,*,#60132,.T.); +#60135=ORIENTED_EDGE('',*,*,#60134,.T.); +#60137=ORIENTED_EDGE('',*,*,#60136,.F.); +#60139=ORIENTED_EDGE('',*,*,#60138,.F.); +#60140=EDGE_LOOP('',(#60133,#60135,#60137,#60139)); +#60141=FACE_BOUND('',#60140,.F.); +#60143=ORIENTED_EDGE('',*,*,#60142,.T.); +#60145=ORIENTED_EDGE('',*,*,#60144,.T.); +#60147=ORIENTED_EDGE('',*,*,#60146,.F.); +#60149=ORIENTED_EDGE('',*,*,#60148,.F.); +#60150=EDGE_LOOP('',(#60143,#60145,#60147,#60149)); +#60151=FACE_BOUND('',#60150,.F.); +#60153=ORIENTED_EDGE('',*,*,#60152,.T.); +#60155=ORIENTED_EDGE('',*,*,#60154,.T.); +#60157=ORIENTED_EDGE('',*,*,#60156,.F.); +#60159=ORIENTED_EDGE('',*,*,#60158,.F.); +#60160=EDGE_LOOP('',(#60153,#60155,#60157,#60159)); +#60161=FACE_BOUND('',#60160,.F.); +#60163=ORIENTED_EDGE('',*,*,#60162,.T.); +#60165=ORIENTED_EDGE('',*,*,#60164,.T.); +#60167=ORIENTED_EDGE('',*,*,#60166,.F.); +#60169=ORIENTED_EDGE('',*,*,#60168,.F.); +#60170=EDGE_LOOP('',(#60163,#60165,#60167,#60169)); +#60171=FACE_BOUND('',#60170,.F.); +#60173=ORIENTED_EDGE('',*,*,#60172,.T.); +#60175=ORIENTED_EDGE('',*,*,#60174,.T.); +#60177=ORIENTED_EDGE('',*,*,#60176,.F.); +#60179=ORIENTED_EDGE('',*,*,#60178,.F.); +#60180=EDGE_LOOP('',(#60173,#60175,#60177,#60179)); +#60181=FACE_BOUND('',#60180,.F.); +#60183=ORIENTED_EDGE('',*,*,#60182,.T.); +#60185=ORIENTED_EDGE('',*,*,#60184,.T.); +#60187=ORIENTED_EDGE('',*,*,#60186,.F.); +#60189=ORIENTED_EDGE('',*,*,#60188,.F.); +#60190=EDGE_LOOP('',(#60183,#60185,#60187,#60189)); +#60191=FACE_BOUND('',#60190,.F.); +#60193=ORIENTED_EDGE('',*,*,#60192,.T.); +#60195=ORIENTED_EDGE('',*,*,#60194,.T.); +#60197=ORIENTED_EDGE('',*,*,#60196,.F.); +#60199=ORIENTED_EDGE('',*,*,#60198,.F.); +#60200=EDGE_LOOP('',(#60193,#60195,#60197,#60199)); +#60201=FACE_BOUND('',#60200,.F.); +#60203=ORIENTED_EDGE('',*,*,#60202,.T.); +#60205=ORIENTED_EDGE('',*,*,#60204,.T.); +#60207=ORIENTED_EDGE('',*,*,#60206,.F.); +#60209=ORIENTED_EDGE('',*,*,#60208,.F.); +#60210=EDGE_LOOP('',(#60203,#60205,#60207,#60209)); +#60211=FACE_BOUND('',#60210,.F.); +#60213=ORIENTED_EDGE('',*,*,#60212,.T.); +#60215=ORIENTED_EDGE('',*,*,#60214,.T.); +#60217=ORIENTED_EDGE('',*,*,#60216,.F.); +#60219=ORIENTED_EDGE('',*,*,#60218,.F.); +#60220=EDGE_LOOP('',(#60213,#60215,#60217,#60219)); +#60221=FACE_BOUND('',#60220,.F.); +#60223=ORIENTED_EDGE('',*,*,#60222,.T.); +#60225=ORIENTED_EDGE('',*,*,#60224,.T.); +#60227=ORIENTED_EDGE('',*,*,#60226,.F.); +#60229=ORIENTED_EDGE('',*,*,#60228,.F.); +#60230=EDGE_LOOP('',(#60223,#60225,#60227,#60229)); +#60231=FACE_BOUND('',#60230,.F.); +#60233=ORIENTED_EDGE('',*,*,#60232,.T.); +#60235=ORIENTED_EDGE('',*,*,#60234,.T.); +#60237=ORIENTED_EDGE('',*,*,#60236,.F.); +#60239=ORIENTED_EDGE('',*,*,#60238,.F.); +#60240=EDGE_LOOP('',(#60233,#60235,#60237,#60239)); +#60241=FACE_BOUND('',#60240,.F.); +#60243=ORIENTED_EDGE('',*,*,#60242,.T.); +#60245=ORIENTED_EDGE('',*,*,#60244,.T.); +#60247=ORIENTED_EDGE('',*,*,#60246,.F.); +#60249=ORIENTED_EDGE('',*,*,#60248,.F.); +#60250=EDGE_LOOP('',(#60243,#60245,#60247,#60249)); +#60251=FACE_BOUND('',#60250,.F.); +#60253=ORIENTED_EDGE('',*,*,#60252,.T.); +#60255=ORIENTED_EDGE('',*,*,#60254,.T.); +#60257=ORIENTED_EDGE('',*,*,#60256,.F.); +#60259=ORIENTED_EDGE('',*,*,#60258,.F.); +#60260=EDGE_LOOP('',(#60253,#60255,#60257,#60259)); +#60261=FACE_BOUND('',#60260,.F.); +#60263=ORIENTED_EDGE('',*,*,#60262,.T.); +#60265=ORIENTED_EDGE('',*,*,#60264,.T.); +#60267=ORIENTED_EDGE('',*,*,#60266,.F.); +#60269=ORIENTED_EDGE('',*,*,#60268,.F.); +#60270=EDGE_LOOP('',(#60263,#60265,#60267,#60269)); +#60271=FACE_BOUND('',#60270,.F.); +#60273=ORIENTED_EDGE('',*,*,#60272,.T.); +#60275=ORIENTED_EDGE('',*,*,#60274,.T.); +#60277=ORIENTED_EDGE('',*,*,#60276,.F.); +#60279=ORIENTED_EDGE('',*,*,#60278,.F.); +#60280=EDGE_LOOP('',(#60273,#60275,#60277,#60279)); +#60281=FACE_BOUND('',#60280,.F.); +#60283=ORIENTED_EDGE('',*,*,#60282,.T.); +#60285=ORIENTED_EDGE('',*,*,#60284,.T.); +#60287=ORIENTED_EDGE('',*,*,#60286,.F.); +#60289=ORIENTED_EDGE('',*,*,#60288,.F.); +#60290=EDGE_LOOP('',(#60283,#60285,#60287,#60289)); +#60291=FACE_BOUND('',#60290,.F.); +#60293=ORIENTED_EDGE('',*,*,#60292,.T.); +#60295=ORIENTED_EDGE('',*,*,#60294,.T.); +#60297=ORIENTED_EDGE('',*,*,#60296,.F.); +#60299=ORIENTED_EDGE('',*,*,#60298,.F.); +#60300=EDGE_LOOP('',(#60293,#60295,#60297,#60299)); +#60301=FACE_BOUND('',#60300,.F.); +#60303=ORIENTED_EDGE('',*,*,#60302,.T.); +#60305=ORIENTED_EDGE('',*,*,#60304,.T.); +#60307=ORIENTED_EDGE('',*,*,#60306,.F.); +#60309=ORIENTED_EDGE('',*,*,#60308,.F.); +#60310=EDGE_LOOP('',(#60303,#60305,#60307,#60309)); +#60311=FACE_BOUND('',#60310,.F.); +#60313=ORIENTED_EDGE('',*,*,#60312,.T.); +#60315=ORIENTED_EDGE('',*,*,#60314,.T.); +#60317=ORIENTED_EDGE('',*,*,#60316,.F.); +#60319=ORIENTED_EDGE('',*,*,#60318,.F.); +#60320=EDGE_LOOP('',(#60313,#60315,#60317,#60319)); +#60321=FACE_BOUND('',#60320,.F.); +#60323=ORIENTED_EDGE('',*,*,#60322,.T.); +#60325=ORIENTED_EDGE('',*,*,#60324,.T.); +#60327=ORIENTED_EDGE('',*,*,#60326,.F.); +#60329=ORIENTED_EDGE('',*,*,#60328,.F.); +#60330=EDGE_LOOP('',(#60323,#60325,#60327,#60329)); +#60331=FACE_BOUND('',#60330,.F.); +#60333=ORIENTED_EDGE('',*,*,#60332,.T.); +#60335=ORIENTED_EDGE('',*,*,#60334,.T.); +#60337=ORIENTED_EDGE('',*,*,#60336,.F.); +#60339=ORIENTED_EDGE('',*,*,#60338,.F.); +#60340=EDGE_LOOP('',(#60333,#60335,#60337,#60339)); +#60341=FACE_BOUND('',#60340,.F.); +#60343=ORIENTED_EDGE('',*,*,#60342,.T.); +#60345=ORIENTED_EDGE('',*,*,#60344,.T.); +#60347=ORIENTED_EDGE('',*,*,#60346,.F.); +#60349=ORIENTED_EDGE('',*,*,#60348,.F.); +#60350=EDGE_LOOP('',(#60343,#60345,#60347,#60349)); +#60351=FACE_BOUND('',#60350,.F.); +#60353=ORIENTED_EDGE('',*,*,#60352,.T.); +#60355=ORIENTED_EDGE('',*,*,#60354,.T.); +#60357=ORIENTED_EDGE('',*,*,#60356,.F.); +#60359=ORIENTED_EDGE('',*,*,#60358,.F.); +#60360=EDGE_LOOP('',(#60353,#60355,#60357,#60359)); +#60361=FACE_BOUND('',#60360,.F.); +#60363=ORIENTED_EDGE('',*,*,#60362,.T.); +#60365=ORIENTED_EDGE('',*,*,#60364,.T.); +#60367=ORIENTED_EDGE('',*,*,#60366,.F.); +#60369=ORIENTED_EDGE('',*,*,#60368,.F.); +#60370=EDGE_LOOP('',(#60363,#60365,#60367,#60369)); +#60371=FACE_BOUND('',#60370,.F.); +#60373=ORIENTED_EDGE('',*,*,#60372,.T.); +#60375=ORIENTED_EDGE('',*,*,#60374,.T.); +#60377=ORIENTED_EDGE('',*,*,#60376,.F.); +#60379=ORIENTED_EDGE('',*,*,#60378,.F.); +#60380=EDGE_LOOP('',(#60373,#60375,#60377,#60379)); +#60381=FACE_BOUND('',#60380,.F.); +#60383=ORIENTED_EDGE('',*,*,#60382,.T.); +#60385=ORIENTED_EDGE('',*,*,#60384,.T.); +#60387=ORIENTED_EDGE('',*,*,#60386,.F.); +#60389=ORIENTED_EDGE('',*,*,#60388,.F.); +#60390=EDGE_LOOP('',(#60383,#60385,#60387,#60389)); +#60391=FACE_BOUND('',#60390,.F.); +#60393=ORIENTED_EDGE('',*,*,#60392,.T.); +#60395=ORIENTED_EDGE('',*,*,#60394,.T.); +#60397=ORIENTED_EDGE('',*,*,#60396,.F.); +#60399=ORIENTED_EDGE('',*,*,#60398,.F.); +#60400=EDGE_LOOP('',(#60393,#60395,#60397,#60399)); +#60401=FACE_BOUND('',#60400,.F.); +#60403=ORIENTED_EDGE('',*,*,#60402,.T.); +#60405=ORIENTED_EDGE('',*,*,#60404,.T.); +#60407=ORIENTED_EDGE('',*,*,#60406,.F.); +#60409=ORIENTED_EDGE('',*,*,#60408,.F.); +#60410=EDGE_LOOP('',(#60403,#60405,#60407,#60409)); +#60411=FACE_BOUND('',#60410,.F.); +#60413=ORIENTED_EDGE('',*,*,#60412,.T.); +#60415=ORIENTED_EDGE('',*,*,#60414,.T.); +#60417=ORIENTED_EDGE('',*,*,#60416,.F.); +#60419=ORIENTED_EDGE('',*,*,#60418,.F.); +#60420=EDGE_LOOP('',(#60413,#60415,#60417,#60419)); +#60421=FACE_BOUND('',#60420,.F.); +#60423=ORIENTED_EDGE('',*,*,#60422,.T.); +#60425=ORIENTED_EDGE('',*,*,#60424,.T.); +#60427=ORIENTED_EDGE('',*,*,#60426,.F.); +#60429=ORIENTED_EDGE('',*,*,#60428,.F.); +#60430=EDGE_LOOP('',(#60423,#60425,#60427,#60429)); +#60431=FACE_BOUND('',#60430,.F.); +#60433=CARTESIAN_POINT('',(5.33E1,6.3E0,-2.36E1)); +#60434=DIRECTION('',(1.E0,0.E0,0.E0)); +#60435=DIRECTION('',(0.E0,-1.E0,0.E0)); +#60436=AXIS2_PLACEMENT_3D('',#60433,#60434,#60435); +#60437=PLANE('',#60436); +#60439=ORIENTED_EDGE('',*,*,#60438,.T.); +#60441=ORIENTED_EDGE('',*,*,#60440,.T.); +#60443=ORIENTED_EDGE('',*,*,#60442,.F.); +#60444=ORIENTED_EDGE('',*,*,#59938,.F.); +#60445=EDGE_LOOP('',(#60439,#60441,#60443,#60444)); +#60446=FACE_OUTER_BOUND('',#60445,.F.); +#60448=CARTESIAN_POINT('',(-5.775E1,6.3E0,-2.93E1)); +#60449=DIRECTION('',(0.E0,1.E0,0.E0)); +#60450=DIRECTION('',(0.E0,0.E0,1.E0)); +#60451=AXIS2_PLACEMENT_3D('',#60448,#60449,#60450); +#60452=PLANE('',#60451); +#60454=ORIENTED_EDGE('',*,*,#60453,.T.); +#60456=ORIENTED_EDGE('',*,*,#60455,.F.); +#60458=ORIENTED_EDGE('',*,*,#60457,.T.); +#60460=ORIENTED_EDGE('',*,*,#60459,.T.); +#60461=ORIENTED_EDGE('',*,*,#59960,.F.); +#60463=ORIENTED_EDGE('',*,*,#60462,.T.); +#60465=ORIENTED_EDGE('',*,*,#60464,.F.); +#60467=ORIENTED_EDGE('',*,*,#60466,.F.); +#60468=ORIENTED_EDGE('',*,*,#59952,.F.); +#60470=ORIENTED_EDGE('',*,*,#60469,.T.); +#60472=ORIENTED_EDGE('',*,*,#60471,.T.); +#60474=ORIENTED_EDGE('',*,*,#60473,.F.); +#60475=ORIENTED_EDGE('',*,*,#59944,.F.); +#60477=ORIENTED_EDGE('',*,*,#60476,.T.); +#60479=ORIENTED_EDGE('',*,*,#60478,.F.); +#60480=ORIENTED_EDGE('',*,*,#60438,.F.); +#60481=ORIENTED_EDGE('',*,*,#60008,.F.); +#60483=ORIENTED_EDGE('',*,*,#60482,.F.); +#60485=ORIENTED_EDGE('',*,*,#60484,.T.); +#60487=ORIENTED_EDGE('',*,*,#60486,.T.); +#60488=EDGE_LOOP('',(#60454,#60456,#60458,#60460,#60461,#60463,#60465,#60467, +#60468,#60470,#60472,#60474,#60475,#60477,#60479,#60480,#60481,#60483,#60485, +#60487)); +#60489=FACE_OUTER_BOUND('',#60488,.F.); +#60491=CARTESIAN_POINT('',(2.594980361520E2,6.8E0,-3.64E1)); +#60492=DIRECTION('',(-1.E0,0.E0,0.E0)); +#60493=DIRECTION('',(0.E0,0.E0,-1.E0)); +#60494=AXIS2_PLACEMENT_3D('',#60491,#60492,#60493); +#60495=CYLINDRICAL_SURFACE('',#60494,5.E-1); +#60497=ORIENTED_EDGE('',*,*,#60496,.T.); +#60498=ORIENTED_EDGE('',*,*,#60453,.F.); +#60500=ORIENTED_EDGE('',*,*,#60499,.T.); +#60502=ORIENTED_EDGE('',*,*,#60501,.T.); +#60503=EDGE_LOOP('',(#60497,#60498,#60500,#60502)); +#60504=FACE_OUTER_BOUND('',#60503,.F.); +#60506=CARTESIAN_POINT('',(1.395E1,6.3E0,-2.36E1)); +#60507=DIRECTION('',(-1.E0,0.E0,0.E0)); +#60508=DIRECTION('',(0.E0,1.E0,0.E0)); +#60509=AXIS2_PLACEMENT_3D('',#60506,#60507,#60508); +#60510=PLANE('',#60509); +#60511=ORIENTED_EDGE('',*,*,#60496,.F.); +#60513=ORIENTED_EDGE('',*,*,#60512,.T.); +#60515=ORIENTED_EDGE('',*,*,#60514,.F.); +#60517=ORIENTED_EDGE('',*,*,#60516,.F.); +#60519=ORIENTED_EDGE('',*,*,#60518,.T.); +#60520=ORIENTED_EDGE('',*,*,#60455,.T.); +#60521=EDGE_LOOP('',(#60511,#60513,#60515,#60517,#60519,#60520)); +#60522=FACE_OUTER_BOUND('',#60521,.F.); +#60524=CARTESIAN_POINT('',(-5.775E1,0.E0,-3.69E1)); +#60525=DIRECTION('',(0.E0,0.E0,-1.E0)); +#60526=DIRECTION('',(1.E0,0.E0,0.E0)); +#60527=AXIS2_PLACEMENT_3D('',#60524,#60525,#60526); +#60528=PLANE('',#60527); +#60530=ORIENTED_EDGE('',*,*,#60529,.F.); +#60532=ORIENTED_EDGE('',*,*,#60531,.F.); +#60534=ORIENTED_EDGE('',*,*,#60533,.F.); +#60536=ORIENTED_EDGE('',*,*,#60535,.F.); +#60538=ORIENTED_EDGE('',*,*,#60537,.F.); +#60539=EDGE_LOOP('',(#60530,#60532,#60534,#60536,#60538)); +#60540=FACE_OUTER_BOUND('',#60539,.F.); +#60542=CARTESIAN_POINT('',(-5.775E1,0.E0,-3.69E1)); +#60543=DIRECTION('',(0.E0,0.E0,-1.E0)); +#60544=DIRECTION('',(1.E0,0.E0,0.E0)); +#60545=AXIS2_PLACEMENT_3D('',#60542,#60543,#60544); +#60546=PLANE('',#60545); +#60548=ORIENTED_EDGE('',*,*,#60547,.F.); +#60550=ORIENTED_EDGE('',*,*,#60549,.F.); +#60552=ORIENTED_EDGE('',*,*,#60551,.F.); +#60554=ORIENTED_EDGE('',*,*,#60553,.F.); +#60556=ORIENTED_EDGE('',*,*,#60555,.F.); +#60557=EDGE_LOOP('',(#60548,#60550,#60552,#60554,#60556)); +#60558=FACE_OUTER_BOUND('',#60557,.F.); +#60560=CARTESIAN_POINT('',(-5.775E1,0.E0,-3.69E1)); +#60561=DIRECTION('',(0.E0,0.E0,-1.E0)); +#60562=DIRECTION('',(1.E0,0.E0,0.E0)); +#60563=AXIS2_PLACEMENT_3D('',#60560,#60561,#60562); +#60564=PLANE('',#60563); +#60565=ORIENTED_EDGE('',*,*,#60501,.F.); +#60567=ORIENTED_EDGE('',*,*,#60566,.F.); +#60569=ORIENTED_EDGE('',*,*,#60568,.F.); +#60570=ORIENTED_EDGE('',*,*,#60512,.F.); +#60571=EDGE_LOOP('',(#60565,#60567,#60569,#60570)); +#60572=FACE_OUTER_BOUND('',#60571,.F.); +#60574=CARTESIAN_POINT('',(-2.594980361520E2,7.2E0,-3.64E1)); +#60575=DIRECTION('',(1.E0,0.E0,0.E0)); +#60576=DIRECTION('',(0.E0,0.E0,-1.E0)); +#60577=AXIS2_PLACEMENT_3D('',#60574,#60575,#60576); +#60578=CYLINDRICAL_SURFACE('',#60577,5.E-1); +#60580=ORIENTED_EDGE('',*,*,#60579,.F.); +#60582=ORIENTED_EDGE('',*,*,#60581,.T.); +#60583=ORIENTED_EDGE('',*,*,#60529,.T.); +#60585=ORIENTED_EDGE('',*,*,#60584,.T.); +#60586=EDGE_LOOP('',(#60580,#60582,#60583,#60585)); +#60587=FACE_OUTER_BOUND('',#60586,.F.); +#60589=CARTESIAN_POINT('',(6.316105732984E1,7.7E0,-2.93E1)); +#60590=DIRECTION('',(0.E0,-1.E0,0.E0)); +#60591=DIRECTION('',(0.E0,0.E0,1.E0)); +#60592=AXIS2_PLACEMENT_3D('',#60589,#60590,#60591); +#60593=PLANE('',#60592); +#60595=ORIENTED_EDGE('',*,*,#60594,.T.); +#60597=ORIENTED_EDGE('',*,*,#60596,.F.); +#60599=ORIENTED_EDGE('',*,*,#60598,.F.); +#60600=ORIENTED_EDGE('',*,*,#60579,.T.); +#60602=ORIENTED_EDGE('',*,*,#60601,.T.); +#60604=ORIENTED_EDGE('',*,*,#60603,.F.); +#60605=ORIENTED_EDGE('',*,*,#60516,.T.); +#60607=ORIENTED_EDGE('',*,*,#60606,.T.); +#60609=ORIENTED_EDGE('',*,*,#60608,.F.); +#60611=ORIENTED_EDGE('',*,*,#60610,.F.); +#60613=ORIENTED_EDGE('',*,*,#60612,.F.); +#60615=ORIENTED_EDGE('',*,*,#60614,.T.); +#60617=ORIENTED_EDGE('',*,*,#60616,.T.); +#60619=ORIENTED_EDGE('',*,*,#60618,.F.); +#60621=ORIENTED_EDGE('',*,*,#60620,.T.); +#60623=ORIENTED_EDGE('',*,*,#60622,.T.); +#60624=EDGE_LOOP('',(#60595,#60597,#60599,#60600,#60602,#60604,#60605,#60607, +#60609,#60611,#60613,#60615,#60617,#60619,#60621,#60623)); +#60625=FACE_OUTER_BOUND('',#60624,.F.); +#60627=ORIENTED_EDGE('',*,*,#60626,.T.); +#60629=ORIENTED_EDGE('',*,*,#60628,.T.); +#60630=EDGE_LOOP('',(#60627,#60629)); +#60631=FACE_BOUND('',#60630,.F.); +#60633=ORIENTED_EDGE('',*,*,#60632,.T.); +#60635=ORIENTED_EDGE('',*,*,#60634,.T.); +#60636=EDGE_LOOP('',(#60633,#60635)); +#60637=FACE_BOUND('',#60636,.F.); +#60639=ORIENTED_EDGE('',*,*,#60638,.T.); +#60641=ORIENTED_EDGE('',*,*,#60640,.T.); +#60642=EDGE_LOOP('',(#60639,#60641)); +#60643=FACE_BOUND('',#60642,.F.); +#60645=CARTESIAN_POINT('',(-5.875E1,1.E1,-3.36E1)); +#60646=DIRECTION('',(0.E0,1.E0,0.E0)); +#60647=DIRECTION('',(1.E0,0.E0,0.E0)); +#60648=AXIS2_PLACEMENT_3D('',#60645,#60646,#60647); +#60649=CYLINDRICAL_SURFACE('',#60648,1.5E0); +#60651=ORIENTED_EDGE('',*,*,#60650,.T.); +#60653=ORIENTED_EDGE('',*,*,#60652,.F.); +#60654=ORIENTED_EDGE('',*,*,#60638,.F.); +#60656=ORIENTED_EDGE('',*,*,#60655,.T.); +#60657=EDGE_LOOP('',(#60651,#60653,#60654,#60656)); +#60658=FACE_OUTER_BOUND('',#60657,.F.); +#60660=CARTESIAN_POINT('',(-5.875E1,9.5E0,-3.36E1)); +#60661=DIRECTION('',(0.E0,1.E0,0.E0)); +#60662=DIRECTION('',(9.997121124706E-1,0.E0,2.399358621650E-2)); +#60663=AXIS2_PLACEMENT_3D('',#60660,#60661,#60662); +#60664=TOROIDAL_SURFACE('',#60663,1.E0,5.E-1); +#60666=ORIENTED_EDGE('',*,*,#60665,.T.); +#60668=ORIENTED_EDGE('',*,*,#60667,.T.); +#60669=ORIENTED_EDGE('',*,*,#60650,.F.); +#60671=ORIENTED_EDGE('',*,*,#60670,.F.); +#60672=EDGE_LOOP('',(#60666,#60668,#60669,#60671)); +#60673=FACE_OUTER_BOUND('',#60672,.F.); +#60675=CARTESIAN_POINT('',(0.E0,1.E1,-8.5E0)); +#60676=DIRECTION('',(0.E0,1.E0,0.E0)); +#60677=DIRECTION('',(1.E0,0.E0,0.E0)); +#60678=AXIS2_PLACEMENT_3D('',#60675,#60676,#60677); +#60679=PLANE('',#60678); +#60681=ORIENTED_EDGE('',*,*,#60680,.F.); +#60682=ORIENTED_EDGE('',*,*,#60665,.F.); +#60683=EDGE_LOOP('',(#60681,#60682)); +#60684=FACE_OUTER_BOUND('',#60683,.F.); +#60686=CARTESIAN_POINT('',(0.E0,1.E1,-8.5E0)); +#60687=DIRECTION('',(0.E0,1.E0,0.E0)); +#60688=DIRECTION('',(1.E0,0.E0,0.E0)); +#60689=AXIS2_PLACEMENT_3D('',#60686,#60687,#60688); +#60690=PLANE('',#60689); +#60692=ORIENTED_EDGE('',*,*,#60691,.F.); +#60694=ORIENTED_EDGE('',*,*,#60693,.F.); +#60695=EDGE_LOOP('',(#60692,#60694)); +#60696=FACE_OUTER_BOUND('',#60695,.F.); +#60698=CARTESIAN_POINT('',(0.E0,1.E1,-8.5E0)); +#60699=DIRECTION('',(0.E0,1.E0,0.E0)); +#60700=DIRECTION('',(1.E0,0.E0,0.E0)); +#60701=AXIS2_PLACEMENT_3D('',#60698,#60699,#60700); +#60702=PLANE('',#60701); +#60704=ORIENTED_EDGE('',*,*,#60703,.F.); +#60706=ORIENTED_EDGE('',*,*,#60705,.F.); +#60707=EDGE_LOOP('',(#60704,#60706)); +#60708=FACE_OUTER_BOUND('',#60707,.F.); +#60710=CARTESIAN_POINT('',(-5.875E1,9.5E0,-3.36E1)); +#60711=DIRECTION('',(0.E0,1.E0,0.E0)); +#60712=DIRECTION('',(-9.997121124706E-1,0.E0,-2.399358621650E-2)); +#60713=AXIS2_PLACEMENT_3D('',#60710,#60711,#60712); +#60714=TOROIDAL_SURFACE('',#60713,1.E0,5.E-1); +#60715=ORIENTED_EDGE('',*,*,#60680,.T.); +#60716=ORIENTED_EDGE('',*,*,#60670,.T.); +#60718=ORIENTED_EDGE('',*,*,#60717,.F.); +#60719=ORIENTED_EDGE('',*,*,#60667,.F.); +#60720=EDGE_LOOP('',(#60715,#60716,#60718,#60719)); +#60721=FACE_OUTER_BOUND('',#60720,.F.); +#60723=CARTESIAN_POINT('',(-5.875E1,1.E1,-3.36E1)); +#60724=DIRECTION('',(0.E0,1.E0,0.E0)); +#60725=DIRECTION('',(1.E0,0.E0,0.E0)); +#60726=AXIS2_PLACEMENT_3D('',#60723,#60724,#60725); +#60727=CYLINDRICAL_SURFACE('',#60726,1.5E0); +#60728=ORIENTED_EDGE('',*,*,#60717,.T.); +#60729=ORIENTED_EDGE('',*,*,#60655,.F.); +#60730=ORIENTED_EDGE('',*,*,#60640,.F.); +#60731=ORIENTED_EDGE('',*,*,#60652,.T.); +#60732=EDGE_LOOP('',(#60728,#60729,#60730,#60731)); +#60733=FACE_OUTER_BOUND('',#60732,.F.); +#60735=CARTESIAN_POINT('',(1.645E1,9.5E0,-3.36E1)); +#60736=DIRECTION('',(0.E0,1.E0,0.E0)); +#60737=DIRECTION('',(-9.997121124706E-1,0.E0,-2.399358621650E-2)); +#60738=AXIS2_PLACEMENT_3D('',#60735,#60736,#60737); +#60739=TOROIDAL_SURFACE('',#60738,1.E0,5.E-1); +#60740=ORIENTED_EDGE('',*,*,#60691,.T.); +#60742=ORIENTED_EDGE('',*,*,#60741,.T.); +#60744=ORIENTED_EDGE('',*,*,#60743,.F.); +#60746=ORIENTED_EDGE('',*,*,#60745,.F.); +#60747=EDGE_LOOP('',(#60740,#60742,#60744,#60746)); +#60748=FACE_OUTER_BOUND('',#60747,.F.); +#60750=CARTESIAN_POINT('',(1.645E1,9.5E0,-3.36E1)); +#60751=DIRECTION('',(0.E0,1.E0,0.E0)); +#60752=DIRECTION('',(9.997121124706E-1,0.E0,2.399358621650E-2)); +#60753=AXIS2_PLACEMENT_3D('',#60750,#60751,#60752); +#60754=TOROIDAL_SURFACE('',#60753,1.E0,5.E-1); +#60755=ORIENTED_EDGE('',*,*,#60693,.T.); +#60756=ORIENTED_EDGE('',*,*,#60745,.T.); +#60758=ORIENTED_EDGE('',*,*,#60757,.F.); +#60759=ORIENTED_EDGE('',*,*,#60741,.F.); +#60760=EDGE_LOOP('',(#60755,#60756,#60758,#60759)); +#60761=FACE_OUTER_BOUND('',#60760,.F.); +#60763=CARTESIAN_POINT('',(1.645E1,1.E1,-3.36E1)); +#60764=DIRECTION('',(0.E0,1.E0,0.E0)); +#60765=DIRECTION('',(1.E0,0.E0,0.E0)); +#60766=AXIS2_PLACEMENT_3D('',#60763,#60764,#60765); +#60767=CYLINDRICAL_SURFACE('',#60766,1.5E0); +#60768=ORIENTED_EDGE('',*,*,#60757,.T.); +#60770=ORIENTED_EDGE('',*,*,#60769,.F.); +#60771=ORIENTED_EDGE('',*,*,#60632,.F.); +#60773=ORIENTED_EDGE('',*,*,#60772,.T.); +#60774=EDGE_LOOP('',(#60768,#60770,#60771,#60773)); +#60775=FACE_OUTER_BOUND('',#60774,.F.); +#60777=CARTESIAN_POINT('',(1.645E1,1.E1,-3.36E1)); +#60778=DIRECTION('',(0.E0,1.E0,0.E0)); +#60779=DIRECTION('',(1.E0,0.E0,0.E0)); +#60780=AXIS2_PLACEMENT_3D('',#60777,#60778,#60779); +#60781=CYLINDRICAL_SURFACE('',#60780,1.5E0); +#60782=ORIENTED_EDGE('',*,*,#60743,.T.); +#60783=ORIENTED_EDGE('',*,*,#60772,.F.); +#60784=ORIENTED_EDGE('',*,*,#60634,.F.); +#60785=ORIENTED_EDGE('',*,*,#60769,.T.); +#60786=EDGE_LOOP('',(#60782,#60783,#60784,#60785)); +#60787=FACE_OUTER_BOUND('',#60786,.F.); +#60789=CARTESIAN_POINT('',(5.875E1,9.5E0,-3.36E1)); +#60790=DIRECTION('',(0.E0,1.E0,0.E0)); +#60791=DIRECTION('',(-9.997121124706E-1,0.E0,-2.399358621650E-2)); +#60792=AXIS2_PLACEMENT_3D('',#60789,#60790,#60791); +#60793=TOROIDAL_SURFACE('',#60792,1.E0,5.E-1); +#60794=ORIENTED_EDGE('',*,*,#60703,.T.); +#60796=ORIENTED_EDGE('',*,*,#60795,.T.); +#60798=ORIENTED_EDGE('',*,*,#60797,.F.); +#60800=ORIENTED_EDGE('',*,*,#60799,.F.); +#60801=EDGE_LOOP('',(#60794,#60796,#60798,#60800)); +#60802=FACE_OUTER_BOUND('',#60801,.F.); +#60804=CARTESIAN_POINT('',(5.875E1,9.5E0,-3.36E1)); +#60805=DIRECTION('',(0.E0,1.E0,0.E0)); +#60806=DIRECTION('',(9.997121124706E-1,0.E0,2.399358621650E-2)); +#60807=AXIS2_PLACEMENT_3D('',#60804,#60805,#60806); +#60808=TOROIDAL_SURFACE('',#60807,1.E0,5.E-1); +#60809=ORIENTED_EDGE('',*,*,#60705,.T.); +#60810=ORIENTED_EDGE('',*,*,#60799,.T.); +#60812=ORIENTED_EDGE('',*,*,#60811,.F.); +#60813=ORIENTED_EDGE('',*,*,#60795,.F.); +#60814=EDGE_LOOP('',(#60809,#60810,#60812,#60813)); +#60815=FACE_OUTER_BOUND('',#60814,.F.); +#60817=CARTESIAN_POINT('',(5.875E1,1.E1,-3.36E1)); +#60818=DIRECTION('',(0.E0,1.E0,0.E0)); +#60819=DIRECTION('',(1.E0,0.E0,0.E0)); +#60820=AXIS2_PLACEMENT_3D('',#60817,#60818,#60819); +#60821=CYLINDRICAL_SURFACE('',#60820,1.5E0); +#60822=ORIENTED_EDGE('',*,*,#60811,.T.); +#60824=ORIENTED_EDGE('',*,*,#60823,.F.); +#60825=ORIENTED_EDGE('',*,*,#60626,.F.); +#60827=ORIENTED_EDGE('',*,*,#60826,.T.); +#60828=EDGE_LOOP('',(#60822,#60824,#60825,#60827)); +#60829=FACE_OUTER_BOUND('',#60828,.F.); +#60831=CARTESIAN_POINT('',(5.875E1,1.E1,-3.36E1)); +#60832=DIRECTION('',(0.E0,1.E0,0.E0)); +#60833=DIRECTION('',(1.E0,0.E0,0.E0)); +#60834=AXIS2_PLACEMENT_3D('',#60831,#60832,#60833); +#60835=CYLINDRICAL_SURFACE('',#60834,1.5E0); +#60836=ORIENTED_EDGE('',*,*,#60797,.T.); +#60837=ORIENTED_EDGE('',*,*,#60826,.F.); +#60838=ORIENTED_EDGE('',*,*,#60628,.F.); +#60839=ORIENTED_EDGE('',*,*,#60823,.T.); +#60840=EDGE_LOOP('',(#60836,#60837,#60838,#60839)); +#60841=FACE_OUTER_BOUND('',#60840,.F.); +#60843=CARTESIAN_POINT('',(-6.293486873411E1,7.2E0,5.731724303119E1)); +#60844=DIRECTION('',(0.E0,0.E0,-1.E0)); +#60845=DIRECTION('',(-9.069566748341E-1,4.212239190428E-1,0.E0)); +#60846=AXIS2_PLACEMENT_3D('',#60843,#60844,#60845); +#60847=CYLINDRICAL_SURFACE('',#60846,5.E-1); +#60849=ORIENTED_EDGE('',*,*,#60848,.T.); +#60850=ORIENTED_EDGE('',*,*,#60594,.F.); +#60852=ORIENTED_EDGE('',*,*,#60851,.T.); +#60854=ORIENTED_EDGE('',*,*,#60853,.T.); +#60855=EDGE_LOOP('',(#60849,#60850,#60852,#60854)); +#60856=FACE_OUTER_BOUND('',#60855,.F.); +#60858=CARTESIAN_POINT('',(0.E0,0.E0,-2.93E1)); +#60859=DIRECTION('',(0.E0,0.E0,1.E0)); +#60860=DIRECTION('',(1.E0,0.E0,0.E0)); +#60861=AXIS2_PLACEMENT_3D('',#60858,#60859,#60860); +#60862=PLANE('',#60861); +#60864=ORIENTED_EDGE('',*,*,#60863,.F.); +#60866=ORIENTED_EDGE('',*,*,#60865,.F.); +#60867=ORIENTED_EDGE('',*,*,#60596,.T.); +#60868=ORIENTED_EDGE('',*,*,#60848,.F.); +#60870=ORIENTED_EDGE('',*,*,#60869,.F.); +#60872=ORIENTED_EDGE('',*,*,#60871,.F.); +#60874=ORIENTED_EDGE('',*,*,#60873,.T.); +#60876=ORIENTED_EDGE('',*,*,#60875,.T.); +#60878=ORIENTED_EDGE('',*,*,#60877,.T.); +#60880=ORIENTED_EDGE('',*,*,#60879,.T.); +#60882=ORIENTED_EDGE('',*,*,#60881,.T.); +#60884=ORIENTED_EDGE('',*,*,#60883,.T.); +#60886=ORIENTED_EDGE('',*,*,#60885,.F.); +#60888=ORIENTED_EDGE('',*,*,#60887,.T.); +#60890=ORIENTED_EDGE('',*,*,#60889,.T.); +#60892=ORIENTED_EDGE('',*,*,#60891,.T.); +#60894=ORIENTED_EDGE('',*,*,#60893,.T.); +#60896=ORIENTED_EDGE('',*,*,#60895,.T.); +#60897=ORIENTED_EDGE('',*,*,#59837,.T.); +#60898=ORIENTED_EDGE('',*,*,#59863,.T.); +#60900=ORIENTED_EDGE('',*,*,#60899,.T.); +#60902=ORIENTED_EDGE('',*,*,#60901,.T.); +#60904=ORIENTED_EDGE('',*,*,#60903,.T.); +#60906=ORIENTED_EDGE('',*,*,#60905,.T.); +#60908=ORIENTED_EDGE('',*,*,#60907,.T.); +#60910=ORIENTED_EDGE('',*,*,#60909,.F.); +#60912=ORIENTED_EDGE('',*,*,#60911,.T.); +#60913=EDGE_LOOP('',(#60864,#60866,#60867,#60868,#60870,#60872,#60874,#60876, +#60878,#60880,#60882,#60884,#60886,#60888,#60890,#60892,#60894,#60896,#60897, +#60898,#60900,#60902,#60904,#60906,#60908,#60910,#60912)); +#60914=FACE_OUTER_BOUND('',#60913,.F.); +#60916=CARTESIAN_POINT('',(0.E0,0.E0,-2.93E1)); +#60917=DIRECTION('',(0.E0,0.E0,1.E0)); +#60918=DIRECTION('',(1.E0,0.E0,0.E0)); +#60919=AXIS2_PLACEMENT_3D('',#60916,#60917,#60918); +#60920=PLANE('',#60919); +#60921=ORIENTED_EDGE('',*,*,#60603,.T.); +#60923=ORIENTED_EDGE('',*,*,#60922,.F.); +#60925=ORIENTED_EDGE('',*,*,#60924,.T.); +#60927=ORIENTED_EDGE('',*,*,#60926,.F.); +#60928=ORIENTED_EDGE('',*,*,#60457,.F.); +#60929=ORIENTED_EDGE('',*,*,#60518,.F.); +#60930=EDGE_LOOP('',(#60921,#60923,#60925,#60927,#60928,#60929)); +#60931=FACE_OUTER_BOUND('',#60930,.F.); +#60933=CARTESIAN_POINT('',(0.E0,0.E0,-2.93E1)); +#60934=DIRECTION('',(0.E0,0.E0,1.E0)); +#60935=DIRECTION('',(1.E0,0.E0,0.E0)); +#60936=AXIS2_PLACEMENT_3D('',#60933,#60934,#60935); +#60937=PLANE('',#60936); +#60938=ORIENTED_EDGE('',*,*,#60618,.T.); +#60940=ORIENTED_EDGE('',*,*,#60939,.F.); +#60942=ORIENTED_EDGE('',*,*,#60941,.T.); +#60944=ORIENTED_EDGE('',*,*,#60943,.T.); +#60946=ORIENTED_EDGE('',*,*,#60945,.F.); +#60948=ORIENTED_EDGE('',*,*,#60947,.T.); +#60950=ORIENTED_EDGE('',*,*,#60949,.T.); +#60952=ORIENTED_EDGE('',*,*,#60951,.F.); +#60954=ORIENTED_EDGE('',*,*,#60953,.T.); +#60956=ORIENTED_EDGE('',*,*,#60955,.T.); +#60957=ORIENTED_EDGE('',*,*,#59689,.T.); +#60958=ORIENTED_EDGE('',*,*,#59716,.T.); +#60960=ORIENTED_EDGE('',*,*,#60959,.T.); +#60962=ORIENTED_EDGE('',*,*,#60961,.T.); +#60964=ORIENTED_EDGE('',*,*,#60963,.T.); +#60966=ORIENTED_EDGE('',*,*,#60965,.F.); +#60968=ORIENTED_EDGE('',*,*,#60967,.T.); +#60970=ORIENTED_EDGE('',*,*,#60969,.T.); +#60972=ORIENTED_EDGE('',*,*,#60971,.T.); +#60974=ORIENTED_EDGE('',*,*,#60973,.T.); +#60976=ORIENTED_EDGE('',*,*,#60975,.T.); +#60978=ORIENTED_EDGE('',*,*,#60977,.F.); +#60980=ORIENTED_EDGE('',*,*,#60979,.T.); +#60982=ORIENTED_EDGE('',*,*,#60981,.F.); +#60984=ORIENTED_EDGE('',*,*,#60983,.F.); +#60986=ORIENTED_EDGE('',*,*,#60985,.F.); +#60988=ORIENTED_EDGE('',*,*,#60987,.F.); +#60989=EDGE_LOOP('',(#60938,#60940,#60942,#60944,#60946,#60948,#60950,#60952, +#60954,#60956,#60957,#60958,#60960,#60962,#60964,#60966,#60968,#60970,#60972, +#60974,#60976,#60978,#60980,#60982,#60984,#60986,#60988)); +#60990=FACE_OUTER_BOUND('',#60989,.F.); +#60992=CARTESIAN_POINT('',(0.E0,0.E0,-2.93E1)); +#60993=DIRECTION('',(0.E0,0.E0,1.E0)); +#60994=DIRECTION('',(1.E0,0.E0,0.E0)); +#60995=AXIS2_PLACEMENT_3D('',#60992,#60993,#60994); +#60996=PLANE('',#60995); +#60998=ORIENTED_EDGE('',*,*,#60997,.F.); +#61000=ORIENTED_EDGE('',*,*,#60999,.F.); +#61001=ORIENTED_EDGE('',*,*,#60610,.T.); +#61003=ORIENTED_EDGE('',*,*,#61002,.T.); +#61004=ORIENTED_EDGE('',*,*,#60484,.F.); +#61006=ORIENTED_EDGE('',*,*,#61005,.F.); +#61007=EDGE_LOOP('',(#60998,#61000,#61001,#61003,#61004,#61006)); +#61008=FACE_OUTER_BOUND('',#61007,.F.); +#61010=CARTESIAN_POINT('',(-6.26E1,4.7E0,-2.36E1)); +#61011=DIRECTION('',(-1.E0,0.E0,0.E0)); +#61012=DIRECTION('',(0.E0,1.E0,0.E0)); +#61013=AXIS2_PLACEMENT_3D('',#61010,#61011,#61012); +#61014=PLANE('',#61013); +#61016=ORIENTED_EDGE('',*,*,#61015,.T.); +#61018=ORIENTED_EDGE('',*,*,#61017,.T.); +#61019=ORIENTED_EDGE('',*,*,#60863,.T.); +#61021=ORIENTED_EDGE('',*,*,#61020,.T.); +#61022=EDGE_LOOP('',(#61016,#61018,#61019,#61021)); +#61023=FACE_OUTER_BOUND('',#61022,.F.); +#61025=CARTESIAN_POINT('',(-6.21E1,-6.810661345687E1,-3.64E1)); +#61026=DIRECTION('',(0.E0,1.E0,0.E0)); +#61027=DIRECTION('',(0.E0,0.E0,-1.E0)); +#61028=AXIS2_PLACEMENT_3D('',#61025,#61026,#61027); +#61029=CYLINDRICAL_SURFACE('',#61028,5.E-1); +#61030=ORIENTED_EDGE('',*,*,#60531,.T.); +#61032=ORIENTED_EDGE('',*,*,#61031,.T.); +#61033=ORIENTED_EDGE('',*,*,#61015,.F.); +#61035=ORIENTED_EDGE('',*,*,#61034,.T.); +#61036=EDGE_LOOP('',(#61030,#61032,#61033,#61035)); +#61037=FACE_OUTER_BOUND('',#61036,.F.); +#61039=CARTESIAN_POINT('',(-6.21E1,7.2E0,-3.64E1)); +#61040=DIRECTION('',(-7.071067811865E-1,-7.071067811865E-1,0.E0)); +#61041=DIRECTION('',(-7.071067811865E-1,7.071067811865E-1,-2.009718347115E-14)); +#61042=AXIS2_PLACEMENT_3D('',#61039,#61040,#61041); +#61043=SPHERICAL_SURFACE('',#61042,5.E-1); +#61045=ORIENTED_EDGE('',*,*,#61044,.F.); +#61046=ORIENTED_EDGE('',*,*,#61031,.F.); +#61047=ORIENTED_EDGE('',*,*,#60581,.F.); +#61048=EDGE_LOOP('',(#61045,#61046,#61047)); +#61049=FACE_OUTER_BOUND('',#61048,.F.); +#61051=CARTESIAN_POINT('',(-6.21E1,7.2E0,-9.021724171534E1)); +#61052=DIRECTION('',(0.E0,0.E0,1.E0)); +#61053=DIRECTION('',(0.E0,1.E0,0.E0)); +#61054=AXIS2_PLACEMENT_3D('',#61051,#61052,#61053); +#61055=CYLINDRICAL_SURFACE('',#61054,5.E-1); +#61056=ORIENTED_EDGE('',*,*,#61017,.F.); +#61057=ORIENTED_EDGE('',*,*,#61044,.T.); +#61058=ORIENTED_EDGE('',*,*,#60598,.T.); +#61059=ORIENTED_EDGE('',*,*,#60865,.T.); +#61060=EDGE_LOOP('',(#61056,#61057,#61058,#61059)); +#61061=FACE_OUTER_BOUND('',#61060,.F.); +#61063=CARTESIAN_POINT('',(2.245006500993E2,5.2E0,-3.64E1)); +#61064=DIRECTION('',(-1.E0,0.E0,0.E0)); +#61065=DIRECTION('',(0.E0,0.E0,-1.E0)); +#61066=AXIS2_PLACEMENT_3D('',#61063,#61064,#61065); +#61067=CYLINDRICAL_SURFACE('',#61066,5.E-1); +#61069=ORIENTED_EDGE('',*,*,#61068,.F.); +#61071=ORIENTED_EDGE('',*,*,#61070,.F.); +#61072=ORIENTED_EDGE('',*,*,#60533,.T.); +#61073=ORIENTED_EDGE('',*,*,#61034,.F.); +#61074=EDGE_LOOP('',(#61069,#61071,#61072,#61073)); +#61075=FACE_OUTER_BOUND('',#61074,.F.); +#61077=CARTESIAN_POINT('',(-5.866606055596E1,4.7E0,-2.36E1)); +#61078=DIRECTION('',(0.E0,-1.E0,0.E0)); +#61079=DIRECTION('',(-1.E0,0.E0,0.E0)); +#61080=AXIS2_PLACEMENT_3D('',#61077,#61078,#61079); +#61081=PLANE('',#61080); +#61082=ORIENTED_EDGE('',*,*,#61068,.T.); +#61083=ORIENTED_EDGE('',*,*,#61020,.F.); +#61084=ORIENTED_EDGE('',*,*,#60911,.F.); +#61086=ORIENTED_EDGE('',*,*,#61085,.T.); +#61087=EDGE_LOOP('',(#61082,#61083,#61084,#61086)); +#61088=FACE_OUTER_BOUND('',#61087,.F.); +#61090=CARTESIAN_POINT('',(-5.5E1,1.3E0,-2.933772976205E1)); +#61091=DIRECTION('',(0.E0,0.E0,1.E0)); +#61092=DIRECTION('',(0.E0,1.E0,0.E0)); +#61093=AXIS2_PLACEMENT_3D('',#61090,#61091,#61092); +#61094=CYLINDRICAL_SURFACE('',#61093,5.E0); +#61096=ORIENTED_EDGE('',*,*,#61095,.T.); +#61097=ORIENTED_EDGE('',*,*,#61085,.F.); +#61098=ORIENTED_EDGE('',*,*,#60909,.T.); +#61100=ORIENTED_EDGE('',*,*,#61099,.T.); +#61101=ORIENTED_EDGE('',*,*,#59962,.T.); +#61102=ORIENTED_EDGE('',*,*,#60459,.F.); +#61103=ORIENTED_EDGE('',*,*,#60926,.T.); +#61105=ORIENTED_EDGE('',*,*,#61104,.T.); +#61106=EDGE_LOOP('',(#61096,#61097,#61098,#61100,#61101,#61102,#61103,#61105)); +#61107=FACE_OUTER_BOUND('',#61106,.F.); +#61109=CARTESIAN_POINT('',(-5.5E1,1.3E0,-3.64E1)); +#61110=DIRECTION('',(0.E0,0.E0,1.E0)); +#61111=DIRECTION('',(7.081567326534E-1,-7.060552683733E-1,0.E0)); +#61112=AXIS2_PLACEMENT_3D('',#61109,#61110,#61111); +#61113=TOROIDAL_SURFACE('',#61112,5.5E0,5.E-1); +#61114=ORIENTED_EDGE('',*,*,#61095,.F.); +#61116=ORIENTED_EDGE('',*,*,#61115,.T.); +#61117=ORIENTED_EDGE('',*,*,#60535,.T.); +#61118=ORIENTED_EDGE('',*,*,#61070,.T.); +#61119=EDGE_LOOP('',(#61114,#61116,#61117,#61118)); +#61120=FACE_OUTER_BOUND('',#61119,.F.); +#61122=CARTESIAN_POINT('',(-5.575E1,6.777661457445E1,-3.64E1)); +#61123=DIRECTION('',(0.E0,-1.E0,0.E0)); +#61124=DIRECTION('',(1.421085471520E-14,0.E0,-1.E0)); +#61125=AXIS2_PLACEMENT_3D('',#61122,#61123,#61124); +#61126=CYLINDRICAL_SURFACE('',#61125,5.E-1); +#61127=ORIENTED_EDGE('',*,*,#60537,.T.); +#61128=ORIENTED_EDGE('',*,*,#61115,.F.); +#61130=ORIENTED_EDGE('',*,*,#61129,.F.); +#61132=ORIENTED_EDGE('',*,*,#61131,.T.); +#61133=EDGE_LOOP('',(#61127,#61128,#61130,#61132)); +#61134=FACE_OUTER_BOUND('',#61133,.F.); +#61136=CARTESIAN_POINT('',(-5.525E1,7.7E0,-2.36E1)); +#61137=DIRECTION('',(1.E0,0.E0,0.E0)); +#61138=DIRECTION('',(0.E0,-1.E0,0.E0)); +#61139=AXIS2_PLACEMENT_3D('',#61136,#61137,#61138); +#61140=PLANE('',#61139); +#61142=ORIENTED_EDGE('',*,*,#61141,.F.); +#61143=ORIENTED_EDGE('',*,*,#61129,.T.); +#61144=ORIENTED_EDGE('',*,*,#61104,.F.); +#61145=ORIENTED_EDGE('',*,*,#60924,.F.); +#61146=EDGE_LOOP('',(#61142,#61143,#61144,#61145)); +#61147=FACE_OUTER_BOUND('',#61146,.F.); +#61149=CARTESIAN_POINT('',(-5.575E1,7.2E0,-9.021724171534E1)); +#61150=DIRECTION('',(0.E0,0.E0,1.E0)); +#61151=DIRECTION('',(1.E0,0.E0,0.E0)); +#61152=AXIS2_PLACEMENT_3D('',#61149,#61150,#61151); +#61153=CYLINDRICAL_SURFACE('',#61152,5.E-1); +#61154=ORIENTED_EDGE('',*,*,#60601,.F.); +#61156=ORIENTED_EDGE('',*,*,#61155,.T.); +#61157=ORIENTED_EDGE('',*,*,#61141,.T.); +#61158=ORIENTED_EDGE('',*,*,#60922,.T.); +#61159=EDGE_LOOP('',(#61154,#61156,#61157,#61158)); +#61160=FACE_OUTER_BOUND('',#61159,.F.); +#61162=CARTESIAN_POINT('',(-5.575E1,7.2E0,-3.64E1)); +#61163=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#61164=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#61165=AXIS2_PLACEMENT_3D('',#61162,#61163,#61164); +#61166=SPHERICAL_SURFACE('',#61165,5.E-1); +#61167=ORIENTED_EDGE('',*,*,#61131,.F.); +#61168=ORIENTED_EDGE('',*,*,#61155,.F.); +#61169=ORIENTED_EDGE('',*,*,#60584,.F.); +#61170=EDGE_LOOP('',(#61167,#61168,#61169)); +#61171=FACE_OUTER_BOUND('',#61170,.F.); +#61173=CARTESIAN_POINT('',(-6.E1,-1.E-1,-2.93E1)); +#61174=DIRECTION('',(-1.E0,0.E0,0.E0)); +#61175=DIRECTION('',(0.E0,1.E0,0.E0)); +#61176=AXIS2_PLACEMENT_3D('',#61173,#61174,#61175); +#61177=PLANE('',#61176); +#61178=ORIENTED_EDGE('',*,*,#61099,.F.); +#61179=ORIENTED_EDGE('',*,*,#60907,.F.); +#61181=ORIENTED_EDGE('',*,*,#61180,.T.); +#61182=ORIENTED_EDGE('',*,*,#59964,.T.); +#61183=EDGE_LOOP('',(#61178,#61179,#61181,#61182)); +#61184=FACE_OUTER_BOUND('',#61183,.F.); +#61186=CARTESIAN_POINT('',(-5.775E1,-1.E-1,-2.93E1)); +#61187=DIRECTION('',(0.E0,-1.E0,0.E0)); +#61188=DIRECTION('',(-1.E0,0.E0,0.E0)); +#61189=AXIS2_PLACEMENT_3D('',#61186,#61187,#61188); +#61190=PLANE('',#61189); +#61192=ORIENTED_EDGE('',*,*,#61191,.T.); +#61193=ORIENTED_EDGE('',*,*,#59966,.T.); +#61194=ORIENTED_EDGE('',*,*,#61180,.F.); +#61195=ORIENTED_EDGE('',*,*,#60905,.F.); +#61196=EDGE_LOOP('',(#61192,#61193,#61194,#61195)); +#61197=FACE_OUTER_BOUND('',#61196,.F.); +#61199=CARTESIAN_POINT('',(-5.775E1,0.E0,0.E0)); +#61200=DIRECTION('',(1.E0,0.E0,0.E0)); +#61201=DIRECTION('',(0.E0,0.E0,-1.E0)); +#61202=AXIS2_PLACEMENT_3D('',#61199,#61200,#61201); +#61203=PLANE('',#61202); +#61205=ORIENTED_EDGE('',*,*,#61204,.T.); +#61206=ORIENTED_EDGE('',*,*,#59968,.T.); +#61207=ORIENTED_EDGE('',*,*,#61191,.F.); +#61208=ORIENTED_EDGE('',*,*,#60903,.F.); +#61209=EDGE_LOOP('',(#61205,#61206,#61207,#61208)); +#61210=FACE_OUTER_BOUND('',#61209,.F.); +#61212=CARTESIAN_POINT('',(-5.775E1,0.E0,0.E0)); +#61213=DIRECTION('',(1.E0,0.E0,0.E0)); +#61214=DIRECTION('',(0.E0,0.E0,-1.E0)); +#61215=AXIS2_PLACEMENT_3D('',#61212,#61213,#61214); +#61216=PLANE('',#61215); +#61218=ORIENTED_EDGE('',*,*,#61217,.F.); +#61220=ORIENTED_EDGE('',*,*,#61219,.T.); +#61222=ORIENTED_EDGE('',*,*,#61221,.F.); +#61223=ORIENTED_EDGE('',*,*,#60889,.F.); +#61225=ORIENTED_EDGE('',*,*,#61224,.T.); +#61226=EDGE_LOOP('',(#61218,#61220,#61222,#61223,#61225)); +#61227=FACE_OUTER_BOUND('',#61226,.F.); +#61229=CARTESIAN_POINT('',(-5.775E1,0.E0,0.E0)); +#61230=DIRECTION('',(1.E0,0.E0,0.E0)); +#61231=DIRECTION('',(0.E0,0.E0,-1.E0)); +#61232=AXIS2_PLACEMENT_3D('',#61229,#61230,#61231); +#61233=PLANE('',#61232); +#61235=ORIENTED_EDGE('',*,*,#61234,.F.); +#61237=ORIENTED_EDGE('',*,*,#61236,.T.); +#61239=ORIENTED_EDGE('',*,*,#61238,.F.); +#61241=ORIENTED_EDGE('',*,*,#61240,.F.); +#61243=ORIENTED_EDGE('',*,*,#61242,.F.); +#61245=ORIENTED_EDGE('',*,*,#61244,.F.); +#61247=ORIENTED_EDGE('',*,*,#61246,.T.); +#61249=ORIENTED_EDGE('',*,*,#61248,.T.); +#61250=ORIENTED_EDGE('',*,*,#59982,.F.); +#61252=ORIENTED_EDGE('',*,*,#61251,.F.); +#61254=ORIENTED_EDGE('',*,*,#61253,.F.); +#61255=EDGE_LOOP('',(#61235,#61237,#61239,#61241,#61243,#61245,#61247,#61249, +#61250,#61252,#61254)); +#61256=FACE_OUTER_BOUND('',#61255,.F.); +#61258=CARTESIAN_POINT('',(-6.E1,-1.6E0,-2.93E1)); +#61259=DIRECTION('',(0.E0,1.E0,0.E0)); +#61260=DIRECTION('',(1.E0,0.E0,0.E0)); +#61261=AXIS2_PLACEMENT_3D('',#61258,#61259,#61260); +#61262=PLANE('',#61261); +#61263=ORIENTED_EDGE('',*,*,#61204,.F.); +#61264=ORIENTED_EDGE('',*,*,#60901,.F.); +#61266=ORIENTED_EDGE('',*,*,#61265,.T.); +#61267=ORIENTED_EDGE('',*,*,#59970,.T.); +#61268=EDGE_LOOP('',(#61263,#61264,#61266,#61267)); +#61269=FACE_OUTER_BOUND('',#61268,.F.); +#61271=CARTESIAN_POINT('',(-6.E1,-3.8E0,-2.93E1)); +#61272=DIRECTION('',(-1.E0,0.E0,0.E0)); +#61273=DIRECTION('',(0.E0,1.E0,0.E0)); +#61274=AXIS2_PLACEMENT_3D('',#61271,#61272,#61273); +#61275=PLANE('',#61274); +#61276=ORIENTED_EDGE('',*,*,#60899,.F.); +#61277=ORIENTED_EDGE('',*,*,#59861,.T.); +#61278=ORIENTED_EDGE('',*,*,#59972,.T.); +#61279=ORIENTED_EDGE('',*,*,#61265,.F.); +#61280=EDGE_LOOP('',(#61276,#61277,#61278,#61279)); +#61281=FACE_OUTER_BOUND('',#61280,.F.); +#61283=CARTESIAN_POINT('',(-5.89E1,-1.3E1,-2.848867513459E1)); +#61284=DIRECTION('',(0.E0,-5.E-1,8.660254037844E-1)); +#61285=DIRECTION('',(0.E0,8.660254037844E-1,5.E-1)); +#61286=AXIS2_PLACEMENT_3D('',#61283,#61284,#61285); +#61287=PLANE('',#61286); +#61288=ORIENTED_EDGE('',*,*,#61217,.T.); +#61290=ORIENTED_EDGE('',*,*,#61289,.T.); +#61292=ORIENTED_EDGE('',*,*,#61291,.F.); +#61294=ORIENTED_EDGE('',*,*,#61293,.F.); +#61295=EDGE_LOOP('',(#61288,#61290,#61292,#61294)); +#61296=FACE_OUTER_BOUND('',#61295,.F.); +#61298=CARTESIAN_POINT('',(6.830649670485E1,-1.35E1,-8.5E0)); +#61299=DIRECTION('',(0.E0,-1.E0,0.E0)); +#61300=DIRECTION('',(-1.E0,0.E0,0.E0)); +#61301=AXIS2_PLACEMENT_3D('',#61298,#61299,#61300); +#61302=PLANE('',#61301); +#61303=ORIENTED_EDGE('',*,*,#61289,.F.); +#61304=ORIENTED_EDGE('',*,*,#61224,.F.); +#61305=ORIENTED_EDGE('',*,*,#60887,.F.); +#61307=ORIENTED_EDGE('',*,*,#61306,.T.); +#61309=ORIENTED_EDGE('',*,*,#61308,.F.); +#61311=ORIENTED_EDGE('',*,*,#61310,.F.); +#61312=ORIENTED_EDGE('',*,*,#60875,.F.); +#61314=ORIENTED_EDGE('',*,*,#61313,.F.); +#61316=ORIENTED_EDGE('',*,*,#61315,.F.); +#61318=ORIENTED_EDGE('',*,*,#61317,.F.); +#61320=ORIENTED_EDGE('',*,*,#61319,.F.); +#61322=ORIENTED_EDGE('',*,*,#61321,.F.); +#61323=ORIENTED_EDGE('',*,*,#60979,.F.); +#61325=ORIENTED_EDGE('',*,*,#61324,.T.); +#61327=ORIENTED_EDGE('',*,*,#61326,.F.); +#61329=ORIENTED_EDGE('',*,*,#61328,.F.); +#61330=ORIENTED_EDGE('',*,*,#60967,.F.); +#61332=ORIENTED_EDGE('',*,*,#61331,.T.); +#61334=ORIENTED_EDGE('',*,*,#61333,.F.); +#61336=ORIENTED_EDGE('',*,*,#61335,.F.); +#61338=ORIENTED_EDGE('',*,*,#61337,.F.); +#61340=ORIENTED_EDGE('',*,*,#61339,.T.); +#61342=ORIENTED_EDGE('',*,*,#61341,.F.); +#61343=ORIENTED_EDGE('',*,*,#61236,.F.); +#61345=ORIENTED_EDGE('',*,*,#61344,.F.); +#61347=ORIENTED_EDGE('',*,*,#61346,.F.); +#61348=EDGE_LOOP('',(#61303,#61304,#61305,#61307,#61309,#61311,#61312,#61314, +#61316,#61318,#61320,#61322,#61323,#61325,#61327,#61329,#61330,#61332,#61334, +#61336,#61338,#61340,#61342,#61343,#61345,#61347)); +#61349=FACE_OUTER_BOUND('',#61348,.F.); +#61351=CARTESIAN_POINT('',(-6.15E1,-1.8E0,-2.93E1)); +#61352=DIRECTION('',(1.E0,0.E0,0.E0)); +#61353=DIRECTION('',(0.E0,-1.E0,0.E0)); +#61354=AXIS2_PLACEMENT_3D('',#61351,#61352,#61353); +#61355=PLANE('',#61354); +#61356=ORIENTED_EDGE('',*,*,#61306,.F.); +#61357=ORIENTED_EDGE('',*,*,#60885,.T.); +#61359=ORIENTED_EDGE('',*,*,#61358,.T.); +#61361=ORIENTED_EDGE('',*,*,#61360,.T.); +#61362=EDGE_LOOP('',(#61356,#61357,#61359,#61361)); +#61363=FACE_OUTER_BOUND('',#61362,.F.); +#61365=CARTESIAN_POINT('',(-6.23E1,-1.8E0,-2.93E1)); +#61366=DIRECTION('',(0.E0,0.E0,-1.E0)); +#61367=DIRECTION('',(1.E0,0.E0,0.E0)); +#61368=AXIS2_PLACEMENT_3D('',#61365,#61366,#61367); +#61369=CYLINDRICAL_SURFACE('',#61368,8.E-1); +#61371=ORIENTED_EDGE('',*,*,#61370,.T.); +#61373=ORIENTED_EDGE('',*,*,#61372,.T.); +#61374=ORIENTED_EDGE('',*,*,#61358,.F.); +#61375=ORIENTED_EDGE('',*,*,#60883,.F.); +#61376=EDGE_LOOP('',(#61371,#61373,#61374,#61375)); +#61377=FACE_OUTER_BOUND('',#61376,.F.); +#61379=CARTESIAN_POINT('',(-6.586117845738E1,-1.E0,-2.93E1)); +#61380=DIRECTION('',(0.E0,1.E0,0.E0)); +#61381=DIRECTION('',(1.E0,0.E0,0.E0)); +#61382=AXIS2_PLACEMENT_3D('',#61379,#61380,#61381); +#61383=PLANE('',#61382); +#61385=ORIENTED_EDGE('',*,*,#61384,.T.); +#61387=ORIENTED_EDGE('',*,*,#61386,.T.); +#61388=ORIENTED_EDGE('',*,*,#61370,.F.); +#61389=ORIENTED_EDGE('',*,*,#60881,.F.); +#61390=EDGE_LOOP('',(#61385,#61387,#61388,#61389)); +#61391=FACE_OUTER_BOUND('',#61390,.F.); +#61393=ORIENTED_EDGE('',*,*,#61392,.T.); +#61395=ORIENTED_EDGE('',*,*,#61394,.T.); +#61397=ORIENTED_EDGE('',*,*,#61396,.T.); +#61399=ORIENTED_EDGE('',*,*,#61398,.F.); +#61401=ORIENTED_EDGE('',*,*,#61400,.T.); +#61403=ORIENTED_EDGE('',*,*,#61402,.F.); +#61404=EDGE_LOOP('',(#61393,#61395,#61397,#61399,#61401,#61403)); +#61405=FACE_BOUND('',#61404,.F.); +#61407=CARTESIAN_POINT('',(-6.65E1,-2.375476168169E0,-2.93E1)); +#61408=DIRECTION('',(-9.069566748341E-1,4.212239190428E-1,0.E0)); +#61409=DIRECTION('',(4.212239190428E-1,9.069566748341E-1,0.E0)); +#61410=AXIS2_PLACEMENT_3D('',#61407,#61408,#61409); +#61411=PLANE('',#61410); +#61413=ORIENTED_EDGE('',*,*,#61412,.T.); +#61415=ORIENTED_EDGE('',*,*,#61414,.T.); +#61416=ORIENTED_EDGE('',*,*,#61384,.F.); +#61417=ORIENTED_EDGE('',*,*,#60879,.F.); +#61418=EDGE_LOOP('',(#61413,#61415,#61416,#61417)); +#61419=FACE_OUTER_BOUND('',#61418,.F.); +#61421=CARTESIAN_POINT('',(-6.65E1,-1.35E1,-2.93E1)); +#61422=DIRECTION('',(-1.E0,0.E0,0.E0)); +#61423=DIRECTION('',(0.E0,1.E0,0.E0)); +#61424=AXIS2_PLACEMENT_3D('',#61421,#61422,#61423); +#61425=PLANE('',#61424); +#61426=ORIENTED_EDGE('',*,*,#61310,.T.); +#61428=ORIENTED_EDGE('',*,*,#61427,.T.); +#61429=ORIENTED_EDGE('',*,*,#61412,.F.); +#61430=ORIENTED_EDGE('',*,*,#60877,.F.); +#61431=EDGE_LOOP('',(#61426,#61428,#61429,#61430)); +#61432=FACE_OUTER_BOUND('',#61431,.F.); +#61434=CARTESIAN_POINT('',(0.E0,0.E0,-2.07E1)); +#61435=DIRECTION('',(0.E0,0.E0,-1.E0)); +#61436=DIRECTION('',(1.E0,0.E0,0.E0)); +#61437=AXIS2_PLACEMENT_3D('',#61434,#61435,#61436); +#61438=PLANE('',#61437); +#61439=ORIENTED_EDGE('',*,*,#61308,.T.); +#61440=ORIENTED_EDGE('',*,*,#61360,.F.); +#61441=ORIENTED_EDGE('',*,*,#61372,.F.); +#61442=ORIENTED_EDGE('',*,*,#61386,.F.); +#61443=ORIENTED_EDGE('',*,*,#61414,.F.); +#61444=ORIENTED_EDGE('',*,*,#61427,.F.); +#61445=EDGE_LOOP('',(#61439,#61440,#61441,#61442,#61443,#61444)); +#61446=FACE_OUTER_BOUND('',#61445,.F.); +#61448=CARTESIAN_POINT('',(0.E0,0.E0,-2.07E1)); +#61449=DIRECTION('',(0.E0,0.E0,-1.E0)); +#61450=DIRECTION('',(1.E0,0.E0,0.E0)); +#61451=AXIS2_PLACEMENT_3D('',#61448,#61449,#61450); +#61452=PLANE('',#61451); +#61453=ORIENTED_EDGE('',*,*,#61326,.T.); +#61455=ORIENTED_EDGE('',*,*,#61454,.F.); +#61457=ORIENTED_EDGE('',*,*,#61456,.F.); +#61459=ORIENTED_EDGE('',*,*,#61458,.F.); +#61461=ORIENTED_EDGE('',*,*,#61460,.F.); +#61463=ORIENTED_EDGE('',*,*,#61462,.F.); +#61464=EDGE_LOOP('',(#61453,#61455,#61457,#61459,#61461,#61463)); +#61465=FACE_OUTER_BOUND('',#61464,.F.); +#61467=CARTESIAN_POINT('',(6.65E1,-2.375476168169E0,-2.93E1)); +#61468=DIRECTION('',(1.E0,0.E0,0.E0)); +#61469=DIRECTION('',(0.E0,-1.E0,0.E0)); +#61470=AXIS2_PLACEMENT_3D('',#61467,#61468,#61469); +#61471=PLANE('',#61470); +#61472=ORIENTED_EDGE('',*,*,#61324,.F.); +#61473=ORIENTED_EDGE('',*,*,#60977,.T.); +#61475=ORIENTED_EDGE('',*,*,#61474,.T.); +#61476=ORIENTED_EDGE('',*,*,#61454,.T.); +#61477=EDGE_LOOP('',(#61472,#61473,#61475,#61476)); +#61478=FACE_OUTER_BOUND('',#61477,.F.); +#61480=CARTESIAN_POINT('',(6.586117845738E1,-1.E0,-2.93E1)); +#61481=DIRECTION('',(9.069566748341E-1,4.212239190428E-1,0.E0)); +#61482=DIRECTION('',(4.212239190428E-1,-9.069566748341E-1,0.E0)); +#61483=AXIS2_PLACEMENT_3D('',#61480,#61481,#61482); +#61484=PLANE('',#61483); +#61486=ORIENTED_EDGE('',*,*,#61485,.T.); +#61487=ORIENTED_EDGE('',*,*,#61456,.T.); +#61488=ORIENTED_EDGE('',*,*,#61474,.F.); +#61489=ORIENTED_EDGE('',*,*,#60975,.F.); +#61490=EDGE_LOOP('',(#61486,#61487,#61488,#61489)); +#61491=FACE_OUTER_BOUND('',#61490,.F.); +#61493=CARTESIAN_POINT('',(6.23E1,-1.E0,-2.93E1)); +#61494=DIRECTION('',(0.E0,1.E0,0.E0)); +#61495=DIRECTION('',(1.E0,0.E0,0.E0)); +#61496=AXIS2_PLACEMENT_3D('',#61493,#61494,#61495); +#61497=PLANE('',#61496); +#61499=ORIENTED_EDGE('',*,*,#61498,.T.); +#61500=ORIENTED_EDGE('',*,*,#61458,.T.); +#61501=ORIENTED_EDGE('',*,*,#61485,.F.); +#61502=ORIENTED_EDGE('',*,*,#60973,.F.); +#61503=EDGE_LOOP('',(#61499,#61500,#61501,#61502)); +#61504=FACE_OUTER_BOUND('',#61503,.F.); +#61506=ORIENTED_EDGE('',*,*,#61505,.T.); +#61508=ORIENTED_EDGE('',*,*,#61507,.F.); +#61510=ORIENTED_EDGE('',*,*,#61509,.T.); +#61512=ORIENTED_EDGE('',*,*,#61511,.F.); +#61514=ORIENTED_EDGE('',*,*,#61513,.T.); +#61516=ORIENTED_EDGE('',*,*,#61515,.T.); +#61517=EDGE_LOOP('',(#61506,#61508,#61510,#61512,#61514,#61516)); +#61518=FACE_BOUND('',#61517,.F.); +#61520=CARTESIAN_POINT('',(6.23E1,-1.8E0,-2.93E1)); +#61521=DIRECTION('',(0.E0,0.E0,-1.E0)); +#61522=DIRECTION('',(1.E0,0.E0,0.E0)); +#61523=AXIS2_PLACEMENT_3D('',#61520,#61521,#61522); +#61524=CYLINDRICAL_SURFACE('',#61523,8.E-1); +#61526=ORIENTED_EDGE('',*,*,#61525,.T.); +#61527=ORIENTED_EDGE('',*,*,#61460,.T.); +#61528=ORIENTED_EDGE('',*,*,#61498,.F.); +#61529=ORIENTED_EDGE('',*,*,#60971,.F.); +#61530=EDGE_LOOP('',(#61526,#61527,#61528,#61529)); +#61531=FACE_OUTER_BOUND('',#61530,.F.); +#61533=CARTESIAN_POINT('',(6.15E1,-1.35E1,-2.93E1)); +#61534=DIRECTION('',(-1.E0,0.E0,0.E0)); +#61535=DIRECTION('',(0.E0,1.E0,0.E0)); +#61536=AXIS2_PLACEMENT_3D('',#61533,#61534,#61535); +#61537=PLANE('',#61536); +#61538=ORIENTED_EDGE('',*,*,#61328,.T.); +#61539=ORIENTED_EDGE('',*,*,#61462,.T.); +#61540=ORIENTED_EDGE('',*,*,#61525,.F.); +#61541=ORIENTED_EDGE('',*,*,#60969,.F.); +#61542=EDGE_LOOP('',(#61538,#61539,#61540,#61541)); +#61543=FACE_OUTER_BOUND('',#61542,.F.); +#61545=CARTESIAN_POINT('',(6.225842961023E1,-1.5E0,-2.54E1)); +#61546=DIRECTION('',(1.E0,0.E0,0.E0)); +#61547=DIRECTION('',(0.E0,1.E0,0.E0)); +#61548=AXIS2_PLACEMENT_3D('',#61545,#61546,#61547); +#61549=CYLINDRICAL_SURFACE('',#61548,5.E-1); +#61550=ORIENTED_EDGE('',*,*,#61505,.F.); +#61552=ORIENTED_EDGE('',*,*,#61551,.F.); +#61554=ORIENTED_EDGE('',*,*,#61553,.T.); +#61556=ORIENTED_EDGE('',*,*,#61555,.T.); +#61558=ORIENTED_EDGE('',*,*,#61557,.F.); +#61559=EDGE_LOOP('',(#61550,#61552,#61554,#61556,#61558)); +#61560=FACE_OUTER_BOUND('',#61559,.F.); +#61562=CARTESIAN_POINT('',(6.242551771664E1,-1.5E0,-2.065513483887E1)); +#61563=DIRECTION('',(0.E0,0.E0,-1.E0)); +#61564=DIRECTION('',(1.421085471520E-14,1.E0,0.E0)); +#61565=AXIS2_PLACEMENT_3D('',#61562,#61563,#61564); +#61566=CYLINDRICAL_SURFACE('',#61565,5.E-1); +#61567=ORIENTED_EDGE('',*,*,#61515,.F.); +#61569=ORIENTED_EDGE('',*,*,#61568,.F.); +#61571=ORIENTED_EDGE('',*,*,#61570,.T.); +#61572=ORIENTED_EDGE('',*,*,#61551,.T.); +#61573=EDGE_LOOP('',(#61567,#61569,#61571,#61572)); +#61574=FACE_OUTER_BOUND('',#61573,.F.); +#61576=CARTESIAN_POINT('',(6.589915575096E1,-1.5E0,-2.16E1)); +#61577=DIRECTION('',(-1.E0,0.E0,0.E0)); +#61578=DIRECTION('',(0.E0,1.E0,0.E0)); +#61579=AXIS2_PLACEMENT_3D('',#61576,#61577,#61578); +#61580=CYLINDRICAL_SURFACE('',#61579,5.E-1); +#61582=ORIENTED_EDGE('',*,*,#61581,.T.); +#61584=ORIENTED_EDGE('',*,*,#61583,.T.); +#61585=ORIENTED_EDGE('',*,*,#61568,.T.); +#61586=ORIENTED_EDGE('',*,*,#61513,.F.); +#61588=ORIENTED_EDGE('',*,*,#61587,.F.); +#61589=EDGE_LOOP('',(#61582,#61584,#61585,#61586,#61588)); +#61590=FACE_OUTER_BOUND('',#61589,.F.); +#61592=CARTESIAN_POINT('',(6.47E1,-1.E0,-2.21E1)); +#61593=DIRECTION('',(0.E0,0.E0,1.E0)); +#61594=DIRECTION('',(-1.E0,0.E0,0.E0)); +#61595=AXIS2_PLACEMENT_3D('',#61592,#61593,#61594); +#61596=PLANE('',#61595); +#61598=ORIENTED_EDGE('',*,*,#61597,.F.); +#61600=ORIENTED_EDGE('',*,*,#61599,.F.); +#61602=ORIENTED_EDGE('',*,*,#61601,.F.); +#61603=ORIENTED_EDGE('',*,*,#61581,.F.); +#61605=ORIENTED_EDGE('',*,*,#61604,.F.); +#61607=ORIENTED_EDGE('',*,*,#61606,.T.); +#61608=EDGE_LOOP('',(#61598,#61600,#61602,#61603,#61605,#61607)); +#61609=FACE_OUTER_BOUND('',#61608,.F.); +#61611=CARTESIAN_POINT('',(6.292314594478E1,-1.841181117391E1, +-2.321264890021E1)); +#61612=CARTESIAN_POINT('',(6.293453061628E1,-1.823052658313E1, +-2.314666670523E1)); +#61613=CARTESIAN_POINT('',(6.296687486691E1,-1.787785661459E1, +-2.301830533416E1)); +#61614=CARTESIAN_POINT('',(6.304441656194E1,-1.737585791237E1, +-2.283559274891E1)); +#61615=CARTESIAN_POINT('',(6.314519134705E1,-1.692455898388E1, +-2.267133337219E1)); +#61616=CARTESIAN_POINT('',(6.326052524897E1,-1.654056468984E1, +-2.253157087903E1)); +#61617=CARTESIAN_POINT('',(6.335175478328E1,-1.630562655827E1, +-2.244606039224E1)); +#61618=CARTESIAN_POINT('',(6.339805582080E1,-1.620139063872E1, +-2.240812162019E1)); +#61619=CARTESIAN_POINT('',(6.296259850492E1,-1.852658666956E1, +-2.290411633965E1)); +#61620=CARTESIAN_POINT('',(6.297394103453E1,-1.834597420449E1, +-2.283837877843E1)); +#61621=CARTESIAN_POINT('',(6.300616330738E1,-1.799465474810E1, +-2.271050895358E1)); +#61622=CARTESIAN_POINT('',(6.308337359974E1,-1.749483834337E1, +-2.252859065966E1)); +#61623=CARTESIAN_POINT('',(6.318363083010E1,-1.704589692803E1, +-2.236518934755E1)); +#61624=CARTESIAN_POINT('',(6.329822033645E1,-1.666442215759E1, +-2.222634388598E1)); +#61625=CARTESIAN_POINT('',(6.338868870941E1,-1.643147901998E1, +-2.214155951762E1)); +#61626=CARTESIAN_POINT('',(6.343452990914E1,-1.632827721898E1, +-2.210399713393E1)); +#61627=CARTESIAN_POINT('',(6.321525820502E1,-1.861385013937E1, +-2.270797746030E1)); +#61628=CARTESIAN_POINT('',(6.322633085208E1,-1.843754206117E1, +-2.264380656777E1)); +#61629=CARTESIAN_POINT('',(6.325777196222E1,-1.809487147297E1, +-2.251908467351E1)); +#61630=CARTESIAN_POINT('',(6.333285990570E1,-1.760903080587E1, +-2.234225313209E1)); +#61631=CARTESIAN_POINT('',(6.342980264315E1,-1.717518723370E1, +-2.218434698549E1)); +#61632=CARTESIAN_POINT('',(6.353962493642E1,-1.680984784397E1, +-2.205137432222E1)); +#61633=CARTESIAN_POINT('',(6.362521872591E1,-1.658968092604E1, +-2.197124011753E1)); +#61634=CARTESIAN_POINT('',(6.366811506027E1,-1.649310176452E1, +-2.193608817748E1)); +#61635=CARTESIAN_POINT('',(6.354586453177E1,-1.862688538080E1, +-2.272923454581E1)); +#61636=CARTESIAN_POINT('',(6.355658403633E1,-1.845620961172E1, +-2.266711364615E1)); +#61637=CARTESIAN_POINT('',(6.358700299164E1,-1.812485610553E1, +-2.254651083288E1)); +#61638=CARTESIAN_POINT('',(6.365931383229E1,-1.765730275249E1, +-2.237633532944E1)); +#61639=CARTESIAN_POINT('',(6.375191954124E1,-1.724321477437E1, +-2.222561963104E1)); +#61640=CARTESIAN_POINT('',(6.385550391525E1,-1.689898860114E1, +-2.210033155013E1)); +#61641=CARTESIAN_POINT('',(6.393471929087E1,-1.669553942275E1, +-2.202628210501E1)); +#61642=CARTESIAN_POINT('',(6.397376225597E1,-1.660762601407E1, +-2.199428424106E1)); +#61643=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#61611,#61612,#61613,#61614, +#61615,#61616,#61617,#61618),(#61619,#61620,#61621,#61622,#61623,#61624,#61625, +#61626),(#61627,#61628,#61629,#61630,#61631,#61632,#61633,#61634),(#61635, +#61636,#61637,#61638,#61639,#61640,#61641,#61642)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4,1,1,1,1,4),(0.E0,1.E0),(2.272600900620E-1, +4.143774777789E-1,5.913658879431E-1,7.458293124441E-1,8.807934351449E-1, +9.882086374673E-1),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.154516641885E0,1.154516641885E0,1.154516641885E0,1.154516641885E0, +1.154516641885E0,1.154516641885E0,1.154516641885E0,1.154516641885E0),( +9.484944527051E-1,9.484944527051E-1,9.484944527051E-1,9.484944527051E-1, +9.484944527051E-1,9.484944527051E-1,9.484944527051E-1,9.484944527051E-1),( +9.484944527051E-1,9.484944527051E-1,9.484944527051E-1,9.484944527051E-1, +9.484944527051E-1,9.484944527051E-1,9.484944527051E-1,9.484944527051E-1),( +1.154516641885E0,1.154516641885E0,1.154516641885E0,1.154516641885E0, +1.154516641885E0,1.154516641885E0,1.154516641885E0,1.154516641885E0)))REPRESENTATION_ITEM('')SURFACE()); +#61644=ORIENTED_EDGE('',*,*,#61597,.T.); +#61646=ORIENTED_EDGE('',*,*,#61645,.F.); +#61648=ORIENTED_EDGE('',*,*,#61647,.F.); +#61650=ORIENTED_EDGE('',*,*,#61649,.T.); +#61651=EDGE_LOOP('',(#61644,#61646,#61648,#61650)); +#61652=FACE_OUTER_BOUND('',#61651,.F.); +#61654=CARTESIAN_POINT('',(-8.6326692E1,-1.9E1,-2.286433749196E1)); +#61655=DIRECTION('',(0.E0,3.420201433257E-1,-9.396926207859E-1)); +#61656=DIRECTION('',(0.E0,9.396926207859E-1,3.420201433257E-1)); +#61657=AXIS2_PLACEMENT_3D('',#61654,#61655,#61656); +#61658=PLANE('',#61657); +#61660=ORIENTED_EDGE('',*,*,#61659,.T.); +#61662=ORIENTED_EDGE('',*,*,#61661,.T.); +#61664=ORIENTED_EDGE('',*,*,#61663,.F.); +#61666=ORIENTED_EDGE('',*,*,#61665,.F.); +#61668=ORIENTED_EDGE('',*,*,#61667,.T.); +#61670=ORIENTED_EDGE('',*,*,#61669,.T.); +#61671=EDGE_LOOP('',(#61660,#61662,#61664,#61666,#61668,#61670)); +#61672=FACE_OUTER_BOUND('',#61671,.F.); +#61674=CARTESIAN_POINT('',(-8.6326692E1,-1.9E1,-2.286433749196E1)); +#61675=DIRECTION('',(0.E0,3.420201433257E-1,-9.396926207859E-1)); +#61676=DIRECTION('',(0.E0,9.396926207859E-1,3.420201433257E-1)); +#61677=AXIS2_PLACEMENT_3D('',#61674,#61675,#61676); +#61678=PLANE('',#61677); +#61679=ORIENTED_EDGE('',*,*,#61645,.T.); +#61680=ORIENTED_EDGE('',*,*,#61606,.F.); +#61682=ORIENTED_EDGE('',*,*,#61681,.F.); +#61684=ORIENTED_EDGE('',*,*,#61683,.F.); +#61686=ORIENTED_EDGE('',*,*,#61685,.T.); +#61688=ORIENTED_EDGE('',*,*,#61687,.T.); +#61689=EDGE_LOOP('',(#61679,#61680,#61682,#61684,#61686,#61688)); +#61690=FACE_OUTER_BOUND('',#61689,.F.); +#61692=CARTESIAN_POINT('',(-6.412155250244E1,-1.883615893870E1, +-2.344321088598E1)); +#61693=DIRECTION('',(-3.147947409995E-1,8.919184328319E-1,3.246317609442E-1)); +#61694=DIRECTION('',(-9.429903335829E-1,-3.328201177351E-1,0.E0)); +#61695=AXIS2_PLACEMENT_3D('',#61692,#61693,#61694); +#61696=CYLINDRICAL_SURFACE('',#61695,6.E-1); +#61698=ORIENTED_EDGE('',*,*,#61697,.T.); +#61700=ORIENTED_EDGE('',*,*,#61699,.T.); +#61702=ORIENTED_EDGE('',*,*,#61701,.T.); +#61703=ORIENTED_EDGE('',*,*,#61659,.F.); +#61705=ORIENTED_EDGE('',*,*,#61704,.F.); +#61707=ORIENTED_EDGE('',*,*,#61706,.T.); +#61708=EDGE_LOOP('',(#61698,#61700,#61702,#61703,#61705,#61707)); +#61709=FACE_OUTER_BOUND('',#61708,.F.); +#61711=CARTESIAN_POINT('',(-6.41E1,-1.35E1,-2.35E1)); +#61712=DIRECTION('',(0.E0,-1.E0,0.E0)); +#61713=DIRECTION('',(1.E0,0.E0,0.E0)); +#61714=AXIS2_PLACEMENT_3D('',#61711,#61712,#61713); +#61715=CYLINDRICAL_SURFACE('',#61714,1.8E0); +#61716=ORIENTED_EDGE('',*,*,#61697,.F.); +#61718=ORIENTED_EDGE('',*,*,#61717,.T.); +#61720=ORIENTED_EDGE('',*,*,#61719,.F.); +#61722=ORIENTED_EDGE('',*,*,#61721,.T.); +#61723=EDGE_LOOP('',(#61716,#61718,#61720,#61722)); +#61724=FACE_OUTER_BOUND('',#61723,.F.); +#61726=CARTESIAN_POINT('',(-6.41E1,-1.35E1,-2.35E1)); +#61727=DIRECTION('',(0.E0,-1.E0,0.E0)); +#61728=DIRECTION('',(1.E0,0.E0,0.E0)); +#61729=AXIS2_PLACEMENT_3D('',#61726,#61727,#61728); +#61730=CYLINDRICAL_SURFACE('',#61729,1.8E0); +#61732=ORIENTED_EDGE('',*,*,#61731,.F.); +#61734=ORIENTED_EDGE('',*,*,#61733,.F.); +#61736=ORIENTED_EDGE('',*,*,#61735,.F.); +#61738=ORIENTED_EDGE('',*,*,#61737,.T.); +#61739=EDGE_LOOP('',(#61732,#61734,#61736,#61738)); +#61740=FACE_OUTER_BOUND('',#61739,.F.); +#61742=CARTESIAN_POINT('',(-6.59E1,-1.56E1,-2.49E1)); +#61743=DIRECTION('',(9.429903335829E-1,3.328201177351E-1,0.E0)); +#61744=DIRECTION('',(3.328201177351E-1,-9.429903335829E-1,0.E0)); +#61745=AXIS2_PLACEMENT_3D('',#61742,#61743,#61744); +#61746=PLANE('',#61745); +#61748=ORIENTED_EDGE('',*,*,#61747,.T.); +#61750=ORIENTED_EDGE('',*,*,#61749,.F.); +#61751=ORIENTED_EDGE('',*,*,#61737,.F.); +#61752=ORIENTED_EDGE('',*,*,#61717,.F.); +#61753=ORIENTED_EDGE('',*,*,#61706,.F.); +#61754=EDGE_LOOP('',(#61748,#61750,#61751,#61752,#61753)); +#61755=FACE_OUTER_BOUND('',#61754,.F.); +#61757=CARTESIAN_POINT('',(-6.427549095139E1,-1.84E1,-2.231543960021E1)); +#61758=DIRECTION('',(0.E0,0.E0,-1.E0)); +#61759=DIRECTION('',(0.E0,-1.E0,0.E0)); +#61760=AXIS2_PLACEMENT_3D('',#61757,#61758,#61759); +#61761=CYLINDRICAL_SURFACE('',#61760,6.E-1); +#61762=ORIENTED_EDGE('',*,*,#61747,.F.); +#61764=ORIENTED_EDGE('',*,*,#61763,.F.); +#61766=ORIENTED_EDGE('',*,*,#61765,.T.); +#61768=ORIENTED_EDGE('',*,*,#61767,.F.); +#61769=EDGE_LOOP('',(#61762,#61764,#61766,#61768)); +#61770=FACE_OUTER_BOUND('',#61769,.F.); +#61772=CARTESIAN_POINT('',(-6.427549095139E1,-1.84E1,-2.328446201488E1)); +#61773=DIRECTION('',(7.083273505996E-1,-6.910600798249E-3,7.058502730673E-1)); +#61774=DIRECTION('',(-6.318042698745E-1,-4.521435090447E-1,6.295947996903E-1)); +#61775=AXIS2_PLACEMENT_3D('',#61772,#61773,#61774); +#61776=SPHERICAL_SURFACE('',#61775,6.E-1); +#61778=ORIENTED_EDGE('',*,*,#61777,.T.); +#61779=ORIENTED_EDGE('',*,*,#61763,.T.); +#61780=ORIENTED_EDGE('',*,*,#61704,.T.); +#61781=EDGE_LOOP('',(#61778,#61779,#61780)); +#61782=FACE_OUTER_BOUND('',#61781,.F.); +#61784=CARTESIAN_POINT('',(6.538091339649E1,-1.84E1,-2.328446201488E1)); +#61785=DIRECTION('',(-1.E0,0.E0,0.E0)); +#61786=DIRECTION('',(0.E0,-1.E0,0.E0)); +#61787=AXIS2_PLACEMENT_3D('',#61784,#61785,#61786); +#61788=CYLINDRICAL_SURFACE('',#61787,6.E-1); +#61789=ORIENTED_EDGE('',*,*,#61669,.F.); +#61791=ORIENTED_EDGE('',*,*,#61790,.F.); +#61793=ORIENTED_EDGE('',*,*,#61792,.T.); +#61794=ORIENTED_EDGE('',*,*,#61777,.F.); +#61795=EDGE_LOOP('',(#61789,#61791,#61793,#61794)); +#61796=FACE_OUTER_BOUND('',#61795,.F.); +#61798=CARTESIAN_POINT('',(-6.351913460711E1,-1.84E1,-2.328446201488E1)); +#61799=DIRECTION('',(-1.032327879844E-14,5.735764363510E-1,8.191520442890E-1)); +#61800=DIRECTION('',(0.E0,-8.191520442890E-1,5.735764363510E-1)); +#61801=AXIS2_PLACEMENT_3D('',#61798,#61799,#61800); +#61802=SPHERICAL_SURFACE('',#61801,6.E-1); +#61804=ORIENTED_EDGE('',*,*,#61803,.T.); +#61805=ORIENTED_EDGE('',*,*,#61790,.T.); +#61807=ORIENTED_EDGE('',*,*,#61806,.T.); +#61808=EDGE_LOOP('',(#61804,#61805,#61807)); +#61809=FACE_OUTER_BOUND('',#61808,.F.); +#61811=CARTESIAN_POINT('',(-6.472350973684E1,-1.84E1,-2.35E1)); +#61812=DIRECTION('',(0.E0,-1.E0,0.E0)); +#61813=DIRECTION('',(8.362216157347E-1,0.E0,-5.483916569186E-1)); +#61814=AXIS2_PLACEMENT_3D('',#61811,#61812,#61813); +#61815=TOROIDAL_SURFACE('',#61814,1.223509736837E0,6.E-1); +#61817=ORIENTED_EDGE('',*,*,#61816,.F.); +#61819=ORIENTED_EDGE('',*,*,#61818,.F.); +#61821=ORIENTED_EDGE('',*,*,#61820,.T.); +#61822=ORIENTED_EDGE('',*,*,#61803,.F.); +#61823=EDGE_LOOP('',(#61817,#61819,#61821,#61822)); +#61824=FACE_OUTER_BOUND('',#61823,.F.); +#61826=CARTESIAN_POINT('',(-6.472350973684E1,-1.35E1,-2.35E1)); +#61827=DIRECTION('',(0.E0,-1.E0,0.E0)); +#61828=DIRECTION('',(1.E0,0.E0,0.E0)); +#61829=AXIS2_PLACEMENT_3D('',#61826,#61827,#61828); +#61830=CYLINDRICAL_SURFACE('',#61829,1.823509736837E0); +#61832=ORIENTED_EDGE('',*,*,#61831,.F.); +#61834=ORIENTED_EDGE('',*,*,#61833,.F.); +#61836=ORIENTED_EDGE('',*,*,#61835,.F.); +#61838=ORIENTED_EDGE('',*,*,#61837,.T.); +#61840=ORIENTED_EDGE('',*,*,#61839,.F.); +#61841=ORIENTED_EDGE('',*,*,#61816,.T.); +#61842=EDGE_LOOP('',(#61832,#61834,#61836,#61838,#61840,#61841)); +#61843=FACE_OUTER_BOUND('',#61842,.F.); +#61845=CARTESIAN_POINT('',(-6.339806544335E1,-1.620137078945E1, +-2.240811440365E1)); +#61846=CARTESIAN_POINT('',(-6.335107382393E1,-1.630716506602E1, +-2.244662037127E1)); +#61847=CARTESIAN_POINT('',(-6.325947125946E1,-1.654353256395E1, +-2.253265110487E1)); +#61848=CARTESIAN_POINT('',(-6.314412433205E1,-1.692875850533E1, +-2.267286188100E1)); +#61849=CARTESIAN_POINT('',(-6.304376044678E1,-1.737948094984E1, +-2.283691143471E1)); +#61850=CARTESIAN_POINT('',(-6.296664774233E1,-1.788005808224E1, +-2.301910661086E1)); +#61851=CARTESIAN_POINT('',(-6.293446934623E1,-1.823149920278E1, +-2.314702071784E1)); +#61852=CARTESIAN_POINT('',(-6.292314588423E1,-1.841181128430E1, +-2.321264894839E1)); +#61853=CARTESIAN_POINT('',(-6.343453939088E1,-1.632825755491E1, +-2.210399008531E1)); +#61854=CARTESIAN_POINT('',(-6.338801438452E1,-1.643300230584E1, +-2.214211405684E1)); +#61855=CARTESIAN_POINT('',(-6.329717415107E1,-1.666736724261E1, +-2.222741591778E1)); +#61856=CARTESIAN_POINT('',(-6.318256974556E1,-1.705007247845E1, +-2.236670923213E1)); +#61857=CARTESIAN_POINT('',(-6.308272044128E1,-1.749844455297E1, +-2.252990332113E1)); +#61858=CARTESIAN_POINT('',(-6.300593701259E1,-1.799684766658E1, +-2.271130721915E1)); +#61859=CARTESIAN_POINT('',(-6.297387997772E1,-1.834694318233E1, +-2.283873156603E1)); +#61860=CARTESIAN_POINT('',(-6.296259842975E1,-1.852658674481E1, +-2.290411647555E1)); +#61861=CARTESIAN_POINT('',(-6.366812362725E1,-1.649308348358E1, +-2.193608164689E1)); +#61862=CARTESIAN_POINT('',(-6.362458687586E1,-1.659110692627E1, +-2.197175926229E1)); +#61863=CARTESIAN_POINT('',(-6.353862871644E1,-1.681264718261E1, +-2.205239332129E1)); +#61864=CARTESIAN_POINT('',(-6.342877952443E1,-1.717920946942E1, +-2.218581108270E1)); +#61865=CARTESIAN_POINT('',(-6.333222566850E1,-1.761252944738E1, +-2.234352665659E1)); +#61866=CARTESIAN_POINT('',(-6.325755096754E1,-1.809700984208E1, +-2.251986309934E1)); +#61867=CARTESIAN_POINT('',(-6.322627114689E1,-1.843848791745E1, +-2.264415095443E1)); +#61868=CARTESIAN_POINT('',(-6.321525802226E1,-1.861385019103E1, +-2.270797760223E1)); +#61869=CARTESIAN_POINT('',(-6.397376968032E1,-1.660760961349E1, +-2.199427826077E1)); +#61870=CARTESIAN_POINT('',(-6.393414307437E1,-1.669683819328E1, +-2.202675480786E1)); +#61871=CARTESIAN_POINT('',(-6.385457313138E1,-1.690159729600E1, +-2.210128102644E1)); +#61872=CARTESIAN_POINT('',(-6.375094615824E1,-1.724703645938E1, +-2.222701059966E1)); +#61873=CARTESIAN_POINT('',(-6.365870441169E1,-1.766066069940E1, +-2.237755751120E1)); +#61874=CARTESIAN_POINT('',(-6.358678899073E1,-1.812692315206E1, +-2.254726316532E1)); +#61875=CARTESIAN_POINT('',(-6.355652615846E1,-1.845712526685E1, +-2.266744690640E1)); +#61876=CARTESIAN_POINT('',(-6.354586426702E1,-1.862688545410E1, +-2.272923456152E1)); +#61877=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#61845,#61846,#61847,#61848, +#61849,#61850,#61851,#61852),(#61853,#61854,#61855,#61856,#61857,#61858,#61859, +#61860),(#61861,#61862,#61863,#61864,#61865,#61866,#61867,#61868),(#61869, +#61870,#61871,#61872,#61873,#61874,#61875,#61876)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4,1,1,1,1,4),(0.E0,1.E0),(1.014610160466E-2, +1.193504215830E-1,2.544075398976E-1,4.089250481896E-1,5.859412023365E-1, +7.723632574514E-1),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.154516561480E0,1.154516561480E0,1.154516561480E0,1.154516561480E0, +1.154516561480E0,1.154516561480E0,1.154516561480E0,1.154516561480E0),( +9.484944795067E-1,9.484944795067E-1,9.484944795067E-1,9.484944795067E-1, +9.484944795067E-1,9.484944795067E-1,9.484944795067E-1,9.484944795067E-1),( +9.484944795067E-1,9.484944795067E-1,9.484944795067E-1,9.484944795067E-1, +9.484944795067E-1,9.484944795067E-1,9.484944795067E-1,9.484944795067E-1),( +1.154516561480E0,1.154516561480E0,1.154516561480E0,1.154516561480E0, +1.154516561480E0,1.154516561480E0,1.154516561480E0,1.154516561480E0)))REPRESENTATION_ITEM('')SURFACE()); +#61879=ORIENTED_EDGE('',*,*,#61878,.T.); +#61880=ORIENTED_EDGE('',*,*,#61831,.T.); +#61881=ORIENTED_EDGE('',*,*,#61806,.F.); +#61882=ORIENTED_EDGE('',*,*,#61667,.F.); +#61883=EDGE_LOOP('',(#61879,#61880,#61881,#61882)); +#61884=FACE_OUTER_BOUND('',#61883,.F.); +#61886=CARTESIAN_POINT('',(-6.29E1,-1.E0,-2.21E1)); +#61887=DIRECTION('',(0.E0,0.E0,1.E0)); +#61888=DIRECTION('',(-1.E0,0.E0,0.E0)); +#61889=AXIS2_PLACEMENT_3D('',#61886,#61887,#61888); +#61890=PLANE('',#61889); +#61891=ORIENTED_EDGE('',*,*,#61878,.F.); +#61892=ORIENTED_EDGE('',*,*,#61665,.T.); +#61894=ORIENTED_EDGE('',*,*,#61893,.T.); +#61896=ORIENTED_EDGE('',*,*,#61895,.F.); +#61898=ORIENTED_EDGE('',*,*,#61897,.F.); +#61899=ORIENTED_EDGE('',*,*,#61833,.T.); +#61900=EDGE_LOOP('',(#61891,#61892,#61894,#61896,#61898,#61899)); +#61901=FACE_OUTER_BOUND('',#61900,.F.); +#61903=CARTESIAN_POINT('',(-6.452E1,-1.764836959556E1,-2.228E1)); +#61904=DIRECTION('',(0.E0,1.E0,0.E0)); +#61905=DIRECTION('',(-1.E0,0.E0,0.E0)); +#61906=AXIS2_PLACEMENT_3D('',#61903,#61904,#61905); +#61907=CYLINDRICAL_SURFACE('',#61906,1.8E-1); +#61908=ORIENTED_EDGE('',*,*,#61663,.T.); +#61910=ORIENTED_EDGE('',*,*,#61909,.T.); +#61912=ORIENTED_EDGE('',*,*,#61911,.F.); +#61913=ORIENTED_EDGE('',*,*,#61893,.F.); +#61914=EDGE_LOOP('',(#61908,#61910,#61912,#61913)); +#61915=FACE_OUTER_BOUND('',#61914,.F.); +#61917=CARTESIAN_POINT('',(-6.47E1,-1.E0,-2.21E1)); +#61918=DIRECTION('',(-1.E0,0.E0,0.E0)); +#61919=DIRECTION('',(0.E0,0.E0,-1.E0)); +#61920=AXIS2_PLACEMENT_3D('',#61917,#61918,#61919); +#61921=PLANE('',#61920); +#61922=ORIENTED_EDGE('',*,*,#61701,.F.); +#61924=ORIENTED_EDGE('',*,*,#61923,.F.); +#61926=ORIENTED_EDGE('',*,*,#61925,.F.); +#61928=ORIENTED_EDGE('',*,*,#61927,.T.); +#61930=ORIENTED_EDGE('',*,*,#61929,.F.); +#61932=ORIENTED_EDGE('',*,*,#61931,.F.); +#61934=ORIENTED_EDGE('',*,*,#61933,.F.); +#61936=ORIENTED_EDGE('',*,*,#61935,.F.); +#61937=ORIENTED_EDGE('',*,*,#61909,.F.); +#61938=ORIENTED_EDGE('',*,*,#61661,.F.); +#61939=EDGE_LOOP('',(#61922,#61924,#61926,#61928,#61930,#61932,#61934,#61936, +#61937,#61938)); +#61940=FACE_OUTER_BOUND('',#61939,.F.); +#61942=CARTESIAN_POINT('',(-6.47E1,-1.35E1,-2.235E1)); +#61943=DIRECTION('',(0.E0,0.E0,1.E0)); +#61944=DIRECTION('',(-1.E0,0.E0,0.E0)); +#61945=AXIS2_PLACEMENT_3D('',#61942,#61943,#61944); +#61946=PLANE('',#61945); +#61947=ORIENTED_EDGE('',*,*,#61699,.F.); +#61948=ORIENTED_EDGE('',*,*,#61721,.F.); +#61950=ORIENTED_EDGE('',*,*,#61949,.T.); +#61951=ORIENTED_EDGE('',*,*,#61923,.T.); +#61952=EDGE_LOOP('',(#61947,#61948,#61950,#61951)); +#61953=FACE_OUTER_BOUND('',#61952,.F.); +#61955=CARTESIAN_POINT('',(-6.47E1,-1.51E1,-2.49E1)); +#61956=DIRECTION('',(3.846153846154E-1,-9.230769230769E-1,0.E0)); +#61957=DIRECTION('',(-9.230769230769E-1,-3.846153846154E-1,0.E0)); +#61958=AXIS2_PLACEMENT_3D('',#61955,#61956,#61957); +#61959=PLANE('',#61958); +#61960=ORIENTED_EDGE('',*,*,#61949,.F.); +#61961=ORIENTED_EDGE('',*,*,#61719,.T.); +#61962=ORIENTED_EDGE('',*,*,#61735,.T.); +#61964=ORIENTED_EDGE('',*,*,#61963,.T.); +#61965=ORIENTED_EDGE('',*,*,#61925,.T.); +#61966=EDGE_LOOP('',(#61960,#61961,#61962,#61964,#61965)); +#61967=FACE_OUTER_BOUND('',#61966,.F.); +#61969=CARTESIAN_POINT('',(-6.548473824241E1,-1.35E1,-2.465E1)); +#61970=DIRECTION('',(0.E0,0.E0,-1.E0)); +#61971=DIRECTION('',(1.E0,0.E0,0.E0)); +#61972=AXIS2_PLACEMENT_3D('',#61969,#61970,#61971); +#61973=PLANE('',#61972); +#61975=ORIENTED_EDGE('',*,*,#61974,.F.); +#61976=ORIENTED_EDGE('',*,*,#61927,.F.); +#61977=ORIENTED_EDGE('',*,*,#61963,.F.); +#61978=ORIENTED_EDGE('',*,*,#61733,.T.); +#61979=EDGE_LOOP('',(#61975,#61976,#61977,#61978)); +#61980=FACE_OUTER_BOUND('',#61979,.F.); +#61982=CARTESIAN_POINT('',(-6.522058952835E1,-1.572222069862E1, +-2.469016994475E1)); +#61983=DIRECTION('',(3.147947409995E-1,-8.919184328319E-1,3.246317609442E-1)); +#61984=DIRECTION('',(-9.429903335829E-1,-3.328201177351E-1,0.E0)); +#61985=AXIS2_PLACEMENT_3D('',#61982,#61983,#61984); +#61986=CYLINDRICAL_SURFACE('',#61985,6.E-1); +#61987=ORIENTED_EDGE('',*,*,#61929,.T.); +#61988=ORIENTED_EDGE('',*,*,#61974,.T.); +#61989=ORIENTED_EDGE('',*,*,#61731,.T.); +#61990=ORIENTED_EDGE('',*,*,#61749,.T.); +#61992=ORIENTED_EDGE('',*,*,#61991,.F.); +#61994=ORIENTED_EDGE('',*,*,#61993,.F.); +#61995=EDGE_LOOP('',(#61987,#61988,#61989,#61990,#61992,#61994)); +#61996=FACE_OUTER_BOUND('',#61995,.F.); +#61998=CARTESIAN_POINT('',(-6.427549095139E1,-1.84E1,-2.371553798512E1)); +#61999=DIRECTION('',(-2.064655759688E-14,5.735764363510E-1,-8.191520442890E-1)); +#62000=DIRECTION('',(-1.445687526742E-14,-8.191520442890E-1, +-5.735764363510E-1)); +#62001=AXIS2_PLACEMENT_3D('',#61998,#61999,#62000); +#62002=SPHERICAL_SURFACE('',#62001,6.E-1); +#62003=ORIENTED_EDGE('',*,*,#61991,.T.); +#62004=ORIENTED_EDGE('',*,*,#61767,.T.); +#62006=ORIENTED_EDGE('',*,*,#62005,.T.); +#62007=EDGE_LOOP('',(#62003,#62004,#62006)); +#62008=FACE_OUTER_BOUND('',#62007,.F.); +#62010=CARTESIAN_POINT('',(-6.540854977764E1,-1.84E1,-2.371553798512E1)); +#62011=DIRECTION('',(1.E0,0.E0,0.E0)); +#62012=DIRECTION('',(0.E0,-1.E0,0.E0)); +#62013=AXIS2_PLACEMENT_3D('',#62010,#62011,#62012); +#62014=CYLINDRICAL_SURFACE('',#62013,6.E-1); +#62016=ORIENTED_EDGE('',*,*,#62015,.T.); +#62018=ORIENTED_EDGE('',*,*,#62017,.F.); +#62020=ORIENTED_EDGE('',*,*,#62019,.F.); +#62021=ORIENTED_EDGE('',*,*,#62005,.F.); +#62022=EDGE_LOOP('',(#62016,#62018,#62020,#62021)); +#62023=FACE_OUTER_BOUND('',#62022,.F.); +#62025=CARTESIAN_POINT('',(0.E0,-1.9E1,-2.07E1)); +#62026=DIRECTION('',(0.E0,-1.E0,0.E0)); +#62027=DIRECTION('',(1.E0,0.E0,0.E0)); +#62028=AXIS2_PLACEMENT_3D('',#62025,#62026,#62027); +#62029=PLANE('',#62028); +#62030=ORIENTED_EDGE('',*,*,#61765,.F.); +#62031=ORIENTED_EDGE('',*,*,#61792,.F.); +#62032=ORIENTED_EDGE('',*,*,#61820,.F.); +#62033=ORIENTED_EDGE('',*,*,#62015,.F.); +#62034=EDGE_LOOP('',(#62030,#62031,#62032,#62033)); +#62035=FACE_OUTER_BOUND('',#62034,.F.); +#62037=CARTESIAN_POINT('',(0.E0,-1.9E1,-2.07E1)); +#62038=DIRECTION('',(0.E0,-1.E0,0.E0)); +#62039=DIRECTION('',(1.E0,0.E0,0.E0)); +#62040=AXIS2_PLACEMENT_3D('',#62037,#62038,#62039); +#62041=PLANE('',#62040); +#62043=ORIENTED_EDGE('',*,*,#62042,.F.); +#62045=ORIENTED_EDGE('',*,*,#62044,.F.); +#62047=ORIENTED_EDGE('',*,*,#62046,.F.); +#62049=ORIENTED_EDGE('',*,*,#62048,.F.); +#62050=EDGE_LOOP('',(#62043,#62045,#62047,#62049)); +#62051=FACE_OUTER_BOUND('',#62050,.F.); +#62053=CARTESIAN_POINT('',(6.427549095139E1,-1.84E1,-2.470636965517E1)); +#62054=DIRECTION('',(0.E0,0.E0,1.E0)); +#62055=DIRECTION('',(0.E0,-1.E0,0.E0)); +#62056=AXIS2_PLACEMENT_3D('',#62053,#62054,#62055); +#62057=CYLINDRICAL_SURFACE('',#62056,6.E-1); +#62059=ORIENTED_EDGE('',*,*,#62058,.F.); +#62061=ORIENTED_EDGE('',*,*,#62060,.F.); +#62062=ORIENTED_EDGE('',*,*,#62042,.T.); +#62064=ORIENTED_EDGE('',*,*,#62063,.F.); +#62065=EDGE_LOOP('',(#62059,#62061,#62062,#62064)); +#62066=FACE_OUTER_BOUND('',#62065,.F.); +#62068=CARTESIAN_POINT('',(6.47E1,-1.9E1,-2.49E1)); +#62069=DIRECTION('',(-9.429903335829E-1,3.328201177351E-1,0.E0)); +#62070=DIRECTION('',(3.328201177351E-1,9.429903335829E-1,0.E0)); +#62071=AXIS2_PLACEMENT_3D('',#62068,#62069,#62070); +#62072=PLANE('',#62071); +#62074=ORIENTED_EDGE('',*,*,#62073,.F.); +#62075=ORIENTED_EDGE('',*,*,#62058,.T.); +#62077=ORIENTED_EDGE('',*,*,#62076,.F.); +#62079=ORIENTED_EDGE('',*,*,#62078,.F.); +#62081=ORIENTED_EDGE('',*,*,#62080,.F.); +#62082=EDGE_LOOP('',(#62074,#62075,#62077,#62079,#62081)); +#62083=FACE_OUTER_BOUND('',#62082,.F.); +#62085=CARTESIAN_POINT('',(6.412035472788E1,-1.883955263329E1, +-2.355555391021E1)); +#62086=DIRECTION('',(3.147947409995E-1,8.919184328319E-1,-3.246317609442E-1)); +#62087=DIRECTION('',(9.429903335829E-1,-3.328201177351E-1,0.E0)); +#62088=AXIS2_PLACEMENT_3D('',#62085,#62086,#62087); +#62089=CYLINDRICAL_SURFACE('',#62088,6.E-1); +#62091=ORIENTED_EDGE('',*,*,#62090,.T.); +#62093=ORIENTED_EDGE('',*,*,#62092,.T.); +#62095=ORIENTED_EDGE('',*,*,#62094,.T.); +#62097=ORIENTED_EDGE('',*,*,#62096,.F.); +#62099=ORIENTED_EDGE('',*,*,#62098,.F.); +#62100=ORIENTED_EDGE('',*,*,#62073,.T.); +#62101=EDGE_LOOP('',(#62091,#62093,#62095,#62097,#62099,#62100)); +#62102=FACE_OUTER_BOUND('',#62101,.F.); +#62104=CARTESIAN_POINT('',(6.41E1,-1.35E1,-2.35E1)); +#62105=DIRECTION('',(0.E0,-1.E0,0.E0)); +#62106=DIRECTION('',(1.E0,0.E0,0.E0)); +#62107=AXIS2_PLACEMENT_3D('',#62104,#62105,#62106); +#62108=CYLINDRICAL_SURFACE('',#62107,1.8E0); +#62109=ORIENTED_EDGE('',*,*,#62090,.F.); +#62110=ORIENTED_EDGE('',*,*,#62080,.T.); +#62112=ORIENTED_EDGE('',*,*,#62111,.F.); +#62114=ORIENTED_EDGE('',*,*,#62113,.T.); +#62115=EDGE_LOOP('',(#62109,#62110,#62112,#62114)); +#62116=FACE_OUTER_BOUND('',#62115,.F.); +#62118=CARTESIAN_POINT('',(6.41E1,-1.35E1,-2.35E1)); +#62119=DIRECTION('',(0.E0,-1.E0,0.E0)); +#62120=DIRECTION('',(1.E0,0.E0,0.E0)); +#62121=AXIS2_PLACEMENT_3D('',#62118,#62119,#62120); +#62122=CYLINDRICAL_SURFACE('',#62121,1.8E0); +#62124=ORIENTED_EDGE('',*,*,#62123,.F.); +#62126=ORIENTED_EDGE('',*,*,#62125,.F.); +#62128=ORIENTED_EDGE('',*,*,#62127,.F.); +#62129=ORIENTED_EDGE('',*,*,#62078,.T.); +#62130=EDGE_LOOP('',(#62124,#62126,#62128,#62129)); +#62131=FACE_OUTER_BOUND('',#62130,.F.); +#62133=CARTESIAN_POINT('',(6.59E1,-1.56E1,-2.49E1)); +#62134=DIRECTION('',(-3.846153846154E-1,-9.230769230769E-1,0.E0)); +#62135=DIRECTION('',(-9.230769230769E-1,3.846153846154E-1,0.E0)); +#62136=AXIS2_PLACEMENT_3D('',#62133,#62134,#62135); +#62137=PLANE('',#62136); +#62139=ORIENTED_EDGE('',*,*,#62138,.F.); +#62140=ORIENTED_EDGE('',*,*,#62111,.T.); +#62141=ORIENTED_EDGE('',*,*,#62127,.T.); +#62143=ORIENTED_EDGE('',*,*,#62142,.T.); +#62145=ORIENTED_EDGE('',*,*,#62144,.F.); +#62146=EDGE_LOOP('',(#62139,#62140,#62141,#62143,#62145)); +#62147=FACE_OUTER_BOUND('',#62146,.F.); +#62149=CARTESIAN_POINT('',(6.47E1,-1.35E1,-2.465E1)); +#62150=DIRECTION('',(0.E0,0.E0,-1.E0)); +#62151=DIRECTION('',(1.E0,0.E0,0.E0)); +#62152=AXIS2_PLACEMENT_3D('',#62149,#62150,#62151); +#62153=PLANE('',#62152); +#62154=ORIENTED_EDGE('',*,*,#62092,.F.); +#62155=ORIENTED_EDGE('',*,*,#62113,.F.); +#62156=ORIENTED_EDGE('',*,*,#62138,.T.); +#62158=ORIENTED_EDGE('',*,*,#62157,.T.); +#62159=EDGE_LOOP('',(#62154,#62155,#62156,#62158)); +#62160=FACE_OUTER_BOUND('',#62159,.F.); +#62162=CARTESIAN_POINT('',(6.47E1,-1.E0,-2.49E1)); +#62163=DIRECTION('',(1.E0,0.E0,0.E0)); +#62164=DIRECTION('',(0.E0,0.E0,1.E0)); +#62165=AXIS2_PLACEMENT_3D('',#62162,#62163,#62164); +#62166=PLANE('',#62165); +#62167=ORIENTED_EDGE('',*,*,#62094,.F.); +#62168=ORIENTED_EDGE('',*,*,#62157,.F.); +#62169=ORIENTED_EDGE('',*,*,#62144,.T.); +#62171=ORIENTED_EDGE('',*,*,#62170,.T.); +#62173=ORIENTED_EDGE('',*,*,#62172,.F.); +#62174=ORIENTED_EDGE('',*,*,#61683,.T.); +#62176=ORIENTED_EDGE('',*,*,#62175,.T.); +#62178=ORIENTED_EDGE('',*,*,#62177,.F.); +#62180=ORIENTED_EDGE('',*,*,#62179,.F.); +#62182=ORIENTED_EDGE('',*,*,#62181,.T.); +#62183=EDGE_LOOP('',(#62167,#62168,#62169,#62171,#62173,#62174,#62176,#62178, +#62180,#62182)); +#62184=FACE_OUTER_BOUND('',#62183,.F.); +#62186=CARTESIAN_POINT('',(6.548473824241E1,-1.35E1,-2.235E1)); +#62187=DIRECTION('',(0.E0,0.E0,1.E0)); +#62188=DIRECTION('',(-1.E0,0.E0,0.E0)); +#62189=AXIS2_PLACEMENT_3D('',#62186,#62187,#62188); +#62190=PLANE('',#62189); +#62192=ORIENTED_EDGE('',*,*,#62191,.F.); +#62193=ORIENTED_EDGE('',*,*,#62170,.F.); +#62194=ORIENTED_EDGE('',*,*,#62142,.F.); +#62195=ORIENTED_EDGE('',*,*,#62125,.T.); +#62196=EDGE_LOOP('',(#62192,#62193,#62194,#62195)); +#62197=FACE_OUTER_BOUND('',#62196,.F.); +#62199=CARTESIAN_POINT('',(6.521840413604E1,-1.572841264348E1, +-2.231208373887E1)); +#62200=DIRECTION('',(-3.147947409995E-1,-8.919184328319E-1,-3.246317609442E-1)); +#62201=DIRECTION('',(9.429903335829E-1,-3.328201177351E-1,0.E0)); +#62202=AXIS2_PLACEMENT_3D('',#62199,#62200,#62201); +#62203=CYLINDRICAL_SURFACE('',#62202,6.E-1); +#62204=ORIENTED_EDGE('',*,*,#62172,.T.); +#62205=ORIENTED_EDGE('',*,*,#62191,.T.); +#62206=ORIENTED_EDGE('',*,*,#62123,.T.); +#62207=ORIENTED_EDGE('',*,*,#62076,.T.); +#62209=ORIENTED_EDGE('',*,*,#62208,.F.); +#62210=ORIENTED_EDGE('',*,*,#61685,.F.); +#62211=EDGE_LOOP('',(#62204,#62205,#62206,#62207,#62209,#62210)); +#62212=FACE_OUTER_BOUND('',#62211,.F.); +#62214=CARTESIAN_POINT('',(6.427549095139E1,-1.84E1,-2.328446201488E1)); +#62215=DIRECTION('',(0.E0,5.735764363510E-1,8.191520442890E-1)); +#62216=DIRECTION('',(0.E0,-8.191520442890E-1,5.735764363510E-1)); +#62217=AXIS2_PLACEMENT_3D('',#62214,#62215,#62216); +#62218=SPHERICAL_SURFACE('',#62217,6.E-1); +#62220=ORIENTED_EDGE('',*,*,#62219,.T.); +#62221=ORIENTED_EDGE('',*,*,#62208,.T.); +#62222=ORIENTED_EDGE('',*,*,#62063,.T.); +#62223=EDGE_LOOP('',(#62220,#62221,#62222)); +#62224=FACE_OUTER_BOUND('',#62223,.F.); +#62226=CARTESIAN_POINT('',(6.538091339649E1,-1.84E1,-2.328446201488E1)); +#62227=DIRECTION('',(-1.E0,0.E0,0.E0)); +#62228=DIRECTION('',(0.E0,-1.E0,0.E0)); +#62229=AXIS2_PLACEMENT_3D('',#62226,#62227,#62228); +#62230=CYLINDRICAL_SURFACE('',#62229,6.E-1); +#62231=ORIENTED_EDGE('',*,*,#62048,.T.); +#62233=ORIENTED_EDGE('',*,*,#62232,.F.); +#62234=ORIENTED_EDGE('',*,*,#61687,.F.); +#62235=ORIENTED_EDGE('',*,*,#62219,.F.); +#62236=EDGE_LOOP('',(#62231,#62233,#62234,#62235)); +#62237=FACE_OUTER_BOUND('',#62236,.F.); +#62239=CARTESIAN_POINT('',(6.351913460711E1,-1.84E1,-2.328446201488E1)); +#62240=DIRECTION('',(7.619676183813E-1,-2.647487090425E-1,5.910274694113E-1)); +#62241=DIRECTION('',(-6.447269327008E-1,-2.240129619682E-1,7.308524988809E-1)); +#62242=AXIS2_PLACEMENT_3D('',#62239,#62240,#62241); +#62243=SPHERICAL_SURFACE('',#62242,6.E-1); +#62245=ORIENTED_EDGE('',*,*,#62244,.T.); +#62246=ORIENTED_EDGE('',*,*,#61647,.T.); +#62247=ORIENTED_EDGE('',*,*,#62232,.T.); +#62248=EDGE_LOOP('',(#62245,#62246,#62247)); +#62249=FACE_OUTER_BOUND('',#62248,.F.); +#62251=CARTESIAN_POINT('',(6.472350973684E1,-1.84E1,-2.35E1)); +#62252=DIRECTION('',(0.E0,-1.E0,0.E0)); +#62253=DIRECTION('',(-8.355084646436E-1,0.E0,5.494775750736E-1)); +#62254=AXIS2_PLACEMENT_3D('',#62251,#62252,#62253); +#62255=TOROIDAL_SURFACE('',#62254,1.223509736837E0,6.E-1); +#62257=ORIENTED_EDGE('',*,*,#62256,.F.); +#62258=ORIENTED_EDGE('',*,*,#62244,.F.); +#62259=ORIENTED_EDGE('',*,*,#62046,.T.); +#62261=ORIENTED_EDGE('',*,*,#62260,.F.); +#62262=EDGE_LOOP('',(#62257,#62258,#62259,#62261)); +#62263=FACE_OUTER_BOUND('',#62262,.F.); +#62265=CARTESIAN_POINT('',(6.472350973684E1,-1.35E1,-2.35E1)); +#62266=DIRECTION('',(0.E0,-1.E0,0.E0)); +#62267=DIRECTION('',(1.E0,0.E0,0.E0)); +#62268=AXIS2_PLACEMENT_3D('',#62265,#62266,#62267); +#62269=CYLINDRICAL_SURFACE('',#62268,1.823509736837E0); +#62270=ORIENTED_EDGE('',*,*,#61649,.F.); +#62271=ORIENTED_EDGE('',*,*,#62256,.T.); +#62273=ORIENTED_EDGE('',*,*,#62272,.F.); +#62275=ORIENTED_EDGE('',*,*,#62274,.F.); +#62277=ORIENTED_EDGE('',*,*,#62276,.F.); +#62278=ORIENTED_EDGE('',*,*,#61599,.T.); +#62279=EDGE_LOOP('',(#62270,#62271,#62273,#62275,#62277,#62278)); +#62280=FACE_OUTER_BOUND('',#62279,.F.); +#62282=CARTESIAN_POINT('',(6.339806544335E1,-1.620137078945E1, +-2.459188559635E1)); +#62283=CARTESIAN_POINT('',(6.335107382393E1,-1.630716506602E1, +-2.455337962872E1)); +#62284=CARTESIAN_POINT('',(6.325947125946E1,-1.654353256395E1, +-2.446734889512E1)); +#62285=CARTESIAN_POINT('',(6.314412433205E1,-1.692875850532E1, +-2.432713811900E1)); +#62286=CARTESIAN_POINT('',(6.304376044678E1,-1.737948094984E1, +-2.416308856528E1)); +#62287=CARTESIAN_POINT('',(6.296664774233E1,-1.788005808224E1, +-2.398089338913E1)); +#62288=CARTESIAN_POINT('',(6.293446934623E1,-1.823149920278E1, +-2.385297928216E1)); +#62289=CARTESIAN_POINT('',(6.292314588423E1,-1.841181128430E1, +-2.378735105161E1)); +#62290=CARTESIAN_POINT('',(6.343453939088E1,-1.632825755490E1, +-2.489600991469E1)); +#62291=CARTESIAN_POINT('',(6.338801438451E1,-1.643300230584E1, +-2.485788594315E1)); +#62292=CARTESIAN_POINT('',(6.329717415107E1,-1.666736724260E1, +-2.477258408222E1)); +#62293=CARTESIAN_POINT('',(6.318256974555E1,-1.705007247845E1, +-2.463329076787E1)); +#62294=CARTESIAN_POINT('',(6.308272044128E1,-1.749844455297E1, +-2.447009667887E1)); +#62295=CARTESIAN_POINT('',(6.300593701258E1,-1.799684766658E1, +-2.428869278085E1)); +#62296=CARTESIAN_POINT('',(6.297387997771E1,-1.834694318232E1, +-2.416126843397E1)); +#62297=CARTESIAN_POINT('',(6.296259842975E1,-1.852658674481E1, +-2.409588352444E1)); +#62298=CARTESIAN_POINT('',(6.366812362725E1,-1.649308348358E1, +-2.506391835311E1)); +#62299=CARTESIAN_POINT('',(6.362458687585E1,-1.659110692627E1, +-2.502824073771E1)); +#62300=CARTESIAN_POINT('',(6.353862871644E1,-1.681264718261E1, +-2.494760667871E1)); +#62301=CARTESIAN_POINT('',(6.342877952443E1,-1.717920946942E1, +-2.481418891730E1)); +#62302=CARTESIAN_POINT('',(6.333222566849E1,-1.761252944738E1, +-2.465647334341E1)); +#62303=CARTESIAN_POINT('',(6.325755096754E1,-1.809700984208E1, +-2.448013690066E1)); +#62304=CARTESIAN_POINT('',(6.322627114688E1,-1.843848791745E1, +-2.435584904557E1)); +#62305=CARTESIAN_POINT('',(6.321525802226E1,-1.861385019103E1, +-2.429202239777E1)); +#62306=CARTESIAN_POINT('',(6.397376968032E1,-1.660760961349E1, +-2.500572173923E1)); +#62307=CARTESIAN_POINT('',(6.393414307437E1,-1.669683819328E1, +-2.497324519214E1)); +#62308=CARTESIAN_POINT('',(6.385457313138E1,-1.690159729600E1, +-2.489871897356E1)); +#62309=CARTESIAN_POINT('',(6.375094615824E1,-1.724703645938E1, +-2.477298940034E1)); +#62310=CARTESIAN_POINT('',(6.365870441169E1,-1.766066069940E1, +-2.462244248880E1)); +#62311=CARTESIAN_POINT('',(6.358678899073E1,-1.812692315206E1, +-2.445273683468E1)); +#62312=CARTESIAN_POINT('',(6.355652615846E1,-1.845712526685E1, +-2.433255309360E1)); +#62313=CARTESIAN_POINT('',(6.354586426702E1,-1.862688545410E1, +-2.427076543848E1)); +#62314=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#62282,#62283,#62284,#62285, +#62286,#62287,#62288,#62289),(#62290,#62291,#62292,#62293,#62294,#62295,#62296, +#62297),(#62298,#62299,#62300,#62301,#62302,#62303,#62304,#62305),(#62306, +#62307,#62308,#62309,#62310,#62311,#62312,#62313)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4,1,1,1,1,4),(0.E0,1.E0),(1.014610160466E-2, +1.193504215830E-1,2.544075398977E-1,4.089250481896E-1,5.859412023366E-1, +7.723632574514E-1),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.154516561483E0,1.154516561483E0,1.154516561483E0,1.154516561483E0, +1.154516561483E0,1.154516561483E0,1.154516561483E0,1.154516561483E0),( +9.484944795057E-1,9.484944795057E-1,9.484944795057E-1,9.484944795057E-1, +9.484944795057E-1,9.484944795057E-1,9.484944795057E-1,9.484944795057E-1),( +9.484944795057E-1,9.484944795057E-1,9.484944795057E-1,9.484944795057E-1, +9.484944795057E-1,9.484944795057E-1,9.484944795057E-1,9.484944795057E-1),( +1.154516561483E0,1.154516561483E0,1.154516561483E0,1.154516561483E0, +1.154516561483E0,1.154516561483E0,1.154516561483E0,1.154516561483E0)))REPRESENTATION_ITEM('')SURFACE()); +#62316=ORIENTED_EDGE('',*,*,#62315,.T.); +#62317=ORIENTED_EDGE('',*,*,#62272,.T.); +#62319=ORIENTED_EDGE('',*,*,#62318,.F.); +#62321=ORIENTED_EDGE('',*,*,#62320,.F.); +#62322=EDGE_LOOP('',(#62316,#62317,#62319,#62321)); +#62323=FACE_OUTER_BOUND('',#62322,.F.); +#62325=CARTESIAN_POINT('',(6.29E1,-1.E0,-2.49E1)); +#62326=DIRECTION('',(0.E0,0.E0,-1.E0)); +#62327=DIRECTION('',(1.E0,0.E0,0.E0)); +#62328=AXIS2_PLACEMENT_3D('',#62325,#62326,#62327); +#62329=PLANE('',#62328); +#62330=ORIENTED_EDGE('',*,*,#62315,.F.); +#62332=ORIENTED_EDGE('',*,*,#62331,.T.); +#62334=ORIENTED_EDGE('',*,*,#62333,.T.); +#62335=ORIENTED_EDGE('',*,*,#61555,.F.); +#62337=ORIENTED_EDGE('',*,*,#62336,.T.); +#62338=ORIENTED_EDGE('',*,*,#62274,.T.); +#62339=EDGE_LOOP('',(#62330,#62332,#62334,#62335,#62337,#62338)); +#62340=FACE_OUTER_BOUND('',#62339,.F.); +#62342=CARTESIAN_POINT('',(-8.6326692E1,-1.69E1,-2.49E1)); +#62343=DIRECTION('',(0.E0,3.420201433257E-1,9.396926207859E-1)); +#62344=DIRECTION('',(0.E0,-9.396926207859E-1,3.420201433257E-1)); +#62345=AXIS2_PLACEMENT_3D('',#62342,#62343,#62344); +#62346=PLANE('',#62345); +#62348=ORIENTED_EDGE('',*,*,#62347,.T.); +#62350=ORIENTED_EDGE('',*,*,#62349,.F.); +#62352=ORIENTED_EDGE('',*,*,#62351,.F.); +#62353=ORIENTED_EDGE('',*,*,#61931,.T.); +#62354=ORIENTED_EDGE('',*,*,#61993,.T.); +#62355=ORIENTED_EDGE('',*,*,#62019,.T.); +#62356=EDGE_LOOP('',(#62348,#62350,#62352,#62353,#62354,#62355)); +#62357=FACE_OUTER_BOUND('',#62356,.F.); +#62359=CARTESIAN_POINT('',(-8.6326692E1,-1.69E1,-2.49E1)); +#62360=DIRECTION('',(0.E0,3.420201433257E-1,9.396926207859E-1)); +#62361=DIRECTION('',(0.E0,-9.396926207859E-1,3.420201433257E-1)); +#62362=AXIS2_PLACEMENT_3D('',#62359,#62360,#62361); +#62363=PLANE('',#62362); +#62364=ORIENTED_EDGE('',*,*,#62096,.T.); +#62365=ORIENTED_EDGE('',*,*,#62181,.F.); +#62367=ORIENTED_EDGE('',*,*,#62366,.F.); +#62368=ORIENTED_EDGE('',*,*,#62331,.F.); +#62369=ORIENTED_EDGE('',*,*,#62320,.T.); +#62371=ORIENTED_EDGE('',*,*,#62370,.T.); +#62372=EDGE_LOOP('',(#62364,#62365,#62367,#62368,#62369,#62371)); +#62373=FACE_OUTER_BOUND('',#62372,.F.); +#62375=CARTESIAN_POINT('',(-6.292314594478E1,-1.841181117391E1, +-2.378735109979E1)); +#62376=CARTESIAN_POINT('',(-6.293453061628E1,-1.823052658312E1, +-2.385333329476E1)); +#62377=CARTESIAN_POINT('',(-6.296687486691E1,-1.787785661459E1, +-2.398169466583E1)); +#62378=CARTESIAN_POINT('',(-6.304441656194E1,-1.737585791236E1, +-2.416440725108E1)); +#62379=CARTESIAN_POINT('',(-6.314519134705E1,-1.692455898388E1, +-2.432866662781E1)); +#62380=CARTESIAN_POINT('',(-6.326052524897E1,-1.654056468983E1, +-2.446842912097E1)); +#62381=CARTESIAN_POINT('',(-6.335175478328E1,-1.630562655827E1, +-2.455393960775E1)); +#62382=CARTESIAN_POINT('',(-6.339805582080E1,-1.620139063871E1, +-2.459187837981E1)); +#62383=CARTESIAN_POINT('',(-6.296259850492E1,-1.852658666956E1, +-2.409588366035E1)); +#62384=CARTESIAN_POINT('',(-6.297394103452E1,-1.834597420449E1, +-2.416162122157E1)); +#62385=CARTESIAN_POINT('',(-6.300616330737E1,-1.799465474810E1, +-2.428949104642E1)); +#62386=CARTESIAN_POINT('',(-6.308337359974E1,-1.749483834336E1, +-2.447140934034E1)); +#62387=CARTESIAN_POINT('',(-6.318363083009E1,-1.704589692802E1, +-2.463481065245E1)); +#62388=CARTESIAN_POINT('',(-6.329822033645E1,-1.666442215758E1, +-2.477365611401E1)); +#62389=CARTESIAN_POINT('',(-6.338868870941E1,-1.643147901998E1, +-2.485844048238E1)); +#62390=CARTESIAN_POINT('',(-6.343452990914E1,-1.632827721897E1, +-2.489600286607E1)); +#62391=CARTESIAN_POINT('',(-6.321525820501E1,-1.861385013937E1, +-2.429202253970E1)); +#62392=CARTESIAN_POINT('',(-6.322633085207E1,-1.843754206117E1, +-2.435619343223E1)); +#62393=CARTESIAN_POINT('',(-6.325777196221E1,-1.809487147297E1, +-2.448091532649E1)); +#62394=CARTESIAN_POINT('',(-6.333285990570E1,-1.760903080587E1, +-2.465774686791E1)); +#62395=CARTESIAN_POINT('',(-6.342980264315E1,-1.717518723370E1, +-2.481565301451E1)); +#62396=CARTESIAN_POINT('',(-6.353962493642E1,-1.680984784397E1, +-2.494862567778E1)); +#62397=CARTESIAN_POINT('',(-6.362521872591E1,-1.658968092604E1, +-2.502875988247E1)); +#62398=CARTESIAN_POINT('',(-6.366811506027E1,-1.649310176452E1, +-2.506391182252E1)); +#62399=CARTESIAN_POINT('',(-6.354586453177E1,-1.862688538080E1, +-2.427076545419E1)); +#62400=CARTESIAN_POINT('',(-6.355658403633E1,-1.845620961172E1, +-2.433288635385E1)); +#62401=CARTESIAN_POINT('',(-6.358700299164E1,-1.812485610553E1, +-2.445348916712E1)); +#62402=CARTESIAN_POINT('',(-6.365931383229E1,-1.765730275249E1, +-2.462366467056E1)); +#62403=CARTESIAN_POINT('',(-6.375191954124E1,-1.724321477437E1, +-2.477438036896E1)); +#62404=CARTESIAN_POINT('',(-6.385550391525E1,-1.689898860114E1, +-2.489966844987E1)); +#62405=CARTESIAN_POINT('',(-6.393471929087E1,-1.669553942275E1, +-2.497371789499E1)); +#62406=CARTESIAN_POINT('',(-6.397376225597E1,-1.660762601407E1, +-2.500571575894E1)); +#62407=(BOUNDED_SURFACE()B_SPLINE_SURFACE(3,3,((#62375,#62376,#62377,#62378, +#62379,#62380,#62381,#62382),(#62383,#62384,#62385,#62386,#62387,#62388,#62389, +#62390),(#62391,#62392,#62393,#62394,#62395,#62396,#62397,#62398),(#62399, +#62400,#62401,#62402,#62403,#62404,#62405,#62406)),.UNSPECIFIED.,.F.,.F.,.F.)B_SPLINE_SURFACE_WITH_KNOTS((4,4),(4,1,1,1,1,4),(0.E0,1.E0),(2.272600900620E-1, +4.143774777789E-1,5.913658879431E-1,7.458293124441E-1,8.807934351449E-1, +9.882086374673E-1),.UNSPECIFIED.)GEOMETRIC_REPRESENTATION_ITEM()RATIONAL_B_SPLINE_SURFACE(((1.154516641888E0,1.154516641888E0,1.154516641888E0,1.154516641888E0, +1.154516641888E0,1.154516641888E0,1.154516641888E0,1.154516641888E0),( +9.484944527042E-1,9.484944527042E-1,9.484944527042E-1,9.484944527042E-1, +9.484944527042E-1,9.484944527042E-1,9.484944527042E-1,9.484944527042E-1),( +9.484944527042E-1,9.484944527042E-1,9.484944527042E-1,9.484944527042E-1, +9.484944527042E-1,9.484944527042E-1,9.484944527042E-1,9.484944527042E-1),( +1.154516641888E0,1.154516641888E0,1.154516641888E0,1.154516641888E0, +1.154516641888E0,1.154516641888E0,1.154516641888E0,1.154516641888E0)))REPRESENTATION_ITEM('')SURFACE()); +#62409=ORIENTED_EDGE('',*,*,#62408,.T.); +#62410=ORIENTED_EDGE('',*,*,#62347,.F.); +#62412=ORIENTED_EDGE('',*,*,#62411,.F.); +#62413=ORIENTED_EDGE('',*,*,#61839,.T.); +#62414=EDGE_LOOP('',(#62409,#62410,#62412,#62413)); +#62415=FACE_OUTER_BOUND('',#62414,.F.); +#62417=CARTESIAN_POINT('',(-6.47E1,-1.E0,-2.49E1)); +#62418=DIRECTION('',(0.E0,0.E0,-1.E0)); +#62419=DIRECTION('',(1.E0,0.E0,0.E0)); +#62420=AXIS2_PLACEMENT_3D('',#62417,#62418,#62419); +#62421=PLANE('',#62420); +#62422=ORIENTED_EDGE('',*,*,#62408,.F.); +#62423=ORIENTED_EDGE('',*,*,#61837,.F.); +#62425=ORIENTED_EDGE('',*,*,#62424,.T.); +#62427=ORIENTED_EDGE('',*,*,#62426,.F.); +#62429=ORIENTED_EDGE('',*,*,#62428,.T.); +#62430=ORIENTED_EDGE('',*,*,#62349,.T.); +#62431=EDGE_LOOP('',(#62422,#62423,#62425,#62427,#62429,#62430)); +#62432=FACE_OUTER_BOUND('',#62431,.F.); +#62434=CARTESIAN_POINT('',(-6.355509724104E1,-1.35E1,-2.49E1)); +#62435=DIRECTION('',(-9.986295347546E-1,5.233595624294E-2,0.E0)); +#62436=DIRECTION('',(5.233595624294E-2,9.986295347546E-1,0.E0)); +#62437=AXIS2_PLACEMENT_3D('',#62434,#62435,#62436); +#62438=PLANE('',#62437); +#62440=ORIENTED_EDGE('',*,*,#62439,.F.); +#62441=ORIENTED_EDGE('',*,*,#62424,.F.); +#62443=ORIENTED_EDGE('',*,*,#62442,.F.); +#62444=ORIENTED_EDGE('',*,*,#61897,.T.); +#62446=ORIENTED_EDGE('',*,*,#62445,.F.); +#62448=ORIENTED_EDGE('',*,*,#62447,.F.); +#62449=EDGE_LOOP('',(#62440,#62441,#62443,#62444,#62446,#62448)); +#62450=FACE_OUTER_BOUND('',#62449,.F.); +#62452=CARTESIAN_POINT('',(-6.590274884716E1,-1.5E0,-2.54E1)); +#62453=DIRECTION('',(1.E0,0.E0,0.E0)); +#62454=DIRECTION('',(0.E0,1.E0,0.E0)); +#62455=AXIS2_PLACEMENT_3D('',#62452,#62453,#62454); +#62456=CYLINDRICAL_SURFACE('',#62455,5.E-1); +#62457=ORIENTED_EDGE('',*,*,#62426,.T.); +#62458=ORIENTED_EDGE('',*,*,#62439,.T.); +#62460=ORIENTED_EDGE('',*,*,#62459,.T.); +#62461=ORIENTED_EDGE('',*,*,#61392,.F.); +#62463=ORIENTED_EDGE('',*,*,#62462,.F.); +#62464=EDGE_LOOP('',(#62457,#62458,#62460,#62461,#62463)); +#62465=FACE_OUTER_BOUND('',#62464,.F.); +#62467=CARTESIAN_POINT('',(-6.242551771664E1,-1.5E0,-2.934957584475E1)); +#62468=DIRECTION('',(0.E0,0.E0,1.E0)); +#62469=DIRECTION('',(0.E0,1.E0,0.E0)); +#62470=AXIS2_PLACEMENT_3D('',#62467,#62468,#62469); +#62471=CYLINDRICAL_SURFACE('',#62470,5.E-1); +#62472=ORIENTED_EDGE('',*,*,#61394,.F.); +#62473=ORIENTED_EDGE('',*,*,#62459,.F.); +#62474=ORIENTED_EDGE('',*,*,#62447,.T.); +#62476=ORIENTED_EDGE('',*,*,#62475,.T.); +#62477=EDGE_LOOP('',(#62472,#62473,#62474,#62476)); +#62478=FACE_OUTER_BOUND('',#62477,.F.); +#62480=CARTESIAN_POINT('',(-6.226202270642E1,-1.5E0,-2.16E1)); +#62481=DIRECTION('',(-1.E0,0.E0,0.E0)); +#62482=DIRECTION('',(0.E0,1.E0,0.E0)); +#62483=AXIS2_PLACEMENT_3D('',#62480,#62481,#62482); +#62484=CYLINDRICAL_SURFACE('',#62483,5.E-1); +#62485=ORIENTED_EDGE('',*,*,#62445,.T.); +#62486=ORIENTED_EDGE('',*,*,#61895,.T.); +#62488=ORIENTED_EDGE('',*,*,#62487,.F.); +#62489=ORIENTED_EDGE('',*,*,#61396,.F.); +#62490=ORIENTED_EDGE('',*,*,#62475,.F.); +#62491=EDGE_LOOP('',(#62485,#62486,#62488,#62489,#62490)); +#62492=FACE_OUTER_BOUND('',#62491,.F.); +#62494=CARTESIAN_POINT('',(-6.452E1,-1.5E0,-2.228E1)); +#62495=DIRECTION('',(0.E0,1.E0,0.E0)); +#62496=DIRECTION('',(-9.781121835748E-1,0.E0,-2.080782457214E-1)); +#62497=AXIS2_PLACEMENT_3D('',#62494,#62495,#62496); +#62498=TOROIDAL_SURFACE('',#62497,6.8E-1,5.E-1); +#62499=ORIENTED_EDGE('',*,*,#61398,.T.); +#62500=ORIENTED_EDGE('',*,*,#62487,.T.); +#62501=ORIENTED_EDGE('',*,*,#61911,.T.); +#62503=ORIENTED_EDGE('',*,*,#62502,.T.); +#62504=EDGE_LOOP('',(#62499,#62500,#62501,#62503)); +#62505=FACE_OUTER_BOUND('',#62504,.F.); +#62507=CARTESIAN_POINT('',(-6.52E1,-1.5E0,-2.065513483887E1)); +#62508=DIRECTION('',(0.E0,0.E0,-1.E0)); +#62509=DIRECTION('',(0.E0,1.E0,0.E0)); +#62510=AXIS2_PLACEMENT_3D('',#62507,#62508,#62509); +#62511=CYLINDRICAL_SURFACE('',#62510,5.E-1); +#62512=ORIENTED_EDGE('',*,*,#61400,.F.); +#62513=ORIENTED_EDGE('',*,*,#62502,.F.); +#62514=ORIENTED_EDGE('',*,*,#61935,.T.); +#62516=ORIENTED_EDGE('',*,*,#62515,.F.); +#62517=EDGE_LOOP('',(#62512,#62513,#62514,#62516)); +#62518=FACE_OUTER_BOUND('',#62517,.F.); +#62520=CARTESIAN_POINT('',(-6.452E1,-1.5E0,-2.472E1)); +#62521=DIRECTION('',(0.E0,-1.E0,0.E0)); +#62522=DIRECTION('',(1.910898633018E-1,0.E0,-9.815725465513E-1)); +#62523=AXIS2_PLACEMENT_3D('',#62520,#62521,#62522); +#62524=TOROIDAL_SURFACE('',#62523,6.8E-1,5.E-1); +#62525=ORIENTED_EDGE('',*,*,#61402,.T.); +#62526=ORIENTED_EDGE('',*,*,#62515,.T.); +#62528=ORIENTED_EDGE('',*,*,#62527,.T.); +#62529=ORIENTED_EDGE('',*,*,#62462,.T.); +#62530=EDGE_LOOP('',(#62525,#62526,#62528,#62529)); +#62531=FACE_OUTER_BOUND('',#62530,.F.); +#62533=CARTESIAN_POINT('',(-6.452E1,-9.463253219695E-1,-2.472E1)); +#62534=DIRECTION('',(0.E0,-1.E0,0.E0)); +#62535=DIRECTION('',(-1.E0,0.E0,0.E0)); +#62536=AXIS2_PLACEMENT_3D('',#62533,#62534,#62535); +#62537=CYLINDRICAL_SURFACE('',#62536,1.8E-1); +#62538=ORIENTED_EDGE('',*,*,#62351,.T.); +#62539=ORIENTED_EDGE('',*,*,#62428,.F.); +#62540=ORIENTED_EDGE('',*,*,#62527,.F.); +#62541=ORIENTED_EDGE('',*,*,#61933,.T.); +#62542=EDGE_LOOP('',(#62538,#62539,#62540,#62541)); +#62543=FACE_OUTER_BOUND('',#62542,.F.); +#62545=CARTESIAN_POINT('',(0.E0,-1.35E1,-2.07E1)); +#62546=DIRECTION('',(0.E0,-1.E0,0.E0)); +#62547=DIRECTION('',(1.E0,0.E0,0.E0)); +#62548=AXIS2_PLACEMENT_3D('',#62545,#62546,#62547); +#62549=PLANE('',#62548); +#62550=ORIENTED_EDGE('',*,*,#61835,.T.); +#62551=ORIENTED_EDGE('',*,*,#62442,.T.); +#62552=EDGE_LOOP('',(#62550,#62551)); +#62553=FACE_OUTER_BOUND('',#62552,.F.); +#62555=CARTESIAN_POINT('',(0.E0,-1.35E1,-2.07E1)); +#62556=DIRECTION('',(0.E0,-1.E0,0.E0)); +#62557=DIRECTION('',(1.E0,0.E0,0.E0)); +#62558=AXIS2_PLACEMENT_3D('',#62555,#62556,#62557); +#62559=PLANE('',#62558); +#62561=ORIENTED_EDGE('',*,*,#62560,.F.); +#62562=ORIENTED_EDGE('',*,*,#62276,.T.); +#62563=EDGE_LOOP('',(#62561,#62562)); +#62564=FACE_OUTER_BOUND('',#62563,.F.); +#62566=CARTESIAN_POINT('',(6.29E1,-1.E0,-2.49E1)); +#62567=DIRECTION('',(9.986295347546E-1,5.233595624294E-2,0.E0)); +#62568=DIRECTION('',(5.233595624294E-2,-9.986295347546E-1,0.E0)); +#62569=AXIS2_PLACEMENT_3D('',#62566,#62567,#62568); +#62570=PLANE('',#62569); +#62571=ORIENTED_EDGE('',*,*,#61553,.F.); +#62572=ORIENTED_EDGE('',*,*,#61570,.F.); +#62573=ORIENTED_EDGE('',*,*,#61583,.F.); +#62574=ORIENTED_EDGE('',*,*,#61601,.T.); +#62575=ORIENTED_EDGE('',*,*,#62560,.T.); +#62576=ORIENTED_EDGE('',*,*,#62336,.F.); +#62577=EDGE_LOOP('',(#62571,#62572,#62573,#62574,#62575,#62576)); +#62578=FACE_OUTER_BOUND('',#62577,.F.); +#62580=CARTESIAN_POINT('',(-6.351913460711E1,-1.84E1,-2.371553798512E1)); +#62581=DIRECTION('',(-7.619676183813E-1,-2.647487090425E-1,-5.910274694113E-1)); +#62582=DIRECTION('',(6.447269327008E-1,-2.240129619682E-1,-7.308524988809E-1)); +#62583=AXIS2_PLACEMENT_3D('',#62580,#62581,#62582); +#62584=SPHERICAL_SURFACE('',#62583,6.E-1); +#62585=ORIENTED_EDGE('',*,*,#62017,.T.); +#62586=ORIENTED_EDGE('',*,*,#61818,.T.); +#62587=ORIENTED_EDGE('',*,*,#62411,.T.); +#62588=EDGE_LOOP('',(#62585,#62586,#62587)); +#62589=FACE_OUTER_BOUND('',#62588,.F.); +#62591=CARTESIAN_POINT('',(6.452E1,-1.764836959556E1,-2.472E1)); +#62592=DIRECTION('',(0.E0,1.E0,0.E0)); +#62593=DIRECTION('',(1.E0,0.E0,0.E0)); +#62594=AXIS2_PLACEMENT_3D('',#62591,#62592,#62593); +#62595=CYLINDRICAL_SURFACE('',#62594,1.8E-1); +#62596=ORIENTED_EDGE('',*,*,#62366,.T.); +#62597=ORIENTED_EDGE('',*,*,#62179,.T.); +#62599=ORIENTED_EDGE('',*,*,#62598,.F.); +#62600=ORIENTED_EDGE('',*,*,#62333,.F.); +#62601=EDGE_LOOP('',(#62596,#62597,#62599,#62600)); +#62602=FACE_OUTER_BOUND('',#62601,.F.); +#62604=CARTESIAN_POINT('',(6.452E1,-1.5E0,-2.472E1)); +#62605=DIRECTION('',(0.E0,1.E0,0.E0)); +#62606=DIRECTION('',(9.781121835748E-1,0.E0,2.080782457214E-1)); +#62607=AXIS2_PLACEMENT_3D('',#62604,#62605,#62606); +#62608=TOROIDAL_SURFACE('',#62607,6.8E-1,5.E-1); +#62609=ORIENTED_EDGE('',*,*,#61507,.T.); +#62610=ORIENTED_EDGE('',*,*,#61557,.T.); +#62611=ORIENTED_EDGE('',*,*,#62598,.T.); +#62613=ORIENTED_EDGE('',*,*,#62612,.T.); +#62614=EDGE_LOOP('',(#62609,#62610,#62611,#62613)); +#62615=FACE_OUTER_BOUND('',#62614,.F.); +#62617=CARTESIAN_POINT('',(6.52E1,-1.5E0,-2.934957584475E1)); +#62618=DIRECTION('',(0.E0,0.E0,1.E0)); +#62619=DIRECTION('',(0.E0,1.E0,0.E0)); +#62620=AXIS2_PLACEMENT_3D('',#62617,#62618,#62619); +#62621=CYLINDRICAL_SURFACE('',#62620,5.E-1); +#62622=ORIENTED_EDGE('',*,*,#62177,.T.); +#62624=ORIENTED_EDGE('',*,*,#62623,.F.); +#62625=ORIENTED_EDGE('',*,*,#61509,.F.); +#62626=ORIENTED_EDGE('',*,*,#62612,.F.); +#62627=EDGE_LOOP('',(#62622,#62624,#62625,#62626)); +#62628=FACE_OUTER_BOUND('',#62627,.F.); +#62630=CARTESIAN_POINT('',(6.452E1,-1.5E0,-2.228E1)); +#62631=DIRECTION('',(0.E0,1.E0,0.E0)); +#62632=DIRECTION('',(-2.080782457214E-1,0.E0,9.781121835748E-1)); +#62633=AXIS2_PLACEMENT_3D('',#62630,#62631,#62632); +#62634=TOROIDAL_SURFACE('',#62633,6.8E-1,5.E-1); +#62635=ORIENTED_EDGE('',*,*,#61511,.T.); +#62636=ORIENTED_EDGE('',*,*,#62623,.T.); +#62638=ORIENTED_EDGE('',*,*,#62637,.T.); +#62639=ORIENTED_EDGE('',*,*,#61587,.T.); +#62640=EDGE_LOOP('',(#62635,#62636,#62638,#62639)); +#62641=FACE_OUTER_BOUND('',#62640,.F.); +#62643=CARTESIAN_POINT('',(6.452E1,-1.765726871574E1,-2.228E1)); +#62644=DIRECTION('',(0.E0,1.E0,0.E0)); +#62645=DIRECTION('',(0.E0,0.E0,1.E0)); +#62646=AXIS2_PLACEMENT_3D('',#62643,#62644,#62645); +#62647=CYLINDRICAL_SURFACE('',#62646,1.8E-1); +#62648=ORIENTED_EDGE('',*,*,#61681,.T.); +#62649=ORIENTED_EDGE('',*,*,#61604,.T.); +#62650=ORIENTED_EDGE('',*,*,#62637,.F.); +#62651=ORIENTED_EDGE('',*,*,#62175,.F.); +#62652=EDGE_LOOP('',(#62648,#62649,#62650,#62651)); +#62653=FACE_OUTER_BOUND('',#62652,.F.); +#62655=CARTESIAN_POINT('',(-6.540854977764E1,-1.84E1,-2.371553798512E1)); +#62656=DIRECTION('',(1.E0,0.E0,0.E0)); +#62657=DIRECTION('',(0.E0,-1.E0,0.E0)); +#62658=AXIS2_PLACEMENT_3D('',#62655,#62656,#62657); +#62659=CYLINDRICAL_SURFACE('',#62658,6.E-1); +#62660=ORIENTED_EDGE('',*,*,#62370,.F.); +#62662=ORIENTED_EDGE('',*,*,#62661,.F.); +#62663=ORIENTED_EDGE('',*,*,#62044,.T.); +#62665=ORIENTED_EDGE('',*,*,#62664,.F.); +#62666=EDGE_LOOP('',(#62660,#62662,#62663,#62665)); +#62667=FACE_OUTER_BOUND('',#62666,.F.); +#62669=CARTESIAN_POINT('',(6.351913460711E1,-1.84E1,-2.371553798512E1)); +#62670=DIRECTION('',(1.032327879844E-14,5.735764363510E-1,-8.191520442890E-1)); +#62671=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#62672=AXIS2_PLACEMENT_3D('',#62669,#62670,#62671); +#62673=SPHERICAL_SURFACE('',#62672,6.E-1); +#62674=ORIENTED_EDGE('',*,*,#62661,.T.); +#62675=ORIENTED_EDGE('',*,*,#62318,.T.); +#62676=ORIENTED_EDGE('',*,*,#62260,.T.); +#62677=EDGE_LOOP('',(#62674,#62675,#62676)); +#62678=FACE_OUTER_BOUND('',#62677,.F.); +#62680=CARTESIAN_POINT('',(6.427549095139E1,-1.84E1,-2.371553798512E1)); +#62681=DIRECTION('',(-7.083273505996E-1,-6.910600798242E-3,-7.058502730673E-1)); +#62682=DIRECTION('',(6.318042698745E-1,-4.521435090447E-1,-6.295947996903E-1)); +#62683=AXIS2_PLACEMENT_3D('',#62680,#62681,#62682); +#62684=SPHERICAL_SURFACE('',#62683,6.E-1); +#62685=ORIENTED_EDGE('',*,*,#62664,.T.); +#62686=ORIENTED_EDGE('',*,*,#62060,.T.); +#62687=ORIENTED_EDGE('',*,*,#62098,.T.); +#62688=EDGE_LOOP('',(#62685,#62686,#62687)); +#62689=FACE_OUTER_BOUND('',#62688,.F.); +#62691=CARTESIAN_POINT('',(-6.73E1,-1.1E1,-2.E1)); +#62692=DIRECTION('',(-1.E0,0.E0,0.E0)); +#62693=DIRECTION('',(0.E0,0.E0,-1.E0)); +#62694=AXIS2_PLACEMENT_3D('',#62691,#62692,#62693); +#62695=PLANE('',#62694); +#62697=ORIENTED_EDGE('',*,*,#62696,.T.); +#62699=ORIENTED_EDGE('',*,*,#62698,.T.); +#62700=ORIENTED_EDGE('',*,*,#61313,.T.); +#62701=ORIENTED_EDGE('',*,*,#60873,.F.); +#62702=EDGE_LOOP('',(#62697,#62699,#62700,#62701)); +#62703=FACE_OUTER_BOUND('',#62702,.F.); +#62705=CARTESIAN_POINT('',(7.193891E1,-1.1E1,-9.65E0)); +#62706=DIRECTION('',(0.E0,-1.E0,0.E0)); +#62707=DIRECTION('',(-1.E0,0.E0,0.E0)); +#62708=AXIS2_PLACEMENT_3D('',#62705,#62706,#62707); +#62709=PLANE('',#62708); +#62711=ORIENTED_EDGE('',*,*,#62710,.T.); +#62713=ORIENTED_EDGE('',*,*,#62712,.T.); +#62715=ORIENTED_EDGE('',*,*,#62714,.T.); +#62717=ORIENTED_EDGE('',*,*,#62716,.T.); +#62718=ORIENTED_EDGE('',*,*,#62696,.F.); +#62719=ORIENTED_EDGE('',*,*,#60871,.T.); +#62721=ORIENTED_EDGE('',*,*,#62720,.F.); +#62723=ORIENTED_EDGE('',*,*,#62722,.T.); +#62725=ORIENTED_EDGE('',*,*,#62724,.T.); +#62727=ORIENTED_EDGE('',*,*,#62726,.T.); +#62729=ORIENTED_EDGE('',*,*,#62728,.T.); +#62731=ORIENTED_EDGE('',*,*,#62730,.F.); +#62733=ORIENTED_EDGE('',*,*,#62732,.T.); +#62735=ORIENTED_EDGE('',*,*,#62734,.T.); +#62737=ORIENTED_EDGE('',*,*,#62736,.T.); +#62739=ORIENTED_EDGE('',*,*,#62738,.F.); +#62741=ORIENTED_EDGE('',*,*,#62740,.T.); +#62742=ORIENTED_EDGE('',*,*,#60983,.T.); +#62743=EDGE_LOOP('',(#62711,#62713,#62715,#62717,#62718,#62719,#62721,#62723, +#62725,#62727,#62729,#62731,#62733,#62735,#62737,#62739,#62741,#62742)); +#62744=FACE_OUTER_BOUND('',#62743,.F.); +#62746=CARTESIAN_POINT('',(6.73E1,-1.1E1,-2.93E1)); +#62747=DIRECTION('',(1.E0,0.E0,0.E0)); +#62748=DIRECTION('',(0.E0,0.E0,1.E0)); +#62749=AXIS2_PLACEMENT_3D('',#62746,#62747,#62748); +#62750=PLANE('',#62749); +#62751=ORIENTED_EDGE('',*,*,#62710,.F.); +#62752=ORIENTED_EDGE('',*,*,#60981,.T.); +#62753=ORIENTED_EDGE('',*,*,#61321,.T.); +#62755=ORIENTED_EDGE('',*,*,#62754,.F.); +#62756=EDGE_LOOP('',(#62751,#62752,#62753,#62755)); +#62757=FACE_OUTER_BOUND('',#62756,.F.); +#62759=CARTESIAN_POINT('',(6.73E1,-1.1E1,-2.E1)); +#62760=DIRECTION('',(1.736481776669E-1,0.E0,9.848077530122E-1)); +#62761=DIRECTION('',(-9.848077530122E-1,0.E0,1.736481776669E-1)); +#62762=AXIS2_PLACEMENT_3D('',#62759,#62760,#62761); +#62763=PLANE('',#62762); +#62764=ORIENTED_EDGE('',*,*,#62712,.F.); +#62765=ORIENTED_EDGE('',*,*,#62754,.T.); +#62766=ORIENTED_EDGE('',*,*,#61319,.T.); +#62768=ORIENTED_EDGE('',*,*,#62767,.F.); +#62769=EDGE_LOOP('',(#62764,#62765,#62766,#62768)); +#62770=FACE_OUTER_BOUND('',#62769,.F.); +#62772=CARTESIAN_POINT('',(5.595743636076E1,-1.1E1,-1.8E1)); +#62773=DIRECTION('',(0.E0,0.E0,1.E0)); +#62774=DIRECTION('',(-1.E0,0.E0,0.E0)); +#62775=AXIS2_PLACEMENT_3D('',#62772,#62773,#62774); +#62776=PLANE('',#62775); +#62777=ORIENTED_EDGE('',*,*,#62714,.F.); +#62778=ORIENTED_EDGE('',*,*,#62767,.T.); +#62779=ORIENTED_EDGE('',*,*,#61317,.T.); +#62781=ORIENTED_EDGE('',*,*,#62780,.F.); +#62782=EDGE_LOOP('',(#62777,#62778,#62779,#62781)); +#62783=FACE_OUTER_BOUND('',#62782,.F.); +#62785=CARTESIAN_POINT('',(-5.595743636076E1,-1.1E1,-1.8E1)); +#62786=DIRECTION('',(-1.736481776669E-1,0.E0,9.848077530122E-1)); +#62787=DIRECTION('',(-9.848077530122E-1,0.E0,-1.736481776669E-1)); +#62788=AXIS2_PLACEMENT_3D('',#62785,#62786,#62787); +#62789=PLANE('',#62788); +#62790=ORIENTED_EDGE('',*,*,#62716,.F.); +#62791=ORIENTED_EDGE('',*,*,#62780,.T.); +#62792=ORIENTED_EDGE('',*,*,#61315,.T.); +#62793=ORIENTED_EDGE('',*,*,#62698,.F.); +#62794=EDGE_LOOP('',(#62790,#62791,#62792,#62793)); +#62795=FACE_OUTER_BOUND('',#62794,.F.); +#62797=CARTESIAN_POINT('',(-7.193891E1,-1.1E1,-9.65E0)); +#62798=DIRECTION('',(-9.069566748341E-1,4.212239190428E-1,0.E0)); +#62799=DIRECTION('',(4.212239190428E-1,9.069566748341E-1,0.E0)); +#62800=AXIS2_PLACEMENT_3D('',#62797,#62798,#62799); +#62801=PLANE('',#62800); +#62803=ORIENTED_EDGE('',*,*,#62802,.T.); +#62805=ORIENTED_EDGE('',*,*,#62804,.T.); +#62807=ORIENTED_EDGE('',*,*,#62806,.F.); +#62809=ORIENTED_EDGE('',*,*,#62808,.F.); +#62810=ORIENTED_EDGE('',*,*,#62720,.T.); +#62811=ORIENTED_EDGE('',*,*,#60869,.T.); +#62812=ORIENTED_EDGE('',*,*,#60853,.F.); +#62814=ORIENTED_EDGE('',*,*,#62813,.F.); +#62815=EDGE_LOOP('',(#62803,#62805,#62807,#62809,#62810,#62811,#62812,#62814)); +#62816=FACE_OUTER_BOUND('',#62815,.F.); +#62818=CARTESIAN_POINT('',(-6.274909407443E1,7.6E0,-9.021724171534E1)); +#62819=DIRECTION('',(0.E0,0.E0,1.E0)); +#62820=DIRECTION('',(0.E0,1.E0,0.E0)); +#62821=AXIS2_PLACEMENT_3D('',#62818,#62819,#62820); +#62822=CYLINDRICAL_SURFACE('',#62821,5.E-1); +#62824=ORIENTED_EDGE('',*,*,#62823,.T.); +#62825=ORIENTED_EDGE('',*,*,#62802,.F.); +#62827=ORIENTED_EDGE('',*,*,#62826,.T.); +#62829=ORIENTED_EDGE('',*,*,#62828,.T.); +#62830=EDGE_LOOP('',(#62824,#62825,#62827,#62829)); +#62831=FACE_OUTER_BOUND('',#62830,.F.); +#62833=CARTESIAN_POINT('',(-6.45E1,8.1E0,-1.525E1)); +#62834=DIRECTION('',(0.E0,-3.674279466493E-1,-9.300519899560E-1)); +#62835=DIRECTION('',(0.E0,-9.300519899560E-1,3.674279466493E-1)); +#62836=AXIS2_PLACEMENT_3D('',#62833,#62834,#62835); +#62837=PLANE('',#62836); +#62838=ORIENTED_EDGE('',*,*,#62823,.F.); +#62840=ORIENTED_EDGE('',*,*,#62839,.T.); +#62842=ORIENTED_EDGE('',*,*,#62841,.T.); +#62844=ORIENTED_EDGE('',*,*,#62843,.T.); +#62846=ORIENTED_EDGE('',*,*,#62845,.F.); +#62848=ORIENTED_EDGE('',*,*,#62847,.F.); +#62849=ORIENTED_EDGE('',*,*,#62804,.F.); +#62850=EDGE_LOOP('',(#62838,#62840,#62842,#62844,#62846,#62848,#62849)); +#62851=FACE_OUTER_BOUND('',#62850,.F.); +#62853=CARTESIAN_POINT('',(-6.306817E1,8.1E0,-9.65E0)); +#62854=DIRECTION('',(0.E0,1.E0,0.E0)); +#62855=DIRECTION('',(1.E0,0.E0,0.E0)); +#62856=AXIS2_PLACEMENT_3D('',#62853,#62854,#62855); +#62857=PLANE('',#62856); +#62858=ORIENTED_EDGE('',*,*,#62828,.F.); +#62860=ORIENTED_EDGE('',*,*,#62859,.T.); +#62862=ORIENTED_EDGE('',*,*,#62861,.T.); +#62864=ORIENTED_EDGE('',*,*,#62863,.F.); +#62866=ORIENTED_EDGE('',*,*,#62865,.F.); +#62868=ORIENTED_EDGE('',*,*,#62867,.T.); +#62870=ORIENTED_EDGE('',*,*,#62869,.T.); +#62872=ORIENTED_EDGE('',*,*,#62871,.T.); +#62874=ORIENTED_EDGE('',*,*,#62873,.F.); +#62876=ORIENTED_EDGE('',*,*,#62875,.F.); +#62878=ORIENTED_EDGE('',*,*,#62877,.F.); +#62880=ORIENTED_EDGE('',*,*,#62879,.T.); +#62882=ORIENTED_EDGE('',*,*,#62881,.F.); +#62884=ORIENTED_EDGE('',*,*,#62883,.F.); +#62886=ORIENTED_EDGE('',*,*,#62885,.F.); +#62887=ORIENTED_EDGE('',*,*,#62839,.F.); +#62888=EDGE_LOOP('',(#62858,#62860,#62862,#62864,#62866,#62868,#62870,#62872, +#62874,#62876,#62878,#62880,#62882,#62884,#62886,#62887)); +#62889=FACE_OUTER_BOUND('',#62888,.F.); +#62891=CARTESIAN_POINT('',(5.75E1,9.7E0,-2.7E1)); +#62892=DIRECTION('',(0.E0,0.E0,-1.E0)); +#62893=DIRECTION('',(0.E0,-1.E0,0.E0)); +#62894=AXIS2_PLACEMENT_3D('',#62891,#62892,#62893); +#62895=PLANE('',#62894); +#62896=ORIENTED_EDGE('',*,*,#62813,.T.); +#62897=ORIENTED_EDGE('',*,*,#60851,.F.); +#62898=ORIENTED_EDGE('',*,*,#60622,.F.); +#62900=ORIENTED_EDGE('',*,*,#62899,.F.); +#62902=ORIENTED_EDGE('',*,*,#62901,.T.); +#62904=ORIENTED_EDGE('',*,*,#62903,.F.); +#62905=ORIENTED_EDGE('',*,*,#62867,.F.); +#62907=ORIENTED_EDGE('',*,*,#62906,.F.); +#62909=ORIENTED_EDGE('',*,*,#62908,.T.); +#62911=ORIENTED_EDGE('',*,*,#62910,.T.); +#62912=ORIENTED_EDGE('',*,*,#62859,.F.); +#62913=ORIENTED_EDGE('',*,*,#62826,.F.); +#62914=EDGE_LOOP('',(#62896,#62897,#62898,#62900,#62902,#62904,#62905,#62907, +#62909,#62911,#62912,#62913)); +#62915=FACE_OUTER_BOUND('',#62914,.F.); +#62917=CARTESIAN_POINT('',(6.293486873411E1,7.2E0,-9.021724171534E1)); +#62918=DIRECTION('',(0.E0,0.E0,1.E0)); +#62919=DIRECTION('',(9.069566748341E-1,4.212239190428E-1,0.E0)); +#62920=AXIS2_PLACEMENT_3D('',#62917,#62918,#62919); +#62921=CYLINDRICAL_SURFACE('',#62920,5.E-1); +#62922=ORIENTED_EDGE('',*,*,#60620,.F.); +#62923=ORIENTED_EDGE('',*,*,#60987,.T.); +#62925=ORIENTED_EDGE('',*,*,#62924,.T.); +#62926=ORIENTED_EDGE('',*,*,#62899,.T.); +#62927=EDGE_LOOP('',(#62922,#62923,#62925,#62926)); +#62928=FACE_OUTER_BOUND('',#62927,.F.); +#62930=CARTESIAN_POINT('',(6.306817E1,8.1E0,-9.65E0)); +#62931=DIRECTION('',(9.069566748341E-1,4.212239190428E-1,0.E0)); +#62932=DIRECTION('',(4.212239190428E-1,-9.069566748341E-1,0.E0)); +#62933=AXIS2_PLACEMENT_3D('',#62930,#62931,#62932); +#62934=PLANE('',#62933); +#62936=ORIENTED_EDGE('',*,*,#62935,.F.); +#62937=ORIENTED_EDGE('',*,*,#62901,.F.); +#62938=ORIENTED_EDGE('',*,*,#62924,.F.); +#62939=ORIENTED_EDGE('',*,*,#60985,.T.); +#62940=ORIENTED_EDGE('',*,*,#62740,.F.); +#62942=ORIENTED_EDGE('',*,*,#62941,.T.); +#62944=ORIENTED_EDGE('',*,*,#62943,.F.); +#62946=ORIENTED_EDGE('',*,*,#62945,.F.); +#62947=EDGE_LOOP('',(#62936,#62937,#62938,#62939,#62940,#62942,#62944,#62946)); +#62948=FACE_OUTER_BOUND('',#62947,.F.); +#62950=CARTESIAN_POINT('',(6.274909407443E1,7.6E0,-9.021724171534E1)); +#62951=DIRECTION('',(0.E0,0.E0,1.E0)); +#62952=DIRECTION('',(9.069566748341E-1,4.212239190428E-1,0.E0)); +#62953=AXIS2_PLACEMENT_3D('',#62950,#62951,#62952); +#62954=CYLINDRICAL_SURFACE('',#62953,5.E-1); +#62956=ORIENTED_EDGE('',*,*,#62955,.T.); +#62957=ORIENTED_EDGE('',*,*,#62869,.F.); +#62958=ORIENTED_EDGE('',*,*,#62903,.T.); +#62959=ORIENTED_EDGE('',*,*,#62935,.T.); +#62960=EDGE_LOOP('',(#62956,#62957,#62958,#62959)); +#62961=FACE_OUTER_BOUND('',#62960,.F.); +#62963=CARTESIAN_POINT('',(6.45E1,0.E0,-1.205E1)); +#62964=DIRECTION('',(0.E0,-3.674279466493E-1,-9.300519899560E-1)); +#62965=DIRECTION('',(0.E0,9.300519899560E-1,-3.674279466493E-1)); +#62966=AXIS2_PLACEMENT_3D('',#62963,#62964,#62965); +#62967=PLANE('',#62966); +#62968=ORIENTED_EDGE('',*,*,#62955,.F.); +#62969=ORIENTED_EDGE('',*,*,#62945,.T.); +#62971=ORIENTED_EDGE('',*,*,#62970,.T.); +#62973=ORIENTED_EDGE('',*,*,#62972,.F.); +#62975=ORIENTED_EDGE('',*,*,#62974,.T.); +#62976=ORIENTED_EDGE('',*,*,#62871,.F.); +#62977=EDGE_LOOP('',(#62968,#62969,#62971,#62973,#62975,#62976)); +#62978=FACE_OUTER_BOUND('',#62977,.F.); +#62980=CARTESIAN_POINT('',(6.45E1,-1.1E1,-1.205E1)); +#62981=DIRECTION('',(-1.E0,0.E0,0.E0)); +#62982=DIRECTION('',(0.E0,0.E0,-1.E0)); +#62983=AXIS2_PLACEMENT_3D('',#62980,#62981,#62982); +#62984=PLANE('',#62983); +#62985=ORIENTED_EDGE('',*,*,#62970,.F.); +#62986=ORIENTED_EDGE('',*,*,#62943,.T.); +#62988=ORIENTED_EDGE('',*,*,#62987,.F.); +#62989=ORIENTED_EDGE('',*,*,#62736,.F.); +#62991=ORIENTED_EDGE('',*,*,#62990,.T.); +#62992=EDGE_LOOP('',(#62985,#62986,#62988,#62989,#62991)); +#62993=FACE_OUTER_BOUND('',#62992,.F.); +#62995=CARTESIAN_POINT('',(6.45E1,-1.1E1,-1.405E1)); +#62996=DIRECTION('',(0.E0,0.E0,-1.E0)); +#62997=DIRECTION('',(1.E0,0.E0,0.E0)); +#62998=AXIS2_PLACEMENT_3D('',#62995,#62996,#62997); +#62999=PLANE('',#62998); +#63000=ORIENTED_EDGE('',*,*,#62941,.F.); +#63001=ORIENTED_EDGE('',*,*,#62738,.T.); +#63002=ORIENTED_EDGE('',*,*,#62987,.T.); +#63003=EDGE_LOOP('',(#63000,#63001,#63002)); +#63004=FACE_OUTER_BOUND('',#63003,.F.); +#63006=CARTESIAN_POINT('',(6.26E1,-1.1E1,-1.205E1)); +#63007=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63008=DIRECTION('',(1.E0,0.E0,0.E0)); +#63009=AXIS2_PLACEMENT_3D('',#63006,#63007,#63008); +#63010=PLANE('',#63009); +#63011=ORIENTED_EDGE('',*,*,#62972,.T.); +#63012=ORIENTED_EDGE('',*,*,#62990,.F.); +#63013=ORIENTED_EDGE('',*,*,#62734,.F.); +#63015=ORIENTED_EDGE('',*,*,#63014,.T.); +#63016=EDGE_LOOP('',(#63011,#63012,#63013,#63015)); +#63017=FACE_OUTER_BOUND('',#63016,.F.); +#63019=CARTESIAN_POINT('',(6.26E1,-1.1E1,-9.65E0)); +#63020=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63021=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63022=AXIS2_PLACEMENT_3D('',#63019,#63020,#63021); +#63023=PLANE('',#63022); +#63024=ORIENTED_EDGE('',*,*,#62873,.T.); +#63025=ORIENTED_EDGE('',*,*,#62974,.F.); +#63026=ORIENTED_EDGE('',*,*,#63014,.F.); +#63027=ORIENTED_EDGE('',*,*,#62732,.F.); +#63029=ORIENTED_EDGE('',*,*,#63028,.F.); +#63031=ORIENTED_EDGE('',*,*,#63030,.F.); +#63033=ORIENTED_EDGE('',*,*,#63032,.T.); +#63034=EDGE_LOOP('',(#63024,#63025,#63026,#63027,#63029,#63031,#63033)); +#63035=FACE_OUTER_BOUND('',#63034,.F.); +#63037=CARTESIAN_POINT('',(0.E0,0.E0,-1.08E1)); +#63038=DIRECTION('',(0.E0,0.E0,1.E0)); +#63039=DIRECTION('',(1.E0,0.E0,0.E0)); +#63040=AXIS2_PLACEMENT_3D('',#63037,#63038,#63039); +#63041=PLANE('',#63040); +#63042=ORIENTED_EDGE('',*,*,#62730,.T.); +#63044=ORIENTED_EDGE('',*,*,#63043,.F.); +#63046=ORIENTED_EDGE('',*,*,#63045,.F.); +#63047=ORIENTED_EDGE('',*,*,#63028,.T.); +#63048=EDGE_LOOP('',(#63042,#63044,#63046,#63047)); +#63049=FACE_OUTER_BOUND('',#63048,.F.); +#63051=CARTESIAN_POINT('',(-6.26E1,-1.1E1,-1.205E1)); +#63052=DIRECTION('',(1.E0,0.E0,0.E0)); +#63053=DIRECTION('',(0.E0,0.E0,1.E0)); +#63054=AXIS2_PLACEMENT_3D('',#63051,#63052,#63053); +#63055=PLANE('',#63054); +#63057=ORIENTED_EDGE('',*,*,#63056,.F.); +#63058=ORIENTED_EDGE('',*,*,#62841,.F.); +#63059=ORIENTED_EDGE('',*,*,#62885,.T.); +#63061=ORIENTED_EDGE('',*,*,#63060,.T.); +#63062=EDGE_LOOP('',(#63057,#63058,#63059,#63061)); +#63063=FACE_OUTER_BOUND('',#63062,.F.); +#63065=CARTESIAN_POINT('',(-6.26E1,-1.1E1,-1.205E1)); +#63066=DIRECTION('',(1.E0,0.E0,0.E0)); +#63067=DIRECTION('',(0.E0,0.E0,1.E0)); +#63068=AXIS2_PLACEMENT_3D('',#63065,#63066,#63067); +#63069=PLANE('',#63068); +#63071=ORIENTED_EDGE('',*,*,#63070,.T.); +#63073=ORIENTED_EDGE('',*,*,#63072,.T.); +#63075=ORIENTED_EDGE('',*,*,#63074,.T.); +#63076=ORIENTED_EDGE('',*,*,#63043,.T.); +#63077=ORIENTED_EDGE('',*,*,#62728,.F.); +#63079=ORIENTED_EDGE('',*,*,#63078,.T.); +#63080=EDGE_LOOP('',(#63071,#63073,#63075,#63076,#63077,#63079)); +#63081=FACE_OUTER_BOUND('',#63080,.F.); +#63083=CARTESIAN_POINT('',(-5.760000012806E1,-1.14E0,-1.765E1)); +#63084=DIRECTION('',(0.E0,0.E0,1.E0)); +#63085=DIRECTION('',(1.E0,0.E0,0.E0)); +#63086=AXIS2_PLACEMENT_3D('',#63083,#63084,#63085); +#63087=CYLINDRICAL_SURFACE('',#63086,5.28E0); +#63089=ORIENTED_EDGE('',*,*,#63088,.T.); +#63090=ORIENTED_EDGE('',*,*,#63070,.F.); +#63092=ORIENTED_EDGE('',*,*,#63091,.F.); +#63093=ORIENTED_EDGE('',*,*,#62843,.F.); +#63094=ORIENTED_EDGE('',*,*,#63056,.T.); +#63095=EDGE_LOOP('',(#63089,#63090,#63092,#63093,#63094)); +#63096=FACE_OUTER_BOUND('',#63095,.F.); +#63098=CARTESIAN_POINT('',(0.E0,0.E0,-9.65E0)); +#63099=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63100=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63101=AXIS2_PLACEMENT_3D('',#63098,#63099,#63100); +#63102=PLANE('',#63101); +#63104=ORIENTED_EDGE('',*,*,#63103,.F.); +#63106=ORIENTED_EDGE('',*,*,#63105,.F.); +#63108=ORIENTED_EDGE('',*,*,#63107,.T.); +#63109=ORIENTED_EDGE('',*,*,#62875,.T.); +#63110=ORIENTED_EDGE('',*,*,#63032,.F.); +#63112=ORIENTED_EDGE('',*,*,#63111,.F.); +#63114=ORIENTED_EDGE('',*,*,#63113,.F.); +#63116=ORIENTED_EDGE('',*,*,#63115,.T.); +#63118=ORIENTED_EDGE('',*,*,#63117,.F.); +#63120=ORIENTED_EDGE('',*,*,#63119,.F.); +#63121=EDGE_LOOP('',(#63104,#63106,#63108,#63109,#63110,#63112,#63114,#63116, +#63118,#63120)); +#63122=FACE_OUTER_BOUND('',#63121,.F.); +#63124=CARTESIAN_POINT('',(0.E0,0.E0,-9.65E0)); +#63125=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63126=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63127=AXIS2_PLACEMENT_3D('',#63124,#63125,#63126); +#63128=PLANE('',#63127); +#63130=ORIENTED_EDGE('',*,*,#63129,.F.); +#63132=ORIENTED_EDGE('',*,*,#63131,.F.); +#63134=ORIENTED_EDGE('',*,*,#63133,.F.); +#63136=ORIENTED_EDGE('',*,*,#63135,.F.); +#63138=ORIENTED_EDGE('',*,*,#63137,.F.); +#63140=ORIENTED_EDGE('',*,*,#63139,.T.); +#63142=ORIENTED_EDGE('',*,*,#63141,.F.); +#63144=ORIENTED_EDGE('',*,*,#63143,.F.); +#63145=ORIENTED_EDGE('',*,*,#63072,.F.); +#63146=ORIENTED_EDGE('',*,*,#63088,.F.); +#63147=ORIENTED_EDGE('',*,*,#63060,.F.); +#63148=ORIENTED_EDGE('',*,*,#62883,.T.); +#63149=EDGE_LOOP('',(#63130,#63132,#63134,#63136,#63138,#63140,#63142,#63144, +#63145,#63146,#63147,#63148)); +#63150=FACE_OUTER_BOUND('',#63149,.F.); +#63152=CARTESIAN_POINT('',(5.465334738715E1,7.700000525664E0,-2.1E1)); +#63153=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63154=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63155=AXIS2_PLACEMENT_3D('',#63152,#63153,#63154); +#63156=CYLINDRICAL_SURFACE('',#63155,7.2E0); +#63158=ORIENTED_EDGE('',*,*,#63157,.T.); +#63159=ORIENTED_EDGE('',*,*,#63103,.T.); +#63161=ORIENTED_EDGE('',*,*,#63160,.T.); +#63163=ORIENTED_EDGE('',*,*,#63162,.T.); +#63165=ORIENTED_EDGE('',*,*,#63164,.F.); +#63167=ORIENTED_EDGE('',*,*,#63166,.T.); +#63168=EDGE_LOOP('',(#63158,#63159,#63161,#63163,#63165,#63167)); +#63169=FACE_OUTER_BOUND('',#63168,.F.); +#63171=CARTESIAN_POINT('',(5.99E1,1.186685021819E1,-9.021724171534E1)); +#63172=DIRECTION('',(0.E0,0.E0,1.E0)); +#63173=DIRECTION('',(1.E0,0.E0,0.E0)); +#63174=AXIS2_PLACEMENT_3D('',#63171,#63172,#63173); +#63175=CYLINDRICAL_SURFACE('',#63174,5.E-1); +#63176=ORIENTED_EDGE('',*,*,#63157,.F.); +#63178=ORIENTED_EDGE('',*,*,#63177,.T.); +#63180=ORIENTED_EDGE('',*,*,#63179,.T.); +#63182=ORIENTED_EDGE('',*,*,#63181,.T.); +#63183=ORIENTED_EDGE('',*,*,#63105,.T.); +#63184=EDGE_LOOP('',(#63176,#63178,#63180,#63182,#63183)); +#63185=FACE_OUTER_BOUND('',#63184,.F.); +#63187=CARTESIAN_POINT('',(6.04E1,1.55E1,-1.496077E1)); +#63188=DIRECTION('',(0.E0,8.660254037844E-1,-5.E-1)); +#63189=DIRECTION('',(0.E0,-5.E-1,-8.660254037844E-1)); +#63190=AXIS2_PLACEMENT_3D('',#63187,#63188,#63189); +#63191=PLANE('',#63190); +#63192=ORIENTED_EDGE('',*,*,#63177,.F.); +#63193=ORIENTED_EDGE('',*,*,#63166,.F.); +#63195=ORIENTED_EDGE('',*,*,#63194,.F.); +#63197=ORIENTED_EDGE('',*,*,#63196,.F.); +#63199=ORIENTED_EDGE('',*,*,#63198,.T.); +#63201=ORIENTED_EDGE('',*,*,#63200,.F.); +#63203=ORIENTED_EDGE('',*,*,#63202,.T.); +#63205=ORIENTED_EDGE('',*,*,#63204,.F.); +#63207=ORIENTED_EDGE('',*,*,#63206,.T.); +#63209=ORIENTED_EDGE('',*,*,#63208,.T.); +#63211=ORIENTED_EDGE('',*,*,#63210,.F.); +#63213=ORIENTED_EDGE('',*,*,#63212,.T.); +#63215=ORIENTED_EDGE('',*,*,#63214,.T.); +#63217=ORIENTED_EDGE('',*,*,#63216,.F.); +#63219=ORIENTED_EDGE('',*,*,#63218,.T.); +#63221=ORIENTED_EDGE('',*,*,#63220,.F.); +#63223=ORIENTED_EDGE('',*,*,#63222,.T.); +#63225=ORIENTED_EDGE('',*,*,#63224,.F.); +#63227=ORIENTED_EDGE('',*,*,#63226,.T.); +#63229=ORIENTED_EDGE('',*,*,#63228,.F.); +#63231=ORIENTED_EDGE('',*,*,#63230,.T.); +#63233=ORIENTED_EDGE('',*,*,#63232,.T.); +#63235=ORIENTED_EDGE('',*,*,#63234,.T.); +#63237=ORIENTED_EDGE('',*,*,#63236,.F.); +#63239=ORIENTED_EDGE('',*,*,#63238,.F.); +#63241=ORIENTED_EDGE('',*,*,#63240,.T.); +#63242=EDGE_LOOP('',(#63192,#63193,#63195,#63197,#63199,#63201,#63203,#63205, +#63207,#63209,#63211,#63213,#63215,#63217,#63219,#63221,#63223,#63225,#63227, +#63229,#63231,#63233,#63235,#63237,#63239,#63241)); +#63243=FACE_OUTER_BOUND('',#63242,.F.); +#63245=CARTESIAN_POINT('',(5.555E1,1.484395E1,-2.1E1)); +#63246=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63247=DIRECTION('',(0.E0,1.E0,0.E0)); +#63248=AXIS2_PLACEMENT_3D('',#63245,#63246,#63247); +#63249=PLANE('',#63248); +#63251=ORIENTED_EDGE('',*,*,#63250,.F.); +#63253=ORIENTED_EDGE('',*,*,#63252,.F.); +#63255=ORIENTED_EDGE('',*,*,#63254,.T.); +#63256=ORIENTED_EDGE('',*,*,#63194,.T.); +#63257=ORIENTED_EDGE('',*,*,#63164,.T.); +#63259=ORIENTED_EDGE('',*,*,#63258,.T.); +#63261=ORIENTED_EDGE('',*,*,#63260,.T.); +#63263=ORIENTED_EDGE('',*,*,#63262,.T.); +#63264=EDGE_LOOP('',(#63251,#63253,#63255,#63256,#63257,#63259,#63261,#63263)); +#63265=FACE_OUTER_BOUND('',#63264,.F.); +#63267=CARTESIAN_POINT('',(5.525E1,1.59E1,-1.615269219827E1)); +#63268=DIRECTION('',(0.E0,0.E0,1.E0)); +#63269=DIRECTION('',(1.E0,0.E0,0.E0)); +#63270=AXIS2_PLACEMENT_3D('',#63267,#63268,#63269); +#63271=CYLINDRICAL_SURFACE('',#63270,3.E-1); +#63273=ORIENTED_EDGE('',*,*,#63272,.F.); +#63275=ORIENTED_EDGE('',*,*,#63274,.T.); +#63276=ORIENTED_EDGE('',*,*,#63250,.T.); +#63278=ORIENTED_EDGE('',*,*,#63277,.T.); +#63279=EDGE_LOOP('',(#63273,#63275,#63276,#63278)); +#63280=FACE_OUTER_BOUND('',#63279,.F.); +#63282=CARTESIAN_POINT('',(5.415E1,1.62E1,-1.8E0)); +#63283=DIRECTION('',(0.E0,1.E0,0.E0)); +#63284=DIRECTION('',(1.E0,0.E0,0.E0)); +#63285=AXIS2_PLACEMENT_3D('',#63282,#63283,#63284); +#63286=PLANE('',#63285); +#63287=ORIENTED_EDGE('',*,*,#63272,.T.); +#63289=ORIENTED_EDGE('',*,*,#63288,.T.); +#63291=ORIENTED_EDGE('',*,*,#63290,.F.); +#63293=ORIENTED_EDGE('',*,*,#63292,.T.); +#63294=EDGE_LOOP('',(#63287,#63289,#63291,#63293)); +#63295=FACE_OUTER_BOUND('',#63294,.F.); +#63297=CARTESIAN_POINT('',(5.485E1,1.67E1,2.E0)); +#63298=DIRECTION('',(0.E0,1.E0,0.E0)); +#63299=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63300=AXIS2_PLACEMENT_3D('',#63297,#63298,#63299); +#63301=CYLINDRICAL_SURFACE('',#63300,5.5E0); +#63303=ORIENTED_EDGE('',*,*,#63302,.T.); +#63305=ORIENTED_EDGE('',*,*,#63304,.F.); +#63306=ORIENTED_EDGE('',*,*,#63288,.F.); +#63307=ORIENTED_EDGE('',*,*,#63277,.F.); +#63308=ORIENTED_EDGE('',*,*,#63262,.F.); +#63310=ORIENTED_EDGE('',*,*,#63309,.F.); +#63312=ORIENTED_EDGE('',*,*,#63311,.T.); +#63314=ORIENTED_EDGE('',*,*,#63313,.F.); +#63316=ORIENTED_EDGE('',*,*,#63315,.T.); +#63318=ORIENTED_EDGE('',*,*,#63317,.F.); +#63320=ORIENTED_EDGE('',*,*,#63319,.F.); +#63322=ORIENTED_EDGE('',*,*,#63321,.F.); +#63324=ORIENTED_EDGE('',*,*,#63323,.F.); +#63326=ORIENTED_EDGE('',*,*,#63325,.F.); +#63327=EDGE_LOOP('',(#63303,#63305,#63306,#63307,#63308,#63310,#63312,#63314, +#63316,#63318,#63320,#63322,#63324,#63326)); +#63328=FACE_OUTER_BOUND('',#63327,.F.); +#63330=CARTESIAN_POINT('',(5.415E1,1.55E1,-1.8E0)); +#63331=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63332=DIRECTION('',(0.E0,1.E0,0.E0)); +#63333=AXIS2_PLACEMENT_3D('',#63330,#63331,#63332); +#63334=PLANE('',#63333); +#63336=ORIENTED_EDGE('',*,*,#63335,.T.); +#63337=ORIENTED_EDGE('',*,*,#63302,.F.); +#63339=ORIENTED_EDGE('',*,*,#63338,.F.); +#63341=ORIENTED_EDGE('',*,*,#63340,.T.); +#63343=ORIENTED_EDGE('',*,*,#63342,.F.); +#63344=EDGE_LOOP('',(#63336,#63337,#63339,#63341,#63343)); +#63345=FACE_OUTER_BOUND('',#63344,.F.); +#63347=CARTESIAN_POINT('',(5.445E1,1.59E1,-1.502617945354E1)); +#63348=DIRECTION('',(0.E0,0.E0,1.E0)); +#63349=DIRECTION('',(0.E0,1.E0,0.E0)); +#63350=AXIS2_PLACEMENT_3D('',#63347,#63348,#63349); +#63351=CYLINDRICAL_SURFACE('',#63350,3.E-1); +#63352=ORIENTED_EDGE('',*,*,#63335,.F.); +#63354=ORIENTED_EDGE('',*,*,#63353,.T.); +#63355=ORIENTED_EDGE('',*,*,#63290,.T.); +#63356=ORIENTED_EDGE('',*,*,#63304,.T.); +#63357=EDGE_LOOP('',(#63352,#63354,#63355,#63356)); +#63358=FACE_OUTER_BOUND('',#63357,.F.); +#63360=CARTESIAN_POINT('',(5.485E1,1.595E1,-1.453077E1)); +#63361=DIRECTION('',(0.E0,8.645071866842E-1,-5.026204573745E-1)); +#63362=DIRECTION('',(0.E0,5.026204573745E-1,8.645071866842E-1)); +#63363=AXIS2_PLACEMENT_3D('',#63360,#63361,#63362); +#63364=PLANE('',#63363); +#63365=ORIENTED_EDGE('',*,*,#63274,.F.); +#63366=ORIENTED_EDGE('',*,*,#63292,.F.); +#63367=ORIENTED_EDGE('',*,*,#63353,.F.); +#63368=ORIENTED_EDGE('',*,*,#63342,.T.); +#63370=ORIENTED_EDGE('',*,*,#63369,.T.); +#63371=ORIENTED_EDGE('',*,*,#63252,.T.); +#63372=EDGE_LOOP('',(#63365,#63366,#63367,#63368,#63370,#63371)); +#63373=FACE_OUTER_BOUND('',#63372,.F.); +#63375=CARTESIAN_POINT('',(0.E0,0.E0,-1.496077E1)); +#63376=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63377=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63378=AXIS2_PLACEMENT_3D('',#63375,#63376,#63377); +#63379=PLANE('',#63378); +#63381=ORIENTED_EDGE('',*,*,#63380,.F.); +#63383=ORIENTED_EDGE('',*,*,#63382,.F.); +#63384=ORIENTED_EDGE('',*,*,#63232,.F.); +#63386=ORIENTED_EDGE('',*,*,#63385,.F.); +#63387=EDGE_LOOP('',(#63381,#63383,#63384,#63386)); +#63388=FACE_OUTER_BOUND('',#63387,.F.); +#63390=CARTESIAN_POINT('',(0.E0,0.E0,-1.496077E1)); +#63391=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63392=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63393=AXIS2_PLACEMENT_3D('',#63390,#63391,#63392); +#63394=PLANE('',#63393); +#63395=ORIENTED_EDGE('',*,*,#63369,.F.); +#63396=ORIENTED_EDGE('',*,*,#63340,.F.); +#63397=ORIENTED_EDGE('',*,*,#63196,.T.); +#63398=ORIENTED_EDGE('',*,*,#63254,.F.); +#63399=EDGE_LOOP('',(#63395,#63396,#63397,#63398)); +#63400=FACE_OUTER_BOUND('',#63399,.F.); +#63402=CARTESIAN_POINT('',(0.E0,0.E0,-1.496077E1)); +#63403=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63404=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63405=AXIS2_PLACEMENT_3D('',#63402,#63403,#63404); +#63406=PLANE('',#63405); +#63408=ORIENTED_EDGE('',*,*,#63407,.F.); +#63410=ORIENTED_EDGE('',*,*,#63409,.F.); +#63411=ORIENTED_EDGE('',*,*,#63200,.T.); +#63413=ORIENTED_EDGE('',*,*,#63412,.F.); +#63414=EDGE_LOOP('',(#63408,#63410,#63411,#63413)); +#63415=FACE_OUTER_BOUND('',#63414,.F.); +#63417=CARTESIAN_POINT('',(0.E0,0.E0,-1.496077E1)); +#63418=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63419=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63420=AXIS2_PLACEMENT_3D('',#63417,#63418,#63419); +#63421=PLANE('',#63420); +#63423=ORIENTED_EDGE('',*,*,#63422,.F.); +#63425=ORIENTED_EDGE('',*,*,#63424,.F.); +#63426=ORIENTED_EDGE('',*,*,#63204,.T.); +#63428=ORIENTED_EDGE('',*,*,#63427,.F.); +#63429=EDGE_LOOP('',(#63423,#63425,#63426,#63428)); +#63430=FACE_OUTER_BOUND('',#63429,.F.); +#63432=CARTESIAN_POINT('',(0.E0,0.E0,-1.496077E1)); +#63433=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63434=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63435=AXIS2_PLACEMENT_3D('',#63432,#63433,#63434); +#63436=PLANE('',#63435); +#63438=ORIENTED_EDGE('',*,*,#63437,.F.); +#63440=ORIENTED_EDGE('',*,*,#63439,.F.); +#63441=ORIENTED_EDGE('',*,*,#63216,.T.); +#63443=ORIENTED_EDGE('',*,*,#63442,.F.); +#63444=EDGE_LOOP('',(#63438,#63440,#63441,#63443)); +#63445=FACE_OUTER_BOUND('',#63444,.F.); +#63447=CARTESIAN_POINT('',(0.E0,0.E0,-1.496077E1)); +#63448=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63449=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63450=AXIS2_PLACEMENT_3D('',#63447,#63448,#63449); +#63451=PLANE('',#63450); +#63453=ORIENTED_EDGE('',*,*,#63452,.F.); +#63455=ORIENTED_EDGE('',*,*,#63454,.F.); +#63456=ORIENTED_EDGE('',*,*,#63220,.T.); +#63458=ORIENTED_EDGE('',*,*,#63457,.F.); +#63459=EDGE_LOOP('',(#63453,#63455,#63456,#63458)); +#63460=FACE_OUTER_BOUND('',#63459,.F.); +#63462=CARTESIAN_POINT('',(0.E0,0.E0,-1.496077E1)); +#63463=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63464=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63465=AXIS2_PLACEMENT_3D('',#63462,#63463,#63464); +#63466=PLANE('',#63465); +#63468=ORIENTED_EDGE('',*,*,#63467,.F.); +#63470=ORIENTED_EDGE('',*,*,#63469,.F.); +#63471=ORIENTED_EDGE('',*,*,#63224,.T.); +#63473=ORIENTED_EDGE('',*,*,#63472,.F.); +#63474=EDGE_LOOP('',(#63468,#63470,#63471,#63473)); +#63475=FACE_OUTER_BOUND('',#63474,.F.); +#63477=CARTESIAN_POINT('',(0.E0,0.E0,-1.496077E1)); +#63478=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63479=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63480=AXIS2_PLACEMENT_3D('',#63477,#63478,#63479); +#63481=PLANE('',#63480); +#63483=ORIENTED_EDGE('',*,*,#63482,.F.); +#63485=ORIENTED_EDGE('',*,*,#63484,.F.); +#63486=ORIENTED_EDGE('',*,*,#63228,.T.); +#63488=ORIENTED_EDGE('',*,*,#63487,.F.); +#63489=EDGE_LOOP('',(#63483,#63485,#63486,#63488)); +#63490=FACE_OUTER_BOUND('',#63489,.F.); +#63492=CARTESIAN_POINT('',(-5.24E1,1.595E1,-1.453077E1)); +#63493=DIRECTION('',(0.E0,8.645071866842E-1,-5.026204573745E-1)); +#63494=DIRECTION('',(0.E0,5.026204573745E-1,8.645071866842E-1)); +#63495=AXIS2_PLACEMENT_3D('',#63492,#63493,#63494); +#63496=PLANE('',#63495); +#63498=ORIENTED_EDGE('',*,*,#63497,.F.); +#63500=ORIENTED_EDGE('',*,*,#63499,.T.); +#63501=ORIENTED_EDGE('',*,*,#63380,.T.); +#63503=ORIENTED_EDGE('',*,*,#63502,.T.); +#63505=ORIENTED_EDGE('',*,*,#63504,.F.); +#63507=ORIENTED_EDGE('',*,*,#63506,.F.); +#63508=EDGE_LOOP('',(#63498,#63500,#63501,#63503,#63505,#63507)); +#63509=FACE_OUTER_BOUND('',#63508,.F.); +#63511=CARTESIAN_POINT('',(-5.28E1,1.59E1,-1.515432156030E1)); +#63512=DIRECTION('',(0.E0,0.E0,1.E0)); +#63513=DIRECTION('',(0.E0,1.E0,0.E0)); +#63514=AXIS2_PLACEMENT_3D('',#63511,#63512,#63513); +#63515=CYLINDRICAL_SURFACE('',#63514,3.E-1); +#63517=ORIENTED_EDGE('',*,*,#63516,.T.); +#63519=ORIENTED_EDGE('',*,*,#63518,.T.); +#63521=ORIENTED_EDGE('',*,*,#63520,.F.); +#63522=ORIENTED_EDGE('',*,*,#63497,.T.); +#63524=ORIENTED_EDGE('',*,*,#63523,.T.); +#63525=EDGE_LOOP('',(#63517,#63519,#63521,#63522,#63524)); +#63526=FACE_OUTER_BOUND('',#63525,.F.); +#63528=CARTESIAN_POINT('',(0.E0,0.E0,-1.8E0)); +#63529=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63530=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63531=AXIS2_PLACEMENT_3D('',#63528,#63529,#63530); +#63532=PLANE('',#63531); +#63533=ORIENTED_EDGE('',*,*,#63516,.F.); +#63535=ORIENTED_EDGE('',*,*,#63534,.T.); +#63537=ORIENTED_EDGE('',*,*,#63536,.T.); +#63538=EDGE_LOOP('',(#63533,#63535,#63537)); +#63539=FACE_OUTER_BOUND('',#63538,.F.); +#63541=CARTESIAN_POINT('',(9.195E0,1.62E1,0.E0)); +#63542=DIRECTION('',(0.E0,1.E0,0.E0)); +#63543=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63544=AXIS2_PLACEMENT_3D('',#63541,#63542,#63543); +#63545=PLANE('',#63544); +#63546=ORIENTED_EDGE('',*,*,#63523,.F.); +#63547=ORIENTED_EDGE('',*,*,#63506,.T.); +#63549=ORIENTED_EDGE('',*,*,#63548,.T.); +#63551=ORIENTED_EDGE('',*,*,#63550,.F.); +#63553=ORIENTED_EDGE('',*,*,#63552,.T.); +#63555=ORIENTED_EDGE('',*,*,#63554,.F.); +#63557=ORIENTED_EDGE('',*,*,#63556,.T.); +#63558=ORIENTED_EDGE('',*,*,#63534,.F.); +#63559=EDGE_LOOP('',(#63546,#63547,#63549,#63551,#63553,#63555,#63557,#63558)); +#63560=FACE_OUTER_BOUND('',#63559,.F.); +#63562=CARTESIAN_POINT('',(9.195E0,1.62E1,0.E0)); +#63563=DIRECTION('',(0.E0,1.E0,0.E0)); +#63564=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63565=AXIS2_PLACEMENT_3D('',#63562,#63563,#63564); +#63566=PLANE('',#63565); +#63568=ORIENTED_EDGE('',*,*,#63567,.T.); +#63570=ORIENTED_EDGE('',*,*,#63569,.F.); +#63572=ORIENTED_EDGE('',*,*,#63571,.F.); +#63574=ORIENTED_EDGE('',*,*,#63573,.F.); +#63576=ORIENTED_EDGE('',*,*,#63575,.T.); +#63578=ORIENTED_EDGE('',*,*,#63577,.F.); +#63580=ORIENTED_EDGE('',*,*,#63579,.F.); +#63582=ORIENTED_EDGE('',*,*,#63581,.T.); +#63583=EDGE_LOOP('',(#63568,#63570,#63572,#63574,#63576,#63578,#63580,#63582)); +#63584=FACE_OUTER_BOUND('',#63583,.F.); +#63586=CARTESIAN_POINT('',(9.195E0,1.62E1,0.E0)); +#63587=DIRECTION('',(0.E0,1.E0,0.E0)); +#63588=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63589=AXIS2_PLACEMENT_3D('',#63586,#63587,#63588); +#63590=PLANE('',#63589); +#63592=ORIENTED_EDGE('',*,*,#63591,.T.); +#63594=ORIENTED_EDGE('',*,*,#63593,.F.); +#63596=ORIENTED_EDGE('',*,*,#63595,.T.); +#63598=ORIENTED_EDGE('',*,*,#63597,.F.); +#63600=ORIENTED_EDGE('',*,*,#63599,.T.); +#63602=ORIENTED_EDGE('',*,*,#63601,.F.); +#63604=ORIENTED_EDGE('',*,*,#63603,.F.); +#63606=ORIENTED_EDGE('',*,*,#63605,.T.); +#63607=EDGE_LOOP('',(#63592,#63594,#63596,#63598,#63600,#63602,#63604,#63606)); +#63608=FACE_OUTER_BOUND('',#63607,.F.); +#63610=CARTESIAN_POINT('',(-5.2E1,1.59E1,-1.503500260973E1)); +#63611=DIRECTION('',(0.E0,0.E0,1.E0)); +#63612=DIRECTION('',(1.E0,0.E0,0.E0)); +#63613=AXIS2_PLACEMENT_3D('',#63610,#63611,#63612); +#63614=CYLINDRICAL_SURFACE('',#63613,3.E-1); +#63615=ORIENTED_EDGE('',*,*,#63548,.F.); +#63616=ORIENTED_EDGE('',*,*,#63504,.T.); +#63618=ORIENTED_EDGE('',*,*,#63617,.T.); +#63620=ORIENTED_EDGE('',*,*,#63619,.T.); +#63621=EDGE_LOOP('',(#63615,#63616,#63618,#63620)); +#63622=FACE_OUTER_BOUND('',#63621,.F.); +#63624=CARTESIAN_POINT('',(-5.17E1,1.62E1,-1.8E0)); +#63625=DIRECTION('',(1.E0,0.E0,0.E0)); +#63626=DIRECTION('',(0.E0,-1.E0,0.E0)); +#63627=AXIS2_PLACEMENT_3D('',#63624,#63625,#63626); +#63628=PLANE('',#63627); +#63629=ORIENTED_EDGE('',*,*,#63617,.F.); +#63630=ORIENTED_EDGE('',*,*,#63502,.F.); +#63631=ORIENTED_EDGE('',*,*,#63385,.T.); +#63633=ORIENTED_EDGE('',*,*,#63632,.T.); +#63635=ORIENTED_EDGE('',*,*,#63634,.F.); +#63636=EDGE_LOOP('',(#63629,#63630,#63631,#63633,#63635)); +#63637=FACE_OUTER_BOUND('',#63636,.F.); +#63639=CARTESIAN_POINT('',(8.680376934006E0,1.55E1,-8.5E0)); +#63640=DIRECTION('',(0.E0,1.E0,0.E0)); +#63641=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63642=AXIS2_PLACEMENT_3D('',#63639,#63640,#63641); +#63643=PLANE('',#63642); +#63645=ORIENTED_EDGE('',*,*,#63644,.F.); +#63646=ORIENTED_EDGE('',*,*,#63222,.F.); +#63648=ORIENTED_EDGE('',*,*,#63647,.T.); +#63650=ORIENTED_EDGE('',*,*,#63649,.T.); +#63652=ORIENTED_EDGE('',*,*,#63651,.F.); +#63653=EDGE_LOOP('',(#63645,#63646,#63648,#63650,#63652)); +#63654=FACE_OUTER_BOUND('',#63653,.F.); +#63656=CARTESIAN_POINT('',(8.680376934006E0,1.55E1,-8.5E0)); +#63657=DIRECTION('',(0.E0,1.E0,0.E0)); +#63658=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63659=AXIS2_PLACEMENT_3D('',#63656,#63657,#63658); +#63660=PLANE('',#63659); +#63662=ORIENTED_EDGE('',*,*,#63661,.T.); +#63664=ORIENTED_EDGE('',*,*,#63663,.F.); +#63666=ORIENTED_EDGE('',*,*,#63665,.T.); +#63668=ORIENTED_EDGE('',*,*,#63667,.F.); +#63669=ORIENTED_EDGE('',*,*,#63226,.F.); +#63670=EDGE_LOOP('',(#63662,#63664,#63666,#63668,#63669)); +#63671=FACE_OUTER_BOUND('',#63670,.F.); +#63673=CARTESIAN_POINT('',(8.680376934006E0,1.55E1,-8.5E0)); +#63674=DIRECTION('',(0.E0,1.E0,0.E0)); +#63675=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63676=AXIS2_PLACEMENT_3D('',#63673,#63674,#63675); +#63677=PLANE('',#63676); +#63678=ORIENTED_EDGE('',*,*,#63214,.F.); +#63680=ORIENTED_EDGE('',*,*,#63679,.F.); +#63682=ORIENTED_EDGE('',*,*,#63681,.F.); +#63684=ORIENTED_EDGE('',*,*,#63683,.F.); +#63685=EDGE_LOOP('',(#63678,#63680,#63682,#63684)); +#63686=FACE_OUTER_BOUND('',#63685,.F.); +#63688=CARTESIAN_POINT('',(8.680376934006E0,1.55E1,-8.5E0)); +#63689=DIRECTION('',(0.E0,1.E0,0.E0)); +#63690=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63691=AXIS2_PLACEMENT_3D('',#63688,#63689,#63690); +#63692=PLANE('',#63691); +#63693=ORIENTED_EDGE('',*,*,#63218,.F.); +#63695=ORIENTED_EDGE('',*,*,#63694,.T.); +#63697=ORIENTED_EDGE('',*,*,#63696,.F.); +#63699=ORIENTED_EDGE('',*,*,#63698,.T.); +#63701=ORIENTED_EDGE('',*,*,#63700,.F.); +#63702=EDGE_LOOP('',(#63693,#63695,#63697,#63699,#63701)); +#63703=FACE_OUTER_BOUND('',#63702,.F.); +#63705=CARTESIAN_POINT('',(8.680376934006E0,1.55E1,-8.5E0)); +#63706=DIRECTION('',(0.E0,1.E0,0.E0)); +#63707=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63708=AXIS2_PLACEMENT_3D('',#63705,#63706,#63707); +#63709=PLANE('',#63708); +#63711=ORIENTED_EDGE('',*,*,#63710,.T.); +#63713=ORIENTED_EDGE('',*,*,#63712,.T.); +#63715=ORIENTED_EDGE('',*,*,#63714,.F.); +#63716=ORIENTED_EDGE('',*,*,#63632,.F.); +#63717=ORIENTED_EDGE('',*,*,#63230,.F.); +#63718=EDGE_LOOP('',(#63711,#63713,#63715,#63716,#63717)); +#63719=FACE_OUTER_BOUND('',#63718,.F.); +#63721=CARTESIAN_POINT('',(8.680376934006E0,1.55E1,-8.5E0)); +#63722=DIRECTION('',(0.E0,1.E0,0.E0)); +#63723=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63724=AXIS2_PLACEMENT_3D('',#63721,#63722,#63723); +#63725=PLANE('',#63724); +#63727=ORIENTED_EDGE('',*,*,#63726,.F.); +#63728=ORIENTED_EDGE('',*,*,#63202,.F.); +#63730=ORIENTED_EDGE('',*,*,#63729,.T.); +#63732=ORIENTED_EDGE('',*,*,#63731,.T.); +#63734=ORIENTED_EDGE('',*,*,#63733,.T.); +#63735=EDGE_LOOP('',(#63727,#63728,#63730,#63732,#63734)); +#63736=FACE_OUTER_BOUND('',#63735,.F.); +#63738=CARTESIAN_POINT('',(8.680376934006E0,1.55E1,-8.5E0)); +#63739=DIRECTION('',(0.E0,1.E0,0.E0)); +#63740=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63741=AXIS2_PLACEMENT_3D('',#63738,#63739,#63740); +#63742=PLANE('',#63741); +#63744=ORIENTED_EDGE('',*,*,#63743,.T.); +#63746=ORIENTED_EDGE('',*,*,#63745,.T.); +#63748=ORIENTED_EDGE('',*,*,#63747,.T.); +#63750=ORIENTED_EDGE('',*,*,#63749,.F.); +#63751=ORIENTED_EDGE('',*,*,#63206,.F.); +#63752=EDGE_LOOP('',(#63744,#63746,#63748,#63750,#63751)); +#63753=FACE_OUTER_BOUND('',#63752,.F.); +#63755=CARTESIAN_POINT('',(8.680376934006E0,1.55E1,-8.5E0)); +#63756=DIRECTION('',(0.E0,1.E0,0.E0)); +#63757=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63758=AXIS2_PLACEMENT_3D('',#63755,#63756,#63757); +#63759=PLANE('',#63758); +#63761=ORIENTED_EDGE('',*,*,#63760,.F.); +#63762=ORIENTED_EDGE('',*,*,#63198,.F.); +#63763=ORIENTED_EDGE('',*,*,#63338,.T.); +#63764=ORIENTED_EDGE('',*,*,#63325,.T.); +#63766=ORIENTED_EDGE('',*,*,#63765,.T.); +#63767=EDGE_LOOP('',(#63761,#63762,#63763,#63764,#63766)); +#63768=FACE_OUTER_BOUND('',#63767,.F.); +#63770=CARTESIAN_POINT('',(8.680376934006E0,1.55E1,-8.5E0)); +#63771=DIRECTION('',(0.E0,1.E0,0.E0)); +#63772=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63773=AXIS2_PLACEMENT_3D('',#63770,#63771,#63772); +#63774=PLANE('',#63773); +#63775=ORIENTED_EDGE('',*,*,#63260,.F.); +#63777=ORIENTED_EDGE('',*,*,#63776,.F.); +#63779=ORIENTED_EDGE('',*,*,#63778,.F.); +#63780=ORIENTED_EDGE('',*,*,#63309,.T.); +#63781=EDGE_LOOP('',(#63775,#63777,#63779,#63780)); +#63782=FACE_OUTER_BOUND('',#63781,.F.); +#63784=CARTESIAN_POINT('',(-2.27E1,1.62E1,-1.8E0)); +#63785=DIRECTION('',(1.E0,0.E0,0.E0)); +#63786=DIRECTION('',(0.E0,-1.E0,0.E0)); +#63787=AXIS2_PLACEMENT_3D('',#63784,#63785,#63786); +#63788=PLANE('',#63787); +#63790=ORIENTED_EDGE('',*,*,#63789,.F.); +#63792=ORIENTED_EDGE('',*,*,#63791,.F.); +#63793=ORIENTED_EDGE('',*,*,#63472,.T.); +#63794=ORIENTED_EDGE('',*,*,#63644,.T.); +#63796=ORIENTED_EDGE('',*,*,#63795,.F.); +#63797=EDGE_LOOP('',(#63790,#63792,#63793,#63794,#63796)); +#63798=FACE_OUTER_BOUND('',#63797,.F.); +#63800=CARTESIAN_POINT('',(-2.3E1,1.59E1,-1.503500260973E1)); +#63801=DIRECTION('',(0.E0,0.E0,1.E0)); +#63802=DIRECTION('',(1.E0,0.E0,0.E0)); +#63803=AXIS2_PLACEMENT_3D('',#63800,#63801,#63802); +#63804=CYLINDRICAL_SURFACE('',#63803,3.E-1); +#63805=ORIENTED_EDGE('',*,*,#63591,.F.); +#63807=ORIENTED_EDGE('',*,*,#63806,.T.); +#63808=ORIENTED_EDGE('',*,*,#63789,.T.); +#63810=ORIENTED_EDGE('',*,*,#63809,.T.); +#63811=EDGE_LOOP('',(#63805,#63807,#63808,#63810)); +#63812=FACE_OUTER_BOUND('',#63811,.F.); +#63814=CARTESIAN_POINT('',(-2.34E1,1.595E1,-1.453077E1)); +#63815=DIRECTION('',(0.E0,8.645071866842E-1,-5.026204573745E-1)); +#63816=DIRECTION('',(0.E0,5.026204573745E-1,8.645071866842E-1)); +#63817=AXIS2_PLACEMENT_3D('',#63814,#63815,#63816); +#63818=PLANE('',#63817); +#63819=ORIENTED_EDGE('',*,*,#63806,.F.); +#63820=ORIENTED_EDGE('',*,*,#63605,.F.); +#63822=ORIENTED_EDGE('',*,*,#63821,.F.); +#63824=ORIENTED_EDGE('',*,*,#63823,.T.); +#63825=ORIENTED_EDGE('',*,*,#63467,.T.); +#63826=ORIENTED_EDGE('',*,*,#63791,.T.); +#63827=EDGE_LOOP('',(#63819,#63820,#63822,#63824,#63825,#63826)); +#63828=FACE_OUTER_BOUND('',#63827,.F.); +#63830=CARTESIAN_POINT('',(-2.38E1,1.59E1,-1.504139988197E1)); +#63831=DIRECTION('',(0.E0,0.E0,1.E0)); +#63832=DIRECTION('',(0.E0,1.E0,0.E0)); +#63833=AXIS2_PLACEMENT_3D('',#63830,#63831,#63832); +#63834=CYLINDRICAL_SURFACE('',#63833,3.E-1); +#63836=ORIENTED_EDGE('',*,*,#63835,.F.); +#63837=ORIENTED_EDGE('',*,*,#63821,.T.); +#63838=ORIENTED_EDGE('',*,*,#63603,.T.); +#63840=ORIENTED_EDGE('',*,*,#63839,.T.); +#63841=EDGE_LOOP('',(#63836,#63837,#63838,#63840)); +#63842=FACE_OUTER_BOUND('',#63841,.F.); +#63844=CARTESIAN_POINT('',(-2.41E1,1.55E1,-1.8E0)); +#63845=DIRECTION('',(-1.E0,0.E0,0.E0)); +#63846=DIRECTION('',(0.E0,1.E0,0.E0)); +#63847=AXIS2_PLACEMENT_3D('',#63844,#63845,#63846); +#63848=PLANE('',#63847); +#63849=ORIENTED_EDGE('',*,*,#63835,.T.); +#63851=ORIENTED_EDGE('',*,*,#63850,.T.); +#63852=ORIENTED_EDGE('',*,*,#63661,.F.); +#63853=ORIENTED_EDGE('',*,*,#63469,.T.); +#63854=ORIENTED_EDGE('',*,*,#63823,.F.); +#63855=EDGE_LOOP('',(#63849,#63851,#63852,#63853,#63854)); +#63856=FACE_OUTER_BOUND('',#63855,.F.); +#63858=CARTESIAN_POINT('',(9.195E0,1.62E1,-1.8E0)); +#63859=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63860=DIRECTION('',(0.E0,-1.E0,0.E0)); +#63861=AXIS2_PLACEMENT_3D('',#63858,#63859,#63860); +#63862=PLANE('',#63861); +#63864=ORIENTED_EDGE('',*,*,#63863,.F.); +#63866=ORIENTED_EDGE('',*,*,#63865,.F.); +#63868=ORIENTED_EDGE('',*,*,#63867,.T.); +#63870=ORIENTED_EDGE('',*,*,#63869,.T.); +#63871=EDGE_LOOP('',(#63864,#63866,#63868,#63870)); +#63872=FACE_OUTER_BOUND('',#63871,.F.); +#63874=CARTESIAN_POINT('',(9.195E0,1.62E1,-1.8E0)); +#63875=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63876=DIRECTION('',(0.E0,-1.E0,0.E0)); +#63877=AXIS2_PLACEMENT_3D('',#63874,#63875,#63876); +#63878=PLANE('',#63877); +#63880=ORIENTED_EDGE('',*,*,#63879,.T.); +#63882=ORIENTED_EDGE('',*,*,#63881,.T.); +#63884=ORIENTED_EDGE('',*,*,#63883,.T.); +#63886=ORIENTED_EDGE('',*,*,#63885,.T.); +#63888=ORIENTED_EDGE('',*,*,#63887,.T.); +#63890=ORIENTED_EDGE('',*,*,#63889,.F.); +#63892=ORIENTED_EDGE('',*,*,#63891,.F.); +#63893=EDGE_LOOP('',(#63880,#63882,#63884,#63886,#63888,#63890,#63892)); +#63894=FACE_OUTER_BOUND('',#63893,.F.); +#63896=CARTESIAN_POINT('',(9.195E0,1.62E1,-1.8E0)); +#63897=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63898=DIRECTION('',(0.E0,-1.E0,0.E0)); +#63899=AXIS2_PLACEMENT_3D('',#63896,#63897,#63898); +#63900=PLANE('',#63899); +#63902=ORIENTED_EDGE('',*,*,#63901,.F.); +#63903=ORIENTED_EDGE('',*,*,#63518,.F.); +#63905=ORIENTED_EDGE('',*,*,#63904,.T.); +#63907=ORIENTED_EDGE('',*,*,#63906,.T.); +#63909=ORIENTED_EDGE('',*,*,#63908,.T.); +#63911=ORIENTED_EDGE('',*,*,#63910,.T.); +#63913=ORIENTED_EDGE('',*,*,#63912,.F.); +#63915=ORIENTED_EDGE('',*,*,#63914,.F.); +#63917=ORIENTED_EDGE('',*,*,#63916,.F.); +#63919=ORIENTED_EDGE('',*,*,#63918,.F.); +#63921=ORIENTED_EDGE('',*,*,#63920,.F.); +#63922=EDGE_LOOP('',(#63902,#63903,#63905,#63907,#63909,#63911,#63913,#63915, +#63917,#63919,#63921)); +#63923=FACE_OUTER_BOUND('',#63922,.F.); +#63925=CARTESIAN_POINT('',(9.195E0,1.62E1,-1.8E0)); +#63926=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63927=DIRECTION('',(0.E0,-1.E0,0.E0)); +#63928=AXIS2_PLACEMENT_3D('',#63925,#63926,#63927); +#63929=PLANE('',#63928); +#63930=ORIENTED_EDGE('',*,*,#63569,.T.); +#63932=ORIENTED_EDGE('',*,*,#63931,.F.); +#63934=ORIENTED_EDGE('',*,*,#63933,.T.); +#63935=ORIENTED_EDGE('',*,*,#63681,.T.); +#63937=ORIENTED_EDGE('',*,*,#63936,.F.); +#63939=ORIENTED_EDGE('',*,*,#63938,.T.); +#63941=ORIENTED_EDGE('',*,*,#63940,.T.); +#63943=ORIENTED_EDGE('',*,*,#63942,.T.); +#63944=EDGE_LOOP('',(#63930,#63932,#63934,#63935,#63937,#63939,#63941,#63943)); +#63945=FACE_OUTER_BOUND('',#63944,.F.); +#63947=CARTESIAN_POINT('',(9.195E0,1.62E1,-1.8E0)); +#63948=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63949=DIRECTION('',(0.E0,-1.E0,0.E0)); +#63950=AXIS2_PLACEMENT_3D('',#63947,#63948,#63949); +#63951=PLANE('',#63950); +#63953=ORIENTED_EDGE('',*,*,#63952,.F.); +#63955=ORIENTED_EDGE('',*,*,#63954,.F.); +#63956=ORIENTED_EDGE('',*,*,#63577,.T.); +#63958=ORIENTED_EDGE('',*,*,#63957,.F.); +#63959=ORIENTED_EDGE('',*,*,#63696,.T.); +#63960=EDGE_LOOP('',(#63953,#63955,#63956,#63958,#63959)); +#63961=FACE_OUTER_BOUND('',#63960,.F.); +#63963=CARTESIAN_POINT('',(9.195E0,1.62E1,-1.8E0)); +#63964=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63965=DIRECTION('',(0.E0,-1.E0,0.E0)); +#63966=AXIS2_PLACEMENT_3D('',#63963,#63964,#63965); +#63967=PLANE('',#63966); +#63968=ORIENTED_EDGE('',*,*,#63593,.T.); +#63969=ORIENTED_EDGE('',*,*,#63809,.F.); +#63970=ORIENTED_EDGE('',*,*,#63795,.T.); +#63971=ORIENTED_EDGE('',*,*,#63651,.T.); +#63973=ORIENTED_EDGE('',*,*,#63972,.T.); +#63974=EDGE_LOOP('',(#63968,#63969,#63970,#63971,#63973)); +#63975=FACE_OUTER_BOUND('',#63974,.F.); +#63977=CARTESIAN_POINT('',(9.195E0,1.62E1,-1.8E0)); +#63978=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63979=DIRECTION('',(0.E0,-1.E0,0.E0)); +#63980=AXIS2_PLACEMENT_3D('',#63977,#63978,#63979); +#63981=PLANE('',#63980); +#63982=ORIENTED_EDGE('',*,*,#63850,.F.); +#63983=ORIENTED_EDGE('',*,*,#63839,.F.); +#63984=ORIENTED_EDGE('',*,*,#63601,.T.); +#63986=ORIENTED_EDGE('',*,*,#63985,.F.); +#63987=ORIENTED_EDGE('',*,*,#63663,.T.); +#63988=EDGE_LOOP('',(#63982,#63983,#63984,#63986,#63987)); +#63989=FACE_OUTER_BOUND('',#63988,.F.); +#63991=CARTESIAN_POINT('',(9.195E0,1.62E1,-1.8E0)); +#63992=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63993=DIRECTION('',(0.E0,-1.E0,0.E0)); +#63994=AXIS2_PLACEMENT_3D('',#63991,#63992,#63993); +#63995=PLANE('',#63994); +#63996=ORIENTED_EDGE('',*,*,#63550,.T.); +#63997=ORIENTED_EDGE('',*,*,#63619,.F.); +#63998=ORIENTED_EDGE('',*,*,#63634,.T.); +#63999=ORIENTED_EDGE('',*,*,#63714,.T.); +#64001=ORIENTED_EDGE('',*,*,#64000,.T.); +#64002=EDGE_LOOP('',(#63996,#63997,#63998,#63999,#64001)); +#64003=FACE_OUTER_BOUND('',#64002,.F.); +#64005=CARTESIAN_POINT('',(-1.34E1,-8.84E0,2.E0)); +#64006=DIRECTION('',(0.E0,-1.E0,0.E0)); +#64007=DIRECTION('',(1.E0,0.E0,0.E0)); +#64008=AXIS2_PLACEMENT_3D('',#64005,#64006,#64007); +#64009=CYLINDRICAL_SURFACE('',#64008,5.5E0); +#64011=ORIENTED_EDGE('',*,*,#64010,.F.); +#64013=ORIENTED_EDGE('',*,*,#64012,.F.); +#64015=ORIENTED_EDGE('',*,*,#64014,.F.); +#64016=ORIENTED_EDGE('',*,*,#63879,.F.); +#64018=ORIENTED_EDGE('',*,*,#64017,.F.); +#64019=ORIENTED_EDGE('',*,*,#63863,.T.); +#64021=ORIENTED_EDGE('',*,*,#64020,.F.); +#64023=ORIENTED_EDGE('',*,*,#64022,.F.); +#64025=ORIENTED_EDGE('',*,*,#64024,.F.); +#64027=ORIENTED_EDGE('',*,*,#64026,.T.); +#64028=EDGE_LOOP('',(#64011,#64013,#64015,#64016,#64018,#64019,#64021,#64023, +#64025,#64027)); +#64029=FACE_OUTER_BOUND('',#64028,.F.); +#64031=CARTESIAN_POINT('',(-8.3E0,-8.84E0,-5.912602819740E-2)); +#64032=DIRECTION('',(9.686303613654E-1,0.E0,2.485059819020E-1)); +#64033=DIRECTION('',(-2.485059819020E-1,0.E0,9.686303613654E-1)); +#64034=AXIS2_PLACEMENT_3D('',#64031,#64032,#64033); +#64035=PLANE('',#64034); +#64037=ORIENTED_EDGE('',*,*,#64036,.T.); +#64039=ORIENTED_EDGE('',*,*,#64038,.T.); +#64041=ORIENTED_EDGE('',*,*,#64040,.F.); +#64043=ORIENTED_EDGE('',*,*,#64042,.F.); +#64044=ORIENTED_EDGE('',*,*,#64010,.T.); +#64046=ORIENTED_EDGE('',*,*,#64045,.T.); +#64047=EDGE_LOOP('',(#64037,#64039,#64041,#64043,#64044,#64046)); +#64048=FACE_OUTER_BOUND('',#64047,.F.); +#64050=CARTESIAN_POINT('',(-9.566950798480E0,-8.494896655172E0, +8.551658855212E-1)); +#64051=DIRECTION('',(0.E0,1.E0,0.E0)); +#64052=DIRECTION('',(5.166186799703E-1,0.E0,8.562155917208E-1)); +#64053=AXIS2_PLACEMENT_3D('',#64050,#64051,#64052); +#64054=CYLINDRICAL_SURFACE('',#64053,1.E0); +#64056=ORIENTED_EDGE('',*,*,#64055,.T.); +#64058=ORIENTED_EDGE('',*,*,#64057,.T.); +#64059=ORIENTED_EDGE('',*,*,#64036,.F.); +#64061=ORIENTED_EDGE('',*,*,#64060,.T.); +#64063=ORIENTED_EDGE('',*,*,#64062,.T.); +#64065=ORIENTED_EDGE('',*,*,#64064,.T.); +#64066=EDGE_LOOP('',(#64056,#64058,#64059,#64061,#64063,#64065)); +#64067=FACE_OUTER_BOUND('',#64066,.F.); +#64069=CARTESIAN_POINT('',(-1.068836692402E1,-7.34E0,2.349350914205E0)); +#64070=DIRECTION('',(3.653045718946E-1,7.071067811865E-1,6.054358510634E-1)); +#64071=DIRECTION('',(-9.308880543615E-1,2.774870069230E-1,2.375887018274E-1)); +#64072=AXIS2_PLACEMENT_3D('',#64069,#64070,#64071); +#64073=PLANE('',#64072); +#64074=ORIENTED_EDGE('',*,*,#64055,.F.); +#64076=ORIENTED_EDGE('',*,*,#64075,.F.); +#64078=ORIENTED_EDGE('',*,*,#64077,.F.); +#64080=ORIENTED_EDGE('',*,*,#64079,.T.); +#64082=ORIENTED_EDGE('',*,*,#64081,.F.); +#64083=EDGE_LOOP('',(#64074,#64076,#64078,#64080,#64082)); +#64084=FACE_OUTER_BOUND('',#64083,.F.); +#64086=CARTESIAN_POINT('',(-8.7E0,-8.84E0,1.5E0)); +#64087=DIRECTION('',(5.166186799703E-1,0.E0,8.562155917208E-1)); +#64088=DIRECTION('',(-8.562155917208E-1,0.E0,5.166186799703E-1)); +#64089=AXIS2_PLACEMENT_3D('',#64086,#64087,#64088); +#64090=PLANE('',#64089); +#64091=ORIENTED_EDGE('',*,*,#64064,.F.); +#64093=ORIENTED_EDGE('',*,*,#64092,.T.); +#64095=ORIENTED_EDGE('',*,*,#64094,.T.); +#64096=ORIENTED_EDGE('',*,*,#64075,.T.); +#64097=EDGE_LOOP('',(#64091,#64093,#64095,#64096)); +#64098=FACE_OUTER_BOUND('',#64097,.F.); +#64100=CARTESIAN_POINT('',(-1.007690668725E1,-8.54E0,1.980411381419E0)); +#64101=DIRECTION('',(3.653045718946E-1,-7.071067811865E-1,6.054358510634E-1)); +#64102=DIRECTION('',(-9.308880543615E-1,-2.774870069230E-1,2.375887018274E-1)); +#64103=AXIS2_PLACEMENT_3D('',#64100,#64101,#64102); +#64104=PLANE('',#64103); +#64105=ORIENTED_EDGE('',*,*,#64062,.F.); +#64107=ORIENTED_EDGE('',*,*,#64106,.T.); +#64109=ORIENTED_EDGE('',*,*,#64108,.T.); +#64111=ORIENTED_EDGE('',*,*,#64110,.T.); +#64112=ORIENTED_EDGE('',*,*,#64092,.F.); +#64113=EDGE_LOOP('',(#64105,#64107,#64109,#64111,#64112)); +#64114=FACE_OUTER_BOUND('',#64113,.F.); +#64116=CARTESIAN_POINT('',(-8.693726072273E0,-8.64E0,6.707357895209E-1)); +#64117=DIRECTION('',(6.849250969847E-1,-7.071067811865E-1,1.757202649683E-1)); +#64118=DIRECTION('',(1.222575296178E-1,-1.262169084247E-1,-9.844401396121E-1)); +#64119=AXIS2_PLACEMENT_3D('',#64116,#64117,#64118); +#64120=PLANE('',#64119); +#64121=ORIENTED_EDGE('',*,*,#64060,.F.); +#64122=ORIENTED_EDGE('',*,*,#64045,.F.); +#64124=ORIENTED_EDGE('',*,*,#64123,.T.); +#64126=ORIENTED_EDGE('',*,*,#64125,.T.); +#64127=ORIENTED_EDGE('',*,*,#64106,.F.); +#64128=EDGE_LOOP('',(#64121,#64122,#64124,#64126,#64127)); +#64129=FACE_OUTER_BOUND('',#64128,.F.); +#64131=CARTESIAN_POINT('',(-1.34E1,-8.639999536513E0,2.E0)); +#64132=DIRECTION('',(0.E0,1.E0,0.E0)); +#64133=DIRECTION('',(0.E0,0.E0,-1.E0)); +#64134=AXIS2_PLACEMENT_3D('',#64131,#64132,#64133); +#64135=CONICAL_SURFACE('',#64134,5.299999573338E0,4.499999518851E1); +#64136=ORIENTED_EDGE('',*,*,#64123,.F.); +#64137=ORIENTED_EDGE('',*,*,#64026,.F.); +#64139=ORIENTED_EDGE('',*,*,#64138,.T.); +#64141=ORIENTED_EDGE('',*,*,#64140,.T.); +#64142=EDGE_LOOP('',(#64136,#64137,#64139,#64141)); +#64143=FACE_OUTER_BOUND('',#64142,.F.); +#64145=CARTESIAN_POINT('',(-1.810627392773E1,-8.64E0,6.707357895209E-1)); +#64146=DIRECTION('',(-6.849250969847E-1,-7.071067811865E-1,1.757202649683E-1)); +#64147=DIRECTION('',(-1.222575296178E-1,-1.262169084247E-1,-9.844401396121E-1)); +#64148=AXIS2_PLACEMENT_3D('',#64145,#64146,#64147); +#64149=PLANE('',#64148); +#64151=ORIENTED_EDGE('',*,*,#64150,.F.); +#64153=ORIENTED_EDGE('',*,*,#64152,.T.); +#64155=ORIENTED_EDGE('',*,*,#64154,.T.); +#64156=ORIENTED_EDGE('',*,*,#64138,.F.); +#64158=ORIENTED_EDGE('',*,*,#64157,.F.); +#64159=EDGE_LOOP('',(#64151,#64153,#64155,#64156,#64158)); +#64160=FACE_OUTER_BOUND('',#64159,.F.); +#64162=CARTESIAN_POINT('',(-1.723304920152E1,-8.477930444753E0, +8.551658855212E-1)); +#64163=DIRECTION('',(0.E0,1.E0,0.E0)); +#64164=DIRECTION('',(-9.686303613654E-1,0.E0,2.485059819020E-1)); +#64165=AXIS2_PLACEMENT_3D('',#64162,#64163,#64164); +#64166=CYLINDRICAL_SURFACE('',#64165,1.E0); +#64168=ORIENTED_EDGE('',*,*,#64167,.T.); +#64170=ORIENTED_EDGE('',*,*,#64169,.T.); +#64172=ORIENTED_EDGE('',*,*,#64171,.F.); +#64174=ORIENTED_EDGE('',*,*,#64173,.T.); +#64175=ORIENTED_EDGE('',*,*,#64150,.T.); +#64177=ORIENTED_EDGE('',*,*,#64176,.T.); +#64178=EDGE_LOOP('',(#64168,#64170,#64172,#64174,#64175,#64177)); +#64179=FACE_OUTER_BOUND('',#64178,.F.); +#64181=CARTESIAN_POINT('',(-1.798269982224E1,-7.34E0,7.5E-1)); +#64182=DIRECTION('',(-6.849250969847E-1,7.071067811865E-1,1.757202649683E-1)); +#64183=DIRECTION('',(-1.222575296178E-1,1.262169084247E-1,-9.844401396121E-1)); +#64184=AXIS2_PLACEMENT_3D('',#64181,#64182,#64183); +#64185=PLANE('',#64184); +#64186=ORIENTED_EDGE('',*,*,#64167,.F.); +#64188=ORIENTED_EDGE('',*,*,#64187,.F.); +#64190=ORIENTED_EDGE('',*,*,#64189,.T.); +#64192=ORIENTED_EDGE('',*,*,#64191,.T.); +#64194=ORIENTED_EDGE('',*,*,#64193,.F.); +#64195=EDGE_LOOP('',(#64186,#64188,#64190,#64192,#64194)); +#64196=FACE_OUTER_BOUND('',#64195,.F.); +#64198=CARTESIAN_POINT('',(-1.81E1,-8.84E0,1.5E0)); +#64199=DIRECTION('',(-9.686303613654E-1,0.E0,2.485059819020E-1)); +#64200=DIRECTION('',(-2.485059819020E-1,0.E0,-9.686303613654E-1)); +#64201=AXIS2_PLACEMENT_3D('',#64198,#64199,#64200); +#64202=PLANE('',#64201); +#64203=ORIENTED_EDGE('',*,*,#64176,.F.); +#64204=ORIENTED_EDGE('',*,*,#64157,.T.); +#64205=ORIENTED_EDGE('',*,*,#64024,.T.); +#64207=ORIENTED_EDGE('',*,*,#64206,.F.); +#64209=ORIENTED_EDGE('',*,*,#64208,.T.); +#64210=ORIENTED_EDGE('',*,*,#64187,.T.); +#64211=EDGE_LOOP('',(#64203,#64204,#64205,#64207,#64209,#64210)); +#64212=FACE_OUTER_BOUND('',#64211,.F.); +#64214=CARTESIAN_POINT('',(-2.59E1,-8.14E0,-2.E-1)); +#64215=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#64216=DIRECTION('',(-1.E0,0.E0,0.E0)); +#64217=AXIS2_PLACEMENT_3D('',#64214,#64215,#64216); +#64218=PLANE('',#64217); +#64220=ORIENTED_EDGE('',*,*,#64219,.F.); +#64221=ORIENTED_EDGE('',*,*,#64206,.T.); +#64222=ORIENTED_EDGE('',*,*,#64022,.T.); +#64224=ORIENTED_EDGE('',*,*,#64223,.T.); +#64226=ORIENTED_EDGE('',*,*,#64225,.T.); +#64228=ORIENTED_EDGE('',*,*,#64227,.T.); +#64229=EDGE_LOOP('',(#64220,#64221,#64222,#64224,#64226,#64228)); +#64230=FACE_OUTER_BOUND('',#64229,.F.); +#64232=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#64233=DIRECTION('',(0.E0,0.E0,1.E0)); +#64234=DIRECTION('',(1.E0,0.E0,0.E0)); +#64235=AXIS2_PLACEMENT_3D('',#64232,#64233,#64234); +#64236=PLANE('',#64235); +#64238=ORIENTED_EDGE('',*,*,#64237,.F.); +#64240=ORIENTED_EDGE('',*,*,#64239,.F.); +#64242=ORIENTED_EDGE('',*,*,#64241,.F.); +#64244=ORIENTED_EDGE('',*,*,#64243,.T.); +#64246=ORIENTED_EDGE('',*,*,#64245,.T.); +#64248=ORIENTED_EDGE('',*,*,#64247,.F.); +#64250=ORIENTED_EDGE('',*,*,#64249,.F.); +#64252=ORIENTED_EDGE('',*,*,#64251,.F.); +#64254=ORIENTED_EDGE('',*,*,#64253,.F.); +#64256=ORIENTED_EDGE('',*,*,#64255,.T.); +#64258=ORIENTED_EDGE('',*,*,#64257,.T.); +#64260=ORIENTED_EDGE('',*,*,#64259,.T.); +#64262=ORIENTED_EDGE('',*,*,#64261,.T.); +#64264=ORIENTED_EDGE('',*,*,#64263,.T.); +#64265=ORIENTED_EDGE('',*,*,#64040,.T.); +#64267=ORIENTED_EDGE('',*,*,#64266,.F.); +#64269=ORIENTED_EDGE('',*,*,#64268,.F.); +#64270=ORIENTED_EDGE('',*,*,#64189,.F.); +#64271=ORIENTED_EDGE('',*,*,#64208,.F.); +#64272=ORIENTED_EDGE('',*,*,#64219,.T.); +#64274=ORIENTED_EDGE('',*,*,#64273,.T.); +#64276=ORIENTED_EDGE('',*,*,#64275,.F.); +#64278=ORIENTED_EDGE('',*,*,#64277,.F.); +#64280=ORIENTED_EDGE('',*,*,#64279,.F.); +#64282=ORIENTED_EDGE('',*,*,#64281,.F.); +#64284=ORIENTED_EDGE('',*,*,#64283,.T.); +#64286=ORIENTED_EDGE('',*,*,#64285,.T.); +#64288=ORIENTED_EDGE('',*,*,#64287,.T.); +#64290=ORIENTED_EDGE('',*,*,#64289,.T.); +#64292=ORIENTED_EDGE('',*,*,#64291,.T.); +#64294=ORIENTED_EDGE('',*,*,#64293,.T.); +#64296=ORIENTED_EDGE('',*,*,#64295,.F.); +#64297=EDGE_LOOP('',(#64238,#64240,#64242,#64244,#64246,#64248,#64250,#64252, +#64254,#64256,#64258,#64260,#64262,#64264,#64265,#64267,#64269,#64270,#64271, +#64272,#64274,#64276,#64278,#64280,#64282,#64284,#64286,#64288,#64290,#64292, +#64294,#64296)); +#64298=FACE_OUTER_BOUND('',#64297,.F.); +#64300=ORIENTED_EDGE('',*,*,#64299,.F.); +#64302=ORIENTED_EDGE('',*,*,#64301,.F.); +#64304=ORIENTED_EDGE('',*,*,#64303,.F.); +#64306=ORIENTED_EDGE('',*,*,#64305,.F.); +#64308=ORIENTED_EDGE('',*,*,#64307,.F.); +#64310=ORIENTED_EDGE('',*,*,#64309,.F.); +#64312=ORIENTED_EDGE('',*,*,#64311,.F.); +#64314=ORIENTED_EDGE('',*,*,#64313,.F.); +#64315=EDGE_LOOP('',(#64300,#64302,#64304,#64306,#64308,#64310,#64312,#64314)); +#64316=FACE_BOUND('',#64315,.F.); +#64318=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#64319=DIRECTION('',(0.E0,0.E0,1.E0)); +#64320=DIRECTION('',(1.E0,0.E0,0.E0)); +#64321=AXIS2_PLACEMENT_3D('',#64318,#64319,#64320); +#64322=PLANE('',#64321); +#64324=ORIENTED_EDGE('',*,*,#64323,.F.); +#64326=ORIENTED_EDGE('',*,*,#64325,.F.); +#64328=ORIENTED_EDGE('',*,*,#64327,.T.); +#64330=ORIENTED_EDGE('',*,*,#64329,.F.); +#64332=ORIENTED_EDGE('',*,*,#64331,.F.); +#64334=ORIENTED_EDGE('',*,*,#64333,.F.); +#64336=ORIENTED_EDGE('',*,*,#64335,.F.); +#64338=ORIENTED_EDGE('',*,*,#64337,.T.); +#64340=ORIENTED_EDGE('',*,*,#64339,.T.); +#64342=ORIENTED_EDGE('',*,*,#64341,.F.); +#64344=ORIENTED_EDGE('',*,*,#64343,.F.); +#64346=ORIENTED_EDGE('',*,*,#64345,.F.); +#64348=ORIENTED_EDGE('',*,*,#64347,.F.); +#64350=ORIENTED_EDGE('',*,*,#64349,.T.); +#64352=ORIENTED_EDGE('',*,*,#64351,.T.); +#64354=ORIENTED_EDGE('',*,*,#64353,.T.); +#64356=ORIENTED_EDGE('',*,*,#64355,.T.); +#64358=ORIENTED_EDGE('',*,*,#64357,.T.); +#64360=ORIENTED_EDGE('',*,*,#64359,.T.); +#64362=ORIENTED_EDGE('',*,*,#64361,.F.); +#64364=ORIENTED_EDGE('',*,*,#64363,.F.); +#64366=ORIENTED_EDGE('',*,*,#64365,.F.); +#64368=ORIENTED_EDGE('',*,*,#64367,.F.); +#64370=ORIENTED_EDGE('',*,*,#64369,.T.); +#64372=ORIENTED_EDGE('',*,*,#64371,.T.); +#64374=ORIENTED_EDGE('',*,*,#64373,.F.); +#64376=ORIENTED_EDGE('',*,*,#64375,.F.); +#64378=ORIENTED_EDGE('',*,*,#64377,.F.); +#64380=ORIENTED_EDGE('',*,*,#64379,.F.); +#64382=ORIENTED_EDGE('',*,*,#64381,.F.); +#64384=ORIENTED_EDGE('',*,*,#64383,.F.); +#64386=ORIENTED_EDGE('',*,*,#64385,.F.); +#64387=EDGE_LOOP('',(#64324,#64326,#64328,#64330,#64332,#64334,#64336,#64338, +#64340,#64342,#64344,#64346,#64348,#64350,#64352,#64354,#64356,#64358,#64360, +#64362,#64364,#64366,#64368,#64370,#64372,#64374,#64376,#64378,#64380,#64382, +#64384,#64386)); +#64388=FACE_OUTER_BOUND('',#64387,.F.); +#64390=ORIENTED_EDGE('',*,*,#64389,.F.); +#64392=ORIENTED_EDGE('',*,*,#64391,.F.); +#64394=ORIENTED_EDGE('',*,*,#64393,.F.); +#64396=ORIENTED_EDGE('',*,*,#64395,.F.); +#64398=ORIENTED_EDGE('',*,*,#64397,.F.); +#64400=ORIENTED_EDGE('',*,*,#64399,.F.); +#64402=ORIENTED_EDGE('',*,*,#64401,.F.); +#64404=ORIENTED_EDGE('',*,*,#64403,.F.); +#64405=EDGE_LOOP('',(#64390,#64392,#64394,#64396,#64398,#64400,#64402,#64404)); +#64406=FACE_BOUND('',#64405,.F.); +#64408=CARTESIAN_POINT('',(-5.760000012806E1,-1.14E0,-3.000000473364E-1)); +#64409=DIRECTION('',(0.E0,0.E0,1.E0)); +#64410=DIRECTION('',(0.E0,-1.E0,0.E0)); +#64411=AXIS2_PLACEMENT_3D('',#64408,#64409,#64410); +#64412=CONICAL_SURFACE('',#64411,5.580000112955E0,4.500000082294E1); +#64413=ORIENTED_EDGE('',*,*,#64299,.T.); +#64415=ORIENTED_EDGE('',*,*,#64414,.T.); +#64417=ORIENTED_EDGE('',*,*,#64416,.F.); +#64419=ORIENTED_EDGE('',*,*,#64418,.F.); +#64420=EDGE_LOOP('',(#64413,#64415,#64417,#64419)); +#64421=FACE_OUTER_BOUND('',#64420,.F.); +#64423=CARTESIAN_POINT('',(-5.977512976850E1,6.579698655478E0,-3.E-1)); +#64424=DIRECTION('',(6.222987260951E-1,-3.357741733673E-1,7.071067811866E-1)); +#64425=DIRECTION('',(-2.218307770050E-1,-9.419425165580E-1,-2.520622976049E-1)); +#64426=AXIS2_PLACEMENT_3D('',#64423,#64424,#64425); +#64427=PLANE('',#64426); +#64428=ORIENTED_EDGE('',*,*,#64313,.T.); +#64430=ORIENTED_EDGE('',*,*,#64429,.T.); +#64432=ORIENTED_EDGE('',*,*,#64431,.F.); +#64433=ORIENTED_EDGE('',*,*,#64414,.F.); +#64434=EDGE_LOOP('',(#64428,#64430,#64432,#64433)); +#64435=FACE_OUTER_BOUND('',#64434,.F.); +#64437=CARTESIAN_POINT('',(-5.2128753E1,9.E0,-2.999958827758E-1)); +#64438=DIRECTION('',(0.E0,0.E0,1.E0)); +#64439=DIRECTION('',(0.E0,-1.E0,0.E0)); +#64440=AXIS2_PLACEMENT_3D('',#64437,#64438,#64439); +#64441=CONICAL_SURFACE('',#64440,5.579995936579E0,4.499995800032E1); +#64442=ORIENTED_EDGE('',*,*,#64311,.T.); +#64444=ORIENTED_EDGE('',*,*,#64443,.T.); +#64446=ORIENTED_EDGE('',*,*,#64445,.F.); +#64447=ORIENTED_EDGE('',*,*,#64429,.F.); +#64448=EDGE_LOOP('',(#64442,#64444,#64446,#64447)); +#64449=FACE_OUTER_BOUND('',#64448,.F.); +#64451=CARTESIAN_POINT('',(-2.17893765E1,1.458E1,-3.E-1)); +#64452=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#64453=DIRECTION('',(-1.E0,0.E0,0.E0)); +#64454=AXIS2_PLACEMENT_3D('',#64451,#64452,#64453); +#64455=PLANE('',#64454); +#64456=ORIENTED_EDGE('',*,*,#64309,.T.); +#64458=ORIENTED_EDGE('',*,*,#64457,.T.); +#64460=ORIENTED_EDGE('',*,*,#64459,.F.); +#64461=ORIENTED_EDGE('',*,*,#64443,.F.); +#64462=EDGE_LOOP('',(#64456,#64458,#64460,#64461)); +#64463=FACE_OUTER_BOUND('',#64462,.F.); +#64465=CARTESIAN_POINT('',(8.55E0,8.48E0,-2.999988504209E-1)); +#64466=DIRECTION('',(0.E0,0.E0,1.E0)); +#64467=DIRECTION('',(0.E0,-1.E0,0.E0)); +#64468=AXIS2_PLACEMENT_3D('',#64465,#64466,#64467); +#64469=CONICAL_SURFACE('',#64468,6.099998902814E0,4.499998944835E1); +#64470=ORIENTED_EDGE('',*,*,#64307,.T.); +#64472=ORIENTED_EDGE('',*,*,#64471,.T.); +#64474=ORIENTED_EDGE('',*,*,#64473,.F.); +#64475=ORIENTED_EDGE('',*,*,#64457,.F.); +#64476=EDGE_LOOP('',(#64470,#64472,#64474,#64475)); +#64477=FACE_OUTER_BOUND('',#64476,.F.); +#64479=CARTESIAN_POINT('',(1.465E1,3.93E0,-3.E-1)); +#64480=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#64481=DIRECTION('',(0.E0,-1.E0,0.E0)); +#64482=AXIS2_PLACEMENT_3D('',#64479,#64480,#64481); +#64483=PLANE('',#64482); +#64484=ORIENTED_EDGE('',*,*,#64305,.T.); +#64486=ORIENTED_EDGE('',*,*,#64485,.T.); +#64488=ORIENTED_EDGE('',*,*,#64487,.F.); +#64489=ORIENTED_EDGE('',*,*,#64471,.F.); +#64490=EDGE_LOOP('',(#64484,#64486,#64488,#64489)); +#64491=FACE_OUTER_BOUND('',#64490,.F.); +#64493=CARTESIAN_POINT('',(8.55E0,-6.2E-1,-2.999988504209E-1)); +#64494=DIRECTION('',(0.E0,0.E0,1.E0)); +#64495=DIRECTION('',(0.E0,-1.E0,0.E0)); +#64496=AXIS2_PLACEMENT_3D('',#64493,#64494,#64495); +#64497=CONICAL_SURFACE('',#64496,6.099998902814E0,4.499998944835E1); +#64498=ORIENTED_EDGE('',*,*,#64303,.T.); +#64500=ORIENTED_EDGE('',*,*,#64499,.T.); +#64502=ORIENTED_EDGE('',*,*,#64501,.F.); +#64503=ORIENTED_EDGE('',*,*,#64485,.F.); +#64504=EDGE_LOOP('',(#64498,#64500,#64502,#64503)); +#64505=FACE_OUTER_BOUND('',#64504,.F.); +#64507=CARTESIAN_POINT('',(-2.452500006403E1,-6.72E0,-3.E-1)); +#64508=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#64509=DIRECTION('',(-1.E0,0.E0,0.E0)); +#64510=AXIS2_PLACEMENT_3D('',#64507,#64508,#64509); +#64511=PLANE('',#64510); +#64512=ORIENTED_EDGE('',*,*,#64301,.T.); +#64513=ORIENTED_EDGE('',*,*,#64418,.T.); +#64515=ORIENTED_EDGE('',*,*,#64514,.F.); +#64516=ORIENTED_EDGE('',*,*,#64499,.F.); +#64517=EDGE_LOOP('',(#64512,#64513,#64515,#64516)); +#64518=FACE_OUTER_BOUND('',#64517,.F.); +#64520=CARTESIAN_POINT('',(-5.760000012806E1,-6.42E0,0.E0)); +#64521=DIRECTION('',(0.E0,-1.E0,0.E0)); +#64522=DIRECTION('',(1.E0,0.E0,0.E0)); +#64523=AXIS2_PLACEMENT_3D('',#64520,#64521,#64522); +#64524=PLANE('',#64523); +#64526=ORIENTED_EDGE('',*,*,#64525,.F.); +#64528=ORIENTED_EDGE('',*,*,#64527,.F.); +#64530=ORIENTED_EDGE('',*,*,#64529,.T.); +#64532=ORIENTED_EDGE('',*,*,#64531,.F.); +#64533=ORIENTED_EDGE('',*,*,#64514,.T.); +#64535=ORIENTED_EDGE('',*,*,#64534,.T.); +#64537=ORIENTED_EDGE('',*,*,#64536,.T.); +#64539=ORIENTED_EDGE('',*,*,#64538,.T.); +#64541=ORIENTED_EDGE('',*,*,#64540,.F.); +#64543=ORIENTED_EDGE('',*,*,#64542,.F.); +#64545=ORIENTED_EDGE('',*,*,#64544,.T.); +#64547=ORIENTED_EDGE('',*,*,#64546,.T.); +#64548=EDGE_LOOP('',(#64526,#64528,#64530,#64532,#64533,#64535,#64537,#64539, +#64541,#64543,#64545,#64547)); +#64549=FACE_OUTER_BOUND('',#64548,.F.); +#64551=CARTESIAN_POINT('',(-5.135E1,-4.87E0,-8.75E0)); +#64552=DIRECTION('',(0.E0,-7.577688023534E-1,-6.525231353599E-1)); +#64553=DIRECTION('',(0.E0,-6.525231353599E-1,7.577688023534E-1)); +#64554=AXIS2_PLACEMENT_3D('',#64551,#64552,#64553); +#64555=PLANE('',#64554); +#64557=ORIENTED_EDGE('',*,*,#64556,.F.); +#64559=ORIENTED_EDGE('',*,*,#64558,.F.); +#64561=ORIENTED_EDGE('',*,*,#64560,.T.); +#64562=ORIENTED_EDGE('',*,*,#64525,.T.); +#64563=EDGE_LOOP('',(#64557,#64559,#64561,#64562)); +#64564=FACE_OUTER_BOUND('',#64563,.F.); +#64566=CARTESIAN_POINT('',(-5.135E1,-4.87E0,-8.75E0)); +#64567=DIRECTION('',(0.E0,-7.577688023534E-1,-6.525231353599E-1)); +#64568=DIRECTION('',(0.E0,-6.525231353599E-1,7.577688023534E-1)); +#64569=AXIS2_PLACEMENT_3D('',#64566,#64567,#64568); +#64570=PLANE('',#64569); +#64572=ORIENTED_EDGE('',*,*,#64571,.T.); +#64573=ORIENTED_EDGE('',*,*,#64540,.T.); +#64575=ORIENTED_EDGE('',*,*,#64574,.F.); +#64577=ORIENTED_EDGE('',*,*,#64576,.F.); +#64578=EDGE_LOOP('',(#64572,#64573,#64575,#64577)); +#64579=FACE_OUTER_BOUND('',#64578,.F.); +#64581=CARTESIAN_POINT('',(3.85E0,-4.87E0,-6.95E0)); +#64582=DIRECTION('',(-1.E0,0.E0,0.E0)); +#64583=DIRECTION('',(0.E0,-1.E0,0.E0)); +#64584=AXIS2_PLACEMENT_3D('',#64581,#64582,#64583); +#64585=PLANE('',#64584); +#64586=ORIENTED_EDGE('',*,*,#64556,.T.); +#64587=ORIENTED_EDGE('',*,*,#64546,.F.); +#64589=ORIENTED_EDGE('',*,*,#64588,.T.); +#64591=ORIENTED_EDGE('',*,*,#64590,.F.); +#64592=EDGE_LOOP('',(#64586,#64587,#64589,#64591)); +#64593=FACE_OUTER_BOUND('',#64592,.F.); +#64595=CARTESIAN_POINT('',(8.6326692E1,-3.72E0,-1.995E1)); +#64596=DIRECTION('',(0.E0,8.671055424708E-1,4.981244605683E-1)); +#64597=DIRECTION('',(0.E0,-4.981244605683E-1,8.671055424708E-1)); +#64598=AXIS2_PLACEMENT_3D('',#64595,#64596,#64597); +#64599=PLANE('',#64598); +#64601=ORIENTED_EDGE('',*,*,#64600,.T.); +#64603=ORIENTED_EDGE('',*,*,#64602,.T.); +#64605=ORIENTED_EDGE('',*,*,#64604,.F.); +#64607=ORIENTED_EDGE('',*,*,#64606,.F.); +#64609=ORIENTED_EDGE('',*,*,#64608,.F.); +#64611=ORIENTED_EDGE('',*,*,#64610,.T.); +#64613=ORIENTED_EDGE('',*,*,#64612,.F.); +#64615=ORIENTED_EDGE('',*,*,#64614,.T.); +#64617=ORIENTED_EDGE('',*,*,#64616,.F.); +#64619=ORIENTED_EDGE('',*,*,#64618,.T.); +#64621=ORIENTED_EDGE('',*,*,#64620,.F.); +#64623=ORIENTED_EDGE('',*,*,#64622,.F.); +#64625=ORIENTED_EDGE('',*,*,#64624,.T.); +#64627=ORIENTED_EDGE('',*,*,#64626,.T.); +#64629=ORIENTED_EDGE('',*,*,#64628,.F.); +#64631=ORIENTED_EDGE('',*,*,#64630,.F.); +#64632=EDGE_LOOP('',(#64601,#64603,#64605,#64607,#64609,#64611,#64613,#64615, +#64617,#64619,#64621,#64623,#64625,#64627,#64629,#64631)); +#64633=FACE_OUTER_BOUND('',#64632,.F.); +#64635=CARTESIAN_POINT('',(8.6326692E1,-3.72E0,-1.995E1)); +#64636=DIRECTION('',(0.E0,8.671055424708E-1,4.981244605683E-1)); +#64637=DIRECTION('',(0.E0,-4.981244605683E-1,8.671055424708E-1)); +#64638=AXIS2_PLACEMENT_3D('',#64635,#64636,#64637); +#64639=PLANE('',#64638); +#64641=ORIENTED_EDGE('',*,*,#64640,.T.); +#64643=ORIENTED_EDGE('',*,*,#64642,.T.); +#64644=ORIENTED_EDGE('',*,*,#64588,.F.); +#64645=ORIENTED_EDGE('',*,*,#64544,.F.); +#64647=ORIENTED_EDGE('',*,*,#64646,.T.); +#64649=ORIENTED_EDGE('',*,*,#64648,.T.); +#64651=ORIENTED_EDGE('',*,*,#64650,.F.); +#64652=ORIENTED_EDGE('',*,*,#64536,.F.); +#64654=ORIENTED_EDGE('',*,*,#64653,.F.); +#64656=ORIENTED_EDGE('',*,*,#64655,.T.); +#64658=ORIENTED_EDGE('',*,*,#64657,.F.); +#64660=ORIENTED_EDGE('',*,*,#64659,.T.); +#64662=ORIENTED_EDGE('',*,*,#64661,.F.); +#64664=ORIENTED_EDGE('',*,*,#64663,.T.); +#64666=ORIENTED_EDGE('',*,*,#64665,.F.); +#64667=ORIENTED_EDGE('',*,*,#64529,.F.); +#64668=EDGE_LOOP('',(#64641,#64643,#64644,#64645,#64647,#64649,#64651,#64652, +#64654,#64656,#64658,#64660,#64662,#64664,#64666,#64667)); +#64669=FACE_OUTER_BOUND('',#64668,.F.); +#64671=CARTESIAN_POINT('',(2.67E1,-6.42E0,-6.95E0)); +#64672=DIRECTION('',(1.E0,0.E0,0.E0)); +#64673=DIRECTION('',(0.E0,1.E0,0.E0)); +#64674=AXIS2_PLACEMENT_3D('',#64671,#64672,#64673); +#64675=PLANE('',#64674); +#64677=ORIENTED_EDGE('',*,*,#64676,.F.); +#64679=ORIENTED_EDGE('',*,*,#64678,.T.); +#64680=ORIENTED_EDGE('',*,*,#64600,.F.); +#64682=ORIENTED_EDGE('',*,*,#64681,.T.); +#64683=EDGE_LOOP('',(#64677,#64679,#64680,#64682)); +#64684=FACE_OUTER_BOUND('',#64683,.F.); +#64686=CARTESIAN_POINT('',(2.52E1,-4.87E0,-8.75E0)); +#64687=DIRECTION('',(0.E0,-7.577688023534E-1,-6.525231353599E-1)); +#64688=DIRECTION('',(0.E0,-6.525231353599E-1,7.577688023534E-1)); +#64689=AXIS2_PLACEMENT_3D('',#64686,#64687,#64688); +#64690=PLANE('',#64689); +#64691=ORIENTED_EDGE('',*,*,#64676,.T.); +#64693=ORIENTED_EDGE('',*,*,#64692,.T.); +#64695=ORIENTED_EDGE('',*,*,#64694,.F.); +#64697=ORIENTED_EDGE('',*,*,#64696,.F.); +#64698=EDGE_LOOP('',(#64691,#64693,#64695,#64697)); +#64699=FACE_OUTER_BOUND('',#64698,.F.); +#64701=CARTESIAN_POINT('',(2.52E1,-4.87E0,-8.75E0)); +#64702=DIRECTION('',(0.E0,-7.577688023534E-1,-6.525231353599E-1)); +#64703=DIRECTION('',(0.E0,-6.525231353599E-1,7.577688023534E-1)); +#64704=AXIS2_PLACEMENT_3D('',#64701,#64702,#64703); +#64705=PLANE('',#64704); +#64707=ORIENTED_EDGE('',*,*,#64706,.F.); +#64709=ORIENTED_EDGE('',*,*,#64708,.F.); +#64711=ORIENTED_EDGE('',*,*,#64710,.T.); +#64713=ORIENTED_EDGE('',*,*,#64712,.T.); +#64714=EDGE_LOOP('',(#64707,#64709,#64711,#64713)); +#64715=FACE_OUTER_BOUND('',#64714,.F.); +#64717=CARTESIAN_POINT('',(2.43E1,-6.42E0,0.E0)); +#64718=DIRECTION('',(0.E0,-1.E0,0.E0)); +#64719=DIRECTION('',(1.E0,0.E0,0.E0)); +#64720=AXIS2_PLACEMENT_3D('',#64717,#64718,#64719); +#64721=PLANE('',#64720); +#64723=ORIENTED_EDGE('',*,*,#64722,.T.); +#64724=ORIENTED_EDGE('',*,*,#64692,.F.); +#64725=ORIENTED_EDGE('',*,*,#64681,.F.); +#64726=ORIENTED_EDGE('',*,*,#64630,.T.); +#64728=ORIENTED_EDGE('',*,*,#64727,.T.); +#64729=ORIENTED_EDGE('',*,*,#64712,.F.); +#64731=ORIENTED_EDGE('',*,*,#64730,.F.); +#64732=ORIENTED_EDGE('',*,*,#64622,.T.); +#64734=ORIENTED_EDGE('',*,*,#64733,.F.); +#64736=ORIENTED_EDGE('',*,*,#64735,.T.); +#64738=ORIENTED_EDGE('',*,*,#64737,.T.); +#64739=ORIENTED_EDGE('',*,*,#64606,.T.); +#64740=EDGE_LOOP('',(#64723,#64724,#64725,#64726,#64728,#64729,#64731,#64732, +#64734,#64736,#64738,#64739)); +#64741=FACE_OUTER_BOUND('',#64740,.F.); +#64743=CARTESIAN_POINT('',(2.52E1,-4.87E0,-6.95E0)); +#64744=DIRECTION('',(-1.E0,0.E0,0.E0)); +#64745=DIRECTION('',(0.E0,-1.E0,0.E0)); +#64746=AXIS2_PLACEMENT_3D('',#64743,#64744,#64745); +#64747=PLANE('',#64746); +#64748=ORIENTED_EDGE('',*,*,#64694,.T.); +#64749=ORIENTED_EDGE('',*,*,#64722,.F.); +#64750=ORIENTED_EDGE('',*,*,#64604,.T.); +#64752=ORIENTED_EDGE('',*,*,#64751,.F.); +#64753=EDGE_LOOP('',(#64748,#64749,#64750,#64752)); +#64754=FACE_OUTER_BOUND('',#64753,.F.); +#64756=CARTESIAN_POINT('',(2.67E1,-4.87E0,-6.95E0)); +#64757=DIRECTION('',(0.E0,1.E0,0.E0)); +#64758=DIRECTION('',(-1.E0,0.E0,0.E0)); +#64759=AXIS2_PLACEMENT_3D('',#64756,#64757,#64758); +#64760=PLANE('',#64759); +#64761=ORIENTED_EDGE('',*,*,#64751,.T.); +#64762=ORIENTED_EDGE('',*,*,#64602,.F.); +#64763=ORIENTED_EDGE('',*,*,#64678,.F.); +#64764=ORIENTED_EDGE('',*,*,#64696,.T.); +#64765=EDGE_LOOP('',(#64761,#64762,#64763,#64764)); +#64766=FACE_OUTER_BOUND('',#64765,.F.); +#64768=CARTESIAN_POINT('',(5.02E1,-4.87E0,-6.95E0)); +#64769=DIRECTION('',(-1.E0,0.E0,0.E0)); +#64770=DIRECTION('',(0.E0,-1.E0,0.E0)); +#64771=AXIS2_PLACEMENT_3D('',#64768,#64769,#64770); +#64772=PLANE('',#64771); +#64773=ORIENTED_EDGE('',*,*,#64706,.T.); +#64774=ORIENTED_EDGE('',*,*,#64727,.F.); +#64775=ORIENTED_EDGE('',*,*,#64628,.T.); +#64777=ORIENTED_EDGE('',*,*,#64776,.F.); +#64778=EDGE_LOOP('',(#64773,#64774,#64775,#64777)); +#64779=FACE_OUTER_BOUND('',#64778,.F.); +#64781=CARTESIAN_POINT('',(5.17E1,-4.87E0,-6.95E0)); +#64782=DIRECTION('',(0.E0,1.E0,0.E0)); +#64783=DIRECTION('',(-1.E0,0.E0,0.E0)); +#64784=AXIS2_PLACEMENT_3D('',#64781,#64782,#64783); +#64785=PLANE('',#64784); +#64786=ORIENTED_EDGE('',*,*,#64708,.T.); +#64787=ORIENTED_EDGE('',*,*,#64776,.T.); +#64788=ORIENTED_EDGE('',*,*,#64626,.F.); +#64790=ORIENTED_EDGE('',*,*,#64789,.F.); +#64791=EDGE_LOOP('',(#64786,#64787,#64788,#64790)); +#64792=FACE_OUTER_BOUND('',#64791,.F.); +#64794=CARTESIAN_POINT('',(5.17E1,-6.42E0,-6.95E0)); +#64795=DIRECTION('',(1.E0,0.E0,0.E0)); +#64796=DIRECTION('',(0.E0,1.E0,0.E0)); +#64797=AXIS2_PLACEMENT_3D('',#64794,#64795,#64796); +#64798=PLANE('',#64797); +#64799=ORIENTED_EDGE('',*,*,#64710,.F.); +#64800=ORIENTED_EDGE('',*,*,#64789,.T.); +#64801=ORIENTED_EDGE('',*,*,#64624,.F.); +#64802=ORIENTED_EDGE('',*,*,#64730,.T.); +#64803=EDGE_LOOP('',(#64799,#64800,#64801,#64802)); +#64804=FACE_OUTER_BOUND('',#64803,.F.); +#64806=CARTESIAN_POINT('',(5.245E1,-6.2E-1,0.E0)); +#64807=DIRECTION('',(0.E0,0.E0,1.E0)); +#64808=DIRECTION('',(1.E0,0.E0,0.E0)); +#64809=AXIS2_PLACEMENT_3D('',#64806,#64807,#64808); +#64810=CYLINDRICAL_SURFACE('',#64809,5.8E0); +#64812=ORIENTED_EDGE('',*,*,#64811,.T.); +#64813=ORIENTED_EDGE('',*,*,#64733,.T.); +#64814=ORIENTED_EDGE('',*,*,#64620,.T.); +#64816=ORIENTED_EDGE('',*,*,#64815,.T.); +#64818=ORIENTED_EDGE('',*,*,#64817,.F.); +#64819=EDGE_LOOP('',(#64812,#64813,#64814,#64816,#64818)); +#64820=FACE_OUTER_BOUND('',#64819,.F.); +#64822=CARTESIAN_POINT('',(5.245E1,-6.2E-1,-2.999988504209E-1)); +#64823=DIRECTION('',(0.E0,0.E0,1.E0)); +#64824=DIRECTION('',(0.E0,-1.E0,0.E0)); +#64825=AXIS2_PLACEMENT_3D('',#64822,#64823,#64824); +#64826=CONICAL_SURFACE('',#64825,6.099998902814E0,4.499998944835E1); +#64827=ORIENTED_EDGE('',*,*,#64393,.T.); +#64829=ORIENTED_EDGE('',*,*,#64828,.T.); +#64830=ORIENTED_EDGE('',*,*,#64811,.F.); +#64832=ORIENTED_EDGE('',*,*,#64831,.F.); +#64833=EDGE_LOOP('',(#64827,#64829,#64830,#64832)); +#64834=FACE_OUTER_BOUND('',#64833,.F.); +#64836=CARTESIAN_POINT('',(3.8375E1,-6.72E0,-3.E-1)); +#64837=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#64838=DIRECTION('',(-1.E0,0.E0,0.E0)); +#64839=AXIS2_PLACEMENT_3D('',#64836,#64837,#64838); +#64840=PLANE('',#64839); +#64841=ORIENTED_EDGE('',*,*,#64391,.T.); +#64843=ORIENTED_EDGE('',*,*,#64842,.T.); +#64844=ORIENTED_EDGE('',*,*,#64735,.F.); +#64845=ORIENTED_EDGE('',*,*,#64828,.F.); +#64846=EDGE_LOOP('',(#64841,#64843,#64844,#64845)); +#64847=FACE_OUTER_BOUND('',#64846,.F.); +#64849=CARTESIAN_POINT('',(2.43E1,-6.2E-1,-2.999988504209E-1)); +#64850=DIRECTION('',(0.E0,0.E0,1.E0)); +#64851=DIRECTION('',(0.E0,-1.E0,0.E0)); +#64852=AXIS2_PLACEMENT_3D('',#64849,#64850,#64851); +#64853=CONICAL_SURFACE('',#64852,6.099998902814E0,4.499998944835E1); +#64854=ORIENTED_EDGE('',*,*,#64389,.T.); +#64856=ORIENTED_EDGE('',*,*,#64855,.T.); +#64858=ORIENTED_EDGE('',*,*,#64857,.F.); +#64859=ORIENTED_EDGE('',*,*,#64842,.F.); +#64860=EDGE_LOOP('',(#64854,#64856,#64858,#64859)); +#64861=FACE_OUTER_BOUND('',#64860,.F.); +#64863=CARTESIAN_POINT('',(1.82E1,3.93E0,-3.E-1)); +#64864=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#64865=DIRECTION('',(0.E0,-1.E0,0.E0)); +#64866=AXIS2_PLACEMENT_3D('',#64863,#64864,#64865); +#64867=PLANE('',#64866); +#64868=ORIENTED_EDGE('',*,*,#64403,.T.); +#64870=ORIENTED_EDGE('',*,*,#64869,.T.); +#64872=ORIENTED_EDGE('',*,*,#64871,.F.); +#64873=ORIENTED_EDGE('',*,*,#64855,.F.); +#64874=EDGE_LOOP('',(#64868,#64870,#64872,#64873)); +#64875=FACE_OUTER_BOUND('',#64874,.F.); +#64877=CARTESIAN_POINT('',(2.43E1,8.48E0,-2.999988504209E-1)); +#64878=DIRECTION('',(0.E0,0.E0,1.E0)); +#64879=DIRECTION('',(0.E0,-1.E0,0.E0)); +#64880=AXIS2_PLACEMENT_3D('',#64877,#64878,#64879); +#64881=CONICAL_SURFACE('',#64880,6.099998902814E0,4.499998944835E1); +#64882=ORIENTED_EDGE('',*,*,#64401,.T.); +#64884=ORIENTED_EDGE('',*,*,#64883,.T.); +#64886=ORIENTED_EDGE('',*,*,#64885,.F.); +#64887=ORIENTED_EDGE('',*,*,#64869,.F.); +#64888=EDGE_LOOP('',(#64882,#64884,#64886,#64887)); +#64889=FACE_OUTER_BOUND('',#64888,.F.); +#64891=CARTESIAN_POINT('',(3.8375E1,1.458E1,-3.E-1)); +#64892=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#64893=DIRECTION('',(-1.E0,0.E0,0.E0)); +#64894=AXIS2_PLACEMENT_3D('',#64891,#64892,#64893); +#64895=PLANE('',#64894); +#64896=ORIENTED_EDGE('',*,*,#64399,.T.); +#64898=ORIENTED_EDGE('',*,*,#64897,.T.); +#64900=ORIENTED_EDGE('',*,*,#64899,.F.); +#64901=ORIENTED_EDGE('',*,*,#64883,.F.); +#64902=EDGE_LOOP('',(#64896,#64898,#64900,#64901)); +#64903=FACE_OUTER_BOUND('',#64902,.F.); +#64905=CARTESIAN_POINT('',(5.245E1,8.48E0,-2.999988504209E-1)); +#64906=DIRECTION('',(0.E0,0.E0,1.E0)); +#64907=DIRECTION('',(0.E0,-1.E0,0.E0)); +#64908=AXIS2_PLACEMENT_3D('',#64905,#64906,#64907); +#64909=CONICAL_SURFACE('',#64908,6.099998902814E0,4.499998944835E1); +#64910=ORIENTED_EDGE('',*,*,#64397,.T.); +#64912=ORIENTED_EDGE('',*,*,#64911,.T.); +#64914=ORIENTED_EDGE('',*,*,#64913,.F.); +#64915=ORIENTED_EDGE('',*,*,#64897,.F.); +#64916=EDGE_LOOP('',(#64910,#64912,#64914,#64915)); +#64917=FACE_OUTER_BOUND('',#64916,.F.); +#64919=CARTESIAN_POINT('',(5.855E1,3.93E0,-3.E-1)); +#64920=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#64921=DIRECTION('',(0.E0,-1.E0,0.E0)); +#64922=AXIS2_PLACEMENT_3D('',#64919,#64920,#64921); +#64923=PLANE('',#64922); +#64924=ORIENTED_EDGE('',*,*,#64395,.T.); +#64925=ORIENTED_EDGE('',*,*,#64831,.T.); +#64927=ORIENTED_EDGE('',*,*,#64926,.F.); +#64928=ORIENTED_EDGE('',*,*,#64911,.F.); +#64929=EDGE_LOOP('',(#64924,#64925,#64927,#64928)); +#64930=FACE_OUTER_BOUND('',#64929,.F.); +#64932=CARTESIAN_POINT('',(5.825E1,-6.2E-1,0.E0)); +#64933=DIRECTION('',(1.E0,0.E0,0.E0)); +#64934=DIRECTION('',(0.E0,1.E0,0.E0)); +#64935=AXIS2_PLACEMENT_3D('',#64932,#64933,#64934); +#64936=PLANE('',#64935); +#64938=ORIENTED_EDGE('',*,*,#64937,.T.); +#64940=ORIENTED_EDGE('',*,*,#64939,.F.); +#64942=ORIENTED_EDGE('',*,*,#64941,.T.); +#64944=ORIENTED_EDGE('',*,*,#64943,.F.); +#64946=ORIENTED_EDGE('',*,*,#64945,.F.); +#64948=ORIENTED_EDGE('',*,*,#64947,.T.); +#64950=ORIENTED_EDGE('',*,*,#64949,.T.); +#64951=ORIENTED_EDGE('',*,*,#64926,.T.); +#64952=ORIENTED_EDGE('',*,*,#64817,.T.); +#64954=ORIENTED_EDGE('',*,*,#64953,.T.); +#64955=EDGE_LOOP('',(#64938,#64940,#64942,#64944,#64946,#64948,#64950,#64951, +#64952,#64954)); +#64956=FACE_OUTER_BOUND('',#64955,.F.); +#64958=CARTESIAN_POINT('',(5.705E1,3.03E0,-1.765E1)); +#64959=DIRECTION('',(0.E0,-1.E0,0.E0)); +#64960=DIRECTION('',(1.E0,0.E0,0.E0)); +#64961=AXIS2_PLACEMENT_3D('',#64958,#64959,#64960); +#64962=PLANE('',#64961); +#64964=ORIENTED_EDGE('',*,*,#64963,.T.); +#64965=ORIENTED_EDGE('',*,*,#64937,.F.); +#64967=ORIENTED_EDGE('',*,*,#64966,.T.); +#64969=ORIENTED_EDGE('',*,*,#64968,.T.); +#64970=EDGE_LOOP('',(#64964,#64965,#64967,#64969)); +#64971=FACE_OUTER_BOUND('',#64970,.F.); +#64973=CARTESIAN_POINT('',(5.765E1,3.13E0,-6.85E0)); +#64974=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#64975=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#64976=AXIS2_PLACEMENT_3D('',#64973,#64974,#64975); +#64977=PLANE('',#64976); +#64978=ORIENTED_EDGE('',*,*,#64963,.F.); +#64980=ORIENTED_EDGE('',*,*,#64979,.T.); +#64982=ORIENTED_EDGE('',*,*,#64981,.T.); +#64983=ORIENTED_EDGE('',*,*,#64939,.T.); +#64984=EDGE_LOOP('',(#64978,#64980,#64982,#64983)); +#64985=FACE_OUTER_BOUND('',#64984,.F.); +#64987=CARTESIAN_POINT('',(5.705E1,4.83E0,-1.765E1)); +#64988=DIRECTION('',(-1.E0,0.E0,0.E0)); +#64989=DIRECTION('',(0.E0,-1.E0,0.E0)); +#64990=AXIS2_PLACEMENT_3D('',#64987,#64988,#64989); +#64991=PLANE('',#64990); +#64993=ORIENTED_EDGE('',*,*,#64992,.F.); +#64995=ORIENTED_EDGE('',*,*,#64994,.T.); +#64996=ORIENTED_EDGE('',*,*,#64979,.F.); +#64997=ORIENTED_EDGE('',*,*,#64968,.F.); +#64999=ORIENTED_EDGE('',*,*,#64998,.F.); +#65001=ORIENTED_EDGE('',*,*,#65000,.T.); +#65002=EDGE_LOOP('',(#64993,#64995,#64996,#64997,#64999,#65001)); +#65003=FACE_OUTER_BOUND('',#65002,.F.); +#65005=CARTESIAN_POINT('',(5.765E1,4.73E0,-6.85E0)); +#65006=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#65007=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#65008=AXIS2_PLACEMENT_3D('',#65005,#65006,#65007); +#65009=PLANE('',#65008); +#65010=ORIENTED_EDGE('',*,*,#64992,.T.); +#65012=ORIENTED_EDGE('',*,*,#65011,.F.); +#65013=ORIENTED_EDGE('',*,*,#64943,.T.); +#65015=ORIENTED_EDGE('',*,*,#65014,.T.); +#65016=EDGE_LOOP('',(#65010,#65012,#65013,#65015)); +#65017=FACE_OUTER_BOUND('',#65016,.F.); +#65019=CARTESIAN_POINT('',(5.825E1,4.83E0,-1.765E1)); +#65020=DIRECTION('',(0.E0,1.E0,0.E0)); +#65021=DIRECTION('',(-1.E0,0.E0,0.E0)); +#65022=AXIS2_PLACEMENT_3D('',#65019,#65020,#65021); +#65023=PLANE('',#65022); +#65024=ORIENTED_EDGE('',*,*,#65011,.T.); +#65025=ORIENTED_EDGE('',*,*,#65000,.F.); +#65027=ORIENTED_EDGE('',*,*,#65026,.F.); +#65028=ORIENTED_EDGE('',*,*,#64945,.T.); +#65029=EDGE_LOOP('',(#65024,#65025,#65027,#65028)); +#65030=FACE_OUTER_BOUND('',#65029,.F.); +#65032=CARTESIAN_POINT('',(0.E0,0.E0,-1.765E1)); +#65033=DIRECTION('',(0.E0,0.E0,1.E0)); +#65034=DIRECTION('',(1.E0,0.E0,0.E0)); +#65035=AXIS2_PLACEMENT_3D('',#65032,#65033,#65034); +#65036=PLANE('',#65035); +#65038=ORIENTED_EDGE('',*,*,#65037,.T.); +#65040=ORIENTED_EDGE('',*,*,#65039,.T.); +#65042=ORIENTED_EDGE('',*,*,#65041,.T.); +#65044=ORIENTED_EDGE('',*,*,#65043,.F.); +#65046=ORIENTED_EDGE('',*,*,#65045,.T.); +#65048=ORIENTED_EDGE('',*,*,#65047,.T.); +#65050=ORIENTED_EDGE('',*,*,#65049,.T.); +#65052=ORIENTED_EDGE('',*,*,#65051,.T.); +#65053=ORIENTED_EDGE('',*,*,#64610,.F.); +#65055=ORIENTED_EDGE('',*,*,#65054,.F.); +#65057=ORIENTED_EDGE('',*,*,#65056,.T.); +#65059=ORIENTED_EDGE('',*,*,#65058,.T.); +#65061=ORIENTED_EDGE('',*,*,#65060,.F.); +#65063=ORIENTED_EDGE('',*,*,#65062,.F.); +#65064=EDGE_LOOP('',(#65038,#65040,#65042,#65044,#65046,#65048,#65050,#65052, +#65053,#65055,#65057,#65059,#65061,#65063)); +#65065=FACE_OUTER_BOUND('',#65064,.F.); +#65067=CARTESIAN_POINT('',(0.E0,0.E0,-1.765E1)); +#65068=DIRECTION('',(0.E0,0.E0,1.E0)); +#65069=DIRECTION('',(1.E0,0.E0,0.E0)); +#65070=AXIS2_PLACEMENT_3D('',#65067,#65068,#65069); +#65071=PLANE('',#65070); +#65073=ORIENTED_EDGE('',*,*,#65072,.T.); +#65075=ORIENTED_EDGE('',*,*,#65074,.T.); +#65077=ORIENTED_EDGE('',*,*,#65076,.T.); +#65079=ORIENTED_EDGE('',*,*,#65078,.F.); +#65081=ORIENTED_EDGE('',*,*,#65080,.T.); +#65083=ORIENTED_EDGE('',*,*,#65082,.T.); +#65085=ORIENTED_EDGE('',*,*,#65084,.T.); +#65087=ORIENTED_EDGE('',*,*,#65086,.F.); +#65088=ORIENTED_EDGE('',*,*,#64663,.F.); +#65090=ORIENTED_EDGE('',*,*,#65089,.T.); +#65092=ORIENTED_EDGE('',*,*,#65091,.T.); +#65094=ORIENTED_EDGE('',*,*,#65093,.T.); +#65096=ORIENTED_EDGE('',*,*,#65095,.T.); +#65098=ORIENTED_EDGE('',*,*,#65097,.F.); +#65099=EDGE_LOOP('',(#65073,#65075,#65077,#65079,#65081,#65083,#65085,#65087, +#65088,#65090,#65092,#65094,#65096,#65098)); +#65100=FACE_OUTER_BOUND('',#65099,.F.); +#65102=CARTESIAN_POINT('',(0.E0,0.E0,-1.765E1)); +#65103=DIRECTION('',(0.E0,0.E0,1.E0)); +#65104=DIRECTION('',(1.E0,0.E0,0.E0)); +#65105=AXIS2_PLACEMENT_3D('',#65102,#65103,#65104); +#65106=PLANE('',#65105); +#65107=ORIENTED_EDGE('',*,*,#65026,.T.); +#65108=ORIENTED_EDGE('',*,*,#64998,.T.); +#65109=ORIENTED_EDGE('',*,*,#64966,.F.); +#65110=ORIENTED_EDGE('',*,*,#64953,.F.); +#65111=ORIENTED_EDGE('',*,*,#64815,.F.); +#65112=ORIENTED_EDGE('',*,*,#64618,.F.); +#65114=ORIENTED_EDGE('',*,*,#65113,.T.); +#65116=ORIENTED_EDGE('',*,*,#65115,.T.); +#65118=ORIENTED_EDGE('',*,*,#65117,.T.); +#65120=ORIENTED_EDGE('',*,*,#65119,.T.); +#65122=ORIENTED_EDGE('',*,*,#65121,.F.); +#65123=ORIENTED_EDGE('',*,*,#64947,.F.); +#65124=EDGE_LOOP('',(#65107,#65108,#65109,#65110,#65111,#65112,#65114,#65116, +#65118,#65120,#65122,#65123)); +#65125=FACE_OUTER_BOUND('',#65124,.F.); +#65127=CARTESIAN_POINT('',(0.E0,0.E0,-1.765E1)); +#65128=DIRECTION('',(0.E0,0.E0,1.E0)); +#65129=DIRECTION('',(1.E0,0.E0,0.E0)); +#65130=AXIS2_PLACEMENT_3D('',#65127,#65128,#65129); +#65131=PLANE('',#65130); +#65133=ORIENTED_EDGE('',*,*,#65132,.T.); +#65135=ORIENTED_EDGE('',*,*,#65134,.T.); +#65137=ORIENTED_EDGE('',*,*,#65136,.T.); +#65139=ORIENTED_EDGE('',*,*,#65138,.F.); +#65141=ORIENTED_EDGE('',*,*,#65140,.F.); +#65143=ORIENTED_EDGE('',*,*,#65142,.T.); +#65145=ORIENTED_EDGE('',*,*,#65144,.T.); +#65147=ORIENTED_EDGE('',*,*,#65146,.T.); +#65149=ORIENTED_EDGE('',*,*,#65148,.T.); +#65150=ORIENTED_EDGE('',*,*,#64655,.F.); +#65152=ORIENTED_EDGE('',*,*,#65151,.F.); +#65154=ORIENTED_EDGE('',*,*,#65153,.T.); +#65156=ORIENTED_EDGE('',*,*,#65155,.F.); +#65157=EDGE_LOOP('',(#65133,#65135,#65137,#65139,#65141,#65143,#65145,#65147, +#65149,#65150,#65152,#65154,#65156)); +#65158=FACE_OUTER_BOUND('',#65157,.F.); +#65160=CARTESIAN_POINT('',(1.85E1,6.83E0,-6.75E0)); +#65161=DIRECTION('',(0.E0,-1.E0,0.E0)); +#65162=DIRECTION('',(1.E0,0.E0,0.E0)); +#65163=AXIS2_PLACEMENT_3D('',#65160,#65161,#65162); +#65164=PLANE('',#65163); +#65166=ORIENTED_EDGE('',*,*,#65165,.T.); +#65168=ORIENTED_EDGE('',*,*,#65167,.T.); +#65169=ORIENTED_EDGE('',*,*,#65037,.F.); +#65171=ORIENTED_EDGE('',*,*,#65170,.T.); +#65172=EDGE_LOOP('',(#65166,#65168,#65169,#65171)); +#65173=FACE_OUTER_BOUND('',#65172,.F.); +#65175=CARTESIAN_POINT('',(1.935E1,6.93E0,-6.85E0)); +#65176=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#65177=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#65178=AXIS2_PLACEMENT_3D('',#65175,#65176,#65177); +#65179=PLANE('',#65178); +#65181=ORIENTED_EDGE('',*,*,#65180,.T.); +#65182=ORIENTED_EDGE('',*,*,#65165,.F.); +#65184=ORIENTED_EDGE('',*,*,#65183,.T.); +#65186=ORIENTED_EDGE('',*,*,#65185,.T.); +#65187=EDGE_LOOP('',(#65181,#65182,#65184,#65186)); +#65188=FACE_OUTER_BOUND('',#65187,.F.); +#65190=CARTESIAN_POINT('',(2.02E1,6.83E0,-6.75E0)); +#65191=DIRECTION('',(1.E0,0.E0,0.E0)); +#65192=DIRECTION('',(0.E0,1.E0,0.E0)); +#65193=AXIS2_PLACEMENT_3D('',#65190,#65191,#65192); +#65194=PLANE('',#65193); +#65196=ORIENTED_EDGE('',*,*,#65195,.F.); +#65198=ORIENTED_EDGE('',*,*,#65197,.T.); +#65199=ORIENTED_EDGE('',*,*,#65039,.F.); +#65200=ORIENTED_EDGE('',*,*,#65167,.F.); +#65201=ORIENTED_EDGE('',*,*,#65180,.F.); +#65203=ORIENTED_EDGE('',*,*,#65202,.T.); +#65204=EDGE_LOOP('',(#65196,#65198,#65199,#65200,#65201,#65203)); +#65205=FACE_OUTER_BOUND('',#65204,.F.); +#65207=CARTESIAN_POINT('',(1.941814989803E1,9.63E0,-6.85E0)); +#65208=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#65209=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#65210=AXIS2_PLACEMENT_3D('',#65207,#65208,#65209); +#65211=PLANE('',#65210); +#65213=ORIENTED_EDGE('',*,*,#65212,.F.); +#65214=ORIENTED_EDGE('',*,*,#65195,.T.); +#65216=ORIENTED_EDGE('',*,*,#65215,.T.); +#65218=ORIENTED_EDGE('',*,*,#65217,.T.); +#65219=EDGE_LOOP('',(#65213,#65214,#65216,#65218)); +#65220=FACE_OUTER_BOUND('',#65219,.F.); +#65222=CARTESIAN_POINT('',(2.02E1,9.73E0,-6.75E0)); +#65223=DIRECTION('',(0.E0,1.E0,0.E0)); +#65224=DIRECTION('',(-1.E0,0.E0,0.E0)); +#65225=AXIS2_PLACEMENT_3D('',#65222,#65223,#65224); +#65226=PLANE('',#65225); +#65227=ORIENTED_EDGE('',*,*,#65212,.T.); +#65229=ORIENTED_EDGE('',*,*,#65228,.F.); +#65230=ORIENTED_EDGE('',*,*,#65041,.F.); +#65231=ORIENTED_EDGE('',*,*,#65197,.F.); +#65232=EDGE_LOOP('',(#65227,#65229,#65230,#65231)); +#65233=FACE_OUTER_BOUND('',#65232,.F.); +#65235=CARTESIAN_POINT('',(2.43E1,8.48E0,0.E0)); +#65236=DIRECTION('',(0.E0,0.E0,1.E0)); +#65237=DIRECTION('',(1.E0,0.E0,0.E0)); +#65238=AXIS2_PLACEMENT_3D('',#65235,#65236,#65237); +#65239=CYLINDRICAL_SURFACE('',#65238,5.8E0); +#65240=ORIENTED_EDGE('',*,*,#65228,.T.); +#65241=ORIENTED_EDGE('',*,*,#65217,.F.); +#65243=ORIENTED_EDGE('',*,*,#65242,.T.); +#65245=ORIENTED_EDGE('',*,*,#65244,.F.); +#65246=ORIENTED_EDGE('',*,*,#64885,.T.); +#65248=ORIENTED_EDGE('',*,*,#65247,.T.); +#65250=ORIENTED_EDGE('',*,*,#65249,.T.); +#65251=ORIENTED_EDGE('',*,*,#65043,.T.); +#65252=EDGE_LOOP('',(#65240,#65241,#65243,#65245,#65246,#65248,#65250,#65251)); +#65253=FACE_OUTER_BOUND('',#65252,.F.); +#65255=CARTESIAN_POINT('',(0.E0,0.E0,-6.75E0)); +#65256=DIRECTION('',(0.E0,0.E0,1.E0)); +#65257=DIRECTION('',(1.E0,0.E0,0.E0)); +#65258=AXIS2_PLACEMENT_3D('',#65255,#65256,#65257); +#65259=PLANE('',#65258); +#65261=ORIENTED_EDGE('',*,*,#65260,.F.); +#65263=ORIENTED_EDGE('',*,*,#65262,.F.); +#65265=ORIENTED_EDGE('',*,*,#65264,.F.); +#65267=ORIENTED_EDGE('',*,*,#65266,.F.); +#65269=ORIENTED_EDGE('',*,*,#65268,.F.); +#65270=EDGE_LOOP('',(#65261,#65263,#65265,#65267,#65269)); +#65271=FACE_OUTER_BOUND('',#65270,.F.); +#65273=CARTESIAN_POINT('',(0.E0,0.E0,-6.75E0)); +#65274=DIRECTION('',(0.E0,0.E0,1.E0)); +#65275=DIRECTION('',(1.E0,0.E0,0.E0)); +#65276=AXIS2_PLACEMENT_3D('',#65273,#65274,#65275); +#65277=PLANE('',#65276); +#65279=ORIENTED_EDGE('',*,*,#65278,.F.); +#65281=ORIENTED_EDGE('',*,*,#65280,.F.); +#65283=ORIENTED_EDGE('',*,*,#65282,.F.); +#65285=ORIENTED_EDGE('',*,*,#65284,.F.); +#65287=ORIENTED_EDGE('',*,*,#65286,.F.); +#65288=EDGE_LOOP('',(#65279,#65281,#65283,#65285,#65287)); +#65289=FACE_OUTER_BOUND('',#65288,.F.); +#65291=CARTESIAN_POINT('',(0.E0,0.E0,-6.75E0)); +#65292=DIRECTION('',(0.E0,0.E0,1.E0)); +#65293=DIRECTION('',(1.E0,0.E0,0.E0)); +#65294=AXIS2_PLACEMENT_3D('',#65291,#65292,#65293); +#65295=PLANE('',#65294); +#65297=ORIENTED_EDGE('',*,*,#65296,.F.); +#65299=ORIENTED_EDGE('',*,*,#65298,.F.); +#65301=ORIENTED_EDGE('',*,*,#65300,.F.); +#65303=ORIENTED_EDGE('',*,*,#65302,.F.); +#65305=ORIENTED_EDGE('',*,*,#65304,.F.); +#65306=EDGE_LOOP('',(#65297,#65299,#65301,#65303,#65305)); +#65307=FACE_OUTER_BOUND('',#65306,.F.); +#65309=CARTESIAN_POINT('',(0.E0,0.E0,-6.75E0)); +#65310=DIRECTION('',(0.E0,0.E0,1.E0)); +#65311=DIRECTION('',(1.E0,0.E0,0.E0)); +#65312=AXIS2_PLACEMENT_3D('',#65309,#65310,#65311); +#65313=PLANE('',#65312); +#65314=ORIENTED_EDGE('',*,*,#65215,.F.); +#65315=ORIENTED_EDGE('',*,*,#65202,.F.); +#65316=ORIENTED_EDGE('',*,*,#65185,.F.); +#65318=ORIENTED_EDGE('',*,*,#65317,.F.); +#65319=ORIENTED_EDGE('',*,*,#65242,.F.); +#65320=EDGE_LOOP('',(#65314,#65315,#65316,#65318,#65319)); +#65321=FACE_OUTER_BOUND('',#65320,.F.); +#65323=CARTESIAN_POINT('',(1.343185010197E1,9.63E0,-6.85E0)); +#65324=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#65325=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#65326=AXIS2_PLACEMENT_3D('',#65323,#65324,#65325); +#65327=PLANE('',#65326); +#65329=ORIENTED_EDGE('',*,*,#65328,.T.); +#65331=ORIENTED_EDGE('',*,*,#65330,.F.); +#65333=ORIENTED_EDGE('',*,*,#65332,.T.); +#65334=ORIENTED_EDGE('',*,*,#65260,.T.); +#65335=EDGE_LOOP('',(#65329,#65331,#65333,#65334)); +#65336=FACE_OUTER_BOUND('',#65335,.F.); +#65338=CARTESIAN_POINT('',(1.265E1,9.73E0,-6.75E0)); +#65339=DIRECTION('',(-1.E0,0.E0,0.E0)); +#65340=DIRECTION('',(0.E0,-1.E0,0.E0)); +#65341=AXIS2_PLACEMENT_3D('',#65338,#65339,#65340); +#65342=PLANE('',#65341); +#65343=ORIENTED_EDGE('',*,*,#65328,.F.); +#65344=ORIENTED_EDGE('',*,*,#65268,.T.); +#65346=ORIENTED_EDGE('',*,*,#65345,.F.); +#65348=ORIENTED_EDGE('',*,*,#65347,.T.); +#65349=ORIENTED_EDGE('',*,*,#65074,.F.); +#65351=ORIENTED_EDGE('',*,*,#65350,.F.); +#65352=EDGE_LOOP('',(#65343,#65344,#65346,#65348,#65349,#65351)); +#65353=FACE_OUTER_BOUND('',#65352,.F.); +#65355=CARTESIAN_POINT('',(1.35E1,6.93E0,-6.85E0)); +#65356=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#65357=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#65358=AXIS2_PLACEMENT_3D('',#65355,#65356,#65357); +#65359=PLANE('',#65358); +#65361=ORIENTED_EDGE('',*,*,#65360,.F.); +#65362=ORIENTED_EDGE('',*,*,#65345,.T.); +#65363=ORIENTED_EDGE('',*,*,#65266,.T.); +#65365=ORIENTED_EDGE('',*,*,#65364,.T.); +#65366=EDGE_LOOP('',(#65361,#65362,#65363,#65365)); +#65367=FACE_OUTER_BOUND('',#65366,.F.); +#65369=CARTESIAN_POINT('',(1.265E1,6.83E0,-6.75E0)); +#65370=DIRECTION('',(0.E0,-1.E0,0.E0)); +#65371=DIRECTION('',(1.E0,0.E0,0.E0)); +#65372=AXIS2_PLACEMENT_3D('',#65369,#65370,#65371); +#65373=PLANE('',#65372); +#65374=ORIENTED_EDGE('',*,*,#65360,.T.); +#65376=ORIENTED_EDGE('',*,*,#65375,.F.); +#65377=ORIENTED_EDGE('',*,*,#65076,.F.); +#65378=ORIENTED_EDGE('',*,*,#65347,.F.); +#65379=EDGE_LOOP('',(#65374,#65376,#65377,#65378)); +#65380=FACE_OUTER_BOUND('',#65379,.F.); +#65382=CARTESIAN_POINT('',(1.435E1,-6.2E-1,0.E0)); +#65383=DIRECTION('',(1.E0,0.E0,0.E0)); +#65384=DIRECTION('',(0.E0,1.E0,0.E0)); +#65385=AXIS2_PLACEMENT_3D('',#65382,#65383,#65384); +#65386=PLANE('',#65385); +#65387=ORIENTED_EDGE('',*,*,#65280,.T.); +#65389=ORIENTED_EDGE('',*,*,#65388,.F.); +#65391=ORIENTED_EDGE('',*,*,#65390,.F.); +#65392=ORIENTED_EDGE('',*,*,#65078,.T.); +#65393=ORIENTED_EDGE('',*,*,#65375,.T.); +#65394=ORIENTED_EDGE('',*,*,#65364,.F.); +#65395=ORIENTED_EDGE('',*,*,#65264,.T.); +#65397=ORIENTED_EDGE('',*,*,#65396,.T.); +#65398=ORIENTED_EDGE('',*,*,#64487,.T.); +#65400=ORIENTED_EDGE('',*,*,#65399,.T.); +#65401=EDGE_LOOP('',(#65387,#65389,#65391,#65392,#65393,#65394,#65395,#65397, +#65398,#65400)); +#65402=FACE_OUTER_BOUND('',#65401,.F.); +#65404=CARTESIAN_POINT('',(1.35E1,9.3E-1,-6.85E0)); +#65405=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#65406=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#65407=AXIS2_PLACEMENT_3D('',#65404,#65405,#65406); +#65408=PLANE('',#65407); +#65410=ORIENTED_EDGE('',*,*,#65409,.T.); +#65412=ORIENTED_EDGE('',*,*,#65411,.F.); +#65413=ORIENTED_EDGE('',*,*,#65388,.T.); +#65414=ORIENTED_EDGE('',*,*,#65278,.T.); +#65415=EDGE_LOOP('',(#65410,#65412,#65413,#65414)); +#65416=FACE_OUTER_BOUND('',#65415,.F.); +#65418=CARTESIAN_POINT('',(1.265E1,1.03E0,-6.75E0)); +#65419=DIRECTION('',(-1.E0,0.E0,0.E0)); +#65420=DIRECTION('',(0.E0,-1.E0,0.E0)); +#65421=AXIS2_PLACEMENT_3D('',#65418,#65419,#65420); +#65422=PLANE('',#65421); +#65423=ORIENTED_EDGE('',*,*,#65409,.F.); +#65424=ORIENTED_EDGE('',*,*,#65286,.T.); +#65426=ORIENTED_EDGE('',*,*,#65425,.F.); +#65428=ORIENTED_EDGE('',*,*,#65427,.T.); +#65429=ORIENTED_EDGE('',*,*,#65082,.F.); +#65431=ORIENTED_EDGE('',*,*,#65430,.F.); +#65432=EDGE_LOOP('',(#65423,#65424,#65426,#65428,#65429,#65431)); +#65433=FACE_OUTER_BOUND('',#65432,.F.); +#65435=CARTESIAN_POINT('',(1.343185010197E1,-1.77E0,-6.85E0)); +#65436=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#65437=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#65438=AXIS2_PLACEMENT_3D('',#65435,#65436,#65437); +#65439=PLANE('',#65438); +#65441=ORIENTED_EDGE('',*,*,#65440,.F.); +#65442=ORIENTED_EDGE('',*,*,#65425,.T.); +#65443=ORIENTED_EDGE('',*,*,#65284,.T.); +#65445=ORIENTED_EDGE('',*,*,#65444,.T.); +#65446=EDGE_LOOP('',(#65441,#65442,#65443,#65445)); +#65447=FACE_OUTER_BOUND('',#65446,.F.); +#65449=CARTESIAN_POINT('',(1.265E1,-1.87E0,-6.75E0)); +#65450=DIRECTION('',(0.E0,-1.E0,0.E0)); +#65451=DIRECTION('',(1.E0,0.E0,0.E0)); +#65452=AXIS2_PLACEMENT_3D('',#65449,#65450,#65451); +#65453=PLANE('',#65452); +#65454=ORIENTED_EDGE('',*,*,#65440,.T.); +#65456=ORIENTED_EDGE('',*,*,#65455,.F.); +#65457=ORIENTED_EDGE('',*,*,#65084,.F.); +#65458=ORIENTED_EDGE('',*,*,#65427,.F.); +#65459=EDGE_LOOP('',(#65454,#65456,#65457,#65458)); +#65460=FACE_OUTER_BOUND('',#65459,.F.); +#65462=CARTESIAN_POINT('',(8.55E0,-6.2E-1,0.E0)); +#65463=DIRECTION('',(0.E0,0.E0,1.E0)); +#65464=DIRECTION('',(1.E0,0.E0,0.E0)); +#65465=AXIS2_PLACEMENT_3D('',#65462,#65463,#65464); +#65466=CYLINDRICAL_SURFACE('',#65465,5.8E0); +#65467=ORIENTED_EDGE('',*,*,#65455,.T.); +#65468=ORIENTED_EDGE('',*,*,#65444,.F.); +#65469=ORIENTED_EDGE('',*,*,#65282,.T.); +#65470=ORIENTED_EDGE('',*,*,#65399,.F.); +#65471=ORIENTED_EDGE('',*,*,#64501,.T.); +#65472=ORIENTED_EDGE('',*,*,#64531,.T.); +#65473=ORIENTED_EDGE('',*,*,#64665,.T.); +#65474=ORIENTED_EDGE('',*,*,#65086,.T.); +#65475=EDGE_LOOP('',(#65467,#65468,#65469,#65470,#65471,#65472,#65473,#65474)); +#65476=FACE_OUTER_BOUND('',#65475,.F.); +#65478=CARTESIAN_POINT('',(1.435E1,1.03E0,-6.75E0)); +#65479=DIRECTION('',(0.E0,1.E0,0.E0)); +#65480=DIRECTION('',(-1.E0,0.E0,0.E0)); +#65481=AXIS2_PLACEMENT_3D('',#65478,#65479,#65480); +#65482=PLANE('',#65481); +#65483=ORIENTED_EDGE('',*,*,#65411,.T.); +#65484=ORIENTED_EDGE('',*,*,#65430,.T.); +#65485=ORIENTED_EDGE('',*,*,#65080,.F.); +#65486=ORIENTED_EDGE('',*,*,#65390,.T.); +#65487=EDGE_LOOP('',(#65483,#65484,#65485,#65486)); +#65488=FACE_OUTER_BOUND('',#65487,.F.); +#65490=CARTESIAN_POINT('',(8.55E0,8.48E0,0.E0)); +#65491=DIRECTION('',(0.E0,0.E0,1.E0)); +#65492=DIRECTION('',(1.E0,0.E0,0.E0)); +#65493=AXIS2_PLACEMENT_3D('',#65490,#65491,#65492); +#65494=CYLINDRICAL_SURFACE('',#65493,5.8E0); +#65495=ORIENTED_EDGE('',*,*,#65262,.T.); +#65496=ORIENTED_EDGE('',*,*,#65332,.F.); +#65498=ORIENTED_EDGE('',*,*,#65497,.F.); +#65499=ORIENTED_EDGE('',*,*,#65097,.T.); +#65501=ORIENTED_EDGE('',*,*,#65500,.T.); +#65503=ORIENTED_EDGE('',*,*,#65502,.F.); +#65504=ORIENTED_EDGE('',*,*,#64473,.T.); +#65505=ORIENTED_EDGE('',*,*,#65396,.F.); +#65506=EDGE_LOOP('',(#65495,#65496,#65498,#65499,#65501,#65503,#65504,#65505)); +#65507=FACE_OUTER_BOUND('',#65506,.F.); +#65509=CARTESIAN_POINT('',(1.421370020393E1,9.73E0,-6.75E0)); +#65510=DIRECTION('',(0.E0,1.E0,0.E0)); +#65511=DIRECTION('',(-1.E0,0.E0,0.E0)); +#65512=AXIS2_PLACEMENT_3D('',#65509,#65510,#65511); +#65513=PLANE('',#65512); +#65514=ORIENTED_EDGE('',*,*,#65330,.T.); +#65515=ORIENTED_EDGE('',*,*,#65350,.T.); +#65516=ORIENTED_EDGE('',*,*,#65072,.F.); +#65517=ORIENTED_EDGE('',*,*,#65497,.T.); +#65518=EDGE_LOOP('',(#65514,#65515,#65516,#65517)); +#65519=FACE_OUTER_BOUND('',#65518,.F.); +#65521=CARTESIAN_POINT('',(-5.586941935179E1,1.428E1,-1.525E1)); +#65522=DIRECTION('',(0.E0,-8.660254037844E-1,5.E-1)); +#65523=DIRECTION('',(0.E0,-5.E-1,-8.660254037844E-1)); +#65524=AXIS2_PLACEMENT_3D('',#65521,#65522,#65523); +#65525=PLANE('',#65524); +#65527=ORIENTED_EDGE('',*,*,#65526,.T.); +#65529=ORIENTED_EDGE('',*,*,#65528,.T.); +#65531=ORIENTED_EDGE('',*,*,#65530,.F.); +#65533=ORIENTED_EDGE('',*,*,#65532,.F.); +#65535=ORIENTED_EDGE('',*,*,#65534,.T.); +#65537=ORIENTED_EDGE('',*,*,#65536,.T.); +#65539=ORIENTED_EDGE('',*,*,#65538,.F.); +#65541=ORIENTED_EDGE('',*,*,#65540,.F.); +#65543=ORIENTED_EDGE('',*,*,#65542,.F.); +#65544=ORIENTED_EDGE('',*,*,#65119,.F.); +#65546=ORIENTED_EDGE('',*,*,#65545,.F.); +#65548=ORIENTED_EDGE('',*,*,#65547,.F.); +#65550=ORIENTED_EDGE('',*,*,#65549,.F.); +#65551=ORIENTED_EDGE('',*,*,#65045,.F.); +#65552=ORIENTED_EDGE('',*,*,#65249,.F.); +#65554=ORIENTED_EDGE('',*,*,#65553,.F.); +#65555=EDGE_LOOP('',(#65527,#65529,#65531,#65533,#65535,#65537,#65539,#65541, +#65543,#65544,#65546,#65548,#65550,#65551,#65552,#65554)); +#65556=FACE_OUTER_BOUND('',#65555,.F.); +#65558=CARTESIAN_POINT('',(-5.586941935179E1,1.428E1,-1.525E1)); +#65559=DIRECTION('',(0.E0,-8.660254037844E-1,5.E-1)); +#65560=DIRECTION('',(0.E0,-5.E-1,-8.660254037844E-1)); +#65561=AXIS2_PLACEMENT_3D('',#65558,#65559,#65560); +#65562=PLANE('',#65561); +#65564=ORIENTED_EDGE('',*,*,#65563,.T.); +#65566=ORIENTED_EDGE('',*,*,#65565,.T.); +#65568=ORIENTED_EDGE('',*,*,#65567,.F.); +#65570=ORIENTED_EDGE('',*,*,#65569,.F.); +#65571=ORIENTED_EDGE('',*,*,#65500,.F.); +#65572=ORIENTED_EDGE('',*,*,#65095,.F.); +#65574=ORIENTED_EDGE('',*,*,#65573,.F.); +#65576=ORIENTED_EDGE('',*,*,#65575,.F.); +#65578=ORIENTED_EDGE('',*,*,#65577,.F.); +#65580=ORIENTED_EDGE('',*,*,#65579,.F.); +#65581=ORIENTED_EDGE('',*,*,#65142,.F.); +#65583=ORIENTED_EDGE('',*,*,#65582,.F.); +#65585=ORIENTED_EDGE('',*,*,#65584,.F.); +#65587=ORIENTED_EDGE('',*,*,#65586,.T.); +#65589=ORIENTED_EDGE('',*,*,#65588,.T.); +#65591=ORIENTED_EDGE('',*,*,#65590,.F.); +#65593=ORIENTED_EDGE('',*,*,#65592,.F.); +#65594=EDGE_LOOP('',(#65564,#65566,#65568,#65570,#65571,#65572,#65574,#65576, +#65578,#65580,#65581,#65583,#65585,#65587,#65589,#65591,#65593)); +#65595=FACE_OUTER_BOUND('',#65594,.F.); +#65597=CARTESIAN_POINT('',(2.52E1,1.428E1,-6.95E0)); +#65598=DIRECTION('',(-1.E0,0.E0,0.E0)); +#65599=DIRECTION('',(0.E0,-1.E0,0.E0)); +#65600=AXIS2_PLACEMENT_3D('',#65597,#65598,#65599); +#65601=PLANE('',#65600); +#65603=ORIENTED_EDGE('',*,*,#65602,.T.); +#65605=ORIENTED_EDGE('',*,*,#65604,.T.); +#65606=ORIENTED_EDGE('',*,*,#65526,.F.); +#65608=ORIENTED_EDGE('',*,*,#65607,.T.); +#65609=EDGE_LOOP('',(#65603,#65605,#65606,#65608)); +#65610=FACE_OUTER_BOUND('',#65609,.F.); +#65612=CARTESIAN_POINT('',(2.52E1,1.428E1,-6.95E0)); +#65613=DIRECTION('',(0.E0,7.577688023534E-1,-6.525231353599E-1)); +#65614=DIRECTION('',(0.E0,-6.525231353599E-1,-7.577688023534E-1)); +#65615=AXIS2_PLACEMENT_3D('',#65612,#65613,#65614); +#65616=PLANE('',#65615); +#65618=ORIENTED_EDGE('',*,*,#65617,.T.); +#65620=ORIENTED_EDGE('',*,*,#65619,.F.); +#65621=ORIENTED_EDGE('',*,*,#65602,.F.); +#65623=ORIENTED_EDGE('',*,*,#65622,.F.); +#65624=EDGE_LOOP('',(#65618,#65620,#65621,#65623)); +#65625=FACE_OUTER_BOUND('',#65624,.F.); +#65627=CARTESIAN_POINT('',(2.52E1,1.428E1,-6.95E0)); +#65628=DIRECTION('',(0.E0,7.577688023534E-1,-6.525231353599E-1)); +#65629=DIRECTION('',(0.E0,-6.525231353599E-1,-7.577688023534E-1)); +#65630=AXIS2_PLACEMENT_3D('',#65627,#65628,#65629); +#65631=PLANE('',#65630); +#65633=ORIENTED_EDGE('',*,*,#65632,.F.); +#65635=ORIENTED_EDGE('',*,*,#65634,.F.); +#65637=ORIENTED_EDGE('',*,*,#65636,.T.); +#65639=ORIENTED_EDGE('',*,*,#65638,.F.); +#65640=EDGE_LOOP('',(#65633,#65635,#65637,#65639)); +#65641=FACE_OUTER_BOUND('',#65640,.F.); +#65643=CARTESIAN_POINT('',(2.67E1,1.273E1,-6.95E0)); +#65644=DIRECTION('',(1.E0,0.E0,0.E0)); +#65645=DIRECTION('',(0.E0,1.E0,0.E0)); +#65646=AXIS2_PLACEMENT_3D('',#65643,#65644,#65645); +#65647=PLANE('',#65646); +#65648=ORIENTED_EDGE('',*,*,#65617,.F.); +#65650=ORIENTED_EDGE('',*,*,#65649,.F.); +#65651=ORIENTED_EDGE('',*,*,#65530,.T.); +#65653=ORIENTED_EDGE('',*,*,#65652,.F.); +#65654=EDGE_LOOP('',(#65648,#65650,#65651,#65653)); +#65655=FACE_OUTER_BOUND('',#65654,.F.); +#65657=CARTESIAN_POINT('',(5.245E1,1.428E1,0.E0)); +#65658=DIRECTION('',(0.E0,1.E0,0.E0)); +#65659=DIRECTION('',(-1.E0,0.E0,0.E0)); +#65660=AXIS2_PLACEMENT_3D('',#65657,#65658,#65659); +#65661=PLANE('',#65660); +#65662=ORIENTED_EDGE('',*,*,#65607,.F.); +#65663=ORIENTED_EDGE('',*,*,#65553,.T.); +#65664=ORIENTED_EDGE('',*,*,#65247,.F.); +#65665=ORIENTED_EDGE('',*,*,#64899,.T.); +#65667=ORIENTED_EDGE('',*,*,#65666,.T.); +#65668=ORIENTED_EDGE('',*,*,#65540,.T.); +#65670=ORIENTED_EDGE('',*,*,#65669,.T.); +#65671=ORIENTED_EDGE('',*,*,#65634,.T.); +#65673=ORIENTED_EDGE('',*,*,#65672,.F.); +#65674=ORIENTED_EDGE('',*,*,#65532,.T.); +#65675=ORIENTED_EDGE('',*,*,#65649,.T.); +#65676=ORIENTED_EDGE('',*,*,#65622,.T.); +#65677=EDGE_LOOP('',(#65662,#65663,#65664,#65665,#65667,#65668,#65670,#65671, +#65673,#65674,#65675,#65676)); +#65678=FACE_OUTER_BOUND('',#65677,.F.); +#65680=CARTESIAN_POINT('',(5.245E1,8.48E0,0.E0)); +#65681=DIRECTION('',(0.E0,0.E0,1.E0)); +#65682=DIRECTION('',(1.E0,0.E0,0.E0)); +#65683=AXIS2_PLACEMENT_3D('',#65680,#65681,#65682); +#65684=CYLINDRICAL_SURFACE('',#65683,5.8E0); +#65685=ORIENTED_EDGE('',*,*,#65666,.F.); +#65686=ORIENTED_EDGE('',*,*,#64913,.T.); +#65687=ORIENTED_EDGE('',*,*,#64949,.F.); +#65688=ORIENTED_EDGE('',*,*,#65121,.T.); +#65689=ORIENTED_EDGE('',*,*,#65542,.T.); +#65690=EDGE_LOOP('',(#65685,#65686,#65687,#65688,#65689)); +#65691=FACE_OUTER_BOUND('',#65690,.F.); +#65693=CARTESIAN_POINT('',(5.17E1,1.273E1,-6.95E0)); +#65694=DIRECTION('',(1.E0,0.E0,0.E0)); +#65695=DIRECTION('',(0.E0,1.E0,0.E0)); +#65696=AXIS2_PLACEMENT_3D('',#65693,#65694,#65695); +#65697=PLANE('',#65696); +#65698=ORIENTED_EDGE('',*,*,#65636,.F.); +#65699=ORIENTED_EDGE('',*,*,#65669,.F.); +#65700=ORIENTED_EDGE('',*,*,#65538,.T.); +#65702=ORIENTED_EDGE('',*,*,#65701,.F.); +#65703=EDGE_LOOP('',(#65698,#65699,#65700,#65702)); +#65704=FACE_OUTER_BOUND('',#65703,.F.); +#65706=CARTESIAN_POINT('',(5.02E1,1.273E1,-6.95E0)); +#65707=DIRECTION('',(0.E0,-1.E0,0.E0)); +#65708=DIRECTION('',(1.E0,0.E0,0.E0)); +#65709=AXIS2_PLACEMENT_3D('',#65706,#65707,#65708); +#65710=PLANE('',#65709); +#65711=ORIENTED_EDGE('',*,*,#65638,.T.); +#65712=ORIENTED_EDGE('',*,*,#65701,.T.); +#65713=ORIENTED_EDGE('',*,*,#65536,.F.); +#65715=ORIENTED_EDGE('',*,*,#65714,.F.); +#65716=EDGE_LOOP('',(#65711,#65712,#65713,#65715)); +#65717=FACE_OUTER_BOUND('',#65716,.F.); +#65719=CARTESIAN_POINT('',(5.02E1,1.428E1,-6.95E0)); +#65720=DIRECTION('',(-1.E0,0.E0,0.E0)); +#65721=DIRECTION('',(0.E0,-1.E0,0.E0)); +#65722=AXIS2_PLACEMENT_3D('',#65719,#65720,#65721); +#65723=PLANE('',#65722); +#65724=ORIENTED_EDGE('',*,*,#65632,.T.); +#65725=ORIENTED_EDGE('',*,*,#65714,.T.); +#65726=ORIENTED_EDGE('',*,*,#65534,.F.); +#65727=ORIENTED_EDGE('',*,*,#65672,.T.); +#65728=EDGE_LOOP('',(#65724,#65725,#65726,#65727)); +#65729=FACE_OUTER_BOUND('',#65728,.F.); +#65731=CARTESIAN_POINT('',(2.52E1,1.273E1,-6.95E0)); +#65732=DIRECTION('',(0.E0,-1.E0,0.E0)); +#65733=DIRECTION('',(1.E0,0.E0,0.E0)); +#65734=AXIS2_PLACEMENT_3D('',#65731,#65732,#65733); +#65735=PLANE('',#65734); +#65736=ORIENTED_EDGE('',*,*,#65604,.F.); +#65737=ORIENTED_EDGE('',*,*,#65619,.T.); +#65738=ORIENTED_EDGE('',*,*,#65652,.T.); +#65739=ORIENTED_EDGE('',*,*,#65528,.F.); +#65740=EDGE_LOOP('',(#65736,#65737,#65738,#65739)); +#65741=FACE_OUTER_BOUND('',#65740,.F.); +#65743=CARTESIAN_POINT('',(5.225E1,1.128E1,-1.745E1)); +#65744=DIRECTION('',(0.E0,0.E0,1.E0)); +#65745=DIRECTION('',(1.E0,0.E0,0.E0)); +#65746=AXIS2_PLACEMENT_3D('',#65743,#65744,#65745); +#65747=CYLINDRICAL_SURFACE('',#65746,3.E0); +#65749=ORIENTED_EDGE('',*,*,#65748,.T.); +#65750=ORIENTED_EDGE('',*,*,#65545,.T.); +#65751=ORIENTED_EDGE('',*,*,#65117,.F.); +#65753=ORIENTED_EDGE('',*,*,#65752,.T.); +#65754=EDGE_LOOP('',(#65749,#65750,#65751,#65753)); +#65755=FACE_OUTER_BOUND('',#65754,.F.); +#65757=CARTESIAN_POINT('',(8.6326692E1,1.211493649054E1,-1.9E1)); +#65758=DIRECTION('',(0.E0,0.E0,1.E0)); +#65759=DIRECTION('',(0.E0,-1.E0,0.E0)); +#65760=AXIS2_PLACEMENT_3D('',#65757,#65758,#65759); +#65761=PLANE('',#65760); +#65763=ORIENTED_EDGE('',*,*,#65762,.F.); +#65765=ORIENTED_EDGE('',*,*,#65764,.T.); +#65767=ORIENTED_EDGE('',*,*,#65766,.T.); +#65769=ORIENTED_EDGE('',*,*,#65768,.F.); +#65771=ORIENTED_EDGE('',*,*,#65770,.F.); +#65773=ORIENTED_EDGE('',*,*,#65772,.T.); +#65775=ORIENTED_EDGE('',*,*,#65774,.T.); +#65777=ORIENTED_EDGE('',*,*,#65776,.F.); +#65779=ORIENTED_EDGE('',*,*,#65778,.F.); +#65781=ORIENTED_EDGE('',*,*,#65780,.T.); +#65783=ORIENTED_EDGE('',*,*,#65782,.T.); +#65785=ORIENTED_EDGE('',*,*,#65784,.F.); +#65787=ORIENTED_EDGE('',*,*,#65786,.F.); +#65789=ORIENTED_EDGE('',*,*,#65788,.T.); +#65791=ORIENTED_EDGE('',*,*,#65790,.T.); +#65793=ORIENTED_EDGE('',*,*,#65792,.F.); +#65795=ORIENTED_EDGE('',*,*,#65794,.F.); +#65797=ORIENTED_EDGE('',*,*,#65796,.T.); +#65799=ORIENTED_EDGE('',*,*,#65798,.T.); +#65801=ORIENTED_EDGE('',*,*,#65800,.F.); +#65803=ORIENTED_EDGE('',*,*,#65802,.F.); +#65805=ORIENTED_EDGE('',*,*,#65804,.T.); +#65807=ORIENTED_EDGE('',*,*,#65806,.T.); +#65809=ORIENTED_EDGE('',*,*,#65808,.F.); +#65811=ORIENTED_EDGE('',*,*,#65810,.F.); +#65813=ORIENTED_EDGE('',*,*,#65812,.T.); +#65815=ORIENTED_EDGE('',*,*,#65814,.T.); +#65817=ORIENTED_EDGE('',*,*,#65816,.F.); +#65819=ORIENTED_EDGE('',*,*,#65818,.F.); +#65821=ORIENTED_EDGE('',*,*,#65820,.T.); +#65823=ORIENTED_EDGE('',*,*,#65822,.T.); +#65825=ORIENTED_EDGE('',*,*,#65824,.F.); +#65827=ORIENTED_EDGE('',*,*,#65826,.F.); +#65829=ORIENTED_EDGE('',*,*,#65828,.T.); +#65831=ORIENTED_EDGE('',*,*,#65830,.T.); +#65833=ORIENTED_EDGE('',*,*,#65832,.F.); +#65835=ORIENTED_EDGE('',*,*,#65834,.F.); +#65837=ORIENTED_EDGE('',*,*,#65836,.T.); +#65839=ORIENTED_EDGE('',*,*,#65838,.T.); +#65841=ORIENTED_EDGE('',*,*,#65840,.F.); +#65843=ORIENTED_EDGE('',*,*,#65842,.F.); +#65845=ORIENTED_EDGE('',*,*,#65844,.T.); +#65847=ORIENTED_EDGE('',*,*,#65846,.T.); +#65849=ORIENTED_EDGE('',*,*,#65848,.F.); +#65851=ORIENTED_EDGE('',*,*,#65850,.F.); +#65853=ORIENTED_EDGE('',*,*,#65852,.T.); +#65855=ORIENTED_EDGE('',*,*,#65854,.F.); +#65856=ORIENTED_EDGE('',*,*,#65575,.T.); +#65858=ORIENTED_EDGE('',*,*,#65857,.F.); +#65860=ORIENTED_EDGE('',*,*,#65859,.T.); +#65862=ORIENTED_EDGE('',*,*,#65861,.T.); +#65864=ORIENTED_EDGE('',*,*,#65863,.T.); +#65866=ORIENTED_EDGE('',*,*,#65865,.F.); +#65867=EDGE_LOOP('',(#65763,#65765,#65767,#65769,#65771,#65773,#65775,#65777, +#65779,#65781,#65783,#65785,#65787,#65789,#65791,#65793,#65795,#65797,#65799, +#65801,#65803,#65805,#65807,#65809,#65811,#65813,#65815,#65817,#65819,#65821, +#65823,#65825,#65827,#65829,#65831,#65833,#65835,#65837,#65839,#65841,#65843, +#65845,#65847,#65849,#65851,#65853,#65855,#65856,#65858,#65860,#65862,#65864, +#65866)); +#65868=FACE_OUTER_BOUND('',#65867,.F.); +#65870=CARTESIAN_POINT('',(8.6326692E1,1.211493649054E1,-1.9E1)); +#65871=DIRECTION('',(0.E0,0.E0,1.E0)); +#65872=DIRECTION('',(0.E0,-1.E0,0.E0)); +#65873=AXIS2_PLACEMENT_3D('',#65870,#65871,#65872); +#65874=PLANE('',#65873); +#65876=ORIENTED_EDGE('',*,*,#65875,.F.); +#65878=ORIENTED_EDGE('',*,*,#65877,.T.); +#65880=ORIENTED_EDGE('',*,*,#65879,.T.); +#65882=ORIENTED_EDGE('',*,*,#65881,.F.); +#65884=ORIENTED_EDGE('',*,*,#65883,.F.); +#65886=ORIENTED_EDGE('',*,*,#65885,.T.); +#65888=ORIENTED_EDGE('',*,*,#65887,.T.); +#65890=ORIENTED_EDGE('',*,*,#65889,.F.); +#65892=ORIENTED_EDGE('',*,*,#65891,.F.); +#65894=ORIENTED_EDGE('',*,*,#65893,.T.); +#65896=ORIENTED_EDGE('',*,*,#65895,.T.); +#65898=ORIENTED_EDGE('',*,*,#65897,.F.); +#65900=ORIENTED_EDGE('',*,*,#65899,.F.); +#65902=ORIENTED_EDGE('',*,*,#65901,.T.); +#65904=ORIENTED_EDGE('',*,*,#65903,.T.); +#65906=ORIENTED_EDGE('',*,*,#65905,.F.); +#65908=ORIENTED_EDGE('',*,*,#65907,.F.); +#65910=ORIENTED_EDGE('',*,*,#65909,.T.); +#65912=ORIENTED_EDGE('',*,*,#65911,.T.); +#65914=ORIENTED_EDGE('',*,*,#65913,.F.); +#65916=ORIENTED_EDGE('',*,*,#65915,.F.); +#65918=ORIENTED_EDGE('',*,*,#65917,.T.); +#65920=ORIENTED_EDGE('',*,*,#65919,.T.); +#65922=ORIENTED_EDGE('',*,*,#65921,.F.); +#65924=ORIENTED_EDGE('',*,*,#65923,.F.); +#65926=ORIENTED_EDGE('',*,*,#65925,.T.); +#65928=ORIENTED_EDGE('',*,*,#65927,.F.); +#65930=ORIENTED_EDGE('',*,*,#65929,.F.); +#65931=ORIENTED_EDGE('',*,*,#65547,.T.); +#65932=ORIENTED_EDGE('',*,*,#65748,.F.); +#65934=ORIENTED_EDGE('',*,*,#65933,.T.); +#65936=ORIENTED_EDGE('',*,*,#65935,.T.); +#65938=ORIENTED_EDGE('',*,*,#65937,.T.); +#65940=ORIENTED_EDGE('',*,*,#65939,.F.); +#65941=EDGE_LOOP('',(#65876,#65878,#65880,#65882,#65884,#65886,#65888,#65890, +#65892,#65894,#65896,#65898,#65900,#65902,#65904,#65906,#65908,#65910,#65912, +#65914,#65916,#65918,#65920,#65922,#65924,#65926,#65928,#65930,#65931,#65932, +#65934,#65936,#65938,#65940)); +#65942=FACE_OUTER_BOUND('',#65941,.F.); +#65944=CARTESIAN_POINT('',(7.5E0,1.033E1,0.E0)); +#65945=DIRECTION('',(1.E0,0.E0,0.E0)); +#65946=DIRECTION('',(0.E0,0.E0,-1.E0)); +#65947=AXIS2_PLACEMENT_3D('',#65944,#65945,#65946); +#65948=PLANE('',#65947); +#65950=ORIENTED_EDGE('',*,*,#65949,.F.); +#65952=ORIENTED_EDGE('',*,*,#65951,.T.); +#65954=ORIENTED_EDGE('',*,*,#65953,.T.); +#65956=ORIENTED_EDGE('',*,*,#65955,.F.); +#65958=ORIENTED_EDGE('',*,*,#65957,.F.); +#65959=ORIENTED_EDGE('',*,*,#65762,.T.); +#65961=ORIENTED_EDGE('',*,*,#65960,.T.); +#65962=EDGE_LOOP('',(#65950,#65952,#65954,#65956,#65958,#65959,#65961)); +#65963=FACE_OUTER_BOUND('',#65962,.F.); +#65965=CARTESIAN_POINT('',(7.5E0,1.033E1,0.E0)); +#65966=DIRECTION('',(1.E0,0.E0,0.E0)); +#65967=DIRECTION('',(0.E0,0.E0,-1.E0)); +#65968=AXIS2_PLACEMENT_3D('',#65965,#65966,#65967); +#65969=PLANE('',#65968); +#65971=ORIENTED_EDGE('',*,*,#65970,.F.); +#65973=ORIENTED_EDGE('',*,*,#65972,.T.); +#65975=ORIENTED_EDGE('',*,*,#65974,.T.); +#65977=ORIENTED_EDGE('',*,*,#65976,.T.); +#65979=ORIENTED_EDGE('',*,*,#65978,.F.); +#65981=ORIENTED_EDGE('',*,*,#65980,.T.); +#65982=EDGE_LOOP('',(#65971,#65973,#65975,#65977,#65979,#65981)); +#65983=FACE_OUTER_BOUND('',#65982,.F.); +#65985=CARTESIAN_POINT('',(7.65E0,1.033E1,-1.78E1)); +#65986=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#65987=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#65988=AXIS2_PLACEMENT_3D('',#65985,#65986,#65987); +#65989=PLANE('',#65988); +#65990=ORIENTED_EDGE('',*,*,#65949,.T.); +#65992=ORIENTED_EDGE('',*,*,#65991,.T.); +#65994=ORIENTED_EDGE('',*,*,#65993,.F.); +#65996=ORIENTED_EDGE('',*,*,#65995,.T.); +#65997=EDGE_LOOP('',(#65990,#65992,#65994,#65996)); +#65998=FACE_OUTER_BOUND('',#65997,.F.); +#66000=CARTESIAN_POINT('',(8.6E0,1.088E1,-1.78E1)); +#66001=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#66002=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#66003=AXIS2_PLACEMENT_3D('',#66000,#66001,#66002); +#66004=PLANE('',#66003); +#66006=ORIENTED_EDGE('',*,*,#66005,.F.); +#66008=ORIENTED_EDGE('',*,*,#66007,.T.); +#66009=ORIENTED_EDGE('',*,*,#65991,.F.); +#66011=ORIENTED_EDGE('',*,*,#66010,.F.); +#66012=EDGE_LOOP('',(#66006,#66008,#66009,#66011)); +#66013=FACE_OUTER_BOUND('',#66012,.F.); +#66015=CARTESIAN_POINT('',(9.55E0,1.033E1,-1.78E1)); +#66016=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#66017=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#66018=AXIS2_PLACEMENT_3D('',#66015,#66016,#66017); +#66019=PLANE('',#66018); +#66021=ORIENTED_EDGE('',*,*,#66020,.F.); +#66022=ORIENTED_EDGE('',*,*,#66005,.T.); +#66024=ORIENTED_EDGE('',*,*,#66023,.T.); +#66026=ORIENTED_EDGE('',*,*,#66025,.T.); +#66027=EDGE_LOOP('',(#66021,#66022,#66024,#66026)); +#66028=FACE_OUTER_BOUND('',#66027,.F.); +#66030=CARTESIAN_POINT('',(7.5E0,1.103E1,-1.765E1)); +#66031=DIRECTION('',(0.E0,0.E0,1.E0)); +#66032=DIRECTION('',(0.E0,-1.E0,0.E0)); +#66033=AXIS2_PLACEMENT_3D('',#66030,#66031,#66032); +#66034=PLANE('',#66033); +#66035=ORIENTED_EDGE('',*,*,#65993,.T.); +#66036=ORIENTED_EDGE('',*,*,#66007,.F.); +#66037=ORIENTED_EDGE('',*,*,#66020,.T.); +#66039=ORIENTED_EDGE('',*,*,#66038,.T.); +#66040=EDGE_LOOP('',(#66035,#66036,#66037,#66039)); +#66041=FACE_OUTER_BOUND('',#66040,.F.); +#66043=ORIENTED_EDGE('',*,*,#66042,.T.); +#66045=ORIENTED_EDGE('',*,*,#66044,.T.); +#66047=ORIENTED_EDGE('',*,*,#66046,.T.); +#66049=ORIENTED_EDGE('',*,*,#66048,.T.); +#66050=EDGE_LOOP('',(#66043,#66045,#66047,#66049)); +#66051=FACE_BOUND('',#66050,.F.); +#66053=CARTESIAN_POINT('',(8.3E0,1.0645E1,-1.995E1)); +#66054=DIRECTION('',(-1.E0,0.E0,0.E0)); +#66055=DIRECTION('',(0.E0,-1.E0,0.E0)); +#66056=AXIS2_PLACEMENT_3D('',#66053,#66054,#66055); +#66057=PLANE('',#66056); +#66058=ORIENTED_EDGE('',*,*,#66042,.F.); +#66060=ORIENTED_EDGE('',*,*,#66059,.T.); +#66062=ORIENTED_EDGE('',*,*,#66061,.T.); +#66064=ORIENTED_EDGE('',*,*,#66063,.F.); +#66065=EDGE_LOOP('',(#66058,#66060,#66062,#66064)); +#66066=FACE_OUTER_BOUND('',#66065,.F.); +#66068=CARTESIAN_POINT('',(8.9E0,1.0645E1,-1.995E1)); +#66069=DIRECTION('',(0.E0,1.E0,0.E0)); +#66070=DIRECTION('',(-1.E0,0.E0,0.E0)); +#66071=AXIS2_PLACEMENT_3D('',#66068,#66069,#66070); +#66072=PLANE('',#66071); +#66073=ORIENTED_EDGE('',*,*,#66048,.F.); +#66075=ORIENTED_EDGE('',*,*,#66074,.T.); +#66077=ORIENTED_EDGE('',*,*,#66076,.T.); +#66078=ORIENTED_EDGE('',*,*,#66059,.F.); +#66079=EDGE_LOOP('',(#66073,#66075,#66077,#66078)); +#66080=FACE_OUTER_BOUND('',#66079,.F.); +#66082=CARTESIAN_POINT('',(8.9E0,1.0015E1,-1.995E1)); +#66083=DIRECTION('',(1.E0,0.E0,0.E0)); +#66084=DIRECTION('',(0.E0,1.E0,0.E0)); +#66085=AXIS2_PLACEMENT_3D('',#66082,#66083,#66084); +#66086=PLANE('',#66085); +#66087=ORIENTED_EDGE('',*,*,#66046,.F.); +#66089=ORIENTED_EDGE('',*,*,#66088,.T.); +#66091=ORIENTED_EDGE('',*,*,#66090,.T.); +#66092=ORIENTED_EDGE('',*,*,#66074,.F.); +#66093=EDGE_LOOP('',(#66087,#66089,#66091,#66092)); +#66094=FACE_OUTER_BOUND('',#66093,.F.); +#66096=CARTESIAN_POINT('',(8.3E0,1.0015E1,-1.995E1)); +#66097=DIRECTION('',(0.E0,-1.E0,0.E0)); +#66098=DIRECTION('',(1.E0,0.E0,0.E0)); +#66099=AXIS2_PLACEMENT_3D('',#66096,#66097,#66098); +#66100=PLANE('',#66099); +#66101=ORIENTED_EDGE('',*,*,#66044,.F.); +#66102=ORIENTED_EDGE('',*,*,#66063,.T.); +#66104=ORIENTED_EDGE('',*,*,#66103,.T.); +#66105=ORIENTED_EDGE('',*,*,#66088,.F.); +#66106=EDGE_LOOP('',(#66101,#66102,#66104,#66105)); +#66107=FACE_OUTER_BOUND('',#66106,.F.); +#66109=CARTESIAN_POINT('',(4.73E1,0.E0,-1.175E1)); +#66110=DIRECTION('',(0.E0,0.E0,1.E0)); +#66111=DIRECTION('',(1.E0,0.E0,0.E0)); +#66112=AXIS2_PLACEMENT_3D('',#66109,#66110,#66111); +#66113=PLANE('',#66112); +#66114=ORIENTED_EDGE('',*,*,#66061,.F.); +#66115=ORIENTED_EDGE('',*,*,#66076,.F.); +#66116=ORIENTED_EDGE('',*,*,#66090,.F.); +#66117=ORIENTED_EDGE('',*,*,#66103,.F.); +#66118=EDGE_LOOP('',(#66114,#66115,#66116,#66117)); +#66119=FACE_OUTER_BOUND('',#66118,.F.); +#66121=CARTESIAN_POINT('',(4.73E1,0.E0,-1.175E1)); +#66122=DIRECTION('',(0.E0,0.E0,1.E0)); +#66123=DIRECTION('',(1.E0,0.E0,0.E0)); +#66124=AXIS2_PLACEMENT_3D('',#66121,#66122,#66123); +#66125=PLANE('',#66124); +#66127=ORIENTED_EDGE('',*,*,#66126,.F.); +#66129=ORIENTED_EDGE('',*,*,#66128,.F.); +#66131=ORIENTED_EDGE('',*,*,#66130,.F.); +#66133=ORIENTED_EDGE('',*,*,#66132,.F.); +#66134=EDGE_LOOP('',(#66127,#66129,#66131,#66133)); +#66135=FACE_OUTER_BOUND('',#66134,.F.); +#66137=CARTESIAN_POINT('',(8.3E0,1.845E0,-1.995E1)); +#66138=DIRECTION('',(-1.E0,0.E0,0.E0)); +#66139=DIRECTION('',(0.E0,-1.E0,0.E0)); +#66140=AXIS2_PLACEMENT_3D('',#66137,#66138,#66139); +#66141=PLANE('',#66140); +#66143=ORIENTED_EDGE('',*,*,#66142,.F.); +#66145=ORIENTED_EDGE('',*,*,#66144,.T.); +#66146=ORIENTED_EDGE('',*,*,#66126,.T.); +#66148=ORIENTED_EDGE('',*,*,#66147,.F.); +#66149=EDGE_LOOP('',(#66143,#66145,#66146,#66148)); +#66150=FACE_OUTER_BOUND('',#66149,.F.); +#66152=CARTESIAN_POINT('',(7.5E0,2.23E0,-1.765E1)); +#66153=DIRECTION('',(0.E0,0.E0,1.E0)); +#66154=DIRECTION('',(0.E0,-1.E0,0.E0)); +#66155=AXIS2_PLACEMENT_3D('',#66152,#66153,#66154); +#66156=PLANE('',#66155); +#66158=ORIENTED_EDGE('',*,*,#66157,.T.); +#66160=ORIENTED_EDGE('',*,*,#66159,.T.); +#66162=ORIENTED_EDGE('',*,*,#66161,.T.); +#66164=ORIENTED_EDGE('',*,*,#66163,.F.); +#66165=EDGE_LOOP('',(#66158,#66160,#66162,#66164)); +#66166=FACE_OUTER_BOUND('',#66165,.F.); +#66167=ORIENTED_EDGE('',*,*,#66142,.T.); +#66169=ORIENTED_EDGE('',*,*,#66168,.T.); +#66171=ORIENTED_EDGE('',*,*,#66170,.T.); +#66173=ORIENTED_EDGE('',*,*,#66172,.T.); +#66174=EDGE_LOOP('',(#66167,#66169,#66171,#66173)); +#66175=FACE_BOUND('',#66174,.F.); +#66177=CARTESIAN_POINT('',(8.3E0,1.215E0,-1.995E1)); +#66178=DIRECTION('',(0.E0,-1.E0,0.E0)); +#66179=DIRECTION('',(1.E0,0.E0,0.E0)); +#66180=AXIS2_PLACEMENT_3D('',#66177,#66178,#66179); +#66181=PLANE('',#66180); +#66182=ORIENTED_EDGE('',*,*,#66168,.F.); +#66183=ORIENTED_EDGE('',*,*,#66147,.T.); +#66184=ORIENTED_EDGE('',*,*,#66132,.T.); +#66186=ORIENTED_EDGE('',*,*,#66185,.F.); +#66187=EDGE_LOOP('',(#66182,#66183,#66184,#66186)); +#66188=FACE_OUTER_BOUND('',#66187,.F.); +#66190=CARTESIAN_POINT('',(8.9E0,1.215E0,-1.995E1)); +#66191=DIRECTION('',(1.E0,0.E0,0.E0)); +#66192=DIRECTION('',(0.E0,1.E0,0.E0)); +#66193=AXIS2_PLACEMENT_3D('',#66190,#66191,#66192); +#66194=PLANE('',#66193); +#66195=ORIENTED_EDGE('',*,*,#66170,.F.); +#66196=ORIENTED_EDGE('',*,*,#66185,.T.); +#66197=ORIENTED_EDGE('',*,*,#66130,.T.); +#66199=ORIENTED_EDGE('',*,*,#66198,.F.); +#66200=EDGE_LOOP('',(#66195,#66196,#66197,#66199)); +#66201=FACE_OUTER_BOUND('',#66200,.F.); +#66203=CARTESIAN_POINT('',(8.9E0,1.845E0,-1.995E1)); +#66204=DIRECTION('',(0.E0,1.E0,0.E0)); +#66205=DIRECTION('',(-1.E0,0.E0,0.E0)); +#66206=AXIS2_PLACEMENT_3D('',#66203,#66204,#66205); +#66207=PLANE('',#66206); +#66208=ORIENTED_EDGE('',*,*,#66172,.F.); +#66209=ORIENTED_EDGE('',*,*,#66198,.T.); +#66210=ORIENTED_EDGE('',*,*,#66128,.T.); +#66211=ORIENTED_EDGE('',*,*,#66144,.F.); +#66212=EDGE_LOOP('',(#66208,#66209,#66210,#66211)); +#66213=FACE_OUTER_BOUND('',#66212,.F.); +#66215=CARTESIAN_POINT('',(9.55E0,1.53E0,-1.78E1)); +#66216=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#66217=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#66218=AXIS2_PLACEMENT_3D('',#66215,#66216,#66217); +#66219=PLANE('',#66218); +#66220=ORIENTED_EDGE('',*,*,#66157,.F.); +#66222=ORIENTED_EDGE('',*,*,#66221,.T.); +#66224=ORIENTED_EDGE('',*,*,#66223,.T.); +#66226=ORIENTED_EDGE('',*,*,#66225,.F.); +#66227=EDGE_LOOP('',(#66220,#66222,#66224,#66226)); +#66228=FACE_OUTER_BOUND('',#66227,.F.); +#66230=CARTESIAN_POINT('',(8.6E0,2.08E0,-1.78E1)); +#66231=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#66232=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#66233=AXIS2_PLACEMENT_3D('',#66230,#66231,#66232); +#66234=PLANE('',#66233); +#66235=ORIENTED_EDGE('',*,*,#66163,.T.); +#66237=ORIENTED_EDGE('',*,*,#66236,.T.); +#66239=ORIENTED_EDGE('',*,*,#66238,.F.); +#66240=ORIENTED_EDGE('',*,*,#66221,.F.); +#66241=EDGE_LOOP('',(#66235,#66237,#66239,#66240)); +#66242=FACE_OUTER_BOUND('',#66241,.F.); +#66244=CARTESIAN_POINT('',(7.65E0,1.53E0,-1.78E1)); +#66245=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#66246=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#66247=AXIS2_PLACEMENT_3D('',#66244,#66245,#66246); +#66248=PLANE('',#66247); +#66249=ORIENTED_EDGE('',*,*,#65970,.T.); +#66250=ORIENTED_EDGE('',*,*,#66236,.F.); +#66251=ORIENTED_EDGE('',*,*,#66161,.F.); +#66253=ORIENTED_EDGE('',*,*,#66252,.T.); +#66254=EDGE_LOOP('',(#66249,#66250,#66251,#66253)); +#66255=FACE_OUTER_BOUND('',#66254,.F.); +#66257=CARTESIAN_POINT('',(8.6E0,9.8E-1,-1.78E1)); +#66258=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#66259=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#66260=AXIS2_PLACEMENT_3D('',#66257,#66258,#66259); +#66261=PLANE('',#66260); +#66263=ORIENTED_EDGE('',*,*,#66262,.T.); +#66264=ORIENTED_EDGE('',*,*,#66252,.F.); +#66265=ORIENTED_EDGE('',*,*,#66159,.F.); +#66266=ORIENTED_EDGE('',*,*,#66225,.T.); +#66267=EDGE_LOOP('',(#66263,#66264,#66265,#66266)); +#66268=FACE_OUTER_BOUND('',#66267,.F.); +#66270=CARTESIAN_POINT('',(7.5E0,8.3E-1,-1.765E1)); +#66271=DIRECTION('',(0.E0,-1.E0,0.E0)); +#66272=DIRECTION('',(0.E0,0.E0,-1.E0)); +#66273=AXIS2_PLACEMENT_3D('',#66270,#66271,#66272); +#66274=PLANE('',#66273); +#66275=ORIENTED_EDGE('',*,*,#66262,.F.); +#66277=ORIENTED_EDGE('',*,*,#66276,.T.); +#66279=ORIENTED_EDGE('',*,*,#66278,.F.); +#66280=ORIENTED_EDGE('',*,*,#65972,.F.); +#66281=EDGE_LOOP('',(#66275,#66277,#66279,#66280)); +#66282=FACE_OUTER_BOUND('',#66281,.F.); +#66284=CARTESIAN_POINT('',(9.7E0,1.033E1,0.E0)); +#66285=DIRECTION('',(1.E0,0.E0,0.E0)); +#66286=DIRECTION('',(0.E0,0.E0,-1.E0)); +#66287=AXIS2_PLACEMENT_3D('',#66284,#66285,#66286); +#66288=PLANE('',#66287); +#66289=ORIENTED_EDGE('',*,*,#66023,.F.); +#66291=ORIENTED_EDGE('',*,*,#66290,.F.); +#66292=ORIENTED_EDGE('',*,*,#65863,.F.); +#66294=ORIENTED_EDGE('',*,*,#66293,.T.); +#66296=ORIENTED_EDGE('',*,*,#66295,.F.); +#66298=ORIENTED_EDGE('',*,*,#66297,.F.); +#66299=EDGE_LOOP('',(#66289,#66291,#66292,#66294,#66296,#66298)); +#66300=FACE_OUTER_BOUND('',#66299,.F.); +#66302=CARTESIAN_POINT('',(9.7E0,1.033E1,0.E0)); +#66303=DIRECTION('',(1.E0,0.E0,0.E0)); +#66304=DIRECTION('',(0.E0,0.E0,-1.E0)); +#66305=AXIS2_PLACEMENT_3D('',#66302,#66303,#66304); +#66306=PLANE('',#66305); +#66307=ORIENTED_EDGE('',*,*,#66223,.F.); +#66309=ORIENTED_EDGE('',*,*,#66308,.F.); +#66311=ORIENTED_EDGE('',*,*,#66310,.T.); +#66313=ORIENTED_EDGE('',*,*,#66312,.F.); +#66314=ORIENTED_EDGE('',*,*,#66276,.F.); +#66315=EDGE_LOOP('',(#66307,#66309,#66311,#66313,#66314)); +#66316=FACE_OUTER_BOUND('',#66315,.F.); +#66318=CARTESIAN_POINT('',(7.5E0,1.121514332974E1,-1.9E1)); +#66319=DIRECTION('',(0.E0,9.848077530122E-1,1.736481776669E-1)); +#66320=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#66321=AXIS2_PLACEMENT_3D('',#66318,#66319,#66320); +#66322=PLANE('',#66321); +#66323=ORIENTED_EDGE('',*,*,#66010,.T.); +#66324=ORIENTED_EDGE('',*,*,#65960,.F.); +#66325=ORIENTED_EDGE('',*,*,#65865,.T.); +#66326=ORIENTED_EDGE('',*,*,#66290,.T.); +#66327=EDGE_LOOP('',(#66323,#66324,#66325,#66326)); +#66328=FACE_OUTER_BOUND('',#66327,.F.); +#66330=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66331=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66332=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66333=AXIS2_PLACEMENT_3D('',#66330,#66331,#66332); +#66334=PLANE('',#66333); +#66336=ORIENTED_EDGE('',*,*,#66335,.T.); +#66338=ORIENTED_EDGE('',*,*,#66337,.F.); +#66340=ORIENTED_EDGE('',*,*,#66339,.F.); +#66342=ORIENTED_EDGE('',*,*,#66341,.F.); +#66344=ORIENTED_EDGE('',*,*,#66343,.F.); +#66345=ORIENTED_EDGE('',*,*,#65852,.F.); +#66346=EDGE_LOOP('',(#66336,#66338,#66340,#66342,#66344,#66345)); +#66347=FACE_OUTER_BOUND('',#66346,.F.); +#66349=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66350=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66351=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66352=AXIS2_PLACEMENT_3D('',#66349,#66350,#66351); +#66353=PLANE('',#66352); +#66355=ORIENTED_EDGE('',*,*,#66354,.T.); +#66357=ORIENTED_EDGE('',*,*,#66356,.F.); +#66359=ORIENTED_EDGE('',*,*,#66358,.F.); +#66360=ORIENTED_EDGE('',*,*,#65844,.F.); +#66361=EDGE_LOOP('',(#66355,#66357,#66359,#66360)); +#66362=FACE_OUTER_BOUND('',#66361,.F.); +#66364=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66365=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66366=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66367=AXIS2_PLACEMENT_3D('',#66364,#66365,#66366); +#66368=PLANE('',#66367); +#66370=ORIENTED_EDGE('',*,*,#66369,.T.); +#66372=ORIENTED_EDGE('',*,*,#66371,.F.); +#66374=ORIENTED_EDGE('',*,*,#66373,.F.); +#66375=ORIENTED_EDGE('',*,*,#65836,.F.); +#66376=EDGE_LOOP('',(#66370,#66372,#66374,#66375)); +#66377=FACE_OUTER_BOUND('',#66376,.F.); +#66379=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66380=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66381=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66382=AXIS2_PLACEMENT_3D('',#66379,#66380,#66381); +#66383=PLANE('',#66382); +#66385=ORIENTED_EDGE('',*,*,#66384,.T.); +#66387=ORIENTED_EDGE('',*,*,#66386,.F.); +#66389=ORIENTED_EDGE('',*,*,#66388,.F.); +#66390=ORIENTED_EDGE('',*,*,#65828,.F.); +#66391=EDGE_LOOP('',(#66385,#66387,#66389,#66390)); +#66392=FACE_OUTER_BOUND('',#66391,.F.); +#66394=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66395=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66396=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66397=AXIS2_PLACEMENT_3D('',#66394,#66395,#66396); +#66398=PLANE('',#66397); +#66400=ORIENTED_EDGE('',*,*,#66399,.T.); +#66402=ORIENTED_EDGE('',*,*,#66401,.F.); +#66404=ORIENTED_EDGE('',*,*,#66403,.F.); +#66405=ORIENTED_EDGE('',*,*,#65820,.F.); +#66406=EDGE_LOOP('',(#66400,#66402,#66404,#66405)); +#66407=FACE_OUTER_BOUND('',#66406,.F.); +#66409=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66410=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66411=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66412=AXIS2_PLACEMENT_3D('',#66409,#66410,#66411); +#66413=PLANE('',#66412); +#66415=ORIENTED_EDGE('',*,*,#66414,.T.); +#66417=ORIENTED_EDGE('',*,*,#66416,.F.); +#66419=ORIENTED_EDGE('',*,*,#66418,.F.); +#66420=ORIENTED_EDGE('',*,*,#65812,.F.); +#66421=EDGE_LOOP('',(#66415,#66417,#66419,#66420)); +#66422=FACE_OUTER_BOUND('',#66421,.F.); +#66424=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66425=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66426=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66427=AXIS2_PLACEMENT_3D('',#66424,#66425,#66426); +#66428=PLANE('',#66427); +#66430=ORIENTED_EDGE('',*,*,#66429,.T.); +#66432=ORIENTED_EDGE('',*,*,#66431,.F.); +#66434=ORIENTED_EDGE('',*,*,#66433,.F.); +#66435=ORIENTED_EDGE('',*,*,#65804,.F.); +#66436=EDGE_LOOP('',(#66430,#66432,#66434,#66435)); +#66437=FACE_OUTER_BOUND('',#66436,.F.); +#66439=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66440=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66441=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66442=AXIS2_PLACEMENT_3D('',#66439,#66440,#66441); +#66443=PLANE('',#66442); +#66445=ORIENTED_EDGE('',*,*,#66444,.T.); +#66447=ORIENTED_EDGE('',*,*,#66446,.F.); +#66449=ORIENTED_EDGE('',*,*,#66448,.F.); +#66450=ORIENTED_EDGE('',*,*,#65796,.F.); +#66451=EDGE_LOOP('',(#66445,#66447,#66449,#66450)); +#66452=FACE_OUTER_BOUND('',#66451,.F.); +#66454=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66455=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66456=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66457=AXIS2_PLACEMENT_3D('',#66454,#66455,#66456); +#66458=PLANE('',#66457); +#66460=ORIENTED_EDGE('',*,*,#66459,.T.); +#66462=ORIENTED_EDGE('',*,*,#66461,.F.); +#66464=ORIENTED_EDGE('',*,*,#66463,.F.); +#66465=ORIENTED_EDGE('',*,*,#65788,.F.); +#66466=EDGE_LOOP('',(#66460,#66462,#66464,#66465)); +#66467=FACE_OUTER_BOUND('',#66466,.F.); +#66469=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66470=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66471=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66472=AXIS2_PLACEMENT_3D('',#66469,#66470,#66471); +#66473=PLANE('',#66472); +#66475=ORIENTED_EDGE('',*,*,#66474,.T.); +#66477=ORIENTED_EDGE('',*,*,#66476,.F.); +#66479=ORIENTED_EDGE('',*,*,#66478,.F.); +#66480=ORIENTED_EDGE('',*,*,#65780,.F.); +#66481=EDGE_LOOP('',(#66475,#66477,#66479,#66480)); +#66482=FACE_OUTER_BOUND('',#66481,.F.); +#66484=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66485=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66486=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66487=AXIS2_PLACEMENT_3D('',#66484,#66485,#66486); +#66488=PLANE('',#66487); +#66490=ORIENTED_EDGE('',*,*,#66489,.T.); +#66492=ORIENTED_EDGE('',*,*,#66491,.F.); +#66494=ORIENTED_EDGE('',*,*,#66493,.F.); +#66495=ORIENTED_EDGE('',*,*,#65772,.F.); +#66496=EDGE_LOOP('',(#66490,#66492,#66494,#66495)); +#66497=FACE_OUTER_BOUND('',#66496,.F.); +#66499=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66500=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66501=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66502=AXIS2_PLACEMENT_3D('',#66499,#66500,#66501); +#66503=PLANE('',#66502); +#66504=ORIENTED_EDGE('',*,*,#65957,.T.); +#66506=ORIENTED_EDGE('',*,*,#66505,.F.); +#66508=ORIENTED_EDGE('',*,*,#66507,.F.); +#66509=ORIENTED_EDGE('',*,*,#65764,.F.); +#66510=EDGE_LOOP('',(#66504,#66506,#66508,#66509)); +#66511=FACE_OUTER_BOUND('',#66510,.F.); +#66513=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66514=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66515=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66516=AXIS2_PLACEMENT_3D('',#66513,#66514,#66515); +#66517=PLANE('',#66516); +#66518=ORIENTED_EDGE('',*,*,#66293,.F.); +#66519=ORIENTED_EDGE('',*,*,#65861,.F.); +#66521=ORIENTED_EDGE('',*,*,#66520,.T.); +#66523=ORIENTED_EDGE('',*,*,#66522,.F.); +#66524=EDGE_LOOP('',(#66518,#66519,#66521,#66523)); +#66525=FACE_OUTER_BOUND('',#66524,.F.); +#66527=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66528=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66529=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66530=AXIS2_PLACEMENT_3D('',#66527,#66528,#66529); +#66531=PLANE('',#66530); +#66533=ORIENTED_EDGE('',*,*,#66532,.T.); +#66535=ORIENTED_EDGE('',*,*,#66534,.F.); +#66537=ORIENTED_EDGE('',*,*,#66536,.F.); +#66539=ORIENTED_EDGE('',*,*,#66538,.F.); +#66541=ORIENTED_EDGE('',*,*,#66540,.F.); +#66542=ORIENTED_EDGE('',*,*,#65925,.F.); +#66543=EDGE_LOOP('',(#66533,#66535,#66537,#66539,#66541,#66542)); +#66544=FACE_OUTER_BOUND('',#66543,.F.); +#66546=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66547=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66548=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66549=AXIS2_PLACEMENT_3D('',#66546,#66547,#66548); +#66550=PLANE('',#66549); +#66552=ORIENTED_EDGE('',*,*,#66551,.T.); +#66554=ORIENTED_EDGE('',*,*,#66553,.F.); +#66556=ORIENTED_EDGE('',*,*,#66555,.F.); +#66557=ORIENTED_EDGE('',*,*,#65917,.F.); +#66558=EDGE_LOOP('',(#66552,#66554,#66556,#66557)); +#66559=FACE_OUTER_BOUND('',#66558,.F.); +#66561=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66562=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66563=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66564=AXIS2_PLACEMENT_3D('',#66561,#66562,#66563); +#66565=PLANE('',#66564); +#66567=ORIENTED_EDGE('',*,*,#66566,.T.); +#66569=ORIENTED_EDGE('',*,*,#66568,.F.); +#66571=ORIENTED_EDGE('',*,*,#66570,.F.); +#66572=ORIENTED_EDGE('',*,*,#65909,.F.); +#66573=EDGE_LOOP('',(#66567,#66569,#66571,#66572)); +#66574=FACE_OUTER_BOUND('',#66573,.F.); +#66576=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66577=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66578=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66579=AXIS2_PLACEMENT_3D('',#66576,#66577,#66578); +#66580=PLANE('',#66579); +#66582=ORIENTED_EDGE('',*,*,#66581,.T.); +#66584=ORIENTED_EDGE('',*,*,#66583,.F.); +#66586=ORIENTED_EDGE('',*,*,#66585,.F.); +#66587=ORIENTED_EDGE('',*,*,#65901,.F.); +#66588=EDGE_LOOP('',(#66582,#66584,#66586,#66587)); +#66589=FACE_OUTER_BOUND('',#66588,.F.); +#66591=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66592=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66593=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66594=AXIS2_PLACEMENT_3D('',#66591,#66592,#66593); +#66595=PLANE('',#66594); +#66597=ORIENTED_EDGE('',*,*,#66596,.T.); +#66599=ORIENTED_EDGE('',*,*,#66598,.F.); +#66601=ORIENTED_EDGE('',*,*,#66600,.F.); +#66602=ORIENTED_EDGE('',*,*,#65893,.F.); +#66603=EDGE_LOOP('',(#66597,#66599,#66601,#66602)); +#66604=FACE_OUTER_BOUND('',#66603,.F.); +#66606=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66607=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66608=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66609=AXIS2_PLACEMENT_3D('',#66606,#66607,#66608); +#66610=PLANE('',#66609); +#66612=ORIENTED_EDGE('',*,*,#66611,.T.); +#66614=ORIENTED_EDGE('',*,*,#66613,.F.); +#66616=ORIENTED_EDGE('',*,*,#66615,.F.); +#66617=ORIENTED_EDGE('',*,*,#65885,.F.); +#66618=EDGE_LOOP('',(#66612,#66614,#66616,#66617)); +#66619=FACE_OUTER_BOUND('',#66618,.F.); +#66621=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66622=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66623=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66624=AXIS2_PLACEMENT_3D('',#66621,#66622,#66623); +#66625=PLANE('',#66624); +#66627=ORIENTED_EDGE('',*,*,#66626,.T.); +#66629=ORIENTED_EDGE('',*,*,#66628,.F.); +#66631=ORIENTED_EDGE('',*,*,#66630,.F.); +#66632=ORIENTED_EDGE('',*,*,#65877,.F.); +#66633=EDGE_LOOP('',(#66627,#66629,#66631,#66632)); +#66634=FACE_OUTER_BOUND('',#66633,.F.); +#66636=CARTESIAN_POINT('',(8.6326692E1,9.114936490539E0,-1.9E1)); +#66637=DIRECTION('',(0.E0,-4.226182617407E-1,9.063077870367E-1)); +#66638=DIRECTION('',(0.E0,-9.063077870367E-1,-4.226182617407E-1)); +#66639=AXIS2_PLACEMENT_3D('',#66636,#66637,#66638); +#66640=PLANE('',#66639); +#66642=ORIENTED_EDGE('',*,*,#66641,.F.); +#66643=ORIENTED_EDGE('',*,*,#65935,.F.); +#66645=ORIENTED_EDGE('',*,*,#66644,.T.); +#66647=ORIENTED_EDGE('',*,*,#66646,.F.); +#66648=EDGE_LOOP('',(#66642,#66643,#66645,#66647)); +#66649=FACE_OUTER_BOUND('',#66648,.F.); +#66651=CARTESIAN_POINT('',(-3.98E1,1.033E1,0.E0)); +#66652=DIRECTION('',(1.E0,0.E0,0.E0)); +#66653=DIRECTION('',(0.E0,0.E0,-1.E0)); +#66654=AXIS2_PLACEMENT_3D('',#66651,#66652,#66653); +#66655=PLANE('',#66654); +#66657=ORIENTED_EDGE('',*,*,#66656,.F.); +#66659=ORIENTED_EDGE('',*,*,#66658,.T.); +#66661=ORIENTED_EDGE('',*,*,#66660,.T.); +#66663=ORIENTED_EDGE('',*,*,#66662,.F.); +#66664=ORIENTED_EDGE('',*,*,#66335,.F.); +#66665=ORIENTED_EDGE('',*,*,#65850,.T.); +#66667=ORIENTED_EDGE('',*,*,#66666,.T.); +#66668=EDGE_LOOP('',(#66657,#66659,#66661,#66663,#66664,#66665,#66667)); +#66669=FACE_OUTER_BOUND('',#66668,.F.); +#66671=CARTESIAN_POINT('',(-3.98E1,1.033E1,0.E0)); +#66672=DIRECTION('',(1.E0,0.E0,0.E0)); +#66673=DIRECTION('',(0.E0,0.E0,-1.E0)); +#66674=AXIS2_PLACEMENT_3D('',#66671,#66672,#66673); +#66675=PLANE('',#66674); +#66677=ORIENTED_EDGE('',*,*,#66676,.F.); +#66679=ORIENTED_EDGE('',*,*,#66678,.T.); +#66681=ORIENTED_EDGE('',*,*,#66680,.T.); +#66683=ORIENTED_EDGE('',*,*,#66682,.T.); +#66685=ORIENTED_EDGE('',*,*,#66684,.F.); +#66687=ORIENTED_EDGE('',*,*,#66686,.T.); +#66688=EDGE_LOOP('',(#66677,#66679,#66681,#66683,#66685,#66687)); +#66689=FACE_OUTER_BOUND('',#66688,.F.); +#66691=CARTESIAN_POINT('',(-3.965E1,1.033E1,-1.78E1)); +#66692=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#66693=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#66694=AXIS2_PLACEMENT_3D('',#66691,#66692,#66693); +#66695=PLANE('',#66694); +#66696=ORIENTED_EDGE('',*,*,#66656,.T.); +#66698=ORIENTED_EDGE('',*,*,#66697,.T.); +#66700=ORIENTED_EDGE('',*,*,#66699,.F.); +#66702=ORIENTED_EDGE('',*,*,#66701,.T.); +#66703=EDGE_LOOP('',(#66696,#66698,#66700,#66702)); +#66704=FACE_OUTER_BOUND('',#66703,.F.); +#66706=CARTESIAN_POINT('',(-3.87E1,1.088E1,-1.78E1)); +#66707=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#66708=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#66709=AXIS2_PLACEMENT_3D('',#66706,#66707,#66708); +#66710=PLANE('',#66709); +#66712=ORIENTED_EDGE('',*,*,#66711,.F.); +#66714=ORIENTED_EDGE('',*,*,#66713,.T.); +#66715=ORIENTED_EDGE('',*,*,#66697,.F.); +#66717=ORIENTED_EDGE('',*,*,#66716,.F.); +#66718=EDGE_LOOP('',(#66712,#66714,#66715,#66717)); +#66719=FACE_OUTER_BOUND('',#66718,.F.); +#66721=CARTESIAN_POINT('',(-3.775E1,1.033E1,-1.78E1)); +#66722=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#66723=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#66724=AXIS2_PLACEMENT_3D('',#66721,#66722,#66723); +#66725=PLANE('',#66724); +#66727=ORIENTED_EDGE('',*,*,#66726,.F.); +#66728=ORIENTED_EDGE('',*,*,#66711,.T.); +#66730=ORIENTED_EDGE('',*,*,#66729,.T.); +#66732=ORIENTED_EDGE('',*,*,#66731,.T.); +#66733=EDGE_LOOP('',(#66727,#66728,#66730,#66732)); +#66734=FACE_OUTER_BOUND('',#66733,.F.); +#66736=CARTESIAN_POINT('',(-3.98E1,1.103E1,-1.765E1)); +#66737=DIRECTION('',(0.E0,0.E0,1.E0)); +#66738=DIRECTION('',(0.E0,-1.E0,0.E0)); +#66739=AXIS2_PLACEMENT_3D('',#66736,#66737,#66738); +#66740=PLANE('',#66739); +#66741=ORIENTED_EDGE('',*,*,#66699,.T.); +#66742=ORIENTED_EDGE('',*,*,#66713,.F.); +#66743=ORIENTED_EDGE('',*,*,#66726,.T.); +#66745=ORIENTED_EDGE('',*,*,#66744,.T.); +#66746=EDGE_LOOP('',(#66741,#66742,#66743,#66745)); +#66747=FACE_OUTER_BOUND('',#66746,.F.); +#66749=ORIENTED_EDGE('',*,*,#66748,.T.); +#66751=ORIENTED_EDGE('',*,*,#66750,.T.); +#66753=ORIENTED_EDGE('',*,*,#66752,.T.); +#66755=ORIENTED_EDGE('',*,*,#66754,.T.); +#66756=EDGE_LOOP('',(#66749,#66751,#66753,#66755)); +#66757=FACE_BOUND('',#66756,.F.); +#66759=CARTESIAN_POINT('',(-3.9E1,1.0645E1,-1.995E1)); +#66760=DIRECTION('',(-1.E0,0.E0,0.E0)); +#66761=DIRECTION('',(0.E0,-1.E0,0.E0)); +#66762=AXIS2_PLACEMENT_3D('',#66759,#66760,#66761); +#66763=PLANE('',#66762); +#66764=ORIENTED_EDGE('',*,*,#66748,.F.); +#66766=ORIENTED_EDGE('',*,*,#66765,.T.); +#66768=ORIENTED_EDGE('',*,*,#66767,.T.); +#66770=ORIENTED_EDGE('',*,*,#66769,.F.); +#66771=EDGE_LOOP('',(#66764,#66766,#66768,#66770)); +#66772=FACE_OUTER_BOUND('',#66771,.F.); +#66774=CARTESIAN_POINT('',(-3.84E1,1.0645E1,-1.995E1)); +#66775=DIRECTION('',(0.E0,1.E0,0.E0)); +#66776=DIRECTION('',(-1.E0,0.E0,0.E0)); +#66777=AXIS2_PLACEMENT_3D('',#66774,#66775,#66776); +#66778=PLANE('',#66777); +#66779=ORIENTED_EDGE('',*,*,#66754,.F.); +#66781=ORIENTED_EDGE('',*,*,#66780,.T.); +#66783=ORIENTED_EDGE('',*,*,#66782,.T.); +#66784=ORIENTED_EDGE('',*,*,#66765,.F.); +#66785=EDGE_LOOP('',(#66779,#66781,#66783,#66784)); +#66786=FACE_OUTER_BOUND('',#66785,.F.); +#66788=CARTESIAN_POINT('',(-3.84E1,1.0015E1,-1.995E1)); +#66789=DIRECTION('',(1.E0,0.E0,0.E0)); +#66790=DIRECTION('',(0.E0,1.E0,0.E0)); +#66791=AXIS2_PLACEMENT_3D('',#66788,#66789,#66790); +#66792=PLANE('',#66791); +#66793=ORIENTED_EDGE('',*,*,#66752,.F.); +#66795=ORIENTED_EDGE('',*,*,#66794,.T.); +#66797=ORIENTED_EDGE('',*,*,#66796,.T.); +#66798=ORIENTED_EDGE('',*,*,#66780,.F.); +#66799=EDGE_LOOP('',(#66793,#66795,#66797,#66798)); +#66800=FACE_OUTER_BOUND('',#66799,.F.); +#66802=CARTESIAN_POINT('',(-3.9E1,1.0015E1,-1.995E1)); +#66803=DIRECTION('',(0.E0,-1.E0,0.E0)); +#66804=DIRECTION('',(1.E0,0.E0,0.E0)); +#66805=AXIS2_PLACEMENT_3D('',#66802,#66803,#66804); +#66806=PLANE('',#66805); +#66807=ORIENTED_EDGE('',*,*,#66750,.F.); +#66808=ORIENTED_EDGE('',*,*,#66769,.T.); +#66810=ORIENTED_EDGE('',*,*,#66809,.T.); +#66811=ORIENTED_EDGE('',*,*,#66794,.F.); +#66812=EDGE_LOOP('',(#66807,#66808,#66810,#66811)); +#66813=FACE_OUTER_BOUND('',#66812,.F.); +#66815=CARTESIAN_POINT('',(0.E0,0.E0,-1.175E1)); +#66816=DIRECTION('',(0.E0,0.E0,1.E0)); +#66817=DIRECTION('',(1.E0,0.E0,0.E0)); +#66818=AXIS2_PLACEMENT_3D('',#66815,#66816,#66817); +#66819=PLANE('',#66818); +#66820=ORIENTED_EDGE('',*,*,#66767,.F.); +#66821=ORIENTED_EDGE('',*,*,#66782,.F.); +#66822=ORIENTED_EDGE('',*,*,#66796,.F.); +#66823=ORIENTED_EDGE('',*,*,#66809,.F.); +#66824=EDGE_LOOP('',(#66820,#66821,#66822,#66823)); +#66825=FACE_OUTER_BOUND('',#66824,.F.); +#66827=CARTESIAN_POINT('',(0.E0,0.E0,-1.175E1)); +#66828=DIRECTION('',(0.E0,0.E0,1.E0)); +#66829=DIRECTION('',(1.E0,0.E0,0.E0)); +#66830=AXIS2_PLACEMENT_3D('',#66827,#66828,#66829); +#66831=PLANE('',#66830); +#66833=ORIENTED_EDGE('',*,*,#66832,.F.); +#66835=ORIENTED_EDGE('',*,*,#66834,.F.); +#66837=ORIENTED_EDGE('',*,*,#66836,.F.); +#66839=ORIENTED_EDGE('',*,*,#66838,.F.); +#66840=EDGE_LOOP('',(#66833,#66835,#66837,#66839)); +#66841=FACE_OUTER_BOUND('',#66840,.F.); +#66843=CARTESIAN_POINT('',(-3.9E1,1.845E0,-1.995E1)); +#66844=DIRECTION('',(-1.E0,0.E0,0.E0)); +#66845=DIRECTION('',(0.E0,-1.E0,0.E0)); +#66846=AXIS2_PLACEMENT_3D('',#66843,#66844,#66845); +#66847=PLANE('',#66846); +#66849=ORIENTED_EDGE('',*,*,#66848,.F.); +#66851=ORIENTED_EDGE('',*,*,#66850,.T.); +#66852=ORIENTED_EDGE('',*,*,#66832,.T.); +#66854=ORIENTED_EDGE('',*,*,#66853,.F.); +#66855=EDGE_LOOP('',(#66849,#66851,#66852,#66854)); +#66856=FACE_OUTER_BOUND('',#66855,.F.); +#66858=CARTESIAN_POINT('',(-3.98E1,2.23E0,-1.765E1)); +#66859=DIRECTION('',(0.E0,0.E0,1.E0)); +#66860=DIRECTION('',(0.E0,-1.E0,0.E0)); +#66861=AXIS2_PLACEMENT_3D('',#66858,#66859,#66860); +#66862=PLANE('',#66861); +#66864=ORIENTED_EDGE('',*,*,#66863,.T.); +#66866=ORIENTED_EDGE('',*,*,#66865,.T.); +#66868=ORIENTED_EDGE('',*,*,#66867,.T.); +#66870=ORIENTED_EDGE('',*,*,#66869,.F.); +#66871=EDGE_LOOP('',(#66864,#66866,#66868,#66870)); +#66872=FACE_OUTER_BOUND('',#66871,.F.); +#66873=ORIENTED_EDGE('',*,*,#66848,.T.); +#66875=ORIENTED_EDGE('',*,*,#66874,.T.); +#66877=ORIENTED_EDGE('',*,*,#66876,.T.); +#66879=ORIENTED_EDGE('',*,*,#66878,.T.); +#66880=EDGE_LOOP('',(#66873,#66875,#66877,#66879)); +#66881=FACE_BOUND('',#66880,.F.); +#66883=CARTESIAN_POINT('',(-3.9E1,1.215E0,-1.995E1)); +#66884=DIRECTION('',(0.E0,-1.E0,0.E0)); +#66885=DIRECTION('',(1.E0,0.E0,0.E0)); +#66886=AXIS2_PLACEMENT_3D('',#66883,#66884,#66885); +#66887=PLANE('',#66886); +#66888=ORIENTED_EDGE('',*,*,#66874,.F.); +#66889=ORIENTED_EDGE('',*,*,#66853,.T.); +#66890=ORIENTED_EDGE('',*,*,#66838,.T.); +#66892=ORIENTED_EDGE('',*,*,#66891,.F.); +#66893=EDGE_LOOP('',(#66888,#66889,#66890,#66892)); +#66894=FACE_OUTER_BOUND('',#66893,.F.); +#66896=CARTESIAN_POINT('',(-3.84E1,1.215E0,-1.995E1)); +#66897=DIRECTION('',(1.E0,0.E0,0.E0)); +#66898=DIRECTION('',(0.E0,1.E0,0.E0)); +#66899=AXIS2_PLACEMENT_3D('',#66896,#66897,#66898); +#66900=PLANE('',#66899); +#66901=ORIENTED_EDGE('',*,*,#66876,.F.); +#66902=ORIENTED_EDGE('',*,*,#66891,.T.); +#66903=ORIENTED_EDGE('',*,*,#66836,.T.); +#66905=ORIENTED_EDGE('',*,*,#66904,.F.); +#66906=EDGE_LOOP('',(#66901,#66902,#66903,#66905)); +#66907=FACE_OUTER_BOUND('',#66906,.F.); +#66909=CARTESIAN_POINT('',(-3.84E1,1.845E0,-1.995E1)); +#66910=DIRECTION('',(0.E0,1.E0,0.E0)); +#66911=DIRECTION('',(-1.E0,0.E0,0.E0)); +#66912=AXIS2_PLACEMENT_3D('',#66909,#66910,#66911); +#66913=PLANE('',#66912); +#66914=ORIENTED_EDGE('',*,*,#66878,.F.); +#66915=ORIENTED_EDGE('',*,*,#66904,.T.); +#66916=ORIENTED_EDGE('',*,*,#66834,.T.); +#66917=ORIENTED_EDGE('',*,*,#66850,.F.); +#66918=EDGE_LOOP('',(#66914,#66915,#66916,#66917)); +#66919=FACE_OUTER_BOUND('',#66918,.F.); +#66921=CARTESIAN_POINT('',(-3.775E1,1.53E0,-1.78E1)); +#66922=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#66923=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#66924=AXIS2_PLACEMENT_3D('',#66921,#66922,#66923); +#66925=PLANE('',#66924); +#66926=ORIENTED_EDGE('',*,*,#66863,.F.); +#66928=ORIENTED_EDGE('',*,*,#66927,.T.); +#66930=ORIENTED_EDGE('',*,*,#66929,.T.); +#66932=ORIENTED_EDGE('',*,*,#66931,.F.); +#66933=EDGE_LOOP('',(#66926,#66928,#66930,#66932)); +#66934=FACE_OUTER_BOUND('',#66933,.F.); +#66936=CARTESIAN_POINT('',(-3.87E1,2.08E0,-1.78E1)); +#66937=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#66938=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#66939=AXIS2_PLACEMENT_3D('',#66936,#66937,#66938); +#66940=PLANE('',#66939); +#66941=ORIENTED_EDGE('',*,*,#66869,.T.); +#66943=ORIENTED_EDGE('',*,*,#66942,.T.); +#66945=ORIENTED_EDGE('',*,*,#66944,.F.); +#66946=ORIENTED_EDGE('',*,*,#66927,.F.); +#66947=EDGE_LOOP('',(#66941,#66943,#66945,#66946)); +#66948=FACE_OUTER_BOUND('',#66947,.F.); +#66950=CARTESIAN_POINT('',(-3.965E1,1.53E0,-1.78E1)); +#66951=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#66952=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#66953=AXIS2_PLACEMENT_3D('',#66950,#66951,#66952); +#66954=PLANE('',#66953); +#66955=ORIENTED_EDGE('',*,*,#66676,.T.); +#66956=ORIENTED_EDGE('',*,*,#66942,.F.); +#66957=ORIENTED_EDGE('',*,*,#66867,.F.); +#66959=ORIENTED_EDGE('',*,*,#66958,.T.); +#66960=EDGE_LOOP('',(#66955,#66956,#66957,#66959)); +#66961=FACE_OUTER_BOUND('',#66960,.F.); +#66963=CARTESIAN_POINT('',(-3.87E1,9.8E-1,-1.78E1)); +#66964=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#66965=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#66966=AXIS2_PLACEMENT_3D('',#66963,#66964,#66965); +#66967=PLANE('',#66966); +#66969=ORIENTED_EDGE('',*,*,#66968,.T.); +#66970=ORIENTED_EDGE('',*,*,#66958,.F.); +#66971=ORIENTED_EDGE('',*,*,#66865,.F.); +#66972=ORIENTED_EDGE('',*,*,#66931,.T.); +#66973=EDGE_LOOP('',(#66969,#66970,#66971,#66972)); +#66974=FACE_OUTER_BOUND('',#66973,.F.); +#66976=CARTESIAN_POINT('',(-3.98E1,8.3E-1,-1.765E1)); +#66977=DIRECTION('',(0.E0,-1.E0,0.E0)); +#66978=DIRECTION('',(0.E0,0.E0,-1.E0)); +#66979=AXIS2_PLACEMENT_3D('',#66976,#66977,#66978); +#66980=PLANE('',#66979); +#66981=ORIENTED_EDGE('',*,*,#66968,.F.); +#66983=ORIENTED_EDGE('',*,*,#66982,.T.); +#66985=ORIENTED_EDGE('',*,*,#66984,.F.); +#66986=ORIENTED_EDGE('',*,*,#66678,.F.); +#66987=EDGE_LOOP('',(#66981,#66983,#66985,#66986)); +#66988=FACE_OUTER_BOUND('',#66987,.F.); +#66990=CARTESIAN_POINT('',(-3.76E1,1.033E1,0.E0)); +#66991=DIRECTION('',(1.E0,0.E0,0.E0)); +#66992=DIRECTION('',(0.E0,0.E0,-1.E0)); +#66993=AXIS2_PLACEMENT_3D('',#66990,#66991,#66992); +#66994=PLANE('',#66993); +#66995=ORIENTED_EDGE('',*,*,#66729,.F.); +#66997=ORIENTED_EDGE('',*,*,#66996,.F.); +#66998=ORIENTED_EDGE('',*,*,#65846,.F.); +#66999=ORIENTED_EDGE('',*,*,#66358,.T.); +#67001=ORIENTED_EDGE('',*,*,#67000,.T.); +#67003=ORIENTED_EDGE('',*,*,#67002,.F.); +#67005=ORIENTED_EDGE('',*,*,#67004,.F.); +#67006=EDGE_LOOP('',(#66995,#66997,#66998,#66999,#67001,#67003,#67005)); +#67007=FACE_OUTER_BOUND('',#67006,.F.); +#67009=CARTESIAN_POINT('',(-3.76E1,1.033E1,0.E0)); +#67010=DIRECTION('',(1.E0,0.E0,0.E0)); +#67011=DIRECTION('',(0.E0,0.E0,-1.E0)); +#67012=AXIS2_PLACEMENT_3D('',#67009,#67010,#67011); +#67013=PLANE('',#67012); +#67014=ORIENTED_EDGE('',*,*,#66929,.F.); +#67016=ORIENTED_EDGE('',*,*,#67015,.F.); +#67018=ORIENTED_EDGE('',*,*,#67017,.T.); +#67020=ORIENTED_EDGE('',*,*,#67019,.F.); +#67022=ORIENTED_EDGE('',*,*,#67021,.F.); +#67023=ORIENTED_EDGE('',*,*,#66982,.F.); +#67024=EDGE_LOOP('',(#67014,#67016,#67018,#67020,#67022,#67023)); +#67025=FACE_OUTER_BOUND('',#67024,.F.); +#67027=CARTESIAN_POINT('',(-3.98E1,1.121514332974E1,-1.9E1)); +#67028=DIRECTION('',(0.E0,9.848077530122E-1,1.736481776669E-1)); +#67029=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#67030=AXIS2_PLACEMENT_3D('',#67027,#67028,#67029); +#67031=PLANE('',#67030); +#67032=ORIENTED_EDGE('',*,*,#66716,.T.); +#67033=ORIENTED_EDGE('',*,*,#66666,.F.); +#67034=ORIENTED_EDGE('',*,*,#65848,.T.); +#67035=ORIENTED_EDGE('',*,*,#66996,.T.); +#67036=EDGE_LOOP('',(#67032,#67033,#67034,#67035)); +#67037=FACE_OUTER_BOUND('',#67036,.F.); +#67039=CARTESIAN_POINT('',(-3.765E1,7.204977327052E0,-1.995E1)); +#67040=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#67041=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#67042=AXIS2_PLACEMENT_3D('',#67039,#67040,#67041); +#67043=PLANE('',#67042); +#67045=ORIENTED_EDGE('',*,*,#67044,.T.); +#67047=ORIENTED_EDGE('',*,*,#67046,.F.); +#67049=ORIENTED_EDGE('',*,*,#67048,.T.); +#67051=ORIENTED_EDGE('',*,*,#67050,.F.); +#67053=ORIENTED_EDGE('',*,*,#67052,.F.); +#67055=ORIENTED_EDGE('',*,*,#67054,.T.); +#67056=ORIENTED_EDGE('',*,*,#67000,.F.); +#67057=ORIENTED_EDGE('',*,*,#66356,.T.); +#67058=EDGE_LOOP('',(#67045,#67047,#67049,#67051,#67053,#67055,#67056,#67057)); +#67059=FACE_OUTER_BOUND('',#67058,.F.); +#67061=CARTESIAN_POINT('',(-3.55E1,1.033E1,0.E0)); +#67062=DIRECTION('',(1.E0,0.E0,0.E0)); +#67063=DIRECTION('',(0.E0,0.E0,-1.E0)); +#67064=AXIS2_PLACEMENT_3D('',#67061,#67062,#67063); +#67065=PLANE('',#67064); +#67067=ORIENTED_EDGE('',*,*,#67066,.F.); +#67069=ORIENTED_EDGE('',*,*,#67068,.T.); +#67071=ORIENTED_EDGE('',*,*,#67070,.T.); +#67072=ORIENTED_EDGE('',*,*,#67044,.F.); +#67073=ORIENTED_EDGE('',*,*,#66354,.F.); +#67074=ORIENTED_EDGE('',*,*,#65842,.T.); +#67076=ORIENTED_EDGE('',*,*,#67075,.T.); +#67077=EDGE_LOOP('',(#67067,#67069,#67071,#67072,#67073,#67074,#67076)); +#67078=FACE_OUTER_BOUND('',#67077,.F.); +#67080=CARTESIAN_POINT('',(-3.55E1,1.033E1,0.E0)); +#67081=DIRECTION('',(1.E0,0.E0,0.E0)); +#67082=DIRECTION('',(0.E0,0.E0,-1.E0)); +#67083=AXIS2_PLACEMENT_3D('',#67080,#67081,#67082); +#67084=PLANE('',#67083); +#67086=ORIENTED_EDGE('',*,*,#67085,.F.); +#67088=ORIENTED_EDGE('',*,*,#67087,.T.); +#67090=ORIENTED_EDGE('',*,*,#67089,.T.); +#67092=ORIENTED_EDGE('',*,*,#67091,.T.); +#67094=ORIENTED_EDGE('',*,*,#67093,.F.); +#67096=ORIENTED_EDGE('',*,*,#67095,.T.); +#67097=EDGE_LOOP('',(#67086,#67088,#67090,#67092,#67094,#67096)); +#67098=FACE_OUTER_BOUND('',#67097,.F.); +#67100=CARTESIAN_POINT('',(-3.535E1,1.033E1,-1.78E1)); +#67101=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#67102=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#67103=AXIS2_PLACEMENT_3D('',#67100,#67101,#67102); +#67104=PLANE('',#67103); +#67105=ORIENTED_EDGE('',*,*,#67066,.T.); +#67107=ORIENTED_EDGE('',*,*,#67106,.T.); +#67109=ORIENTED_EDGE('',*,*,#67108,.F.); +#67111=ORIENTED_EDGE('',*,*,#67110,.T.); +#67112=EDGE_LOOP('',(#67105,#67107,#67109,#67111)); +#67113=FACE_OUTER_BOUND('',#67112,.F.); +#67115=CARTESIAN_POINT('',(-3.44E1,1.088E1,-1.78E1)); +#67116=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#67117=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#67118=AXIS2_PLACEMENT_3D('',#67115,#67116,#67117); +#67119=PLANE('',#67118); +#67121=ORIENTED_EDGE('',*,*,#67120,.F.); +#67123=ORIENTED_EDGE('',*,*,#67122,.T.); +#67124=ORIENTED_EDGE('',*,*,#67106,.F.); +#67126=ORIENTED_EDGE('',*,*,#67125,.F.); +#67127=EDGE_LOOP('',(#67121,#67123,#67124,#67126)); +#67128=FACE_OUTER_BOUND('',#67127,.F.); +#67130=CARTESIAN_POINT('',(-3.345E1,1.033E1,-1.78E1)); +#67131=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#67132=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#67133=AXIS2_PLACEMENT_3D('',#67130,#67131,#67132); +#67134=PLANE('',#67133); +#67136=ORIENTED_EDGE('',*,*,#67135,.F.); +#67137=ORIENTED_EDGE('',*,*,#67120,.T.); +#67139=ORIENTED_EDGE('',*,*,#67138,.T.); +#67141=ORIENTED_EDGE('',*,*,#67140,.T.); +#67142=EDGE_LOOP('',(#67136,#67137,#67139,#67141)); +#67143=FACE_OUTER_BOUND('',#67142,.F.); +#67145=CARTESIAN_POINT('',(-3.55E1,1.103E1,-1.765E1)); +#67146=DIRECTION('',(0.E0,0.E0,1.E0)); +#67147=DIRECTION('',(0.E0,-1.E0,0.E0)); +#67148=AXIS2_PLACEMENT_3D('',#67145,#67146,#67147); +#67149=PLANE('',#67148); +#67150=ORIENTED_EDGE('',*,*,#67108,.T.); +#67151=ORIENTED_EDGE('',*,*,#67122,.F.); +#67152=ORIENTED_EDGE('',*,*,#67135,.T.); +#67154=ORIENTED_EDGE('',*,*,#67153,.T.); +#67155=EDGE_LOOP('',(#67150,#67151,#67152,#67154)); +#67156=FACE_OUTER_BOUND('',#67155,.F.); +#67158=ORIENTED_EDGE('',*,*,#67157,.T.); +#67160=ORIENTED_EDGE('',*,*,#67159,.T.); +#67162=ORIENTED_EDGE('',*,*,#67161,.T.); +#67164=ORIENTED_EDGE('',*,*,#67163,.T.); +#67165=EDGE_LOOP('',(#67158,#67160,#67162,#67164)); +#67166=FACE_BOUND('',#67165,.F.); +#67168=CARTESIAN_POINT('',(-3.47E1,1.0645E1,-1.995E1)); +#67169=DIRECTION('',(-1.E0,0.E0,0.E0)); +#67170=DIRECTION('',(0.E0,-1.E0,0.E0)); +#67171=AXIS2_PLACEMENT_3D('',#67168,#67169,#67170); +#67172=PLANE('',#67171); +#67173=ORIENTED_EDGE('',*,*,#67157,.F.); +#67175=ORIENTED_EDGE('',*,*,#67174,.T.); +#67177=ORIENTED_EDGE('',*,*,#67176,.T.); +#67179=ORIENTED_EDGE('',*,*,#67178,.F.); +#67180=EDGE_LOOP('',(#67173,#67175,#67177,#67179)); +#67181=FACE_OUTER_BOUND('',#67180,.F.); +#67183=CARTESIAN_POINT('',(-3.41E1,1.0645E1,-1.995E1)); +#67184=DIRECTION('',(0.E0,1.E0,0.E0)); +#67185=DIRECTION('',(-1.E0,0.E0,0.E0)); +#67186=AXIS2_PLACEMENT_3D('',#67183,#67184,#67185); +#67187=PLANE('',#67186); +#67188=ORIENTED_EDGE('',*,*,#67163,.F.); +#67190=ORIENTED_EDGE('',*,*,#67189,.T.); +#67192=ORIENTED_EDGE('',*,*,#67191,.T.); +#67193=ORIENTED_EDGE('',*,*,#67174,.F.); +#67194=EDGE_LOOP('',(#67188,#67190,#67192,#67193)); +#67195=FACE_OUTER_BOUND('',#67194,.F.); +#67197=CARTESIAN_POINT('',(-3.41E1,1.0015E1,-1.995E1)); +#67198=DIRECTION('',(1.E0,0.E0,0.E0)); +#67199=DIRECTION('',(0.E0,1.E0,0.E0)); +#67200=AXIS2_PLACEMENT_3D('',#67197,#67198,#67199); +#67201=PLANE('',#67200); +#67202=ORIENTED_EDGE('',*,*,#67161,.F.); +#67204=ORIENTED_EDGE('',*,*,#67203,.T.); +#67206=ORIENTED_EDGE('',*,*,#67205,.T.); +#67207=ORIENTED_EDGE('',*,*,#67189,.F.); +#67208=EDGE_LOOP('',(#67202,#67204,#67206,#67207)); +#67209=FACE_OUTER_BOUND('',#67208,.F.); +#67211=CARTESIAN_POINT('',(-3.47E1,1.0015E1,-1.995E1)); +#67212=DIRECTION('',(0.E0,-1.E0,0.E0)); +#67213=DIRECTION('',(1.E0,0.E0,0.E0)); +#67214=AXIS2_PLACEMENT_3D('',#67211,#67212,#67213); +#67215=PLANE('',#67214); +#67216=ORIENTED_EDGE('',*,*,#67159,.F.); +#67217=ORIENTED_EDGE('',*,*,#67178,.T.); +#67219=ORIENTED_EDGE('',*,*,#67218,.T.); +#67220=ORIENTED_EDGE('',*,*,#67203,.F.); +#67221=EDGE_LOOP('',(#67216,#67217,#67219,#67220)); +#67222=FACE_OUTER_BOUND('',#67221,.F.); +#67224=CARTESIAN_POINT('',(4.3E0,0.E0,-1.175E1)); +#67225=DIRECTION('',(0.E0,0.E0,1.E0)); +#67226=DIRECTION('',(1.E0,0.E0,0.E0)); +#67227=AXIS2_PLACEMENT_3D('',#67224,#67225,#67226); +#67228=PLANE('',#67227); +#67229=ORIENTED_EDGE('',*,*,#67176,.F.); +#67230=ORIENTED_EDGE('',*,*,#67191,.F.); +#67231=ORIENTED_EDGE('',*,*,#67205,.F.); +#67232=ORIENTED_EDGE('',*,*,#67218,.F.); +#67233=EDGE_LOOP('',(#67229,#67230,#67231,#67232)); +#67234=FACE_OUTER_BOUND('',#67233,.F.); +#67236=CARTESIAN_POINT('',(4.3E0,0.E0,-1.175E1)); +#67237=DIRECTION('',(0.E0,0.E0,1.E0)); +#67238=DIRECTION('',(1.E0,0.E0,0.E0)); +#67239=AXIS2_PLACEMENT_3D('',#67236,#67237,#67238); +#67240=PLANE('',#67239); +#67242=ORIENTED_EDGE('',*,*,#67241,.F.); +#67244=ORIENTED_EDGE('',*,*,#67243,.F.); +#67246=ORIENTED_EDGE('',*,*,#67245,.F.); +#67248=ORIENTED_EDGE('',*,*,#67247,.F.); +#67249=EDGE_LOOP('',(#67242,#67244,#67246,#67248)); +#67250=FACE_OUTER_BOUND('',#67249,.F.); +#67252=CARTESIAN_POINT('',(-3.47E1,1.845E0,-1.995E1)); +#67253=DIRECTION('',(-1.E0,0.E0,0.E0)); +#67254=DIRECTION('',(0.E0,-1.E0,0.E0)); +#67255=AXIS2_PLACEMENT_3D('',#67252,#67253,#67254); +#67256=PLANE('',#67255); +#67258=ORIENTED_EDGE('',*,*,#67257,.F.); +#67260=ORIENTED_EDGE('',*,*,#67259,.T.); +#67261=ORIENTED_EDGE('',*,*,#67241,.T.); +#67263=ORIENTED_EDGE('',*,*,#67262,.F.); +#67264=EDGE_LOOP('',(#67258,#67260,#67261,#67263)); +#67265=FACE_OUTER_BOUND('',#67264,.F.); +#67267=CARTESIAN_POINT('',(-3.55E1,2.23E0,-1.765E1)); +#67268=DIRECTION('',(0.E0,0.E0,1.E0)); +#67269=DIRECTION('',(0.E0,-1.E0,0.E0)); +#67270=AXIS2_PLACEMENT_3D('',#67267,#67268,#67269); +#67271=PLANE('',#67270); +#67273=ORIENTED_EDGE('',*,*,#67272,.T.); +#67275=ORIENTED_EDGE('',*,*,#67274,.T.); +#67277=ORIENTED_EDGE('',*,*,#67276,.T.); +#67279=ORIENTED_EDGE('',*,*,#67278,.F.); +#67280=EDGE_LOOP('',(#67273,#67275,#67277,#67279)); +#67281=FACE_OUTER_BOUND('',#67280,.F.); +#67282=ORIENTED_EDGE('',*,*,#67257,.T.); +#67284=ORIENTED_EDGE('',*,*,#67283,.T.); +#67286=ORIENTED_EDGE('',*,*,#67285,.T.); +#67288=ORIENTED_EDGE('',*,*,#67287,.T.); +#67289=EDGE_LOOP('',(#67282,#67284,#67286,#67288)); +#67290=FACE_BOUND('',#67289,.F.); +#67292=CARTESIAN_POINT('',(-3.47E1,1.215E0,-1.995E1)); +#67293=DIRECTION('',(0.E0,-1.E0,0.E0)); +#67294=DIRECTION('',(1.E0,0.E0,0.E0)); +#67295=AXIS2_PLACEMENT_3D('',#67292,#67293,#67294); +#67296=PLANE('',#67295); +#67297=ORIENTED_EDGE('',*,*,#67283,.F.); +#67298=ORIENTED_EDGE('',*,*,#67262,.T.); +#67299=ORIENTED_EDGE('',*,*,#67247,.T.); +#67301=ORIENTED_EDGE('',*,*,#67300,.F.); +#67302=EDGE_LOOP('',(#67297,#67298,#67299,#67301)); +#67303=FACE_OUTER_BOUND('',#67302,.F.); +#67305=CARTESIAN_POINT('',(-3.41E1,1.215E0,-1.995E1)); +#67306=DIRECTION('',(1.E0,0.E0,0.E0)); +#67307=DIRECTION('',(0.E0,1.E0,0.E0)); +#67308=AXIS2_PLACEMENT_3D('',#67305,#67306,#67307); +#67309=PLANE('',#67308); +#67310=ORIENTED_EDGE('',*,*,#67285,.F.); +#67311=ORIENTED_EDGE('',*,*,#67300,.T.); +#67312=ORIENTED_EDGE('',*,*,#67245,.T.); +#67314=ORIENTED_EDGE('',*,*,#67313,.F.); +#67315=EDGE_LOOP('',(#67310,#67311,#67312,#67314)); +#67316=FACE_OUTER_BOUND('',#67315,.F.); +#67318=CARTESIAN_POINT('',(-3.41E1,1.845E0,-1.995E1)); +#67319=DIRECTION('',(0.E0,1.E0,0.E0)); +#67320=DIRECTION('',(-1.E0,0.E0,0.E0)); +#67321=AXIS2_PLACEMENT_3D('',#67318,#67319,#67320); +#67322=PLANE('',#67321); +#67323=ORIENTED_EDGE('',*,*,#67287,.F.); +#67324=ORIENTED_EDGE('',*,*,#67313,.T.); +#67325=ORIENTED_EDGE('',*,*,#67243,.T.); +#67326=ORIENTED_EDGE('',*,*,#67259,.F.); +#67327=EDGE_LOOP('',(#67323,#67324,#67325,#67326)); +#67328=FACE_OUTER_BOUND('',#67327,.F.); +#67330=CARTESIAN_POINT('',(-3.345E1,1.53E0,-1.78E1)); +#67331=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#67332=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#67333=AXIS2_PLACEMENT_3D('',#67330,#67331,#67332); +#67334=PLANE('',#67333); +#67335=ORIENTED_EDGE('',*,*,#67272,.F.); +#67337=ORIENTED_EDGE('',*,*,#67336,.T.); +#67339=ORIENTED_EDGE('',*,*,#67338,.T.); +#67341=ORIENTED_EDGE('',*,*,#67340,.F.); +#67342=EDGE_LOOP('',(#67335,#67337,#67339,#67341)); +#67343=FACE_OUTER_BOUND('',#67342,.F.); +#67345=CARTESIAN_POINT('',(-3.44E1,2.08E0,-1.78E1)); +#67346=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#67347=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#67348=AXIS2_PLACEMENT_3D('',#67345,#67346,#67347); +#67349=PLANE('',#67348); +#67350=ORIENTED_EDGE('',*,*,#67278,.T.); +#67352=ORIENTED_EDGE('',*,*,#67351,.T.); +#67354=ORIENTED_EDGE('',*,*,#67353,.F.); +#67355=ORIENTED_EDGE('',*,*,#67336,.F.); +#67356=EDGE_LOOP('',(#67350,#67352,#67354,#67355)); +#67357=FACE_OUTER_BOUND('',#67356,.F.); +#67359=CARTESIAN_POINT('',(-3.535E1,1.53E0,-1.78E1)); +#67360=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#67361=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#67362=AXIS2_PLACEMENT_3D('',#67359,#67360,#67361); +#67363=PLANE('',#67362); +#67364=ORIENTED_EDGE('',*,*,#67085,.T.); +#67365=ORIENTED_EDGE('',*,*,#67351,.F.); +#67366=ORIENTED_EDGE('',*,*,#67276,.F.); +#67368=ORIENTED_EDGE('',*,*,#67367,.T.); +#67369=EDGE_LOOP('',(#67364,#67365,#67366,#67368)); +#67370=FACE_OUTER_BOUND('',#67369,.F.); +#67372=CARTESIAN_POINT('',(-3.44E1,9.8E-1,-1.78E1)); +#67373=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#67374=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#67375=AXIS2_PLACEMENT_3D('',#67372,#67373,#67374); +#67376=PLANE('',#67375); +#67378=ORIENTED_EDGE('',*,*,#67377,.T.); +#67379=ORIENTED_EDGE('',*,*,#67367,.F.); +#67380=ORIENTED_EDGE('',*,*,#67274,.F.); +#67381=ORIENTED_EDGE('',*,*,#67340,.T.); +#67382=EDGE_LOOP('',(#67378,#67379,#67380,#67381)); +#67383=FACE_OUTER_BOUND('',#67382,.F.); +#67385=CARTESIAN_POINT('',(-3.55E1,8.3E-1,-1.765E1)); +#67386=DIRECTION('',(0.E0,-1.E0,0.E0)); +#67387=DIRECTION('',(0.E0,0.E0,-1.E0)); +#67388=AXIS2_PLACEMENT_3D('',#67385,#67386,#67387); +#67389=PLANE('',#67388); +#67390=ORIENTED_EDGE('',*,*,#67377,.F.); +#67392=ORIENTED_EDGE('',*,*,#67391,.T.); +#67394=ORIENTED_EDGE('',*,*,#67393,.F.); +#67395=ORIENTED_EDGE('',*,*,#67087,.F.); +#67396=EDGE_LOOP('',(#67390,#67392,#67394,#67395)); +#67397=FACE_OUTER_BOUND('',#67396,.F.); +#67399=CARTESIAN_POINT('',(-3.33E1,1.033E1,0.E0)); +#67400=DIRECTION('',(1.E0,0.E0,0.E0)); +#67401=DIRECTION('',(0.E0,0.E0,-1.E0)); +#67402=AXIS2_PLACEMENT_3D('',#67399,#67400,#67401); +#67403=PLANE('',#67402); +#67404=ORIENTED_EDGE('',*,*,#67138,.F.); +#67406=ORIENTED_EDGE('',*,*,#67405,.F.); +#67407=ORIENTED_EDGE('',*,*,#65838,.F.); +#67408=ORIENTED_EDGE('',*,*,#66373,.T.); +#67410=ORIENTED_EDGE('',*,*,#67409,.T.); +#67412=ORIENTED_EDGE('',*,*,#67411,.F.); +#67414=ORIENTED_EDGE('',*,*,#67413,.F.); +#67415=EDGE_LOOP('',(#67404,#67406,#67407,#67408,#67410,#67412,#67414)); +#67416=FACE_OUTER_BOUND('',#67415,.F.); +#67418=CARTESIAN_POINT('',(-3.33E1,1.033E1,0.E0)); +#67419=DIRECTION('',(1.E0,0.E0,0.E0)); +#67420=DIRECTION('',(0.E0,0.E0,-1.E0)); +#67421=AXIS2_PLACEMENT_3D('',#67418,#67419,#67420); +#67422=PLANE('',#67421); +#67423=ORIENTED_EDGE('',*,*,#67338,.F.); +#67425=ORIENTED_EDGE('',*,*,#67424,.F.); +#67427=ORIENTED_EDGE('',*,*,#67426,.T.); +#67429=ORIENTED_EDGE('',*,*,#67428,.F.); +#67431=ORIENTED_EDGE('',*,*,#67430,.F.); +#67432=ORIENTED_EDGE('',*,*,#67391,.F.); +#67433=EDGE_LOOP('',(#67423,#67425,#67427,#67429,#67431,#67432)); +#67434=FACE_OUTER_BOUND('',#67433,.F.); +#67436=CARTESIAN_POINT('',(-3.55E1,1.121514332974E1,-1.9E1)); +#67437=DIRECTION('',(0.E0,9.848077530122E-1,1.736481776669E-1)); +#67438=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#67439=AXIS2_PLACEMENT_3D('',#67436,#67437,#67438); +#67440=PLANE('',#67439); +#67441=ORIENTED_EDGE('',*,*,#67125,.T.); +#67442=ORIENTED_EDGE('',*,*,#67075,.F.); +#67443=ORIENTED_EDGE('',*,*,#65840,.T.); +#67444=ORIENTED_EDGE('',*,*,#67405,.T.); +#67445=EDGE_LOOP('',(#67441,#67442,#67443,#67444)); +#67446=FACE_OUTER_BOUND('',#67445,.F.); +#67448=CARTESIAN_POINT('',(-3.335E1,7.204977327052E0,-1.995E1)); +#67449=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#67450=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#67451=AXIS2_PLACEMENT_3D('',#67448,#67449,#67450); +#67452=PLANE('',#67451); +#67454=ORIENTED_EDGE('',*,*,#67453,.T.); +#67456=ORIENTED_EDGE('',*,*,#67455,.F.); +#67458=ORIENTED_EDGE('',*,*,#67457,.T.); +#67460=ORIENTED_EDGE('',*,*,#67459,.F.); +#67462=ORIENTED_EDGE('',*,*,#67461,.F.); +#67464=ORIENTED_EDGE('',*,*,#67463,.T.); +#67465=ORIENTED_EDGE('',*,*,#67409,.F.); +#67466=ORIENTED_EDGE('',*,*,#66371,.T.); +#67467=EDGE_LOOP('',(#67454,#67456,#67458,#67460,#67462,#67464,#67465,#67466)); +#67468=FACE_OUTER_BOUND('',#67467,.F.); +#67470=CARTESIAN_POINT('',(-3.12E1,1.033E1,0.E0)); +#67471=DIRECTION('',(1.E0,0.E0,0.E0)); +#67472=DIRECTION('',(0.E0,0.E0,-1.E0)); +#67473=AXIS2_PLACEMENT_3D('',#67470,#67471,#67472); +#67474=PLANE('',#67473); +#67476=ORIENTED_EDGE('',*,*,#67475,.F.); +#67478=ORIENTED_EDGE('',*,*,#67477,.T.); +#67480=ORIENTED_EDGE('',*,*,#67479,.T.); +#67481=ORIENTED_EDGE('',*,*,#67453,.F.); +#67482=ORIENTED_EDGE('',*,*,#66369,.F.); +#67483=ORIENTED_EDGE('',*,*,#65834,.T.); +#67485=ORIENTED_EDGE('',*,*,#67484,.T.); +#67486=EDGE_LOOP('',(#67476,#67478,#67480,#67481,#67482,#67483,#67485)); +#67487=FACE_OUTER_BOUND('',#67486,.F.); +#67489=CARTESIAN_POINT('',(-3.12E1,1.033E1,0.E0)); +#67490=DIRECTION('',(1.E0,0.E0,0.E0)); +#67491=DIRECTION('',(0.E0,0.E0,-1.E0)); +#67492=AXIS2_PLACEMENT_3D('',#67489,#67490,#67491); +#67493=PLANE('',#67492); +#67495=ORIENTED_EDGE('',*,*,#67494,.F.); +#67497=ORIENTED_EDGE('',*,*,#67496,.T.); +#67499=ORIENTED_EDGE('',*,*,#67498,.T.); +#67501=ORIENTED_EDGE('',*,*,#67500,.T.); +#67503=ORIENTED_EDGE('',*,*,#67502,.F.); +#67505=ORIENTED_EDGE('',*,*,#67504,.T.); +#67506=EDGE_LOOP('',(#67495,#67497,#67499,#67501,#67503,#67505)); +#67507=FACE_OUTER_BOUND('',#67506,.F.); +#67509=CARTESIAN_POINT('',(-3.105E1,1.033E1,-1.78E1)); +#67510=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#67511=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#67512=AXIS2_PLACEMENT_3D('',#67509,#67510,#67511); +#67513=PLANE('',#67512); +#67514=ORIENTED_EDGE('',*,*,#67475,.T.); +#67516=ORIENTED_EDGE('',*,*,#67515,.T.); +#67518=ORIENTED_EDGE('',*,*,#67517,.F.); +#67520=ORIENTED_EDGE('',*,*,#67519,.T.); +#67521=EDGE_LOOP('',(#67514,#67516,#67518,#67520)); +#67522=FACE_OUTER_BOUND('',#67521,.F.); +#67524=CARTESIAN_POINT('',(-3.01E1,1.088E1,-1.78E1)); +#67525=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#67526=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#67527=AXIS2_PLACEMENT_3D('',#67524,#67525,#67526); +#67528=PLANE('',#67527); +#67530=ORIENTED_EDGE('',*,*,#67529,.F.); +#67532=ORIENTED_EDGE('',*,*,#67531,.T.); +#67533=ORIENTED_EDGE('',*,*,#67515,.F.); +#67535=ORIENTED_EDGE('',*,*,#67534,.F.); +#67536=EDGE_LOOP('',(#67530,#67532,#67533,#67535)); +#67537=FACE_OUTER_BOUND('',#67536,.F.); +#67539=CARTESIAN_POINT('',(-2.915E1,1.033E1,-1.78E1)); +#67540=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#67541=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#67542=AXIS2_PLACEMENT_3D('',#67539,#67540,#67541); +#67543=PLANE('',#67542); +#67545=ORIENTED_EDGE('',*,*,#67544,.F.); +#67546=ORIENTED_EDGE('',*,*,#67529,.T.); +#67548=ORIENTED_EDGE('',*,*,#67547,.T.); +#67550=ORIENTED_EDGE('',*,*,#67549,.T.); +#67551=EDGE_LOOP('',(#67545,#67546,#67548,#67550)); +#67552=FACE_OUTER_BOUND('',#67551,.F.); +#67554=CARTESIAN_POINT('',(-3.12E1,1.103E1,-1.765E1)); +#67555=DIRECTION('',(0.E0,0.E0,1.E0)); +#67556=DIRECTION('',(0.E0,-1.E0,0.E0)); +#67557=AXIS2_PLACEMENT_3D('',#67554,#67555,#67556); +#67558=PLANE('',#67557); +#67559=ORIENTED_EDGE('',*,*,#67517,.T.); +#67560=ORIENTED_EDGE('',*,*,#67531,.F.); +#67561=ORIENTED_EDGE('',*,*,#67544,.T.); +#67563=ORIENTED_EDGE('',*,*,#67562,.T.); +#67564=EDGE_LOOP('',(#67559,#67560,#67561,#67563)); +#67565=FACE_OUTER_BOUND('',#67564,.F.); +#67567=ORIENTED_EDGE('',*,*,#67566,.T.); +#67569=ORIENTED_EDGE('',*,*,#67568,.T.); +#67571=ORIENTED_EDGE('',*,*,#67570,.T.); +#67573=ORIENTED_EDGE('',*,*,#67572,.T.); +#67574=EDGE_LOOP('',(#67567,#67569,#67571,#67573)); +#67575=FACE_BOUND('',#67574,.F.); +#67577=CARTESIAN_POINT('',(-3.04E1,1.0645E1,-1.995E1)); +#67578=DIRECTION('',(-1.E0,0.E0,0.E0)); +#67579=DIRECTION('',(0.E0,-1.E0,0.E0)); +#67580=AXIS2_PLACEMENT_3D('',#67577,#67578,#67579); +#67581=PLANE('',#67580); +#67582=ORIENTED_EDGE('',*,*,#67566,.F.); +#67584=ORIENTED_EDGE('',*,*,#67583,.T.); +#67586=ORIENTED_EDGE('',*,*,#67585,.T.); +#67588=ORIENTED_EDGE('',*,*,#67587,.F.); +#67589=EDGE_LOOP('',(#67582,#67584,#67586,#67588)); +#67590=FACE_OUTER_BOUND('',#67589,.F.); +#67592=CARTESIAN_POINT('',(-2.98E1,1.0645E1,-1.995E1)); +#67593=DIRECTION('',(0.E0,1.E0,0.E0)); +#67594=DIRECTION('',(-1.E0,0.E0,0.E0)); +#67595=AXIS2_PLACEMENT_3D('',#67592,#67593,#67594); +#67596=PLANE('',#67595); +#67597=ORIENTED_EDGE('',*,*,#67572,.F.); +#67599=ORIENTED_EDGE('',*,*,#67598,.T.); +#67601=ORIENTED_EDGE('',*,*,#67600,.T.); +#67602=ORIENTED_EDGE('',*,*,#67583,.F.); +#67603=EDGE_LOOP('',(#67597,#67599,#67601,#67602)); +#67604=FACE_OUTER_BOUND('',#67603,.F.); +#67606=CARTESIAN_POINT('',(-2.98E1,1.0015E1,-1.995E1)); +#67607=DIRECTION('',(1.E0,0.E0,0.E0)); +#67608=DIRECTION('',(0.E0,1.E0,0.E0)); +#67609=AXIS2_PLACEMENT_3D('',#67606,#67607,#67608); +#67610=PLANE('',#67609); +#67611=ORIENTED_EDGE('',*,*,#67570,.F.); +#67613=ORIENTED_EDGE('',*,*,#67612,.T.); +#67615=ORIENTED_EDGE('',*,*,#67614,.T.); +#67616=ORIENTED_EDGE('',*,*,#67598,.F.); +#67617=EDGE_LOOP('',(#67611,#67613,#67615,#67616)); +#67618=FACE_OUTER_BOUND('',#67617,.F.); +#67620=CARTESIAN_POINT('',(-3.04E1,1.0015E1,-1.995E1)); +#67621=DIRECTION('',(0.E0,-1.E0,0.E0)); +#67622=DIRECTION('',(1.E0,0.E0,0.E0)); +#67623=AXIS2_PLACEMENT_3D('',#67620,#67621,#67622); +#67624=PLANE('',#67623); +#67625=ORIENTED_EDGE('',*,*,#67568,.F.); +#67626=ORIENTED_EDGE('',*,*,#67587,.T.); +#67628=ORIENTED_EDGE('',*,*,#67627,.T.); +#67629=ORIENTED_EDGE('',*,*,#67612,.F.); +#67630=EDGE_LOOP('',(#67625,#67626,#67628,#67629)); +#67631=FACE_OUTER_BOUND('',#67630,.F.); +#67633=CARTESIAN_POINT('',(8.6E0,0.E0,-1.175E1)); +#67634=DIRECTION('',(0.E0,0.E0,1.E0)); +#67635=DIRECTION('',(1.E0,0.E0,0.E0)); +#67636=AXIS2_PLACEMENT_3D('',#67633,#67634,#67635); +#67637=PLANE('',#67636); +#67638=ORIENTED_EDGE('',*,*,#67585,.F.); +#67639=ORIENTED_EDGE('',*,*,#67600,.F.); +#67640=ORIENTED_EDGE('',*,*,#67614,.F.); +#67641=ORIENTED_EDGE('',*,*,#67627,.F.); +#67642=EDGE_LOOP('',(#67638,#67639,#67640,#67641)); +#67643=FACE_OUTER_BOUND('',#67642,.F.); +#67645=CARTESIAN_POINT('',(8.6E0,0.E0,-1.175E1)); +#67646=DIRECTION('',(0.E0,0.E0,1.E0)); +#67647=DIRECTION('',(1.E0,0.E0,0.E0)); +#67648=AXIS2_PLACEMENT_3D('',#67645,#67646,#67647); +#67649=PLANE('',#67648); +#67651=ORIENTED_EDGE('',*,*,#67650,.F.); +#67653=ORIENTED_EDGE('',*,*,#67652,.F.); +#67655=ORIENTED_EDGE('',*,*,#67654,.F.); +#67657=ORIENTED_EDGE('',*,*,#67656,.F.); +#67658=EDGE_LOOP('',(#67651,#67653,#67655,#67657)); +#67659=FACE_OUTER_BOUND('',#67658,.F.); +#67661=CARTESIAN_POINT('',(-3.04E1,1.845E0,-1.995E1)); +#67662=DIRECTION('',(-1.E0,0.E0,0.E0)); +#67663=DIRECTION('',(0.E0,-1.E0,0.E0)); +#67664=AXIS2_PLACEMENT_3D('',#67661,#67662,#67663); +#67665=PLANE('',#67664); +#67667=ORIENTED_EDGE('',*,*,#67666,.F.); +#67669=ORIENTED_EDGE('',*,*,#67668,.T.); +#67670=ORIENTED_EDGE('',*,*,#67650,.T.); +#67672=ORIENTED_EDGE('',*,*,#67671,.F.); +#67673=EDGE_LOOP('',(#67667,#67669,#67670,#67672)); +#67674=FACE_OUTER_BOUND('',#67673,.F.); +#67676=CARTESIAN_POINT('',(-3.12E1,2.23E0,-1.765E1)); +#67677=DIRECTION('',(0.E0,0.E0,1.E0)); +#67678=DIRECTION('',(0.E0,-1.E0,0.E0)); +#67679=AXIS2_PLACEMENT_3D('',#67676,#67677,#67678); +#67680=PLANE('',#67679); +#67682=ORIENTED_EDGE('',*,*,#67681,.T.); +#67684=ORIENTED_EDGE('',*,*,#67683,.T.); +#67686=ORIENTED_EDGE('',*,*,#67685,.T.); +#67688=ORIENTED_EDGE('',*,*,#67687,.F.); +#67689=EDGE_LOOP('',(#67682,#67684,#67686,#67688)); +#67690=FACE_OUTER_BOUND('',#67689,.F.); +#67691=ORIENTED_EDGE('',*,*,#67666,.T.); +#67693=ORIENTED_EDGE('',*,*,#67692,.T.); +#67695=ORIENTED_EDGE('',*,*,#67694,.T.); +#67697=ORIENTED_EDGE('',*,*,#67696,.T.); +#67698=EDGE_LOOP('',(#67691,#67693,#67695,#67697)); +#67699=FACE_BOUND('',#67698,.F.); +#67701=CARTESIAN_POINT('',(-3.04E1,1.215E0,-1.995E1)); +#67702=DIRECTION('',(0.E0,-1.E0,0.E0)); +#67703=DIRECTION('',(1.E0,0.E0,0.E0)); +#67704=AXIS2_PLACEMENT_3D('',#67701,#67702,#67703); +#67705=PLANE('',#67704); +#67706=ORIENTED_EDGE('',*,*,#67692,.F.); +#67707=ORIENTED_EDGE('',*,*,#67671,.T.); +#67708=ORIENTED_EDGE('',*,*,#67656,.T.); +#67710=ORIENTED_EDGE('',*,*,#67709,.F.); +#67711=EDGE_LOOP('',(#67706,#67707,#67708,#67710)); +#67712=FACE_OUTER_BOUND('',#67711,.F.); +#67714=CARTESIAN_POINT('',(-2.98E1,1.215E0,-1.995E1)); +#67715=DIRECTION('',(1.E0,0.E0,0.E0)); +#67716=DIRECTION('',(0.E0,1.E0,0.E0)); +#67717=AXIS2_PLACEMENT_3D('',#67714,#67715,#67716); +#67718=PLANE('',#67717); +#67719=ORIENTED_EDGE('',*,*,#67694,.F.); +#67720=ORIENTED_EDGE('',*,*,#67709,.T.); +#67721=ORIENTED_EDGE('',*,*,#67654,.T.); +#67723=ORIENTED_EDGE('',*,*,#67722,.F.); +#67724=EDGE_LOOP('',(#67719,#67720,#67721,#67723)); +#67725=FACE_OUTER_BOUND('',#67724,.F.); +#67727=CARTESIAN_POINT('',(-2.98E1,1.845E0,-1.995E1)); +#67728=DIRECTION('',(0.E0,1.E0,0.E0)); +#67729=DIRECTION('',(-1.E0,0.E0,0.E0)); +#67730=AXIS2_PLACEMENT_3D('',#67727,#67728,#67729); +#67731=PLANE('',#67730); +#67732=ORIENTED_EDGE('',*,*,#67696,.F.); +#67733=ORIENTED_EDGE('',*,*,#67722,.T.); +#67734=ORIENTED_EDGE('',*,*,#67652,.T.); +#67735=ORIENTED_EDGE('',*,*,#67668,.F.); +#67736=EDGE_LOOP('',(#67732,#67733,#67734,#67735)); +#67737=FACE_OUTER_BOUND('',#67736,.F.); +#67739=CARTESIAN_POINT('',(-2.915E1,1.53E0,-1.78E1)); +#67740=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#67741=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#67742=AXIS2_PLACEMENT_3D('',#67739,#67740,#67741); +#67743=PLANE('',#67742); +#67744=ORIENTED_EDGE('',*,*,#67681,.F.); +#67746=ORIENTED_EDGE('',*,*,#67745,.T.); +#67748=ORIENTED_EDGE('',*,*,#67747,.T.); +#67750=ORIENTED_EDGE('',*,*,#67749,.F.); +#67751=EDGE_LOOP('',(#67744,#67746,#67748,#67750)); +#67752=FACE_OUTER_BOUND('',#67751,.F.); +#67754=CARTESIAN_POINT('',(-3.01E1,2.08E0,-1.78E1)); +#67755=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#67756=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#67757=AXIS2_PLACEMENT_3D('',#67754,#67755,#67756); +#67758=PLANE('',#67757); +#67759=ORIENTED_EDGE('',*,*,#67687,.T.); +#67761=ORIENTED_EDGE('',*,*,#67760,.T.); +#67763=ORIENTED_EDGE('',*,*,#67762,.F.); +#67764=ORIENTED_EDGE('',*,*,#67745,.F.); +#67765=EDGE_LOOP('',(#67759,#67761,#67763,#67764)); +#67766=FACE_OUTER_BOUND('',#67765,.F.); +#67768=CARTESIAN_POINT('',(-3.105E1,1.53E0,-1.78E1)); +#67769=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#67770=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#67771=AXIS2_PLACEMENT_3D('',#67768,#67769,#67770); +#67772=PLANE('',#67771); +#67773=ORIENTED_EDGE('',*,*,#67494,.T.); +#67774=ORIENTED_EDGE('',*,*,#67760,.F.); +#67775=ORIENTED_EDGE('',*,*,#67685,.F.); +#67777=ORIENTED_EDGE('',*,*,#67776,.T.); +#67778=EDGE_LOOP('',(#67773,#67774,#67775,#67777)); +#67779=FACE_OUTER_BOUND('',#67778,.F.); +#67781=CARTESIAN_POINT('',(-3.01E1,9.8E-1,-1.78E1)); +#67782=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#67783=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#67784=AXIS2_PLACEMENT_3D('',#67781,#67782,#67783); +#67785=PLANE('',#67784); +#67787=ORIENTED_EDGE('',*,*,#67786,.T.); +#67788=ORIENTED_EDGE('',*,*,#67776,.F.); +#67789=ORIENTED_EDGE('',*,*,#67683,.F.); +#67790=ORIENTED_EDGE('',*,*,#67749,.T.); +#67791=EDGE_LOOP('',(#67787,#67788,#67789,#67790)); +#67792=FACE_OUTER_BOUND('',#67791,.F.); +#67794=CARTESIAN_POINT('',(-3.12E1,8.3E-1,-1.765E1)); +#67795=DIRECTION('',(0.E0,-1.E0,0.E0)); +#67796=DIRECTION('',(0.E0,0.E0,-1.E0)); +#67797=AXIS2_PLACEMENT_3D('',#67794,#67795,#67796); +#67798=PLANE('',#67797); +#67799=ORIENTED_EDGE('',*,*,#67786,.F.); +#67801=ORIENTED_EDGE('',*,*,#67800,.T.); +#67803=ORIENTED_EDGE('',*,*,#67802,.F.); +#67804=ORIENTED_EDGE('',*,*,#67496,.F.); +#67805=EDGE_LOOP('',(#67799,#67801,#67803,#67804)); +#67806=FACE_OUTER_BOUND('',#67805,.F.); +#67808=CARTESIAN_POINT('',(-2.9E1,1.033E1,0.E0)); +#67809=DIRECTION('',(1.E0,0.E0,0.E0)); +#67810=DIRECTION('',(0.E0,0.E0,-1.E0)); +#67811=AXIS2_PLACEMENT_3D('',#67808,#67809,#67810); +#67812=PLANE('',#67811); +#67813=ORIENTED_EDGE('',*,*,#67547,.F.); +#67815=ORIENTED_EDGE('',*,*,#67814,.F.); +#67816=ORIENTED_EDGE('',*,*,#65830,.F.); +#67817=ORIENTED_EDGE('',*,*,#66388,.T.); +#67819=ORIENTED_EDGE('',*,*,#67818,.T.); +#67821=ORIENTED_EDGE('',*,*,#67820,.F.); +#67823=ORIENTED_EDGE('',*,*,#67822,.F.); +#67824=EDGE_LOOP('',(#67813,#67815,#67816,#67817,#67819,#67821,#67823)); +#67825=FACE_OUTER_BOUND('',#67824,.F.); +#67827=CARTESIAN_POINT('',(-2.9E1,1.033E1,0.E0)); +#67828=DIRECTION('',(1.E0,0.E0,0.E0)); +#67829=DIRECTION('',(0.E0,0.E0,-1.E0)); +#67830=AXIS2_PLACEMENT_3D('',#67827,#67828,#67829); +#67831=PLANE('',#67830); +#67832=ORIENTED_EDGE('',*,*,#67747,.F.); +#67834=ORIENTED_EDGE('',*,*,#67833,.F.); +#67836=ORIENTED_EDGE('',*,*,#67835,.T.); +#67838=ORIENTED_EDGE('',*,*,#67837,.F.); +#67840=ORIENTED_EDGE('',*,*,#67839,.F.); +#67841=ORIENTED_EDGE('',*,*,#67800,.F.); +#67842=EDGE_LOOP('',(#67832,#67834,#67836,#67838,#67840,#67841)); +#67843=FACE_OUTER_BOUND('',#67842,.F.); +#67845=CARTESIAN_POINT('',(-3.12E1,1.121514332974E1,-1.9E1)); +#67846=DIRECTION('',(0.E0,9.848077530122E-1,1.736481776669E-1)); +#67847=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#67848=AXIS2_PLACEMENT_3D('',#67845,#67846,#67847); +#67849=PLANE('',#67848); +#67850=ORIENTED_EDGE('',*,*,#67534,.T.); +#67851=ORIENTED_EDGE('',*,*,#67484,.F.); +#67852=ORIENTED_EDGE('',*,*,#65832,.T.); +#67853=ORIENTED_EDGE('',*,*,#67814,.T.); +#67854=EDGE_LOOP('',(#67850,#67851,#67852,#67853)); +#67855=FACE_OUTER_BOUND('',#67854,.F.); +#67857=CARTESIAN_POINT('',(-2.905E1,7.204977327052E0,-1.995E1)); +#67858=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#67859=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#67860=AXIS2_PLACEMENT_3D('',#67857,#67858,#67859); +#67861=PLANE('',#67860); +#67863=ORIENTED_EDGE('',*,*,#67862,.T.); +#67865=ORIENTED_EDGE('',*,*,#67864,.F.); +#67867=ORIENTED_EDGE('',*,*,#67866,.T.); +#67869=ORIENTED_EDGE('',*,*,#67868,.F.); +#67871=ORIENTED_EDGE('',*,*,#67870,.F.); +#67873=ORIENTED_EDGE('',*,*,#67872,.T.); +#67874=ORIENTED_EDGE('',*,*,#67818,.F.); +#67875=ORIENTED_EDGE('',*,*,#66386,.T.); +#67876=EDGE_LOOP('',(#67863,#67865,#67867,#67869,#67871,#67873,#67874,#67875)); +#67877=FACE_OUTER_BOUND('',#67876,.F.); +#67879=CARTESIAN_POINT('',(-2.69E1,1.033E1,0.E0)); +#67880=DIRECTION('',(1.E0,0.E0,0.E0)); +#67881=DIRECTION('',(0.E0,0.E0,-1.E0)); +#67882=AXIS2_PLACEMENT_3D('',#67879,#67880,#67881); +#67883=PLANE('',#67882); +#67885=ORIENTED_EDGE('',*,*,#67884,.F.); +#67887=ORIENTED_EDGE('',*,*,#67886,.T.); +#67889=ORIENTED_EDGE('',*,*,#67888,.T.); +#67890=ORIENTED_EDGE('',*,*,#67862,.F.); +#67891=ORIENTED_EDGE('',*,*,#66384,.F.); +#67892=ORIENTED_EDGE('',*,*,#65826,.T.); +#67894=ORIENTED_EDGE('',*,*,#67893,.T.); +#67895=EDGE_LOOP('',(#67885,#67887,#67889,#67890,#67891,#67892,#67894)); +#67896=FACE_OUTER_BOUND('',#67895,.F.); +#67898=CARTESIAN_POINT('',(-2.69E1,1.033E1,0.E0)); +#67899=DIRECTION('',(1.E0,0.E0,0.E0)); +#67900=DIRECTION('',(0.E0,0.E0,-1.E0)); +#67901=AXIS2_PLACEMENT_3D('',#67898,#67899,#67900); +#67902=PLANE('',#67901); +#67904=ORIENTED_EDGE('',*,*,#67903,.F.); +#67906=ORIENTED_EDGE('',*,*,#67905,.T.); +#67908=ORIENTED_EDGE('',*,*,#67907,.T.); +#67910=ORIENTED_EDGE('',*,*,#67909,.T.); +#67912=ORIENTED_EDGE('',*,*,#67911,.F.); +#67914=ORIENTED_EDGE('',*,*,#67913,.T.); +#67915=EDGE_LOOP('',(#67904,#67906,#67908,#67910,#67912,#67914)); +#67916=FACE_OUTER_BOUND('',#67915,.F.); +#67918=CARTESIAN_POINT('',(-2.675E1,1.033E1,-1.78E1)); +#67919=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#67920=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#67921=AXIS2_PLACEMENT_3D('',#67918,#67919,#67920); +#67922=PLANE('',#67921); +#67923=ORIENTED_EDGE('',*,*,#67884,.T.); +#67925=ORIENTED_EDGE('',*,*,#67924,.T.); +#67927=ORIENTED_EDGE('',*,*,#67926,.F.); +#67929=ORIENTED_EDGE('',*,*,#67928,.T.); +#67930=EDGE_LOOP('',(#67923,#67925,#67927,#67929)); +#67931=FACE_OUTER_BOUND('',#67930,.F.); +#67933=CARTESIAN_POINT('',(-2.58E1,1.088E1,-1.78E1)); +#67934=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#67935=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#67936=AXIS2_PLACEMENT_3D('',#67933,#67934,#67935); +#67937=PLANE('',#67936); +#67939=ORIENTED_EDGE('',*,*,#67938,.F.); +#67941=ORIENTED_EDGE('',*,*,#67940,.T.); +#67942=ORIENTED_EDGE('',*,*,#67924,.F.); +#67944=ORIENTED_EDGE('',*,*,#67943,.F.); +#67945=EDGE_LOOP('',(#67939,#67941,#67942,#67944)); +#67946=FACE_OUTER_BOUND('',#67945,.F.); +#67948=CARTESIAN_POINT('',(-2.485E1,1.033E1,-1.78E1)); +#67949=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#67950=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#67951=AXIS2_PLACEMENT_3D('',#67948,#67949,#67950); +#67952=PLANE('',#67951); +#67954=ORIENTED_EDGE('',*,*,#67953,.F.); +#67955=ORIENTED_EDGE('',*,*,#67938,.T.); +#67957=ORIENTED_EDGE('',*,*,#67956,.T.); +#67959=ORIENTED_EDGE('',*,*,#67958,.T.); +#67960=EDGE_LOOP('',(#67954,#67955,#67957,#67959)); +#67961=FACE_OUTER_BOUND('',#67960,.F.); +#67963=CARTESIAN_POINT('',(-2.69E1,1.103E1,-1.765E1)); +#67964=DIRECTION('',(0.E0,0.E0,1.E0)); +#67965=DIRECTION('',(0.E0,-1.E0,0.E0)); +#67966=AXIS2_PLACEMENT_3D('',#67963,#67964,#67965); +#67967=PLANE('',#67966); +#67968=ORIENTED_EDGE('',*,*,#67926,.T.); +#67969=ORIENTED_EDGE('',*,*,#67940,.F.); +#67970=ORIENTED_EDGE('',*,*,#67953,.T.); +#67972=ORIENTED_EDGE('',*,*,#67971,.T.); +#67973=EDGE_LOOP('',(#67968,#67969,#67970,#67972)); +#67974=FACE_OUTER_BOUND('',#67973,.F.); +#67976=ORIENTED_EDGE('',*,*,#67975,.T.); +#67978=ORIENTED_EDGE('',*,*,#67977,.T.); +#67980=ORIENTED_EDGE('',*,*,#67979,.T.); +#67982=ORIENTED_EDGE('',*,*,#67981,.T.); +#67983=EDGE_LOOP('',(#67976,#67978,#67980,#67982)); +#67984=FACE_BOUND('',#67983,.F.); +#67986=CARTESIAN_POINT('',(-2.61E1,1.0645E1,-1.995E1)); +#67987=DIRECTION('',(-1.E0,0.E0,0.E0)); +#67988=DIRECTION('',(0.E0,-1.E0,0.E0)); +#67989=AXIS2_PLACEMENT_3D('',#67986,#67987,#67988); +#67990=PLANE('',#67989); +#67991=ORIENTED_EDGE('',*,*,#67975,.F.); +#67993=ORIENTED_EDGE('',*,*,#67992,.T.); +#67995=ORIENTED_EDGE('',*,*,#67994,.T.); +#67997=ORIENTED_EDGE('',*,*,#67996,.F.); +#67998=EDGE_LOOP('',(#67991,#67993,#67995,#67997)); +#67999=FACE_OUTER_BOUND('',#67998,.F.); +#68001=CARTESIAN_POINT('',(-2.55E1,1.0645E1,-1.995E1)); +#68002=DIRECTION('',(0.E0,1.E0,0.E0)); +#68003=DIRECTION('',(-1.E0,0.E0,0.E0)); +#68004=AXIS2_PLACEMENT_3D('',#68001,#68002,#68003); +#68005=PLANE('',#68004); +#68006=ORIENTED_EDGE('',*,*,#67981,.F.); +#68008=ORIENTED_EDGE('',*,*,#68007,.T.); +#68010=ORIENTED_EDGE('',*,*,#68009,.T.); +#68011=ORIENTED_EDGE('',*,*,#67992,.F.); +#68012=EDGE_LOOP('',(#68006,#68008,#68010,#68011)); +#68013=FACE_OUTER_BOUND('',#68012,.F.); +#68015=CARTESIAN_POINT('',(-2.55E1,1.0015E1,-1.995E1)); +#68016=DIRECTION('',(1.E0,0.E0,0.E0)); +#68017=DIRECTION('',(0.E0,1.E0,0.E0)); +#68018=AXIS2_PLACEMENT_3D('',#68015,#68016,#68017); +#68019=PLANE('',#68018); +#68020=ORIENTED_EDGE('',*,*,#67979,.F.); +#68022=ORIENTED_EDGE('',*,*,#68021,.T.); +#68024=ORIENTED_EDGE('',*,*,#68023,.T.); +#68025=ORIENTED_EDGE('',*,*,#68007,.F.); +#68026=EDGE_LOOP('',(#68020,#68022,#68024,#68025)); +#68027=FACE_OUTER_BOUND('',#68026,.F.); +#68029=CARTESIAN_POINT('',(-2.61E1,1.0015E1,-1.995E1)); +#68030=DIRECTION('',(0.E0,-1.E0,0.E0)); +#68031=DIRECTION('',(1.E0,0.E0,0.E0)); +#68032=AXIS2_PLACEMENT_3D('',#68029,#68030,#68031); +#68033=PLANE('',#68032); +#68034=ORIENTED_EDGE('',*,*,#67977,.F.); +#68035=ORIENTED_EDGE('',*,*,#67996,.T.); +#68037=ORIENTED_EDGE('',*,*,#68036,.T.); +#68038=ORIENTED_EDGE('',*,*,#68021,.F.); +#68039=EDGE_LOOP('',(#68034,#68035,#68037,#68038)); +#68040=FACE_OUTER_BOUND('',#68039,.F.); +#68042=CARTESIAN_POINT('',(1.29E1,0.E0,-1.175E1)); +#68043=DIRECTION('',(0.E0,0.E0,1.E0)); +#68044=DIRECTION('',(1.E0,0.E0,0.E0)); +#68045=AXIS2_PLACEMENT_3D('',#68042,#68043,#68044); +#68046=PLANE('',#68045); +#68047=ORIENTED_EDGE('',*,*,#67994,.F.); +#68048=ORIENTED_EDGE('',*,*,#68009,.F.); +#68049=ORIENTED_EDGE('',*,*,#68023,.F.); +#68050=ORIENTED_EDGE('',*,*,#68036,.F.); +#68051=EDGE_LOOP('',(#68047,#68048,#68049,#68050)); +#68052=FACE_OUTER_BOUND('',#68051,.F.); +#68054=CARTESIAN_POINT('',(1.29E1,0.E0,-1.175E1)); +#68055=DIRECTION('',(0.E0,0.E0,1.E0)); +#68056=DIRECTION('',(1.E0,0.E0,0.E0)); +#68057=AXIS2_PLACEMENT_3D('',#68054,#68055,#68056); +#68058=PLANE('',#68057); +#68060=ORIENTED_EDGE('',*,*,#68059,.F.); +#68062=ORIENTED_EDGE('',*,*,#68061,.F.); +#68064=ORIENTED_EDGE('',*,*,#68063,.F.); +#68066=ORIENTED_EDGE('',*,*,#68065,.F.); +#68067=EDGE_LOOP('',(#68060,#68062,#68064,#68066)); +#68068=FACE_OUTER_BOUND('',#68067,.F.); +#68070=CARTESIAN_POINT('',(-2.61E1,1.845E0,-1.995E1)); +#68071=DIRECTION('',(-1.E0,0.E0,0.E0)); +#68072=DIRECTION('',(0.E0,-1.E0,0.E0)); +#68073=AXIS2_PLACEMENT_3D('',#68070,#68071,#68072); +#68074=PLANE('',#68073); +#68076=ORIENTED_EDGE('',*,*,#68075,.F.); +#68078=ORIENTED_EDGE('',*,*,#68077,.T.); +#68079=ORIENTED_EDGE('',*,*,#68059,.T.); +#68081=ORIENTED_EDGE('',*,*,#68080,.F.); +#68082=EDGE_LOOP('',(#68076,#68078,#68079,#68081)); +#68083=FACE_OUTER_BOUND('',#68082,.F.); +#68085=CARTESIAN_POINT('',(-2.69E1,2.23E0,-1.765E1)); +#68086=DIRECTION('',(0.E0,0.E0,1.E0)); +#68087=DIRECTION('',(0.E0,-1.E0,0.E0)); +#68088=AXIS2_PLACEMENT_3D('',#68085,#68086,#68087); +#68089=PLANE('',#68088); +#68091=ORIENTED_EDGE('',*,*,#68090,.T.); +#68093=ORIENTED_EDGE('',*,*,#68092,.T.); +#68095=ORIENTED_EDGE('',*,*,#68094,.T.); +#68097=ORIENTED_EDGE('',*,*,#68096,.F.); +#68098=EDGE_LOOP('',(#68091,#68093,#68095,#68097)); +#68099=FACE_OUTER_BOUND('',#68098,.F.); +#68100=ORIENTED_EDGE('',*,*,#68075,.T.); +#68102=ORIENTED_EDGE('',*,*,#68101,.T.); +#68104=ORIENTED_EDGE('',*,*,#68103,.T.); +#68106=ORIENTED_EDGE('',*,*,#68105,.T.); +#68107=EDGE_LOOP('',(#68100,#68102,#68104,#68106)); +#68108=FACE_BOUND('',#68107,.F.); +#68110=CARTESIAN_POINT('',(-2.61E1,1.215E0,-1.995E1)); +#68111=DIRECTION('',(0.E0,-1.E0,0.E0)); +#68112=DIRECTION('',(1.E0,0.E0,0.E0)); +#68113=AXIS2_PLACEMENT_3D('',#68110,#68111,#68112); +#68114=PLANE('',#68113); +#68115=ORIENTED_EDGE('',*,*,#68101,.F.); +#68116=ORIENTED_EDGE('',*,*,#68080,.T.); +#68117=ORIENTED_EDGE('',*,*,#68065,.T.); +#68119=ORIENTED_EDGE('',*,*,#68118,.F.); +#68120=EDGE_LOOP('',(#68115,#68116,#68117,#68119)); +#68121=FACE_OUTER_BOUND('',#68120,.F.); +#68123=CARTESIAN_POINT('',(-2.55E1,1.215E0,-1.995E1)); +#68124=DIRECTION('',(1.E0,0.E0,0.E0)); +#68125=DIRECTION('',(0.E0,1.E0,0.E0)); +#68126=AXIS2_PLACEMENT_3D('',#68123,#68124,#68125); +#68127=PLANE('',#68126); +#68128=ORIENTED_EDGE('',*,*,#68103,.F.); +#68129=ORIENTED_EDGE('',*,*,#68118,.T.); +#68130=ORIENTED_EDGE('',*,*,#68063,.T.); +#68132=ORIENTED_EDGE('',*,*,#68131,.F.); +#68133=EDGE_LOOP('',(#68128,#68129,#68130,#68132)); +#68134=FACE_OUTER_BOUND('',#68133,.F.); +#68136=CARTESIAN_POINT('',(-2.55E1,1.845E0,-1.995E1)); +#68137=DIRECTION('',(0.E0,1.E0,0.E0)); +#68138=DIRECTION('',(-1.E0,0.E0,0.E0)); +#68139=AXIS2_PLACEMENT_3D('',#68136,#68137,#68138); +#68140=PLANE('',#68139); +#68141=ORIENTED_EDGE('',*,*,#68105,.F.); +#68142=ORIENTED_EDGE('',*,*,#68131,.T.); +#68143=ORIENTED_EDGE('',*,*,#68061,.T.); +#68144=ORIENTED_EDGE('',*,*,#68077,.F.); +#68145=EDGE_LOOP('',(#68141,#68142,#68143,#68144)); +#68146=FACE_OUTER_BOUND('',#68145,.F.); +#68148=CARTESIAN_POINT('',(-2.485E1,1.53E0,-1.78E1)); +#68149=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#68150=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#68151=AXIS2_PLACEMENT_3D('',#68148,#68149,#68150); +#68152=PLANE('',#68151); +#68153=ORIENTED_EDGE('',*,*,#68090,.F.); +#68155=ORIENTED_EDGE('',*,*,#68154,.T.); +#68157=ORIENTED_EDGE('',*,*,#68156,.T.); +#68159=ORIENTED_EDGE('',*,*,#68158,.F.); +#68160=EDGE_LOOP('',(#68153,#68155,#68157,#68159)); +#68161=FACE_OUTER_BOUND('',#68160,.F.); +#68163=CARTESIAN_POINT('',(-2.58E1,2.08E0,-1.78E1)); +#68164=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#68165=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#68166=AXIS2_PLACEMENT_3D('',#68163,#68164,#68165); +#68167=PLANE('',#68166); +#68168=ORIENTED_EDGE('',*,*,#68096,.T.); +#68170=ORIENTED_EDGE('',*,*,#68169,.T.); +#68172=ORIENTED_EDGE('',*,*,#68171,.F.); +#68173=ORIENTED_EDGE('',*,*,#68154,.F.); +#68174=EDGE_LOOP('',(#68168,#68170,#68172,#68173)); +#68175=FACE_OUTER_BOUND('',#68174,.F.); +#68177=CARTESIAN_POINT('',(-2.675E1,1.53E0,-1.78E1)); +#68178=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#68179=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#68180=AXIS2_PLACEMENT_3D('',#68177,#68178,#68179); +#68181=PLANE('',#68180); +#68182=ORIENTED_EDGE('',*,*,#67903,.T.); +#68183=ORIENTED_EDGE('',*,*,#68169,.F.); +#68184=ORIENTED_EDGE('',*,*,#68094,.F.); +#68186=ORIENTED_EDGE('',*,*,#68185,.T.); +#68187=EDGE_LOOP('',(#68182,#68183,#68184,#68186)); +#68188=FACE_OUTER_BOUND('',#68187,.F.); +#68190=CARTESIAN_POINT('',(-2.58E1,9.8E-1,-1.78E1)); +#68191=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#68192=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#68193=AXIS2_PLACEMENT_3D('',#68190,#68191,#68192); +#68194=PLANE('',#68193); +#68196=ORIENTED_EDGE('',*,*,#68195,.T.); +#68197=ORIENTED_EDGE('',*,*,#68185,.F.); +#68198=ORIENTED_EDGE('',*,*,#68092,.F.); +#68199=ORIENTED_EDGE('',*,*,#68158,.T.); +#68200=EDGE_LOOP('',(#68196,#68197,#68198,#68199)); +#68201=FACE_OUTER_BOUND('',#68200,.F.); +#68203=CARTESIAN_POINT('',(-2.69E1,8.3E-1,-1.765E1)); +#68204=DIRECTION('',(0.E0,-1.E0,0.E0)); +#68205=DIRECTION('',(0.E0,0.E0,-1.E0)); +#68206=AXIS2_PLACEMENT_3D('',#68203,#68204,#68205); +#68207=PLANE('',#68206); +#68208=ORIENTED_EDGE('',*,*,#68195,.F.); +#68210=ORIENTED_EDGE('',*,*,#68209,.T.); +#68212=ORIENTED_EDGE('',*,*,#68211,.F.); +#68213=ORIENTED_EDGE('',*,*,#67905,.F.); +#68214=EDGE_LOOP('',(#68208,#68210,#68212,#68213)); +#68215=FACE_OUTER_BOUND('',#68214,.F.); +#68217=CARTESIAN_POINT('',(-2.47E1,1.033E1,0.E0)); +#68218=DIRECTION('',(1.E0,0.E0,0.E0)); +#68219=DIRECTION('',(0.E0,0.E0,-1.E0)); +#68220=AXIS2_PLACEMENT_3D('',#68217,#68218,#68219); +#68221=PLANE('',#68220); +#68222=ORIENTED_EDGE('',*,*,#67956,.F.); +#68224=ORIENTED_EDGE('',*,*,#68223,.F.); +#68225=ORIENTED_EDGE('',*,*,#65822,.F.); +#68226=ORIENTED_EDGE('',*,*,#66403,.T.); +#68228=ORIENTED_EDGE('',*,*,#68227,.T.); +#68230=ORIENTED_EDGE('',*,*,#68229,.F.); +#68232=ORIENTED_EDGE('',*,*,#68231,.F.); +#68233=EDGE_LOOP('',(#68222,#68224,#68225,#68226,#68228,#68230,#68232)); +#68234=FACE_OUTER_BOUND('',#68233,.F.); +#68236=CARTESIAN_POINT('',(-2.47E1,1.033E1,0.E0)); +#68237=DIRECTION('',(1.E0,0.E0,0.E0)); +#68238=DIRECTION('',(0.E0,0.E0,-1.E0)); +#68239=AXIS2_PLACEMENT_3D('',#68236,#68237,#68238); +#68240=PLANE('',#68239); +#68241=ORIENTED_EDGE('',*,*,#68156,.F.); +#68243=ORIENTED_EDGE('',*,*,#68242,.F.); +#68245=ORIENTED_EDGE('',*,*,#68244,.T.); +#68247=ORIENTED_EDGE('',*,*,#68246,.F.); +#68249=ORIENTED_EDGE('',*,*,#68248,.F.); +#68250=ORIENTED_EDGE('',*,*,#68209,.F.); +#68251=EDGE_LOOP('',(#68241,#68243,#68245,#68247,#68249,#68250)); +#68252=FACE_OUTER_BOUND('',#68251,.F.); +#68254=CARTESIAN_POINT('',(-2.69E1,1.121514332974E1,-1.9E1)); +#68255=DIRECTION('',(0.E0,9.848077530122E-1,1.736481776669E-1)); +#68256=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#68257=AXIS2_PLACEMENT_3D('',#68254,#68255,#68256); +#68258=PLANE('',#68257); +#68259=ORIENTED_EDGE('',*,*,#67943,.T.); +#68260=ORIENTED_EDGE('',*,*,#67893,.F.); +#68261=ORIENTED_EDGE('',*,*,#65824,.T.); +#68262=ORIENTED_EDGE('',*,*,#68223,.T.); +#68263=EDGE_LOOP('',(#68259,#68260,#68261,#68262)); +#68264=FACE_OUTER_BOUND('',#68263,.F.); +#68266=CARTESIAN_POINT('',(-2.475E1,7.204977327052E0,-1.995E1)); +#68267=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#68268=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#68269=AXIS2_PLACEMENT_3D('',#68266,#68267,#68268); +#68270=PLANE('',#68269); +#68272=ORIENTED_EDGE('',*,*,#68271,.T.); +#68274=ORIENTED_EDGE('',*,*,#68273,.F.); +#68276=ORIENTED_EDGE('',*,*,#68275,.T.); +#68278=ORIENTED_EDGE('',*,*,#68277,.F.); +#68280=ORIENTED_EDGE('',*,*,#68279,.F.); +#68282=ORIENTED_EDGE('',*,*,#68281,.T.); +#68283=ORIENTED_EDGE('',*,*,#68227,.F.); +#68284=ORIENTED_EDGE('',*,*,#66401,.T.); +#68285=EDGE_LOOP('',(#68272,#68274,#68276,#68278,#68280,#68282,#68283,#68284)); +#68286=FACE_OUTER_BOUND('',#68285,.F.); +#68288=CARTESIAN_POINT('',(-2.26E1,1.033E1,0.E0)); +#68289=DIRECTION('',(1.E0,0.E0,0.E0)); +#68290=DIRECTION('',(0.E0,0.E0,-1.E0)); +#68291=AXIS2_PLACEMENT_3D('',#68288,#68289,#68290); +#68292=PLANE('',#68291); +#68294=ORIENTED_EDGE('',*,*,#68293,.F.); +#68296=ORIENTED_EDGE('',*,*,#68295,.T.); +#68298=ORIENTED_EDGE('',*,*,#68297,.T.); +#68299=ORIENTED_EDGE('',*,*,#68271,.F.); +#68300=ORIENTED_EDGE('',*,*,#66399,.F.); +#68301=ORIENTED_EDGE('',*,*,#65818,.T.); +#68303=ORIENTED_EDGE('',*,*,#68302,.T.); +#68304=EDGE_LOOP('',(#68294,#68296,#68298,#68299,#68300,#68301,#68303)); +#68305=FACE_OUTER_BOUND('',#68304,.F.); +#68307=CARTESIAN_POINT('',(-2.26E1,1.033E1,0.E0)); +#68308=DIRECTION('',(1.E0,0.E0,0.E0)); +#68309=DIRECTION('',(0.E0,0.E0,-1.E0)); +#68310=AXIS2_PLACEMENT_3D('',#68307,#68308,#68309); +#68311=PLANE('',#68310); +#68313=ORIENTED_EDGE('',*,*,#68312,.F.); +#68315=ORIENTED_EDGE('',*,*,#68314,.T.); +#68317=ORIENTED_EDGE('',*,*,#68316,.T.); +#68319=ORIENTED_EDGE('',*,*,#68318,.T.); +#68321=ORIENTED_EDGE('',*,*,#68320,.F.); +#68323=ORIENTED_EDGE('',*,*,#68322,.T.); +#68324=EDGE_LOOP('',(#68313,#68315,#68317,#68319,#68321,#68323)); +#68325=FACE_OUTER_BOUND('',#68324,.F.); +#68327=CARTESIAN_POINT('',(-2.245E1,1.033E1,-1.78E1)); +#68328=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#68329=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#68330=AXIS2_PLACEMENT_3D('',#68327,#68328,#68329); +#68331=PLANE('',#68330); +#68332=ORIENTED_EDGE('',*,*,#68293,.T.); +#68334=ORIENTED_EDGE('',*,*,#68333,.T.); +#68336=ORIENTED_EDGE('',*,*,#68335,.F.); +#68338=ORIENTED_EDGE('',*,*,#68337,.T.); +#68339=EDGE_LOOP('',(#68332,#68334,#68336,#68338)); +#68340=FACE_OUTER_BOUND('',#68339,.F.); +#68342=CARTESIAN_POINT('',(-2.15E1,1.088E1,-1.78E1)); +#68343=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#68344=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#68345=AXIS2_PLACEMENT_3D('',#68342,#68343,#68344); +#68346=PLANE('',#68345); +#68348=ORIENTED_EDGE('',*,*,#68347,.F.); +#68350=ORIENTED_EDGE('',*,*,#68349,.T.); +#68351=ORIENTED_EDGE('',*,*,#68333,.F.); +#68353=ORIENTED_EDGE('',*,*,#68352,.F.); +#68354=EDGE_LOOP('',(#68348,#68350,#68351,#68353)); +#68355=FACE_OUTER_BOUND('',#68354,.F.); +#68357=CARTESIAN_POINT('',(-2.055E1,1.033E1,-1.78E1)); +#68358=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#68359=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#68360=AXIS2_PLACEMENT_3D('',#68357,#68358,#68359); +#68361=PLANE('',#68360); +#68363=ORIENTED_EDGE('',*,*,#68362,.F.); +#68364=ORIENTED_EDGE('',*,*,#68347,.T.); +#68366=ORIENTED_EDGE('',*,*,#68365,.T.); +#68368=ORIENTED_EDGE('',*,*,#68367,.T.); +#68369=EDGE_LOOP('',(#68363,#68364,#68366,#68368)); +#68370=FACE_OUTER_BOUND('',#68369,.F.); +#68372=CARTESIAN_POINT('',(-2.26E1,1.103E1,-1.765E1)); +#68373=DIRECTION('',(0.E0,0.E0,1.E0)); +#68374=DIRECTION('',(0.E0,-1.E0,0.E0)); +#68375=AXIS2_PLACEMENT_3D('',#68372,#68373,#68374); +#68376=PLANE('',#68375); +#68377=ORIENTED_EDGE('',*,*,#68335,.T.); +#68378=ORIENTED_EDGE('',*,*,#68349,.F.); +#68379=ORIENTED_EDGE('',*,*,#68362,.T.); +#68381=ORIENTED_EDGE('',*,*,#68380,.T.); +#68382=EDGE_LOOP('',(#68377,#68378,#68379,#68381)); +#68383=FACE_OUTER_BOUND('',#68382,.F.); +#68385=ORIENTED_EDGE('',*,*,#68384,.T.); +#68387=ORIENTED_EDGE('',*,*,#68386,.T.); +#68389=ORIENTED_EDGE('',*,*,#68388,.T.); +#68391=ORIENTED_EDGE('',*,*,#68390,.T.); +#68392=EDGE_LOOP('',(#68385,#68387,#68389,#68391)); +#68393=FACE_BOUND('',#68392,.F.); +#68395=CARTESIAN_POINT('',(-2.18E1,1.0645E1,-1.995E1)); +#68396=DIRECTION('',(-1.E0,0.E0,0.E0)); +#68397=DIRECTION('',(0.E0,-1.E0,0.E0)); +#68398=AXIS2_PLACEMENT_3D('',#68395,#68396,#68397); +#68399=PLANE('',#68398); +#68400=ORIENTED_EDGE('',*,*,#68384,.F.); +#68402=ORIENTED_EDGE('',*,*,#68401,.T.); +#68404=ORIENTED_EDGE('',*,*,#68403,.T.); +#68406=ORIENTED_EDGE('',*,*,#68405,.F.); +#68407=EDGE_LOOP('',(#68400,#68402,#68404,#68406)); +#68408=FACE_OUTER_BOUND('',#68407,.F.); +#68410=CARTESIAN_POINT('',(-2.12E1,1.0645E1,-1.995E1)); +#68411=DIRECTION('',(0.E0,1.E0,0.E0)); +#68412=DIRECTION('',(-1.E0,0.E0,0.E0)); +#68413=AXIS2_PLACEMENT_3D('',#68410,#68411,#68412); +#68414=PLANE('',#68413); +#68415=ORIENTED_EDGE('',*,*,#68390,.F.); +#68417=ORIENTED_EDGE('',*,*,#68416,.T.); +#68419=ORIENTED_EDGE('',*,*,#68418,.T.); +#68420=ORIENTED_EDGE('',*,*,#68401,.F.); +#68421=EDGE_LOOP('',(#68415,#68417,#68419,#68420)); +#68422=FACE_OUTER_BOUND('',#68421,.F.); +#68424=CARTESIAN_POINT('',(-2.12E1,1.0015E1,-1.995E1)); +#68425=DIRECTION('',(1.E0,0.E0,0.E0)); +#68426=DIRECTION('',(0.E0,1.E0,0.E0)); +#68427=AXIS2_PLACEMENT_3D('',#68424,#68425,#68426); +#68428=PLANE('',#68427); +#68429=ORIENTED_EDGE('',*,*,#68388,.F.); +#68431=ORIENTED_EDGE('',*,*,#68430,.T.); +#68433=ORIENTED_EDGE('',*,*,#68432,.T.); +#68434=ORIENTED_EDGE('',*,*,#68416,.F.); +#68435=EDGE_LOOP('',(#68429,#68431,#68433,#68434)); +#68436=FACE_OUTER_BOUND('',#68435,.F.); +#68438=CARTESIAN_POINT('',(-2.18E1,1.0015E1,-1.995E1)); +#68439=DIRECTION('',(0.E0,-1.E0,0.E0)); +#68440=DIRECTION('',(1.E0,0.E0,0.E0)); +#68441=AXIS2_PLACEMENT_3D('',#68438,#68439,#68440); +#68442=PLANE('',#68441); +#68443=ORIENTED_EDGE('',*,*,#68386,.F.); +#68444=ORIENTED_EDGE('',*,*,#68405,.T.); +#68446=ORIENTED_EDGE('',*,*,#68445,.T.); +#68447=ORIENTED_EDGE('',*,*,#68430,.F.); +#68448=EDGE_LOOP('',(#68443,#68444,#68446,#68447)); +#68449=FACE_OUTER_BOUND('',#68448,.F.); +#68451=CARTESIAN_POINT('',(1.72E1,0.E0,-1.175E1)); +#68452=DIRECTION('',(0.E0,0.E0,1.E0)); +#68453=DIRECTION('',(1.E0,0.E0,0.E0)); +#68454=AXIS2_PLACEMENT_3D('',#68451,#68452,#68453); +#68455=PLANE('',#68454); +#68456=ORIENTED_EDGE('',*,*,#68403,.F.); +#68457=ORIENTED_EDGE('',*,*,#68418,.F.); +#68458=ORIENTED_EDGE('',*,*,#68432,.F.); +#68459=ORIENTED_EDGE('',*,*,#68445,.F.); +#68460=EDGE_LOOP('',(#68456,#68457,#68458,#68459)); +#68461=FACE_OUTER_BOUND('',#68460,.F.); +#68463=CARTESIAN_POINT('',(1.72E1,0.E0,-1.175E1)); +#68464=DIRECTION('',(0.E0,0.E0,1.E0)); +#68465=DIRECTION('',(1.E0,0.E0,0.E0)); +#68466=AXIS2_PLACEMENT_3D('',#68463,#68464,#68465); +#68467=PLANE('',#68466); +#68469=ORIENTED_EDGE('',*,*,#68468,.F.); +#68471=ORIENTED_EDGE('',*,*,#68470,.F.); +#68473=ORIENTED_EDGE('',*,*,#68472,.F.); +#68475=ORIENTED_EDGE('',*,*,#68474,.F.); +#68476=EDGE_LOOP('',(#68469,#68471,#68473,#68475)); +#68477=FACE_OUTER_BOUND('',#68476,.F.); +#68479=CARTESIAN_POINT('',(-2.18E1,1.845E0,-1.995E1)); +#68480=DIRECTION('',(-1.E0,0.E0,0.E0)); +#68481=DIRECTION('',(0.E0,-1.E0,0.E0)); +#68482=AXIS2_PLACEMENT_3D('',#68479,#68480,#68481); +#68483=PLANE('',#68482); +#68485=ORIENTED_EDGE('',*,*,#68484,.F.); +#68487=ORIENTED_EDGE('',*,*,#68486,.T.); +#68488=ORIENTED_EDGE('',*,*,#68468,.T.); +#68490=ORIENTED_EDGE('',*,*,#68489,.F.); +#68491=EDGE_LOOP('',(#68485,#68487,#68488,#68490)); +#68492=FACE_OUTER_BOUND('',#68491,.F.); +#68494=CARTESIAN_POINT('',(-2.26E1,2.23E0,-1.765E1)); +#68495=DIRECTION('',(0.E0,0.E0,1.E0)); +#68496=DIRECTION('',(0.E0,-1.E0,0.E0)); +#68497=AXIS2_PLACEMENT_3D('',#68494,#68495,#68496); +#68498=PLANE('',#68497); +#68500=ORIENTED_EDGE('',*,*,#68499,.T.); +#68502=ORIENTED_EDGE('',*,*,#68501,.T.); +#68504=ORIENTED_EDGE('',*,*,#68503,.T.); +#68506=ORIENTED_EDGE('',*,*,#68505,.F.); +#68507=EDGE_LOOP('',(#68500,#68502,#68504,#68506)); +#68508=FACE_OUTER_BOUND('',#68507,.F.); +#68509=ORIENTED_EDGE('',*,*,#68484,.T.); +#68511=ORIENTED_EDGE('',*,*,#68510,.T.); +#68513=ORIENTED_EDGE('',*,*,#68512,.T.); +#68515=ORIENTED_EDGE('',*,*,#68514,.T.); +#68516=EDGE_LOOP('',(#68509,#68511,#68513,#68515)); +#68517=FACE_BOUND('',#68516,.F.); +#68519=CARTESIAN_POINT('',(-2.18E1,1.215E0,-1.995E1)); +#68520=DIRECTION('',(0.E0,-1.E0,0.E0)); +#68521=DIRECTION('',(1.E0,0.E0,0.E0)); +#68522=AXIS2_PLACEMENT_3D('',#68519,#68520,#68521); +#68523=PLANE('',#68522); +#68524=ORIENTED_EDGE('',*,*,#68510,.F.); +#68525=ORIENTED_EDGE('',*,*,#68489,.T.); +#68526=ORIENTED_EDGE('',*,*,#68474,.T.); +#68528=ORIENTED_EDGE('',*,*,#68527,.F.); +#68529=EDGE_LOOP('',(#68524,#68525,#68526,#68528)); +#68530=FACE_OUTER_BOUND('',#68529,.F.); +#68532=CARTESIAN_POINT('',(-2.12E1,1.215E0,-1.995E1)); +#68533=DIRECTION('',(1.E0,0.E0,0.E0)); +#68534=DIRECTION('',(0.E0,1.E0,0.E0)); +#68535=AXIS2_PLACEMENT_3D('',#68532,#68533,#68534); +#68536=PLANE('',#68535); +#68537=ORIENTED_EDGE('',*,*,#68512,.F.); +#68538=ORIENTED_EDGE('',*,*,#68527,.T.); +#68539=ORIENTED_EDGE('',*,*,#68472,.T.); +#68541=ORIENTED_EDGE('',*,*,#68540,.F.); +#68542=EDGE_LOOP('',(#68537,#68538,#68539,#68541)); +#68543=FACE_OUTER_BOUND('',#68542,.F.); +#68545=CARTESIAN_POINT('',(-2.12E1,1.845E0,-1.995E1)); +#68546=DIRECTION('',(0.E0,1.E0,0.E0)); +#68547=DIRECTION('',(-1.E0,0.E0,0.E0)); +#68548=AXIS2_PLACEMENT_3D('',#68545,#68546,#68547); +#68549=PLANE('',#68548); +#68550=ORIENTED_EDGE('',*,*,#68514,.F.); +#68551=ORIENTED_EDGE('',*,*,#68540,.T.); +#68552=ORIENTED_EDGE('',*,*,#68470,.T.); +#68553=ORIENTED_EDGE('',*,*,#68486,.F.); +#68554=EDGE_LOOP('',(#68550,#68551,#68552,#68553)); +#68555=FACE_OUTER_BOUND('',#68554,.F.); +#68557=CARTESIAN_POINT('',(-2.055E1,1.53E0,-1.78E1)); +#68558=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#68559=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#68560=AXIS2_PLACEMENT_3D('',#68557,#68558,#68559); +#68561=PLANE('',#68560); +#68562=ORIENTED_EDGE('',*,*,#68499,.F.); +#68564=ORIENTED_EDGE('',*,*,#68563,.T.); +#68566=ORIENTED_EDGE('',*,*,#68565,.T.); +#68568=ORIENTED_EDGE('',*,*,#68567,.F.); +#68569=EDGE_LOOP('',(#68562,#68564,#68566,#68568)); +#68570=FACE_OUTER_BOUND('',#68569,.F.); +#68572=CARTESIAN_POINT('',(-2.15E1,2.08E0,-1.78E1)); +#68573=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#68574=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#68575=AXIS2_PLACEMENT_3D('',#68572,#68573,#68574); +#68576=PLANE('',#68575); +#68577=ORIENTED_EDGE('',*,*,#68505,.T.); +#68579=ORIENTED_EDGE('',*,*,#68578,.T.); +#68581=ORIENTED_EDGE('',*,*,#68580,.F.); +#68582=ORIENTED_EDGE('',*,*,#68563,.F.); +#68583=EDGE_LOOP('',(#68577,#68579,#68581,#68582)); +#68584=FACE_OUTER_BOUND('',#68583,.F.); +#68586=CARTESIAN_POINT('',(-2.245E1,1.53E0,-1.78E1)); +#68587=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#68588=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#68589=AXIS2_PLACEMENT_3D('',#68586,#68587,#68588); +#68590=PLANE('',#68589); +#68591=ORIENTED_EDGE('',*,*,#68312,.T.); +#68592=ORIENTED_EDGE('',*,*,#68578,.F.); +#68593=ORIENTED_EDGE('',*,*,#68503,.F.); +#68595=ORIENTED_EDGE('',*,*,#68594,.T.); +#68596=EDGE_LOOP('',(#68591,#68592,#68593,#68595)); +#68597=FACE_OUTER_BOUND('',#68596,.F.); +#68599=CARTESIAN_POINT('',(-2.15E1,9.8E-1,-1.78E1)); +#68600=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#68601=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#68602=AXIS2_PLACEMENT_3D('',#68599,#68600,#68601); +#68603=PLANE('',#68602); +#68605=ORIENTED_EDGE('',*,*,#68604,.T.); +#68606=ORIENTED_EDGE('',*,*,#68594,.F.); +#68607=ORIENTED_EDGE('',*,*,#68501,.F.); +#68608=ORIENTED_EDGE('',*,*,#68567,.T.); +#68609=EDGE_LOOP('',(#68605,#68606,#68607,#68608)); +#68610=FACE_OUTER_BOUND('',#68609,.F.); +#68612=CARTESIAN_POINT('',(-2.26E1,8.3E-1,-1.765E1)); +#68613=DIRECTION('',(0.E0,-1.E0,0.E0)); +#68614=DIRECTION('',(0.E0,0.E0,-1.E0)); +#68615=AXIS2_PLACEMENT_3D('',#68612,#68613,#68614); +#68616=PLANE('',#68615); +#68617=ORIENTED_EDGE('',*,*,#68604,.F.); +#68619=ORIENTED_EDGE('',*,*,#68618,.T.); +#68621=ORIENTED_EDGE('',*,*,#68620,.F.); +#68622=ORIENTED_EDGE('',*,*,#68314,.F.); +#68623=EDGE_LOOP('',(#68617,#68619,#68621,#68622)); +#68624=FACE_OUTER_BOUND('',#68623,.F.); +#68626=CARTESIAN_POINT('',(-2.04E1,1.033E1,0.E0)); +#68627=DIRECTION('',(1.E0,0.E0,0.E0)); +#68628=DIRECTION('',(0.E0,0.E0,-1.E0)); +#68629=AXIS2_PLACEMENT_3D('',#68626,#68627,#68628); +#68630=PLANE('',#68629); +#68631=ORIENTED_EDGE('',*,*,#68365,.F.); +#68633=ORIENTED_EDGE('',*,*,#68632,.F.); +#68634=ORIENTED_EDGE('',*,*,#65814,.F.); +#68635=ORIENTED_EDGE('',*,*,#66418,.T.); +#68637=ORIENTED_EDGE('',*,*,#68636,.T.); +#68639=ORIENTED_EDGE('',*,*,#68638,.F.); +#68641=ORIENTED_EDGE('',*,*,#68640,.F.); +#68642=EDGE_LOOP('',(#68631,#68633,#68634,#68635,#68637,#68639,#68641)); +#68643=FACE_OUTER_BOUND('',#68642,.F.); +#68645=CARTESIAN_POINT('',(-2.04E1,1.033E1,0.E0)); +#68646=DIRECTION('',(1.E0,0.E0,0.E0)); +#68647=DIRECTION('',(0.E0,0.E0,-1.E0)); +#68648=AXIS2_PLACEMENT_3D('',#68645,#68646,#68647); +#68649=PLANE('',#68648); +#68650=ORIENTED_EDGE('',*,*,#68565,.F.); +#68652=ORIENTED_EDGE('',*,*,#68651,.F.); +#68654=ORIENTED_EDGE('',*,*,#68653,.T.); +#68656=ORIENTED_EDGE('',*,*,#68655,.F.); +#68658=ORIENTED_EDGE('',*,*,#68657,.F.); +#68659=ORIENTED_EDGE('',*,*,#68618,.F.); +#68660=EDGE_LOOP('',(#68650,#68652,#68654,#68656,#68658,#68659)); +#68661=FACE_OUTER_BOUND('',#68660,.F.); +#68663=CARTESIAN_POINT('',(-2.26E1,1.121514332974E1,-1.9E1)); +#68664=DIRECTION('',(0.E0,9.848077530122E-1,1.736481776669E-1)); +#68665=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#68666=AXIS2_PLACEMENT_3D('',#68663,#68664,#68665); +#68667=PLANE('',#68666); +#68668=ORIENTED_EDGE('',*,*,#68352,.T.); +#68669=ORIENTED_EDGE('',*,*,#68302,.F.); +#68670=ORIENTED_EDGE('',*,*,#65816,.T.); +#68671=ORIENTED_EDGE('',*,*,#68632,.T.); +#68672=EDGE_LOOP('',(#68668,#68669,#68670,#68671)); +#68673=FACE_OUTER_BOUND('',#68672,.F.); +#68675=CARTESIAN_POINT('',(-2.045E1,7.204977327052E0,-1.995E1)); +#68676=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#68677=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#68678=AXIS2_PLACEMENT_3D('',#68675,#68676,#68677); +#68679=PLANE('',#68678); +#68681=ORIENTED_EDGE('',*,*,#68680,.T.); +#68683=ORIENTED_EDGE('',*,*,#68682,.F.); +#68685=ORIENTED_EDGE('',*,*,#68684,.T.); +#68687=ORIENTED_EDGE('',*,*,#68686,.F.); +#68689=ORIENTED_EDGE('',*,*,#68688,.F.); +#68691=ORIENTED_EDGE('',*,*,#68690,.T.); +#68692=ORIENTED_EDGE('',*,*,#68636,.F.); +#68693=ORIENTED_EDGE('',*,*,#66416,.T.); +#68694=EDGE_LOOP('',(#68681,#68683,#68685,#68687,#68689,#68691,#68692,#68693)); +#68695=FACE_OUTER_BOUND('',#68694,.F.); +#68697=CARTESIAN_POINT('',(-1.83E1,1.033E1,0.E0)); +#68698=DIRECTION('',(1.E0,0.E0,0.E0)); +#68699=DIRECTION('',(0.E0,0.E0,-1.E0)); +#68700=AXIS2_PLACEMENT_3D('',#68697,#68698,#68699); +#68701=PLANE('',#68700); +#68703=ORIENTED_EDGE('',*,*,#68702,.F.); +#68705=ORIENTED_EDGE('',*,*,#68704,.T.); +#68707=ORIENTED_EDGE('',*,*,#68706,.T.); +#68708=ORIENTED_EDGE('',*,*,#68680,.F.); +#68709=ORIENTED_EDGE('',*,*,#66414,.F.); +#68710=ORIENTED_EDGE('',*,*,#65810,.T.); +#68712=ORIENTED_EDGE('',*,*,#68711,.T.); +#68713=EDGE_LOOP('',(#68703,#68705,#68707,#68708,#68709,#68710,#68712)); +#68714=FACE_OUTER_BOUND('',#68713,.F.); +#68716=CARTESIAN_POINT('',(-1.83E1,1.033E1,0.E0)); +#68717=DIRECTION('',(1.E0,0.E0,0.E0)); +#68718=DIRECTION('',(0.E0,0.E0,-1.E0)); +#68719=AXIS2_PLACEMENT_3D('',#68716,#68717,#68718); +#68720=PLANE('',#68719); +#68722=ORIENTED_EDGE('',*,*,#68721,.F.); +#68724=ORIENTED_EDGE('',*,*,#68723,.T.); +#68726=ORIENTED_EDGE('',*,*,#68725,.T.); +#68728=ORIENTED_EDGE('',*,*,#68727,.T.); +#68730=ORIENTED_EDGE('',*,*,#68729,.F.); +#68732=ORIENTED_EDGE('',*,*,#68731,.T.); +#68733=EDGE_LOOP('',(#68722,#68724,#68726,#68728,#68730,#68732)); +#68734=FACE_OUTER_BOUND('',#68733,.F.); +#68736=CARTESIAN_POINT('',(-1.815E1,1.033E1,-1.78E1)); +#68737=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#68738=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#68739=AXIS2_PLACEMENT_3D('',#68736,#68737,#68738); +#68740=PLANE('',#68739); +#68741=ORIENTED_EDGE('',*,*,#68702,.T.); +#68743=ORIENTED_EDGE('',*,*,#68742,.T.); +#68745=ORIENTED_EDGE('',*,*,#68744,.F.); +#68747=ORIENTED_EDGE('',*,*,#68746,.T.); +#68748=EDGE_LOOP('',(#68741,#68743,#68745,#68747)); +#68749=FACE_OUTER_BOUND('',#68748,.F.); +#68751=CARTESIAN_POINT('',(-1.72E1,1.088E1,-1.78E1)); +#68752=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#68753=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#68754=AXIS2_PLACEMENT_3D('',#68751,#68752,#68753); +#68755=PLANE('',#68754); +#68757=ORIENTED_EDGE('',*,*,#68756,.F.); +#68759=ORIENTED_EDGE('',*,*,#68758,.T.); +#68760=ORIENTED_EDGE('',*,*,#68742,.F.); +#68762=ORIENTED_EDGE('',*,*,#68761,.F.); +#68763=EDGE_LOOP('',(#68757,#68759,#68760,#68762)); +#68764=FACE_OUTER_BOUND('',#68763,.F.); +#68766=CARTESIAN_POINT('',(-1.625E1,1.033E1,-1.78E1)); +#68767=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#68768=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#68769=AXIS2_PLACEMENT_3D('',#68766,#68767,#68768); +#68770=PLANE('',#68769); +#68772=ORIENTED_EDGE('',*,*,#68771,.F.); +#68773=ORIENTED_EDGE('',*,*,#68756,.T.); +#68775=ORIENTED_EDGE('',*,*,#68774,.T.); +#68777=ORIENTED_EDGE('',*,*,#68776,.T.); +#68778=EDGE_LOOP('',(#68772,#68773,#68775,#68777)); +#68779=FACE_OUTER_BOUND('',#68778,.F.); +#68781=CARTESIAN_POINT('',(-1.83E1,1.103E1,-1.765E1)); +#68782=DIRECTION('',(0.E0,0.E0,1.E0)); +#68783=DIRECTION('',(0.E0,-1.E0,0.E0)); +#68784=AXIS2_PLACEMENT_3D('',#68781,#68782,#68783); +#68785=PLANE('',#68784); +#68786=ORIENTED_EDGE('',*,*,#68744,.T.); +#68787=ORIENTED_EDGE('',*,*,#68758,.F.); +#68788=ORIENTED_EDGE('',*,*,#68771,.T.); +#68790=ORIENTED_EDGE('',*,*,#68789,.T.); +#68791=EDGE_LOOP('',(#68786,#68787,#68788,#68790)); +#68792=FACE_OUTER_BOUND('',#68791,.F.); +#68794=ORIENTED_EDGE('',*,*,#68793,.T.); +#68796=ORIENTED_EDGE('',*,*,#68795,.T.); +#68798=ORIENTED_EDGE('',*,*,#68797,.T.); +#68800=ORIENTED_EDGE('',*,*,#68799,.T.); +#68801=EDGE_LOOP('',(#68794,#68796,#68798,#68800)); +#68802=FACE_BOUND('',#68801,.F.); +#68804=CARTESIAN_POINT('',(-1.75E1,1.0645E1,-1.995E1)); +#68805=DIRECTION('',(-1.E0,0.E0,0.E0)); +#68806=DIRECTION('',(0.E0,-1.E0,0.E0)); +#68807=AXIS2_PLACEMENT_3D('',#68804,#68805,#68806); +#68808=PLANE('',#68807); +#68809=ORIENTED_EDGE('',*,*,#68793,.F.); +#68811=ORIENTED_EDGE('',*,*,#68810,.T.); +#68813=ORIENTED_EDGE('',*,*,#68812,.T.); +#68815=ORIENTED_EDGE('',*,*,#68814,.F.); +#68816=EDGE_LOOP('',(#68809,#68811,#68813,#68815)); +#68817=FACE_OUTER_BOUND('',#68816,.F.); +#68819=CARTESIAN_POINT('',(-1.69E1,1.0645E1,-1.995E1)); +#68820=DIRECTION('',(0.E0,1.E0,0.E0)); +#68821=DIRECTION('',(-1.E0,0.E0,0.E0)); +#68822=AXIS2_PLACEMENT_3D('',#68819,#68820,#68821); +#68823=PLANE('',#68822); +#68824=ORIENTED_EDGE('',*,*,#68799,.F.); +#68826=ORIENTED_EDGE('',*,*,#68825,.T.); +#68828=ORIENTED_EDGE('',*,*,#68827,.T.); +#68829=ORIENTED_EDGE('',*,*,#68810,.F.); +#68830=EDGE_LOOP('',(#68824,#68826,#68828,#68829)); +#68831=FACE_OUTER_BOUND('',#68830,.F.); +#68833=CARTESIAN_POINT('',(-1.69E1,1.0015E1,-1.995E1)); +#68834=DIRECTION('',(1.E0,0.E0,0.E0)); +#68835=DIRECTION('',(0.E0,1.E0,0.E0)); +#68836=AXIS2_PLACEMENT_3D('',#68833,#68834,#68835); +#68837=PLANE('',#68836); +#68838=ORIENTED_EDGE('',*,*,#68797,.F.); +#68840=ORIENTED_EDGE('',*,*,#68839,.T.); +#68842=ORIENTED_EDGE('',*,*,#68841,.T.); +#68843=ORIENTED_EDGE('',*,*,#68825,.F.); +#68844=EDGE_LOOP('',(#68838,#68840,#68842,#68843)); +#68845=FACE_OUTER_BOUND('',#68844,.F.); +#68847=CARTESIAN_POINT('',(-1.75E1,1.0015E1,-1.995E1)); +#68848=DIRECTION('',(0.E0,-1.E0,0.E0)); +#68849=DIRECTION('',(1.E0,0.E0,0.E0)); +#68850=AXIS2_PLACEMENT_3D('',#68847,#68848,#68849); +#68851=PLANE('',#68850); +#68852=ORIENTED_EDGE('',*,*,#68795,.F.); +#68853=ORIENTED_EDGE('',*,*,#68814,.T.); +#68855=ORIENTED_EDGE('',*,*,#68854,.T.); +#68856=ORIENTED_EDGE('',*,*,#68839,.F.); +#68857=EDGE_LOOP('',(#68852,#68853,#68855,#68856)); +#68858=FACE_OUTER_BOUND('',#68857,.F.); +#68860=CARTESIAN_POINT('',(2.15E1,0.E0,-1.175E1)); +#68861=DIRECTION('',(0.E0,0.E0,1.E0)); +#68862=DIRECTION('',(1.E0,0.E0,0.E0)); +#68863=AXIS2_PLACEMENT_3D('',#68860,#68861,#68862); +#68864=PLANE('',#68863); +#68865=ORIENTED_EDGE('',*,*,#68812,.F.); +#68866=ORIENTED_EDGE('',*,*,#68827,.F.); +#68867=ORIENTED_EDGE('',*,*,#68841,.F.); +#68868=ORIENTED_EDGE('',*,*,#68854,.F.); +#68869=EDGE_LOOP('',(#68865,#68866,#68867,#68868)); +#68870=FACE_OUTER_BOUND('',#68869,.F.); +#68872=CARTESIAN_POINT('',(2.15E1,0.E0,-1.175E1)); +#68873=DIRECTION('',(0.E0,0.E0,1.E0)); +#68874=DIRECTION('',(1.E0,0.E0,0.E0)); +#68875=AXIS2_PLACEMENT_3D('',#68872,#68873,#68874); +#68876=PLANE('',#68875); +#68878=ORIENTED_EDGE('',*,*,#68877,.F.); +#68880=ORIENTED_EDGE('',*,*,#68879,.F.); +#68882=ORIENTED_EDGE('',*,*,#68881,.F.); +#68884=ORIENTED_EDGE('',*,*,#68883,.F.); +#68885=EDGE_LOOP('',(#68878,#68880,#68882,#68884)); +#68886=FACE_OUTER_BOUND('',#68885,.F.); +#68888=CARTESIAN_POINT('',(-1.75E1,1.845E0,-1.995E1)); +#68889=DIRECTION('',(-1.E0,0.E0,0.E0)); +#68890=DIRECTION('',(0.E0,-1.E0,0.E0)); +#68891=AXIS2_PLACEMENT_3D('',#68888,#68889,#68890); +#68892=PLANE('',#68891); +#68894=ORIENTED_EDGE('',*,*,#68893,.F.); +#68896=ORIENTED_EDGE('',*,*,#68895,.T.); +#68897=ORIENTED_EDGE('',*,*,#68877,.T.); +#68899=ORIENTED_EDGE('',*,*,#68898,.F.); +#68900=EDGE_LOOP('',(#68894,#68896,#68897,#68899)); +#68901=FACE_OUTER_BOUND('',#68900,.F.); +#68903=CARTESIAN_POINT('',(-1.83E1,2.23E0,-1.765E1)); +#68904=DIRECTION('',(0.E0,0.E0,1.E0)); +#68905=DIRECTION('',(0.E0,-1.E0,0.E0)); +#68906=AXIS2_PLACEMENT_3D('',#68903,#68904,#68905); +#68907=PLANE('',#68906); +#68909=ORIENTED_EDGE('',*,*,#68908,.T.); +#68911=ORIENTED_EDGE('',*,*,#68910,.T.); +#68913=ORIENTED_EDGE('',*,*,#68912,.T.); +#68915=ORIENTED_EDGE('',*,*,#68914,.F.); +#68916=EDGE_LOOP('',(#68909,#68911,#68913,#68915)); +#68917=FACE_OUTER_BOUND('',#68916,.F.); +#68918=ORIENTED_EDGE('',*,*,#68893,.T.); +#68920=ORIENTED_EDGE('',*,*,#68919,.T.); +#68922=ORIENTED_EDGE('',*,*,#68921,.T.); +#68924=ORIENTED_EDGE('',*,*,#68923,.T.); +#68925=EDGE_LOOP('',(#68918,#68920,#68922,#68924)); +#68926=FACE_BOUND('',#68925,.F.); +#68928=CARTESIAN_POINT('',(-1.75E1,1.215E0,-1.995E1)); +#68929=DIRECTION('',(0.E0,-1.E0,0.E0)); +#68930=DIRECTION('',(1.E0,0.E0,0.E0)); +#68931=AXIS2_PLACEMENT_3D('',#68928,#68929,#68930); +#68932=PLANE('',#68931); +#68933=ORIENTED_EDGE('',*,*,#68919,.F.); +#68934=ORIENTED_EDGE('',*,*,#68898,.T.); +#68935=ORIENTED_EDGE('',*,*,#68883,.T.); +#68937=ORIENTED_EDGE('',*,*,#68936,.F.); +#68938=EDGE_LOOP('',(#68933,#68934,#68935,#68937)); +#68939=FACE_OUTER_BOUND('',#68938,.F.); +#68941=CARTESIAN_POINT('',(-1.69E1,1.215E0,-1.995E1)); +#68942=DIRECTION('',(1.E0,0.E0,0.E0)); +#68943=DIRECTION('',(0.E0,1.E0,0.E0)); +#68944=AXIS2_PLACEMENT_3D('',#68941,#68942,#68943); +#68945=PLANE('',#68944); +#68946=ORIENTED_EDGE('',*,*,#68921,.F.); +#68947=ORIENTED_EDGE('',*,*,#68936,.T.); +#68948=ORIENTED_EDGE('',*,*,#68881,.T.); +#68950=ORIENTED_EDGE('',*,*,#68949,.F.); +#68951=EDGE_LOOP('',(#68946,#68947,#68948,#68950)); +#68952=FACE_OUTER_BOUND('',#68951,.F.); +#68954=CARTESIAN_POINT('',(-1.69E1,1.845E0,-1.995E1)); +#68955=DIRECTION('',(0.E0,1.E0,0.E0)); +#68956=DIRECTION('',(-1.E0,0.E0,0.E0)); +#68957=AXIS2_PLACEMENT_3D('',#68954,#68955,#68956); +#68958=PLANE('',#68957); +#68959=ORIENTED_EDGE('',*,*,#68923,.F.); +#68960=ORIENTED_EDGE('',*,*,#68949,.T.); +#68961=ORIENTED_EDGE('',*,*,#68879,.T.); +#68962=ORIENTED_EDGE('',*,*,#68895,.F.); +#68963=EDGE_LOOP('',(#68959,#68960,#68961,#68962)); +#68964=FACE_OUTER_BOUND('',#68963,.F.); +#68966=CARTESIAN_POINT('',(-1.625E1,1.53E0,-1.78E1)); +#68967=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#68968=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#68969=AXIS2_PLACEMENT_3D('',#68966,#68967,#68968); +#68970=PLANE('',#68969); +#68971=ORIENTED_EDGE('',*,*,#68908,.F.); +#68973=ORIENTED_EDGE('',*,*,#68972,.T.); +#68975=ORIENTED_EDGE('',*,*,#68974,.T.); +#68977=ORIENTED_EDGE('',*,*,#68976,.F.); +#68978=EDGE_LOOP('',(#68971,#68973,#68975,#68977)); +#68979=FACE_OUTER_BOUND('',#68978,.F.); +#68981=CARTESIAN_POINT('',(-1.72E1,2.08E0,-1.78E1)); +#68982=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#68983=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#68984=AXIS2_PLACEMENT_3D('',#68981,#68982,#68983); +#68985=PLANE('',#68984); +#68986=ORIENTED_EDGE('',*,*,#68914,.T.); +#68988=ORIENTED_EDGE('',*,*,#68987,.T.); +#68990=ORIENTED_EDGE('',*,*,#68989,.F.); +#68991=ORIENTED_EDGE('',*,*,#68972,.F.); +#68992=EDGE_LOOP('',(#68986,#68988,#68990,#68991)); +#68993=FACE_OUTER_BOUND('',#68992,.F.); +#68995=CARTESIAN_POINT('',(-1.815E1,1.53E0,-1.78E1)); +#68996=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#68997=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#68998=AXIS2_PLACEMENT_3D('',#68995,#68996,#68997); +#68999=PLANE('',#68998); +#69000=ORIENTED_EDGE('',*,*,#68721,.T.); +#69001=ORIENTED_EDGE('',*,*,#68987,.F.); +#69002=ORIENTED_EDGE('',*,*,#68912,.F.); +#69004=ORIENTED_EDGE('',*,*,#69003,.T.); +#69005=EDGE_LOOP('',(#69000,#69001,#69002,#69004)); +#69006=FACE_OUTER_BOUND('',#69005,.F.); +#69008=CARTESIAN_POINT('',(-1.72E1,9.8E-1,-1.78E1)); +#69009=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#69010=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#69011=AXIS2_PLACEMENT_3D('',#69008,#69009,#69010); +#69012=PLANE('',#69011); +#69014=ORIENTED_EDGE('',*,*,#69013,.T.); +#69015=ORIENTED_EDGE('',*,*,#69003,.F.); +#69016=ORIENTED_EDGE('',*,*,#68910,.F.); +#69017=ORIENTED_EDGE('',*,*,#68976,.T.); +#69018=EDGE_LOOP('',(#69014,#69015,#69016,#69017)); +#69019=FACE_OUTER_BOUND('',#69018,.F.); +#69021=CARTESIAN_POINT('',(-1.83E1,8.3E-1,-1.765E1)); +#69022=DIRECTION('',(0.E0,-1.E0,0.E0)); +#69023=DIRECTION('',(0.E0,0.E0,-1.E0)); +#69024=AXIS2_PLACEMENT_3D('',#69021,#69022,#69023); +#69025=PLANE('',#69024); +#69026=ORIENTED_EDGE('',*,*,#69013,.F.); +#69028=ORIENTED_EDGE('',*,*,#69027,.T.); +#69030=ORIENTED_EDGE('',*,*,#69029,.F.); +#69031=ORIENTED_EDGE('',*,*,#68723,.F.); +#69032=EDGE_LOOP('',(#69026,#69028,#69030,#69031)); +#69033=FACE_OUTER_BOUND('',#69032,.F.); +#69035=CARTESIAN_POINT('',(-1.61E1,1.033E1,0.E0)); +#69036=DIRECTION('',(1.E0,0.E0,0.E0)); +#69037=DIRECTION('',(0.E0,0.E0,-1.E0)); +#69038=AXIS2_PLACEMENT_3D('',#69035,#69036,#69037); +#69039=PLANE('',#69038); +#69040=ORIENTED_EDGE('',*,*,#68774,.F.); +#69042=ORIENTED_EDGE('',*,*,#69041,.F.); +#69043=ORIENTED_EDGE('',*,*,#65806,.F.); +#69044=ORIENTED_EDGE('',*,*,#66433,.T.); +#69046=ORIENTED_EDGE('',*,*,#69045,.T.); +#69048=ORIENTED_EDGE('',*,*,#69047,.F.); +#69050=ORIENTED_EDGE('',*,*,#69049,.F.); +#69051=EDGE_LOOP('',(#69040,#69042,#69043,#69044,#69046,#69048,#69050)); +#69052=FACE_OUTER_BOUND('',#69051,.F.); +#69054=CARTESIAN_POINT('',(-1.61E1,1.033E1,0.E0)); +#69055=DIRECTION('',(1.E0,0.E0,0.E0)); +#69056=DIRECTION('',(0.E0,0.E0,-1.E0)); +#69057=AXIS2_PLACEMENT_3D('',#69054,#69055,#69056); +#69058=PLANE('',#69057); +#69059=ORIENTED_EDGE('',*,*,#68974,.F.); +#69061=ORIENTED_EDGE('',*,*,#69060,.F.); +#69063=ORIENTED_EDGE('',*,*,#69062,.T.); +#69065=ORIENTED_EDGE('',*,*,#69064,.F.); +#69067=ORIENTED_EDGE('',*,*,#69066,.F.); +#69068=ORIENTED_EDGE('',*,*,#69027,.F.); +#69069=EDGE_LOOP('',(#69059,#69061,#69063,#69065,#69067,#69068)); +#69070=FACE_OUTER_BOUND('',#69069,.F.); +#69072=CARTESIAN_POINT('',(-1.83E1,1.121514332974E1,-1.9E1)); +#69073=DIRECTION('',(0.E0,9.848077530122E-1,1.736481776669E-1)); +#69074=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#69075=AXIS2_PLACEMENT_3D('',#69072,#69073,#69074); +#69076=PLANE('',#69075); +#69077=ORIENTED_EDGE('',*,*,#68761,.T.); +#69078=ORIENTED_EDGE('',*,*,#68711,.F.); +#69079=ORIENTED_EDGE('',*,*,#65808,.T.); +#69080=ORIENTED_EDGE('',*,*,#69041,.T.); +#69081=EDGE_LOOP('',(#69077,#69078,#69079,#69080)); +#69082=FACE_OUTER_BOUND('',#69081,.F.); +#69084=CARTESIAN_POINT('',(-1.615E1,7.204977327052E0,-1.995E1)); +#69085=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#69086=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#69087=AXIS2_PLACEMENT_3D('',#69084,#69085,#69086); +#69088=PLANE('',#69087); +#69090=ORIENTED_EDGE('',*,*,#69089,.T.); +#69092=ORIENTED_EDGE('',*,*,#69091,.F.); +#69094=ORIENTED_EDGE('',*,*,#69093,.T.); +#69096=ORIENTED_EDGE('',*,*,#69095,.F.); +#69098=ORIENTED_EDGE('',*,*,#69097,.F.); +#69100=ORIENTED_EDGE('',*,*,#69099,.T.); +#69101=ORIENTED_EDGE('',*,*,#69045,.F.); +#69102=ORIENTED_EDGE('',*,*,#66431,.T.); +#69103=EDGE_LOOP('',(#69090,#69092,#69094,#69096,#69098,#69100,#69101,#69102)); +#69104=FACE_OUTER_BOUND('',#69103,.F.); +#69106=CARTESIAN_POINT('',(-1.4E1,1.033E1,0.E0)); +#69107=DIRECTION('',(1.E0,0.E0,0.E0)); +#69108=DIRECTION('',(0.E0,0.E0,-1.E0)); +#69109=AXIS2_PLACEMENT_3D('',#69106,#69107,#69108); +#69110=PLANE('',#69109); +#69112=ORIENTED_EDGE('',*,*,#69111,.F.); +#69114=ORIENTED_EDGE('',*,*,#69113,.T.); +#69116=ORIENTED_EDGE('',*,*,#69115,.T.); +#69117=ORIENTED_EDGE('',*,*,#69089,.F.); +#69118=ORIENTED_EDGE('',*,*,#66429,.F.); +#69119=ORIENTED_EDGE('',*,*,#65802,.T.); +#69121=ORIENTED_EDGE('',*,*,#69120,.T.); +#69122=EDGE_LOOP('',(#69112,#69114,#69116,#69117,#69118,#69119,#69121)); +#69123=FACE_OUTER_BOUND('',#69122,.F.); +#69125=CARTESIAN_POINT('',(-1.4E1,1.033E1,0.E0)); +#69126=DIRECTION('',(1.E0,0.E0,0.E0)); +#69127=DIRECTION('',(0.E0,0.E0,-1.E0)); +#69128=AXIS2_PLACEMENT_3D('',#69125,#69126,#69127); +#69129=PLANE('',#69128); +#69131=ORIENTED_EDGE('',*,*,#69130,.F.); +#69133=ORIENTED_EDGE('',*,*,#69132,.T.); +#69135=ORIENTED_EDGE('',*,*,#69134,.T.); +#69137=ORIENTED_EDGE('',*,*,#69136,.T.); +#69139=ORIENTED_EDGE('',*,*,#69138,.F.); +#69141=ORIENTED_EDGE('',*,*,#69140,.T.); +#69142=EDGE_LOOP('',(#69131,#69133,#69135,#69137,#69139,#69141)); +#69143=FACE_OUTER_BOUND('',#69142,.F.); +#69145=CARTESIAN_POINT('',(-1.385E1,1.033E1,-1.78E1)); +#69146=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#69147=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#69148=AXIS2_PLACEMENT_3D('',#69145,#69146,#69147); +#69149=PLANE('',#69148); +#69150=ORIENTED_EDGE('',*,*,#69111,.T.); +#69152=ORIENTED_EDGE('',*,*,#69151,.T.); +#69154=ORIENTED_EDGE('',*,*,#69153,.F.); +#69156=ORIENTED_EDGE('',*,*,#69155,.T.); +#69157=EDGE_LOOP('',(#69150,#69152,#69154,#69156)); +#69158=FACE_OUTER_BOUND('',#69157,.F.); +#69160=CARTESIAN_POINT('',(-1.29E1,1.088E1,-1.78E1)); +#69161=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#69162=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#69163=AXIS2_PLACEMENT_3D('',#69160,#69161,#69162); +#69164=PLANE('',#69163); +#69166=ORIENTED_EDGE('',*,*,#69165,.F.); +#69168=ORIENTED_EDGE('',*,*,#69167,.T.); +#69169=ORIENTED_EDGE('',*,*,#69151,.F.); +#69171=ORIENTED_EDGE('',*,*,#69170,.F.); +#69172=EDGE_LOOP('',(#69166,#69168,#69169,#69171)); +#69173=FACE_OUTER_BOUND('',#69172,.F.); +#69175=CARTESIAN_POINT('',(-1.195E1,1.033E1,-1.78E1)); +#69176=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#69177=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#69178=AXIS2_PLACEMENT_3D('',#69175,#69176,#69177); +#69179=PLANE('',#69178); +#69181=ORIENTED_EDGE('',*,*,#69180,.F.); +#69182=ORIENTED_EDGE('',*,*,#69165,.T.); +#69184=ORIENTED_EDGE('',*,*,#69183,.T.); +#69186=ORIENTED_EDGE('',*,*,#69185,.T.); +#69187=EDGE_LOOP('',(#69181,#69182,#69184,#69186)); +#69188=FACE_OUTER_BOUND('',#69187,.F.); +#69190=CARTESIAN_POINT('',(-1.4E1,1.103E1,-1.765E1)); +#69191=DIRECTION('',(0.E0,0.E0,1.E0)); +#69192=DIRECTION('',(0.E0,-1.E0,0.E0)); +#69193=AXIS2_PLACEMENT_3D('',#69190,#69191,#69192); +#69194=PLANE('',#69193); +#69195=ORIENTED_EDGE('',*,*,#69153,.T.); +#69196=ORIENTED_EDGE('',*,*,#69167,.F.); +#69197=ORIENTED_EDGE('',*,*,#69180,.T.); +#69199=ORIENTED_EDGE('',*,*,#69198,.T.); +#69200=EDGE_LOOP('',(#69195,#69196,#69197,#69199)); +#69201=FACE_OUTER_BOUND('',#69200,.F.); +#69203=ORIENTED_EDGE('',*,*,#69202,.T.); +#69205=ORIENTED_EDGE('',*,*,#69204,.T.); +#69207=ORIENTED_EDGE('',*,*,#69206,.T.); +#69209=ORIENTED_EDGE('',*,*,#69208,.T.); +#69210=EDGE_LOOP('',(#69203,#69205,#69207,#69209)); +#69211=FACE_BOUND('',#69210,.F.); +#69213=CARTESIAN_POINT('',(-1.32E1,1.0645E1,-1.995E1)); +#69214=DIRECTION('',(-1.E0,0.E0,0.E0)); +#69215=DIRECTION('',(0.E0,-1.E0,0.E0)); +#69216=AXIS2_PLACEMENT_3D('',#69213,#69214,#69215); +#69217=PLANE('',#69216); +#69218=ORIENTED_EDGE('',*,*,#69202,.F.); +#69220=ORIENTED_EDGE('',*,*,#69219,.T.); +#69222=ORIENTED_EDGE('',*,*,#69221,.T.); +#69224=ORIENTED_EDGE('',*,*,#69223,.F.); +#69225=EDGE_LOOP('',(#69218,#69220,#69222,#69224)); +#69226=FACE_OUTER_BOUND('',#69225,.F.); +#69228=CARTESIAN_POINT('',(-1.26E1,1.0645E1,-1.995E1)); +#69229=DIRECTION('',(0.E0,1.E0,0.E0)); +#69230=DIRECTION('',(-1.E0,0.E0,0.E0)); +#69231=AXIS2_PLACEMENT_3D('',#69228,#69229,#69230); +#69232=PLANE('',#69231); +#69233=ORIENTED_EDGE('',*,*,#69208,.F.); +#69235=ORIENTED_EDGE('',*,*,#69234,.T.); +#69237=ORIENTED_EDGE('',*,*,#69236,.T.); +#69238=ORIENTED_EDGE('',*,*,#69219,.F.); +#69239=EDGE_LOOP('',(#69233,#69235,#69237,#69238)); +#69240=FACE_OUTER_BOUND('',#69239,.F.); +#69242=CARTESIAN_POINT('',(-1.26E1,1.0015E1,-1.995E1)); +#69243=DIRECTION('',(1.E0,0.E0,0.E0)); +#69244=DIRECTION('',(0.E0,1.E0,0.E0)); +#69245=AXIS2_PLACEMENT_3D('',#69242,#69243,#69244); +#69246=PLANE('',#69245); +#69247=ORIENTED_EDGE('',*,*,#69206,.F.); +#69249=ORIENTED_EDGE('',*,*,#69248,.T.); +#69251=ORIENTED_EDGE('',*,*,#69250,.T.); +#69252=ORIENTED_EDGE('',*,*,#69234,.F.); +#69253=EDGE_LOOP('',(#69247,#69249,#69251,#69252)); +#69254=FACE_OUTER_BOUND('',#69253,.F.); +#69256=CARTESIAN_POINT('',(-1.32E1,1.0015E1,-1.995E1)); +#69257=DIRECTION('',(0.E0,-1.E0,0.E0)); +#69258=DIRECTION('',(1.E0,0.E0,0.E0)); +#69259=AXIS2_PLACEMENT_3D('',#69256,#69257,#69258); +#69260=PLANE('',#69259); +#69261=ORIENTED_EDGE('',*,*,#69204,.F.); +#69262=ORIENTED_EDGE('',*,*,#69223,.T.); +#69264=ORIENTED_EDGE('',*,*,#69263,.T.); +#69265=ORIENTED_EDGE('',*,*,#69248,.F.); +#69266=EDGE_LOOP('',(#69261,#69262,#69264,#69265)); +#69267=FACE_OUTER_BOUND('',#69266,.F.); +#69269=CARTESIAN_POINT('',(2.58E1,0.E0,-1.175E1)); +#69270=DIRECTION('',(0.E0,0.E0,1.E0)); +#69271=DIRECTION('',(1.E0,0.E0,0.E0)); +#69272=AXIS2_PLACEMENT_3D('',#69269,#69270,#69271); +#69273=PLANE('',#69272); +#69274=ORIENTED_EDGE('',*,*,#69221,.F.); +#69275=ORIENTED_EDGE('',*,*,#69236,.F.); +#69276=ORIENTED_EDGE('',*,*,#69250,.F.); +#69277=ORIENTED_EDGE('',*,*,#69263,.F.); +#69278=EDGE_LOOP('',(#69274,#69275,#69276,#69277)); +#69279=FACE_OUTER_BOUND('',#69278,.F.); +#69281=CARTESIAN_POINT('',(2.58E1,0.E0,-1.175E1)); +#69282=DIRECTION('',(0.E0,0.E0,1.E0)); +#69283=DIRECTION('',(1.E0,0.E0,0.E0)); +#69284=AXIS2_PLACEMENT_3D('',#69281,#69282,#69283); +#69285=PLANE('',#69284); +#69287=ORIENTED_EDGE('',*,*,#69286,.F.); +#69289=ORIENTED_EDGE('',*,*,#69288,.F.); +#69291=ORIENTED_EDGE('',*,*,#69290,.F.); +#69293=ORIENTED_EDGE('',*,*,#69292,.F.); +#69294=EDGE_LOOP('',(#69287,#69289,#69291,#69293)); +#69295=FACE_OUTER_BOUND('',#69294,.F.); +#69297=CARTESIAN_POINT('',(-1.32E1,1.845E0,-1.995E1)); +#69298=DIRECTION('',(-1.E0,0.E0,0.E0)); +#69299=DIRECTION('',(0.E0,-1.E0,0.E0)); +#69300=AXIS2_PLACEMENT_3D('',#69297,#69298,#69299); +#69301=PLANE('',#69300); +#69303=ORIENTED_EDGE('',*,*,#69302,.F.); +#69305=ORIENTED_EDGE('',*,*,#69304,.T.); +#69306=ORIENTED_EDGE('',*,*,#69286,.T.); +#69308=ORIENTED_EDGE('',*,*,#69307,.F.); +#69309=EDGE_LOOP('',(#69303,#69305,#69306,#69308)); +#69310=FACE_OUTER_BOUND('',#69309,.F.); +#69312=CARTESIAN_POINT('',(-1.4E1,2.23E0,-1.765E1)); +#69313=DIRECTION('',(0.E0,0.E0,1.E0)); +#69314=DIRECTION('',(0.E0,-1.E0,0.E0)); +#69315=AXIS2_PLACEMENT_3D('',#69312,#69313,#69314); +#69316=PLANE('',#69315); +#69318=ORIENTED_EDGE('',*,*,#69317,.T.); +#69320=ORIENTED_EDGE('',*,*,#69319,.T.); +#69322=ORIENTED_EDGE('',*,*,#69321,.T.); +#69324=ORIENTED_EDGE('',*,*,#69323,.F.); +#69325=EDGE_LOOP('',(#69318,#69320,#69322,#69324)); +#69326=FACE_OUTER_BOUND('',#69325,.F.); +#69327=ORIENTED_EDGE('',*,*,#69302,.T.); +#69329=ORIENTED_EDGE('',*,*,#69328,.T.); +#69331=ORIENTED_EDGE('',*,*,#69330,.T.); +#69333=ORIENTED_EDGE('',*,*,#69332,.T.); +#69334=EDGE_LOOP('',(#69327,#69329,#69331,#69333)); +#69335=FACE_BOUND('',#69334,.F.); +#69337=CARTESIAN_POINT('',(-1.32E1,1.215E0,-1.995E1)); +#69338=DIRECTION('',(0.E0,-1.E0,0.E0)); +#69339=DIRECTION('',(1.E0,0.E0,0.E0)); +#69340=AXIS2_PLACEMENT_3D('',#69337,#69338,#69339); +#69341=PLANE('',#69340); +#69342=ORIENTED_EDGE('',*,*,#69328,.F.); +#69343=ORIENTED_EDGE('',*,*,#69307,.T.); +#69344=ORIENTED_EDGE('',*,*,#69292,.T.); +#69346=ORIENTED_EDGE('',*,*,#69345,.F.); +#69347=EDGE_LOOP('',(#69342,#69343,#69344,#69346)); +#69348=FACE_OUTER_BOUND('',#69347,.F.); +#69350=CARTESIAN_POINT('',(-1.26E1,1.215E0,-1.995E1)); +#69351=DIRECTION('',(1.E0,0.E0,0.E0)); +#69352=DIRECTION('',(0.E0,1.E0,0.E0)); +#69353=AXIS2_PLACEMENT_3D('',#69350,#69351,#69352); +#69354=PLANE('',#69353); +#69355=ORIENTED_EDGE('',*,*,#69330,.F.); +#69356=ORIENTED_EDGE('',*,*,#69345,.T.); +#69357=ORIENTED_EDGE('',*,*,#69290,.T.); +#69359=ORIENTED_EDGE('',*,*,#69358,.F.); +#69360=EDGE_LOOP('',(#69355,#69356,#69357,#69359)); +#69361=FACE_OUTER_BOUND('',#69360,.F.); +#69363=CARTESIAN_POINT('',(-1.26E1,1.845E0,-1.995E1)); +#69364=DIRECTION('',(0.E0,1.E0,0.E0)); +#69365=DIRECTION('',(-1.E0,0.E0,0.E0)); +#69366=AXIS2_PLACEMENT_3D('',#69363,#69364,#69365); +#69367=PLANE('',#69366); +#69368=ORIENTED_EDGE('',*,*,#69332,.F.); +#69369=ORIENTED_EDGE('',*,*,#69358,.T.); +#69370=ORIENTED_EDGE('',*,*,#69288,.T.); +#69371=ORIENTED_EDGE('',*,*,#69304,.F.); +#69372=EDGE_LOOP('',(#69368,#69369,#69370,#69371)); +#69373=FACE_OUTER_BOUND('',#69372,.F.); +#69375=CARTESIAN_POINT('',(-1.195E1,1.53E0,-1.78E1)); +#69376=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#69377=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#69378=AXIS2_PLACEMENT_3D('',#69375,#69376,#69377); +#69379=PLANE('',#69378); +#69380=ORIENTED_EDGE('',*,*,#69317,.F.); +#69382=ORIENTED_EDGE('',*,*,#69381,.T.); +#69384=ORIENTED_EDGE('',*,*,#69383,.T.); +#69386=ORIENTED_EDGE('',*,*,#69385,.F.); +#69387=EDGE_LOOP('',(#69380,#69382,#69384,#69386)); +#69388=FACE_OUTER_BOUND('',#69387,.F.); +#69390=CARTESIAN_POINT('',(-1.29E1,2.08E0,-1.78E1)); +#69391=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#69392=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#69393=AXIS2_PLACEMENT_3D('',#69390,#69391,#69392); +#69394=PLANE('',#69393); +#69395=ORIENTED_EDGE('',*,*,#69323,.T.); +#69397=ORIENTED_EDGE('',*,*,#69396,.T.); +#69399=ORIENTED_EDGE('',*,*,#69398,.F.); +#69400=ORIENTED_EDGE('',*,*,#69381,.F.); +#69401=EDGE_LOOP('',(#69395,#69397,#69399,#69400)); +#69402=FACE_OUTER_BOUND('',#69401,.F.); +#69404=CARTESIAN_POINT('',(-1.385E1,1.53E0,-1.78E1)); +#69405=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#69406=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#69407=AXIS2_PLACEMENT_3D('',#69404,#69405,#69406); +#69408=PLANE('',#69407); +#69409=ORIENTED_EDGE('',*,*,#69130,.T.); +#69410=ORIENTED_EDGE('',*,*,#69396,.F.); +#69411=ORIENTED_EDGE('',*,*,#69321,.F.); +#69413=ORIENTED_EDGE('',*,*,#69412,.T.); +#69414=EDGE_LOOP('',(#69409,#69410,#69411,#69413)); +#69415=FACE_OUTER_BOUND('',#69414,.F.); +#69417=CARTESIAN_POINT('',(-1.29E1,9.8E-1,-1.78E1)); +#69418=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#69419=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#69420=AXIS2_PLACEMENT_3D('',#69417,#69418,#69419); +#69421=PLANE('',#69420); +#69423=ORIENTED_EDGE('',*,*,#69422,.T.); +#69424=ORIENTED_EDGE('',*,*,#69412,.F.); +#69425=ORIENTED_EDGE('',*,*,#69319,.F.); +#69426=ORIENTED_EDGE('',*,*,#69385,.T.); +#69427=EDGE_LOOP('',(#69423,#69424,#69425,#69426)); +#69428=FACE_OUTER_BOUND('',#69427,.F.); +#69430=CARTESIAN_POINT('',(-1.4E1,8.3E-1,-1.765E1)); +#69431=DIRECTION('',(0.E0,-1.E0,0.E0)); +#69432=DIRECTION('',(0.E0,0.E0,-1.E0)); +#69433=AXIS2_PLACEMENT_3D('',#69430,#69431,#69432); +#69434=PLANE('',#69433); +#69435=ORIENTED_EDGE('',*,*,#69422,.F.); +#69437=ORIENTED_EDGE('',*,*,#69436,.T.); +#69439=ORIENTED_EDGE('',*,*,#69438,.F.); +#69440=ORIENTED_EDGE('',*,*,#69132,.F.); +#69441=EDGE_LOOP('',(#69435,#69437,#69439,#69440)); +#69442=FACE_OUTER_BOUND('',#69441,.F.); +#69444=CARTESIAN_POINT('',(-1.18E1,1.033E1,0.E0)); +#69445=DIRECTION('',(1.E0,0.E0,0.E0)); +#69446=DIRECTION('',(0.E0,0.E0,-1.E0)); +#69447=AXIS2_PLACEMENT_3D('',#69444,#69445,#69446); +#69448=PLANE('',#69447); +#69449=ORIENTED_EDGE('',*,*,#69183,.F.); +#69451=ORIENTED_EDGE('',*,*,#69450,.F.); +#69452=ORIENTED_EDGE('',*,*,#65798,.F.); +#69453=ORIENTED_EDGE('',*,*,#66448,.T.); +#69455=ORIENTED_EDGE('',*,*,#69454,.T.); +#69457=ORIENTED_EDGE('',*,*,#69456,.F.); +#69459=ORIENTED_EDGE('',*,*,#69458,.F.); +#69460=EDGE_LOOP('',(#69449,#69451,#69452,#69453,#69455,#69457,#69459)); +#69461=FACE_OUTER_BOUND('',#69460,.F.); +#69463=CARTESIAN_POINT('',(-1.18E1,1.033E1,0.E0)); +#69464=DIRECTION('',(1.E0,0.E0,0.E0)); +#69465=DIRECTION('',(0.E0,0.E0,-1.E0)); +#69466=AXIS2_PLACEMENT_3D('',#69463,#69464,#69465); +#69467=PLANE('',#69466); +#69468=ORIENTED_EDGE('',*,*,#69383,.F.); +#69470=ORIENTED_EDGE('',*,*,#69469,.F.); +#69472=ORIENTED_EDGE('',*,*,#69471,.T.); +#69474=ORIENTED_EDGE('',*,*,#69473,.F.); +#69476=ORIENTED_EDGE('',*,*,#69475,.F.); +#69477=ORIENTED_EDGE('',*,*,#69436,.F.); +#69478=EDGE_LOOP('',(#69468,#69470,#69472,#69474,#69476,#69477)); +#69479=FACE_OUTER_BOUND('',#69478,.F.); +#69481=CARTESIAN_POINT('',(-1.4E1,1.121514332974E1,-1.9E1)); +#69482=DIRECTION('',(0.E0,9.848077530122E-1,1.736481776669E-1)); +#69483=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#69484=AXIS2_PLACEMENT_3D('',#69481,#69482,#69483); +#69485=PLANE('',#69484); +#69486=ORIENTED_EDGE('',*,*,#69170,.T.); +#69487=ORIENTED_EDGE('',*,*,#69120,.F.); +#69488=ORIENTED_EDGE('',*,*,#65800,.T.); +#69489=ORIENTED_EDGE('',*,*,#69450,.T.); +#69490=EDGE_LOOP('',(#69486,#69487,#69488,#69489)); +#69491=FACE_OUTER_BOUND('',#69490,.F.); +#69493=CARTESIAN_POINT('',(-1.185E1,7.204977327052E0,-1.995E1)); +#69494=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#69495=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#69496=AXIS2_PLACEMENT_3D('',#69493,#69494,#69495); +#69497=PLANE('',#69496); +#69499=ORIENTED_EDGE('',*,*,#69498,.T.); +#69501=ORIENTED_EDGE('',*,*,#69500,.F.); +#69503=ORIENTED_EDGE('',*,*,#69502,.T.); +#69505=ORIENTED_EDGE('',*,*,#69504,.F.); +#69507=ORIENTED_EDGE('',*,*,#69506,.F.); +#69509=ORIENTED_EDGE('',*,*,#69508,.T.); +#69510=ORIENTED_EDGE('',*,*,#69454,.F.); +#69511=ORIENTED_EDGE('',*,*,#66446,.T.); +#69512=EDGE_LOOP('',(#69499,#69501,#69503,#69505,#69507,#69509,#69510,#69511)); +#69513=FACE_OUTER_BOUND('',#69512,.F.); +#69515=CARTESIAN_POINT('',(-9.7E0,1.033E1,0.E0)); +#69516=DIRECTION('',(1.E0,0.E0,0.E0)); +#69517=DIRECTION('',(0.E0,0.E0,-1.E0)); +#69518=AXIS2_PLACEMENT_3D('',#69515,#69516,#69517); +#69519=PLANE('',#69518); +#69521=ORIENTED_EDGE('',*,*,#69520,.F.); +#69523=ORIENTED_EDGE('',*,*,#69522,.T.); +#69525=ORIENTED_EDGE('',*,*,#69524,.T.); +#69526=ORIENTED_EDGE('',*,*,#69498,.F.); +#69527=ORIENTED_EDGE('',*,*,#66444,.F.); +#69528=ORIENTED_EDGE('',*,*,#65794,.T.); +#69530=ORIENTED_EDGE('',*,*,#69529,.T.); +#69531=EDGE_LOOP('',(#69521,#69523,#69525,#69526,#69527,#69528,#69530)); +#69532=FACE_OUTER_BOUND('',#69531,.F.); +#69534=CARTESIAN_POINT('',(-9.7E0,1.033E1,0.E0)); +#69535=DIRECTION('',(1.E0,0.E0,0.E0)); +#69536=DIRECTION('',(0.E0,0.E0,-1.E0)); +#69537=AXIS2_PLACEMENT_3D('',#69534,#69535,#69536); +#69538=PLANE('',#69537); +#69540=ORIENTED_EDGE('',*,*,#69539,.F.); +#69542=ORIENTED_EDGE('',*,*,#69541,.T.); +#69544=ORIENTED_EDGE('',*,*,#69543,.T.); +#69546=ORIENTED_EDGE('',*,*,#69545,.T.); +#69548=ORIENTED_EDGE('',*,*,#69547,.F.); +#69550=ORIENTED_EDGE('',*,*,#69549,.T.); +#69551=EDGE_LOOP('',(#69540,#69542,#69544,#69546,#69548,#69550)); +#69552=FACE_OUTER_BOUND('',#69551,.F.); +#69554=CARTESIAN_POINT('',(-9.55E0,1.033E1,-1.78E1)); +#69555=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#69556=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#69557=AXIS2_PLACEMENT_3D('',#69554,#69555,#69556); +#69558=PLANE('',#69557); +#69559=ORIENTED_EDGE('',*,*,#69520,.T.); +#69561=ORIENTED_EDGE('',*,*,#69560,.T.); +#69563=ORIENTED_EDGE('',*,*,#69562,.F.); +#69565=ORIENTED_EDGE('',*,*,#69564,.T.); +#69566=EDGE_LOOP('',(#69559,#69561,#69563,#69565)); +#69567=FACE_OUTER_BOUND('',#69566,.F.); +#69569=CARTESIAN_POINT('',(-8.6E0,1.088E1,-1.78E1)); +#69570=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#69571=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#69572=AXIS2_PLACEMENT_3D('',#69569,#69570,#69571); +#69573=PLANE('',#69572); +#69575=ORIENTED_EDGE('',*,*,#69574,.F.); +#69577=ORIENTED_EDGE('',*,*,#69576,.T.); +#69578=ORIENTED_EDGE('',*,*,#69560,.F.); +#69580=ORIENTED_EDGE('',*,*,#69579,.F.); +#69581=EDGE_LOOP('',(#69575,#69577,#69578,#69580)); +#69582=FACE_OUTER_BOUND('',#69581,.F.); +#69584=CARTESIAN_POINT('',(-7.65E0,1.033E1,-1.78E1)); +#69585=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#69586=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#69587=AXIS2_PLACEMENT_3D('',#69584,#69585,#69586); +#69588=PLANE('',#69587); +#69590=ORIENTED_EDGE('',*,*,#69589,.F.); +#69591=ORIENTED_EDGE('',*,*,#69574,.T.); +#69593=ORIENTED_EDGE('',*,*,#69592,.T.); +#69595=ORIENTED_EDGE('',*,*,#69594,.T.); +#69596=EDGE_LOOP('',(#69590,#69591,#69593,#69595)); +#69597=FACE_OUTER_BOUND('',#69596,.F.); +#69599=CARTESIAN_POINT('',(-9.7E0,1.103E1,-1.765E1)); +#69600=DIRECTION('',(0.E0,0.E0,1.E0)); +#69601=DIRECTION('',(0.E0,-1.E0,0.E0)); +#69602=AXIS2_PLACEMENT_3D('',#69599,#69600,#69601); +#69603=PLANE('',#69602); +#69604=ORIENTED_EDGE('',*,*,#69562,.T.); +#69605=ORIENTED_EDGE('',*,*,#69576,.F.); +#69606=ORIENTED_EDGE('',*,*,#69589,.T.); +#69608=ORIENTED_EDGE('',*,*,#69607,.T.); +#69609=EDGE_LOOP('',(#69604,#69605,#69606,#69608)); +#69610=FACE_OUTER_BOUND('',#69609,.F.); +#69612=ORIENTED_EDGE('',*,*,#69611,.T.); +#69614=ORIENTED_EDGE('',*,*,#69613,.T.); +#69616=ORIENTED_EDGE('',*,*,#69615,.T.); +#69618=ORIENTED_EDGE('',*,*,#69617,.T.); +#69619=EDGE_LOOP('',(#69612,#69614,#69616,#69618)); +#69620=FACE_BOUND('',#69619,.F.); +#69622=CARTESIAN_POINT('',(-8.9E0,1.0645E1,-1.995E1)); +#69623=DIRECTION('',(-1.E0,0.E0,0.E0)); +#69624=DIRECTION('',(0.E0,-1.E0,0.E0)); +#69625=AXIS2_PLACEMENT_3D('',#69622,#69623,#69624); +#69626=PLANE('',#69625); +#69627=ORIENTED_EDGE('',*,*,#69611,.F.); +#69629=ORIENTED_EDGE('',*,*,#69628,.T.); +#69631=ORIENTED_EDGE('',*,*,#69630,.T.); +#69633=ORIENTED_EDGE('',*,*,#69632,.F.); +#69634=EDGE_LOOP('',(#69627,#69629,#69631,#69633)); +#69635=FACE_OUTER_BOUND('',#69634,.F.); +#69637=CARTESIAN_POINT('',(-8.3E0,1.0645E1,-1.995E1)); +#69638=DIRECTION('',(0.E0,1.E0,0.E0)); +#69639=DIRECTION('',(-1.E0,0.E0,0.E0)); +#69640=AXIS2_PLACEMENT_3D('',#69637,#69638,#69639); +#69641=PLANE('',#69640); +#69642=ORIENTED_EDGE('',*,*,#69617,.F.); +#69644=ORIENTED_EDGE('',*,*,#69643,.T.); +#69646=ORIENTED_EDGE('',*,*,#69645,.T.); +#69647=ORIENTED_EDGE('',*,*,#69628,.F.); +#69648=EDGE_LOOP('',(#69642,#69644,#69646,#69647)); +#69649=FACE_OUTER_BOUND('',#69648,.F.); +#69651=CARTESIAN_POINT('',(-8.3E0,1.0015E1,-1.995E1)); +#69652=DIRECTION('',(1.E0,0.E0,0.E0)); +#69653=DIRECTION('',(0.E0,1.E0,0.E0)); +#69654=AXIS2_PLACEMENT_3D('',#69651,#69652,#69653); +#69655=PLANE('',#69654); +#69656=ORIENTED_EDGE('',*,*,#69615,.F.); +#69658=ORIENTED_EDGE('',*,*,#69657,.T.); +#69660=ORIENTED_EDGE('',*,*,#69659,.T.); +#69661=ORIENTED_EDGE('',*,*,#69643,.F.); +#69662=EDGE_LOOP('',(#69656,#69658,#69660,#69661)); +#69663=FACE_OUTER_BOUND('',#69662,.F.); +#69665=CARTESIAN_POINT('',(-8.9E0,1.0015E1,-1.995E1)); +#69666=DIRECTION('',(0.E0,-1.E0,0.E0)); +#69667=DIRECTION('',(1.E0,0.E0,0.E0)); +#69668=AXIS2_PLACEMENT_3D('',#69665,#69666,#69667); +#69669=PLANE('',#69668); +#69670=ORIENTED_EDGE('',*,*,#69613,.F.); +#69671=ORIENTED_EDGE('',*,*,#69632,.T.); +#69673=ORIENTED_EDGE('',*,*,#69672,.T.); +#69674=ORIENTED_EDGE('',*,*,#69657,.F.); +#69675=EDGE_LOOP('',(#69670,#69671,#69673,#69674)); +#69676=FACE_OUTER_BOUND('',#69675,.F.); +#69678=CARTESIAN_POINT('',(3.01E1,0.E0,-1.175E1)); +#69679=DIRECTION('',(0.E0,0.E0,1.E0)); +#69680=DIRECTION('',(1.E0,0.E0,0.E0)); +#69681=AXIS2_PLACEMENT_3D('',#69678,#69679,#69680); +#69682=PLANE('',#69681); +#69683=ORIENTED_EDGE('',*,*,#69630,.F.); +#69684=ORIENTED_EDGE('',*,*,#69645,.F.); +#69685=ORIENTED_EDGE('',*,*,#69659,.F.); +#69686=ORIENTED_EDGE('',*,*,#69672,.F.); +#69687=EDGE_LOOP('',(#69683,#69684,#69685,#69686)); +#69688=FACE_OUTER_BOUND('',#69687,.F.); +#69690=CARTESIAN_POINT('',(3.01E1,0.E0,-1.175E1)); +#69691=DIRECTION('',(0.E0,0.E0,1.E0)); +#69692=DIRECTION('',(1.E0,0.E0,0.E0)); +#69693=AXIS2_PLACEMENT_3D('',#69690,#69691,#69692); +#69694=PLANE('',#69693); +#69696=ORIENTED_EDGE('',*,*,#69695,.F.); +#69698=ORIENTED_EDGE('',*,*,#69697,.F.); +#69700=ORIENTED_EDGE('',*,*,#69699,.F.); +#69702=ORIENTED_EDGE('',*,*,#69701,.F.); +#69703=EDGE_LOOP('',(#69696,#69698,#69700,#69702)); +#69704=FACE_OUTER_BOUND('',#69703,.F.); +#69706=CARTESIAN_POINT('',(-8.9E0,1.845E0,-1.995E1)); +#69707=DIRECTION('',(-1.E0,0.E0,0.E0)); +#69708=DIRECTION('',(0.E0,-1.E0,0.E0)); +#69709=AXIS2_PLACEMENT_3D('',#69706,#69707,#69708); +#69710=PLANE('',#69709); +#69712=ORIENTED_EDGE('',*,*,#69711,.F.); +#69714=ORIENTED_EDGE('',*,*,#69713,.T.); +#69715=ORIENTED_EDGE('',*,*,#69695,.T.); +#69717=ORIENTED_EDGE('',*,*,#69716,.F.); +#69718=EDGE_LOOP('',(#69712,#69714,#69715,#69717)); +#69719=FACE_OUTER_BOUND('',#69718,.F.); +#69721=CARTESIAN_POINT('',(-9.7E0,2.23E0,-1.765E1)); +#69722=DIRECTION('',(0.E0,0.E0,1.E0)); +#69723=DIRECTION('',(0.E0,-1.E0,0.E0)); +#69724=AXIS2_PLACEMENT_3D('',#69721,#69722,#69723); +#69725=PLANE('',#69724); +#69727=ORIENTED_EDGE('',*,*,#69726,.T.); +#69729=ORIENTED_EDGE('',*,*,#69728,.T.); +#69731=ORIENTED_EDGE('',*,*,#69730,.T.); +#69733=ORIENTED_EDGE('',*,*,#69732,.F.); +#69734=EDGE_LOOP('',(#69727,#69729,#69731,#69733)); +#69735=FACE_OUTER_BOUND('',#69734,.F.); +#69736=ORIENTED_EDGE('',*,*,#69711,.T.); +#69738=ORIENTED_EDGE('',*,*,#69737,.T.); +#69740=ORIENTED_EDGE('',*,*,#69739,.T.); +#69742=ORIENTED_EDGE('',*,*,#69741,.T.); +#69743=EDGE_LOOP('',(#69736,#69738,#69740,#69742)); +#69744=FACE_BOUND('',#69743,.F.); +#69746=CARTESIAN_POINT('',(-8.9E0,1.215E0,-1.995E1)); +#69747=DIRECTION('',(0.E0,-1.E0,0.E0)); +#69748=DIRECTION('',(1.E0,0.E0,0.E0)); +#69749=AXIS2_PLACEMENT_3D('',#69746,#69747,#69748); +#69750=PLANE('',#69749); +#69751=ORIENTED_EDGE('',*,*,#69737,.F.); +#69752=ORIENTED_EDGE('',*,*,#69716,.T.); +#69753=ORIENTED_EDGE('',*,*,#69701,.T.); +#69755=ORIENTED_EDGE('',*,*,#69754,.F.); +#69756=EDGE_LOOP('',(#69751,#69752,#69753,#69755)); +#69757=FACE_OUTER_BOUND('',#69756,.F.); +#69759=CARTESIAN_POINT('',(-8.3E0,1.215E0,-1.995E1)); +#69760=DIRECTION('',(1.E0,0.E0,0.E0)); +#69761=DIRECTION('',(0.E0,1.E0,0.E0)); +#69762=AXIS2_PLACEMENT_3D('',#69759,#69760,#69761); +#69763=PLANE('',#69762); +#69764=ORIENTED_EDGE('',*,*,#69739,.F.); +#69765=ORIENTED_EDGE('',*,*,#69754,.T.); +#69766=ORIENTED_EDGE('',*,*,#69699,.T.); +#69768=ORIENTED_EDGE('',*,*,#69767,.F.); +#69769=EDGE_LOOP('',(#69764,#69765,#69766,#69768)); +#69770=FACE_OUTER_BOUND('',#69769,.F.); +#69772=CARTESIAN_POINT('',(-8.3E0,1.845E0,-1.995E1)); +#69773=DIRECTION('',(0.E0,1.E0,0.E0)); +#69774=DIRECTION('',(-1.E0,0.E0,0.E0)); +#69775=AXIS2_PLACEMENT_3D('',#69772,#69773,#69774); +#69776=PLANE('',#69775); +#69777=ORIENTED_EDGE('',*,*,#69741,.F.); +#69778=ORIENTED_EDGE('',*,*,#69767,.T.); +#69779=ORIENTED_EDGE('',*,*,#69697,.T.); +#69780=ORIENTED_EDGE('',*,*,#69713,.F.); +#69781=EDGE_LOOP('',(#69777,#69778,#69779,#69780)); +#69782=FACE_OUTER_BOUND('',#69781,.F.); +#69784=CARTESIAN_POINT('',(-7.65E0,1.53E0,-1.78E1)); +#69785=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#69786=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#69787=AXIS2_PLACEMENT_3D('',#69784,#69785,#69786); +#69788=PLANE('',#69787); +#69789=ORIENTED_EDGE('',*,*,#69726,.F.); +#69791=ORIENTED_EDGE('',*,*,#69790,.T.); +#69793=ORIENTED_EDGE('',*,*,#69792,.T.); +#69795=ORIENTED_EDGE('',*,*,#69794,.F.); +#69796=EDGE_LOOP('',(#69789,#69791,#69793,#69795)); +#69797=FACE_OUTER_BOUND('',#69796,.F.); +#69799=CARTESIAN_POINT('',(-8.6E0,2.08E0,-1.78E1)); +#69800=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#69801=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#69802=AXIS2_PLACEMENT_3D('',#69799,#69800,#69801); +#69803=PLANE('',#69802); +#69804=ORIENTED_EDGE('',*,*,#69732,.T.); +#69806=ORIENTED_EDGE('',*,*,#69805,.T.); +#69808=ORIENTED_EDGE('',*,*,#69807,.F.); +#69809=ORIENTED_EDGE('',*,*,#69790,.F.); +#69810=EDGE_LOOP('',(#69804,#69806,#69808,#69809)); +#69811=FACE_OUTER_BOUND('',#69810,.F.); +#69813=CARTESIAN_POINT('',(-9.55E0,1.53E0,-1.78E1)); +#69814=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#69815=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#69816=AXIS2_PLACEMENT_3D('',#69813,#69814,#69815); +#69817=PLANE('',#69816); +#69818=ORIENTED_EDGE('',*,*,#69539,.T.); +#69819=ORIENTED_EDGE('',*,*,#69805,.F.); +#69820=ORIENTED_EDGE('',*,*,#69730,.F.); +#69822=ORIENTED_EDGE('',*,*,#69821,.T.); +#69823=EDGE_LOOP('',(#69818,#69819,#69820,#69822)); +#69824=FACE_OUTER_BOUND('',#69823,.F.); +#69826=CARTESIAN_POINT('',(-8.6E0,9.8E-1,-1.78E1)); +#69827=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#69828=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#69829=AXIS2_PLACEMENT_3D('',#69826,#69827,#69828); +#69830=PLANE('',#69829); +#69832=ORIENTED_EDGE('',*,*,#69831,.T.); +#69833=ORIENTED_EDGE('',*,*,#69821,.F.); +#69834=ORIENTED_EDGE('',*,*,#69728,.F.); +#69835=ORIENTED_EDGE('',*,*,#69794,.T.); +#69836=EDGE_LOOP('',(#69832,#69833,#69834,#69835)); +#69837=FACE_OUTER_BOUND('',#69836,.F.); +#69839=CARTESIAN_POINT('',(-9.7E0,8.3E-1,-1.765E1)); +#69840=DIRECTION('',(0.E0,-1.E0,0.E0)); +#69841=DIRECTION('',(0.E0,0.E0,-1.E0)); +#69842=AXIS2_PLACEMENT_3D('',#69839,#69840,#69841); +#69843=PLANE('',#69842); +#69844=ORIENTED_EDGE('',*,*,#69831,.F.); +#69846=ORIENTED_EDGE('',*,*,#69845,.T.); +#69848=ORIENTED_EDGE('',*,*,#69847,.F.); +#69849=ORIENTED_EDGE('',*,*,#69541,.F.); +#69850=EDGE_LOOP('',(#69844,#69846,#69848,#69849)); +#69851=FACE_OUTER_BOUND('',#69850,.F.); +#69853=CARTESIAN_POINT('',(-7.5E0,1.033E1,0.E0)); +#69854=DIRECTION('',(1.E0,0.E0,0.E0)); +#69855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#69856=AXIS2_PLACEMENT_3D('',#69853,#69854,#69855); +#69857=PLANE('',#69856); +#69858=ORIENTED_EDGE('',*,*,#69592,.F.); +#69860=ORIENTED_EDGE('',*,*,#69859,.F.); +#69861=ORIENTED_EDGE('',*,*,#65790,.F.); +#69862=ORIENTED_EDGE('',*,*,#66463,.T.); +#69864=ORIENTED_EDGE('',*,*,#69863,.T.); +#69866=ORIENTED_EDGE('',*,*,#69865,.F.); +#69868=ORIENTED_EDGE('',*,*,#69867,.F.); +#69869=EDGE_LOOP('',(#69858,#69860,#69861,#69862,#69864,#69866,#69868)); +#69870=FACE_OUTER_BOUND('',#69869,.F.); +#69872=CARTESIAN_POINT('',(-7.5E0,1.033E1,0.E0)); +#69873=DIRECTION('',(1.E0,0.E0,0.E0)); +#69874=DIRECTION('',(0.E0,0.E0,-1.E0)); +#69875=AXIS2_PLACEMENT_3D('',#69872,#69873,#69874); +#69876=PLANE('',#69875); +#69877=ORIENTED_EDGE('',*,*,#69792,.F.); +#69879=ORIENTED_EDGE('',*,*,#69878,.F.); +#69881=ORIENTED_EDGE('',*,*,#69880,.T.); +#69883=ORIENTED_EDGE('',*,*,#69882,.F.); +#69885=ORIENTED_EDGE('',*,*,#69884,.F.); +#69886=ORIENTED_EDGE('',*,*,#69845,.F.); +#69887=EDGE_LOOP('',(#69877,#69879,#69881,#69883,#69885,#69886)); +#69888=FACE_OUTER_BOUND('',#69887,.F.); +#69890=CARTESIAN_POINT('',(-9.7E0,1.121514332974E1,-1.9E1)); +#69891=DIRECTION('',(0.E0,9.848077530122E-1,1.736481776669E-1)); +#69892=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#69893=AXIS2_PLACEMENT_3D('',#69890,#69891,#69892); +#69894=PLANE('',#69893); +#69895=ORIENTED_EDGE('',*,*,#69579,.T.); +#69896=ORIENTED_EDGE('',*,*,#69529,.F.); +#69897=ORIENTED_EDGE('',*,*,#65792,.T.); +#69898=ORIENTED_EDGE('',*,*,#69859,.T.); +#69899=EDGE_LOOP('',(#69895,#69896,#69897,#69898)); +#69900=FACE_OUTER_BOUND('',#69899,.F.); +#69902=CARTESIAN_POINT('',(-7.55E0,7.204977327052E0,-1.995E1)); +#69903=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#69904=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#69905=AXIS2_PLACEMENT_3D('',#69902,#69903,#69904); +#69906=PLANE('',#69905); +#69908=ORIENTED_EDGE('',*,*,#69907,.T.); +#69910=ORIENTED_EDGE('',*,*,#69909,.F.); +#69912=ORIENTED_EDGE('',*,*,#69911,.T.); +#69914=ORIENTED_EDGE('',*,*,#69913,.F.); +#69916=ORIENTED_EDGE('',*,*,#69915,.F.); +#69918=ORIENTED_EDGE('',*,*,#69917,.T.); +#69919=ORIENTED_EDGE('',*,*,#69863,.F.); +#69920=ORIENTED_EDGE('',*,*,#66461,.T.); +#69921=EDGE_LOOP('',(#69908,#69910,#69912,#69914,#69916,#69918,#69919,#69920)); +#69922=FACE_OUTER_BOUND('',#69921,.F.); +#69924=CARTESIAN_POINT('',(-5.4E0,1.033E1,0.E0)); +#69925=DIRECTION('',(1.E0,0.E0,0.E0)); +#69926=DIRECTION('',(0.E0,0.E0,-1.E0)); +#69927=AXIS2_PLACEMENT_3D('',#69924,#69925,#69926); +#69928=PLANE('',#69927); +#69930=ORIENTED_EDGE('',*,*,#69929,.F.); +#69932=ORIENTED_EDGE('',*,*,#69931,.T.); +#69934=ORIENTED_EDGE('',*,*,#69933,.T.); +#69935=ORIENTED_EDGE('',*,*,#69907,.F.); +#69936=ORIENTED_EDGE('',*,*,#66459,.F.); +#69937=ORIENTED_EDGE('',*,*,#65786,.T.); +#69939=ORIENTED_EDGE('',*,*,#69938,.T.); +#69940=EDGE_LOOP('',(#69930,#69932,#69934,#69935,#69936,#69937,#69939)); +#69941=FACE_OUTER_BOUND('',#69940,.F.); +#69943=CARTESIAN_POINT('',(-5.4E0,1.033E1,0.E0)); +#69944=DIRECTION('',(1.E0,0.E0,0.E0)); +#69945=DIRECTION('',(0.E0,0.E0,-1.E0)); +#69946=AXIS2_PLACEMENT_3D('',#69943,#69944,#69945); +#69947=PLANE('',#69946); +#69949=ORIENTED_EDGE('',*,*,#69948,.F.); +#69951=ORIENTED_EDGE('',*,*,#69950,.T.); +#69953=ORIENTED_EDGE('',*,*,#69952,.T.); +#69955=ORIENTED_EDGE('',*,*,#69954,.T.); +#69957=ORIENTED_EDGE('',*,*,#69956,.F.); +#69959=ORIENTED_EDGE('',*,*,#69958,.T.); +#69960=EDGE_LOOP('',(#69949,#69951,#69953,#69955,#69957,#69959)); +#69961=FACE_OUTER_BOUND('',#69960,.F.); +#69963=CARTESIAN_POINT('',(-5.25E0,1.033E1,-1.78E1)); +#69964=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#69965=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#69966=AXIS2_PLACEMENT_3D('',#69963,#69964,#69965); +#69967=PLANE('',#69966); +#69968=ORIENTED_EDGE('',*,*,#69929,.T.); +#69970=ORIENTED_EDGE('',*,*,#69969,.T.); +#69972=ORIENTED_EDGE('',*,*,#69971,.F.); +#69974=ORIENTED_EDGE('',*,*,#69973,.T.); +#69975=EDGE_LOOP('',(#69968,#69970,#69972,#69974)); +#69976=FACE_OUTER_BOUND('',#69975,.F.); +#69978=CARTESIAN_POINT('',(-4.3E0,1.088E1,-1.78E1)); +#69979=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#69980=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#69981=AXIS2_PLACEMENT_3D('',#69978,#69979,#69980); +#69982=PLANE('',#69981); +#69984=ORIENTED_EDGE('',*,*,#69983,.F.); +#69986=ORIENTED_EDGE('',*,*,#69985,.T.); +#69987=ORIENTED_EDGE('',*,*,#69969,.F.); +#69989=ORIENTED_EDGE('',*,*,#69988,.F.); +#69990=EDGE_LOOP('',(#69984,#69986,#69987,#69989)); +#69991=FACE_OUTER_BOUND('',#69990,.F.); +#69993=CARTESIAN_POINT('',(-3.35E0,1.033E1,-1.78E1)); +#69994=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#69995=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#69996=AXIS2_PLACEMENT_3D('',#69993,#69994,#69995); +#69997=PLANE('',#69996); +#69999=ORIENTED_EDGE('',*,*,#69998,.F.); +#70000=ORIENTED_EDGE('',*,*,#69983,.T.); +#70002=ORIENTED_EDGE('',*,*,#70001,.T.); +#70004=ORIENTED_EDGE('',*,*,#70003,.T.); +#70005=EDGE_LOOP('',(#69999,#70000,#70002,#70004)); +#70006=FACE_OUTER_BOUND('',#70005,.F.); +#70008=CARTESIAN_POINT('',(-5.4E0,1.103E1,-1.765E1)); +#70009=DIRECTION('',(0.E0,0.E0,1.E0)); +#70010=DIRECTION('',(0.E0,-1.E0,0.E0)); +#70011=AXIS2_PLACEMENT_3D('',#70008,#70009,#70010); +#70012=PLANE('',#70011); +#70013=ORIENTED_EDGE('',*,*,#69971,.T.); +#70014=ORIENTED_EDGE('',*,*,#69985,.F.); +#70015=ORIENTED_EDGE('',*,*,#69998,.T.); +#70017=ORIENTED_EDGE('',*,*,#70016,.T.); +#70018=EDGE_LOOP('',(#70013,#70014,#70015,#70017)); +#70019=FACE_OUTER_BOUND('',#70018,.F.); +#70021=ORIENTED_EDGE('',*,*,#70020,.T.); +#70023=ORIENTED_EDGE('',*,*,#70022,.T.); +#70025=ORIENTED_EDGE('',*,*,#70024,.T.); +#70027=ORIENTED_EDGE('',*,*,#70026,.T.); +#70028=EDGE_LOOP('',(#70021,#70023,#70025,#70027)); +#70029=FACE_BOUND('',#70028,.F.); +#70031=CARTESIAN_POINT('',(-4.6E0,1.0645E1,-1.995E1)); +#70032=DIRECTION('',(-1.E0,0.E0,0.E0)); +#70033=DIRECTION('',(0.E0,-1.E0,0.E0)); +#70034=AXIS2_PLACEMENT_3D('',#70031,#70032,#70033); +#70035=PLANE('',#70034); +#70036=ORIENTED_EDGE('',*,*,#70020,.F.); +#70038=ORIENTED_EDGE('',*,*,#70037,.T.); +#70040=ORIENTED_EDGE('',*,*,#70039,.T.); +#70042=ORIENTED_EDGE('',*,*,#70041,.F.); +#70043=EDGE_LOOP('',(#70036,#70038,#70040,#70042)); +#70044=FACE_OUTER_BOUND('',#70043,.F.); +#70046=CARTESIAN_POINT('',(-4.E0,1.0645E1,-1.995E1)); +#70047=DIRECTION('',(0.E0,1.E0,0.E0)); +#70048=DIRECTION('',(-1.E0,0.E0,0.E0)); +#70049=AXIS2_PLACEMENT_3D('',#70046,#70047,#70048); +#70050=PLANE('',#70049); +#70051=ORIENTED_EDGE('',*,*,#70026,.F.); +#70053=ORIENTED_EDGE('',*,*,#70052,.T.); +#70055=ORIENTED_EDGE('',*,*,#70054,.T.); +#70056=ORIENTED_EDGE('',*,*,#70037,.F.); +#70057=EDGE_LOOP('',(#70051,#70053,#70055,#70056)); +#70058=FACE_OUTER_BOUND('',#70057,.F.); +#70060=CARTESIAN_POINT('',(-4.E0,1.0015E1,-1.995E1)); +#70061=DIRECTION('',(1.E0,0.E0,0.E0)); +#70062=DIRECTION('',(0.E0,1.E0,0.E0)); +#70063=AXIS2_PLACEMENT_3D('',#70060,#70061,#70062); +#70064=PLANE('',#70063); +#70065=ORIENTED_EDGE('',*,*,#70024,.F.); +#70067=ORIENTED_EDGE('',*,*,#70066,.T.); +#70069=ORIENTED_EDGE('',*,*,#70068,.T.); +#70070=ORIENTED_EDGE('',*,*,#70052,.F.); +#70071=EDGE_LOOP('',(#70065,#70067,#70069,#70070)); +#70072=FACE_OUTER_BOUND('',#70071,.F.); +#70074=CARTESIAN_POINT('',(-4.6E0,1.0015E1,-1.995E1)); +#70075=DIRECTION('',(0.E0,-1.E0,0.E0)); +#70076=DIRECTION('',(1.E0,0.E0,0.E0)); +#70077=AXIS2_PLACEMENT_3D('',#70074,#70075,#70076); +#70078=PLANE('',#70077); +#70079=ORIENTED_EDGE('',*,*,#70022,.F.); +#70080=ORIENTED_EDGE('',*,*,#70041,.T.); +#70082=ORIENTED_EDGE('',*,*,#70081,.T.); +#70083=ORIENTED_EDGE('',*,*,#70066,.F.); +#70084=EDGE_LOOP('',(#70079,#70080,#70082,#70083)); +#70085=FACE_OUTER_BOUND('',#70084,.F.); +#70087=CARTESIAN_POINT('',(3.44E1,0.E0,-1.175E1)); +#70088=DIRECTION('',(0.E0,0.E0,1.E0)); +#70089=DIRECTION('',(1.E0,0.E0,0.E0)); +#70090=AXIS2_PLACEMENT_3D('',#70087,#70088,#70089); +#70091=PLANE('',#70090); +#70092=ORIENTED_EDGE('',*,*,#70039,.F.); +#70093=ORIENTED_EDGE('',*,*,#70054,.F.); +#70094=ORIENTED_EDGE('',*,*,#70068,.F.); +#70095=ORIENTED_EDGE('',*,*,#70081,.F.); +#70096=EDGE_LOOP('',(#70092,#70093,#70094,#70095)); +#70097=FACE_OUTER_BOUND('',#70096,.F.); +#70099=CARTESIAN_POINT('',(3.44E1,0.E0,-1.175E1)); +#70100=DIRECTION('',(0.E0,0.E0,1.E0)); +#70101=DIRECTION('',(1.E0,0.E0,0.E0)); +#70102=AXIS2_PLACEMENT_3D('',#70099,#70100,#70101); +#70103=PLANE('',#70102); +#70105=ORIENTED_EDGE('',*,*,#70104,.F.); +#70107=ORIENTED_EDGE('',*,*,#70106,.F.); +#70109=ORIENTED_EDGE('',*,*,#70108,.F.); +#70111=ORIENTED_EDGE('',*,*,#70110,.F.); +#70112=EDGE_LOOP('',(#70105,#70107,#70109,#70111)); +#70113=FACE_OUTER_BOUND('',#70112,.F.); +#70115=CARTESIAN_POINT('',(-4.6E0,1.845E0,-1.995E1)); +#70116=DIRECTION('',(-1.E0,0.E0,0.E0)); +#70117=DIRECTION('',(0.E0,-1.E0,0.E0)); +#70118=AXIS2_PLACEMENT_3D('',#70115,#70116,#70117); +#70119=PLANE('',#70118); +#70121=ORIENTED_EDGE('',*,*,#70120,.F.); +#70123=ORIENTED_EDGE('',*,*,#70122,.T.); +#70124=ORIENTED_EDGE('',*,*,#70104,.T.); +#70126=ORIENTED_EDGE('',*,*,#70125,.F.); +#70127=EDGE_LOOP('',(#70121,#70123,#70124,#70126)); +#70128=FACE_OUTER_BOUND('',#70127,.F.); +#70130=CARTESIAN_POINT('',(-5.4E0,2.23E0,-1.765E1)); +#70131=DIRECTION('',(0.E0,0.E0,1.E0)); +#70132=DIRECTION('',(0.E0,-1.E0,0.E0)); +#70133=AXIS2_PLACEMENT_3D('',#70130,#70131,#70132); +#70134=PLANE('',#70133); +#70136=ORIENTED_EDGE('',*,*,#70135,.T.); +#70138=ORIENTED_EDGE('',*,*,#70137,.T.); +#70140=ORIENTED_EDGE('',*,*,#70139,.T.); +#70142=ORIENTED_EDGE('',*,*,#70141,.F.); +#70143=EDGE_LOOP('',(#70136,#70138,#70140,#70142)); +#70144=FACE_OUTER_BOUND('',#70143,.F.); +#70145=ORIENTED_EDGE('',*,*,#70120,.T.); +#70147=ORIENTED_EDGE('',*,*,#70146,.T.); +#70149=ORIENTED_EDGE('',*,*,#70148,.T.); +#70151=ORIENTED_EDGE('',*,*,#70150,.T.); +#70152=EDGE_LOOP('',(#70145,#70147,#70149,#70151)); +#70153=FACE_BOUND('',#70152,.F.); +#70155=CARTESIAN_POINT('',(-4.6E0,1.215E0,-1.995E1)); +#70156=DIRECTION('',(0.E0,-1.E0,0.E0)); +#70157=DIRECTION('',(1.E0,0.E0,0.E0)); +#70158=AXIS2_PLACEMENT_3D('',#70155,#70156,#70157); +#70159=PLANE('',#70158); +#70160=ORIENTED_EDGE('',*,*,#70146,.F.); +#70161=ORIENTED_EDGE('',*,*,#70125,.T.); +#70162=ORIENTED_EDGE('',*,*,#70110,.T.); +#70164=ORIENTED_EDGE('',*,*,#70163,.F.); +#70165=EDGE_LOOP('',(#70160,#70161,#70162,#70164)); +#70166=FACE_OUTER_BOUND('',#70165,.F.); +#70168=CARTESIAN_POINT('',(-4.E0,1.215E0,-1.995E1)); +#70169=DIRECTION('',(1.E0,0.E0,0.E0)); +#70170=DIRECTION('',(0.E0,1.E0,0.E0)); +#70171=AXIS2_PLACEMENT_3D('',#70168,#70169,#70170); +#70172=PLANE('',#70171); +#70173=ORIENTED_EDGE('',*,*,#70148,.F.); +#70174=ORIENTED_EDGE('',*,*,#70163,.T.); +#70175=ORIENTED_EDGE('',*,*,#70108,.T.); +#70177=ORIENTED_EDGE('',*,*,#70176,.F.); +#70178=EDGE_LOOP('',(#70173,#70174,#70175,#70177)); +#70179=FACE_OUTER_BOUND('',#70178,.F.); +#70181=CARTESIAN_POINT('',(-4.E0,1.845E0,-1.995E1)); +#70182=DIRECTION('',(0.E0,1.E0,0.E0)); +#70183=DIRECTION('',(-1.E0,0.E0,0.E0)); +#70184=AXIS2_PLACEMENT_3D('',#70181,#70182,#70183); +#70185=PLANE('',#70184); +#70186=ORIENTED_EDGE('',*,*,#70150,.F.); +#70187=ORIENTED_EDGE('',*,*,#70176,.T.); +#70188=ORIENTED_EDGE('',*,*,#70106,.T.); +#70189=ORIENTED_EDGE('',*,*,#70122,.F.); +#70190=EDGE_LOOP('',(#70186,#70187,#70188,#70189)); +#70191=FACE_OUTER_BOUND('',#70190,.F.); +#70193=CARTESIAN_POINT('',(-3.35E0,1.53E0,-1.78E1)); +#70194=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#70195=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#70196=AXIS2_PLACEMENT_3D('',#70193,#70194,#70195); +#70197=PLANE('',#70196); +#70198=ORIENTED_EDGE('',*,*,#70135,.F.); +#70200=ORIENTED_EDGE('',*,*,#70199,.T.); +#70202=ORIENTED_EDGE('',*,*,#70201,.T.); +#70204=ORIENTED_EDGE('',*,*,#70203,.F.); +#70205=EDGE_LOOP('',(#70198,#70200,#70202,#70204)); +#70206=FACE_OUTER_BOUND('',#70205,.F.); +#70208=CARTESIAN_POINT('',(-4.3E0,2.08E0,-1.78E1)); +#70209=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#70210=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#70211=AXIS2_PLACEMENT_3D('',#70208,#70209,#70210); +#70212=PLANE('',#70211); +#70213=ORIENTED_EDGE('',*,*,#70141,.T.); +#70215=ORIENTED_EDGE('',*,*,#70214,.T.); +#70217=ORIENTED_EDGE('',*,*,#70216,.F.); +#70218=ORIENTED_EDGE('',*,*,#70199,.F.); +#70219=EDGE_LOOP('',(#70213,#70215,#70217,#70218)); +#70220=FACE_OUTER_BOUND('',#70219,.F.); +#70222=CARTESIAN_POINT('',(-5.25E0,1.53E0,-1.78E1)); +#70223=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#70224=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#70225=AXIS2_PLACEMENT_3D('',#70222,#70223,#70224); +#70226=PLANE('',#70225); +#70227=ORIENTED_EDGE('',*,*,#69948,.T.); +#70228=ORIENTED_EDGE('',*,*,#70214,.F.); +#70229=ORIENTED_EDGE('',*,*,#70139,.F.); +#70231=ORIENTED_EDGE('',*,*,#70230,.T.); +#70232=EDGE_LOOP('',(#70227,#70228,#70229,#70231)); +#70233=FACE_OUTER_BOUND('',#70232,.F.); +#70235=CARTESIAN_POINT('',(-4.3E0,9.8E-1,-1.78E1)); +#70236=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#70237=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#70238=AXIS2_PLACEMENT_3D('',#70235,#70236,#70237); +#70239=PLANE('',#70238); +#70241=ORIENTED_EDGE('',*,*,#70240,.T.); +#70242=ORIENTED_EDGE('',*,*,#70230,.F.); +#70243=ORIENTED_EDGE('',*,*,#70137,.F.); +#70244=ORIENTED_EDGE('',*,*,#70203,.T.); +#70245=EDGE_LOOP('',(#70241,#70242,#70243,#70244)); +#70246=FACE_OUTER_BOUND('',#70245,.F.); +#70248=CARTESIAN_POINT('',(-5.4E0,8.3E-1,-1.765E1)); +#70249=DIRECTION('',(0.E0,-1.E0,0.E0)); +#70250=DIRECTION('',(0.E0,0.E0,-1.E0)); +#70251=AXIS2_PLACEMENT_3D('',#70248,#70249,#70250); +#70252=PLANE('',#70251); +#70253=ORIENTED_EDGE('',*,*,#70240,.F.); +#70255=ORIENTED_EDGE('',*,*,#70254,.T.); +#70257=ORIENTED_EDGE('',*,*,#70256,.F.); +#70258=ORIENTED_EDGE('',*,*,#69950,.F.); +#70259=EDGE_LOOP('',(#70253,#70255,#70257,#70258)); +#70260=FACE_OUTER_BOUND('',#70259,.F.); +#70262=CARTESIAN_POINT('',(-3.2E0,1.033E1,0.E0)); +#70263=DIRECTION('',(1.E0,0.E0,0.E0)); +#70264=DIRECTION('',(0.E0,0.E0,-1.E0)); +#70265=AXIS2_PLACEMENT_3D('',#70262,#70263,#70264); +#70266=PLANE('',#70265); +#70267=ORIENTED_EDGE('',*,*,#70001,.F.); +#70269=ORIENTED_EDGE('',*,*,#70268,.F.); +#70270=ORIENTED_EDGE('',*,*,#65782,.F.); +#70271=ORIENTED_EDGE('',*,*,#66478,.T.); +#70273=ORIENTED_EDGE('',*,*,#70272,.T.); +#70275=ORIENTED_EDGE('',*,*,#70274,.F.); +#70277=ORIENTED_EDGE('',*,*,#70276,.F.); +#70278=EDGE_LOOP('',(#70267,#70269,#70270,#70271,#70273,#70275,#70277)); +#70279=FACE_OUTER_BOUND('',#70278,.F.); +#70281=CARTESIAN_POINT('',(-3.2E0,1.033E1,0.E0)); +#70282=DIRECTION('',(1.E0,0.E0,0.E0)); +#70283=DIRECTION('',(0.E0,0.E0,-1.E0)); +#70284=AXIS2_PLACEMENT_3D('',#70281,#70282,#70283); +#70285=PLANE('',#70284); +#70286=ORIENTED_EDGE('',*,*,#70201,.F.); +#70288=ORIENTED_EDGE('',*,*,#70287,.F.); +#70290=ORIENTED_EDGE('',*,*,#70289,.T.); +#70292=ORIENTED_EDGE('',*,*,#70291,.F.); +#70294=ORIENTED_EDGE('',*,*,#70293,.F.); +#70295=ORIENTED_EDGE('',*,*,#70254,.F.); +#70296=EDGE_LOOP('',(#70286,#70288,#70290,#70292,#70294,#70295)); +#70297=FACE_OUTER_BOUND('',#70296,.F.); +#70299=CARTESIAN_POINT('',(-5.4E0,1.121514332974E1,-1.9E1)); +#70300=DIRECTION('',(0.E0,9.848077530122E-1,1.736481776669E-1)); +#70301=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#70302=AXIS2_PLACEMENT_3D('',#70299,#70300,#70301); +#70303=PLANE('',#70302); +#70304=ORIENTED_EDGE('',*,*,#69988,.T.); +#70305=ORIENTED_EDGE('',*,*,#69938,.F.); +#70306=ORIENTED_EDGE('',*,*,#65784,.T.); +#70307=ORIENTED_EDGE('',*,*,#70268,.T.); +#70308=EDGE_LOOP('',(#70304,#70305,#70306,#70307)); +#70309=FACE_OUTER_BOUND('',#70308,.F.); +#70311=CARTESIAN_POINT('',(-3.25E0,7.204977327052E0,-1.995E1)); +#70312=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#70313=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#70314=AXIS2_PLACEMENT_3D('',#70311,#70312,#70313); +#70315=PLANE('',#70314); +#70317=ORIENTED_EDGE('',*,*,#70316,.T.); +#70319=ORIENTED_EDGE('',*,*,#70318,.F.); +#70321=ORIENTED_EDGE('',*,*,#70320,.T.); +#70323=ORIENTED_EDGE('',*,*,#70322,.F.); +#70325=ORIENTED_EDGE('',*,*,#70324,.F.); +#70327=ORIENTED_EDGE('',*,*,#70326,.T.); +#70328=ORIENTED_EDGE('',*,*,#70272,.F.); +#70329=ORIENTED_EDGE('',*,*,#66476,.T.); +#70330=EDGE_LOOP('',(#70317,#70319,#70321,#70323,#70325,#70327,#70328,#70329)); +#70331=FACE_OUTER_BOUND('',#70330,.F.); +#70333=CARTESIAN_POINT('',(-1.1E0,1.033E1,0.E0)); +#70334=DIRECTION('',(1.E0,0.E0,0.E0)); +#70335=DIRECTION('',(0.E0,0.E0,-1.E0)); +#70336=AXIS2_PLACEMENT_3D('',#70333,#70334,#70335); +#70337=PLANE('',#70336); +#70339=ORIENTED_EDGE('',*,*,#70338,.F.); +#70341=ORIENTED_EDGE('',*,*,#70340,.T.); +#70343=ORIENTED_EDGE('',*,*,#70342,.T.); +#70344=ORIENTED_EDGE('',*,*,#70316,.F.); +#70345=ORIENTED_EDGE('',*,*,#66474,.F.); +#70346=ORIENTED_EDGE('',*,*,#65778,.T.); +#70348=ORIENTED_EDGE('',*,*,#70347,.T.); +#70349=EDGE_LOOP('',(#70339,#70341,#70343,#70344,#70345,#70346,#70348)); +#70350=FACE_OUTER_BOUND('',#70349,.F.); +#70352=CARTESIAN_POINT('',(-1.1E0,1.033E1,0.E0)); +#70353=DIRECTION('',(1.E0,0.E0,0.E0)); +#70354=DIRECTION('',(0.E0,0.E0,-1.E0)); +#70355=AXIS2_PLACEMENT_3D('',#70352,#70353,#70354); +#70356=PLANE('',#70355); +#70358=ORIENTED_EDGE('',*,*,#70357,.F.); +#70360=ORIENTED_EDGE('',*,*,#70359,.T.); +#70362=ORIENTED_EDGE('',*,*,#70361,.T.); +#70364=ORIENTED_EDGE('',*,*,#70363,.T.); +#70366=ORIENTED_EDGE('',*,*,#70365,.F.); +#70368=ORIENTED_EDGE('',*,*,#70367,.T.); +#70369=EDGE_LOOP('',(#70358,#70360,#70362,#70364,#70366,#70368)); +#70370=FACE_OUTER_BOUND('',#70369,.F.); +#70372=CARTESIAN_POINT('',(-9.5E-1,1.033E1,-1.78E1)); +#70373=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#70374=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#70375=AXIS2_PLACEMENT_3D('',#70372,#70373,#70374); +#70376=PLANE('',#70375); +#70377=ORIENTED_EDGE('',*,*,#70338,.T.); +#70379=ORIENTED_EDGE('',*,*,#70378,.T.); +#70381=ORIENTED_EDGE('',*,*,#70380,.F.); +#70383=ORIENTED_EDGE('',*,*,#70382,.T.); +#70384=EDGE_LOOP('',(#70377,#70379,#70381,#70383)); +#70385=FACE_OUTER_BOUND('',#70384,.F.); +#70387=CARTESIAN_POINT('',(0.E0,1.088E1,-1.78E1)); +#70388=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#70389=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#70390=AXIS2_PLACEMENT_3D('',#70387,#70388,#70389); +#70391=PLANE('',#70390); +#70393=ORIENTED_EDGE('',*,*,#70392,.F.); +#70395=ORIENTED_EDGE('',*,*,#70394,.T.); +#70396=ORIENTED_EDGE('',*,*,#70378,.F.); +#70398=ORIENTED_EDGE('',*,*,#70397,.F.); +#70399=EDGE_LOOP('',(#70393,#70395,#70396,#70398)); +#70400=FACE_OUTER_BOUND('',#70399,.F.); +#70402=CARTESIAN_POINT('',(9.5E-1,1.033E1,-1.78E1)); +#70403=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#70404=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#70405=AXIS2_PLACEMENT_3D('',#70402,#70403,#70404); +#70406=PLANE('',#70405); +#70408=ORIENTED_EDGE('',*,*,#70407,.F.); +#70409=ORIENTED_EDGE('',*,*,#70392,.T.); +#70411=ORIENTED_EDGE('',*,*,#70410,.T.); +#70413=ORIENTED_EDGE('',*,*,#70412,.T.); +#70414=EDGE_LOOP('',(#70408,#70409,#70411,#70413)); +#70415=FACE_OUTER_BOUND('',#70414,.F.); +#70417=CARTESIAN_POINT('',(-1.1E0,1.103E1,-1.765E1)); +#70418=DIRECTION('',(0.E0,0.E0,1.E0)); +#70419=DIRECTION('',(0.E0,-1.E0,0.E0)); +#70420=AXIS2_PLACEMENT_3D('',#70417,#70418,#70419); +#70421=PLANE('',#70420); +#70422=ORIENTED_EDGE('',*,*,#70380,.T.); +#70423=ORIENTED_EDGE('',*,*,#70394,.F.); +#70424=ORIENTED_EDGE('',*,*,#70407,.T.); +#70426=ORIENTED_EDGE('',*,*,#70425,.T.); +#70427=EDGE_LOOP('',(#70422,#70423,#70424,#70426)); +#70428=FACE_OUTER_BOUND('',#70427,.F.); +#70430=ORIENTED_EDGE('',*,*,#70429,.T.); +#70432=ORIENTED_EDGE('',*,*,#70431,.T.); +#70434=ORIENTED_EDGE('',*,*,#70433,.T.); +#70436=ORIENTED_EDGE('',*,*,#70435,.T.); +#70437=EDGE_LOOP('',(#70430,#70432,#70434,#70436)); +#70438=FACE_BOUND('',#70437,.F.); +#70440=CARTESIAN_POINT('',(-3.E-1,1.0645E1,-1.995E1)); +#70441=DIRECTION('',(-1.E0,0.E0,0.E0)); +#70442=DIRECTION('',(0.E0,-1.E0,0.E0)); +#70443=AXIS2_PLACEMENT_3D('',#70440,#70441,#70442); +#70444=PLANE('',#70443); +#70445=ORIENTED_EDGE('',*,*,#70429,.F.); +#70447=ORIENTED_EDGE('',*,*,#70446,.T.); +#70449=ORIENTED_EDGE('',*,*,#70448,.T.); +#70451=ORIENTED_EDGE('',*,*,#70450,.F.); +#70452=EDGE_LOOP('',(#70445,#70447,#70449,#70451)); +#70453=FACE_OUTER_BOUND('',#70452,.F.); +#70455=CARTESIAN_POINT('',(3.E-1,1.0645E1,-1.995E1)); +#70456=DIRECTION('',(0.E0,1.E0,0.E0)); +#70457=DIRECTION('',(-1.E0,0.E0,0.E0)); +#70458=AXIS2_PLACEMENT_3D('',#70455,#70456,#70457); +#70459=PLANE('',#70458); +#70460=ORIENTED_EDGE('',*,*,#70435,.F.); +#70462=ORIENTED_EDGE('',*,*,#70461,.T.); +#70464=ORIENTED_EDGE('',*,*,#70463,.T.); +#70465=ORIENTED_EDGE('',*,*,#70446,.F.); +#70466=EDGE_LOOP('',(#70460,#70462,#70464,#70465)); +#70467=FACE_OUTER_BOUND('',#70466,.F.); +#70469=CARTESIAN_POINT('',(3.E-1,1.0015E1,-1.995E1)); +#70470=DIRECTION('',(1.E0,0.E0,0.E0)); +#70471=DIRECTION('',(0.E0,1.E0,0.E0)); +#70472=AXIS2_PLACEMENT_3D('',#70469,#70470,#70471); +#70473=PLANE('',#70472); +#70474=ORIENTED_EDGE('',*,*,#70433,.F.); +#70476=ORIENTED_EDGE('',*,*,#70475,.T.); +#70478=ORIENTED_EDGE('',*,*,#70477,.T.); +#70479=ORIENTED_EDGE('',*,*,#70461,.F.); +#70480=EDGE_LOOP('',(#70474,#70476,#70478,#70479)); +#70481=FACE_OUTER_BOUND('',#70480,.F.); +#70483=CARTESIAN_POINT('',(-3.E-1,1.0015E1,-1.995E1)); +#70484=DIRECTION('',(0.E0,-1.E0,0.E0)); +#70485=DIRECTION('',(1.E0,0.E0,0.E0)); +#70486=AXIS2_PLACEMENT_3D('',#70483,#70484,#70485); +#70487=PLANE('',#70486); +#70488=ORIENTED_EDGE('',*,*,#70431,.F.); +#70489=ORIENTED_EDGE('',*,*,#70450,.T.); +#70491=ORIENTED_EDGE('',*,*,#70490,.T.); +#70492=ORIENTED_EDGE('',*,*,#70475,.F.); +#70493=EDGE_LOOP('',(#70488,#70489,#70491,#70492)); +#70494=FACE_OUTER_BOUND('',#70493,.F.); +#70496=CARTESIAN_POINT('',(3.87E1,0.E0,-1.175E1)); +#70497=DIRECTION('',(0.E0,0.E0,1.E0)); +#70498=DIRECTION('',(1.E0,0.E0,0.E0)); +#70499=AXIS2_PLACEMENT_3D('',#70496,#70497,#70498); +#70500=PLANE('',#70499); +#70501=ORIENTED_EDGE('',*,*,#70448,.F.); +#70502=ORIENTED_EDGE('',*,*,#70463,.F.); +#70503=ORIENTED_EDGE('',*,*,#70477,.F.); +#70504=ORIENTED_EDGE('',*,*,#70490,.F.); +#70505=EDGE_LOOP('',(#70501,#70502,#70503,#70504)); +#70506=FACE_OUTER_BOUND('',#70505,.F.); +#70508=CARTESIAN_POINT('',(3.87E1,0.E0,-1.175E1)); +#70509=DIRECTION('',(0.E0,0.E0,1.E0)); +#70510=DIRECTION('',(1.E0,0.E0,0.E0)); +#70511=AXIS2_PLACEMENT_3D('',#70508,#70509,#70510); +#70512=PLANE('',#70511); +#70514=ORIENTED_EDGE('',*,*,#70513,.F.); +#70516=ORIENTED_EDGE('',*,*,#70515,.F.); +#70518=ORIENTED_EDGE('',*,*,#70517,.F.); +#70520=ORIENTED_EDGE('',*,*,#70519,.F.); +#70521=EDGE_LOOP('',(#70514,#70516,#70518,#70520)); +#70522=FACE_OUTER_BOUND('',#70521,.F.); +#70524=CARTESIAN_POINT('',(-3.E-1,1.845E0,-1.995E1)); +#70525=DIRECTION('',(-1.E0,0.E0,0.E0)); +#70526=DIRECTION('',(0.E0,-1.E0,0.E0)); +#70527=AXIS2_PLACEMENT_3D('',#70524,#70525,#70526); +#70528=PLANE('',#70527); +#70530=ORIENTED_EDGE('',*,*,#70529,.F.); +#70532=ORIENTED_EDGE('',*,*,#70531,.T.); +#70533=ORIENTED_EDGE('',*,*,#70513,.T.); +#70535=ORIENTED_EDGE('',*,*,#70534,.F.); +#70536=EDGE_LOOP('',(#70530,#70532,#70533,#70535)); +#70537=FACE_OUTER_BOUND('',#70536,.F.); +#70539=CARTESIAN_POINT('',(-1.1E0,2.23E0,-1.765E1)); +#70540=DIRECTION('',(0.E0,0.E0,1.E0)); +#70541=DIRECTION('',(0.E0,-1.E0,0.E0)); +#70542=AXIS2_PLACEMENT_3D('',#70539,#70540,#70541); +#70543=PLANE('',#70542); +#70545=ORIENTED_EDGE('',*,*,#70544,.T.); +#70547=ORIENTED_EDGE('',*,*,#70546,.T.); +#70549=ORIENTED_EDGE('',*,*,#70548,.T.); +#70551=ORIENTED_EDGE('',*,*,#70550,.F.); +#70552=EDGE_LOOP('',(#70545,#70547,#70549,#70551)); +#70553=FACE_OUTER_BOUND('',#70552,.F.); +#70554=ORIENTED_EDGE('',*,*,#70529,.T.); +#70556=ORIENTED_EDGE('',*,*,#70555,.T.); +#70558=ORIENTED_EDGE('',*,*,#70557,.T.); +#70560=ORIENTED_EDGE('',*,*,#70559,.T.); +#70561=EDGE_LOOP('',(#70554,#70556,#70558,#70560)); +#70562=FACE_BOUND('',#70561,.F.); +#70564=CARTESIAN_POINT('',(-3.E-1,1.215E0,-1.995E1)); +#70565=DIRECTION('',(0.E0,-1.E0,0.E0)); +#70566=DIRECTION('',(1.E0,0.E0,0.E0)); +#70567=AXIS2_PLACEMENT_3D('',#70564,#70565,#70566); +#70568=PLANE('',#70567); +#70569=ORIENTED_EDGE('',*,*,#70555,.F.); +#70570=ORIENTED_EDGE('',*,*,#70534,.T.); +#70571=ORIENTED_EDGE('',*,*,#70519,.T.); +#70573=ORIENTED_EDGE('',*,*,#70572,.F.); +#70574=EDGE_LOOP('',(#70569,#70570,#70571,#70573)); +#70575=FACE_OUTER_BOUND('',#70574,.F.); +#70577=CARTESIAN_POINT('',(3.E-1,1.215E0,-1.995E1)); +#70578=DIRECTION('',(1.E0,0.E0,0.E0)); +#70579=DIRECTION('',(0.E0,1.E0,0.E0)); +#70580=AXIS2_PLACEMENT_3D('',#70577,#70578,#70579); +#70581=PLANE('',#70580); +#70582=ORIENTED_EDGE('',*,*,#70557,.F.); +#70583=ORIENTED_EDGE('',*,*,#70572,.T.); +#70584=ORIENTED_EDGE('',*,*,#70517,.T.); +#70586=ORIENTED_EDGE('',*,*,#70585,.F.); +#70587=EDGE_LOOP('',(#70582,#70583,#70584,#70586)); +#70588=FACE_OUTER_BOUND('',#70587,.F.); +#70590=CARTESIAN_POINT('',(3.E-1,1.845E0,-1.995E1)); +#70591=DIRECTION('',(0.E0,1.E0,0.E0)); +#70592=DIRECTION('',(-1.E0,0.E0,0.E0)); +#70593=AXIS2_PLACEMENT_3D('',#70590,#70591,#70592); +#70594=PLANE('',#70593); +#70595=ORIENTED_EDGE('',*,*,#70559,.F.); +#70596=ORIENTED_EDGE('',*,*,#70585,.T.); +#70597=ORIENTED_EDGE('',*,*,#70515,.T.); +#70598=ORIENTED_EDGE('',*,*,#70531,.F.); +#70599=EDGE_LOOP('',(#70595,#70596,#70597,#70598)); +#70600=FACE_OUTER_BOUND('',#70599,.F.); +#70602=CARTESIAN_POINT('',(9.5E-1,1.53E0,-1.78E1)); +#70603=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#70604=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#70605=AXIS2_PLACEMENT_3D('',#70602,#70603,#70604); +#70606=PLANE('',#70605); +#70607=ORIENTED_EDGE('',*,*,#70544,.F.); +#70609=ORIENTED_EDGE('',*,*,#70608,.T.); +#70611=ORIENTED_EDGE('',*,*,#70610,.T.); +#70613=ORIENTED_EDGE('',*,*,#70612,.F.); +#70614=EDGE_LOOP('',(#70607,#70609,#70611,#70613)); +#70615=FACE_OUTER_BOUND('',#70614,.F.); +#70617=CARTESIAN_POINT('',(0.E0,2.08E0,-1.78E1)); +#70618=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#70619=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#70620=AXIS2_PLACEMENT_3D('',#70617,#70618,#70619); +#70621=PLANE('',#70620); +#70622=ORIENTED_EDGE('',*,*,#70550,.T.); +#70624=ORIENTED_EDGE('',*,*,#70623,.T.); +#70626=ORIENTED_EDGE('',*,*,#70625,.F.); +#70627=ORIENTED_EDGE('',*,*,#70608,.F.); +#70628=EDGE_LOOP('',(#70622,#70624,#70626,#70627)); +#70629=FACE_OUTER_BOUND('',#70628,.F.); +#70631=CARTESIAN_POINT('',(-9.5E-1,1.53E0,-1.78E1)); +#70632=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#70633=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#70634=AXIS2_PLACEMENT_3D('',#70631,#70632,#70633); +#70635=PLANE('',#70634); +#70636=ORIENTED_EDGE('',*,*,#70357,.T.); +#70637=ORIENTED_EDGE('',*,*,#70623,.F.); +#70638=ORIENTED_EDGE('',*,*,#70548,.F.); +#70640=ORIENTED_EDGE('',*,*,#70639,.T.); +#70641=EDGE_LOOP('',(#70636,#70637,#70638,#70640)); +#70642=FACE_OUTER_BOUND('',#70641,.F.); +#70644=CARTESIAN_POINT('',(0.E0,9.8E-1,-1.78E1)); +#70645=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#70646=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#70647=AXIS2_PLACEMENT_3D('',#70644,#70645,#70646); +#70648=PLANE('',#70647); +#70650=ORIENTED_EDGE('',*,*,#70649,.T.); +#70651=ORIENTED_EDGE('',*,*,#70639,.F.); +#70652=ORIENTED_EDGE('',*,*,#70546,.F.); +#70653=ORIENTED_EDGE('',*,*,#70612,.T.); +#70654=EDGE_LOOP('',(#70650,#70651,#70652,#70653)); +#70655=FACE_OUTER_BOUND('',#70654,.F.); +#70657=CARTESIAN_POINT('',(-1.1E0,8.3E-1,-1.765E1)); +#70658=DIRECTION('',(0.E0,-1.E0,0.E0)); +#70659=DIRECTION('',(0.E0,0.E0,-1.E0)); +#70660=AXIS2_PLACEMENT_3D('',#70657,#70658,#70659); +#70661=PLANE('',#70660); +#70662=ORIENTED_EDGE('',*,*,#70649,.F.); +#70664=ORIENTED_EDGE('',*,*,#70663,.T.); +#70666=ORIENTED_EDGE('',*,*,#70665,.F.); +#70667=ORIENTED_EDGE('',*,*,#70359,.F.); +#70668=EDGE_LOOP('',(#70662,#70664,#70666,#70667)); +#70669=FACE_OUTER_BOUND('',#70668,.F.); +#70671=CARTESIAN_POINT('',(1.1E0,1.033E1,0.E0)); +#70672=DIRECTION('',(1.E0,0.E0,0.E0)); +#70673=DIRECTION('',(0.E0,0.E0,-1.E0)); +#70674=AXIS2_PLACEMENT_3D('',#70671,#70672,#70673); +#70675=PLANE('',#70674); +#70676=ORIENTED_EDGE('',*,*,#70410,.F.); +#70678=ORIENTED_EDGE('',*,*,#70677,.F.); +#70679=ORIENTED_EDGE('',*,*,#65774,.F.); +#70680=ORIENTED_EDGE('',*,*,#66493,.T.); +#70682=ORIENTED_EDGE('',*,*,#70681,.T.); +#70684=ORIENTED_EDGE('',*,*,#70683,.F.); +#70686=ORIENTED_EDGE('',*,*,#70685,.F.); +#70687=EDGE_LOOP('',(#70676,#70678,#70679,#70680,#70682,#70684,#70686)); +#70688=FACE_OUTER_BOUND('',#70687,.F.); +#70690=CARTESIAN_POINT('',(1.1E0,1.033E1,0.E0)); +#70691=DIRECTION('',(1.E0,0.E0,0.E0)); +#70692=DIRECTION('',(0.E0,0.E0,-1.E0)); +#70693=AXIS2_PLACEMENT_3D('',#70690,#70691,#70692); +#70694=PLANE('',#70693); +#70695=ORIENTED_EDGE('',*,*,#70610,.F.); +#70697=ORIENTED_EDGE('',*,*,#70696,.F.); +#70699=ORIENTED_EDGE('',*,*,#70698,.T.); +#70701=ORIENTED_EDGE('',*,*,#70700,.F.); +#70703=ORIENTED_EDGE('',*,*,#70702,.F.); +#70704=ORIENTED_EDGE('',*,*,#70663,.F.); +#70705=EDGE_LOOP('',(#70695,#70697,#70699,#70701,#70703,#70704)); +#70706=FACE_OUTER_BOUND('',#70705,.F.); +#70708=CARTESIAN_POINT('',(-1.1E0,1.121514332974E1,-1.9E1)); +#70709=DIRECTION('',(0.E0,9.848077530122E-1,1.736481776669E-1)); +#70710=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#70711=AXIS2_PLACEMENT_3D('',#70708,#70709,#70710); +#70712=PLANE('',#70711); +#70713=ORIENTED_EDGE('',*,*,#70397,.T.); +#70714=ORIENTED_EDGE('',*,*,#70347,.F.); +#70715=ORIENTED_EDGE('',*,*,#65776,.T.); +#70716=ORIENTED_EDGE('',*,*,#70677,.T.); +#70717=EDGE_LOOP('',(#70713,#70714,#70715,#70716)); +#70718=FACE_OUTER_BOUND('',#70717,.F.); +#70720=CARTESIAN_POINT('',(1.05E0,7.204977327052E0,-1.995E1)); +#70721=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#70722=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#70723=AXIS2_PLACEMENT_3D('',#70720,#70721,#70722); +#70724=PLANE('',#70723); +#70726=ORIENTED_EDGE('',*,*,#70725,.T.); +#70728=ORIENTED_EDGE('',*,*,#70727,.F.); +#70730=ORIENTED_EDGE('',*,*,#70729,.T.); +#70732=ORIENTED_EDGE('',*,*,#70731,.F.); +#70734=ORIENTED_EDGE('',*,*,#70733,.F.); +#70736=ORIENTED_EDGE('',*,*,#70735,.T.); +#70737=ORIENTED_EDGE('',*,*,#70681,.F.); +#70738=ORIENTED_EDGE('',*,*,#66491,.T.); +#70739=EDGE_LOOP('',(#70726,#70728,#70730,#70732,#70734,#70736,#70737,#70738)); +#70740=FACE_OUTER_BOUND('',#70739,.F.); +#70742=CARTESIAN_POINT('',(3.2E0,1.033E1,0.E0)); +#70743=DIRECTION('',(1.E0,0.E0,0.E0)); +#70744=DIRECTION('',(0.E0,0.E0,-1.E0)); +#70745=AXIS2_PLACEMENT_3D('',#70742,#70743,#70744); +#70746=PLANE('',#70745); +#70748=ORIENTED_EDGE('',*,*,#70747,.F.); +#70750=ORIENTED_EDGE('',*,*,#70749,.T.); +#70752=ORIENTED_EDGE('',*,*,#70751,.T.); +#70753=ORIENTED_EDGE('',*,*,#70725,.F.); +#70754=ORIENTED_EDGE('',*,*,#66489,.F.); +#70755=ORIENTED_EDGE('',*,*,#65770,.T.); +#70757=ORIENTED_EDGE('',*,*,#70756,.T.); +#70758=EDGE_LOOP('',(#70748,#70750,#70752,#70753,#70754,#70755,#70757)); +#70759=FACE_OUTER_BOUND('',#70758,.F.); +#70761=CARTESIAN_POINT('',(3.2E0,1.033E1,0.E0)); +#70762=DIRECTION('',(1.E0,0.E0,0.E0)); +#70763=DIRECTION('',(0.E0,0.E0,-1.E0)); +#70764=AXIS2_PLACEMENT_3D('',#70761,#70762,#70763); +#70765=PLANE('',#70764); +#70767=ORIENTED_EDGE('',*,*,#70766,.F.); +#70769=ORIENTED_EDGE('',*,*,#70768,.T.); +#70771=ORIENTED_EDGE('',*,*,#70770,.T.); +#70773=ORIENTED_EDGE('',*,*,#70772,.T.); +#70775=ORIENTED_EDGE('',*,*,#70774,.F.); +#70777=ORIENTED_EDGE('',*,*,#70776,.T.); +#70778=EDGE_LOOP('',(#70767,#70769,#70771,#70773,#70775,#70777)); +#70779=FACE_OUTER_BOUND('',#70778,.F.); +#70781=CARTESIAN_POINT('',(3.35E0,1.033E1,-1.78E1)); +#70782=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#70783=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#70784=AXIS2_PLACEMENT_3D('',#70781,#70782,#70783); +#70785=PLANE('',#70784); +#70786=ORIENTED_EDGE('',*,*,#70747,.T.); +#70788=ORIENTED_EDGE('',*,*,#70787,.T.); +#70790=ORIENTED_EDGE('',*,*,#70789,.F.); +#70792=ORIENTED_EDGE('',*,*,#70791,.T.); +#70793=EDGE_LOOP('',(#70786,#70788,#70790,#70792)); +#70794=FACE_OUTER_BOUND('',#70793,.F.); +#70796=CARTESIAN_POINT('',(4.3E0,1.088E1,-1.78E1)); +#70797=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#70798=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#70799=AXIS2_PLACEMENT_3D('',#70796,#70797,#70798); +#70800=PLANE('',#70799); +#70802=ORIENTED_EDGE('',*,*,#70801,.F.); +#70804=ORIENTED_EDGE('',*,*,#70803,.T.); +#70805=ORIENTED_EDGE('',*,*,#70787,.F.); +#70807=ORIENTED_EDGE('',*,*,#70806,.F.); +#70808=EDGE_LOOP('',(#70802,#70804,#70805,#70807)); +#70809=FACE_OUTER_BOUND('',#70808,.F.); +#70811=CARTESIAN_POINT('',(5.25E0,1.033E1,-1.78E1)); +#70812=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#70813=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#70814=AXIS2_PLACEMENT_3D('',#70811,#70812,#70813); +#70815=PLANE('',#70814); +#70817=ORIENTED_EDGE('',*,*,#70816,.F.); +#70818=ORIENTED_EDGE('',*,*,#70801,.T.); +#70820=ORIENTED_EDGE('',*,*,#70819,.T.); +#70822=ORIENTED_EDGE('',*,*,#70821,.T.); +#70823=EDGE_LOOP('',(#70817,#70818,#70820,#70822)); +#70824=FACE_OUTER_BOUND('',#70823,.F.); +#70826=CARTESIAN_POINT('',(3.2E0,1.103E1,-1.765E1)); +#70827=DIRECTION('',(0.E0,0.E0,1.E0)); +#70828=DIRECTION('',(0.E0,-1.E0,0.E0)); +#70829=AXIS2_PLACEMENT_3D('',#70826,#70827,#70828); +#70830=PLANE('',#70829); +#70831=ORIENTED_EDGE('',*,*,#70789,.T.); +#70832=ORIENTED_EDGE('',*,*,#70803,.F.); +#70833=ORIENTED_EDGE('',*,*,#70816,.T.); +#70835=ORIENTED_EDGE('',*,*,#70834,.T.); +#70836=EDGE_LOOP('',(#70831,#70832,#70833,#70835)); +#70837=FACE_OUTER_BOUND('',#70836,.F.); +#70839=ORIENTED_EDGE('',*,*,#70838,.T.); +#70841=ORIENTED_EDGE('',*,*,#70840,.T.); +#70843=ORIENTED_EDGE('',*,*,#70842,.T.); +#70845=ORIENTED_EDGE('',*,*,#70844,.T.); +#70846=EDGE_LOOP('',(#70839,#70841,#70843,#70845)); +#70847=FACE_BOUND('',#70846,.F.); +#70849=CARTESIAN_POINT('',(4.E0,1.0645E1,-1.995E1)); +#70850=DIRECTION('',(-1.E0,0.E0,0.E0)); +#70851=DIRECTION('',(0.E0,-1.E0,0.E0)); +#70852=AXIS2_PLACEMENT_3D('',#70849,#70850,#70851); +#70853=PLANE('',#70852); +#70854=ORIENTED_EDGE('',*,*,#70838,.F.); +#70856=ORIENTED_EDGE('',*,*,#70855,.T.); +#70858=ORIENTED_EDGE('',*,*,#70857,.T.); +#70860=ORIENTED_EDGE('',*,*,#70859,.F.); +#70861=EDGE_LOOP('',(#70854,#70856,#70858,#70860)); +#70862=FACE_OUTER_BOUND('',#70861,.F.); +#70864=CARTESIAN_POINT('',(4.6E0,1.0645E1,-1.995E1)); +#70865=DIRECTION('',(0.E0,1.E0,0.E0)); +#70866=DIRECTION('',(-1.E0,0.E0,0.E0)); +#70867=AXIS2_PLACEMENT_3D('',#70864,#70865,#70866); +#70868=PLANE('',#70867); +#70869=ORIENTED_EDGE('',*,*,#70844,.F.); +#70871=ORIENTED_EDGE('',*,*,#70870,.T.); +#70873=ORIENTED_EDGE('',*,*,#70872,.T.); +#70874=ORIENTED_EDGE('',*,*,#70855,.F.); +#70875=EDGE_LOOP('',(#70869,#70871,#70873,#70874)); +#70876=FACE_OUTER_BOUND('',#70875,.F.); +#70878=CARTESIAN_POINT('',(4.6E0,1.0015E1,-1.995E1)); +#70879=DIRECTION('',(1.E0,0.E0,0.E0)); +#70880=DIRECTION('',(0.E0,1.E0,0.E0)); +#70881=AXIS2_PLACEMENT_3D('',#70878,#70879,#70880); +#70882=PLANE('',#70881); +#70883=ORIENTED_EDGE('',*,*,#70842,.F.); +#70885=ORIENTED_EDGE('',*,*,#70884,.T.); +#70887=ORIENTED_EDGE('',*,*,#70886,.T.); +#70888=ORIENTED_EDGE('',*,*,#70870,.F.); +#70889=EDGE_LOOP('',(#70883,#70885,#70887,#70888)); +#70890=FACE_OUTER_BOUND('',#70889,.F.); +#70892=CARTESIAN_POINT('',(4.E0,1.0015E1,-1.995E1)); +#70893=DIRECTION('',(0.E0,-1.E0,0.E0)); +#70894=DIRECTION('',(1.E0,0.E0,0.E0)); +#70895=AXIS2_PLACEMENT_3D('',#70892,#70893,#70894); +#70896=PLANE('',#70895); +#70897=ORIENTED_EDGE('',*,*,#70840,.F.); +#70898=ORIENTED_EDGE('',*,*,#70859,.T.); +#70900=ORIENTED_EDGE('',*,*,#70899,.T.); +#70901=ORIENTED_EDGE('',*,*,#70884,.F.); +#70902=EDGE_LOOP('',(#70897,#70898,#70900,#70901)); +#70903=FACE_OUTER_BOUND('',#70902,.F.); +#70905=CARTESIAN_POINT('',(4.3E1,0.E0,-1.175E1)); +#70906=DIRECTION('',(0.E0,0.E0,1.E0)); +#70907=DIRECTION('',(1.E0,0.E0,0.E0)); +#70908=AXIS2_PLACEMENT_3D('',#70905,#70906,#70907); +#70909=PLANE('',#70908); +#70910=ORIENTED_EDGE('',*,*,#70857,.F.); +#70911=ORIENTED_EDGE('',*,*,#70872,.F.); +#70912=ORIENTED_EDGE('',*,*,#70886,.F.); +#70913=ORIENTED_EDGE('',*,*,#70899,.F.); +#70914=EDGE_LOOP('',(#70910,#70911,#70912,#70913)); +#70915=FACE_OUTER_BOUND('',#70914,.F.); +#70917=CARTESIAN_POINT('',(4.3E1,0.E0,-1.175E1)); +#70918=DIRECTION('',(0.E0,0.E0,1.E0)); +#70919=DIRECTION('',(1.E0,0.E0,0.E0)); +#70920=AXIS2_PLACEMENT_3D('',#70917,#70918,#70919); +#70921=PLANE('',#70920); +#70923=ORIENTED_EDGE('',*,*,#70922,.F.); +#70925=ORIENTED_EDGE('',*,*,#70924,.F.); +#70927=ORIENTED_EDGE('',*,*,#70926,.F.); +#70929=ORIENTED_EDGE('',*,*,#70928,.F.); +#70930=EDGE_LOOP('',(#70923,#70925,#70927,#70929)); +#70931=FACE_OUTER_BOUND('',#70930,.F.); +#70933=CARTESIAN_POINT('',(4.E0,1.845E0,-1.995E1)); +#70934=DIRECTION('',(-1.E0,0.E0,0.E0)); +#70935=DIRECTION('',(0.E0,-1.E0,0.E0)); +#70936=AXIS2_PLACEMENT_3D('',#70933,#70934,#70935); +#70937=PLANE('',#70936); +#70939=ORIENTED_EDGE('',*,*,#70938,.F.); +#70941=ORIENTED_EDGE('',*,*,#70940,.T.); +#70942=ORIENTED_EDGE('',*,*,#70922,.T.); +#70944=ORIENTED_EDGE('',*,*,#70943,.F.); +#70945=EDGE_LOOP('',(#70939,#70941,#70942,#70944)); +#70946=FACE_OUTER_BOUND('',#70945,.F.); +#70948=CARTESIAN_POINT('',(3.2E0,2.23E0,-1.765E1)); +#70949=DIRECTION('',(0.E0,0.E0,1.E0)); +#70950=DIRECTION('',(0.E0,-1.E0,0.E0)); +#70951=AXIS2_PLACEMENT_3D('',#70948,#70949,#70950); +#70952=PLANE('',#70951); +#70954=ORIENTED_EDGE('',*,*,#70953,.T.); +#70956=ORIENTED_EDGE('',*,*,#70955,.T.); +#70958=ORIENTED_EDGE('',*,*,#70957,.T.); +#70960=ORIENTED_EDGE('',*,*,#70959,.F.); +#70961=EDGE_LOOP('',(#70954,#70956,#70958,#70960)); +#70962=FACE_OUTER_BOUND('',#70961,.F.); +#70963=ORIENTED_EDGE('',*,*,#70938,.T.); +#70965=ORIENTED_EDGE('',*,*,#70964,.T.); +#70967=ORIENTED_EDGE('',*,*,#70966,.T.); +#70969=ORIENTED_EDGE('',*,*,#70968,.T.); +#70970=EDGE_LOOP('',(#70963,#70965,#70967,#70969)); +#70971=FACE_BOUND('',#70970,.F.); +#70973=CARTESIAN_POINT('',(4.E0,1.215E0,-1.995E1)); +#70974=DIRECTION('',(0.E0,-1.E0,0.E0)); +#70975=DIRECTION('',(1.E0,0.E0,0.E0)); +#70976=AXIS2_PLACEMENT_3D('',#70973,#70974,#70975); +#70977=PLANE('',#70976); +#70978=ORIENTED_EDGE('',*,*,#70964,.F.); +#70979=ORIENTED_EDGE('',*,*,#70943,.T.); +#70980=ORIENTED_EDGE('',*,*,#70928,.T.); +#70982=ORIENTED_EDGE('',*,*,#70981,.F.); +#70983=EDGE_LOOP('',(#70978,#70979,#70980,#70982)); +#70984=FACE_OUTER_BOUND('',#70983,.F.); +#70986=CARTESIAN_POINT('',(4.6E0,1.215E0,-1.995E1)); +#70987=DIRECTION('',(1.E0,0.E0,0.E0)); +#70988=DIRECTION('',(0.E0,1.E0,0.E0)); +#70989=AXIS2_PLACEMENT_3D('',#70986,#70987,#70988); +#70990=PLANE('',#70989); +#70991=ORIENTED_EDGE('',*,*,#70966,.F.); +#70992=ORIENTED_EDGE('',*,*,#70981,.T.); +#70993=ORIENTED_EDGE('',*,*,#70926,.T.); +#70995=ORIENTED_EDGE('',*,*,#70994,.F.); +#70996=EDGE_LOOP('',(#70991,#70992,#70993,#70995)); +#70997=FACE_OUTER_BOUND('',#70996,.F.); +#70999=CARTESIAN_POINT('',(4.6E0,1.845E0,-1.995E1)); +#71000=DIRECTION('',(0.E0,1.E0,0.E0)); +#71001=DIRECTION('',(-1.E0,0.E0,0.E0)); +#71002=AXIS2_PLACEMENT_3D('',#70999,#71000,#71001); +#71003=PLANE('',#71002); +#71004=ORIENTED_EDGE('',*,*,#70968,.F.); +#71005=ORIENTED_EDGE('',*,*,#70994,.T.); +#71006=ORIENTED_EDGE('',*,*,#70924,.T.); +#71007=ORIENTED_EDGE('',*,*,#70940,.F.); +#71008=EDGE_LOOP('',(#71004,#71005,#71006,#71007)); +#71009=FACE_OUTER_BOUND('',#71008,.F.); +#71011=CARTESIAN_POINT('',(5.25E0,1.53E0,-1.78E1)); +#71012=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#71013=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#71014=AXIS2_PLACEMENT_3D('',#71011,#71012,#71013); +#71015=PLANE('',#71014); +#71016=ORIENTED_EDGE('',*,*,#70953,.F.); +#71018=ORIENTED_EDGE('',*,*,#71017,.T.); +#71020=ORIENTED_EDGE('',*,*,#71019,.T.); +#71022=ORIENTED_EDGE('',*,*,#71021,.F.); +#71023=EDGE_LOOP('',(#71016,#71018,#71020,#71022)); +#71024=FACE_OUTER_BOUND('',#71023,.F.); +#71026=CARTESIAN_POINT('',(4.3E0,2.08E0,-1.78E1)); +#71027=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#71028=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#71029=AXIS2_PLACEMENT_3D('',#71026,#71027,#71028); +#71030=PLANE('',#71029); +#71031=ORIENTED_EDGE('',*,*,#70959,.T.); +#71033=ORIENTED_EDGE('',*,*,#71032,.T.); +#71035=ORIENTED_EDGE('',*,*,#71034,.F.); +#71036=ORIENTED_EDGE('',*,*,#71017,.F.); +#71037=EDGE_LOOP('',(#71031,#71033,#71035,#71036)); +#71038=FACE_OUTER_BOUND('',#71037,.F.); +#71040=CARTESIAN_POINT('',(3.35E0,1.53E0,-1.78E1)); +#71041=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#71042=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#71043=AXIS2_PLACEMENT_3D('',#71040,#71041,#71042); +#71044=PLANE('',#71043); +#71045=ORIENTED_EDGE('',*,*,#70766,.T.); +#71046=ORIENTED_EDGE('',*,*,#71032,.F.); +#71047=ORIENTED_EDGE('',*,*,#70957,.F.); +#71049=ORIENTED_EDGE('',*,*,#71048,.T.); +#71050=EDGE_LOOP('',(#71045,#71046,#71047,#71049)); +#71051=FACE_OUTER_BOUND('',#71050,.F.); +#71053=CARTESIAN_POINT('',(4.3E0,9.8E-1,-1.78E1)); +#71054=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#71055=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#71056=AXIS2_PLACEMENT_3D('',#71053,#71054,#71055); +#71057=PLANE('',#71056); +#71059=ORIENTED_EDGE('',*,*,#71058,.T.); +#71060=ORIENTED_EDGE('',*,*,#71048,.F.); +#71061=ORIENTED_EDGE('',*,*,#70955,.F.); +#71062=ORIENTED_EDGE('',*,*,#71021,.T.); +#71063=EDGE_LOOP('',(#71059,#71060,#71061,#71062)); +#71064=FACE_OUTER_BOUND('',#71063,.F.); +#71066=CARTESIAN_POINT('',(3.2E0,8.3E-1,-1.765E1)); +#71067=DIRECTION('',(0.E0,-1.E0,0.E0)); +#71068=DIRECTION('',(0.E0,0.E0,-1.E0)); +#71069=AXIS2_PLACEMENT_3D('',#71066,#71067,#71068); +#71070=PLANE('',#71069); +#71071=ORIENTED_EDGE('',*,*,#71058,.F.); +#71073=ORIENTED_EDGE('',*,*,#71072,.T.); +#71075=ORIENTED_EDGE('',*,*,#71074,.F.); +#71076=ORIENTED_EDGE('',*,*,#70768,.F.); +#71077=EDGE_LOOP('',(#71071,#71073,#71075,#71076)); +#71078=FACE_OUTER_BOUND('',#71077,.F.); +#71080=CARTESIAN_POINT('',(5.4E0,1.033E1,0.E0)); +#71081=DIRECTION('',(1.E0,0.E0,0.E0)); +#71082=DIRECTION('',(0.E0,0.E0,-1.E0)); +#71083=AXIS2_PLACEMENT_3D('',#71080,#71081,#71082); +#71084=PLANE('',#71083); +#71085=ORIENTED_EDGE('',*,*,#70819,.F.); +#71087=ORIENTED_EDGE('',*,*,#71086,.F.); +#71088=ORIENTED_EDGE('',*,*,#65766,.F.); +#71089=ORIENTED_EDGE('',*,*,#66507,.T.); +#71091=ORIENTED_EDGE('',*,*,#71090,.T.); +#71093=ORIENTED_EDGE('',*,*,#71092,.F.); +#71095=ORIENTED_EDGE('',*,*,#71094,.F.); +#71096=EDGE_LOOP('',(#71085,#71087,#71088,#71089,#71091,#71093,#71095)); +#71097=FACE_OUTER_BOUND('',#71096,.F.); +#71099=CARTESIAN_POINT('',(5.4E0,1.033E1,0.E0)); +#71100=DIRECTION('',(1.E0,0.E0,0.E0)); +#71101=DIRECTION('',(0.E0,0.E0,-1.E0)); +#71102=AXIS2_PLACEMENT_3D('',#71099,#71100,#71101); +#71103=PLANE('',#71102); +#71104=ORIENTED_EDGE('',*,*,#71019,.F.); +#71106=ORIENTED_EDGE('',*,*,#71105,.F.); +#71108=ORIENTED_EDGE('',*,*,#71107,.T.); +#71110=ORIENTED_EDGE('',*,*,#71109,.F.); +#71112=ORIENTED_EDGE('',*,*,#71111,.F.); +#71113=ORIENTED_EDGE('',*,*,#71072,.F.); +#71114=EDGE_LOOP('',(#71104,#71106,#71108,#71110,#71112,#71113)); +#71115=FACE_OUTER_BOUND('',#71114,.F.); +#71117=CARTESIAN_POINT('',(3.2E0,1.121514332974E1,-1.9E1)); +#71118=DIRECTION('',(0.E0,9.848077530122E-1,1.736481776669E-1)); +#71119=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#71120=AXIS2_PLACEMENT_3D('',#71117,#71118,#71119); +#71121=PLANE('',#71120); +#71122=ORIENTED_EDGE('',*,*,#70806,.T.); +#71123=ORIENTED_EDGE('',*,*,#70756,.F.); +#71124=ORIENTED_EDGE('',*,*,#65768,.T.); +#71125=ORIENTED_EDGE('',*,*,#71086,.T.); +#71126=EDGE_LOOP('',(#71122,#71123,#71124,#71125)); +#71127=FACE_OUTER_BOUND('',#71126,.F.); +#71129=CARTESIAN_POINT('',(5.35E0,7.204977327052E0,-1.995E1)); +#71130=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#71131=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#71132=AXIS2_PLACEMENT_3D('',#71129,#71130,#71131); +#71133=PLANE('',#71132); +#71134=ORIENTED_EDGE('',*,*,#65955,.T.); +#71136=ORIENTED_EDGE('',*,*,#71135,.F.); +#71138=ORIENTED_EDGE('',*,*,#71137,.T.); +#71140=ORIENTED_EDGE('',*,*,#71139,.F.); +#71142=ORIENTED_EDGE('',*,*,#71141,.F.); +#71144=ORIENTED_EDGE('',*,*,#71143,.T.); +#71145=ORIENTED_EDGE('',*,*,#71090,.F.); +#71146=ORIENTED_EDGE('',*,*,#66505,.T.); +#71147=EDGE_LOOP('',(#71134,#71136,#71138,#71140,#71142,#71144,#71145,#71146)); +#71148=FACE_OUTER_BOUND('',#71147,.F.); +#71150=CARTESIAN_POINT('',(7.5E0,9.63E0,-1.816282873211E1)); +#71151=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#71152=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#71153=AXIS2_PLACEMENT_3D('',#71150,#71151,#71152); +#71154=PLANE('',#71153); +#71156=ORIENTED_EDGE('',*,*,#71155,.F.); +#71158=ORIENTED_EDGE('',*,*,#71157,.F.); +#71159=ORIENTED_EDGE('',*,*,#71135,.T.); +#71160=ORIENTED_EDGE('',*,*,#65953,.F.); +#71162=ORIENTED_EDGE('',*,*,#71161,.T.); +#71163=ORIENTED_EDGE('',*,*,#66295,.T.); +#71164=EDGE_LOOP('',(#71156,#71158,#71159,#71160,#71162,#71163)); +#71165=FACE_OUTER_BOUND('',#71164,.F.); +#71167=ORIENTED_EDGE('',*,*,#71166,.T.); +#71169=ORIENTED_EDGE('',*,*,#71168,.T.); +#71171=ORIENTED_EDGE('',*,*,#71170,.T.); +#71173=ORIENTED_EDGE('',*,*,#71172,.T.); +#71175=ORIENTED_EDGE('',*,*,#71174,.T.); +#71177=ORIENTED_EDGE('',*,*,#71176,.T.); +#71179=ORIENTED_EDGE('',*,*,#71178,.T.); +#71181=ORIENTED_EDGE('',*,*,#71180,.T.); +#71183=ORIENTED_EDGE('',*,*,#71182,.T.); +#71185=ORIENTED_EDGE('',*,*,#71184,.T.); +#71187=ORIENTED_EDGE('',*,*,#71186,.T.); +#71189=ORIENTED_EDGE('',*,*,#71188,.T.); +#71191=ORIENTED_EDGE('',*,*,#71190,.T.); +#71193=ORIENTED_EDGE('',*,*,#71192,.T.); +#71195=ORIENTED_EDGE('',*,*,#71194,.T.); +#71197=ORIENTED_EDGE('',*,*,#71196,.T.); +#71199=ORIENTED_EDGE('',*,*,#71198,.T.); +#71201=ORIENTED_EDGE('',*,*,#71200,.T.); +#71203=ORIENTED_EDGE('',*,*,#71202,.T.); +#71205=ORIENTED_EDGE('',*,*,#71204,.T.); +#71207=ORIENTED_EDGE('',*,*,#71206,.T.); +#71209=ORIENTED_EDGE('',*,*,#71208,.T.); +#71211=ORIENTED_EDGE('',*,*,#71210,.T.); +#71213=ORIENTED_EDGE('',*,*,#71212,.T.); +#71215=ORIENTED_EDGE('',*,*,#71214,.T.); +#71217=ORIENTED_EDGE('',*,*,#71216,.T.); +#71219=ORIENTED_EDGE('',*,*,#71218,.T.); +#71221=ORIENTED_EDGE('',*,*,#71220,.T.); +#71223=ORIENTED_EDGE('',*,*,#71222,.T.); +#71225=ORIENTED_EDGE('',*,*,#71224,.T.); +#71227=ORIENTED_EDGE('',*,*,#71226,.T.); +#71229=ORIENTED_EDGE('',*,*,#71228,.T.); +#71231=ORIENTED_EDGE('',*,*,#71230,.T.); +#71233=ORIENTED_EDGE('',*,*,#71232,.T.); +#71235=ORIENTED_EDGE('',*,*,#71234,.T.); +#71237=ORIENTED_EDGE('',*,*,#71236,.T.); +#71239=ORIENTED_EDGE('',*,*,#71238,.T.); +#71241=ORIENTED_EDGE('',*,*,#71240,.T.); +#71243=ORIENTED_EDGE('',*,*,#71242,.T.); +#71245=ORIENTED_EDGE('',*,*,#71244,.T.); +#71246=EDGE_LOOP('',(#71167,#71169,#71171,#71173,#71175,#71177,#71179,#71181, +#71183,#71185,#71187,#71189,#71191,#71193,#71195,#71197,#71199,#71201,#71203, +#71205,#71207,#71209,#71211,#71213,#71215,#71217,#71219,#71221,#71223,#71225, +#71227,#71229,#71231,#71233,#71235,#71237,#71239,#71241,#71243,#71245)); +#71247=FACE_BOUND('',#71246,.F.); +#71249=ORIENTED_EDGE('',*,*,#71248,.T.); +#71251=ORIENTED_EDGE('',*,*,#71250,.T.); +#71253=ORIENTED_EDGE('',*,*,#71252,.T.); +#71255=ORIENTED_EDGE('',*,*,#71254,.T.); +#71257=ORIENTED_EDGE('',*,*,#71256,.T.); +#71259=ORIENTED_EDGE('',*,*,#71258,.T.); +#71261=ORIENTED_EDGE('',*,*,#71260,.T.); +#71263=ORIENTED_EDGE('',*,*,#71262,.T.); +#71265=ORIENTED_EDGE('',*,*,#71264,.T.); +#71267=ORIENTED_EDGE('',*,*,#71266,.T.); +#71269=ORIENTED_EDGE('',*,*,#71268,.T.); +#71271=ORIENTED_EDGE('',*,*,#71270,.T.); +#71273=ORIENTED_EDGE('',*,*,#71272,.T.); +#71275=ORIENTED_EDGE('',*,*,#71274,.T.); +#71277=ORIENTED_EDGE('',*,*,#71276,.T.); +#71279=ORIENTED_EDGE('',*,*,#71278,.T.); +#71281=ORIENTED_EDGE('',*,*,#71280,.T.); +#71283=ORIENTED_EDGE('',*,*,#71282,.T.); +#71285=ORIENTED_EDGE('',*,*,#71284,.T.); +#71287=ORIENTED_EDGE('',*,*,#71286,.T.); +#71289=ORIENTED_EDGE('',*,*,#71288,.T.); +#71291=ORIENTED_EDGE('',*,*,#71290,.T.); +#71293=ORIENTED_EDGE('',*,*,#71292,.T.); +#71295=ORIENTED_EDGE('',*,*,#71294,.T.); +#71297=ORIENTED_EDGE('',*,*,#71296,.T.); +#71299=ORIENTED_EDGE('',*,*,#71298,.T.); +#71301=ORIENTED_EDGE('',*,*,#71300,.T.); +#71303=ORIENTED_EDGE('',*,*,#71302,.T.); +#71304=EDGE_LOOP('',(#71249,#71251,#71253,#71255,#71257,#71259,#71261,#71263, +#71265,#71267,#71269,#71271,#71273,#71275,#71277,#71279,#71281,#71283,#71285, +#71287,#71289,#71291,#71293,#71295,#71297,#71299,#71301,#71303)); +#71305=FACE_BOUND('',#71304,.F.); +#71307=CARTESIAN_POINT('',(0.E0,0.E0,-1.995E1)); +#71308=DIRECTION('',(0.E0,0.E0,1.E0)); +#71309=DIRECTION('',(1.E0,0.E0,0.E0)); +#71310=AXIS2_PLACEMENT_3D('',#71307,#71308,#71309); +#71311=PLANE('',#71310); +#71313=ORIENTED_EDGE('',*,*,#71312,.T.); +#71315=ORIENTED_EDGE('',*,*,#71314,.T.); +#71317=ORIENTED_EDGE('',*,*,#71316,.T.); +#71318=EDGE_LOOP('',(#71313,#71315,#71317)); +#71319=FACE_OUTER_BOUND('',#71318,.F.); +#71321=CARTESIAN_POINT('',(0.E0,0.E0,-1.995E1)); +#71322=DIRECTION('',(0.E0,0.E0,1.E0)); +#71323=DIRECTION('',(1.E0,0.E0,0.E0)); +#71324=AXIS2_PLACEMENT_3D('',#71321,#71322,#71323); +#71325=PLANE('',#71324); +#71327=ORIENTED_EDGE('',*,*,#71326,.T.); +#71329=ORIENTED_EDGE('',*,*,#71328,.T.); +#71331=ORIENTED_EDGE('',*,*,#71330,.T.); +#71333=ORIENTED_EDGE('',*,*,#71332,.T.); +#71335=ORIENTED_EDGE('',*,*,#71334,.T.); +#71337=ORIENTED_EDGE('',*,*,#71336,.T.); +#71339=ORIENTED_EDGE('',*,*,#71338,.T.); +#71341=ORIENTED_EDGE('',*,*,#71340,.T.); +#71343=ORIENTED_EDGE('',*,*,#71342,.T.); +#71345=ORIENTED_EDGE('',*,*,#71344,.T.); +#71347=ORIENTED_EDGE('',*,*,#71346,.T.); +#71349=ORIENTED_EDGE('',*,*,#71348,.T.); +#71351=ORIENTED_EDGE('',*,*,#71350,.T.); +#71353=ORIENTED_EDGE('',*,*,#71352,.T.); +#71355=ORIENTED_EDGE('',*,*,#71354,.T.); +#71357=ORIENTED_EDGE('',*,*,#71356,.T.); +#71359=ORIENTED_EDGE('',*,*,#71358,.T.); +#71361=ORIENTED_EDGE('',*,*,#71360,.T.); +#71363=ORIENTED_EDGE('',*,*,#71362,.T.); +#71365=ORIENTED_EDGE('',*,*,#71364,.T.); +#71366=EDGE_LOOP('',(#71327,#71329,#71331,#71333,#71335,#71337,#71339,#71341, +#71343,#71345,#71347,#71349,#71351,#71353,#71355,#71357,#71359,#71361,#71363, +#71365)); +#71367=FACE_OUTER_BOUND('',#71366,.F.); +#71369=CARTESIAN_POINT('',(0.E0,0.E0,-1.995E1)); +#71370=DIRECTION('',(0.E0,0.E0,1.E0)); +#71371=DIRECTION('',(1.E0,0.E0,0.E0)); +#71372=AXIS2_PLACEMENT_3D('',#71369,#71370,#71371); +#71373=PLANE('',#71372); +#71375=ORIENTED_EDGE('',*,*,#71374,.T.); +#71377=ORIENTED_EDGE('',*,*,#71376,.T.); +#71379=ORIENTED_EDGE('',*,*,#71378,.T.); +#71381=ORIENTED_EDGE('',*,*,#71380,.T.); +#71383=ORIENTED_EDGE('',*,*,#71382,.T.); +#71385=ORIENTED_EDGE('',*,*,#71384,.T.); +#71387=ORIENTED_EDGE('',*,*,#71386,.T.); +#71389=ORIENTED_EDGE('',*,*,#71388,.T.); +#71391=ORIENTED_EDGE('',*,*,#71390,.T.); +#71393=ORIENTED_EDGE('',*,*,#71392,.T.); +#71395=ORIENTED_EDGE('',*,*,#71394,.T.); +#71397=ORIENTED_EDGE('',*,*,#71396,.T.); +#71399=ORIENTED_EDGE('',*,*,#71398,.T.); +#71401=ORIENTED_EDGE('',*,*,#71400,.T.); +#71403=ORIENTED_EDGE('',*,*,#71402,.T.); +#71405=ORIENTED_EDGE('',*,*,#71404,.T.); +#71407=ORIENTED_EDGE('',*,*,#71406,.T.); +#71409=ORIENTED_EDGE('',*,*,#71408,.T.); +#71411=ORIENTED_EDGE('',*,*,#71410,.T.); +#71413=ORIENTED_EDGE('',*,*,#71412,.T.); +#71414=EDGE_LOOP('',(#71375,#71377,#71379,#71381,#71383,#71385,#71387,#71389, +#71391,#71393,#71395,#71397,#71399,#71401,#71403,#71405,#71407,#71409,#71411, +#71413)); +#71415=FACE_OUTER_BOUND('',#71414,.F.); +#71417=CARTESIAN_POINT('',(0.E0,0.E0,-1.995E1)); +#71418=DIRECTION('',(0.E0,0.E0,1.E0)); +#71419=DIRECTION('',(1.E0,0.E0,0.E0)); +#71420=AXIS2_PLACEMENT_3D('',#71417,#71418,#71419); +#71421=PLANE('',#71420); +#71422=ORIENTED_EDGE('',*,*,#66522,.T.); +#71424=ORIENTED_EDGE('',*,*,#71423,.F.); +#71426=ORIENTED_EDGE('',*,*,#71425,.F.); +#71427=ORIENTED_EDGE('',*,*,#64659,.F.); +#71429=ORIENTED_EDGE('',*,*,#71428,.F.); +#71431=ORIENTED_EDGE('',*,*,#71430,.F.); +#71432=ORIENTED_EDGE('',*,*,#66341,.T.); +#71434=ORIENTED_EDGE('',*,*,#71433,.F.); +#71436=ORIENTED_EDGE('',*,*,#71435,.T.); +#71438=ORIENTED_EDGE('',*,*,#71437,.F.); +#71440=ORIENTED_EDGE('',*,*,#71439,.T.); +#71442=ORIENTED_EDGE('',*,*,#71441,.F.); +#71444=ORIENTED_EDGE('',*,*,#71443,.T.); +#71446=ORIENTED_EDGE('',*,*,#71445,.F.); +#71448=ORIENTED_EDGE('',*,*,#71447,.T.); +#71450=ORIENTED_EDGE('',*,*,#71449,.F.); +#71452=ORIENTED_EDGE('',*,*,#71451,.T.); +#71454=ORIENTED_EDGE('',*,*,#71453,.F.); +#71456=ORIENTED_EDGE('',*,*,#71455,.T.); +#71458=ORIENTED_EDGE('',*,*,#71457,.F.); +#71460=ORIENTED_EDGE('',*,*,#71459,.T.); +#71462=ORIENTED_EDGE('',*,*,#71461,.F.); +#71464=ORIENTED_EDGE('',*,*,#71463,.T.); +#71466=ORIENTED_EDGE('',*,*,#71465,.F.); +#71468=ORIENTED_EDGE('',*,*,#71467,.T.); +#71470=ORIENTED_EDGE('',*,*,#71469,.F.); +#71472=ORIENTED_EDGE('',*,*,#71471,.T.); +#71474=ORIENTED_EDGE('',*,*,#71473,.F.); +#71476=ORIENTED_EDGE('',*,*,#71475,.T.); +#71478=ORIENTED_EDGE('',*,*,#71477,.F.); +#71480=ORIENTED_EDGE('',*,*,#71479,.T.); +#71482=ORIENTED_EDGE('',*,*,#71481,.F.); +#71484=ORIENTED_EDGE('',*,*,#71483,.T.); +#71486=ORIENTED_EDGE('',*,*,#71485,.F.); +#71488=ORIENTED_EDGE('',*,*,#71487,.T.); +#71490=ORIENTED_EDGE('',*,*,#71489,.F.); +#71492=ORIENTED_EDGE('',*,*,#71491,.T.); +#71494=ORIENTED_EDGE('',*,*,#71493,.F.); +#71496=ORIENTED_EDGE('',*,*,#71495,.T.); +#71498=ORIENTED_EDGE('',*,*,#71497,.F.); +#71500=ORIENTED_EDGE('',*,*,#71499,.T.); +#71502=ORIENTED_EDGE('',*,*,#71501,.F.); +#71504=ORIENTED_EDGE('',*,*,#71503,.T.); +#71506=ORIENTED_EDGE('',*,*,#71505,.F.); +#71508=ORIENTED_EDGE('',*,*,#71507,.T.); +#71510=ORIENTED_EDGE('',*,*,#71509,.F.); +#71512=ORIENTED_EDGE('',*,*,#71511,.T.); +#71514=ORIENTED_EDGE('',*,*,#71513,.F.); +#71516=ORIENTED_EDGE('',*,*,#71515,.T.); +#71518=ORIENTED_EDGE('',*,*,#71517,.F.); +#71520=ORIENTED_EDGE('',*,*,#71519,.T.); +#71522=ORIENTED_EDGE('',*,*,#71521,.F.); +#71524=ORIENTED_EDGE('',*,*,#71523,.T.); +#71526=ORIENTED_EDGE('',*,*,#71525,.F.); +#71527=ORIENTED_EDGE('',*,*,#71155,.T.); +#71528=EDGE_LOOP('',(#71422,#71424,#71426,#71427,#71429,#71431,#71432,#71434, +#71436,#71438,#71440,#71442,#71444,#71446,#71448,#71450,#71452,#71454,#71456, +#71458,#71460,#71462,#71464,#71466,#71468,#71470,#71472,#71474,#71476,#71478, +#71480,#71482,#71484,#71486,#71488,#71490,#71492,#71494,#71496,#71498,#71500, +#71502,#71504,#71506,#71508,#71510,#71512,#71514,#71516,#71518,#71520,#71522, +#71524,#71526,#71527)); +#71529=FACE_OUTER_BOUND('',#71528,.F.); +#71531=ORIENTED_EDGE('',*,*,#71530,.T.); +#71533=ORIENTED_EDGE('',*,*,#71532,.F.); +#71535=ORIENTED_EDGE('',*,*,#71534,.T.); +#71537=ORIENTED_EDGE('',*,*,#71536,.F.); +#71539=ORIENTED_EDGE('',*,*,#71538,.T.); +#71541=ORIENTED_EDGE('',*,*,#71540,.F.); +#71543=ORIENTED_EDGE('',*,*,#71542,.T.); +#71545=ORIENTED_EDGE('',*,*,#71544,.F.); +#71546=EDGE_LOOP('',(#71531,#71533,#71535,#71537,#71539,#71541,#71543,#71545)); +#71547=FACE_BOUND('',#71546,.F.); +#71549=ORIENTED_EDGE('',*,*,#71548,.T.); +#71551=ORIENTED_EDGE('',*,*,#71550,.F.); +#71553=ORIENTED_EDGE('',*,*,#71552,.T.); +#71555=ORIENTED_EDGE('',*,*,#71554,.F.); +#71557=ORIENTED_EDGE('',*,*,#71556,.T.); +#71559=ORIENTED_EDGE('',*,*,#71558,.F.); +#71561=ORIENTED_EDGE('',*,*,#71560,.T.); +#71563=ORIENTED_EDGE('',*,*,#71562,.F.); +#71564=EDGE_LOOP('',(#71549,#71551,#71553,#71555,#71557,#71559,#71561,#71563)); +#71565=FACE_BOUND('',#71564,.F.); +#71567=ORIENTED_EDGE('',*,*,#71566,.T.); +#71569=ORIENTED_EDGE('',*,*,#71568,.F.); +#71571=ORIENTED_EDGE('',*,*,#71570,.T.); +#71573=ORIENTED_EDGE('',*,*,#71572,.F.); +#71575=ORIENTED_EDGE('',*,*,#71574,.T.); +#71577=ORIENTED_EDGE('',*,*,#71576,.F.); +#71579=ORIENTED_EDGE('',*,*,#71578,.T.); +#71581=ORIENTED_EDGE('',*,*,#71580,.F.); +#71582=EDGE_LOOP('',(#71567,#71569,#71571,#71573,#71575,#71577,#71579,#71581)); +#71583=FACE_BOUND('',#71582,.F.); +#71585=ORIENTED_EDGE('',*,*,#71584,.T.); +#71587=ORIENTED_EDGE('',*,*,#71586,.F.); +#71589=ORIENTED_EDGE('',*,*,#71588,.T.); +#71591=ORIENTED_EDGE('',*,*,#71590,.F.); +#71593=ORIENTED_EDGE('',*,*,#71592,.T.); +#71595=ORIENTED_EDGE('',*,*,#71594,.F.); +#71597=ORIENTED_EDGE('',*,*,#71596,.T.); +#71599=ORIENTED_EDGE('',*,*,#71598,.F.); +#71600=EDGE_LOOP('',(#71585,#71587,#71589,#71591,#71593,#71595,#71597,#71599)); +#71601=FACE_BOUND('',#71600,.F.); +#71603=ORIENTED_EDGE('',*,*,#71602,.T.); +#71605=ORIENTED_EDGE('',*,*,#71604,.T.); +#71607=ORIENTED_EDGE('',*,*,#71606,.T.); +#71609=ORIENTED_EDGE('',*,*,#71608,.T.); +#71611=ORIENTED_EDGE('',*,*,#71610,.T.); +#71613=ORIENTED_EDGE('',*,*,#71612,.T.); +#71615=ORIENTED_EDGE('',*,*,#71614,.T.); +#71616=EDGE_LOOP('',(#71603,#71605,#71607,#71609,#71611,#71613,#71615)); +#71617=FACE_BOUND('',#71616,.F.); +#71619=ORIENTED_EDGE('',*,*,#71618,.T.); +#71621=ORIENTED_EDGE('',*,*,#71620,.T.); +#71623=ORIENTED_EDGE('',*,*,#71622,.T.); +#71625=ORIENTED_EDGE('',*,*,#71624,.T.); +#71627=ORIENTED_EDGE('',*,*,#71626,.T.); +#71629=ORIENTED_EDGE('',*,*,#71628,.T.); +#71631=ORIENTED_EDGE('',*,*,#71630,.T.); +#71633=ORIENTED_EDGE('',*,*,#71632,.T.); +#71635=ORIENTED_EDGE('',*,*,#71634,.T.); +#71637=ORIENTED_EDGE('',*,*,#71636,.T.); +#71639=ORIENTED_EDGE('',*,*,#71638,.T.); +#71641=ORIENTED_EDGE('',*,*,#71640,.T.); +#71643=ORIENTED_EDGE('',*,*,#71642,.T.); +#71645=ORIENTED_EDGE('',*,*,#71644,.T.); +#71647=ORIENTED_EDGE('',*,*,#71646,.T.); +#71649=ORIENTED_EDGE('',*,*,#71648,.T.); +#71651=ORIENTED_EDGE('',*,*,#71650,.T.); +#71653=ORIENTED_EDGE('',*,*,#71652,.T.); +#71655=ORIENTED_EDGE('',*,*,#71654,.T.); +#71657=ORIENTED_EDGE('',*,*,#71656,.T.); +#71659=ORIENTED_EDGE('',*,*,#71658,.T.); +#71661=ORIENTED_EDGE('',*,*,#71660,.T.); +#71663=ORIENTED_EDGE('',*,*,#71662,.T.); +#71665=ORIENTED_EDGE('',*,*,#71664,.T.); +#71667=ORIENTED_EDGE('',*,*,#71666,.T.); +#71669=ORIENTED_EDGE('',*,*,#71668,.T.); +#71671=ORIENTED_EDGE('',*,*,#71670,.T.); +#71673=ORIENTED_EDGE('',*,*,#71672,.T.); +#71675=ORIENTED_EDGE('',*,*,#71674,.T.); +#71677=ORIENTED_EDGE('',*,*,#71676,.T.); +#71679=ORIENTED_EDGE('',*,*,#71678,.T.); +#71681=ORIENTED_EDGE('',*,*,#71680,.T.); +#71683=ORIENTED_EDGE('',*,*,#71682,.T.); +#71685=ORIENTED_EDGE('',*,*,#71684,.T.); +#71687=ORIENTED_EDGE('',*,*,#71686,.T.); +#71689=ORIENTED_EDGE('',*,*,#71688,.T.); +#71691=ORIENTED_EDGE('',*,*,#71690,.T.); +#71693=ORIENTED_EDGE('',*,*,#71692,.T.); +#71695=ORIENTED_EDGE('',*,*,#71694,.T.); +#71697=ORIENTED_EDGE('',*,*,#71696,.T.); +#71699=ORIENTED_EDGE('',*,*,#71698,.T.); +#71701=ORIENTED_EDGE('',*,*,#71700,.T.); +#71703=ORIENTED_EDGE('',*,*,#71702,.T.); +#71705=ORIENTED_EDGE('',*,*,#71704,.T.); +#71707=ORIENTED_EDGE('',*,*,#71706,.T.); +#71709=ORIENTED_EDGE('',*,*,#71708,.T.); +#71711=ORIENTED_EDGE('',*,*,#71710,.T.); +#71713=ORIENTED_EDGE('',*,*,#71712,.T.); +#71715=ORIENTED_EDGE('',*,*,#71714,.T.); +#71717=ORIENTED_EDGE('',*,*,#71716,.T.); +#71719=ORIENTED_EDGE('',*,*,#71718,.T.); +#71721=ORIENTED_EDGE('',*,*,#71720,.T.); +#71723=ORIENTED_EDGE('',*,*,#71722,.T.); +#71725=ORIENTED_EDGE('',*,*,#71724,.T.); +#71727=ORIENTED_EDGE('',*,*,#71726,.T.); +#71729=ORIENTED_EDGE('',*,*,#71728,.T.); +#71731=ORIENTED_EDGE('',*,*,#71730,.T.); +#71732=EDGE_LOOP('',(#71619,#71621,#71623,#71625,#71627,#71629,#71631,#71633, +#71635,#71637,#71639,#71641,#71643,#71645,#71647,#71649,#71651,#71653,#71655, +#71657,#71659,#71661,#71663,#71665,#71667,#71669,#71671,#71673,#71675,#71677, +#71679,#71681,#71683,#71685,#71687,#71689,#71691,#71693,#71695,#71697,#71699, +#71701,#71703,#71705,#71707,#71709,#71711,#71713,#71715,#71717,#71719,#71721, +#71723,#71725,#71727,#71729,#71731)); +#71733=FACE_BOUND('',#71732,.F.); +#71735=ORIENTED_EDGE('',*,*,#71734,.T.); +#71737=ORIENTED_EDGE('',*,*,#71736,.T.); +#71739=ORIENTED_EDGE('',*,*,#71738,.T.); +#71741=ORIENTED_EDGE('',*,*,#71740,.T.); +#71743=ORIENTED_EDGE('',*,*,#71742,.T.); +#71745=ORIENTED_EDGE('',*,*,#71744,.T.); +#71747=ORIENTED_EDGE('',*,*,#71746,.T.); +#71748=EDGE_LOOP('',(#71735,#71737,#71739,#71741,#71743,#71745,#71747)); +#71749=FACE_BOUND('',#71748,.F.); +#71751=ORIENTED_EDGE('',*,*,#71750,.T.); +#71753=ORIENTED_EDGE('',*,*,#71752,.T.); +#71755=ORIENTED_EDGE('',*,*,#71754,.T.); +#71757=ORIENTED_EDGE('',*,*,#71756,.T.); +#71759=ORIENTED_EDGE('',*,*,#71758,.T.); +#71761=ORIENTED_EDGE('',*,*,#71760,.T.); +#71763=ORIENTED_EDGE('',*,*,#71762,.T.); +#71765=ORIENTED_EDGE('',*,*,#71764,.T.); +#71767=ORIENTED_EDGE('',*,*,#71766,.T.); +#71769=ORIENTED_EDGE('',*,*,#71768,.T.); +#71771=ORIENTED_EDGE('',*,*,#71770,.T.); +#71773=ORIENTED_EDGE('',*,*,#71772,.T.); +#71775=ORIENTED_EDGE('',*,*,#71774,.T.); +#71777=ORIENTED_EDGE('',*,*,#71776,.T.); +#71779=ORIENTED_EDGE('',*,*,#71778,.T.); +#71781=ORIENTED_EDGE('',*,*,#71780,.T.); +#71783=ORIENTED_EDGE('',*,*,#71782,.T.); +#71785=ORIENTED_EDGE('',*,*,#71784,.T.); +#71787=ORIENTED_EDGE('',*,*,#71786,.T.); +#71789=ORIENTED_EDGE('',*,*,#71788,.T.); +#71791=ORIENTED_EDGE('',*,*,#71790,.T.); +#71793=ORIENTED_EDGE('',*,*,#71792,.T.); +#71795=ORIENTED_EDGE('',*,*,#71794,.T.); +#71797=ORIENTED_EDGE('',*,*,#71796,.T.); +#71799=ORIENTED_EDGE('',*,*,#71798,.T.); +#71801=ORIENTED_EDGE('',*,*,#71800,.T.); +#71803=ORIENTED_EDGE('',*,*,#71802,.T.); +#71805=ORIENTED_EDGE('',*,*,#71804,.T.); +#71807=ORIENTED_EDGE('',*,*,#71806,.T.); +#71809=ORIENTED_EDGE('',*,*,#71808,.T.); +#71811=ORIENTED_EDGE('',*,*,#71810,.T.); +#71813=ORIENTED_EDGE('',*,*,#71812,.T.); +#71815=ORIENTED_EDGE('',*,*,#71814,.T.); +#71817=ORIENTED_EDGE('',*,*,#71816,.T.); +#71819=ORIENTED_EDGE('',*,*,#71818,.T.); +#71821=ORIENTED_EDGE('',*,*,#71820,.T.); +#71823=ORIENTED_EDGE('',*,*,#71822,.T.); +#71825=ORIENTED_EDGE('',*,*,#71824,.T.); +#71827=ORIENTED_EDGE('',*,*,#71826,.T.); +#71829=ORIENTED_EDGE('',*,*,#71828,.T.); +#71830=EDGE_LOOP('',(#71751,#71753,#71755,#71757,#71759,#71761,#71763,#71765, +#71767,#71769,#71771,#71773,#71775,#71777,#71779,#71781,#71783,#71785,#71787, +#71789,#71791,#71793,#71795,#71797,#71799,#71801,#71803,#71805,#71807,#71809, +#71811,#71813,#71815,#71817,#71819,#71821,#71823,#71825,#71827,#71829)); +#71831=FACE_BOUND('',#71830,.F.); +#71833=ORIENTED_EDGE('',*,*,#71832,.T.); +#71835=ORIENTED_EDGE('',*,*,#71834,.T.); +#71837=ORIENTED_EDGE('',*,*,#71836,.T.); +#71839=ORIENTED_EDGE('',*,*,#71838,.T.); +#71841=ORIENTED_EDGE('',*,*,#71840,.T.); +#71843=ORIENTED_EDGE('',*,*,#71842,.T.); +#71845=ORIENTED_EDGE('',*,*,#71844,.T.); +#71847=ORIENTED_EDGE('',*,*,#71846,.T.); +#71849=ORIENTED_EDGE('',*,*,#71848,.T.); +#71851=ORIENTED_EDGE('',*,*,#71850,.T.); +#71853=ORIENTED_EDGE('',*,*,#71852,.T.); +#71855=ORIENTED_EDGE('',*,*,#71854,.T.); +#71857=ORIENTED_EDGE('',*,*,#71856,.T.); +#71859=ORIENTED_EDGE('',*,*,#71858,.T.); +#71861=ORIENTED_EDGE('',*,*,#71860,.T.); +#71863=ORIENTED_EDGE('',*,*,#71862,.T.); +#71865=ORIENTED_EDGE('',*,*,#71864,.T.); +#71867=ORIENTED_EDGE('',*,*,#71866,.T.); +#71869=ORIENTED_EDGE('',*,*,#71868,.T.); +#71871=ORIENTED_EDGE('',*,*,#71870,.T.); +#71873=ORIENTED_EDGE('',*,*,#71872,.T.); +#71875=ORIENTED_EDGE('',*,*,#71874,.T.); +#71877=ORIENTED_EDGE('',*,*,#71876,.T.); +#71879=ORIENTED_EDGE('',*,*,#71878,.T.); +#71881=ORIENTED_EDGE('',*,*,#71880,.T.); +#71883=ORIENTED_EDGE('',*,*,#71882,.T.); +#71885=ORIENTED_EDGE('',*,*,#71884,.T.); +#71887=ORIENTED_EDGE('',*,*,#71886,.T.); +#71888=EDGE_LOOP('',(#71833,#71835,#71837,#71839,#71841,#71843,#71845,#71847, +#71849,#71851,#71853,#71855,#71857,#71859,#71861,#71863,#71865,#71867,#71869, +#71871,#71873,#71875,#71877,#71879,#71881,#71883,#71885,#71887)); +#71889=FACE_BOUND('',#71888,.F.); +#71891=ORIENTED_EDGE('',*,*,#71890,.T.); +#71893=ORIENTED_EDGE('',*,*,#71892,.T.); +#71895=ORIENTED_EDGE('',*,*,#71894,.T.); +#71897=ORIENTED_EDGE('',*,*,#71896,.T.); +#71899=ORIENTED_EDGE('',*,*,#71898,.T.); +#71901=ORIENTED_EDGE('',*,*,#71900,.T.); +#71903=ORIENTED_EDGE('',*,*,#71902,.T.); +#71904=EDGE_LOOP('',(#71891,#71893,#71895,#71897,#71899,#71901,#71903)); +#71905=FACE_BOUND('',#71904,.F.); +#71907=ORIENTED_EDGE('',*,*,#71906,.T.); +#71909=ORIENTED_EDGE('',*,*,#71908,.T.); +#71911=ORIENTED_EDGE('',*,*,#71910,.T.); +#71913=ORIENTED_EDGE('',*,*,#71912,.T.); +#71915=ORIENTED_EDGE('',*,*,#71914,.T.); +#71917=ORIENTED_EDGE('',*,*,#71916,.T.); +#71919=ORIENTED_EDGE('',*,*,#71918,.T.); +#71920=EDGE_LOOP('',(#71907,#71909,#71911,#71913,#71915,#71917,#71919)); +#71921=FACE_BOUND('',#71920,.F.); +#71923=ORIENTED_EDGE('',*,*,#71922,.T.); +#71925=ORIENTED_EDGE('',*,*,#71924,.T.); +#71927=ORIENTED_EDGE('',*,*,#71926,.T.); +#71929=ORIENTED_EDGE('',*,*,#71928,.T.); +#71931=ORIENTED_EDGE('',*,*,#71930,.T.); +#71933=ORIENTED_EDGE('',*,*,#71932,.T.); +#71935=ORIENTED_EDGE('',*,*,#71934,.T.); +#71937=ORIENTED_EDGE('',*,*,#71936,.T.); +#71939=ORIENTED_EDGE('',*,*,#71938,.T.); +#71941=ORIENTED_EDGE('',*,*,#71940,.T.); +#71943=ORIENTED_EDGE('',*,*,#71942,.T.); +#71944=EDGE_LOOP('',(#71923,#71925,#71927,#71929,#71931,#71933,#71935,#71937, +#71939,#71941,#71943)); +#71945=FACE_BOUND('',#71944,.F.); +#71947=ORIENTED_EDGE('',*,*,#71946,.T.); +#71949=ORIENTED_EDGE('',*,*,#71948,.T.); +#71951=ORIENTED_EDGE('',*,*,#71950,.T.); +#71953=ORIENTED_EDGE('',*,*,#71952,.T.); +#71955=ORIENTED_EDGE('',*,*,#71954,.T.); +#71957=ORIENTED_EDGE('',*,*,#71956,.T.); +#71959=ORIENTED_EDGE('',*,*,#71958,.T.); +#71961=ORIENTED_EDGE('',*,*,#71960,.T.); +#71963=ORIENTED_EDGE('',*,*,#71962,.T.); +#71965=ORIENTED_EDGE('',*,*,#71964,.T.); +#71967=ORIENTED_EDGE('',*,*,#71966,.T.); +#71969=ORIENTED_EDGE('',*,*,#71968,.T.); +#71971=ORIENTED_EDGE('',*,*,#71970,.T.); +#71973=ORIENTED_EDGE('',*,*,#71972,.T.); +#71975=ORIENTED_EDGE('',*,*,#71974,.T.); +#71977=ORIENTED_EDGE('',*,*,#71976,.T.); +#71979=ORIENTED_EDGE('',*,*,#71978,.T.); +#71981=ORIENTED_EDGE('',*,*,#71980,.T.); +#71983=ORIENTED_EDGE('',*,*,#71982,.T.); +#71985=ORIENTED_EDGE('',*,*,#71984,.T.); +#71987=ORIENTED_EDGE('',*,*,#71986,.T.); +#71989=ORIENTED_EDGE('',*,*,#71988,.T.); +#71991=ORIENTED_EDGE('',*,*,#71990,.T.); +#71993=ORIENTED_EDGE('',*,*,#71992,.T.); +#71995=ORIENTED_EDGE('',*,*,#71994,.T.); +#71997=ORIENTED_EDGE('',*,*,#71996,.T.); +#71999=ORIENTED_EDGE('',*,*,#71998,.T.); +#72001=ORIENTED_EDGE('',*,*,#72000,.T.); +#72002=EDGE_LOOP('',(#71947,#71949,#71951,#71953,#71955,#71957,#71959,#71961, +#71963,#71965,#71967,#71969,#71971,#71973,#71975,#71977,#71979,#71981,#71983, +#71985,#71987,#71989,#71991,#71993,#71995,#71997,#71999,#72001)); +#72003=FACE_BOUND('',#72002,.F.); +#72005=ORIENTED_EDGE('',*,*,#72004,.T.); +#72007=ORIENTED_EDGE('',*,*,#72006,.T.); +#72009=ORIENTED_EDGE('',*,*,#72008,.T.); +#72011=ORIENTED_EDGE('',*,*,#72010,.T.); +#72013=ORIENTED_EDGE('',*,*,#72012,.T.); +#72015=ORIENTED_EDGE('',*,*,#72014,.T.); +#72017=ORIENTED_EDGE('',*,*,#72016,.T.); +#72019=ORIENTED_EDGE('',*,*,#72018,.T.); +#72021=ORIENTED_EDGE('',*,*,#72020,.T.); +#72023=ORIENTED_EDGE('',*,*,#72022,.T.); +#72025=ORIENTED_EDGE('',*,*,#72024,.T.); +#72027=ORIENTED_EDGE('',*,*,#72026,.T.); +#72029=ORIENTED_EDGE('',*,*,#72028,.T.); +#72031=ORIENTED_EDGE('',*,*,#72030,.T.); +#72033=ORIENTED_EDGE('',*,*,#72032,.T.); +#72035=ORIENTED_EDGE('',*,*,#72034,.T.); +#72037=ORIENTED_EDGE('',*,*,#72036,.T.); +#72039=ORIENTED_EDGE('',*,*,#72038,.T.); +#72041=ORIENTED_EDGE('',*,*,#72040,.T.); +#72043=ORIENTED_EDGE('',*,*,#72042,.T.); +#72045=ORIENTED_EDGE('',*,*,#72044,.T.); +#72047=ORIENTED_EDGE('',*,*,#72046,.T.); +#72049=ORIENTED_EDGE('',*,*,#72048,.T.); +#72051=ORIENTED_EDGE('',*,*,#72050,.T.); +#72053=ORIENTED_EDGE('',*,*,#72052,.T.); +#72055=ORIENTED_EDGE('',*,*,#72054,.T.); +#72057=ORIENTED_EDGE('',*,*,#72056,.T.); +#72059=ORIENTED_EDGE('',*,*,#72058,.T.); +#72061=ORIENTED_EDGE('',*,*,#72060,.T.); +#72063=ORIENTED_EDGE('',*,*,#72062,.T.); +#72065=ORIENTED_EDGE('',*,*,#72064,.T.); +#72067=ORIENTED_EDGE('',*,*,#72066,.T.); +#72069=ORIENTED_EDGE('',*,*,#72068,.T.); +#72071=ORIENTED_EDGE('',*,*,#72070,.T.); +#72073=ORIENTED_EDGE('',*,*,#72072,.T.); +#72075=ORIENTED_EDGE('',*,*,#72074,.T.); +#72077=ORIENTED_EDGE('',*,*,#72076,.T.); +#72079=ORIENTED_EDGE('',*,*,#72078,.T.); +#72081=ORIENTED_EDGE('',*,*,#72080,.T.); +#72083=ORIENTED_EDGE('',*,*,#72082,.T.); +#72084=EDGE_LOOP('',(#72005,#72007,#72009,#72011,#72013,#72015,#72017,#72019, +#72021,#72023,#72025,#72027,#72029,#72031,#72033,#72035,#72037,#72039,#72041, +#72043,#72045,#72047,#72049,#72051,#72053,#72055,#72057,#72059,#72061,#72063, +#72065,#72067,#72069,#72071,#72073,#72075,#72077,#72079,#72081,#72083)); +#72085=FACE_BOUND('',#72084,.F.); +#72087=ORIENTED_EDGE('',*,*,#72086,.T.); +#72089=ORIENTED_EDGE('',*,*,#72088,.T.); +#72091=ORIENTED_EDGE('',*,*,#72090,.T.); +#72093=ORIENTED_EDGE('',*,*,#72092,.T.); +#72094=EDGE_LOOP('',(#72087,#72089,#72091,#72093)); +#72095=FACE_BOUND('',#72094,.F.); +#72097=ORIENTED_EDGE('',*,*,#72096,.T.); +#72099=ORIENTED_EDGE('',*,*,#72098,.T.); +#72101=ORIENTED_EDGE('',*,*,#72100,.T.); +#72103=ORIENTED_EDGE('',*,*,#72102,.T.); +#72104=EDGE_LOOP('',(#72097,#72099,#72101,#72103)); +#72105=FACE_BOUND('',#72104,.F.); +#72107=ORIENTED_EDGE('',*,*,#72106,.T.); +#72109=ORIENTED_EDGE('',*,*,#72108,.T.); +#72111=ORIENTED_EDGE('',*,*,#72110,.T.); +#72113=ORIENTED_EDGE('',*,*,#72112,.T.); +#72114=EDGE_LOOP('',(#72107,#72109,#72111,#72113)); +#72115=FACE_BOUND('',#72114,.F.); +#72117=ORIENTED_EDGE('',*,*,#72116,.T.); +#72119=ORIENTED_EDGE('',*,*,#72118,.T.); +#72121=ORIENTED_EDGE('',*,*,#72120,.T.); +#72123=ORIENTED_EDGE('',*,*,#72122,.T.); +#72124=EDGE_LOOP('',(#72117,#72119,#72121,#72123)); +#72125=FACE_BOUND('',#72124,.F.); +#72126=ORIENTED_EDGE('',*,*,#65978,.T.); +#72128=ORIENTED_EDGE('',*,*,#72127,.T.); +#72129=ORIENTED_EDGE('',*,*,#71107,.F.); +#72131=ORIENTED_EDGE('',*,*,#72130,.F.); +#72132=ORIENTED_EDGE('',*,*,#70774,.T.); +#72134=ORIENTED_EDGE('',*,*,#72133,.T.); +#72135=ORIENTED_EDGE('',*,*,#70698,.F.); +#72137=ORIENTED_EDGE('',*,*,#72136,.F.); +#72138=ORIENTED_EDGE('',*,*,#70365,.T.); +#72140=ORIENTED_EDGE('',*,*,#72139,.T.); +#72141=ORIENTED_EDGE('',*,*,#70289,.F.); +#72143=ORIENTED_EDGE('',*,*,#72142,.F.); +#72144=ORIENTED_EDGE('',*,*,#69956,.T.); +#72146=ORIENTED_EDGE('',*,*,#72145,.T.); +#72147=ORIENTED_EDGE('',*,*,#69880,.F.); +#72149=ORIENTED_EDGE('',*,*,#72148,.F.); +#72150=ORIENTED_EDGE('',*,*,#69547,.T.); +#72152=ORIENTED_EDGE('',*,*,#72151,.T.); +#72153=ORIENTED_EDGE('',*,*,#69471,.F.); +#72155=ORIENTED_EDGE('',*,*,#72154,.F.); +#72156=ORIENTED_EDGE('',*,*,#69138,.T.); +#72158=ORIENTED_EDGE('',*,*,#72157,.T.); +#72159=ORIENTED_EDGE('',*,*,#69062,.F.); +#72161=ORIENTED_EDGE('',*,*,#72160,.F.); +#72162=ORIENTED_EDGE('',*,*,#68729,.T.); +#72164=ORIENTED_EDGE('',*,*,#72163,.T.); +#72165=ORIENTED_EDGE('',*,*,#68653,.F.); +#72167=ORIENTED_EDGE('',*,*,#72166,.F.); +#72168=ORIENTED_EDGE('',*,*,#68320,.T.); +#72170=ORIENTED_EDGE('',*,*,#72169,.T.); +#72171=ORIENTED_EDGE('',*,*,#68244,.F.); +#72173=ORIENTED_EDGE('',*,*,#72172,.F.); +#72174=ORIENTED_EDGE('',*,*,#67911,.T.); +#72176=ORIENTED_EDGE('',*,*,#72175,.T.); +#72177=ORIENTED_EDGE('',*,*,#67835,.F.); +#72179=ORIENTED_EDGE('',*,*,#72178,.F.); +#72180=ORIENTED_EDGE('',*,*,#67502,.T.); +#72182=ORIENTED_EDGE('',*,*,#72181,.T.); +#72183=ORIENTED_EDGE('',*,*,#67426,.F.); +#72185=ORIENTED_EDGE('',*,*,#72184,.F.); +#72186=ORIENTED_EDGE('',*,*,#67093,.T.); +#72188=ORIENTED_EDGE('',*,*,#72187,.T.); +#72189=ORIENTED_EDGE('',*,*,#67017,.F.); +#72191=ORIENTED_EDGE('',*,*,#72190,.F.); +#72192=ORIENTED_EDGE('',*,*,#66684,.T.); +#72194=ORIENTED_EDGE('',*,*,#72193,.T.); +#72196=ORIENTED_EDGE('',*,*,#72195,.F.); +#72198=ORIENTED_EDGE('',*,*,#72197,.T.); +#72200=ORIENTED_EDGE('',*,*,#72199,.F.); +#72202=ORIENTED_EDGE('',*,*,#72201,.T.); +#72204=ORIENTED_EDGE('',*,*,#72203,.F.); +#72206=ORIENTED_EDGE('',*,*,#72205,.T.); +#72208=ORIENTED_EDGE('',*,*,#72207,.F.); +#72210=ORIENTED_EDGE('',*,*,#72209,.T.); +#72212=ORIENTED_EDGE('',*,*,#72211,.F.); +#72214=ORIENTED_EDGE('',*,*,#72213,.T.); +#72216=ORIENTED_EDGE('',*,*,#72215,.F.); +#72218=ORIENTED_EDGE('',*,*,#72217,.T.); +#72220=ORIENTED_EDGE('',*,*,#72219,.F.); +#72222=ORIENTED_EDGE('',*,*,#72221,.T.); +#72224=ORIENTED_EDGE('',*,*,#72223,.F.); +#72226=ORIENTED_EDGE('',*,*,#72225,.T.); +#72228=ORIENTED_EDGE('',*,*,#72227,.F.); +#72230=ORIENTED_EDGE('',*,*,#72229,.T.); +#72232=ORIENTED_EDGE('',*,*,#72231,.F.); +#72234=ORIENTED_EDGE('',*,*,#72233,.T.); +#72236=ORIENTED_EDGE('',*,*,#72235,.F.); +#72238=ORIENTED_EDGE('',*,*,#72237,.T.); +#72240=ORIENTED_EDGE('',*,*,#72239,.F.); +#72242=ORIENTED_EDGE('',*,*,#72241,.T.); +#72244=ORIENTED_EDGE('',*,*,#72243,.F.); +#72246=ORIENTED_EDGE('',*,*,#72245,.T.); +#72248=ORIENTED_EDGE('',*,*,#72247,.F.); +#72250=ORIENTED_EDGE('',*,*,#72249,.T.); +#72252=ORIENTED_EDGE('',*,*,#72251,.F.); +#72254=ORIENTED_EDGE('',*,*,#72253,.T.); +#72256=ORIENTED_EDGE('',*,*,#72255,.F.); +#72258=ORIENTED_EDGE('',*,*,#72257,.T.); +#72260=ORIENTED_EDGE('',*,*,#72259,.F.); +#72262=ORIENTED_EDGE('',*,*,#72261,.T.); +#72264=ORIENTED_EDGE('',*,*,#72263,.F.); +#72266=ORIENTED_EDGE('',*,*,#72265,.T.); +#72268=ORIENTED_EDGE('',*,*,#72267,.F.); +#72270=ORIENTED_EDGE('',*,*,#72269,.T.); +#72272=ORIENTED_EDGE('',*,*,#72271,.F.); +#72274=ORIENTED_EDGE('',*,*,#72273,.T.); +#72276=ORIENTED_EDGE('',*,*,#72275,.F.); +#72278=ORIENTED_EDGE('',*,*,#72277,.T.); +#72280=ORIENTED_EDGE('',*,*,#72279,.F.); +#72282=ORIENTED_EDGE('',*,*,#72281,.T.); +#72284=ORIENTED_EDGE('',*,*,#72283,.F.); +#72286=ORIENTED_EDGE('',*,*,#72285,.T.); +#72288=ORIENTED_EDGE('',*,*,#72287,.F.); +#72290=ORIENTED_EDGE('',*,*,#72289,.T.); +#72291=ORIENTED_EDGE('',*,*,#66310,.F.); +#72293=ORIENTED_EDGE('',*,*,#72292,.F.); +#72294=EDGE_LOOP('',(#72126,#72128,#72129,#72131,#72132,#72134,#72135,#72137, +#72138,#72140,#72141,#72143,#72144,#72146,#72147,#72149,#72150,#72152,#72153, +#72155,#72156,#72158,#72159,#72161,#72162,#72164,#72165,#72167,#72168,#72170, +#72171,#72173,#72174,#72176,#72177,#72179,#72180,#72182,#72183,#72185,#72186, +#72188,#72189,#72191,#72192,#72194,#72196,#72198,#72200,#72202,#72204,#72206, +#72208,#72210,#72212,#72214,#72216,#72218,#72220,#72222,#72224,#72226,#72228, +#72230,#72232,#72234,#72236,#72238,#72240,#72242,#72244,#72246,#72248,#72250, +#72252,#72254,#72256,#72258,#72260,#72262,#72264,#72266,#72268,#72270,#72272, +#72274,#72276,#72278,#72280,#72282,#72284,#72286,#72288,#72290,#72291,#72293)); +#72295=FACE_BOUND('',#72294,.F.); +#72297=CARTESIAN_POINT('',(0.E0,0.E0,-1.995E1)); +#72298=DIRECTION('',(0.E0,0.E0,1.E0)); +#72299=DIRECTION('',(1.E0,0.E0,0.E0)); +#72300=AXIS2_PLACEMENT_3D('',#72297,#72298,#72299); +#72301=PLANE('',#72300); +#72302=ORIENTED_EDGE('',*,*,#66646,.T.); +#72304=ORIENTED_EDGE('',*,*,#72303,.F.); +#72306=ORIENTED_EDGE('',*,*,#72305,.F.); +#72307=ORIENTED_EDGE('',*,*,#64614,.F.); +#72309=ORIENTED_EDGE('',*,*,#72308,.F.); +#72311=ORIENTED_EDGE('',*,*,#72310,.F.); +#72312=ORIENTED_EDGE('',*,*,#66538,.T.); +#72314=ORIENTED_EDGE('',*,*,#72313,.F.); +#72316=ORIENTED_EDGE('',*,*,#72315,.T.); +#72318=ORIENTED_EDGE('',*,*,#72317,.F.); +#72320=ORIENTED_EDGE('',*,*,#72319,.T.); +#72322=ORIENTED_EDGE('',*,*,#72321,.F.); +#72324=ORIENTED_EDGE('',*,*,#72323,.T.); +#72326=ORIENTED_EDGE('',*,*,#72325,.F.); +#72328=ORIENTED_EDGE('',*,*,#72327,.T.); +#72330=ORIENTED_EDGE('',*,*,#72329,.F.); +#72332=ORIENTED_EDGE('',*,*,#72331,.T.); +#72334=ORIENTED_EDGE('',*,*,#72333,.F.); +#72336=ORIENTED_EDGE('',*,*,#72335,.T.); +#72338=ORIENTED_EDGE('',*,*,#72337,.F.); +#72340=ORIENTED_EDGE('',*,*,#72339,.T.); +#72342=ORIENTED_EDGE('',*,*,#72341,.F.); +#72344=ORIENTED_EDGE('',*,*,#72343,.T.); +#72346=ORIENTED_EDGE('',*,*,#72345,.F.); +#72348=ORIENTED_EDGE('',*,*,#72347,.T.); +#72350=ORIENTED_EDGE('',*,*,#72349,.F.); +#72352=ORIENTED_EDGE('',*,*,#72351,.T.); +#72354=ORIENTED_EDGE('',*,*,#72353,.F.); +#72356=ORIENTED_EDGE('',*,*,#72355,.T.); +#72358=ORIENTED_EDGE('',*,*,#72357,.F.); +#72360=ORIENTED_EDGE('',*,*,#72359,.T.); +#72362=ORIENTED_EDGE('',*,*,#72361,.F.); +#72364=ORIENTED_EDGE('',*,*,#72363,.T.); +#72366=ORIENTED_EDGE('',*,*,#72365,.F.); +#72368=ORIENTED_EDGE('',*,*,#72367,.T.); +#72369=EDGE_LOOP('',(#72302,#72304,#72306,#72307,#72309,#72311,#72312,#72314, +#72316,#72318,#72320,#72322,#72324,#72326,#72328,#72330,#72332,#72334,#72336, +#72338,#72340,#72342,#72344,#72346,#72348,#72350,#72352,#72354,#72356,#72358, +#72360,#72362,#72364,#72366,#72368)); +#72370=FACE_OUTER_BOUND('',#72369,.F.); +#72372=ORIENTED_EDGE('',*,*,#72371,.T.); +#72374=ORIENTED_EDGE('',*,*,#72373,.F.); +#72376=ORIENTED_EDGE('',*,*,#72375,.T.); +#72378=ORIENTED_EDGE('',*,*,#72377,.F.); +#72380=ORIENTED_EDGE('',*,*,#72379,.T.); +#72382=ORIENTED_EDGE('',*,*,#72381,.F.); +#72384=ORIENTED_EDGE('',*,*,#72383,.T.); +#72386=ORIENTED_EDGE('',*,*,#72385,.F.); +#72387=EDGE_LOOP('',(#72372,#72374,#72376,#72378,#72380,#72382,#72384,#72386)); +#72388=FACE_BOUND('',#72387,.F.); +#72390=ORIENTED_EDGE('',*,*,#72389,.T.); +#72392=ORIENTED_EDGE('',*,*,#72391,.F.); +#72394=ORIENTED_EDGE('',*,*,#72393,.T.); +#72396=ORIENTED_EDGE('',*,*,#72395,.F.); +#72398=ORIENTED_EDGE('',*,*,#72397,.T.); +#72400=ORIENTED_EDGE('',*,*,#72399,.F.); +#72402=ORIENTED_EDGE('',*,*,#72401,.T.); +#72404=ORIENTED_EDGE('',*,*,#72403,.F.); +#72405=EDGE_LOOP('',(#72390,#72392,#72394,#72396,#72398,#72400,#72402,#72404)); +#72406=FACE_BOUND('',#72405,.F.); +#72408=ORIENTED_EDGE('',*,*,#72407,.T.); +#72410=ORIENTED_EDGE('',*,*,#72409,.F.); +#72412=ORIENTED_EDGE('',*,*,#72411,.T.); +#72414=ORIENTED_EDGE('',*,*,#72413,.F.); +#72416=ORIENTED_EDGE('',*,*,#72415,.T.); +#72418=ORIENTED_EDGE('',*,*,#72417,.F.); +#72420=ORIENTED_EDGE('',*,*,#72419,.T.); +#72422=ORIENTED_EDGE('',*,*,#72421,.F.); +#72423=EDGE_LOOP('',(#72408,#72410,#72412,#72414,#72416,#72418,#72420,#72422)); +#72424=FACE_BOUND('',#72423,.F.); +#72426=ORIENTED_EDGE('',*,*,#72425,.T.); +#72428=ORIENTED_EDGE('',*,*,#72427,.T.); +#72430=ORIENTED_EDGE('',*,*,#72429,.T.); +#72432=ORIENTED_EDGE('',*,*,#72431,.T.); +#72434=ORIENTED_EDGE('',*,*,#72433,.T.); +#72436=ORIENTED_EDGE('',*,*,#72435,.T.); +#72438=ORIENTED_EDGE('',*,*,#72437,.T.); +#72440=ORIENTED_EDGE('',*,*,#72439,.T.); +#72442=ORIENTED_EDGE('',*,*,#72441,.T.); +#72444=ORIENTED_EDGE('',*,*,#72443,.T.); +#72446=ORIENTED_EDGE('',*,*,#72445,.T.); +#72448=ORIENTED_EDGE('',*,*,#72447,.T.); +#72450=ORIENTED_EDGE('',*,*,#72449,.T.); +#72452=ORIENTED_EDGE('',*,*,#72451,.T.); +#72454=ORIENTED_EDGE('',*,*,#72453,.T.); +#72456=ORIENTED_EDGE('',*,*,#72455,.T.); +#72458=ORIENTED_EDGE('',*,*,#72457,.T.); +#72460=ORIENTED_EDGE('',*,*,#72459,.T.); +#72462=ORIENTED_EDGE('',*,*,#72461,.T.); +#72464=ORIENTED_EDGE('',*,*,#72463,.T.); +#72466=ORIENTED_EDGE('',*,*,#72465,.T.); +#72468=ORIENTED_EDGE('',*,*,#72467,.T.); +#72470=ORIENTED_EDGE('',*,*,#72469,.T.); +#72472=ORIENTED_EDGE('',*,*,#72471,.T.); +#72474=ORIENTED_EDGE('',*,*,#72473,.T.); +#72476=ORIENTED_EDGE('',*,*,#72475,.T.); +#72478=ORIENTED_EDGE('',*,*,#72477,.T.); +#72480=ORIENTED_EDGE('',*,*,#72479,.T.); +#72482=ORIENTED_EDGE('',*,*,#72481,.T.); +#72484=ORIENTED_EDGE('',*,*,#72483,.T.); +#72486=ORIENTED_EDGE('',*,*,#72485,.T.); +#72488=ORIENTED_EDGE('',*,*,#72487,.T.); +#72490=ORIENTED_EDGE('',*,*,#72489,.T.); +#72492=ORIENTED_EDGE('',*,*,#72491,.T.); +#72494=ORIENTED_EDGE('',*,*,#72493,.T.); +#72496=ORIENTED_EDGE('',*,*,#72495,.T.); +#72498=ORIENTED_EDGE('',*,*,#72497,.T.); +#72500=ORIENTED_EDGE('',*,*,#72499,.T.); +#72502=ORIENTED_EDGE('',*,*,#72501,.T.); +#72504=ORIENTED_EDGE('',*,*,#72503,.T.); +#72505=EDGE_LOOP('',(#72426,#72428,#72430,#72432,#72434,#72436,#72438,#72440, +#72442,#72444,#72446,#72448,#72450,#72452,#72454,#72456,#72458,#72460,#72462, +#72464,#72466,#72468,#72470,#72472,#72474,#72476,#72478,#72480,#72482,#72484, +#72486,#72488,#72490,#72492,#72494,#72496,#72498,#72500,#72502,#72504)); +#72506=FACE_BOUND('',#72505,.F.); +#72508=ORIENTED_EDGE('',*,*,#72507,.T.); +#72510=ORIENTED_EDGE('',*,*,#72509,.T.); +#72512=ORIENTED_EDGE('',*,*,#72511,.T.); +#72514=ORIENTED_EDGE('',*,*,#72513,.T.); +#72516=ORIENTED_EDGE('',*,*,#72515,.T.); +#72518=ORIENTED_EDGE('',*,*,#72517,.T.); +#72520=ORIENTED_EDGE('',*,*,#72519,.T.); +#72522=ORIENTED_EDGE('',*,*,#72521,.T.); +#72524=ORIENTED_EDGE('',*,*,#72523,.T.); +#72526=ORIENTED_EDGE('',*,*,#72525,.T.); +#72528=ORIENTED_EDGE('',*,*,#72527,.T.); +#72530=ORIENTED_EDGE('',*,*,#72529,.T.); +#72532=ORIENTED_EDGE('',*,*,#72531,.T.); +#72534=ORIENTED_EDGE('',*,*,#72533,.T.); +#72536=ORIENTED_EDGE('',*,*,#72535,.T.); +#72538=ORIENTED_EDGE('',*,*,#72537,.T.); +#72540=ORIENTED_EDGE('',*,*,#72539,.T.); +#72542=ORIENTED_EDGE('',*,*,#72541,.T.); +#72544=ORIENTED_EDGE('',*,*,#72543,.T.); +#72546=ORIENTED_EDGE('',*,*,#72545,.T.); +#72548=ORIENTED_EDGE('',*,*,#72547,.T.); +#72550=ORIENTED_EDGE('',*,*,#72549,.T.); +#72552=ORIENTED_EDGE('',*,*,#72551,.T.); +#72554=ORIENTED_EDGE('',*,*,#72553,.T.); +#72556=ORIENTED_EDGE('',*,*,#72555,.T.); +#72558=ORIENTED_EDGE('',*,*,#72557,.T.); +#72560=ORIENTED_EDGE('',*,*,#72559,.T.); +#72562=ORIENTED_EDGE('',*,*,#72561,.T.); +#72564=ORIENTED_EDGE('',*,*,#72563,.T.); +#72566=ORIENTED_EDGE('',*,*,#72565,.T.); +#72568=ORIENTED_EDGE('',*,*,#72567,.T.); +#72570=ORIENTED_EDGE('',*,*,#72569,.T.); +#72572=ORIENTED_EDGE('',*,*,#72571,.T.); +#72574=ORIENTED_EDGE('',*,*,#72573,.T.); +#72576=ORIENTED_EDGE('',*,*,#72575,.T.); +#72578=ORIENTED_EDGE('',*,*,#72577,.T.); +#72580=ORIENTED_EDGE('',*,*,#72579,.T.); +#72582=ORIENTED_EDGE('',*,*,#72581,.T.); +#72584=ORIENTED_EDGE('',*,*,#72583,.T.); +#72586=ORIENTED_EDGE('',*,*,#72585,.T.); +#72588=ORIENTED_EDGE('',*,*,#72587,.T.); +#72590=ORIENTED_EDGE('',*,*,#72589,.T.); +#72592=ORIENTED_EDGE('',*,*,#72591,.T.); +#72594=ORIENTED_EDGE('',*,*,#72593,.T.); +#72596=ORIENTED_EDGE('',*,*,#72595,.T.); +#72598=ORIENTED_EDGE('',*,*,#72597,.T.); +#72600=ORIENTED_EDGE('',*,*,#72599,.T.); +#72602=ORIENTED_EDGE('',*,*,#72601,.T.); +#72604=ORIENTED_EDGE('',*,*,#72603,.T.); +#72606=ORIENTED_EDGE('',*,*,#72605,.T.); +#72608=ORIENTED_EDGE('',*,*,#72607,.T.); +#72610=ORIENTED_EDGE('',*,*,#72609,.T.); +#72612=ORIENTED_EDGE('',*,*,#72611,.T.); +#72614=ORIENTED_EDGE('',*,*,#72613,.T.); +#72616=ORIENTED_EDGE('',*,*,#72615,.T.); +#72618=ORIENTED_EDGE('',*,*,#72617,.T.); +#72620=ORIENTED_EDGE('',*,*,#72619,.T.); +#72621=EDGE_LOOP('',(#72508,#72510,#72512,#72514,#72516,#72518,#72520,#72522, +#72524,#72526,#72528,#72530,#72532,#72534,#72536,#72538,#72540,#72542,#72544, +#72546,#72548,#72550,#72552,#72554,#72556,#72558,#72560,#72562,#72564,#72566, +#72568,#72570,#72572,#72574,#72576,#72578,#72580,#72582,#72584,#72586,#72588, +#72590,#72592,#72594,#72596,#72598,#72600,#72602,#72604,#72606,#72608,#72610, +#72612,#72614,#72616,#72618,#72620)); +#72622=FACE_BOUND('',#72621,.F.); +#72624=ORIENTED_EDGE('',*,*,#72623,.T.); +#72626=ORIENTED_EDGE('',*,*,#72625,.T.); +#72628=ORIENTED_EDGE('',*,*,#72627,.T.); +#72630=ORIENTED_EDGE('',*,*,#72629,.T.); +#72632=ORIENTED_EDGE('',*,*,#72631,.T.); +#72634=ORIENTED_EDGE('',*,*,#72633,.T.); +#72636=ORIENTED_EDGE('',*,*,#72635,.T.); +#72638=ORIENTED_EDGE('',*,*,#72637,.T.); +#72640=ORIENTED_EDGE('',*,*,#72639,.T.); +#72642=ORIENTED_EDGE('',*,*,#72641,.T.); +#72644=ORIENTED_EDGE('',*,*,#72643,.T.); +#72646=ORIENTED_EDGE('',*,*,#72645,.T.); +#72648=ORIENTED_EDGE('',*,*,#72647,.T.); +#72650=ORIENTED_EDGE('',*,*,#72649,.T.); +#72652=ORIENTED_EDGE('',*,*,#72651,.T.); +#72654=ORIENTED_EDGE('',*,*,#72653,.T.); +#72656=ORIENTED_EDGE('',*,*,#72655,.T.); +#72658=ORIENTED_EDGE('',*,*,#72657,.T.); +#72660=ORIENTED_EDGE('',*,*,#72659,.T.); +#72662=ORIENTED_EDGE('',*,*,#72661,.T.); +#72664=ORIENTED_EDGE('',*,*,#72663,.T.); +#72666=ORIENTED_EDGE('',*,*,#72665,.T.); +#72668=ORIENTED_EDGE('',*,*,#72667,.T.); +#72670=ORIENTED_EDGE('',*,*,#72669,.T.); +#72672=ORIENTED_EDGE('',*,*,#72671,.T.); +#72674=ORIENTED_EDGE('',*,*,#72673,.T.); +#72676=ORIENTED_EDGE('',*,*,#72675,.T.); +#72678=ORIENTED_EDGE('',*,*,#72677,.T.); +#72680=ORIENTED_EDGE('',*,*,#72679,.T.); +#72682=ORIENTED_EDGE('',*,*,#72681,.T.); +#72684=ORIENTED_EDGE('',*,*,#72683,.T.); +#72686=ORIENTED_EDGE('',*,*,#72685,.T.); +#72688=ORIENTED_EDGE('',*,*,#72687,.T.); +#72690=ORIENTED_EDGE('',*,*,#72689,.T.); +#72692=ORIENTED_EDGE('',*,*,#72691,.T.); +#72694=ORIENTED_EDGE('',*,*,#72693,.T.); +#72696=ORIENTED_EDGE('',*,*,#72695,.T.); +#72698=ORIENTED_EDGE('',*,*,#72697,.T.); +#72700=ORIENTED_EDGE('',*,*,#72699,.T.); +#72702=ORIENTED_EDGE('',*,*,#72701,.T.); +#72703=EDGE_LOOP('',(#72624,#72626,#72628,#72630,#72632,#72634,#72636,#72638, +#72640,#72642,#72644,#72646,#72648,#72650,#72652,#72654,#72656,#72658,#72660, +#72662,#72664,#72666,#72668,#72670,#72672,#72674,#72676,#72678,#72680,#72682, +#72684,#72686,#72688,#72690,#72692,#72694,#72696,#72698,#72700,#72702)); +#72704=FACE_BOUND('',#72703,.F.); +#72706=ORIENTED_EDGE('',*,*,#72705,.T.); +#72708=ORIENTED_EDGE('',*,*,#72707,.T.); +#72710=ORIENTED_EDGE('',*,*,#72709,.T.); +#72712=ORIENTED_EDGE('',*,*,#72711,.T.); +#72714=ORIENTED_EDGE('',*,*,#72713,.T.); +#72716=ORIENTED_EDGE('',*,*,#72715,.T.); +#72718=ORIENTED_EDGE('',*,*,#72717,.T.); +#72720=ORIENTED_EDGE('',*,*,#72719,.T.); +#72722=ORIENTED_EDGE('',*,*,#72721,.T.); +#72724=ORIENTED_EDGE('',*,*,#72723,.T.); +#72726=ORIENTED_EDGE('',*,*,#72725,.T.); +#72728=ORIENTED_EDGE('',*,*,#72727,.T.); +#72730=ORIENTED_EDGE('',*,*,#72729,.T.); +#72732=ORIENTED_EDGE('',*,*,#72731,.T.); +#72734=ORIENTED_EDGE('',*,*,#72733,.T.); +#72736=ORIENTED_EDGE('',*,*,#72735,.T.); +#72738=ORIENTED_EDGE('',*,*,#72737,.T.); +#72740=ORIENTED_EDGE('',*,*,#72739,.T.); +#72742=ORIENTED_EDGE('',*,*,#72741,.T.); +#72744=ORIENTED_EDGE('',*,*,#72743,.T.); +#72746=ORIENTED_EDGE('',*,*,#72745,.T.); +#72748=ORIENTED_EDGE('',*,*,#72747,.T.); +#72750=ORIENTED_EDGE('',*,*,#72749,.T.); +#72752=ORIENTED_EDGE('',*,*,#72751,.T.); +#72754=ORIENTED_EDGE('',*,*,#72753,.T.); +#72756=ORIENTED_EDGE('',*,*,#72755,.T.); +#72758=ORIENTED_EDGE('',*,*,#72757,.T.); +#72760=ORIENTED_EDGE('',*,*,#72759,.T.); +#72762=ORIENTED_EDGE('',*,*,#72761,.T.); +#72764=ORIENTED_EDGE('',*,*,#72763,.T.); +#72766=ORIENTED_EDGE('',*,*,#72765,.T.); +#72768=ORIENTED_EDGE('',*,*,#72767,.T.); +#72770=ORIENTED_EDGE('',*,*,#72769,.T.); +#72772=ORIENTED_EDGE('',*,*,#72771,.T.); +#72774=ORIENTED_EDGE('',*,*,#72773,.T.); +#72776=ORIENTED_EDGE('',*,*,#72775,.T.); +#72778=ORIENTED_EDGE('',*,*,#72777,.T.); +#72780=ORIENTED_EDGE('',*,*,#72779,.T.); +#72782=ORIENTED_EDGE('',*,*,#72781,.T.); +#72784=ORIENTED_EDGE('',*,*,#72783,.T.); +#72785=EDGE_LOOP('',(#72706,#72708,#72710,#72712,#72714,#72716,#72718,#72720, +#72722,#72724,#72726,#72728,#72730,#72732,#72734,#72736,#72738,#72740,#72742, +#72744,#72746,#72748,#72750,#72752,#72754,#72756,#72758,#72760,#72762,#72764, +#72766,#72768,#72770,#72772,#72774,#72776,#72778,#72780,#72782,#72784)); +#72786=FACE_BOUND('',#72785,.F.); +#72788=ORIENTED_EDGE('',*,*,#72787,.T.); +#72790=ORIENTED_EDGE('',*,*,#72789,.F.); +#72792=ORIENTED_EDGE('',*,*,#72791,.T.); +#72794=ORIENTED_EDGE('',*,*,#72793,.F.); +#72795=EDGE_LOOP('',(#72788,#72790,#72792,#72794)); +#72796=FACE_BOUND('',#72795,.F.); +#72798=ORIENTED_EDGE('',*,*,#72797,.T.); +#72800=ORIENTED_EDGE('',*,*,#72799,.F.); +#72802=ORIENTED_EDGE('',*,*,#72801,.T.); +#72804=ORIENTED_EDGE('',*,*,#72803,.F.); +#72805=EDGE_LOOP('',(#72798,#72800,#72802,#72804)); +#72806=FACE_BOUND('',#72805,.F.); +#72808=ORIENTED_EDGE('',*,*,#72807,.T.); +#72810=ORIENTED_EDGE('',*,*,#72809,.F.); +#72812=ORIENTED_EDGE('',*,*,#72811,.T.); +#72814=ORIENTED_EDGE('',*,*,#72813,.F.); +#72815=EDGE_LOOP('',(#72808,#72810,#72812,#72814)); +#72816=FACE_BOUND('',#72815,.F.); +#72818=ORIENTED_EDGE('',*,*,#72817,.T.); +#72820=ORIENTED_EDGE('',*,*,#72819,.F.); +#72822=ORIENTED_EDGE('',*,*,#72821,.T.); +#72824=ORIENTED_EDGE('',*,*,#72823,.F.); +#72825=EDGE_LOOP('',(#72818,#72820,#72822,#72824)); +#72826=FACE_BOUND('',#72825,.F.); +#72828=ORIENTED_EDGE('',*,*,#72827,.T.); +#72830=ORIENTED_EDGE('',*,*,#72829,.F.); +#72832=ORIENTED_EDGE('',*,*,#72831,.T.); +#72834=ORIENTED_EDGE('',*,*,#72833,.F.); +#72835=EDGE_LOOP('',(#72828,#72830,#72832,#72834)); +#72836=FACE_BOUND('',#72835,.F.); +#72838=ORIENTED_EDGE('',*,*,#72837,.T.); +#72840=ORIENTED_EDGE('',*,*,#72839,.F.); +#72842=ORIENTED_EDGE('',*,*,#72841,.T.); +#72844=ORIENTED_EDGE('',*,*,#72843,.F.); +#72845=EDGE_LOOP('',(#72838,#72840,#72842,#72844)); +#72846=FACE_BOUND('',#72845,.F.); +#72848=ORIENTED_EDGE('',*,*,#72847,.T.); +#72850=ORIENTED_EDGE('',*,*,#72849,.F.); +#72852=ORIENTED_EDGE('',*,*,#72851,.T.); +#72854=ORIENTED_EDGE('',*,*,#72853,.F.); +#72855=EDGE_LOOP('',(#72848,#72850,#72852,#72854)); +#72856=FACE_BOUND('',#72855,.F.); +#72858=ORIENTED_EDGE('',*,*,#72857,.T.); +#72860=ORIENTED_EDGE('',*,*,#72859,.T.); +#72862=ORIENTED_EDGE('',*,*,#72861,.F.); +#72864=ORIENTED_EDGE('',*,*,#72863,.F.); +#72865=EDGE_LOOP('',(#72858,#72860,#72862,#72864)); +#72866=FACE_BOUND('',#72865,.F.); +#72868=ORIENTED_EDGE('',*,*,#72867,.T.); +#72870=ORIENTED_EDGE('',*,*,#72869,.T.); +#72872=ORIENTED_EDGE('',*,*,#72871,.F.); +#72874=ORIENTED_EDGE('',*,*,#72873,.F.); +#72875=EDGE_LOOP('',(#72868,#72870,#72872,#72874)); +#72876=FACE_BOUND('',#72875,.F.); +#72878=ORIENTED_EDGE('',*,*,#72877,.T.); +#72880=ORIENTED_EDGE('',*,*,#72879,.T.); +#72882=ORIENTED_EDGE('',*,*,#72881,.F.); +#72884=ORIENTED_EDGE('',*,*,#72883,.F.); +#72885=EDGE_LOOP('',(#72878,#72880,#72882,#72884)); +#72886=FACE_BOUND('',#72885,.F.); +#72888=ORIENTED_EDGE('',*,*,#72887,.T.); +#72890=ORIENTED_EDGE('',*,*,#72889,.T.); +#72892=ORIENTED_EDGE('',*,*,#72891,.F.); +#72894=ORIENTED_EDGE('',*,*,#72893,.F.); +#72895=EDGE_LOOP('',(#72888,#72890,#72892,#72894)); +#72896=FACE_BOUND('',#72895,.F.); +#72898=ORIENTED_EDGE('',*,*,#72897,.T.); +#72900=ORIENTED_EDGE('',*,*,#72899,.T.); +#72902=ORIENTED_EDGE('',*,*,#72901,.F.); +#72904=ORIENTED_EDGE('',*,*,#72903,.F.); +#72905=EDGE_LOOP('',(#72898,#72900,#72902,#72904)); +#72906=FACE_BOUND('',#72905,.F.); +#72908=ORIENTED_EDGE('',*,*,#72907,.T.); +#72910=ORIENTED_EDGE('',*,*,#72909,.T.); +#72912=ORIENTED_EDGE('',*,*,#72911,.F.); +#72914=ORIENTED_EDGE('',*,*,#72913,.F.); +#72915=EDGE_LOOP('',(#72908,#72910,#72912,#72914)); +#72916=FACE_BOUND('',#72915,.F.); +#72918=ORIENTED_EDGE('',*,*,#72917,.T.); +#72920=ORIENTED_EDGE('',*,*,#72919,.T.); +#72922=ORIENTED_EDGE('',*,*,#72921,.F.); +#72924=ORIENTED_EDGE('',*,*,#72923,.F.); +#72925=EDGE_LOOP('',(#72918,#72920,#72922,#72924)); +#72926=FACE_BOUND('',#72925,.F.); +#72928=CARTESIAN_POINT('',(1.015E1,4.58E0,-1.995E1)); +#72929=DIRECTION('',(0.E0,1.E0,0.E0)); +#72930=DIRECTION('',(-1.E0,0.E0,0.E0)); +#72931=AXIS2_PLACEMENT_3D('',#72928,#72929,#72930); +#72932=PLANE('',#72931); +#72934=ORIENTED_EDGE('',*,*,#72933,.T.); +#72935=ORIENTED_EDGE('',*,*,#71530,.F.); +#72937=ORIENTED_EDGE('',*,*,#72936,.F.); +#72939=ORIENTED_EDGE('',*,*,#72938,.T.); +#72940=EDGE_LOOP('',(#72934,#72935,#72937,#72939)); +#72941=FACE_OUTER_BOUND('',#72940,.F.); +#72943=CARTESIAN_POINT('',(-4.25E0,4.28E0,-1.006337134476E1)); +#72944=DIRECTION('',(0.E0,0.E0,-1.E0)); +#72945=DIRECTION('',(-1.E0,0.E0,0.E0)); +#72946=AXIS2_PLACEMENT_3D('',#72943,#72944,#72945); +#72947=CYLINDRICAL_SURFACE('',#72946,3.E-1); +#72948=ORIENTED_EDGE('',*,*,#72933,.F.); +#72950=ORIENTED_EDGE('',*,*,#72949,.T.); +#72952=ORIENTED_EDGE('',*,*,#72951,.T.); +#72954=ORIENTED_EDGE('',*,*,#72953,.T.); +#72955=ORIENTED_EDGE('',*,*,#71532,.T.); +#72956=EDGE_LOOP('',(#72948,#72950,#72952,#72954,#72955)); +#72957=FACE_OUTER_BOUND('',#72956,.F.); +#72959=CARTESIAN_POINT('',(2.8E0,4.43E0,-9.95E0)); +#72960=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#72961=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#72962=AXIS2_PLACEMENT_3D('',#72959,#72960,#72961); +#72963=PLANE('',#72962); +#72965=ORIENTED_EDGE('',*,*,#72964,.T.); +#72967=ORIENTED_EDGE('',*,*,#72966,.T.); +#72969=ORIENTED_EDGE('',*,*,#72968,.F.); +#72970=ORIENTED_EDGE('',*,*,#72949,.F.); +#72971=ORIENTED_EDGE('',*,*,#72938,.F.); +#72973=ORIENTED_EDGE('',*,*,#72972,.F.); +#72974=EDGE_LOOP('',(#72965,#72967,#72969,#72970,#72971,#72973)); +#72975=FACE_OUTER_BOUND('',#72974,.F.); +#72977=CARTESIAN_POINT('',(1.E1,3.93E0,-9.95E0)); +#72978=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#72979=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#72980=AXIS2_PLACEMENT_3D('',#72977,#72978,#72979); +#72981=PLANE('',#72980); +#72982=ORIENTED_EDGE('',*,*,#72964,.F.); +#72984=ORIENTED_EDGE('',*,*,#72983,.F.); +#72986=ORIENTED_EDGE('',*,*,#72985,.F.); +#72988=ORIENTED_EDGE('',*,*,#72987,.F.); +#72990=ORIENTED_EDGE('',*,*,#72989,.T.); +#72992=ORIENTED_EDGE('',*,*,#72991,.T.); +#72993=EDGE_LOOP('',(#72982,#72984,#72986,#72988,#72990,#72992)); +#72994=FACE_OUTER_BOUND('',#72993,.F.); +#72996=CARTESIAN_POINT('',(9.85E0,4.28E0,-1.006337134476E1)); +#72997=DIRECTION('',(0.E0,0.E0,-1.E0)); +#72998=DIRECTION('',(0.E0,1.E0,0.E0)); +#72999=AXIS2_PLACEMENT_3D('',#72996,#72997,#72998); +#73000=CYLINDRICAL_SURFACE('',#72999,3.E-1); +#73002=ORIENTED_EDGE('',*,*,#73001,.F.); +#73003=ORIENTED_EDGE('',*,*,#72983,.T.); +#73004=ORIENTED_EDGE('',*,*,#72972,.T.); +#73005=ORIENTED_EDGE('',*,*,#72936,.T.); +#73006=ORIENTED_EDGE('',*,*,#71544,.T.); +#73007=EDGE_LOOP('',(#73002,#73003,#73004,#73005,#73006)); +#73008=FACE_OUTER_BOUND('',#73007,.F.); +#73010=CARTESIAN_POINT('',(1.015E1,3.28E0,-1.995E1)); +#73011=DIRECTION('',(1.E0,0.E0,0.E0)); +#73012=DIRECTION('',(0.E0,1.E0,0.E0)); +#73013=AXIS2_PLACEMENT_3D('',#73010,#73011,#73012); +#73014=PLANE('',#73013); +#73016=ORIENTED_EDGE('',*,*,#73015,.F.); +#73017=ORIENTED_EDGE('',*,*,#72985,.T.); +#73018=ORIENTED_EDGE('',*,*,#73001,.T.); +#73019=ORIENTED_EDGE('',*,*,#71542,.F.); +#73020=EDGE_LOOP('',(#73016,#73017,#73018,#73019)); +#73021=FACE_OUTER_BOUND('',#73020,.F.); +#73023=CARTESIAN_POINT('',(9.85E0,3.58E0,-1.006337134476E1)); +#73024=DIRECTION('',(0.E0,0.E0,-1.E0)); +#73025=DIRECTION('',(1.E0,0.E0,0.E0)); +#73026=AXIS2_PLACEMENT_3D('',#73023,#73024,#73025); +#73027=CYLINDRICAL_SURFACE('',#73026,3.E-1); +#73029=ORIENTED_EDGE('',*,*,#73028,.F.); +#73031=ORIENTED_EDGE('',*,*,#73030,.T.); +#73032=ORIENTED_EDGE('',*,*,#72987,.T.); +#73033=ORIENTED_EDGE('',*,*,#73015,.T.); +#73034=ORIENTED_EDGE('',*,*,#71540,.T.); +#73035=EDGE_LOOP('',(#73029,#73031,#73032,#73033,#73034)); +#73036=FACE_OUTER_BOUND('',#73035,.F.); +#73038=CARTESIAN_POINT('',(-4.55E0,3.28E0,-1.995E1)); +#73039=DIRECTION('',(0.E0,-1.E0,0.E0)); +#73040=DIRECTION('',(1.E0,0.E0,0.E0)); +#73041=AXIS2_PLACEMENT_3D('',#73038,#73039,#73040); +#73042=PLANE('',#73041); +#73044=ORIENTED_EDGE('',*,*,#73043,.F.); +#73046=ORIENTED_EDGE('',*,*,#73045,.T.); +#73047=ORIENTED_EDGE('',*,*,#73028,.T.); +#73048=ORIENTED_EDGE('',*,*,#71538,.F.); +#73049=EDGE_LOOP('',(#73044,#73046,#73047,#73048)); +#73050=FACE_OUTER_BOUND('',#73049,.F.); +#73052=CARTESIAN_POINT('',(-4.25E0,3.58E0,-1.006337134476E1)); +#73053=DIRECTION('',(0.E0,0.E0,-1.E0)); +#73054=DIRECTION('',(0.E0,-1.E0,0.E0)); +#73055=AXIS2_PLACEMENT_3D('',#73052,#73053,#73054); +#73056=CYLINDRICAL_SURFACE('',#73055,3.E-1); +#73058=ORIENTED_EDGE('',*,*,#73057,.F.); +#73060=ORIENTED_EDGE('',*,*,#73059,.T.); +#73062=ORIENTED_EDGE('',*,*,#73061,.T.); +#73063=ORIENTED_EDGE('',*,*,#73043,.T.); +#73064=ORIENTED_EDGE('',*,*,#71536,.T.); +#73065=EDGE_LOOP('',(#73058,#73060,#73062,#73063,#73064)); +#73066=FACE_OUTER_BOUND('',#73065,.F.); +#73068=CARTESIAN_POINT('',(-4.55E0,4.58E0,-1.995E1)); +#73069=DIRECTION('',(-1.E0,0.E0,0.E0)); +#73070=DIRECTION('',(0.E0,-1.E0,0.E0)); +#73071=AXIS2_PLACEMENT_3D('',#73068,#73069,#73070); +#73072=PLANE('',#73071); +#73073=ORIENTED_EDGE('',*,*,#72953,.F.); +#73075=ORIENTED_EDGE('',*,*,#73074,.T.); +#73076=ORIENTED_EDGE('',*,*,#73057,.T.); +#73077=ORIENTED_EDGE('',*,*,#71534,.F.); +#73078=EDGE_LOOP('',(#73073,#73075,#73076,#73077)); +#73079=FACE_OUTER_BOUND('',#73078,.F.); +#73081=CARTESIAN_POINT('',(-4.4E0,3.93E0,-9.95E0)); +#73082=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#73083=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#73084=AXIS2_PLACEMENT_3D('',#73081,#73082,#73083); +#73085=PLANE('',#73084); +#73087=ORIENTED_EDGE('',*,*,#73086,.F.); +#73088=ORIENTED_EDGE('',*,*,#73059,.F.); +#73089=ORIENTED_EDGE('',*,*,#73074,.F.); +#73090=ORIENTED_EDGE('',*,*,#72951,.F.); +#73091=ORIENTED_EDGE('',*,*,#72968,.T.); +#73093=ORIENTED_EDGE('',*,*,#73092,.T.); +#73094=EDGE_LOOP('',(#73087,#73088,#73089,#73090,#73091,#73093)); +#73095=FACE_OUTER_BOUND('',#73094,.F.); +#73097=CARTESIAN_POINT('',(2.8E0,3.43E0,-9.95E0)); +#73098=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#73099=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#73100=AXIS2_PLACEMENT_3D('',#73097,#73098,#73099); +#73101=PLANE('',#73100); +#73102=ORIENTED_EDGE('',*,*,#72989,.F.); +#73103=ORIENTED_EDGE('',*,*,#73030,.F.); +#73104=ORIENTED_EDGE('',*,*,#73045,.F.); +#73105=ORIENTED_EDGE('',*,*,#73061,.F.); +#73106=ORIENTED_EDGE('',*,*,#73086,.T.); +#73108=ORIENTED_EDGE('',*,*,#73107,.T.); +#73109=EDGE_LOOP('',(#73102,#73103,#73104,#73105,#73106,#73108)); +#73110=FACE_OUTER_BOUND('',#73109,.F.); +#73112=CARTESIAN_POINT('',(0.E0,0.E0,-9.8E0)); +#73113=DIRECTION('',(0.E0,0.E0,1.E0)); +#73114=DIRECTION('',(1.E0,0.E0,0.E0)); +#73115=AXIS2_PLACEMENT_3D('',#73112,#73113,#73114); +#73116=PLANE('',#73115); +#73118=ORIENTED_EDGE('',*,*,#73117,.F.); +#73120=ORIENTED_EDGE('',*,*,#73119,.F.); +#73122=ORIENTED_EDGE('',*,*,#73121,.F.); +#73124=ORIENTED_EDGE('',*,*,#73123,.F.); +#73125=EDGE_LOOP('',(#73118,#73120,#73122,#73124)); +#73126=FACE_OUTER_BOUND('',#73125,.F.); +#73128=CARTESIAN_POINT('',(0.E0,0.E0,-9.8E0)); +#73129=DIRECTION('',(0.E0,0.E0,1.E0)); +#73130=DIRECTION('',(1.E0,0.E0,0.E0)); +#73131=AXIS2_PLACEMENT_3D('',#73128,#73129,#73130); +#73132=PLANE('',#73131); +#73134=ORIENTED_EDGE('',*,*,#73133,.F.); +#73136=ORIENTED_EDGE('',*,*,#73135,.F.); +#73138=ORIENTED_EDGE('',*,*,#73137,.F.); +#73140=ORIENTED_EDGE('',*,*,#73139,.F.); +#73141=EDGE_LOOP('',(#73134,#73136,#73138,#73140)); +#73142=FACE_OUTER_BOUND('',#73141,.F.); +#73144=CARTESIAN_POINT('',(0.E0,0.E0,-9.8E0)); +#73145=DIRECTION('',(0.E0,0.E0,1.E0)); +#73146=DIRECTION('',(1.E0,0.E0,0.E0)); +#73147=AXIS2_PLACEMENT_3D('',#73144,#73145,#73146); +#73148=PLANE('',#73147); +#73150=ORIENTED_EDGE('',*,*,#73149,.F.); +#73152=ORIENTED_EDGE('',*,*,#73151,.F.); +#73154=ORIENTED_EDGE('',*,*,#73153,.F.); +#73156=ORIENTED_EDGE('',*,*,#73155,.F.); +#73157=EDGE_LOOP('',(#73150,#73152,#73154,#73156)); +#73158=FACE_OUTER_BOUND('',#73157,.F.); +#73160=CARTESIAN_POINT('',(0.E0,0.E0,-9.8E0)); +#73161=DIRECTION('',(0.E0,0.E0,1.E0)); +#73162=DIRECTION('',(1.E0,0.E0,0.E0)); +#73163=AXIS2_PLACEMENT_3D('',#73160,#73161,#73162); +#73164=PLANE('',#73163); +#73165=ORIENTED_EDGE('',*,*,#73107,.F.); +#73166=ORIENTED_EDGE('',*,*,#73092,.F.); +#73167=ORIENTED_EDGE('',*,*,#72966,.F.); +#73168=ORIENTED_EDGE('',*,*,#72991,.F.); +#73169=EDGE_LOOP('',(#73165,#73166,#73167,#73168)); +#73170=FACE_OUTER_BOUND('',#73169,.F.); +#73172=CARTESIAN_POINT('',(0.E0,0.E0,-9.8E0)); +#73173=DIRECTION('',(0.E0,0.E0,1.E0)); +#73174=DIRECTION('',(1.E0,0.E0,0.E0)); +#73175=AXIS2_PLACEMENT_3D('',#73172,#73173,#73174); +#73176=PLANE('',#73175); +#73178=ORIENTED_EDGE('',*,*,#73177,.F.); +#73180=ORIENTED_EDGE('',*,*,#73179,.F.); +#73182=ORIENTED_EDGE('',*,*,#73181,.F.); +#73184=ORIENTED_EDGE('',*,*,#73183,.F.); +#73185=EDGE_LOOP('',(#73178,#73180,#73182,#73184)); +#73186=FACE_OUTER_BOUND('',#73185,.F.); +#73188=CARTESIAN_POINT('',(0.E0,0.E0,-9.8E0)); +#73189=DIRECTION('',(0.E0,0.E0,1.E0)); +#73190=DIRECTION('',(1.E0,0.E0,0.E0)); +#73191=AXIS2_PLACEMENT_3D('',#73188,#73189,#73190); +#73192=PLANE('',#73191); +#73194=ORIENTED_EDGE('',*,*,#73193,.F.); +#73196=ORIENTED_EDGE('',*,*,#73195,.F.); +#73198=ORIENTED_EDGE('',*,*,#73197,.F.); +#73200=ORIENTED_EDGE('',*,*,#73199,.F.); +#73201=EDGE_LOOP('',(#73194,#73196,#73198,#73200)); +#73202=FACE_OUTER_BOUND('',#73201,.F.); +#73204=CARTESIAN_POINT('',(0.E0,0.E0,-9.8E0)); +#73205=DIRECTION('',(0.E0,0.E0,1.E0)); +#73206=DIRECTION('',(1.E0,0.E0,0.E0)); +#73207=AXIS2_PLACEMENT_3D('',#73204,#73205,#73206); +#73208=PLANE('',#73207); +#73210=ORIENTED_EDGE('',*,*,#73209,.F.); +#73212=ORIENTED_EDGE('',*,*,#73211,.F.); +#73214=ORIENTED_EDGE('',*,*,#73213,.F.); +#73216=ORIENTED_EDGE('',*,*,#73215,.F.); +#73217=EDGE_LOOP('',(#73210,#73212,#73214,#73216)); +#73218=FACE_OUTER_BOUND('',#73217,.F.); +#73220=CARTESIAN_POINT('',(-3.975E1,3.43E0,-9.95E0)); +#73221=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#73222=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#73223=AXIS2_PLACEMENT_3D('',#73220,#73221,#73222); +#73224=PLANE('',#73223); +#73226=ORIENTED_EDGE('',*,*,#73225,.F.); +#73228=ORIENTED_EDGE('',*,*,#73227,.F.); +#73230=ORIENTED_EDGE('',*,*,#73229,.F.); +#73232=ORIENTED_EDGE('',*,*,#73231,.F.); +#73234=ORIENTED_EDGE('',*,*,#73233,.T.); +#73235=ORIENTED_EDGE('',*,*,#73117,.T.); +#73236=EDGE_LOOP('',(#73226,#73228,#73230,#73232,#73234,#73235)); +#73237=FACE_OUTER_BOUND('',#73236,.F.); +#73239=CARTESIAN_POINT('',(-3.8E1,3.93E0,-9.95E0)); +#73240=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#73241=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811866E-1)); +#73242=AXIS2_PLACEMENT_3D('',#73239,#73240,#73241); +#73243=PLANE('',#73242); +#73244=ORIENTED_EDGE('',*,*,#73225,.T.); +#73245=ORIENTED_EDGE('',*,*,#73123,.T.); +#73247=ORIENTED_EDGE('',*,*,#73246,.F.); +#73249=ORIENTED_EDGE('',*,*,#73248,.F.); +#73251=ORIENTED_EDGE('',*,*,#73250,.F.); +#73253=ORIENTED_EDGE('',*,*,#73252,.F.); +#73254=EDGE_LOOP('',(#73244,#73245,#73247,#73249,#73251,#73253)); +#73255=FACE_OUTER_BOUND('',#73254,.F.); +#73257=CARTESIAN_POINT('',(-3.975E1,4.43E0,-9.95E0)); +#73258=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#73259=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#73260=AXIS2_PLACEMENT_3D('',#73257,#73258,#73259); +#73261=PLANE('',#73260); +#73263=ORIENTED_EDGE('',*,*,#73262,.F.); +#73265=ORIENTED_EDGE('',*,*,#73264,.F.); +#73267=ORIENTED_EDGE('',*,*,#73266,.F.); +#73269=ORIENTED_EDGE('',*,*,#73268,.F.); +#73270=ORIENTED_EDGE('',*,*,#73246,.T.); +#73271=ORIENTED_EDGE('',*,*,#73121,.T.); +#73272=EDGE_LOOP('',(#73263,#73265,#73267,#73269,#73270,#73271)); +#73273=FACE_OUTER_BOUND('',#73272,.F.); +#73275=CARTESIAN_POINT('',(-4.15E1,3.93E0,-9.95E0)); +#73276=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#73277=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811866E-1)); +#73278=AXIS2_PLACEMENT_3D('',#73275,#73276,#73277); +#73279=PLANE('',#73278); +#73280=ORIENTED_EDGE('',*,*,#73262,.T.); +#73281=ORIENTED_EDGE('',*,*,#73119,.T.); +#73282=ORIENTED_EDGE('',*,*,#73233,.F.); +#73284=ORIENTED_EDGE('',*,*,#73283,.F.); +#73286=ORIENTED_EDGE('',*,*,#73285,.F.); +#73288=ORIENTED_EDGE('',*,*,#73287,.F.); +#73289=EDGE_LOOP('',(#73280,#73281,#73282,#73284,#73286,#73288)); +#73290=FACE_OUTER_BOUND('',#73289,.F.); +#73292=CARTESIAN_POINT('',(-4.135E1,3.58E0,-1.006337134476E1)); +#73293=DIRECTION('',(0.E0,0.E0,-1.E0)); +#73294=DIRECTION('',(0.E0,-1.E0,0.E0)); +#73295=AXIS2_PLACEMENT_3D('',#73292,#73293,#73294); +#73296=CYLINDRICAL_SURFACE('',#73295,3.E-1); +#73298=ORIENTED_EDGE('',*,*,#73297,.F.); +#73299=ORIENTED_EDGE('',*,*,#73283,.T.); +#73300=ORIENTED_EDGE('',*,*,#73231,.T.); +#73302=ORIENTED_EDGE('',*,*,#73301,.T.); +#73303=ORIENTED_EDGE('',*,*,#71590,.T.); +#73304=EDGE_LOOP('',(#73298,#73299,#73300,#73302,#73303)); +#73305=FACE_OUTER_BOUND('',#73304,.F.); +#73307=CARTESIAN_POINT('',(-4.165E1,4.58E0,-1.995E1)); +#73308=DIRECTION('',(-1.E0,0.E0,0.E0)); +#73309=DIRECTION('',(0.E0,-1.E0,0.E0)); +#73310=AXIS2_PLACEMENT_3D('',#73307,#73308,#73309); +#73311=PLANE('',#73310); +#73312=ORIENTED_EDGE('',*,*,#73297,.T.); +#73313=ORIENTED_EDGE('',*,*,#71588,.F.); +#73315=ORIENTED_EDGE('',*,*,#73314,.F.); +#73316=ORIENTED_EDGE('',*,*,#73285,.T.); +#73317=EDGE_LOOP('',(#73312,#73313,#73315,#73316)); +#73318=FACE_OUTER_BOUND('',#73317,.F.); +#73320=CARTESIAN_POINT('',(-4.135E1,4.28E0,-1.006337134476E1)); +#73321=DIRECTION('',(0.E0,0.E0,-1.E0)); +#73322=DIRECTION('',(-1.E0,0.E0,0.E0)); +#73323=AXIS2_PLACEMENT_3D('',#73320,#73321,#73322); +#73324=CYLINDRICAL_SURFACE('',#73323,3.E-1); +#73326=ORIENTED_EDGE('',*,*,#73325,.F.); +#73327=ORIENTED_EDGE('',*,*,#73264,.T.); +#73328=ORIENTED_EDGE('',*,*,#73287,.T.); +#73329=ORIENTED_EDGE('',*,*,#73314,.T.); +#73330=ORIENTED_EDGE('',*,*,#71586,.T.); +#73331=EDGE_LOOP('',(#73326,#73327,#73328,#73329,#73330)); +#73332=FACE_OUTER_BOUND('',#73331,.F.); +#73334=CARTESIAN_POINT('',(-3.785E1,4.58E0,-1.995E1)); +#73335=DIRECTION('',(0.E0,1.E0,0.E0)); +#73336=DIRECTION('',(-1.E0,0.E0,0.E0)); +#73337=AXIS2_PLACEMENT_3D('',#73334,#73335,#73336); +#73338=PLANE('',#73337); +#73340=ORIENTED_EDGE('',*,*,#73339,.F.); +#73341=ORIENTED_EDGE('',*,*,#73266,.T.); +#73342=ORIENTED_EDGE('',*,*,#73325,.T.); +#73343=ORIENTED_EDGE('',*,*,#71584,.F.); +#73344=EDGE_LOOP('',(#73340,#73341,#73342,#73343)); +#73345=FACE_OUTER_BOUND('',#73344,.F.); +#73347=CARTESIAN_POINT('',(-3.815E1,4.28E0,-1.006337134476E1)); +#73348=DIRECTION('',(0.E0,0.E0,-1.E0)); +#73349=DIRECTION('',(0.E0,1.E0,0.E0)); +#73350=AXIS2_PLACEMENT_3D('',#73347,#73348,#73349); +#73351=CYLINDRICAL_SURFACE('',#73350,3.E-1); +#73353=ORIENTED_EDGE('',*,*,#73352,.F.); +#73354=ORIENTED_EDGE('',*,*,#73248,.T.); +#73355=ORIENTED_EDGE('',*,*,#73268,.T.); +#73356=ORIENTED_EDGE('',*,*,#73339,.T.); +#73357=ORIENTED_EDGE('',*,*,#71598,.T.); +#73358=EDGE_LOOP('',(#73353,#73354,#73355,#73356,#73357)); +#73359=FACE_OUTER_BOUND('',#73358,.F.); +#73361=CARTESIAN_POINT('',(-3.785E1,3.28E0,-1.995E1)); +#73362=DIRECTION('',(1.E0,0.E0,0.E0)); +#73363=DIRECTION('',(0.E0,1.E0,0.E0)); +#73364=AXIS2_PLACEMENT_3D('',#73361,#73362,#73363); +#73365=PLANE('',#73364); +#73366=ORIENTED_EDGE('',*,*,#73352,.T.); +#73367=ORIENTED_EDGE('',*,*,#71596,.F.); +#73369=ORIENTED_EDGE('',*,*,#73368,.F.); +#73370=ORIENTED_EDGE('',*,*,#73250,.T.); +#73371=EDGE_LOOP('',(#73366,#73367,#73369,#73370)); +#73372=FACE_OUTER_BOUND('',#73371,.F.); +#73374=CARTESIAN_POINT('',(-3.815E1,3.58E0,-1.006337134476E1)); +#73375=DIRECTION('',(0.E0,0.E0,-1.E0)); +#73376=DIRECTION('',(1.E0,0.E0,0.E0)); +#73377=AXIS2_PLACEMENT_3D('',#73374,#73375,#73376); +#73378=CYLINDRICAL_SURFACE('',#73377,3.E-1); +#73380=ORIENTED_EDGE('',*,*,#73379,.F.); +#73381=ORIENTED_EDGE('',*,*,#73227,.T.); +#73382=ORIENTED_EDGE('',*,*,#73252,.T.); +#73383=ORIENTED_EDGE('',*,*,#73368,.T.); +#73384=ORIENTED_EDGE('',*,*,#71594,.T.); +#73385=EDGE_LOOP('',(#73380,#73381,#73382,#73383,#73384)); +#73386=FACE_OUTER_BOUND('',#73385,.F.); +#73388=CARTESIAN_POINT('',(-4.165E1,3.28E0,-1.995E1)); +#73389=DIRECTION('',(0.E0,-1.E0,0.E0)); +#73390=DIRECTION('',(1.E0,0.E0,0.E0)); +#73391=AXIS2_PLACEMENT_3D('',#73388,#73389,#73390); +#73392=PLANE('',#73391); +#73393=ORIENTED_EDGE('',*,*,#73379,.T.); +#73394=ORIENTED_EDGE('',*,*,#71592,.F.); +#73395=ORIENTED_EDGE('',*,*,#73301,.F.); +#73396=ORIENTED_EDGE('',*,*,#73229,.T.); +#73397=EDGE_LOOP('',(#73393,#73394,#73395,#73396)); +#73398=FACE_OUTER_BOUND('',#73397,.F.); +#73400=CARTESIAN_POINT('',(-2.985E1,3.43E0,-9.95E0)); +#73401=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#73402=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#73403=AXIS2_PLACEMENT_3D('',#73400,#73401,#73402); +#73404=PLANE('',#73403); +#73406=ORIENTED_EDGE('',*,*,#73405,.F.); +#73408=ORIENTED_EDGE('',*,*,#73407,.F.); +#73410=ORIENTED_EDGE('',*,*,#73409,.F.); +#73412=ORIENTED_EDGE('',*,*,#73411,.F.); +#73414=ORIENTED_EDGE('',*,*,#73413,.T.); +#73415=ORIENTED_EDGE('',*,*,#73133,.T.); +#73416=EDGE_LOOP('',(#73406,#73408,#73410,#73412,#73414,#73415)); +#73417=FACE_OUTER_BOUND('',#73416,.F.); +#73419=CARTESIAN_POINT('',(-2.56E1,3.93E0,-9.95E0)); +#73420=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#73421=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#73422=AXIS2_PLACEMENT_3D('',#73419,#73420,#73421); +#73423=PLANE('',#73422); +#73424=ORIENTED_EDGE('',*,*,#73405,.T.); +#73425=ORIENTED_EDGE('',*,*,#73139,.T.); +#73427=ORIENTED_EDGE('',*,*,#73426,.F.); +#73429=ORIENTED_EDGE('',*,*,#73428,.F.); +#73431=ORIENTED_EDGE('',*,*,#73430,.F.); +#73433=ORIENTED_EDGE('',*,*,#73432,.F.); +#73434=EDGE_LOOP('',(#73424,#73425,#73427,#73429,#73431,#73433)); +#73435=FACE_OUTER_BOUND('',#73434,.F.); +#73437=CARTESIAN_POINT('',(-2.985E1,4.43E0,-9.95E0)); +#73438=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#73439=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#73440=AXIS2_PLACEMENT_3D('',#73437,#73438,#73439); +#73441=PLANE('',#73440); +#73443=ORIENTED_EDGE('',*,*,#73442,.F.); +#73445=ORIENTED_EDGE('',*,*,#73444,.F.); +#73447=ORIENTED_EDGE('',*,*,#73446,.F.); +#73449=ORIENTED_EDGE('',*,*,#73448,.F.); +#73450=ORIENTED_EDGE('',*,*,#73426,.T.); +#73451=ORIENTED_EDGE('',*,*,#73137,.T.); +#73452=EDGE_LOOP('',(#73443,#73445,#73447,#73449,#73450,#73451)); +#73453=FACE_OUTER_BOUND('',#73452,.F.); +#73455=CARTESIAN_POINT('',(-3.41E1,3.93E0,-9.95E0)); +#73456=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#73457=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811866E-1)); +#73458=AXIS2_PLACEMENT_3D('',#73455,#73456,#73457); +#73459=PLANE('',#73458); +#73460=ORIENTED_EDGE('',*,*,#73442,.T.); +#73461=ORIENTED_EDGE('',*,*,#73135,.T.); +#73462=ORIENTED_EDGE('',*,*,#73413,.F.); +#73464=ORIENTED_EDGE('',*,*,#73463,.F.); +#73466=ORIENTED_EDGE('',*,*,#73465,.F.); +#73468=ORIENTED_EDGE('',*,*,#73467,.F.); +#73469=EDGE_LOOP('',(#73460,#73461,#73462,#73464,#73466,#73468)); +#73470=FACE_OUTER_BOUND('',#73469,.F.); +#73472=CARTESIAN_POINT('',(-3.395E1,3.58E0,-1.006337134476E1)); +#73473=DIRECTION('',(0.E0,0.E0,-1.E0)); +#73474=DIRECTION('',(0.E0,-1.E0,0.E0)); +#73475=AXIS2_PLACEMENT_3D('',#73472,#73473,#73474); +#73476=CYLINDRICAL_SURFACE('',#73475,3.E-1); +#73478=ORIENTED_EDGE('',*,*,#73477,.F.); +#73479=ORIENTED_EDGE('',*,*,#73463,.T.); +#73480=ORIENTED_EDGE('',*,*,#73411,.T.); +#73482=ORIENTED_EDGE('',*,*,#73481,.T.); +#73483=ORIENTED_EDGE('',*,*,#71572,.T.); +#73484=EDGE_LOOP('',(#73478,#73479,#73480,#73482,#73483)); +#73485=FACE_OUTER_BOUND('',#73484,.F.); +#73487=CARTESIAN_POINT('',(-3.425E1,4.58E0,-1.995E1)); +#73488=DIRECTION('',(-1.E0,0.E0,0.E0)); +#73489=DIRECTION('',(0.E0,-1.E0,0.E0)); +#73490=AXIS2_PLACEMENT_3D('',#73487,#73488,#73489); +#73491=PLANE('',#73490); +#73492=ORIENTED_EDGE('',*,*,#73477,.T.); +#73493=ORIENTED_EDGE('',*,*,#71570,.F.); +#73495=ORIENTED_EDGE('',*,*,#73494,.F.); +#73496=ORIENTED_EDGE('',*,*,#73465,.T.); +#73497=EDGE_LOOP('',(#73492,#73493,#73495,#73496)); +#73498=FACE_OUTER_BOUND('',#73497,.F.); +#73500=CARTESIAN_POINT('',(-3.395E1,4.28E0,-1.006337134476E1)); +#73501=DIRECTION('',(0.E0,0.E0,-1.E0)); +#73502=DIRECTION('',(-1.E0,0.E0,0.E0)); +#73503=AXIS2_PLACEMENT_3D('',#73500,#73501,#73502); +#73504=CYLINDRICAL_SURFACE('',#73503,3.E-1); +#73506=ORIENTED_EDGE('',*,*,#73505,.F.); +#73507=ORIENTED_EDGE('',*,*,#73444,.T.); +#73508=ORIENTED_EDGE('',*,*,#73467,.T.); +#73509=ORIENTED_EDGE('',*,*,#73494,.T.); +#73510=ORIENTED_EDGE('',*,*,#71568,.T.); +#73511=EDGE_LOOP('',(#73506,#73507,#73508,#73509,#73510)); +#73512=FACE_OUTER_BOUND('',#73511,.F.); +#73514=CARTESIAN_POINT('',(-2.545E1,4.58E0,-1.995E1)); +#73515=DIRECTION('',(0.E0,1.E0,0.E0)); +#73516=DIRECTION('',(-1.E0,0.E0,0.E0)); +#73517=AXIS2_PLACEMENT_3D('',#73514,#73515,#73516); +#73518=PLANE('',#73517); +#73520=ORIENTED_EDGE('',*,*,#73519,.F.); +#73521=ORIENTED_EDGE('',*,*,#73446,.T.); +#73522=ORIENTED_EDGE('',*,*,#73505,.T.); +#73523=ORIENTED_EDGE('',*,*,#71566,.F.); +#73524=EDGE_LOOP('',(#73520,#73521,#73522,#73523)); +#73525=FACE_OUTER_BOUND('',#73524,.F.); +#73527=CARTESIAN_POINT('',(-2.575E1,4.28E0,-1.006337134476E1)); +#73528=DIRECTION('',(0.E0,0.E0,-1.E0)); +#73529=DIRECTION('',(1.184237892934E-14,1.E0,0.E0)); +#73530=AXIS2_PLACEMENT_3D('',#73527,#73528,#73529); +#73531=CYLINDRICAL_SURFACE('',#73530,3.E-1); +#73533=ORIENTED_EDGE('',*,*,#73532,.F.); +#73534=ORIENTED_EDGE('',*,*,#73428,.T.); +#73535=ORIENTED_EDGE('',*,*,#73448,.T.); +#73536=ORIENTED_EDGE('',*,*,#73519,.T.); +#73537=ORIENTED_EDGE('',*,*,#71580,.T.); +#73538=EDGE_LOOP('',(#73533,#73534,#73535,#73536,#73537)); +#73539=FACE_OUTER_BOUND('',#73538,.F.); +#73541=CARTESIAN_POINT('',(-2.545E1,3.28E0,-1.995E1)); +#73542=DIRECTION('',(1.E0,0.E0,0.E0)); +#73543=DIRECTION('',(0.E0,1.E0,0.E0)); +#73544=AXIS2_PLACEMENT_3D('',#73541,#73542,#73543); +#73545=PLANE('',#73544); +#73546=ORIENTED_EDGE('',*,*,#73532,.T.); +#73547=ORIENTED_EDGE('',*,*,#71578,.F.); +#73549=ORIENTED_EDGE('',*,*,#73548,.F.); +#73550=ORIENTED_EDGE('',*,*,#73430,.T.); +#73551=EDGE_LOOP('',(#73546,#73547,#73549,#73550)); +#73552=FACE_OUTER_BOUND('',#73551,.F.); +#73554=CARTESIAN_POINT('',(-2.575E1,3.58E0,-1.006337134476E1)); +#73555=DIRECTION('',(0.E0,0.E0,-1.E0)); +#73556=DIRECTION('',(1.E0,0.E0,0.E0)); +#73557=AXIS2_PLACEMENT_3D('',#73554,#73555,#73556); +#73558=CYLINDRICAL_SURFACE('',#73557,3.E-1); +#73560=ORIENTED_EDGE('',*,*,#73559,.F.); +#73561=ORIENTED_EDGE('',*,*,#73407,.T.); +#73562=ORIENTED_EDGE('',*,*,#73432,.T.); +#73563=ORIENTED_EDGE('',*,*,#73548,.T.); +#73564=ORIENTED_EDGE('',*,*,#71576,.T.); +#73565=EDGE_LOOP('',(#73560,#73561,#73562,#73563,#73564)); +#73566=FACE_OUTER_BOUND('',#73565,.F.); +#73568=CARTESIAN_POINT('',(-3.425E1,3.28E0,-1.995E1)); +#73569=DIRECTION('',(0.E0,-1.E0,0.E0)); +#73570=DIRECTION('',(1.E0,0.E0,0.E0)); +#73571=AXIS2_PLACEMENT_3D('',#73568,#73569,#73570); +#73572=PLANE('',#73571); +#73573=ORIENTED_EDGE('',*,*,#73559,.T.); +#73574=ORIENTED_EDGE('',*,*,#71574,.F.); +#73575=ORIENTED_EDGE('',*,*,#73481,.F.); +#73576=ORIENTED_EDGE('',*,*,#73409,.T.); +#73577=EDGE_LOOP('',(#73573,#73574,#73575,#73576)); +#73578=FACE_OUTER_BOUND('',#73577,.F.); +#73580=CARTESIAN_POINT('',(-1.5E1,3.43E0,-9.95E0)); +#73581=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#73582=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#73583=AXIS2_PLACEMENT_3D('',#73580,#73581,#73582); +#73584=PLANE('',#73583); +#73586=ORIENTED_EDGE('',*,*,#73585,.F.); +#73588=ORIENTED_EDGE('',*,*,#73587,.F.); +#73590=ORIENTED_EDGE('',*,*,#73589,.F.); +#73592=ORIENTED_EDGE('',*,*,#73591,.F.); +#73594=ORIENTED_EDGE('',*,*,#73593,.T.); +#73595=ORIENTED_EDGE('',*,*,#73149,.T.); +#73596=EDGE_LOOP('',(#73586,#73588,#73590,#73592,#73594,#73595)); +#73597=FACE_OUTER_BOUND('',#73596,.F.); +#73599=CARTESIAN_POINT('',(-8.3E0,3.93E0,-9.95E0)); +#73600=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#73601=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#73602=AXIS2_PLACEMENT_3D('',#73599,#73600,#73601); +#73603=PLANE('',#73602); +#73604=ORIENTED_EDGE('',*,*,#73585,.T.); +#73605=ORIENTED_EDGE('',*,*,#73155,.T.); +#73607=ORIENTED_EDGE('',*,*,#73606,.F.); +#73609=ORIENTED_EDGE('',*,*,#73608,.F.); +#73611=ORIENTED_EDGE('',*,*,#73610,.F.); +#73613=ORIENTED_EDGE('',*,*,#73612,.F.); +#73614=EDGE_LOOP('',(#73604,#73605,#73607,#73609,#73611,#73613)); +#73615=FACE_OUTER_BOUND('',#73614,.F.); +#73617=CARTESIAN_POINT('',(-1.5E1,4.43E0,-9.95E0)); +#73618=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#73619=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#73620=AXIS2_PLACEMENT_3D('',#73617,#73618,#73619); +#73621=PLANE('',#73620); +#73623=ORIENTED_EDGE('',*,*,#73622,.F.); +#73624=ORIENTED_EDGE('',*,*,#73606,.T.); +#73625=ORIENTED_EDGE('',*,*,#73153,.T.); +#73627=ORIENTED_EDGE('',*,*,#73626,.F.); +#73629=ORIENTED_EDGE('',*,*,#73628,.F.); +#73631=ORIENTED_EDGE('',*,*,#73630,.F.); +#73632=EDGE_LOOP('',(#73623,#73624,#73625,#73627,#73629,#73631)); +#73633=FACE_OUTER_BOUND('',#73632,.F.); +#73635=CARTESIAN_POINT('',(-8.45E0,4.28E0,-1.006337134476E1)); +#73636=DIRECTION('',(0.E0,0.E0,-1.E0)); +#73637=DIRECTION('',(0.E0,1.E0,0.E0)); +#73638=AXIS2_PLACEMENT_3D('',#73635,#73636,#73637); +#73639=CYLINDRICAL_SURFACE('',#73638,3.E-1); +#73641=ORIENTED_EDGE('',*,*,#73640,.F.); +#73642=ORIENTED_EDGE('',*,*,#73608,.T.); +#73643=ORIENTED_EDGE('',*,*,#73622,.T.); +#73645=ORIENTED_EDGE('',*,*,#73644,.T.); +#73646=ORIENTED_EDGE('',*,*,#71550,.T.); +#73647=EDGE_LOOP('',(#73641,#73642,#73643,#73645,#73646)); +#73648=FACE_OUTER_BOUND('',#73647,.F.); +#73650=CARTESIAN_POINT('',(-8.15E0,3.28E0,-1.995E1)); +#73651=DIRECTION('',(1.E0,0.E0,0.E0)); +#73652=DIRECTION('',(0.E0,1.E0,0.E0)); +#73653=AXIS2_PLACEMENT_3D('',#73650,#73651,#73652); +#73654=PLANE('',#73653); +#73655=ORIENTED_EDGE('',*,*,#73640,.T.); +#73656=ORIENTED_EDGE('',*,*,#71548,.F.); +#73658=ORIENTED_EDGE('',*,*,#73657,.F.); +#73659=ORIENTED_EDGE('',*,*,#73610,.T.); +#73660=EDGE_LOOP('',(#73655,#73656,#73658,#73659)); +#73661=FACE_OUTER_BOUND('',#73660,.F.); +#73663=CARTESIAN_POINT('',(-8.45E0,3.58E0,-1.006337134476E1)); +#73664=DIRECTION('',(0.E0,0.E0,-1.E0)); +#73665=DIRECTION('',(1.E0,0.E0,0.E0)); +#73666=AXIS2_PLACEMENT_3D('',#73663,#73664,#73665); +#73667=CYLINDRICAL_SURFACE('',#73666,3.E-1); +#73669=ORIENTED_EDGE('',*,*,#73668,.F.); +#73670=ORIENTED_EDGE('',*,*,#73587,.T.); +#73671=ORIENTED_EDGE('',*,*,#73612,.T.); +#73672=ORIENTED_EDGE('',*,*,#73657,.T.); +#73673=ORIENTED_EDGE('',*,*,#71562,.T.); +#73674=EDGE_LOOP('',(#73669,#73670,#73671,#73672,#73673)); +#73675=FACE_OUTER_BOUND('',#73674,.F.); +#73677=CARTESIAN_POINT('',(-2.185E1,3.28E0,-1.995E1)); +#73678=DIRECTION('',(0.E0,-1.E0,0.E0)); +#73679=DIRECTION('',(1.E0,0.E0,0.E0)); +#73680=AXIS2_PLACEMENT_3D('',#73677,#73678,#73679); +#73681=PLANE('',#73680); +#73682=ORIENTED_EDGE('',*,*,#73668,.T.); +#73683=ORIENTED_EDGE('',*,*,#71560,.F.); +#73685=ORIENTED_EDGE('',*,*,#73684,.F.); +#73686=ORIENTED_EDGE('',*,*,#73589,.T.); +#73687=EDGE_LOOP('',(#73682,#73683,#73685,#73686)); +#73688=FACE_OUTER_BOUND('',#73687,.F.); +#73690=CARTESIAN_POINT('',(-2.155E1,3.58E0,-1.006337134476E1)); +#73691=DIRECTION('',(0.E0,0.E0,-1.E0)); +#73692=DIRECTION('',(0.E0,-1.E0,0.E0)); +#73693=AXIS2_PLACEMENT_3D('',#73690,#73691,#73692); +#73694=CYLINDRICAL_SURFACE('',#73693,3.E-1); +#73696=ORIENTED_EDGE('',*,*,#73695,.F.); +#73698=ORIENTED_EDGE('',*,*,#73697,.T.); +#73699=ORIENTED_EDGE('',*,*,#73591,.T.); +#73700=ORIENTED_EDGE('',*,*,#73684,.T.); +#73701=ORIENTED_EDGE('',*,*,#71558,.T.); +#73702=EDGE_LOOP('',(#73696,#73698,#73699,#73700,#73701)); +#73703=FACE_OUTER_BOUND('',#73702,.F.); +#73705=CARTESIAN_POINT('',(-2.185E1,4.58E0,-1.995E1)); +#73706=DIRECTION('',(-1.E0,0.E0,0.E0)); +#73707=DIRECTION('',(0.E0,-1.E0,0.E0)); +#73708=AXIS2_PLACEMENT_3D('',#73705,#73706,#73707); +#73709=PLANE('',#73708); +#73710=ORIENTED_EDGE('',*,*,#73695,.T.); +#73711=ORIENTED_EDGE('',*,*,#71556,.F.); +#73713=ORIENTED_EDGE('',*,*,#73712,.F.); +#73715=ORIENTED_EDGE('',*,*,#73714,.T.); +#73716=EDGE_LOOP('',(#73710,#73711,#73713,#73715)); +#73717=FACE_OUTER_BOUND('',#73716,.F.); +#73719=CARTESIAN_POINT('',(-2.155E1,4.28E0,-1.006337134476E1)); +#73720=DIRECTION('',(0.E0,0.E0,-1.E0)); +#73721=DIRECTION('',(-1.E0,0.E0,0.E0)); +#73722=AXIS2_PLACEMENT_3D('',#73719,#73720,#73721); +#73723=CYLINDRICAL_SURFACE('',#73722,3.E-1); +#73725=ORIENTED_EDGE('',*,*,#73724,.F.); +#73726=ORIENTED_EDGE('',*,*,#73628,.T.); +#73728=ORIENTED_EDGE('',*,*,#73727,.T.); +#73729=ORIENTED_EDGE('',*,*,#73712,.T.); +#73730=ORIENTED_EDGE('',*,*,#71554,.T.); +#73731=EDGE_LOOP('',(#73725,#73726,#73728,#73729,#73730)); +#73732=FACE_OUTER_BOUND('',#73731,.F.); +#73734=CARTESIAN_POINT('',(-8.15E0,4.58E0,-1.995E1)); +#73735=DIRECTION('',(0.E0,1.E0,0.E0)); +#73736=DIRECTION('',(-1.E0,0.E0,0.E0)); +#73737=AXIS2_PLACEMENT_3D('',#73734,#73735,#73736); +#73738=PLANE('',#73737); +#73739=ORIENTED_EDGE('',*,*,#73644,.F.); +#73740=ORIENTED_EDGE('',*,*,#73630,.T.); +#73741=ORIENTED_EDGE('',*,*,#73724,.T.); +#73742=ORIENTED_EDGE('',*,*,#71552,.F.); +#73743=EDGE_LOOP('',(#73739,#73740,#73741,#73742)); +#73744=FACE_OUTER_BOUND('',#73743,.F.); +#73746=CARTESIAN_POINT('',(-2.17E1,3.93E0,-9.95E0)); +#73747=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#73748=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#73749=AXIS2_PLACEMENT_3D('',#73746,#73747,#73748); +#73750=PLANE('',#73749); +#73751=ORIENTED_EDGE('',*,*,#73593,.F.); +#73752=ORIENTED_EDGE('',*,*,#73697,.F.); +#73753=ORIENTED_EDGE('',*,*,#73714,.F.); +#73754=ORIENTED_EDGE('',*,*,#73727,.F.); +#73755=ORIENTED_EDGE('',*,*,#73626,.T.); +#73756=ORIENTED_EDGE('',*,*,#73151,.T.); +#73757=EDGE_LOOP('',(#73751,#73752,#73753,#73754,#73755,#73756)); +#73758=FACE_OUTER_BOUND('',#73757,.F.); +#73760=CARTESIAN_POINT('',(2.895E1,4.43E0,-9.95E0)); +#73761=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#73762=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#73763=AXIS2_PLACEMENT_3D('',#73760,#73761,#73762); +#73764=PLANE('',#73763); +#73766=ORIENTED_EDGE('',*,*,#73765,.T.); +#73767=ORIENTED_EDGE('',*,*,#73177,.T.); +#73769=ORIENTED_EDGE('',*,*,#73768,.F.); +#73771=ORIENTED_EDGE('',*,*,#73770,.F.); +#73773=ORIENTED_EDGE('',*,*,#73772,.F.); +#73775=ORIENTED_EDGE('',*,*,#73774,.F.); +#73776=EDGE_LOOP('',(#73766,#73767,#73769,#73771,#73773,#73775)); +#73777=FACE_OUTER_BOUND('',#73776,.F.); +#73779=CARTESIAN_POINT('',(3.505E1,3.93E0,-9.95E0)); +#73780=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#73781=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811866E-1)); +#73782=AXIS2_PLACEMENT_3D('',#73779,#73780,#73781); +#73783=PLANE('',#73782); +#73785=ORIENTED_EDGE('',*,*,#73784,.T.); +#73786=ORIENTED_EDGE('',*,*,#73179,.T.); +#73787=ORIENTED_EDGE('',*,*,#73765,.F.); +#73789=ORIENTED_EDGE('',*,*,#73788,.F.); +#73791=ORIENTED_EDGE('',*,*,#73790,.F.); +#73793=ORIENTED_EDGE('',*,*,#73792,.F.); +#73794=EDGE_LOOP('',(#73785,#73786,#73787,#73789,#73791,#73793)); +#73795=FACE_OUTER_BOUND('',#73794,.F.); +#73797=CARTESIAN_POINT('',(2.895E1,3.43E0,-9.95E0)); +#73798=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#73799=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#73800=AXIS2_PLACEMENT_3D('',#73797,#73798,#73799); +#73801=PLANE('',#73800); +#73802=ORIENTED_EDGE('',*,*,#73784,.F.); +#73804=ORIENTED_EDGE('',*,*,#73803,.F.); +#73806=ORIENTED_EDGE('',*,*,#73805,.F.); +#73808=ORIENTED_EDGE('',*,*,#73807,.F.); +#73810=ORIENTED_EDGE('',*,*,#73809,.T.); +#73811=ORIENTED_EDGE('',*,*,#73181,.T.); +#73812=EDGE_LOOP('',(#73802,#73804,#73806,#73808,#73810,#73811)); +#73813=FACE_OUTER_BOUND('',#73812,.F.); +#73815=CARTESIAN_POINT('',(3.49E1,3.58E0,-1.006337134476E1)); +#73816=DIRECTION('',(0.E0,0.E0,-1.E0)); +#73817=DIRECTION('',(1.E0,0.E0,0.E0)); +#73818=AXIS2_PLACEMENT_3D('',#73815,#73816,#73817); +#73819=CYLINDRICAL_SURFACE('',#73818,3.E-1); +#73821=ORIENTED_EDGE('',*,*,#73820,.F.); +#73822=ORIENTED_EDGE('',*,*,#73803,.T.); +#73823=ORIENTED_EDGE('',*,*,#73792,.T.); +#73825=ORIENTED_EDGE('',*,*,#73824,.T.); +#73826=ORIENTED_EDGE('',*,*,#72417,.T.); +#73827=EDGE_LOOP('',(#73821,#73822,#73823,#73825,#73826)); +#73828=FACE_OUTER_BOUND('',#73827,.F.); +#73830=CARTESIAN_POINT('',(2.27E1,3.28E0,-1.995E1)); +#73831=DIRECTION('',(0.E0,-1.E0,0.E0)); +#73832=DIRECTION('',(1.E0,0.E0,0.E0)); +#73833=AXIS2_PLACEMENT_3D('',#73830,#73831,#73832); +#73834=PLANE('',#73833); +#73835=ORIENTED_EDGE('',*,*,#73820,.T.); +#73836=ORIENTED_EDGE('',*,*,#72415,.F.); +#73838=ORIENTED_EDGE('',*,*,#73837,.F.); +#73839=ORIENTED_EDGE('',*,*,#73805,.T.); +#73840=EDGE_LOOP('',(#73835,#73836,#73838,#73839)); +#73841=FACE_OUTER_BOUND('',#73840,.F.); +#73843=CARTESIAN_POINT('',(2.3E1,3.58E0,-1.006337134476E1)); +#73844=DIRECTION('',(0.E0,0.E0,-1.E0)); +#73845=DIRECTION('',(-1.184237892934E-14,-1.E0,0.E0)); +#73846=AXIS2_PLACEMENT_3D('',#73843,#73844,#73845); +#73847=CYLINDRICAL_SURFACE('',#73846,3.E-1); +#73849=ORIENTED_EDGE('',*,*,#73848,.F.); +#73851=ORIENTED_EDGE('',*,*,#73850,.T.); +#73852=ORIENTED_EDGE('',*,*,#73807,.T.); +#73853=ORIENTED_EDGE('',*,*,#73837,.T.); +#73854=ORIENTED_EDGE('',*,*,#72413,.T.); +#73855=EDGE_LOOP('',(#73849,#73851,#73852,#73853,#73854)); +#73856=FACE_OUTER_BOUND('',#73855,.F.); +#73858=CARTESIAN_POINT('',(2.27E1,4.58E0,-1.995E1)); +#73859=DIRECTION('',(-1.E0,0.E0,0.E0)); +#73860=DIRECTION('',(0.E0,-1.E0,0.E0)); +#73861=AXIS2_PLACEMENT_3D('',#73858,#73859,#73860); +#73862=PLANE('',#73861); +#73863=ORIENTED_EDGE('',*,*,#73848,.T.); +#73864=ORIENTED_EDGE('',*,*,#72411,.F.); +#73866=ORIENTED_EDGE('',*,*,#73865,.F.); +#73868=ORIENTED_EDGE('',*,*,#73867,.T.); +#73869=EDGE_LOOP('',(#73863,#73864,#73866,#73868)); +#73870=FACE_OUTER_BOUND('',#73869,.F.); +#73872=CARTESIAN_POINT('',(2.3E1,4.28E0,-1.006337134476E1)); +#73873=DIRECTION('',(0.E0,0.E0,-1.E0)); +#73874=DIRECTION('',(-1.E0,0.E0,0.E0)); +#73875=AXIS2_PLACEMENT_3D('',#73872,#73873,#73874); +#73876=CYLINDRICAL_SURFACE('',#73875,3.E-1); +#73878=ORIENTED_EDGE('',*,*,#73877,.F.); +#73879=ORIENTED_EDGE('',*,*,#73770,.T.); +#73881=ORIENTED_EDGE('',*,*,#73880,.T.); +#73882=ORIENTED_EDGE('',*,*,#73865,.T.); +#73883=ORIENTED_EDGE('',*,*,#72409,.T.); +#73884=EDGE_LOOP('',(#73878,#73879,#73881,#73882,#73883)); +#73885=FACE_OUTER_BOUND('',#73884,.F.); +#73887=CARTESIAN_POINT('',(3.52E1,4.58E0,-1.995E1)); +#73888=DIRECTION('',(0.E0,1.E0,0.E0)); +#73889=DIRECTION('',(-1.E0,0.E0,0.E0)); +#73890=AXIS2_PLACEMENT_3D('',#73887,#73888,#73889); +#73891=PLANE('',#73890); +#73893=ORIENTED_EDGE('',*,*,#73892,.F.); +#73894=ORIENTED_EDGE('',*,*,#73772,.T.); +#73895=ORIENTED_EDGE('',*,*,#73877,.T.); +#73896=ORIENTED_EDGE('',*,*,#72407,.F.); +#73897=EDGE_LOOP('',(#73893,#73894,#73895,#73896)); +#73898=FACE_OUTER_BOUND('',#73897,.F.); +#73900=CARTESIAN_POINT('',(3.49E1,4.28E0,-1.006337134476E1)); +#73901=DIRECTION('',(0.E0,0.E0,-1.E0)); +#73902=DIRECTION('',(0.E0,1.E0,0.E0)); +#73903=AXIS2_PLACEMENT_3D('',#73900,#73901,#73902); +#73904=CYLINDRICAL_SURFACE('',#73903,3.E-1); +#73906=ORIENTED_EDGE('',*,*,#73905,.F.); +#73907=ORIENTED_EDGE('',*,*,#73788,.T.); +#73908=ORIENTED_EDGE('',*,*,#73774,.T.); +#73909=ORIENTED_EDGE('',*,*,#73892,.T.); +#73910=ORIENTED_EDGE('',*,*,#72421,.T.); +#73911=EDGE_LOOP('',(#73906,#73907,#73908,#73909,#73910)); +#73912=FACE_OUTER_BOUND('',#73911,.F.); +#73914=CARTESIAN_POINT('',(3.52E1,3.28E0,-1.995E1)); +#73915=DIRECTION('',(1.E0,0.E0,0.E0)); +#73916=DIRECTION('',(0.E0,1.E0,0.E0)); +#73917=AXIS2_PLACEMENT_3D('',#73914,#73915,#73916); +#73918=PLANE('',#73917); +#73919=ORIENTED_EDGE('',*,*,#73905,.T.); +#73920=ORIENTED_EDGE('',*,*,#72419,.F.); +#73921=ORIENTED_EDGE('',*,*,#73824,.F.); +#73922=ORIENTED_EDGE('',*,*,#73790,.T.); +#73923=EDGE_LOOP('',(#73919,#73920,#73921,#73922)); +#73924=FACE_OUTER_BOUND('',#73923,.F.); +#73926=CARTESIAN_POINT('',(2.285E1,3.93E0,-9.95E0)); +#73927=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#73928=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#73929=AXIS2_PLACEMENT_3D('',#73926,#73927,#73928); +#73930=PLANE('',#73929); +#73931=ORIENTED_EDGE('',*,*,#73768,.T.); +#73932=ORIENTED_EDGE('',*,*,#73183,.T.); +#73933=ORIENTED_EDGE('',*,*,#73809,.F.); +#73934=ORIENTED_EDGE('',*,*,#73850,.F.); +#73935=ORIENTED_EDGE('',*,*,#73867,.F.); +#73936=ORIENTED_EDGE('',*,*,#73880,.F.); +#73937=EDGE_LOOP('',(#73931,#73932,#73933,#73934,#73935,#73936)); +#73938=FACE_OUTER_BOUND('',#73937,.F.); +#73940=CARTESIAN_POINT('',(4.24E1,4.43E0,-9.95E0)); +#73941=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#73942=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#73943=AXIS2_PLACEMENT_3D('',#73940,#73941,#73942); +#73944=PLANE('',#73943); +#73946=ORIENTED_EDGE('',*,*,#73945,.T.); +#73947=ORIENTED_EDGE('',*,*,#73193,.T.); +#73949=ORIENTED_EDGE('',*,*,#73948,.F.); +#73951=ORIENTED_EDGE('',*,*,#73950,.F.); +#73953=ORIENTED_EDGE('',*,*,#73952,.F.); +#73955=ORIENTED_EDGE('',*,*,#73954,.F.); +#73956=EDGE_LOOP('',(#73946,#73947,#73949,#73951,#73953,#73955)); +#73957=FACE_OUTER_BOUND('',#73956,.F.); +#73959=CARTESIAN_POINT('',(4.585E1,3.93E0,-9.95E0)); +#73960=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#73961=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811866E-1)); +#73962=AXIS2_PLACEMENT_3D('',#73959,#73960,#73961); +#73963=PLANE('',#73962); +#73965=ORIENTED_EDGE('',*,*,#73964,.T.); +#73966=ORIENTED_EDGE('',*,*,#73195,.T.); +#73967=ORIENTED_EDGE('',*,*,#73945,.F.); +#73969=ORIENTED_EDGE('',*,*,#73968,.F.); +#73971=ORIENTED_EDGE('',*,*,#73970,.F.); +#73973=ORIENTED_EDGE('',*,*,#73972,.F.); +#73974=EDGE_LOOP('',(#73965,#73966,#73967,#73969,#73971,#73973)); +#73975=FACE_OUTER_BOUND('',#73974,.F.); +#73977=CARTESIAN_POINT('',(4.24E1,3.43E0,-9.95E0)); +#73978=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#73979=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#73980=AXIS2_PLACEMENT_3D('',#73977,#73978,#73979); +#73981=PLANE('',#73980); +#73982=ORIENTED_EDGE('',*,*,#73964,.F.); +#73984=ORIENTED_EDGE('',*,*,#73983,.F.); +#73986=ORIENTED_EDGE('',*,*,#73985,.F.); +#73988=ORIENTED_EDGE('',*,*,#73987,.F.); +#73990=ORIENTED_EDGE('',*,*,#73989,.T.); +#73991=ORIENTED_EDGE('',*,*,#73197,.T.); +#73992=EDGE_LOOP('',(#73982,#73984,#73986,#73988,#73990,#73991)); +#73993=FACE_OUTER_BOUND('',#73992,.F.); +#73995=CARTESIAN_POINT('',(4.57E1,3.58E0,-1.006337134476E1)); +#73996=DIRECTION('',(0.E0,0.E0,-1.E0)); +#73997=DIRECTION('',(1.E0,0.E0,0.E0)); +#73998=AXIS2_PLACEMENT_3D('',#73995,#73996,#73997); +#73999=CYLINDRICAL_SURFACE('',#73998,3.E-1); +#74001=ORIENTED_EDGE('',*,*,#74000,.F.); +#74002=ORIENTED_EDGE('',*,*,#73983,.T.); +#74003=ORIENTED_EDGE('',*,*,#73972,.T.); +#74005=ORIENTED_EDGE('',*,*,#74004,.T.); +#74006=ORIENTED_EDGE('',*,*,#72399,.T.); +#74007=EDGE_LOOP('',(#74001,#74002,#74003,#74005,#74006)); +#74008=FACE_OUTER_BOUND('',#74007,.F.); +#74010=CARTESIAN_POINT('',(3.88E1,3.28E0,-1.995E1)); +#74011=DIRECTION('',(0.E0,-1.E0,0.E0)); +#74012=DIRECTION('',(1.E0,0.E0,0.E0)); +#74013=AXIS2_PLACEMENT_3D('',#74010,#74011,#74012); +#74014=PLANE('',#74013); +#74015=ORIENTED_EDGE('',*,*,#74000,.T.); +#74016=ORIENTED_EDGE('',*,*,#72397,.F.); +#74018=ORIENTED_EDGE('',*,*,#74017,.F.); +#74019=ORIENTED_EDGE('',*,*,#73985,.T.); +#74020=EDGE_LOOP('',(#74015,#74016,#74018,#74019)); +#74021=FACE_OUTER_BOUND('',#74020,.F.); +#74023=CARTESIAN_POINT('',(3.91E1,3.58E0,-1.006337134476E1)); +#74024=DIRECTION('',(0.E0,0.E0,-1.E0)); +#74025=DIRECTION('',(0.E0,-1.E0,0.E0)); +#74026=AXIS2_PLACEMENT_3D('',#74023,#74024,#74025); +#74027=CYLINDRICAL_SURFACE('',#74026,3.E-1); +#74029=ORIENTED_EDGE('',*,*,#74028,.F.); +#74031=ORIENTED_EDGE('',*,*,#74030,.T.); +#74032=ORIENTED_EDGE('',*,*,#73987,.T.); +#74033=ORIENTED_EDGE('',*,*,#74017,.T.); +#74034=ORIENTED_EDGE('',*,*,#72395,.T.); +#74035=EDGE_LOOP('',(#74029,#74031,#74032,#74033,#74034)); +#74036=FACE_OUTER_BOUND('',#74035,.F.); +#74038=CARTESIAN_POINT('',(3.88E1,4.58E0,-1.995E1)); +#74039=DIRECTION('',(-1.E0,0.E0,0.E0)); +#74040=DIRECTION('',(0.E0,-1.E0,0.E0)); +#74041=AXIS2_PLACEMENT_3D('',#74038,#74039,#74040); +#74042=PLANE('',#74041); +#74043=ORIENTED_EDGE('',*,*,#74028,.T.); +#74044=ORIENTED_EDGE('',*,*,#72393,.F.); +#74046=ORIENTED_EDGE('',*,*,#74045,.F.); +#74048=ORIENTED_EDGE('',*,*,#74047,.T.); +#74049=EDGE_LOOP('',(#74043,#74044,#74046,#74048)); +#74050=FACE_OUTER_BOUND('',#74049,.F.); +#74052=CARTESIAN_POINT('',(3.91E1,4.28E0,-1.006337134476E1)); +#74053=DIRECTION('',(0.E0,0.E0,-1.E0)); +#74054=DIRECTION('',(-1.E0,0.E0,0.E0)); +#74055=AXIS2_PLACEMENT_3D('',#74052,#74053,#74054); +#74056=CYLINDRICAL_SURFACE('',#74055,3.E-1); +#74058=ORIENTED_EDGE('',*,*,#74057,.F.); +#74059=ORIENTED_EDGE('',*,*,#73950,.T.); +#74061=ORIENTED_EDGE('',*,*,#74060,.T.); +#74062=ORIENTED_EDGE('',*,*,#74045,.T.); +#74063=ORIENTED_EDGE('',*,*,#72391,.T.); +#74064=EDGE_LOOP('',(#74058,#74059,#74061,#74062,#74063)); +#74065=FACE_OUTER_BOUND('',#74064,.F.); +#74067=CARTESIAN_POINT('',(4.6E1,4.58E0,-1.995E1)); +#74068=DIRECTION('',(0.E0,1.E0,0.E0)); +#74069=DIRECTION('',(-1.E0,0.E0,0.E0)); +#74070=AXIS2_PLACEMENT_3D('',#74067,#74068,#74069); +#74071=PLANE('',#74070); +#74073=ORIENTED_EDGE('',*,*,#74072,.F.); +#74074=ORIENTED_EDGE('',*,*,#73952,.T.); +#74075=ORIENTED_EDGE('',*,*,#74057,.T.); +#74076=ORIENTED_EDGE('',*,*,#72389,.F.); +#74077=EDGE_LOOP('',(#74073,#74074,#74075,#74076)); +#74078=FACE_OUTER_BOUND('',#74077,.F.); +#74080=CARTESIAN_POINT('',(4.57E1,4.28E0,-1.006337134476E1)); +#74081=DIRECTION('',(0.E0,0.E0,-1.E0)); +#74082=DIRECTION('',(0.E0,1.E0,0.E0)); +#74083=AXIS2_PLACEMENT_3D('',#74080,#74081,#74082); +#74084=CYLINDRICAL_SURFACE('',#74083,3.E-1); +#74086=ORIENTED_EDGE('',*,*,#74085,.F.); +#74087=ORIENTED_EDGE('',*,*,#73968,.T.); +#74088=ORIENTED_EDGE('',*,*,#73954,.T.); +#74089=ORIENTED_EDGE('',*,*,#74072,.T.); +#74090=ORIENTED_EDGE('',*,*,#72403,.T.); +#74091=EDGE_LOOP('',(#74086,#74087,#74088,#74089,#74090)); +#74092=FACE_OUTER_BOUND('',#74091,.F.); +#74094=CARTESIAN_POINT('',(4.6E1,3.28E0,-1.995E1)); +#74095=DIRECTION('',(1.E0,0.E0,0.E0)); +#74096=DIRECTION('',(0.E0,1.E0,0.E0)); +#74097=AXIS2_PLACEMENT_3D('',#74094,#74095,#74096); +#74098=PLANE('',#74097); +#74099=ORIENTED_EDGE('',*,*,#74085,.T.); +#74100=ORIENTED_EDGE('',*,*,#72401,.F.); +#74101=ORIENTED_EDGE('',*,*,#74004,.F.); +#74102=ORIENTED_EDGE('',*,*,#73970,.T.); +#74103=EDGE_LOOP('',(#74099,#74100,#74101,#74102)); +#74104=FACE_OUTER_BOUND('',#74103,.F.); +#74106=CARTESIAN_POINT('',(3.895E1,3.93E0,-9.95E0)); +#74107=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#74108=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811866E-1)); +#74109=AXIS2_PLACEMENT_3D('',#74106,#74107,#74108); +#74110=PLANE('',#74109); +#74111=ORIENTED_EDGE('',*,*,#73948,.T.); +#74112=ORIENTED_EDGE('',*,*,#73199,.T.); +#74113=ORIENTED_EDGE('',*,*,#73989,.F.); +#74114=ORIENTED_EDGE('',*,*,#74030,.F.); +#74115=ORIENTED_EDGE('',*,*,#74047,.F.); +#74116=ORIENTED_EDGE('',*,*,#74060,.F.); +#74117=EDGE_LOOP('',(#74111,#74112,#74113,#74114,#74115,#74116)); +#74118=FACE_OUTER_BOUND('',#74117,.F.); +#74120=CARTESIAN_POINT('',(5.15E1,4.43E0,-9.95E0)); +#74121=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#74122=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#74123=AXIS2_PLACEMENT_3D('',#74120,#74121,#74122); +#74124=PLANE('',#74123); +#74126=ORIENTED_EDGE('',*,*,#74125,.T.); +#74127=ORIENTED_EDGE('',*,*,#73209,.T.); +#74129=ORIENTED_EDGE('',*,*,#74128,.F.); +#74131=ORIENTED_EDGE('',*,*,#74130,.F.); +#74133=ORIENTED_EDGE('',*,*,#74132,.F.); +#74135=ORIENTED_EDGE('',*,*,#74134,.F.); +#74136=EDGE_LOOP('',(#74126,#74127,#74129,#74131,#74133,#74135)); +#74137=FACE_OUTER_BOUND('',#74136,.F.); +#74139=CARTESIAN_POINT('',(5.315E1,3.93E0,-9.95E0)); +#74140=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#74141=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811866E-1)); +#74142=AXIS2_PLACEMENT_3D('',#74139,#74140,#74141); +#74143=PLANE('',#74142); +#74145=ORIENTED_EDGE('',*,*,#74144,.T.); +#74146=ORIENTED_EDGE('',*,*,#73211,.T.); +#74147=ORIENTED_EDGE('',*,*,#74125,.F.); +#74149=ORIENTED_EDGE('',*,*,#74148,.F.); +#74151=ORIENTED_EDGE('',*,*,#74150,.F.); +#74153=ORIENTED_EDGE('',*,*,#74152,.F.); +#74154=EDGE_LOOP('',(#74145,#74146,#74147,#74149,#74151,#74153)); +#74155=FACE_OUTER_BOUND('',#74154,.F.); +#74157=CARTESIAN_POINT('',(5.15E1,3.43E0,-9.95E0)); +#74158=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#74159=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#74160=AXIS2_PLACEMENT_3D('',#74157,#74158,#74159); +#74161=PLANE('',#74160); +#74162=ORIENTED_EDGE('',*,*,#74144,.F.); +#74164=ORIENTED_EDGE('',*,*,#74163,.F.); +#74166=ORIENTED_EDGE('',*,*,#74165,.F.); +#74168=ORIENTED_EDGE('',*,*,#74167,.F.); +#74170=ORIENTED_EDGE('',*,*,#74169,.T.); +#74171=ORIENTED_EDGE('',*,*,#73213,.T.); +#74172=EDGE_LOOP('',(#74162,#74164,#74166,#74168,#74170,#74171)); +#74173=FACE_OUTER_BOUND('',#74172,.F.); +#74175=CARTESIAN_POINT('',(5.3E1,3.58E0,-1.006337134476E1)); +#74176=DIRECTION('',(0.E0,0.E0,-1.E0)); +#74177=DIRECTION('',(1.E0,0.E0,0.E0)); +#74178=AXIS2_PLACEMENT_3D('',#74175,#74176,#74177); +#74179=CYLINDRICAL_SURFACE('',#74178,3.E-1); +#74181=ORIENTED_EDGE('',*,*,#74180,.F.); +#74182=ORIENTED_EDGE('',*,*,#74163,.T.); +#74183=ORIENTED_EDGE('',*,*,#74152,.T.); +#74185=ORIENTED_EDGE('',*,*,#74184,.T.); +#74186=ORIENTED_EDGE('',*,*,#72381,.T.); +#74187=EDGE_LOOP('',(#74181,#74182,#74183,#74185,#74186)); +#74188=FACE_OUTER_BOUND('',#74187,.F.); +#74190=CARTESIAN_POINT('',(4.97E1,3.28E0,-1.995E1)); +#74191=DIRECTION('',(0.E0,-1.E0,0.E0)); +#74192=DIRECTION('',(1.E0,0.E0,0.E0)); +#74193=AXIS2_PLACEMENT_3D('',#74190,#74191,#74192); +#74194=PLANE('',#74193); +#74195=ORIENTED_EDGE('',*,*,#74180,.T.); +#74196=ORIENTED_EDGE('',*,*,#72379,.F.); +#74198=ORIENTED_EDGE('',*,*,#74197,.F.); +#74199=ORIENTED_EDGE('',*,*,#74165,.T.); +#74200=EDGE_LOOP('',(#74195,#74196,#74198,#74199)); +#74201=FACE_OUTER_BOUND('',#74200,.F.); +#74203=CARTESIAN_POINT('',(5.E1,3.58E0,-1.006337134476E1)); +#74204=DIRECTION('',(0.E0,0.E0,-1.E0)); +#74205=DIRECTION('',(0.E0,-1.E0,0.E0)); +#74206=AXIS2_PLACEMENT_3D('',#74203,#74204,#74205); +#74207=CYLINDRICAL_SURFACE('',#74206,3.E-1); +#74209=ORIENTED_EDGE('',*,*,#74208,.F.); +#74211=ORIENTED_EDGE('',*,*,#74210,.T.); +#74212=ORIENTED_EDGE('',*,*,#74167,.T.); +#74213=ORIENTED_EDGE('',*,*,#74197,.T.); +#74214=ORIENTED_EDGE('',*,*,#72377,.T.); +#74215=EDGE_LOOP('',(#74209,#74211,#74212,#74213,#74214)); +#74216=FACE_OUTER_BOUND('',#74215,.F.); +#74218=CARTESIAN_POINT('',(4.97E1,4.58E0,-1.995E1)); +#74219=DIRECTION('',(-1.E0,0.E0,0.E0)); +#74220=DIRECTION('',(0.E0,-1.E0,0.E0)); +#74221=AXIS2_PLACEMENT_3D('',#74218,#74219,#74220); +#74222=PLANE('',#74221); +#74223=ORIENTED_EDGE('',*,*,#74208,.T.); +#74224=ORIENTED_EDGE('',*,*,#72375,.F.); +#74226=ORIENTED_EDGE('',*,*,#74225,.F.); +#74228=ORIENTED_EDGE('',*,*,#74227,.T.); +#74229=EDGE_LOOP('',(#74223,#74224,#74226,#74228)); +#74230=FACE_OUTER_BOUND('',#74229,.F.); +#74232=CARTESIAN_POINT('',(5.E1,4.28E0,-1.006337134476E1)); +#74233=DIRECTION('',(0.E0,0.E0,-1.E0)); +#74234=DIRECTION('',(-1.E0,0.E0,0.E0)); +#74235=AXIS2_PLACEMENT_3D('',#74232,#74233,#74234); +#74236=CYLINDRICAL_SURFACE('',#74235,3.E-1); +#74238=ORIENTED_EDGE('',*,*,#74237,.F.); +#74239=ORIENTED_EDGE('',*,*,#74130,.T.); +#74241=ORIENTED_EDGE('',*,*,#74240,.T.); +#74242=ORIENTED_EDGE('',*,*,#74225,.T.); +#74243=ORIENTED_EDGE('',*,*,#72373,.T.); +#74244=EDGE_LOOP('',(#74238,#74239,#74241,#74242,#74243)); +#74245=FACE_OUTER_BOUND('',#74244,.F.); +#74247=CARTESIAN_POINT('',(5.33E1,4.58E0,-1.995E1)); +#74248=DIRECTION('',(0.E0,1.E0,0.E0)); +#74249=DIRECTION('',(-1.E0,0.E0,0.E0)); +#74250=AXIS2_PLACEMENT_3D('',#74247,#74248,#74249); +#74251=PLANE('',#74250); +#74253=ORIENTED_EDGE('',*,*,#74252,.F.); +#74254=ORIENTED_EDGE('',*,*,#74132,.T.); +#74255=ORIENTED_EDGE('',*,*,#74237,.T.); +#74256=ORIENTED_EDGE('',*,*,#72371,.F.); +#74257=EDGE_LOOP('',(#74253,#74254,#74255,#74256)); +#74258=FACE_OUTER_BOUND('',#74257,.F.); +#74260=CARTESIAN_POINT('',(5.3E1,4.28E0,-1.006337134476E1)); +#74261=DIRECTION('',(0.E0,0.E0,-1.E0)); +#74262=DIRECTION('',(0.E0,1.E0,0.E0)); +#74263=AXIS2_PLACEMENT_3D('',#74260,#74261,#74262); +#74264=CYLINDRICAL_SURFACE('',#74263,3.E-1); +#74266=ORIENTED_EDGE('',*,*,#74265,.F.); +#74267=ORIENTED_EDGE('',*,*,#74148,.T.); +#74268=ORIENTED_EDGE('',*,*,#74134,.T.); +#74269=ORIENTED_EDGE('',*,*,#74252,.T.); +#74270=ORIENTED_EDGE('',*,*,#72385,.T.); +#74271=EDGE_LOOP('',(#74266,#74267,#74268,#74269,#74270)); +#74272=FACE_OUTER_BOUND('',#74271,.F.); +#74274=CARTESIAN_POINT('',(5.33E1,3.28E0,-1.995E1)); +#74275=DIRECTION('',(1.E0,0.E0,0.E0)); +#74276=DIRECTION('',(0.E0,1.E0,0.E0)); +#74277=AXIS2_PLACEMENT_3D('',#74274,#74275,#74276); +#74278=PLANE('',#74277); +#74279=ORIENTED_EDGE('',*,*,#74265,.T.); +#74280=ORIENTED_EDGE('',*,*,#72383,.F.); +#74281=ORIENTED_EDGE('',*,*,#74184,.F.); +#74282=ORIENTED_EDGE('',*,*,#74150,.T.); +#74283=EDGE_LOOP('',(#74279,#74280,#74281,#74282)); +#74284=FACE_OUTER_BOUND('',#74283,.F.); +#74286=CARTESIAN_POINT('',(4.985E1,3.93E0,-9.95E0)); +#74287=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#74288=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811866E-1)); +#74289=AXIS2_PLACEMENT_3D('',#74286,#74287,#74288); +#74290=PLANE('',#74289); +#74291=ORIENTED_EDGE('',*,*,#74128,.T.); +#74292=ORIENTED_EDGE('',*,*,#73215,.T.); +#74293=ORIENTED_EDGE('',*,*,#74169,.F.); +#74294=ORIENTED_EDGE('',*,*,#74210,.F.); +#74295=ORIENTED_EDGE('',*,*,#74227,.F.); +#74296=ORIENTED_EDGE('',*,*,#74240,.F.); +#74297=EDGE_LOOP('',(#74291,#74292,#74293,#74294,#74295,#74296)); +#74298=FACE_OUTER_BOUND('',#74297,.F.); +#74300=CARTESIAN_POINT('',(-5.60225E1,-7.E-2,-4.099000013158E1)); +#74301=DIRECTION('',(1.E0,0.E0,0.E0)); +#74302=DIRECTION('',(0.E0,1.E0,0.E0)); +#74303=AXIS2_PLACEMENT_3D('',#74300,#74301,#74302); +#74304=PLANE('',#74303); +#74306=ORIENTED_EDGE('',*,*,#74305,.T.); +#74308=ORIENTED_EDGE('',*,*,#74307,.T.); +#74310=ORIENTED_EDGE('',*,*,#74309,.F.); +#74311=ORIENTED_EDGE('',*,*,#71602,.F.); +#74312=EDGE_LOOP('',(#74306,#74308,#74310,#74311)); +#74313=FACE_OUTER_BOUND('',#74312,.F.); +#74315=CARTESIAN_POINT('',(-5.626125E1,-7.E-2,-4.099000013158E1)); +#74316=DIRECTION('',(0.E0,-1.E0,0.E0)); +#74317=DIRECTION('',(1.E0,0.E0,0.E0)); +#74318=AXIS2_PLACEMENT_3D('',#74315,#74316,#74317); +#74319=PLANE('',#74318); +#74320=ORIENTED_EDGE('',*,*,#74305,.F.); +#74321=ORIENTED_EDGE('',*,*,#71614,.F.); +#74323=ORIENTED_EDGE('',*,*,#74322,.T.); +#74325=ORIENTED_EDGE('',*,*,#74324,.T.); +#74326=EDGE_LOOP('',(#74320,#74321,#74323,#74325)); +#74327=FACE_OUTER_BOUND('',#74326,.F.); +#74329=CARTESIAN_POINT('',(-5.626125E1,1.589574508667E0,-4.099000013158E1)); +#74330=DIRECTION('',(-1.E0,0.E0,0.E0)); +#74331=DIRECTION('',(0.E0,-1.E0,0.E0)); +#74332=AXIS2_PLACEMENT_3D('',#74329,#74330,#74331); +#74333=PLANE('',#74332); +#74335=ORIENTED_EDGE('',*,*,#74334,.T.); +#74337=ORIENTED_EDGE('',*,*,#74336,.T.); +#74338=ORIENTED_EDGE('',*,*,#74322,.F.); +#74339=ORIENTED_EDGE('',*,*,#71612,.F.); +#74340=EDGE_LOOP('',(#74335,#74337,#74338,#74339)); +#74341=FACE_OUTER_BOUND('',#74340,.F.); +#74343=CARTESIAN_POINT('',(-5.65E1,1.291702084541E0,-4.099000013158E1)); +#74344=DIRECTION('',(7.802908198591E-1,-6.254168501437E-1,0.E0)); +#74345=DIRECTION('',(6.254168501437E-1,7.802908198591E-1,0.E0)); +#74346=AXIS2_PLACEMENT_3D('',#74343,#74344,#74345); +#74347=PLANE('',#74346); +#74349=ORIENTED_EDGE('',*,*,#74348,.T.); +#74351=ORIENTED_EDGE('',*,*,#74350,.T.); +#74352=ORIENTED_EDGE('',*,*,#74334,.F.); +#74353=ORIENTED_EDGE('',*,*,#71610,.F.); +#74354=EDGE_LOOP('',(#74349,#74351,#74352,#74353)); +#74355=FACE_OUTER_BOUND('',#74354,.F.); +#74357=CARTESIAN_POINT('',(-5.65E1,1.632127695084E0,-4.099000013158E1)); +#74358=DIRECTION('',(-1.E0,0.E0,0.E0)); +#74359=DIRECTION('',(0.E0,-1.E0,0.E0)); +#74360=AXIS2_PLACEMENT_3D('',#74357,#74358,#74359); +#74361=PLANE('',#74360); +#74363=ORIENTED_EDGE('',*,*,#74362,.T.); +#74365=ORIENTED_EDGE('',*,*,#74364,.T.); +#74366=ORIENTED_EDGE('',*,*,#74348,.F.); +#74367=ORIENTED_EDGE('',*,*,#71608,.F.); +#74368=EDGE_LOOP('',(#74363,#74365,#74366,#74367)); +#74369=FACE_OUTER_BOUND('',#74368,.F.); +#74371=CARTESIAN_POINT('',(-5.626125E1,1.93E0,-4.099000013158E1)); +#74372=DIRECTION('',(-7.802906977141E-1,6.254170025358E-1,0.E0)); +#74373=DIRECTION('',(-6.254170025358E-1,-7.802906977141E-1,0.E0)); +#74374=AXIS2_PLACEMENT_3D('',#74371,#74372,#74373); +#74375=PLANE('',#74374); +#74377=ORIENTED_EDGE('',*,*,#74376,.T.); +#74379=ORIENTED_EDGE('',*,*,#74378,.T.); +#74380=ORIENTED_EDGE('',*,*,#74362,.F.); +#74381=ORIENTED_EDGE('',*,*,#71606,.F.); +#74382=EDGE_LOOP('',(#74377,#74379,#74380,#74381)); +#74383=FACE_OUTER_BOUND('',#74382,.F.); +#74385=CARTESIAN_POINT('',(-5.60225E1,1.93E0,-4.099000013158E1)); +#74386=DIRECTION('',(0.E0,1.E0,0.E0)); +#74387=DIRECTION('',(-1.E0,0.E0,0.E0)); +#74388=AXIS2_PLACEMENT_3D('',#74385,#74386,#74387); +#74389=PLANE('',#74388); +#74390=ORIENTED_EDGE('',*,*,#74309,.T.); +#74392=ORIENTED_EDGE('',*,*,#74391,.T.); +#74393=ORIENTED_EDGE('',*,*,#74376,.F.); +#74394=ORIENTED_EDGE('',*,*,#71604,.F.); +#74395=EDGE_LOOP('',(#74390,#74392,#74393,#74394)); +#74396=FACE_OUTER_BOUND('',#74395,.F.); +#74398=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#74399=DIRECTION('',(0.E0,0.E0,1.E0)); +#74400=DIRECTION('',(1.E0,0.E0,0.E0)); +#74401=AXIS2_PLACEMENT_3D('',#74398,#74399,#74400); +#74402=PLANE('',#74401); +#74403=ORIENTED_EDGE('',*,*,#74307,.F.); +#74404=ORIENTED_EDGE('',*,*,#74324,.F.); +#74405=ORIENTED_EDGE('',*,*,#74336,.F.); +#74406=ORIENTED_EDGE('',*,*,#74350,.F.); +#74407=ORIENTED_EDGE('',*,*,#74364,.F.); +#74408=ORIENTED_EDGE('',*,*,#74378,.F.); +#74409=ORIENTED_EDGE('',*,*,#74391,.F.); +#74410=EDGE_LOOP('',(#74403,#74404,#74405,#74406,#74407,#74408,#74409)); +#74411=FACE_OUTER_BOUND('',#74410,.F.); +#74413=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#74414=DIRECTION('',(0.E0,0.E0,1.E0)); +#74415=DIRECTION('',(1.E0,0.E0,0.E0)); +#74416=AXIS2_PLACEMENT_3D('',#74413,#74414,#74415); +#74417=PLANE('',#74416); +#74419=ORIENTED_EDGE('',*,*,#74418,.F.); +#74421=ORIENTED_EDGE('',*,*,#74420,.F.); +#74423=ORIENTED_EDGE('',*,*,#74422,.F.); +#74425=ORIENTED_EDGE('',*,*,#74424,.F.); +#74427=ORIENTED_EDGE('',*,*,#74426,.F.); +#74429=ORIENTED_EDGE('',*,*,#74428,.F.); +#74431=ORIENTED_EDGE('',*,*,#74430,.F.); +#74433=ORIENTED_EDGE('',*,*,#74432,.F.); +#74435=ORIENTED_EDGE('',*,*,#74434,.F.); +#74437=ORIENTED_EDGE('',*,*,#74436,.F.); +#74439=ORIENTED_EDGE('',*,*,#74438,.F.); +#74441=ORIENTED_EDGE('',*,*,#74440,.F.); +#74443=ORIENTED_EDGE('',*,*,#74442,.F.); +#74445=ORIENTED_EDGE('',*,*,#74444,.F.); +#74447=ORIENTED_EDGE('',*,*,#74446,.F.); +#74449=ORIENTED_EDGE('',*,*,#74448,.F.); +#74451=ORIENTED_EDGE('',*,*,#74450,.F.); +#74453=ORIENTED_EDGE('',*,*,#74452,.F.); +#74455=ORIENTED_EDGE('',*,*,#74454,.F.); +#74457=ORIENTED_EDGE('',*,*,#74456,.F.); +#74459=ORIENTED_EDGE('',*,*,#74458,.F.); +#74461=ORIENTED_EDGE('',*,*,#74460,.F.); +#74463=ORIENTED_EDGE('',*,*,#74462,.F.); +#74465=ORIENTED_EDGE('',*,*,#74464,.F.); +#74467=ORIENTED_EDGE('',*,*,#74466,.F.); +#74469=ORIENTED_EDGE('',*,*,#74468,.F.); +#74471=ORIENTED_EDGE('',*,*,#74470,.F.); +#74473=ORIENTED_EDGE('',*,*,#74472,.F.); +#74475=ORIENTED_EDGE('',*,*,#74474,.F.); +#74477=ORIENTED_EDGE('',*,*,#74476,.F.); +#74479=ORIENTED_EDGE('',*,*,#74478,.F.); +#74481=ORIENTED_EDGE('',*,*,#74480,.F.); +#74483=ORIENTED_EDGE('',*,*,#74482,.F.); +#74485=ORIENTED_EDGE('',*,*,#74484,.F.); +#74487=ORIENTED_EDGE('',*,*,#74486,.F.); +#74489=ORIENTED_EDGE('',*,*,#74488,.F.); +#74491=ORIENTED_EDGE('',*,*,#74490,.F.); +#74493=ORIENTED_EDGE('',*,*,#74492,.F.); +#74495=ORIENTED_EDGE('',*,*,#74494,.F.); +#74497=ORIENTED_EDGE('',*,*,#74496,.F.); +#74499=ORIENTED_EDGE('',*,*,#74498,.F.); +#74501=ORIENTED_EDGE('',*,*,#74500,.F.); +#74503=ORIENTED_EDGE('',*,*,#74502,.F.); +#74505=ORIENTED_EDGE('',*,*,#74504,.F.); +#74507=ORIENTED_EDGE('',*,*,#74506,.F.); +#74509=ORIENTED_EDGE('',*,*,#74508,.F.); +#74511=ORIENTED_EDGE('',*,*,#74510,.F.); +#74513=ORIENTED_EDGE('',*,*,#74512,.F.); +#74515=ORIENTED_EDGE('',*,*,#74514,.F.); +#74517=ORIENTED_EDGE('',*,*,#74516,.F.); +#74519=ORIENTED_EDGE('',*,*,#74518,.F.); +#74521=ORIENTED_EDGE('',*,*,#74520,.F.); +#74523=ORIENTED_EDGE('',*,*,#74522,.F.); +#74525=ORIENTED_EDGE('',*,*,#74524,.F.); +#74527=ORIENTED_EDGE('',*,*,#74526,.F.); +#74529=ORIENTED_EDGE('',*,*,#74528,.F.); +#74531=ORIENTED_EDGE('',*,*,#74530,.F.); +#74532=EDGE_LOOP('',(#74419,#74421,#74423,#74425,#74427,#74429,#74431,#74433, +#74435,#74437,#74439,#74441,#74443,#74445,#74447,#74449,#74451,#74453,#74455, +#74457,#74459,#74461,#74463,#74465,#74467,#74469,#74471,#74473,#74475,#74477, +#74479,#74481,#74483,#74485,#74487,#74489,#74491,#74493,#74495,#74497,#74499, +#74501,#74503,#74505,#74507,#74509,#74511,#74513,#74515,#74517,#74519,#74521, +#74523,#74525,#74527,#74529,#74531)); +#74533=FACE_OUTER_BOUND('',#74532,.F.); +#74535=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#74536=DIRECTION('',(0.E0,0.E0,1.E0)); +#74537=DIRECTION('',(1.E0,0.E0,0.E0)); +#74538=AXIS2_PLACEMENT_3D('',#74535,#74536,#74537); +#74539=PLANE('',#74538); +#74541=ORIENTED_EDGE('',*,*,#74540,.F.); +#74543=ORIENTED_EDGE('',*,*,#74542,.F.); +#74545=ORIENTED_EDGE('',*,*,#74544,.F.); +#74547=ORIENTED_EDGE('',*,*,#74546,.F.); +#74549=ORIENTED_EDGE('',*,*,#74548,.F.); +#74551=ORIENTED_EDGE('',*,*,#74550,.F.); +#74553=ORIENTED_EDGE('',*,*,#74552,.F.); +#74554=EDGE_LOOP('',(#74541,#74543,#74545,#74547,#74549,#74551,#74553)); +#74555=FACE_OUTER_BOUND('',#74554,.F.); +#74557=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#74558=DIRECTION('',(0.E0,0.E0,1.E0)); +#74559=DIRECTION('',(1.E0,0.E0,0.E0)); +#74560=AXIS2_PLACEMENT_3D('',#74557,#74558,#74559); +#74561=PLANE('',#74560); +#74563=ORIENTED_EDGE('',*,*,#74562,.F.); +#74565=ORIENTED_EDGE('',*,*,#74564,.F.); +#74567=ORIENTED_EDGE('',*,*,#74566,.F.); +#74569=ORIENTED_EDGE('',*,*,#74568,.F.); +#74571=ORIENTED_EDGE('',*,*,#74570,.F.); +#74573=ORIENTED_EDGE('',*,*,#74572,.F.); +#74575=ORIENTED_EDGE('',*,*,#74574,.F.); +#74577=ORIENTED_EDGE('',*,*,#74576,.F.); +#74579=ORIENTED_EDGE('',*,*,#74578,.F.); +#74581=ORIENTED_EDGE('',*,*,#74580,.F.); +#74583=ORIENTED_EDGE('',*,*,#74582,.F.); +#74585=ORIENTED_EDGE('',*,*,#74584,.F.); +#74587=ORIENTED_EDGE('',*,*,#74586,.F.); +#74589=ORIENTED_EDGE('',*,*,#74588,.F.); +#74591=ORIENTED_EDGE('',*,*,#74590,.F.); +#74593=ORIENTED_EDGE('',*,*,#74592,.F.); +#74595=ORIENTED_EDGE('',*,*,#74594,.F.); +#74597=ORIENTED_EDGE('',*,*,#74596,.F.); +#74599=ORIENTED_EDGE('',*,*,#74598,.F.); +#74601=ORIENTED_EDGE('',*,*,#74600,.F.); +#74603=ORIENTED_EDGE('',*,*,#74602,.F.); +#74605=ORIENTED_EDGE('',*,*,#74604,.F.); +#74607=ORIENTED_EDGE('',*,*,#74606,.F.); +#74609=ORIENTED_EDGE('',*,*,#74608,.F.); +#74611=ORIENTED_EDGE('',*,*,#74610,.F.); +#74613=ORIENTED_EDGE('',*,*,#74612,.F.); +#74615=ORIENTED_EDGE('',*,*,#74614,.F.); +#74617=ORIENTED_EDGE('',*,*,#74616,.F.); +#74619=ORIENTED_EDGE('',*,*,#74618,.F.); +#74621=ORIENTED_EDGE('',*,*,#74620,.F.); +#74623=ORIENTED_EDGE('',*,*,#74622,.F.); +#74625=ORIENTED_EDGE('',*,*,#74624,.F.); +#74627=ORIENTED_EDGE('',*,*,#74626,.F.); +#74629=ORIENTED_EDGE('',*,*,#74628,.F.); +#74631=ORIENTED_EDGE('',*,*,#74630,.F.); +#74633=ORIENTED_EDGE('',*,*,#74632,.F.); +#74635=ORIENTED_EDGE('',*,*,#74634,.F.); +#74637=ORIENTED_EDGE('',*,*,#74636,.F.); +#74639=ORIENTED_EDGE('',*,*,#74638,.F.); +#74641=ORIENTED_EDGE('',*,*,#74640,.F.); +#74642=EDGE_LOOP('',(#74563,#74565,#74567,#74569,#74571,#74573,#74575,#74577, +#74579,#74581,#74583,#74585,#74587,#74589,#74591,#74593,#74595,#74597,#74599, +#74601,#74603,#74605,#74607,#74609,#74611,#74613,#74615,#74617,#74619,#74621, +#74623,#74625,#74627,#74629,#74631,#74633,#74635,#74637,#74639,#74641)); +#74643=FACE_OUTER_BOUND('',#74642,.F.); +#74645=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#74646=DIRECTION('',(0.E0,0.E0,1.E0)); +#74647=DIRECTION('',(1.E0,0.E0,0.E0)); +#74648=AXIS2_PLACEMENT_3D('',#74645,#74646,#74647); +#74649=PLANE('',#74648); +#74651=ORIENTED_EDGE('',*,*,#74650,.F.); +#74653=ORIENTED_EDGE('',*,*,#74652,.F.); +#74655=ORIENTED_EDGE('',*,*,#74654,.F.); +#74657=ORIENTED_EDGE('',*,*,#74656,.F.); +#74659=ORIENTED_EDGE('',*,*,#74658,.F.); +#74661=ORIENTED_EDGE('',*,*,#74660,.F.); +#74663=ORIENTED_EDGE('',*,*,#74662,.F.); +#74665=ORIENTED_EDGE('',*,*,#74664,.F.); +#74667=ORIENTED_EDGE('',*,*,#74666,.F.); +#74669=ORIENTED_EDGE('',*,*,#74668,.F.); +#74671=ORIENTED_EDGE('',*,*,#74670,.F.); +#74673=ORIENTED_EDGE('',*,*,#74672,.F.); +#74675=ORIENTED_EDGE('',*,*,#74674,.F.); +#74677=ORIENTED_EDGE('',*,*,#74676,.F.); +#74679=ORIENTED_EDGE('',*,*,#74678,.F.); +#74681=ORIENTED_EDGE('',*,*,#74680,.F.); +#74683=ORIENTED_EDGE('',*,*,#74682,.F.); +#74685=ORIENTED_EDGE('',*,*,#74684,.F.); +#74687=ORIENTED_EDGE('',*,*,#74686,.F.); +#74689=ORIENTED_EDGE('',*,*,#74688,.F.); +#74691=ORIENTED_EDGE('',*,*,#74690,.F.); +#74693=ORIENTED_EDGE('',*,*,#74692,.F.); +#74695=ORIENTED_EDGE('',*,*,#74694,.F.); +#74697=ORIENTED_EDGE('',*,*,#74696,.F.); +#74699=ORIENTED_EDGE('',*,*,#74698,.F.); +#74701=ORIENTED_EDGE('',*,*,#74700,.F.); +#74703=ORIENTED_EDGE('',*,*,#74702,.F.); +#74705=ORIENTED_EDGE('',*,*,#74704,.F.); +#74706=EDGE_LOOP('',(#74651,#74653,#74655,#74657,#74659,#74661,#74663,#74665, +#74667,#74669,#74671,#74673,#74675,#74677,#74679,#74681,#74683,#74685,#74687, +#74689,#74691,#74693,#74695,#74697,#74699,#74701,#74703,#74705)); +#74707=FACE_OUTER_BOUND('',#74706,.F.); +#74709=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#74710=DIRECTION('',(0.E0,0.E0,1.E0)); +#74711=DIRECTION('',(1.E0,0.E0,0.E0)); +#74712=AXIS2_PLACEMENT_3D('',#74709,#74710,#74711); +#74713=PLANE('',#74712); +#74715=ORIENTED_EDGE('',*,*,#74714,.F.); +#74717=ORIENTED_EDGE('',*,*,#74716,.F.); +#74719=ORIENTED_EDGE('',*,*,#74718,.F.); +#74721=ORIENTED_EDGE('',*,*,#74720,.F.); +#74723=ORIENTED_EDGE('',*,*,#74722,.F.); +#74725=ORIENTED_EDGE('',*,*,#74724,.F.); +#74727=ORIENTED_EDGE('',*,*,#74726,.F.); +#74728=EDGE_LOOP('',(#74715,#74717,#74719,#74721,#74723,#74725,#74727)); +#74729=FACE_OUTER_BOUND('',#74728,.F.); +#74731=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#74732=DIRECTION('',(0.E0,0.E0,1.E0)); +#74733=DIRECTION('',(1.E0,0.E0,0.E0)); +#74734=AXIS2_PLACEMENT_3D('',#74731,#74732,#74733); +#74735=PLANE('',#74734); +#74737=ORIENTED_EDGE('',*,*,#74736,.F.); +#74739=ORIENTED_EDGE('',*,*,#74738,.F.); +#74741=ORIENTED_EDGE('',*,*,#74740,.F.); +#74743=ORIENTED_EDGE('',*,*,#74742,.F.); +#74745=ORIENTED_EDGE('',*,*,#74744,.F.); +#74747=ORIENTED_EDGE('',*,*,#74746,.F.); +#74749=ORIENTED_EDGE('',*,*,#74748,.F.); +#74750=EDGE_LOOP('',(#74737,#74739,#74741,#74743,#74745,#74747,#74749)); +#74751=FACE_OUTER_BOUND('',#74750,.F.); +#74753=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#74754=DIRECTION('',(0.E0,0.E0,1.E0)); +#74755=DIRECTION('',(1.E0,0.E0,0.E0)); +#74756=AXIS2_PLACEMENT_3D('',#74753,#74754,#74755); +#74757=PLANE('',#74756); +#74759=ORIENTED_EDGE('',*,*,#74758,.F.); +#74761=ORIENTED_EDGE('',*,*,#74760,.F.); +#74763=ORIENTED_EDGE('',*,*,#74762,.F.); +#74765=ORIENTED_EDGE('',*,*,#74764,.F.); +#74767=ORIENTED_EDGE('',*,*,#74766,.F.); +#74769=ORIENTED_EDGE('',*,*,#74768,.F.); +#74771=ORIENTED_EDGE('',*,*,#74770,.F.); +#74773=ORIENTED_EDGE('',*,*,#74772,.F.); +#74775=ORIENTED_EDGE('',*,*,#74774,.F.); +#74777=ORIENTED_EDGE('',*,*,#74776,.F.); +#74779=ORIENTED_EDGE('',*,*,#74778,.F.); +#74780=EDGE_LOOP('',(#74759,#74761,#74763,#74765,#74767,#74769,#74771,#74773, +#74775,#74777,#74779)); +#74781=FACE_OUTER_BOUND('',#74780,.F.); +#74783=ORIENTED_EDGE('',*,*,#74782,.F.); +#74785=ORIENTED_EDGE('',*,*,#74784,.F.); +#74787=ORIENTED_EDGE('',*,*,#74786,.F.); +#74788=EDGE_LOOP('',(#74783,#74785,#74787)); +#74789=FACE_BOUND('',#74788,.F.); +#74791=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#74792=DIRECTION('',(0.E0,0.E0,1.E0)); +#74793=DIRECTION('',(1.E0,0.E0,0.E0)); +#74794=AXIS2_PLACEMENT_3D('',#74791,#74792,#74793); +#74795=PLANE('',#74794); +#74797=ORIENTED_EDGE('',*,*,#74796,.F.); +#74799=ORIENTED_EDGE('',*,*,#74798,.F.); +#74801=ORIENTED_EDGE('',*,*,#74800,.F.); +#74803=ORIENTED_EDGE('',*,*,#74802,.F.); +#74805=ORIENTED_EDGE('',*,*,#74804,.F.); +#74807=ORIENTED_EDGE('',*,*,#74806,.F.); +#74809=ORIENTED_EDGE('',*,*,#74808,.F.); +#74811=ORIENTED_EDGE('',*,*,#74810,.F.); +#74813=ORIENTED_EDGE('',*,*,#74812,.F.); +#74815=ORIENTED_EDGE('',*,*,#74814,.F.); +#74817=ORIENTED_EDGE('',*,*,#74816,.F.); +#74819=ORIENTED_EDGE('',*,*,#74818,.F.); +#74821=ORIENTED_EDGE('',*,*,#74820,.F.); +#74823=ORIENTED_EDGE('',*,*,#74822,.F.); +#74825=ORIENTED_EDGE('',*,*,#74824,.F.); +#74827=ORIENTED_EDGE('',*,*,#74826,.F.); +#74829=ORIENTED_EDGE('',*,*,#74828,.F.); +#74831=ORIENTED_EDGE('',*,*,#74830,.F.); +#74833=ORIENTED_EDGE('',*,*,#74832,.F.); +#74835=ORIENTED_EDGE('',*,*,#74834,.F.); +#74837=ORIENTED_EDGE('',*,*,#74836,.F.); +#74839=ORIENTED_EDGE('',*,*,#74838,.F.); +#74841=ORIENTED_EDGE('',*,*,#74840,.F.); +#74843=ORIENTED_EDGE('',*,*,#74842,.F.); +#74845=ORIENTED_EDGE('',*,*,#74844,.F.); +#74847=ORIENTED_EDGE('',*,*,#74846,.F.); +#74849=ORIENTED_EDGE('',*,*,#74848,.F.); +#74851=ORIENTED_EDGE('',*,*,#74850,.F.); +#74852=EDGE_LOOP('',(#74797,#74799,#74801,#74803,#74805,#74807,#74809,#74811, +#74813,#74815,#74817,#74819,#74821,#74823,#74825,#74827,#74829,#74831,#74833, +#74835,#74837,#74839,#74841,#74843,#74845,#74847,#74849,#74851)); +#74853=FACE_OUTER_BOUND('',#74852,.F.); +#74855=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#74856=DIRECTION('',(0.E0,0.E0,1.E0)); +#74857=DIRECTION('',(1.E0,0.E0,0.E0)); +#74858=AXIS2_PLACEMENT_3D('',#74855,#74856,#74857); +#74859=PLANE('',#74858); +#74861=ORIENTED_EDGE('',*,*,#74860,.F.); +#74863=ORIENTED_EDGE('',*,*,#74862,.F.); +#74865=ORIENTED_EDGE('',*,*,#74864,.F.); +#74867=ORIENTED_EDGE('',*,*,#74866,.F.); +#74869=ORIENTED_EDGE('',*,*,#74868,.F.); +#74871=ORIENTED_EDGE('',*,*,#74870,.F.); +#74873=ORIENTED_EDGE('',*,*,#74872,.F.); +#74875=ORIENTED_EDGE('',*,*,#74874,.F.); +#74877=ORIENTED_EDGE('',*,*,#74876,.F.); +#74879=ORIENTED_EDGE('',*,*,#74878,.F.); +#74881=ORIENTED_EDGE('',*,*,#74880,.F.); +#74883=ORIENTED_EDGE('',*,*,#74882,.F.); +#74885=ORIENTED_EDGE('',*,*,#74884,.F.); +#74887=ORIENTED_EDGE('',*,*,#74886,.F.); +#74889=ORIENTED_EDGE('',*,*,#74888,.F.); +#74891=ORIENTED_EDGE('',*,*,#74890,.F.); +#74893=ORIENTED_EDGE('',*,*,#74892,.F.); +#74895=ORIENTED_EDGE('',*,*,#74894,.F.); +#74897=ORIENTED_EDGE('',*,*,#74896,.F.); +#74899=ORIENTED_EDGE('',*,*,#74898,.F.); +#74901=ORIENTED_EDGE('',*,*,#74900,.F.); +#74903=ORIENTED_EDGE('',*,*,#74902,.F.); +#74905=ORIENTED_EDGE('',*,*,#74904,.F.); +#74907=ORIENTED_EDGE('',*,*,#74906,.F.); +#74909=ORIENTED_EDGE('',*,*,#74908,.F.); +#74911=ORIENTED_EDGE('',*,*,#74910,.F.); +#74913=ORIENTED_EDGE('',*,*,#74912,.F.); +#74915=ORIENTED_EDGE('',*,*,#74914,.F.); +#74917=ORIENTED_EDGE('',*,*,#74916,.F.); +#74919=ORIENTED_EDGE('',*,*,#74918,.F.); +#74921=ORIENTED_EDGE('',*,*,#74920,.F.); +#74923=ORIENTED_EDGE('',*,*,#74922,.F.); +#74925=ORIENTED_EDGE('',*,*,#74924,.F.); +#74927=ORIENTED_EDGE('',*,*,#74926,.F.); +#74929=ORIENTED_EDGE('',*,*,#74928,.F.); +#74931=ORIENTED_EDGE('',*,*,#74930,.F.); +#74933=ORIENTED_EDGE('',*,*,#74932,.F.); +#74935=ORIENTED_EDGE('',*,*,#74934,.F.); +#74937=ORIENTED_EDGE('',*,*,#74936,.F.); +#74939=ORIENTED_EDGE('',*,*,#74938,.F.); +#74940=EDGE_LOOP('',(#74861,#74863,#74865,#74867,#74869,#74871,#74873,#74875, +#74877,#74879,#74881,#74883,#74885,#74887,#74889,#74891,#74893,#74895,#74897, +#74899,#74901,#74903,#74905,#74907,#74909,#74911,#74913,#74915,#74917,#74919, +#74921,#74923,#74925,#74927,#74929,#74931,#74933,#74935,#74937,#74939)); +#74941=FACE_OUTER_BOUND('',#74940,.F.); +#74943=ORIENTED_EDGE('',*,*,#74942,.F.); +#74945=ORIENTED_EDGE('',*,*,#74944,.F.); +#74947=ORIENTED_EDGE('',*,*,#74946,.F.); +#74949=ORIENTED_EDGE('',*,*,#74948,.F.); +#74951=ORIENTED_EDGE('',*,*,#74950,.F.); +#74953=ORIENTED_EDGE('',*,*,#74952,.F.); +#74955=ORIENTED_EDGE('',*,*,#74954,.F.); +#74957=ORIENTED_EDGE('',*,*,#74956,.F.); +#74959=ORIENTED_EDGE('',*,*,#74958,.F.); +#74961=ORIENTED_EDGE('',*,*,#74960,.F.); +#74963=ORIENTED_EDGE('',*,*,#74962,.F.); +#74965=ORIENTED_EDGE('',*,*,#74964,.F.); +#74967=ORIENTED_EDGE('',*,*,#74966,.F.); +#74969=ORIENTED_EDGE('',*,*,#74968,.F.); +#74971=ORIENTED_EDGE('',*,*,#74970,.F.); +#74973=ORIENTED_EDGE('',*,*,#74972,.F.); +#74975=ORIENTED_EDGE('',*,*,#74974,.F.); +#74977=ORIENTED_EDGE('',*,*,#74976,.F.); +#74979=ORIENTED_EDGE('',*,*,#74978,.F.); +#74981=ORIENTED_EDGE('',*,*,#74980,.F.); +#74982=EDGE_LOOP('',(#74943,#74945,#74947,#74949,#74951,#74953,#74955,#74957, +#74959,#74961,#74963,#74965,#74967,#74969,#74971,#74973,#74975,#74977,#74979, +#74981)); +#74983=FACE_BOUND('',#74982,.F.); +#74985=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#74986=DIRECTION('',(0.E0,0.E0,1.E0)); +#74987=DIRECTION('',(1.E0,0.E0,0.E0)); +#74988=AXIS2_PLACEMENT_3D('',#74985,#74986,#74987); +#74989=PLANE('',#74988); +#74991=ORIENTED_EDGE('',*,*,#74990,.F.); +#74993=ORIENTED_EDGE('',*,*,#74992,.F.); +#74995=ORIENTED_EDGE('',*,*,#74994,.F.); +#74997=ORIENTED_EDGE('',*,*,#74996,.F.); +#74999=ORIENTED_EDGE('',*,*,#74998,.F.); +#75001=ORIENTED_EDGE('',*,*,#75000,.F.); +#75003=ORIENTED_EDGE('',*,*,#75002,.F.); +#75005=ORIENTED_EDGE('',*,*,#75004,.F.); +#75007=ORIENTED_EDGE('',*,*,#75006,.F.); +#75009=ORIENTED_EDGE('',*,*,#75008,.F.); +#75011=ORIENTED_EDGE('',*,*,#75010,.F.); +#75013=ORIENTED_EDGE('',*,*,#75012,.F.); +#75015=ORIENTED_EDGE('',*,*,#75014,.F.); +#75017=ORIENTED_EDGE('',*,*,#75016,.F.); +#75019=ORIENTED_EDGE('',*,*,#75018,.F.); +#75021=ORIENTED_EDGE('',*,*,#75020,.F.); +#75023=ORIENTED_EDGE('',*,*,#75022,.F.); +#75025=ORIENTED_EDGE('',*,*,#75024,.F.); +#75027=ORIENTED_EDGE('',*,*,#75026,.F.); +#75029=ORIENTED_EDGE('',*,*,#75028,.F.); +#75031=ORIENTED_EDGE('',*,*,#75030,.F.); +#75033=ORIENTED_EDGE('',*,*,#75032,.F.); +#75035=ORIENTED_EDGE('',*,*,#75034,.F.); +#75037=ORIENTED_EDGE('',*,*,#75036,.F.); +#75039=ORIENTED_EDGE('',*,*,#75038,.F.); +#75041=ORIENTED_EDGE('',*,*,#75040,.F.); +#75043=ORIENTED_EDGE('',*,*,#75042,.F.); +#75045=ORIENTED_EDGE('',*,*,#75044,.F.); +#75047=ORIENTED_EDGE('',*,*,#75046,.F.); +#75049=ORIENTED_EDGE('',*,*,#75048,.F.); +#75051=ORIENTED_EDGE('',*,*,#75050,.F.); +#75053=ORIENTED_EDGE('',*,*,#75052,.F.); +#75055=ORIENTED_EDGE('',*,*,#75054,.F.); +#75057=ORIENTED_EDGE('',*,*,#75056,.F.); +#75059=ORIENTED_EDGE('',*,*,#75058,.F.); +#75061=ORIENTED_EDGE('',*,*,#75060,.F.); +#75063=ORIENTED_EDGE('',*,*,#75062,.F.); +#75065=ORIENTED_EDGE('',*,*,#75064,.F.); +#75067=ORIENTED_EDGE('',*,*,#75066,.F.); +#75069=ORIENTED_EDGE('',*,*,#75068,.F.); +#75070=EDGE_LOOP('',(#74991,#74993,#74995,#74997,#74999,#75001,#75003,#75005, +#75007,#75009,#75011,#75013,#75015,#75017,#75019,#75021,#75023,#75025,#75027, +#75029,#75031,#75033,#75035,#75037,#75039,#75041,#75043,#75045,#75047,#75049, +#75051,#75053,#75055,#75057,#75059,#75061,#75063,#75065,#75067,#75069)); +#75071=FACE_OUTER_BOUND('',#75070,.F.); +#75073=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#75074=DIRECTION('',(0.E0,0.E0,1.E0)); +#75075=DIRECTION('',(1.E0,0.E0,0.E0)); +#75076=AXIS2_PLACEMENT_3D('',#75073,#75074,#75075); +#75077=PLANE('',#75076); +#75079=ORIENTED_EDGE('',*,*,#75078,.F.); +#75081=ORIENTED_EDGE('',*,*,#75080,.F.); +#75083=ORIENTED_EDGE('',*,*,#75082,.F.); +#75085=ORIENTED_EDGE('',*,*,#75084,.F.); +#75087=ORIENTED_EDGE('',*,*,#75086,.F.); +#75089=ORIENTED_EDGE('',*,*,#75088,.F.); +#75091=ORIENTED_EDGE('',*,*,#75090,.F.); +#75093=ORIENTED_EDGE('',*,*,#75092,.F.); +#75095=ORIENTED_EDGE('',*,*,#75094,.F.); +#75097=ORIENTED_EDGE('',*,*,#75096,.F.); +#75099=ORIENTED_EDGE('',*,*,#75098,.F.); +#75101=ORIENTED_EDGE('',*,*,#75100,.F.); +#75103=ORIENTED_EDGE('',*,*,#75102,.F.); +#75105=ORIENTED_EDGE('',*,*,#75104,.F.); +#75107=ORIENTED_EDGE('',*,*,#75106,.F.); +#75109=ORIENTED_EDGE('',*,*,#75108,.F.); +#75111=ORIENTED_EDGE('',*,*,#75110,.F.); +#75113=ORIENTED_EDGE('',*,*,#75112,.F.); +#75115=ORIENTED_EDGE('',*,*,#75114,.F.); +#75117=ORIENTED_EDGE('',*,*,#75116,.F.); +#75119=ORIENTED_EDGE('',*,*,#75118,.F.); +#75121=ORIENTED_EDGE('',*,*,#75120,.F.); +#75123=ORIENTED_EDGE('',*,*,#75122,.F.); +#75125=ORIENTED_EDGE('',*,*,#75124,.F.); +#75127=ORIENTED_EDGE('',*,*,#75126,.F.); +#75129=ORIENTED_EDGE('',*,*,#75128,.F.); +#75131=ORIENTED_EDGE('',*,*,#75130,.F.); +#75133=ORIENTED_EDGE('',*,*,#75132,.F.); +#75135=ORIENTED_EDGE('',*,*,#75134,.F.); +#75137=ORIENTED_EDGE('',*,*,#75136,.F.); +#75139=ORIENTED_EDGE('',*,*,#75138,.F.); +#75141=ORIENTED_EDGE('',*,*,#75140,.F.); +#75143=ORIENTED_EDGE('',*,*,#75142,.F.); +#75145=ORIENTED_EDGE('',*,*,#75144,.F.); +#75147=ORIENTED_EDGE('',*,*,#75146,.F.); +#75149=ORIENTED_EDGE('',*,*,#75148,.F.); +#75151=ORIENTED_EDGE('',*,*,#75150,.F.); +#75153=ORIENTED_EDGE('',*,*,#75152,.F.); +#75155=ORIENTED_EDGE('',*,*,#75154,.F.); +#75157=ORIENTED_EDGE('',*,*,#75156,.F.); +#75159=ORIENTED_EDGE('',*,*,#75158,.F.); +#75161=ORIENTED_EDGE('',*,*,#75160,.F.); +#75163=ORIENTED_EDGE('',*,*,#75162,.F.); +#75165=ORIENTED_EDGE('',*,*,#75164,.F.); +#75167=ORIENTED_EDGE('',*,*,#75166,.F.); +#75169=ORIENTED_EDGE('',*,*,#75168,.F.); +#75171=ORIENTED_EDGE('',*,*,#75170,.F.); +#75173=ORIENTED_EDGE('',*,*,#75172,.F.); +#75175=ORIENTED_EDGE('',*,*,#75174,.F.); +#75177=ORIENTED_EDGE('',*,*,#75176,.F.); +#75179=ORIENTED_EDGE('',*,*,#75178,.F.); +#75181=ORIENTED_EDGE('',*,*,#75180,.F.); +#75183=ORIENTED_EDGE('',*,*,#75182,.F.); +#75185=ORIENTED_EDGE('',*,*,#75184,.F.); +#75187=ORIENTED_EDGE('',*,*,#75186,.F.); +#75189=ORIENTED_EDGE('',*,*,#75188,.F.); +#75191=ORIENTED_EDGE('',*,*,#75190,.F.); +#75192=EDGE_LOOP('',(#75079,#75081,#75083,#75085,#75087,#75089,#75091,#75093, +#75095,#75097,#75099,#75101,#75103,#75105,#75107,#75109,#75111,#75113,#75115, +#75117,#75119,#75121,#75123,#75125,#75127,#75129,#75131,#75133,#75135,#75137, +#75139,#75141,#75143,#75145,#75147,#75149,#75151,#75153,#75155,#75157,#75159, +#75161,#75163,#75165,#75167,#75169,#75171,#75173,#75175,#75177,#75179,#75181, +#75183,#75185,#75187,#75189,#75191)); +#75193=FACE_OUTER_BOUND('',#75192,.F.); +#75195=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#75196=DIRECTION('',(0.E0,0.E0,1.E0)); +#75197=DIRECTION('',(1.E0,0.E0,0.E0)); +#75198=AXIS2_PLACEMENT_3D('',#75195,#75196,#75197); +#75199=PLANE('',#75198); +#75201=ORIENTED_EDGE('',*,*,#75200,.F.); +#75203=ORIENTED_EDGE('',*,*,#75202,.F.); +#75205=ORIENTED_EDGE('',*,*,#75204,.F.); +#75207=ORIENTED_EDGE('',*,*,#75206,.F.); +#75209=ORIENTED_EDGE('',*,*,#75208,.F.); +#75211=ORIENTED_EDGE('',*,*,#75210,.F.); +#75213=ORIENTED_EDGE('',*,*,#75212,.F.); +#75215=ORIENTED_EDGE('',*,*,#75214,.F.); +#75217=ORIENTED_EDGE('',*,*,#75216,.F.); +#75219=ORIENTED_EDGE('',*,*,#75218,.F.); +#75221=ORIENTED_EDGE('',*,*,#75220,.F.); +#75223=ORIENTED_EDGE('',*,*,#75222,.F.); +#75225=ORIENTED_EDGE('',*,*,#75224,.F.); +#75227=ORIENTED_EDGE('',*,*,#75226,.F.); +#75229=ORIENTED_EDGE('',*,*,#75228,.F.); +#75231=ORIENTED_EDGE('',*,*,#75230,.F.); +#75233=ORIENTED_EDGE('',*,*,#75232,.F.); +#75235=ORIENTED_EDGE('',*,*,#75234,.F.); +#75237=ORIENTED_EDGE('',*,*,#75236,.F.); +#75239=ORIENTED_EDGE('',*,*,#75238,.F.); +#75241=ORIENTED_EDGE('',*,*,#75240,.F.); +#75243=ORIENTED_EDGE('',*,*,#75242,.F.); +#75245=ORIENTED_EDGE('',*,*,#75244,.F.); +#75247=ORIENTED_EDGE('',*,*,#75246,.F.); +#75249=ORIENTED_EDGE('',*,*,#75248,.F.); +#75251=ORIENTED_EDGE('',*,*,#75250,.F.); +#75253=ORIENTED_EDGE('',*,*,#75252,.F.); +#75255=ORIENTED_EDGE('',*,*,#75254,.F.); +#75257=ORIENTED_EDGE('',*,*,#75256,.F.); +#75259=ORIENTED_EDGE('',*,*,#75258,.F.); +#75261=ORIENTED_EDGE('',*,*,#75260,.F.); +#75263=ORIENTED_EDGE('',*,*,#75262,.F.); +#75265=ORIENTED_EDGE('',*,*,#75264,.F.); +#75267=ORIENTED_EDGE('',*,*,#75266,.F.); +#75269=ORIENTED_EDGE('',*,*,#75268,.F.); +#75271=ORIENTED_EDGE('',*,*,#75270,.F.); +#75273=ORIENTED_EDGE('',*,*,#75272,.F.); +#75275=ORIENTED_EDGE('',*,*,#75274,.F.); +#75277=ORIENTED_EDGE('',*,*,#75276,.F.); +#75279=ORIENTED_EDGE('',*,*,#75278,.F.); +#75280=EDGE_LOOP('',(#75201,#75203,#75205,#75207,#75209,#75211,#75213,#75215, +#75217,#75219,#75221,#75223,#75225,#75227,#75229,#75231,#75233,#75235,#75237, +#75239,#75241,#75243,#75245,#75247,#75249,#75251,#75253,#75255,#75257,#75259, +#75261,#75263,#75265,#75267,#75269,#75271,#75273,#75275,#75277,#75279)); +#75281=FACE_OUTER_BOUND('',#75280,.F.); +#75283=CARTESIAN_POINT('',(0.E0,0.E0,-1.98E1)); +#75284=DIRECTION('',(0.E0,0.E0,1.E0)); +#75285=DIRECTION('',(1.E0,0.E0,0.E0)); +#75286=AXIS2_PLACEMENT_3D('',#75283,#75284,#75285); +#75287=PLANE('',#75286); +#75289=ORIENTED_EDGE('',*,*,#75288,.F.); +#75291=ORIENTED_EDGE('',*,*,#75290,.F.); +#75293=ORIENTED_EDGE('',*,*,#75292,.F.); +#75295=ORIENTED_EDGE('',*,*,#75294,.F.); +#75297=ORIENTED_EDGE('',*,*,#75296,.F.); +#75299=ORIENTED_EDGE('',*,*,#75298,.F.); +#75301=ORIENTED_EDGE('',*,*,#75300,.F.); +#75303=ORIENTED_EDGE('',*,*,#75302,.F.); +#75305=ORIENTED_EDGE('',*,*,#75304,.F.); +#75307=ORIENTED_EDGE('',*,*,#75306,.F.); +#75309=ORIENTED_EDGE('',*,*,#75308,.F.); +#75311=ORIENTED_EDGE('',*,*,#75310,.F.); +#75313=ORIENTED_EDGE('',*,*,#75312,.F.); +#75315=ORIENTED_EDGE('',*,*,#75314,.F.); +#75317=ORIENTED_EDGE('',*,*,#75316,.F.); +#75319=ORIENTED_EDGE('',*,*,#75318,.F.); +#75321=ORIENTED_EDGE('',*,*,#75320,.F.); +#75323=ORIENTED_EDGE('',*,*,#75322,.F.); +#75325=ORIENTED_EDGE('',*,*,#75324,.F.); +#75327=ORIENTED_EDGE('',*,*,#75326,.F.); +#75329=ORIENTED_EDGE('',*,*,#75328,.F.); +#75331=ORIENTED_EDGE('',*,*,#75330,.F.); +#75333=ORIENTED_EDGE('',*,*,#75332,.F.); +#75335=ORIENTED_EDGE('',*,*,#75334,.F.); +#75337=ORIENTED_EDGE('',*,*,#75336,.F.); +#75339=ORIENTED_EDGE('',*,*,#75338,.F.); +#75341=ORIENTED_EDGE('',*,*,#75340,.F.); +#75343=ORIENTED_EDGE('',*,*,#75342,.F.); +#75345=ORIENTED_EDGE('',*,*,#75344,.F.); +#75347=ORIENTED_EDGE('',*,*,#75346,.F.); +#75349=ORIENTED_EDGE('',*,*,#75348,.F.); +#75351=ORIENTED_EDGE('',*,*,#75350,.F.); +#75353=ORIENTED_EDGE('',*,*,#75352,.F.); +#75355=ORIENTED_EDGE('',*,*,#75354,.F.); +#75357=ORIENTED_EDGE('',*,*,#75356,.F.); +#75359=ORIENTED_EDGE('',*,*,#75358,.F.); +#75361=ORIENTED_EDGE('',*,*,#75360,.F.); +#75363=ORIENTED_EDGE('',*,*,#75362,.F.); +#75365=ORIENTED_EDGE('',*,*,#75364,.F.); +#75367=ORIENTED_EDGE('',*,*,#75366,.F.); +#75368=EDGE_LOOP('',(#75289,#75291,#75293,#75295,#75297,#75299,#75301,#75303, +#75305,#75307,#75309,#75311,#75313,#75315,#75317,#75319,#75321,#75323,#75325, +#75327,#75329,#75331,#75333,#75335,#75337,#75339,#75341,#75343,#75345,#75347, +#75349,#75351,#75353,#75355,#75357,#75359,#75361,#75363,#75365,#75367)); +#75369=FACE_OUTER_BOUND('',#75368,.F.); +#75371=ORIENTED_EDGE('',*,*,#75370,.F.); +#75373=ORIENTED_EDGE('',*,*,#75372,.F.); +#75375=ORIENTED_EDGE('',*,*,#75374,.F.); +#75377=ORIENTED_EDGE('',*,*,#75376,.F.); +#75379=ORIENTED_EDGE('',*,*,#75378,.F.); +#75381=ORIENTED_EDGE('',*,*,#75380,.F.); +#75383=ORIENTED_EDGE('',*,*,#75382,.F.); +#75385=ORIENTED_EDGE('',*,*,#75384,.F.); +#75387=ORIENTED_EDGE('',*,*,#75386,.F.); +#75389=ORIENTED_EDGE('',*,*,#75388,.F.); +#75391=ORIENTED_EDGE('',*,*,#75390,.F.); +#75393=ORIENTED_EDGE('',*,*,#75392,.F.); +#75395=ORIENTED_EDGE('',*,*,#75394,.F.); +#75397=ORIENTED_EDGE('',*,*,#75396,.F.); +#75399=ORIENTED_EDGE('',*,*,#75398,.F.); +#75401=ORIENTED_EDGE('',*,*,#75400,.F.); +#75403=ORIENTED_EDGE('',*,*,#75402,.F.); +#75405=ORIENTED_EDGE('',*,*,#75404,.F.); +#75407=ORIENTED_EDGE('',*,*,#75406,.F.); +#75409=ORIENTED_EDGE('',*,*,#75408,.F.); +#75410=EDGE_LOOP('',(#75371,#75373,#75375,#75377,#75379,#75381,#75383,#75385, +#75387,#75389,#75391,#75393,#75395,#75397,#75399,#75401,#75403,#75405,#75407, +#75409)); +#75411=FACE_BOUND('',#75410,.F.); +#75413=CARTESIAN_POINT('',(-4.2405375E1,-3.139148932397E0,-4.099000013158E1)); +#75414=DIRECTION('',(9.269595278361E-1,-3.751613436294E-1,0.E0)); +#75415=DIRECTION('',(3.751613436294E-1,9.269595278361E-1,0.E0)); +#75416=AXIS2_PLACEMENT_3D('',#75413,#75414,#75415); +#75417=PLANE('',#75416); +#75419=ORIENTED_EDGE('',*,*,#75418,.T.); +#75420=ORIENTED_EDGE('',*,*,#74418,.T.); +#75422=ORIENTED_EDGE('',*,*,#75421,.F.); +#75423=ORIENTED_EDGE('',*,*,#71618,.F.); +#75424=EDGE_LOOP('',(#75419,#75420,#75422,#75423)); +#75425=FACE_OUTER_BOUND('',#75424,.F.); +#75427=CARTESIAN_POINT('',(-4.247125E1,-3.211489361972E0,-4.099000013158E1)); +#75428=DIRECTION('',(7.393752633135E-1,-6.732935615317E-1,0.E0)); +#75429=DIRECTION('',(6.732935615317E-1,7.393752633135E-1,0.E0)); +#75430=AXIS2_PLACEMENT_3D('',#75427,#75428,#75429); +#75431=PLANE('',#75430); +#75432=ORIENTED_EDGE('',*,*,#75418,.F.); +#75433=ORIENTED_EDGE('',*,*,#71730,.F.); +#75435=ORIENTED_EDGE('',*,*,#75434,.T.); +#75436=ORIENTED_EDGE('',*,*,#74420,.T.); +#75437=EDGE_LOOP('',(#75432,#75433,#75435,#75436)); +#75438=FACE_OUTER_BOUND('',#75437,.F.); +#75440=CARTESIAN_POINT('',(-4.255908331239E1,-3.283829788379E0, +-4.099000013158E1)); +#75441=DIRECTION('',(6.357444867300E-1,-7.718995709239E-1,0.E0)); +#75442=DIRECTION('',(7.718995709239E-1,6.357444867300E-1,0.E0)); +#75443=AXIS2_PLACEMENT_3D('',#75440,#75441,#75442); +#75444=PLANE('',#75443); +#75446=ORIENTED_EDGE('',*,*,#75445,.T.); +#75447=ORIENTED_EDGE('',*,*,#74422,.T.); +#75448=ORIENTED_EDGE('',*,*,#75434,.F.); +#75449=ORIENTED_EDGE('',*,*,#71728,.F.); +#75450=EDGE_LOOP('',(#75446,#75447,#75448,#75449)); +#75451=FACE_OUTER_BOUND('',#75450,.F.); +#75453=CARTESIAN_POINT('',(-4.264691665620E1,-3.32E0,-4.099000013158E1)); +#75454=DIRECTION('',(3.807816754798E-1,-9.246649747983E-1,0.E0)); +#75455=DIRECTION('',(9.246649747983E-1,3.807816754798E-1,0.E0)); +#75456=AXIS2_PLACEMENT_3D('',#75453,#75454,#75455); +#75457=PLANE('',#75456); +#75459=ORIENTED_EDGE('',*,*,#75458,.T.); +#75460=ORIENTED_EDGE('',*,*,#74424,.T.); +#75461=ORIENTED_EDGE('',*,*,#75445,.F.); +#75462=ORIENTED_EDGE('',*,*,#71726,.F.); +#75463=EDGE_LOOP('',(#75459,#75460,#75461,#75462)); +#75464=FACE_OUTER_BOUND('',#75463,.F.); +#75466=CARTESIAN_POINT('',(-4.282258334380E1,-3.32E0,-4.099000013158E1)); +#75467=DIRECTION('',(0.E0,-1.E0,0.E0)); +#75468=DIRECTION('',(1.E0,0.E0,0.E0)); +#75469=AXIS2_PLACEMENT_3D('',#75466,#75467,#75468); +#75470=PLANE('',#75469); +#75472=ORIENTED_EDGE('',*,*,#75471,.T.); +#75473=ORIENTED_EDGE('',*,*,#74426,.T.); +#75474=ORIENTED_EDGE('',*,*,#75458,.F.); +#75475=ORIENTED_EDGE('',*,*,#71724,.F.); +#75476=EDGE_LOOP('',(#75472,#75473,#75474,#75475)); +#75477=FACE_OUTER_BOUND('',#75476,.F.); +#75479=CARTESIAN_POINT('',(-4.291041667190E1,-3.283829788379E0, +-4.099000013158E1)); +#75480=DIRECTION('',(-3.807817336964E-1,-9.246649508245E-1,0.E0)); +#75481=DIRECTION('',(9.246649508245E-1,-3.807817336964E-1,0.E0)); +#75482=AXIS2_PLACEMENT_3D('',#75479,#75480,#75481); +#75483=PLANE('',#75482); +#75485=ORIENTED_EDGE('',*,*,#75484,.T.); +#75486=ORIENTED_EDGE('',*,*,#74428,.T.); +#75487=ORIENTED_EDGE('',*,*,#75471,.F.); +#75488=ORIENTED_EDGE('',*,*,#71722,.F.); +#75489=EDGE_LOOP('',(#75485,#75486,#75487,#75488)); +#75490=FACE_OUTER_BOUND('',#75489,.F.); +#75492=CARTESIAN_POINT('',(-4.299825E1,-3.211489361972E0,-4.099000013158E1)); +#75493=DIRECTION('',(-6.357444189961E-1,-7.718996267102E-1,0.E0)); +#75494=DIRECTION('',(7.718996267102E-1,-6.357444189961E-1,0.E0)); +#75495=AXIS2_PLACEMENT_3D('',#75492,#75493,#75494); +#75496=PLANE('',#75495); +#75498=ORIENTED_EDGE('',*,*,#75497,.T.); +#75499=ORIENTED_EDGE('',*,*,#74430,.T.); +#75500=ORIENTED_EDGE('',*,*,#75484,.F.); +#75501=ORIENTED_EDGE('',*,*,#71720,.F.); +#75502=EDGE_LOOP('',(#75498,#75499,#75500,#75501)); +#75503=FACE_OUTER_BOUND('',#75502,.F.); +#75505=CARTESIAN_POINT('',(-4.3064125E1,-3.139148932397E0,-4.099000013158E1)); +#75506=DIRECTION('',(-7.393752633136E-1,-6.732935615317E-1,0.E0)); +#75507=DIRECTION('',(6.732935615317E-1,-7.393752633136E-1,0.E0)); +#75508=AXIS2_PLACEMENT_3D('',#75505,#75506,#75507); +#75509=PLANE('',#75508); +#75511=ORIENTED_EDGE('',*,*,#75510,.T.); +#75512=ORIENTED_EDGE('',*,*,#74432,.T.); +#75513=ORIENTED_EDGE('',*,*,#75497,.F.); +#75514=ORIENTED_EDGE('',*,*,#71718,.F.); +#75515=EDGE_LOOP('',(#75511,#75512,#75513,#75514)); +#75516=FACE_OUTER_BOUND('',#75515,.F.); +#75518=CARTESIAN_POINT('',(-4.310804166601E1,-3.030638307035E0, +-4.099000013158E1)); +#75519=DIRECTION('',(-9.269595919912E-1,-3.751611851132E-1,0.E0)); +#75520=DIRECTION('',(3.751611851132E-1,-9.269595919912E-1,0.E0)); +#75521=AXIS2_PLACEMENT_3D('',#75518,#75519,#75520); +#75522=PLANE('',#75521); +#75524=ORIENTED_EDGE('',*,*,#75523,.T.); +#75525=ORIENTED_EDGE('',*,*,#74434,.T.); +#75526=ORIENTED_EDGE('',*,*,#75510,.F.); +#75527=ORIENTED_EDGE('',*,*,#71716,.F.); +#75528=EDGE_LOOP('',(#75524,#75525,#75526,#75527)); +#75529=FACE_OUTER_BOUND('',#75528,.F.); +#75531=CARTESIAN_POINT('',(-4.313E1,-2.922127656341E0,-4.099000013158E1)); +#75532=DIRECTION('',(-9.801331150936E-1,-1.983408094592E-1,0.E0)); +#75533=DIRECTION('',(1.983408094592E-1,-9.801331150936E-1,0.E0)); +#75534=AXIS2_PLACEMENT_3D('',#75531,#75532,#75533); +#75535=PLANE('',#75534); +#75537=ORIENTED_EDGE('',*,*,#75536,.T.); +#75538=ORIENTED_EDGE('',*,*,#74436,.T.); +#75539=ORIENTED_EDGE('',*,*,#75523,.F.); +#75540=ORIENTED_EDGE('',*,*,#71714,.F.); +#75541=EDGE_LOOP('',(#75537,#75538,#75539,#75540)); +#75542=FACE_OUTER_BOUND('',#75541,.F.); +#75544=CARTESIAN_POINT('',(-4.302020833595E1,-2.922127656341E0, +-4.099000013158E1)); +#75545=DIRECTION('',(0.E0,1.E0,0.E0)); +#75546=DIRECTION('',(-1.E0,0.E0,0.E0)); +#75547=AXIS2_PLACEMENT_3D('',#75544,#75545,#75546); +#75548=PLANE('',#75547); +#75550=ORIENTED_EDGE('',*,*,#75549,.T.); +#75551=ORIENTED_EDGE('',*,*,#74438,.T.); +#75552=ORIENTED_EDGE('',*,*,#75536,.F.); +#75553=ORIENTED_EDGE('',*,*,#71712,.F.); +#75554=EDGE_LOOP('',(#75550,#75551,#75552,#75553)); +#75555=FACE_OUTER_BOUND('',#75554,.F.); +#75557=CARTESIAN_POINT('',(-4.299825E1,-2.994468073249E0,-4.099000013158E1)); +#75558=DIRECTION('',(9.568884729065E-1,2.904555911301E-1,0.E0)); +#75559=DIRECTION('',(-2.904555911301E-1,9.568884729065E-1,0.E0)); +#75560=AXIS2_PLACEMENT_3D('',#75557,#75558,#75559); +#75561=PLANE('',#75560); +#75563=ORIENTED_EDGE('',*,*,#75562,.T.); +#75564=ORIENTED_EDGE('',*,*,#74440,.T.); +#75565=ORIENTED_EDGE('',*,*,#75549,.F.); +#75566=ORIENTED_EDGE('',*,*,#71710,.F.); +#75567=EDGE_LOOP('',(#75563,#75564,#75565,#75566)); +#75568=FACE_OUTER_BOUND('',#75567,.F.); +#75570=CARTESIAN_POINT('',(-4.295433332810E1,-3.066808515489E0, +-4.099000013158E1)); +#75571=DIRECTION('',(8.548101120125E-1,5.189409141715E-1,0.E0)); +#75572=DIRECTION('',(-5.189409141715E-1,8.548101120125E-1,0.E0)); +#75573=AXIS2_PLACEMENT_3D('',#75570,#75571,#75572); +#75574=PLANE('',#75573); +#75576=ORIENTED_EDGE('',*,*,#75575,.T.); +#75577=ORIENTED_EDGE('',*,*,#74442,.T.); +#75578=ORIENTED_EDGE('',*,*,#75562,.F.); +#75579=ORIENTED_EDGE('',*,*,#71708,.F.); +#75580=EDGE_LOOP('',(#75576,#75577,#75578,#75579)); +#75581=FACE_OUTER_BOUND('',#75580,.F.); +#75583=CARTESIAN_POINT('',(-4.291041667190E1,-3.102978723943E0, +-4.099000013158E1)); +#75584=DIRECTION('',(6.357444369879E-1,7.718996118919E-1,0.E0)); +#75585=DIRECTION('',(-7.718996118919E-1,6.357444369879E-1,0.E0)); +#75586=AXIS2_PLACEMENT_3D('',#75583,#75584,#75585); +#75587=PLANE('',#75586); +#75589=ORIENTED_EDGE('',*,*,#75588,.T.); +#75590=ORIENTED_EDGE('',*,*,#74444,.T.); +#75591=ORIENTED_EDGE('',*,*,#75575,.F.); +#75592=ORIENTED_EDGE('',*,*,#71706,.F.); +#75593=EDGE_LOOP('',(#75589,#75590,#75591,#75592)); +#75594=FACE_OUTER_BOUND('',#75593,.F.); +#75596=CARTESIAN_POINT('',(-4.2800625E1,-3.139148932397E0,-4.099000013158E1)); +#75597=DIRECTION('',(3.129012013580E-1,9.497856801346E-1,0.E0)); +#75598=DIRECTION('',(-9.497856801346E-1,3.129012013580E-1,0.E0)); +#75599=AXIS2_PLACEMENT_3D('',#75596,#75597,#75598); +#75600=PLANE('',#75599); +#75602=ORIENTED_EDGE('',*,*,#75601,.T.); +#75603=ORIENTED_EDGE('',*,*,#74446,.T.); +#75604=ORIENTED_EDGE('',*,*,#75588,.F.); +#75605=ORIENTED_EDGE('',*,*,#71704,.F.); +#75606=EDGE_LOOP('',(#75602,#75603,#75604,#75605)); +#75607=FACE_OUTER_BOUND('',#75606,.F.); +#75609=CARTESIAN_POINT('',(-4.2668875E1,-3.139148932397E0,-4.099000013158E1)); +#75610=DIRECTION('',(0.E0,1.E0,0.E0)); +#75611=DIRECTION('',(-1.E0,0.E0,0.E0)); +#75612=AXIS2_PLACEMENT_3D('',#75609,#75610,#75611); +#75613=PLANE('',#75612); +#75615=ORIENTED_EDGE('',*,*,#75614,.T.); +#75616=ORIENTED_EDGE('',*,*,#74448,.T.); +#75617=ORIENTED_EDGE('',*,*,#75601,.F.); +#75618=ORIENTED_EDGE('',*,*,#71702,.F.); +#75619=EDGE_LOOP('',(#75615,#75616,#75617,#75618)); +#75620=FACE_OUTER_BOUND('',#75619,.F.); +#75622=CARTESIAN_POINT('',(-4.255908331239E1,-3.102978723943E0, +-4.099000013158E1)); +#75623=DIRECTION('',(-3.129011609795E-1,9.497856934370E-1,0.E0)); +#75624=DIRECTION('',(-9.497856934370E-1,-3.129011609795E-1,0.E0)); +#75625=AXIS2_PLACEMENT_3D('',#75622,#75623,#75624); +#75626=PLANE('',#75625); +#75628=ORIENTED_EDGE('',*,*,#75627,.T.); +#75629=ORIENTED_EDGE('',*,*,#74450,.T.); +#75630=ORIENTED_EDGE('',*,*,#75614,.F.); +#75631=ORIENTED_EDGE('',*,*,#71700,.F.); +#75632=EDGE_LOOP('',(#75628,#75629,#75630,#75631)); +#75633=FACE_OUTER_BOUND('',#75632,.F.); +#75635=CARTESIAN_POINT('',(-4.251516668761E1,-3.066808515489E0, +-4.099000013158E1)); +#75636=DIRECTION('',(-6.357447079233E-1,7.718993887467E-1,0.E0)); +#75637=DIRECTION('',(-7.718993887467E-1,-6.357447079233E-1,0.E0)); +#75638=AXIS2_PLACEMENT_3D('',#75635,#75636,#75637); +#75639=PLANE('',#75638); +#75641=ORIENTED_EDGE('',*,*,#75640,.T.); +#75642=ORIENTED_EDGE('',*,*,#74452,.T.); +#75643=ORIENTED_EDGE('',*,*,#75627,.F.); +#75644=ORIENTED_EDGE('',*,*,#71698,.F.); +#75645=EDGE_LOOP('',(#75641,#75642,#75643,#75644)); +#75646=FACE_OUTER_BOUND('',#75645,.F.); +#75648=CARTESIAN_POINT('',(-4.247125E1,-2.994468073249E0,-4.099000013158E1)); +#75649=DIRECTION('',(-8.548100296866E-1,5.189410497804E-1,0.E0)); +#75650=DIRECTION('',(-5.189410497804E-1,-8.548100296866E-1,0.E0)); +#75651=AXIS2_PLACEMENT_3D('',#75648,#75649,#75650); +#75652=PLANE('',#75651); +#75654=ORIENTED_EDGE('',*,*,#75653,.T.); +#75655=ORIENTED_EDGE('',*,*,#74454,.T.); +#75656=ORIENTED_EDGE('',*,*,#75640,.F.); +#75657=ORIENTED_EDGE('',*,*,#71696,.F.); +#75658=EDGE_LOOP('',(#75654,#75655,#75656,#75657)); +#75659=FACE_OUTER_BOUND('',#75658,.F.); +#75661=CARTESIAN_POINT('',(-4.244929168761E1,-2.922127656341E0, +-4.099000013158E1)); +#75662=DIRECTION('',(-9.568885595175E-1,2.904553057952E-1,0.E0)); +#75663=DIRECTION('',(-2.904553057952E-1,-9.568885595175E-1,0.E0)); +#75664=AXIS2_PLACEMENT_3D('',#75661,#75662,#75663); +#75665=PLANE('',#75664); +#75667=ORIENTED_EDGE('',*,*,#75666,.T.); +#75668=ORIENTED_EDGE('',*,*,#74456,.T.); +#75669=ORIENTED_EDGE('',*,*,#75653,.F.); +#75670=ORIENTED_EDGE('',*,*,#71694,.F.); +#75671=EDGE_LOOP('',(#75667,#75668,#75669,#75670)); +#75672=FACE_OUTER_BOUND('',#75671,.F.); +#75674=CARTESIAN_POINT('',(-4.244929168761E1,-2.777446822524E0, +-4.099000013158E1)); +#75675=DIRECTION('',(-1.E0,0.E0,0.E0)); +#75676=DIRECTION('',(0.E0,-1.E0,0.E0)); +#75677=AXIS2_PLACEMENT_3D('',#75674,#75675,#75676); +#75678=PLANE('',#75677); +#75680=ORIENTED_EDGE('',*,*,#75679,.T.); +#75681=ORIENTED_EDGE('',*,*,#74458,.T.); +#75682=ORIENTED_EDGE('',*,*,#75666,.F.); +#75683=ORIENTED_EDGE('',*,*,#71692,.F.); +#75684=EDGE_LOOP('',(#75680,#75681,#75682,#75683)); +#75685=FACE_OUTER_BOUND('',#75684,.F.); +#75687=CARTESIAN_POINT('',(-4.249320831239E1,-2.632765938044E0, +-4.099000013158E1)); +#75688=DIRECTION('',(-9.568885877863E-1,-2.904552126651E-1,0.E0)); +#75689=DIRECTION('',(2.904552126651E-1,-9.568885877863E-1,0.E0)); +#75690=AXIS2_PLACEMENT_3D('',#75687,#75688,#75689); +#75691=PLANE('',#75690); +#75693=ORIENTED_EDGE('',*,*,#75692,.T.); +#75694=ORIENTED_EDGE('',*,*,#74460,.T.); +#75695=ORIENTED_EDGE('',*,*,#75679,.F.); +#75696=ORIENTED_EDGE('',*,*,#71690,.F.); +#75697=EDGE_LOOP('',(#75693,#75694,#75695,#75696)); +#75698=FACE_OUTER_BOUND('',#75697,.F.); +#75700=CARTESIAN_POINT('',(-4.255908331239E1,-2.560425521135E0, +-4.099000013158E1)); +#75701=DIRECTION('',(-7.393752046279E-1,-6.732936259772E-1,0.E0)); +#75702=DIRECTION('',(6.732936259772E-1,-7.393752046279E-1,0.E0)); +#75703=AXIS2_PLACEMENT_3D('',#75700,#75701,#75702); +#75704=PLANE('',#75703); +#75706=ORIENTED_EDGE('',*,*,#75705,.T.); +#75707=ORIENTED_EDGE('',*,*,#74462,.T.); +#75708=ORIENTED_EDGE('',*,*,#75692,.F.); +#75709=ORIENTED_EDGE('',*,*,#71688,.F.); +#75710=EDGE_LOOP('',(#75706,#75707,#75708,#75709)); +#75711=FACE_OUTER_BOUND('',#75710,.F.); +#75713=CARTESIAN_POINT('',(-4.269083334380E1,-2.524255312681E0, +-4.099000013158E1)); +#75714=DIRECTION('',(-2.647410298673E-1,-9.643195461592E-1,0.E0)); +#75715=DIRECTION('',(9.643195461592E-1,-2.647410298673E-1,0.E0)); +#75716=AXIS2_PLACEMENT_3D('',#75713,#75714,#75715); +#75717=PLANE('',#75716); +#75719=ORIENTED_EDGE('',*,*,#75718,.T.); +#75720=ORIENTED_EDGE('',*,*,#74464,.T.); +#75721=ORIENTED_EDGE('',*,*,#75705,.F.); +#75722=ORIENTED_EDGE('',*,*,#71686,.F.); +#75723=EDGE_LOOP('',(#75719,#75720,#75721,#75722)); +#75724=FACE_OUTER_BOUND('',#75723,.F.); +#75726=CARTESIAN_POINT('',(-4.277866665620E1,-2.524255312681E0, +-4.099000013158E1)); +#75727=DIRECTION('',(0.E0,-1.E0,0.E0)); +#75728=DIRECTION('',(1.E0,0.E0,0.E0)); +#75729=AXIS2_PLACEMENT_3D('',#75726,#75727,#75728); +#75730=PLANE('',#75729); +#75732=ORIENTED_EDGE('',*,*,#75731,.T.); +#75733=ORIENTED_EDGE('',*,*,#74466,.T.); +#75734=ORIENTED_EDGE('',*,*,#75718,.F.); +#75735=ORIENTED_EDGE('',*,*,#71684,.F.); +#75736=EDGE_LOOP('',(#75732,#75733,#75734,#75735)); +#75737=FACE_OUTER_BOUND('',#75736,.F.); +#75739=CARTESIAN_POINT('',(-4.277866665620E1,-2.379574478865E0, +-4.099000013158E1)); +#75740=DIRECTION('',(-1.E0,0.E0,0.E0)); +#75741=DIRECTION('',(0.E0,-1.E0,0.E0)); +#75742=AXIS2_PLACEMENT_3D('',#75739,#75740,#75741); +#75743=PLANE('',#75742); +#75745=ORIENTED_EDGE('',*,*,#75744,.T.); +#75746=ORIENTED_EDGE('',*,*,#74468,.T.); +#75747=ORIENTED_EDGE('',*,*,#75731,.F.); +#75748=ORIENTED_EDGE('',*,*,#71682,.F.); +#75749=EDGE_LOOP('',(#75745,#75746,#75747,#75748)); +#75750=FACE_OUTER_BOUND('',#75749,.F.); +#75752=CARTESIAN_POINT('',(-4.269083334380E1,-2.379574478865E0, +-4.099000013158E1)); +#75753=DIRECTION('',(0.E0,1.E0,0.E0)); +#75754=DIRECTION('',(-1.E0,0.E0,0.E0)); +#75755=AXIS2_PLACEMENT_3D('',#75752,#75753,#75754); +#75756=PLANE('',#75755); +#75758=ORIENTED_EDGE('',*,*,#75757,.T.); +#75759=ORIENTED_EDGE('',*,*,#74470,.T.); +#75760=ORIENTED_EDGE('',*,*,#75744,.F.); +#75761=ORIENTED_EDGE('',*,*,#71680,.F.); +#75762=EDGE_LOOP('',(#75758,#75759,#75760,#75761)); +#75763=FACE_OUTER_BOUND('',#75762,.F.); +#75765=CARTESIAN_POINT('',(-4.255908331239E1,-2.307234061956E0, +-4.099000013158E1)); +#75766=DIRECTION('',(-4.812949366162E-1,8.765587167940E-1,0.E0)); +#75767=DIRECTION('',(-8.765587167940E-1,-4.812949366162E-1,0.E0)); +#75768=AXIS2_PLACEMENT_3D('',#75765,#75766,#75767); +#75769=PLANE('',#75768); +#75771=ORIENTED_EDGE('',*,*,#75770,.T.); +#75772=ORIENTED_EDGE('',*,*,#74472,.T.); +#75773=ORIENTED_EDGE('',*,*,#75757,.F.); +#75774=ORIENTED_EDGE('',*,*,#71678,.F.); +#75775=EDGE_LOOP('',(#75771,#75772,#75773,#75774)); +#75776=FACE_OUTER_BOUND('',#75775,.F.); +#75778=CARTESIAN_POINT('',(-4.251516668761E1,-2.234893645048E0, +-4.099000013158E1)); +#75779=DIRECTION('',(-8.548102783796E-1,5.189406401281E-1,0.E0)); +#75780=DIRECTION('',(-5.189406401281E-1,-8.548102783796E-1,0.E0)); +#75781=AXIS2_PLACEMENT_3D('',#75778,#75779,#75780); +#75782=PLANE('',#75781); +#75784=ORIENTED_EDGE('',*,*,#75783,.T.); +#75785=ORIENTED_EDGE('',*,*,#74474,.T.); +#75786=ORIENTED_EDGE('',*,*,#75770,.F.); +#75787=ORIENTED_EDGE('',*,*,#71676,.F.); +#75788=EDGE_LOOP('',(#75784,#75785,#75786,#75787)); +#75789=FACE_OUTER_BOUND('',#75788,.F.); +#75791=CARTESIAN_POINT('',(-4.247125E1,-2.090212811232E0,-4.099000013158E1)); +#75792=DIRECTION('',(-9.568884440361E-1,2.904556862418E-1,0.E0)); +#75793=DIRECTION('',(-2.904556862418E-1,-9.568884440361E-1,0.E0)); +#75794=AXIS2_PLACEMENT_3D('',#75791,#75792,#75793); +#75795=PLANE('',#75794); +#75797=ORIENTED_EDGE('',*,*,#75796,.T.); +#75798=ORIENTED_EDGE('',*,*,#74476,.T.); +#75799=ORIENTED_EDGE('',*,*,#75783,.F.); +#75800=ORIENTED_EDGE('',*,*,#71674,.F.); +#75801=EDGE_LOOP('',(#75797,#75798,#75799,#75800)); +#75802=FACE_OUTER_BOUND('',#75801,.F.); +#75804=CARTESIAN_POINT('',(-4.247125E1,-2.017872292995E0,-4.099000013158E1)); +#75805=DIRECTION('',(-1.E0,0.E0,0.E0)); +#75806=DIRECTION('',(0.E0,-1.E0,0.E0)); +#75807=AXIS2_PLACEMENT_3D('',#75804,#75805,#75806); +#75808=PLANE('',#75807); +#75810=ORIENTED_EDGE('',*,*,#75809,.T.); +#75811=ORIENTED_EDGE('',*,*,#74478,.T.); +#75812=ORIENTED_EDGE('',*,*,#75796,.F.); +#75813=ORIENTED_EDGE('',*,*,#71672,.F.); +#75814=EDGE_LOOP('',(#75810,#75811,#75812,#75813)); +#75815=FACE_OUTER_BOUND('',#75814,.F.); +#75817=CARTESIAN_POINT('',(-4.251516668761E1,-1.909361667633E0, +-4.099000013158E1)); +#75818=DIRECTION('',(-9.269595278361E-1,-3.751613436294E-1,0.E0)); +#75819=DIRECTION('',(3.751613436294E-1,-9.269595278361E-1,0.E0)); +#75820=AXIS2_PLACEMENT_3D('',#75817,#75818,#75819); +#75821=PLANE('',#75820); +#75823=ORIENTED_EDGE('',*,*,#75822,.T.); +#75824=ORIENTED_EDGE('',*,*,#74480,.T.); +#75825=ORIENTED_EDGE('',*,*,#75809,.F.); +#75826=ORIENTED_EDGE('',*,*,#71670,.F.); +#75827=EDGE_LOOP('',(#75823,#75824,#75825,#75826)); +#75828=FACE_OUTER_BOUND('',#75827,.F.); +#75830=CARTESIAN_POINT('',(-4.258104168761E1,-1.837021250725E0, +-4.099000013158E1)); +#75831=DIRECTION('',(-7.393752046279E-1,-6.732936259771E-1,0.E0)); +#75832=DIRECTION('',(6.732936259771E-1,-7.393752046279E-1,0.E0)); +#75833=AXIS2_PLACEMENT_3D('',#75830,#75831,#75832); +#75834=PLANE('',#75833); +#75836=ORIENTED_EDGE('',*,*,#75835,.T.); +#75837=ORIENTED_EDGE('',*,*,#74482,.T.); +#75838=ORIENTED_EDGE('',*,*,#75822,.F.); +#75839=ORIENTED_EDGE('',*,*,#71668,.F.); +#75840=EDGE_LOOP('',(#75836,#75837,#75838,#75839)); +#75841=FACE_OUTER_BOUND('',#75840,.F.); +#75843=CARTESIAN_POINT('',(-4.269083334380E1,-1.800851042271E0, +-4.099000013158E1)); +#75844=DIRECTION('',(-3.129012417364E-1,-9.497856668322E-1,0.E0)); +#75845=DIRECTION('',(9.497856668322E-1,-3.129012417364E-1,0.E0)); +#75846=AXIS2_PLACEMENT_3D('',#75843,#75844,#75845); +#75847=PLANE('',#75846); +#75849=ORIENTED_EDGE('',*,*,#75848,.T.); +#75850=ORIENTED_EDGE('',*,*,#74484,.T.); +#75851=ORIENTED_EDGE('',*,*,#75835,.F.); +#75852=ORIENTED_EDGE('',*,*,#71666,.F.); +#75853=EDGE_LOOP('',(#75849,#75850,#75851,#75852)); +#75854=FACE_OUTER_BOUND('',#75853,.F.); +#75856=CARTESIAN_POINT('',(-4.277866665620E1,-1.800851042271E0, +-4.099000013158E1)); +#75857=DIRECTION('',(0.E0,-1.E0,0.E0)); +#75858=DIRECTION('',(1.E0,0.E0,0.E0)); +#75859=AXIS2_PLACEMENT_3D('',#75856,#75857,#75858); +#75860=PLANE('',#75859); +#75862=ORIENTED_EDGE('',*,*,#75861,.T.); +#75863=ORIENTED_EDGE('',*,*,#74486,.T.); +#75864=ORIENTED_EDGE('',*,*,#75848,.F.); +#75865=ORIENTED_EDGE('',*,*,#71664,.F.); +#75866=EDGE_LOOP('',(#75862,#75863,#75864,#75865)); +#75867=FACE_OUTER_BOUND('',#75866,.F.); +#75869=CARTESIAN_POINT('',(-4.288845832810E1,-1.837021250725E0, +-4.099000013158E1)); +#75870=DIRECTION('',(3.129012013580E-1,-9.497856801346E-1,0.E0)); +#75871=DIRECTION('',(9.497856801346E-1,3.129012013580E-1,0.E0)); +#75872=AXIS2_PLACEMENT_3D('',#75869,#75870,#75871); +#75873=PLANE('',#75872); +#75875=ORIENTED_EDGE('',*,*,#75874,.T.); +#75876=ORIENTED_EDGE('',*,*,#74488,.T.); +#75877=ORIENTED_EDGE('',*,*,#75861,.F.); +#75878=ORIENTED_EDGE('',*,*,#71662,.F.); +#75879=EDGE_LOOP('',(#75875,#75876,#75877,#75878)); +#75880=FACE_OUTER_BOUND('',#75879,.F.); +#75882=CARTESIAN_POINT('',(-4.295433332810E1,-1.909361667633E0, +-4.099000013158E1)); +#75883=DIRECTION('',(7.393752046279E-1,-6.732936259771E-1,0.E0)); +#75884=DIRECTION('',(6.732936259771E-1,7.393752046279E-1,0.E0)); +#75885=AXIS2_PLACEMENT_3D('',#75882,#75883,#75884); +#75886=PLANE('',#75885); +#75888=ORIENTED_EDGE('',*,*,#75887,.T.); +#75889=ORIENTED_EDGE('',*,*,#74490,.T.); +#75890=ORIENTED_EDGE('',*,*,#75874,.F.); +#75891=ORIENTED_EDGE('',*,*,#71660,.F.); +#75892=EDGE_LOOP('',(#75888,#75889,#75890,#75891)); +#75893=FACE_OUTER_BOUND('',#75892,.F.); +#75895=CARTESIAN_POINT('',(-4.299825E1,-2.017872292995E0,-4.099000013158E1)); +#75896=DIRECTION('',(9.269595744943E-1,-3.751612283450E-1,0.E0)); +#75897=DIRECTION('',(3.751612283450E-1,9.269595744943E-1,0.E0)); +#75898=AXIS2_PLACEMENT_3D('',#75895,#75896,#75897); +#75899=PLANE('',#75898); +#75901=ORIENTED_EDGE('',*,*,#75900,.T.); +#75902=ORIENTED_EDGE('',*,*,#74492,.T.); +#75903=ORIENTED_EDGE('',*,*,#75887,.F.); +#75904=ORIENTED_EDGE('',*,*,#71658,.F.); +#75905=EDGE_LOOP('',(#75901,#75902,#75903,#75904)); +#75906=FACE_OUTER_BOUND('',#75905,.F.); +#75908=CARTESIAN_POINT('',(-4.310804166601E1,-2.017872292995E0, +-4.099000013158E1)); +#75909=DIRECTION('',(0.E0,-1.E0,0.E0)); +#75910=DIRECTION('',(1.E0,0.E0,0.E0)); +#75911=AXIS2_PLACEMENT_3D('',#75908,#75909,#75910); +#75912=PLANE('',#75911); +#75914=ORIENTED_EDGE('',*,*,#75913,.T.); +#75915=ORIENTED_EDGE('',*,*,#74494,.T.); +#75916=ORIENTED_EDGE('',*,*,#75900,.F.); +#75917=ORIENTED_EDGE('',*,*,#71656,.F.); +#75918=EDGE_LOOP('',(#75914,#75915,#75916,#75917)); +#75919=FACE_OUTER_BOUND('',#75918,.F.); +#75921=CARTESIAN_POINT('',(-4.308608333202E1,-1.873191459179E0, +-4.099000013158E1)); +#75922=DIRECTION('',(-9.886780285054E-1,1.500525106442E-1,0.E0)); +#75923=DIRECTION('',(-1.500525106442E-1,-9.886780285054E-1,0.E0)); +#75924=AXIS2_PLACEMENT_3D('',#75921,#75922,#75923); +#75925=PLANE('',#75924); +#75927=ORIENTED_EDGE('',*,*,#75926,.T.); +#75928=ORIENTED_EDGE('',*,*,#74496,.T.); +#75929=ORIENTED_EDGE('',*,*,#75913,.F.); +#75930=ORIENTED_EDGE('',*,*,#71654,.F.); +#75931=EDGE_LOOP('',(#75927,#75928,#75929,#75930)); +#75932=FACE_OUTER_BOUND('',#75931,.F.); +#75934=CARTESIAN_POINT('',(-4.299825E1,-1.728510625362E0,-4.099000013158E1)); +#75935=DIRECTION('',(-8.548100622739E-1,5.189409961019E-1,0.E0)); +#75936=DIRECTION('',(-5.189409961019E-1,-8.548100622739E-1,0.E0)); +#75937=AXIS2_PLACEMENT_3D('',#75934,#75935,#75936); +#75938=PLANE('',#75937); +#75940=ORIENTED_EDGE('',*,*,#75939,.T.); +#75941=ORIENTED_EDGE('',*,*,#74498,.T.); +#75942=ORIENTED_EDGE('',*,*,#75926,.F.); +#75943=ORIENTED_EDGE('',*,*,#71652,.F.); +#75944=EDGE_LOOP('',(#75940,#75941,#75942,#75943)); +#75945=FACE_OUTER_BOUND('',#75944,.F.); +#75947=CARTESIAN_POINT('',(-4.291041667190E1,-1.656170208454E0, +-4.099000013158E1)); +#75948=DIRECTION('',(-6.357443692541E-1,7.718996676782E-1,0.E0)); +#75949=DIRECTION('',(-7.718996676782E-1,-6.357443692541E-1,0.E0)); +#75950=AXIS2_PLACEMENT_3D('',#75947,#75948,#75949); +#75951=PLANE('',#75950); +#75953=ORIENTED_EDGE('',*,*,#75952,.T.); +#75954=ORIENTED_EDGE('',*,*,#74500,.T.); +#75955=ORIENTED_EDGE('',*,*,#75939,.F.); +#75956=ORIENTED_EDGE('',*,*,#71650,.F.); +#75957=EDGE_LOOP('',(#75953,#75954,#75955,#75956)); +#75958=FACE_OUTER_BOUND('',#75957,.F.); +#75960=CARTESIAN_POINT('',(-4.275670834380E1,-1.62E0,-4.099000013158E1)); +#75961=DIRECTION('',(-2.290605940445E-1,9.734121656606E-1,0.E0)); +#75962=DIRECTION('',(-9.734121656606E-1,-2.290605940445E-1,0.E0)); +#75963=AXIS2_PLACEMENT_3D('',#75960,#75961,#75962); +#75964=PLANE('',#75963); +#75966=ORIENTED_EDGE('',*,*,#75965,.T.); +#75967=ORIENTED_EDGE('',*,*,#74502,.T.); +#75968=ORIENTED_EDGE('',*,*,#75952,.F.); +#75969=ORIENTED_EDGE('',*,*,#71648,.F.); +#75970=EDGE_LOOP('',(#75966,#75967,#75968,#75969)); +#75971=FACE_OUTER_BOUND('',#75970,.F.); +#75973=CARTESIAN_POINT('',(-4.271279165620E1,-1.62E0,-4.099000013158E1)); +#75974=DIRECTION('',(0.E0,1.E0,0.E0)); +#75975=DIRECTION('',(-1.E0,0.E0,0.E0)); +#75976=AXIS2_PLACEMENT_3D('',#75973,#75974,#75975); +#75977=PLANE('',#75976); +#75979=ORIENTED_EDGE('',*,*,#75978,.T.); +#75980=ORIENTED_EDGE('',*,*,#74504,.T.); +#75981=ORIENTED_EDGE('',*,*,#75965,.F.); +#75982=ORIENTED_EDGE('',*,*,#71646,.F.); +#75983=EDGE_LOOP('',(#75979,#75980,#75981,#75982)); +#75984=FACE_OUTER_BOUND('',#75983,.F.); +#75986=CARTESIAN_POINT('',(-4.255908331239E1,-1.656170208454E0, +-4.099000013158E1)); +#75987=DIRECTION('',(2.290605718673E-1,9.734121708792E-1,0.E0)); +#75988=DIRECTION('',(-9.734121708792E-1,2.290605718673E-1,0.E0)); +#75989=AXIS2_PLACEMENT_3D('',#75986,#75987,#75988); +#75990=PLANE('',#75989); +#75992=ORIENTED_EDGE('',*,*,#75991,.T.); +#75993=ORIENTED_EDGE('',*,*,#74506,.T.); +#75994=ORIENTED_EDGE('',*,*,#75978,.F.); +#75995=ORIENTED_EDGE('',*,*,#71644,.F.); +#75996=EDGE_LOOP('',(#75992,#75993,#75994,#75995)); +#75997=FACE_OUTER_BOUND('',#75996,.F.); +#75999=CARTESIAN_POINT('',(-4.247125E1,-1.728510625362E0,-4.099000013158E1)); +#76000=DIRECTION('',(6.357444369879E-1,7.718996118919E-1,0.E0)); +#76001=DIRECTION('',(-7.718996118919E-1,6.357444369879E-1,0.E0)); +#76002=AXIS2_PLACEMENT_3D('',#75999,#76000,#76001); +#76003=PLANE('',#76002); +#76005=ORIENTED_EDGE('',*,*,#76004,.T.); +#76006=ORIENTED_EDGE('',*,*,#74508,.T.); +#76007=ORIENTED_EDGE('',*,*,#75991,.F.); +#76008=ORIENTED_EDGE('',*,*,#71642,.F.); +#76009=EDGE_LOOP('',(#76005,#76006,#76007,#76008)); +#76010=FACE_OUTER_BOUND('',#76009,.F.); +#76012=CARTESIAN_POINT('',(-4.238341668761E1,-1.873191459179E0, +-4.099000013158E1)); +#76013=DIRECTION('',(8.548101137277E-1,5.189409113462E-1,0.E0)); +#76014=DIRECTION('',(-5.189409113462E-1,8.548101137277E-1,0.E0)); +#76015=AXIS2_PLACEMENT_3D('',#76012,#76013,#76014); +#76016=PLANE('',#76015); +#76018=ORIENTED_EDGE('',*,*,#76017,.T.); +#76019=ORIENTED_EDGE('',*,*,#74510,.T.); +#76020=ORIENTED_EDGE('',*,*,#76004,.F.); +#76021=ORIENTED_EDGE('',*,*,#71640,.F.); +#76022=EDGE_LOOP('',(#76018,#76019,#76020,#76021)); +#76023=FACE_OUTER_BOUND('',#76022,.F.); +#76025=CARTESIAN_POINT('',(-4.236145831239E1,-2.017872292995E0, +-4.099000013158E1)); +#76026=DIRECTION('',(9.886779867096E-1,1.500527860313E-1,0.E0)); +#76027=DIRECTION('',(-1.500527860313E-1,9.886779867096E-1,0.E0)); +#76028=AXIS2_PLACEMENT_3D('',#76025,#76026,#76027); +#76029=PLANE('',#76028); +#76031=ORIENTED_EDGE('',*,*,#76030,.T.); +#76032=ORIENTED_EDGE('',*,*,#74512,.T.); +#76033=ORIENTED_EDGE('',*,*,#76017,.F.); +#76034=ORIENTED_EDGE('',*,*,#71638,.F.); +#76035=EDGE_LOOP('',(#76031,#76032,#76033,#76034)); +#76036=FACE_OUTER_BOUND('',#76035,.F.); +#76038=CARTESIAN_POINT('',(-4.236145831239E1,-2.090212811232E0, +-4.099000013158E1)); +#76039=DIRECTION('',(1.E0,0.E0,0.E0)); +#76040=DIRECTION('',(0.E0,1.E0,0.E0)); +#76041=AXIS2_PLACEMENT_3D('',#76038,#76039,#76040); +#76042=PLANE('',#76041); +#76044=ORIENTED_EDGE('',*,*,#76043,.T.); +#76045=ORIENTED_EDGE('',*,*,#74514,.T.); +#76046=ORIENTED_EDGE('',*,*,#76030,.F.); +#76047=ORIENTED_EDGE('',*,*,#71636,.F.); +#76048=EDGE_LOOP('',(#76044,#76045,#76046,#76047)); +#76049=FACE_OUTER_BOUND('',#76048,.F.); +#76051=CARTESIAN_POINT('',(-4.238341668761E1,-2.234893645048E0, +-4.099000013158E1)); +#76052=DIRECTION('',(9.886779867096E-1,-1.500527860313E-1,0.E0)); +#76053=DIRECTION('',(1.500527860313E-1,9.886779867096E-1,0.E0)); +#76054=AXIS2_PLACEMENT_3D('',#76051,#76052,#76053); +#76055=PLANE('',#76054); +#76057=ORIENTED_EDGE('',*,*,#76056,.T.); +#76058=ORIENTED_EDGE('',*,*,#74516,.T.); +#76059=ORIENTED_EDGE('',*,*,#76043,.F.); +#76060=ORIENTED_EDGE('',*,*,#71634,.F.); +#76061=EDGE_LOOP('',(#76057,#76058,#76059,#76060)); +#76062=FACE_OUTER_BOUND('',#76061,.F.); +#76064=CARTESIAN_POINT('',(-4.242733331239E1,-2.343404270411E0, +-4.099000013158E1)); +#76065=DIRECTION('',(9.269597144689E-1,-3.751608824914E-1,0.E0)); +#76066=DIRECTION('',(3.751608824914E-1,9.269597144689E-1,0.E0)); +#76067=AXIS2_PLACEMENT_3D('',#76064,#76065,#76066); +#76068=PLANE('',#76067); +#76070=ORIENTED_EDGE('',*,*,#76069,.T.); +#76071=ORIENTED_EDGE('',*,*,#74518,.T.); +#76072=ORIENTED_EDGE('',*,*,#76056,.F.); +#76073=ORIENTED_EDGE('',*,*,#71632,.F.); +#76074=EDGE_LOOP('',(#76070,#76071,#76072,#76073)); +#76075=FACE_OUTER_BOUND('',#76074,.F.); +#76077=CARTESIAN_POINT('',(-4.251516668761E1,-2.451914895773E0, +-4.099000013158E1)); +#76078=DIRECTION('',(7.772748546322E-1,-6.291611878975E-1,0.E0)); +#76079=DIRECTION('',(6.291611878975E-1,7.772748546322E-1,0.E0)); +#76080=AXIS2_PLACEMENT_3D('',#76077,#76078,#76079); +#76081=PLANE('',#76080); +#76083=ORIENTED_EDGE('',*,*,#76082,.T.); +#76084=ORIENTED_EDGE('',*,*,#74520,.T.); +#76085=ORIENTED_EDGE('',*,*,#76069,.F.); +#76086=ORIENTED_EDGE('',*,*,#71630,.F.); +#76087=EDGE_LOOP('',(#76083,#76084,#76085,#76086)); +#76088=FACE_OUTER_BOUND('',#76087,.F.); +#76090=CARTESIAN_POINT('',(-4.2405375E1,-2.524255312681E0,-4.099000013158E1)); +#76091=DIRECTION('',(5.501951877784E-1,8.350360802657E-1,0.E0)); +#76092=DIRECTION('',(-8.350360802657E-1,5.501951877784E-1,0.E0)); +#76093=AXIS2_PLACEMENT_3D('',#76090,#76091,#76092); +#76094=PLANE('',#76093); +#76096=ORIENTED_EDGE('',*,*,#76095,.T.); +#76097=ORIENTED_EDGE('',*,*,#74522,.T.); +#76098=ORIENTED_EDGE('',*,*,#76082,.F.); +#76099=ORIENTED_EDGE('',*,*,#71628,.F.); +#76100=EDGE_LOOP('',(#76096,#76097,#76098,#76099)); +#76101=FACE_OUTER_BOUND('',#76100,.F.); +#76103=CARTESIAN_POINT('',(-4.236145831239E1,-2.632765938044E0, +-4.099000013158E1)); +#76104=DIRECTION('',(9.269595278361E-1,3.751613436294E-1,0.E0)); +#76105=DIRECTION('',(-3.751613436294E-1,9.269595278361E-1,0.E0)); +#76106=AXIS2_PLACEMENT_3D('',#76103,#76104,#76105); +#76107=PLANE('',#76106); +#76109=ORIENTED_EDGE('',*,*,#76108,.T.); +#76110=ORIENTED_EDGE('',*,*,#74524,.T.); +#76111=ORIENTED_EDGE('',*,*,#76095,.F.); +#76112=ORIENTED_EDGE('',*,*,#71626,.F.); +#76113=EDGE_LOOP('',(#76109,#76110,#76111,#76112)); +#76114=FACE_OUTER_BOUND('',#76113,.F.); +#76116=CARTESIAN_POINT('',(-4.23395E1,-2.777446822524E0,-4.099000013158E1)); +#76117=DIRECTION('',(9.886780581936E-1,1.500523150319E-1,0.E0)); +#76118=DIRECTION('',(-1.500523150319E-1,9.886780581936E-1,0.E0)); +#76119=AXIS2_PLACEMENT_3D('',#76116,#76117,#76118); +#76120=PLANE('',#76119); +#76122=ORIENTED_EDGE('',*,*,#76121,.T.); +#76123=ORIENTED_EDGE('',*,*,#74526,.T.); +#76124=ORIENTED_EDGE('',*,*,#76108,.F.); +#76125=ORIENTED_EDGE('',*,*,#71624,.F.); +#76126=EDGE_LOOP('',(#76122,#76123,#76124,#76125)); +#76127=FACE_OUTER_BOUND('',#76126,.F.); +#76129=CARTESIAN_POINT('',(-4.23395E1,-2.922127656341E0,-4.099000013158E1)); +#76130=DIRECTION('',(1.E0,0.E0,0.E0)); +#76131=DIRECTION('',(0.E0,1.E0,0.E0)); +#76132=AXIS2_PLACEMENT_3D('',#76129,#76130,#76131); +#76133=PLANE('',#76132); +#76135=ORIENTED_EDGE('',*,*,#76134,.T.); +#76136=ORIENTED_EDGE('',*,*,#74528,.T.); +#76137=ORIENTED_EDGE('',*,*,#76121,.F.); +#76138=ORIENTED_EDGE('',*,*,#71622,.F.); +#76139=EDGE_LOOP('',(#76135,#76136,#76137,#76138)); +#76140=FACE_OUTER_BOUND('',#76139,.F.); +#76142=CARTESIAN_POINT('',(-4.236145831239E1,-3.030638307035E0, +-4.099000013158E1)); +#76143=DIRECTION('',(9.801331530140E-1,-1.983406220693E-1,0.E0)); +#76144=DIRECTION('',(1.983406220693E-1,9.801331530140E-1,0.E0)); +#76145=AXIS2_PLACEMENT_3D('',#76142,#76143,#76144); +#76146=PLANE('',#76145); +#76147=ORIENTED_EDGE('',*,*,#75421,.T.); +#76148=ORIENTED_EDGE('',*,*,#74530,.T.); +#76149=ORIENTED_EDGE('',*,*,#76134,.F.); +#76150=ORIENTED_EDGE('',*,*,#71620,.F.); +#76151=EDGE_LOOP('',(#76147,#76148,#76149,#76150)); +#76152=FACE_OUTER_BOUND('',#76151,.F.); +#76154=CARTESIAN_POINT('',(-4.1093E1,4.3E-1,-4.099000013158E1)); +#76155=DIRECTION('',(1.E0,0.E0,0.E0)); +#76156=DIRECTION('',(0.E0,1.E0,0.E0)); +#76157=AXIS2_PLACEMENT_3D('',#76154,#76155,#76156); +#76158=PLANE('',#76157); +#76160=ORIENTED_EDGE('',*,*,#76159,.T.); +#76161=ORIENTED_EDGE('',*,*,#74540,.T.); +#76163=ORIENTED_EDGE('',*,*,#76162,.F.); +#76164=ORIENTED_EDGE('',*,*,#71734,.F.); +#76165=EDGE_LOOP('',(#76160,#76161,#76163,#76164)); +#76166=FACE_OUTER_BOUND('',#76165,.F.); +#76168=CARTESIAN_POINT('',(-4.11965E1,4.3E-1,-4.099000013158E1)); +#76169=DIRECTION('',(0.E0,-1.E0,0.E0)); +#76170=DIRECTION('',(1.E0,0.E0,0.E0)); +#76171=AXIS2_PLACEMENT_3D('',#76168,#76169,#76170); +#76172=PLANE('',#76171); +#76173=ORIENTED_EDGE('',*,*,#76159,.F.); +#76174=ORIENTED_EDGE('',*,*,#71746,.F.); +#76176=ORIENTED_EDGE('',*,*,#76175,.T.); +#76177=ORIENTED_EDGE('',*,*,#74542,.T.); +#76178=EDGE_LOOP('',(#76173,#76174,#76176,#76177)); +#76179=FACE_OUTER_BOUND('',#76178,.F.); +#76181=CARTESIAN_POINT('',(-4.11965E1,1.923617057800E0,-4.099000013158E1)); +#76182=DIRECTION('',(-1.E0,0.E0,0.E0)); +#76183=DIRECTION('',(0.E0,-1.E0,0.E0)); +#76184=AXIS2_PLACEMENT_3D('',#76181,#76182,#76183); +#76185=PLANE('',#76184); +#76187=ORIENTED_EDGE('',*,*,#76186,.T.); +#76188=ORIENTED_EDGE('',*,*,#74544,.T.); +#76189=ORIENTED_EDGE('',*,*,#76175,.F.); +#76190=ORIENTED_EDGE('',*,*,#71744,.F.); +#76191=EDGE_LOOP('',(#76187,#76188,#76189,#76190)); +#76192=FACE_OUTER_BOUND('',#76191,.F.); +#76194=CARTESIAN_POINT('',(-4.13E1,1.655531876087E0,-4.099000013158E1)); +#76195=DIRECTION('',(9.328897800705E-1,-3.601619888884E-1,0.E0)); +#76196=DIRECTION('',(3.601619888884E-1,9.328897800705E-1,0.E0)); +#76197=AXIS2_PLACEMENT_3D('',#76194,#76195,#76196); +#76198=PLANE('',#76197); +#76200=ORIENTED_EDGE('',*,*,#76199,.T.); +#76201=ORIENTED_EDGE('',*,*,#74546,.T.); +#76202=ORIENTED_EDGE('',*,*,#76186,.F.); +#76203=ORIENTED_EDGE('',*,*,#71742,.F.); +#76204=EDGE_LOOP('',(#76200,#76201,#76202,#76203)); +#76205=FACE_OUTER_BOUND('',#76204,.F.); +#76207=CARTESIAN_POINT('',(-4.13E1,1.961914925575E0,-4.099000013158E1)); +#76208=DIRECTION('',(-1.E0,0.E0,0.E0)); +#76209=DIRECTION('',(0.E0,-1.E0,0.E0)); +#76210=AXIS2_PLACEMENT_3D('',#76207,#76208,#76209); +#76211=PLANE('',#76210); +#76213=ORIENTED_EDGE('',*,*,#76212,.T.); +#76214=ORIENTED_EDGE('',*,*,#74548,.T.); +#76215=ORIENTED_EDGE('',*,*,#76199,.F.); +#76216=ORIENTED_EDGE('',*,*,#71740,.F.); +#76217=EDGE_LOOP('',(#76213,#76214,#76215,#76216)); +#76218=FACE_OUTER_BOUND('',#76217,.F.); +#76220=CARTESIAN_POINT('',(-4.11965E1,2.23E0,-4.099000013158E1)); +#76221=DIRECTION('',(-9.328897316414E-1,3.601621143291E-1,0.E0)); +#76222=DIRECTION('',(-3.601621143291E-1,-9.328897316414E-1,0.E0)); +#76223=AXIS2_PLACEMENT_3D('',#76220,#76221,#76222); +#76224=PLANE('',#76223); +#76226=ORIENTED_EDGE('',*,*,#76225,.T.); +#76227=ORIENTED_EDGE('',*,*,#74550,.T.); +#76228=ORIENTED_EDGE('',*,*,#76212,.F.); +#76229=ORIENTED_EDGE('',*,*,#71738,.F.); +#76230=EDGE_LOOP('',(#76226,#76227,#76228,#76229)); +#76231=FACE_OUTER_BOUND('',#76230,.F.); +#76233=CARTESIAN_POINT('',(-4.1093E1,2.23E0,-4.099000013158E1)); +#76234=DIRECTION('',(0.E0,1.E0,0.E0)); +#76235=DIRECTION('',(-1.E0,0.E0,0.E0)); +#76236=AXIS2_PLACEMENT_3D('',#76233,#76234,#76235); +#76237=PLANE('',#76236); +#76238=ORIENTED_EDGE('',*,*,#76162,.T.); +#76239=ORIENTED_EDGE('',*,*,#74552,.T.); +#76240=ORIENTED_EDGE('',*,*,#76225,.F.); +#76241=ORIENTED_EDGE('',*,*,#71736,.F.); +#76242=EDGE_LOOP('',(#76238,#76239,#76240,#76241)); +#76243=FACE_OUTER_BOUND('',#76242,.F.); +#76245=CARTESIAN_POINT('',(-4.083425E1,1.234255330563E0,-4.099000013158E1)); +#76246=DIRECTION('',(8.572827629740E-1,-5.148458646116E-1,0.E0)); +#76247=DIRECTION('',(5.148458646116E-1,8.572827629740E-1,0.E0)); +#76248=AXIS2_PLACEMENT_3D('',#76245,#76246,#76247); +#76249=PLANE('',#76248); +#76251=ORIENTED_EDGE('',*,*,#76250,.T.); +#76252=ORIENTED_EDGE('',*,*,#74562,.T.); +#76254=ORIENTED_EDGE('',*,*,#76253,.F.); +#76255=ORIENTED_EDGE('',*,*,#71750,.F.); +#76256=EDGE_LOOP('',(#76251,#76252,#76254,#76255)); +#76257=FACE_OUTER_BOUND('',#76256,.F.); +#76259=CARTESIAN_POINT('',(-4.095500000823E1,1.234255330563E0, +-4.099000013158E1)); +#76260=DIRECTION('',(0.E0,-1.E0,0.E0)); +#76261=DIRECTION('',(1.E0,0.E0,0.E0)); +#76262=AXIS2_PLACEMENT_3D('',#76259,#76260,#76261); +#76263=PLANE('',#76262); +#76264=ORIENTED_EDGE('',*,*,#76250,.F.); +#76265=ORIENTED_EDGE('',*,*,#71828,.F.); +#76267=ORIENTED_EDGE('',*,*,#76266,.T.); +#76268=ORIENTED_EDGE('',*,*,#74564,.T.); +#76269=EDGE_LOOP('',(#76264,#76265,#76267,#76268)); +#76270=FACE_OUTER_BOUND('',#76269,.F.); +#76272=CARTESIAN_POINT('',(-4.095500000823E1,2.23E0,-4.099000013158E1)); +#76273=DIRECTION('',(-1.E0,0.E0,0.E0)); +#76274=DIRECTION('',(0.E0,-1.E0,0.E0)); +#76275=AXIS2_PLACEMENT_3D('',#76272,#76273,#76274); +#76276=PLANE('',#76275); +#76278=ORIENTED_EDGE('',*,*,#76277,.T.); +#76279=ORIENTED_EDGE('',*,*,#74566,.T.); +#76280=ORIENTED_EDGE('',*,*,#76266,.F.); +#76281=ORIENTED_EDGE('',*,*,#71826,.F.); +#76282=EDGE_LOOP('',(#76278,#76279,#76280,#76281)); +#76283=FACE_OUTER_BOUND('',#76282,.F.); +#76285=CARTESIAN_POINT('',(-4.03685E1,2.23E0,-4.099000013158E1)); +#76286=DIRECTION('',(0.E0,1.E0,0.E0)); +#76287=DIRECTION('',(-1.E0,0.E0,0.E0)); +#76288=AXIS2_PLACEMENT_3D('',#76285,#76286,#76287); +#76289=PLANE('',#76288); +#76291=ORIENTED_EDGE('',*,*,#76290,.T.); +#76292=ORIENTED_EDGE('',*,*,#74568,.T.); +#76293=ORIENTED_EDGE('',*,*,#76277,.F.); +#76294=ORIENTED_EDGE('',*,*,#71824,.F.); +#76295=EDGE_LOOP('',(#76291,#76292,#76293,#76294)); +#76296=FACE_OUTER_BOUND('',#76295,.F.); +#76298=CARTESIAN_POINT('',(-4.03685E1,2.038510661125E0,-4.099000013158E1)); +#76299=DIRECTION('',(1.E0,0.E0,0.E0)); +#76300=DIRECTION('',(0.E0,1.E0,0.E0)); +#76301=AXIS2_PLACEMENT_3D('',#76298,#76299,#76300); +#76302=PLANE('',#76301); +#76304=ORIENTED_EDGE('',*,*,#76303,.T.); +#76305=ORIENTED_EDGE('',*,*,#74570,.T.); +#76306=ORIENTED_EDGE('',*,*,#76290,.F.); +#76307=ORIENTED_EDGE('',*,*,#71822,.F.); +#76308=EDGE_LOOP('',(#76304,#76305,#76306,#76307)); +#76309=FACE_OUTER_BOUND('',#76308,.F.); +#76311=CARTESIAN_POINT('',(-4.086875001645E1,2.038510661125E0, +-4.099000013158E1)); +#76312=DIRECTION('',(0.E0,-1.E0,0.E0)); +#76313=DIRECTION('',(1.E0,0.E0,0.E0)); +#76314=AXIS2_PLACEMENT_3D('',#76311,#76312,#76313); +#76315=PLANE('',#76314); +#76317=ORIENTED_EDGE('',*,*,#76316,.T.); +#76318=ORIENTED_EDGE('',*,*,#74572,.T.); +#76319=ORIENTED_EDGE('',*,*,#76303,.F.); +#76320=ORIENTED_EDGE('',*,*,#71820,.F.); +#76321=EDGE_LOOP('',(#76317,#76318,#76319,#76320)); +#76322=FACE_OUTER_BOUND('',#76321,.F.); +#76324=CARTESIAN_POINT('',(-4.086875001645E1,1.425744669437E0, +-4.099000013158E1)); +#76325=DIRECTION('',(1.E0,0.E0,0.E0)); +#76326=DIRECTION('',(0.E0,1.E0,0.E0)); +#76327=AXIS2_PLACEMENT_3D('',#76324,#76325,#76326); +#76328=PLANE('',#76327); +#76330=ORIENTED_EDGE('',*,*,#76329,.T.); +#76331=ORIENTED_EDGE('',*,*,#74574,.T.); +#76332=ORIENTED_EDGE('',*,*,#76316,.F.); +#76333=ORIENTED_EDGE('',*,*,#71818,.F.); +#76334=EDGE_LOOP('',(#76330,#76331,#76332,#76333)); +#76335=FACE_OUTER_BOUND('',#76334,.F.); +#76337=CARTESIAN_POINT('',(-4.07825E1,1.540638272762E0,-4.099000013158E1)); +#76338=DIRECTION('',(-7.997332539193E-1,6.003554968314E-1,0.E0)); +#76339=DIRECTION('',(-6.003554968314E-1,-7.997332539193E-1,0.E0)); +#76340=AXIS2_PLACEMENT_3D('',#76337,#76338,#76339); +#76341=PLANE('',#76340); +#76343=ORIENTED_EDGE('',*,*,#76342,.T.); +#76344=ORIENTED_EDGE('',*,*,#74576,.T.); +#76345=ORIENTED_EDGE('',*,*,#76329,.F.); +#76346=ORIENTED_EDGE('',*,*,#71816,.F.); +#76347=EDGE_LOOP('',(#76343,#76344,#76345,#76346)); +#76348=FACE_OUTER_BOUND('',#76347,.F.); +#76350=CARTESIAN_POINT('',(-4.069624998355E1,1.578936140537E0, +-4.099000013158E1)); +#76351=DIRECTION('',(-4.058245550890E-1,9.139510000470E-1,0.E0)); +#76352=DIRECTION('',(-9.139510000470E-1,-4.058245550890E-1,0.E0)); +#76353=AXIS2_PLACEMENT_3D('',#76350,#76351,#76352); +#76354=PLANE('',#76353); +#76356=ORIENTED_EDGE('',*,*,#76355,.T.); +#76357=ORIENTED_EDGE('',*,*,#74578,.T.); +#76358=ORIENTED_EDGE('',*,*,#76342,.F.); +#76359=ORIENTED_EDGE('',*,*,#71814,.F.); +#76360=EDGE_LOOP('',(#76356,#76357,#76358,#76359)); +#76361=FACE_OUTER_BOUND('',#76360,.F.); +#76363=CARTESIAN_POINT('',(-4.059274998355E1,1.578936140537E0, +-4.099000013158E1)); +#76364=DIRECTION('',(0.E0,1.E0,0.E0)); +#76365=DIRECTION('',(-1.E0,0.E0,0.E0)); +#76366=AXIS2_PLACEMENT_3D('',#76363,#76364,#76365); +#76367=PLANE('',#76366); +#76369=ORIENTED_EDGE('',*,*,#76368,.T.); +#76370=ORIENTED_EDGE('',*,*,#74580,.T.); +#76371=ORIENTED_EDGE('',*,*,#76355,.F.); +#76372=ORIENTED_EDGE('',*,*,#71812,.F.); +#76373=EDGE_LOOP('',(#76369,#76370,#76371,#76372)); +#76374=FACE_OUTER_BOUND('',#76373,.F.); +#76376=CARTESIAN_POINT('',(-4.050650001645E1,1.540638272762E0, +-4.099000013158E1)); +#76377=DIRECTION('',(4.058247490594E-1,9.139509139176E-1,0.E0)); +#76378=DIRECTION('',(-9.139509139176E-1,4.058247490594E-1,0.E0)); +#76379=AXIS2_PLACEMENT_3D('',#76376,#76377,#76378); +#76380=PLANE('',#76379); +#76382=ORIENTED_EDGE('',*,*,#76381,.T.); +#76383=ORIENTED_EDGE('',*,*,#74582,.T.); +#76384=ORIENTED_EDGE('',*,*,#76368,.F.); +#76385=ORIENTED_EDGE('',*,*,#71810,.F.); +#76386=EDGE_LOOP('',(#76382,#76383,#76384,#76385)); +#76387=FACE_OUTER_BOUND('',#76386,.F.); +#76389=CARTESIAN_POINT('',(-4.042025E1,1.425744669437E0,-4.099000013158E1)); +#76390=DIRECTION('',(7.997332539193E-1,6.003554968314E-1,0.E0)); +#76391=DIRECTION('',(-6.003554968314E-1,7.997332539193E-1,0.E0)); +#76392=AXIS2_PLACEMENT_3D('',#76389,#76390,#76391); +#76393=PLANE('',#76392); +#76395=ORIENTED_EDGE('',*,*,#76394,.T.); +#76396=ORIENTED_EDGE('',*,*,#74584,.T.); +#76397=ORIENTED_EDGE('',*,*,#76381,.F.); +#76398=ORIENTED_EDGE('',*,*,#71808,.F.); +#76399=EDGE_LOOP('',(#76395,#76396,#76397,#76398)); +#76400=FACE_OUTER_BOUND('',#76399,.F.); +#76402=CARTESIAN_POINT('',(-4.03685E1,1.272553198338E0,-4.099000013158E1)); +#76403=DIRECTION('',(9.474025265751E-1,3.200444541609E-1,0.E0)); +#76404=DIRECTION('',(-3.200444541609E-1,9.474025265751E-1,0.E0)); +#76405=AXIS2_PLACEMENT_3D('',#76402,#76403,#76404); +#76406=PLANE('',#76405); +#76408=ORIENTED_EDGE('',*,*,#76407,.T.); +#76409=ORIENTED_EDGE('',*,*,#74586,.T.); +#76410=ORIENTED_EDGE('',*,*,#76394,.F.); +#76411=ORIENTED_EDGE('',*,*,#71806,.F.); +#76412=EDGE_LOOP('',(#76408,#76409,#76410,#76411)); +#76413=FACE_OUTER_BOUND('',#76412,.F.); +#76415=CARTESIAN_POINT('',(-4.033400003290E1,1.081063805819E0, +-4.099000013158E1)); +#76416=DIRECTION('',(9.841547475730E-1,1.773116827214E-1,0.E0)); +#76417=DIRECTION('',(-1.773116827214E-1,9.841547475730E-1,0.E0)); +#76418=AXIS2_PLACEMENT_3D('',#76415,#76416,#76417); +#76419=PLANE('',#76418); +#76421=ORIENTED_EDGE('',*,*,#76420,.T.); +#76422=ORIENTED_EDGE('',*,*,#74588,.T.); +#76423=ORIENTED_EDGE('',*,*,#76407,.F.); +#76424=ORIENTED_EDGE('',*,*,#71804,.F.); +#76425=EDGE_LOOP('',(#76421,#76422,#76423,#76424)); +#76426=FACE_OUTER_BOUND('',#76425,.F.); +#76428=CARTESIAN_POINT('',(-4.033400003290E1,9.278723347187E-1, +-4.099000013158E1)); +#76429=DIRECTION('',(1.E0,0.E0,0.E0)); +#76430=DIRECTION('',(0.E0,1.E0,0.E0)); +#76431=AXIS2_PLACEMENT_3D('',#76428,#76429,#76430); +#76432=PLANE('',#76431); +#76434=ORIENTED_EDGE('',*,*,#76433,.T.); +#76435=ORIENTED_EDGE('',*,*,#74590,.T.); +#76436=ORIENTED_EDGE('',*,*,#76420,.F.); +#76437=ORIENTED_EDGE('',*,*,#71802,.F.); +#76438=EDGE_LOOP('',(#76434,#76435,#76436,#76437)); +#76439=FACE_OUTER_BOUND('',#76438,.F.); +#76441=CARTESIAN_POINT('',(-4.03685E1,7.363829690218E-1,-4.099000013158E1)); +#76442=DIRECTION('',(9.841547432390E-1,-1.773117067768E-1,0.E0)); +#76443=DIRECTION('',(1.773117067768E-1,9.841547432390E-1,0.E0)); +#76444=AXIS2_PLACEMENT_3D('',#76441,#76442,#76443); +#76445=PLANE('',#76444); +#76447=ORIENTED_EDGE('',*,*,#76446,.T.); +#76448=ORIENTED_EDGE('',*,*,#74592,.T.); +#76449=ORIENTED_EDGE('',*,*,#76433,.F.); +#76450=ORIENTED_EDGE('',*,*,#71800,.F.); +#76451=EDGE_LOOP('',(#76447,#76448,#76449,#76450)); +#76452=FACE_OUTER_BOUND('',#76451,.F.); +#76454=CARTESIAN_POINT('',(-4.042025E1,5.831914845109E-1,-4.099000013158E1)); +#76455=DIRECTION('',(9.474025350705E-1,-3.200444290127E-1,0.E0)); +#76456=DIRECTION('',(3.200444290127E-1,9.474025350705E-1,0.E0)); +#76457=AXIS2_PLACEMENT_3D('',#76454,#76455,#76456); +#76458=PLANE('',#76457); +#76460=ORIENTED_EDGE('',*,*,#76459,.T.); +#76461=ORIENTED_EDGE('',*,*,#74594,.T.); +#76462=ORIENTED_EDGE('',*,*,#76446,.F.); +#76463=ORIENTED_EDGE('',*,*,#71798,.F.); +#76464=EDGE_LOOP('',(#76460,#76461,#76462,#76463)); +#76465=FACE_OUTER_BOUND('',#76464,.F.); +#76467=CARTESIAN_POINT('',(-4.050650001645E1,4.682978711277E-1, +-4.099000013158E1)); +#76468=DIRECTION('',(7.997332791535E-1,-6.003554632168E-1,0.E0)); +#76469=DIRECTION('',(6.003554632168E-1,7.997332791535E-1,0.E0)); +#76470=AXIS2_PLACEMENT_3D('',#76467,#76468,#76469); +#76471=PLANE('',#76470); +#76473=ORIENTED_EDGE('',*,*,#76472,.T.); +#76474=ORIENTED_EDGE('',*,*,#74596,.T.); +#76475=ORIENTED_EDGE('',*,*,#76459,.F.); +#76476=ORIENTED_EDGE('',*,*,#71796,.F.); +#76477=EDGE_LOOP('',(#76473,#76474,#76475,#76476)); +#76478=FACE_OUTER_BOUND('',#76477,.F.); +#76480=CARTESIAN_POINT('',(-4.059274998355E1,4.3E-1,-4.099000013158E1)); +#76481=DIRECTION('',(4.058247787359E-1,-9.139509007403E-1,0.E0)); +#76482=DIRECTION('',(9.139509007403E-1,4.058247787359E-1,0.E0)); +#76483=AXIS2_PLACEMENT_3D('',#76480,#76481,#76482); +#76484=PLANE('',#76483); +#76486=ORIENTED_EDGE('',*,*,#76485,.T.); +#76487=ORIENTED_EDGE('',*,*,#74598,.T.); +#76488=ORIENTED_EDGE('',*,*,#76472,.F.); +#76489=ORIENTED_EDGE('',*,*,#71794,.F.); +#76490=EDGE_LOOP('',(#76486,#76487,#76488,#76489)); +#76491=FACE_OUTER_BOUND('',#76490,.F.); +#76493=CARTESIAN_POINT('',(-4.069624998355E1,4.3E-1,-4.099000013158E1)); +#76494=DIRECTION('',(0.E0,-1.E0,0.E0)); +#76495=DIRECTION('',(1.E0,0.E0,0.E0)); +#76496=AXIS2_PLACEMENT_3D('',#76493,#76494,#76495); +#76497=PLANE('',#76496); +#76499=ORIENTED_EDGE('',*,*,#76498,.T.); +#76500=ORIENTED_EDGE('',*,*,#74600,.T.); +#76501=ORIENTED_EDGE('',*,*,#76485,.F.); +#76502=ORIENTED_EDGE('',*,*,#71792,.F.); +#76503=EDGE_LOOP('',(#76499,#76500,#76501,#76502)); +#76504=FACE_OUTER_BOUND('',#76503,.F.); +#76506=CARTESIAN_POINT('',(-4.07825E1,4.682978711277E-1,-4.099000013158E1)); +#76507=DIRECTION('',(-4.058245847654E-1,-9.139509868696E-1,0.E0)); +#76508=DIRECTION('',(9.139509868696E-1,-4.058245847654E-1,0.E0)); +#76509=AXIS2_PLACEMENT_3D('',#76506,#76507,#76508); +#76510=PLANE('',#76509); +#76512=ORIENTED_EDGE('',*,*,#76511,.T.); +#76513=ORIENTED_EDGE('',*,*,#74602,.T.); +#76514=ORIENTED_EDGE('',*,*,#76498,.F.); +#76515=ORIENTED_EDGE('',*,*,#71790,.F.); +#76516=EDGE_LOOP('',(#76512,#76513,#76514,#76515)); +#76517=FACE_OUTER_BOUND('',#76516,.F.); +#76519=CARTESIAN_POINT('',(-4.086875001645E1,5.831914845109E-1, +-4.099000013158E1)); +#76520=DIRECTION('',(-7.997332791535E-1,-6.003554632168E-1,0.E0)); +#76521=DIRECTION('',(6.003554632168E-1,-7.997332791535E-1,0.E0)); +#76522=AXIS2_PLACEMENT_3D('',#76519,#76520,#76521); +#76523=PLANE('',#76522); +#76525=ORIENTED_EDGE('',*,*,#76524,.T.); +#76526=ORIENTED_EDGE('',*,*,#74604,.T.); +#76527=ORIENTED_EDGE('',*,*,#76511,.F.); +#76528=ORIENTED_EDGE('',*,*,#71788,.F.); +#76529=EDGE_LOOP('',(#76525,#76526,#76527,#76528)); +#76530=FACE_OUTER_BOUND('',#76529,.F.); +#76532=CARTESIAN_POINT('',(-4.092049999177E1,7.363829690218E-1, +-4.099000013158E1)); +#76533=DIRECTION('',(-9.474025813432E-1,-3.200442920351E-1,0.E0)); +#76534=DIRECTION('',(3.200442920351E-1,-9.474025813432E-1,0.E0)); +#76535=AXIS2_PLACEMENT_3D('',#76532,#76533,#76534); +#76536=PLANE('',#76535); +#76538=ORIENTED_EDGE('',*,*,#76537,.T.); +#76539=ORIENTED_EDGE('',*,*,#74606,.T.); +#76540=ORIENTED_EDGE('',*,*,#76524,.F.); +#76541=ORIENTED_EDGE('',*,*,#71786,.F.); +#76542=EDGE_LOOP('',(#76538,#76539,#76540,#76541)); +#76543=FACE_OUTER_BOUND('',#76542,.F.); +#76545=CARTESIAN_POINT('',(-4.095500000823E1,8.895744669437E-1, +-4.099000013158E1)); +#76546=DIRECTION('',(-9.755661909405E-1,-2.197057284049E-1,0.E0)); +#76547=DIRECTION('',(2.197057284049E-1,-9.755661909405E-1,0.E0)); +#76548=AXIS2_PLACEMENT_3D('',#76545,#76546,#76547); +#76549=PLANE('',#76548); +#76551=ORIENTED_EDGE('',*,*,#76550,.T.); +#76552=ORIENTED_EDGE('',*,*,#74608,.T.); +#76553=ORIENTED_EDGE('',*,*,#76537,.F.); +#76554=ORIENTED_EDGE('',*,*,#71784,.F.); +#76555=EDGE_LOOP('',(#76551,#76552,#76553,#76554)); +#76556=FACE_OUTER_BOUND('',#76555,.F.); +#76558=CARTESIAN_POINT('',(-4.085149998355E1,8.895744669437E-1, +-4.099000013158E1)); +#76559=DIRECTION('',(0.E0,1.E0,0.E0)); +#76560=DIRECTION('',(-1.E0,0.E0,0.E0)); +#76561=AXIS2_PLACEMENT_3D('',#76558,#76559,#76560); +#76562=PLANE('',#76561); +#76564=ORIENTED_EDGE('',*,*,#76563,.T.); +#76565=ORIENTED_EDGE('',*,*,#74610,.T.); +#76566=ORIENTED_EDGE('',*,*,#76550,.F.); +#76567=ORIENTED_EDGE('',*,*,#71782,.F.); +#76568=EDGE_LOOP('',(#76564,#76565,#76566,#76567)); +#76569=FACE_OUTER_BOUND('',#76568,.F.); +#76571=CARTESIAN_POINT('',(-4.081700001645E1,7.363829690218E-1, +-4.099000013158E1)); +#76572=DIRECTION('',(9.755662583049E-1,2.197054292845E-1,0.E0)); +#76573=DIRECTION('',(-2.197054292845E-1,9.755662583049E-1,0.E0)); +#76574=AXIS2_PLACEMENT_3D('',#76571,#76572,#76573); +#76575=PLANE('',#76574); +#76577=ORIENTED_EDGE('',*,*,#76576,.T.); +#76578=ORIENTED_EDGE('',*,*,#74612,.T.); +#76579=ORIENTED_EDGE('',*,*,#76563,.F.); +#76580=ORIENTED_EDGE('',*,*,#71780,.F.); +#76581=EDGE_LOOP('',(#76577,#76578,#76579,#76580)); +#76582=FACE_OUTER_BOUND('',#76581,.F.); +#76584=CARTESIAN_POINT('',(-4.076525001645E1,6.597872334719E-1, +-4.099000013158E1)); +#76585=DIRECTION('',(8.286084161795E-1,5.598286279180E-1,0.E0)); +#76586=DIRECTION('',(-5.598286279180E-1,8.286084161795E-1,0.E0)); +#76587=AXIS2_PLACEMENT_3D('',#76584,#76585,#76586); +#76588=PLANE('',#76587); +#76590=ORIENTED_EDGE('',*,*,#76589,.T.); +#76591=ORIENTED_EDGE('',*,*,#74614,.T.); +#76592=ORIENTED_EDGE('',*,*,#76576,.F.); +#76593=ORIENTED_EDGE('',*,*,#71778,.F.); +#76594=EDGE_LOOP('',(#76590,#76591,#76592,#76593)); +#76595=FACE_OUTER_BOUND('',#76594,.F.); +#76597=CARTESIAN_POINT('',(-4.069624998355E1,6.214893656969E-1, +-4.099000013158E1)); +#76598=DIRECTION('',(4.852993002742E-1,8.743480938124E-1,0.E0)); +#76599=DIRECTION('',(-8.743480938124E-1,4.852993002742E-1,0.E0)); +#76600=AXIS2_PLACEMENT_3D('',#76597,#76598,#76599); +#76601=PLANE('',#76600); +#76603=ORIENTED_EDGE('',*,*,#76602,.T.); +#76604=ORIENTED_EDGE('',*,*,#74616,.T.); +#76605=ORIENTED_EDGE('',*,*,#76589,.F.); +#76606=ORIENTED_EDGE('',*,*,#71776,.F.); +#76607=EDGE_LOOP('',(#76603,#76604,#76605,#76606)); +#76608=FACE_OUTER_BOUND('',#76607,.F.); +#76610=CARTESIAN_POINT('',(-4.059274998355E1,6.214893656969E-1, +-4.099000013158E1)); +#76611=DIRECTION('',(0.E0,1.E0,0.E0)); +#76612=DIRECTION('',(-1.E0,0.E0,0.E0)); +#76613=AXIS2_PLACEMENT_3D('',#76610,#76611,#76612); +#76614=PLANE('',#76613); +#76616=ORIENTED_EDGE('',*,*,#76615,.T.); +#76617=ORIENTED_EDGE('',*,*,#74618,.T.); +#76618=ORIENTED_EDGE('',*,*,#76602,.F.); +#76619=ORIENTED_EDGE('',*,*,#71774,.F.); +#76620=EDGE_LOOP('',(#76616,#76617,#76618,#76619)); +#76621=FACE_OUTER_BOUND('',#76620,.F.); +#76623=CARTESIAN_POINT('',(-4.052375E1,6.597872334719E-1,-4.099000013158E1)); +#76624=DIRECTION('',(-4.852995656368E-1,8.743479465251E-1,0.E0)); +#76625=DIRECTION('',(-8.743479465251E-1,-4.852995656368E-1,0.E0)); +#76626=AXIS2_PLACEMENT_3D('',#76623,#76624,#76625); +#76627=PLANE('',#76626); +#76629=ORIENTED_EDGE('',*,*,#76628,.T.); +#76630=ORIENTED_EDGE('',*,*,#74620,.T.); +#76631=ORIENTED_EDGE('',*,*,#76615,.F.); +#76632=ORIENTED_EDGE('',*,*,#71772,.F.); +#76633=EDGE_LOOP('',(#76629,#76630,#76631,#76632)); +#76634=FACE_OUTER_BOUND('',#76633,.F.); +#76636=CARTESIAN_POINT('',(-4.0472E1,7.363829690218E-1,-4.099000013158E1)); +#76637=DIRECTION('',(-8.286084161794E-1,5.598286279181E-1,0.E0)); +#76638=DIRECTION('',(-5.598286279181E-1,-8.286084161794E-1,0.E0)); +#76639=AXIS2_PLACEMENT_3D('',#76636,#76637,#76638); +#76640=PLANE('',#76639); +#76642=ORIENTED_EDGE('',*,*,#76641,.T.); +#76643=ORIENTED_EDGE('',*,*,#74622,.T.); +#76644=ORIENTED_EDGE('',*,*,#76628,.F.); +#76645=ORIENTED_EDGE('',*,*,#71770,.F.); +#76646=EDGE_LOOP('',(#76642,#76643,#76644,#76645)); +#76647=FACE_OUTER_BOUND('',#76646,.F.); +#76649=CARTESIAN_POINT('',(-4.043750003290E1,8.512765991688E-1, +-4.099000013158E1)); +#76650=DIRECTION('',(-9.577531160092E-1,2.875916702108E-1,0.E0)); +#76651=DIRECTION('',(-2.875916702108E-1,-9.577531160092E-1,0.E0)); +#76652=AXIS2_PLACEMENT_3D('',#76649,#76650,#76651); +#76653=PLANE('',#76652); +#76655=ORIENTED_EDGE('',*,*,#76654,.T.); +#76656=ORIENTED_EDGE('',*,*,#74624,.T.); +#76657=ORIENTED_EDGE('',*,*,#76641,.F.); +#76658=ORIENTED_EDGE('',*,*,#71768,.F.); +#76659=EDGE_LOOP('',(#76655,#76656,#76657,#76658)); +#76660=FACE_OUTER_BOUND('',#76659,.F.); +#76662=CARTESIAN_POINT('',(-4.042025E1,9.661702024937E-1,-4.099000013158E1)); +#76663=DIRECTION('',(-9.889161504989E-1,1.484750729329E-1,0.E0)); +#76664=DIRECTION('',(-1.484750729329E-1,-9.889161504989E-1,0.E0)); +#76665=AXIS2_PLACEMENT_3D('',#76662,#76663,#76664); +#76666=PLANE('',#76665); +#76668=ORIENTED_EDGE('',*,*,#76667,.T.); +#76669=ORIENTED_EDGE('',*,*,#74626,.T.); +#76670=ORIENTED_EDGE('',*,*,#76654,.F.); +#76671=ORIENTED_EDGE('',*,*,#71766,.F.); +#76672=EDGE_LOOP('',(#76668,#76669,#76670,#76671)); +#76673=FACE_OUTER_BOUND('',#76672,.F.); +#76675=CARTESIAN_POINT('',(-4.042025E1,1.042765938044E0,-4.099000013158E1)); +#76676=DIRECTION('',(-1.E0,0.E0,0.E0)); +#76677=DIRECTION('',(0.E0,-1.E0,0.E0)); +#76678=AXIS2_PLACEMENT_3D('',#76675,#76676,#76677); +#76679=PLANE('',#76678); +#76681=ORIENTED_EDGE('',*,*,#76680,.T.); +#76682=ORIENTED_EDGE('',*,*,#74628,.T.); +#76683=ORIENTED_EDGE('',*,*,#76667,.F.); +#76684=ORIENTED_EDGE('',*,*,#71764,.F.); +#76685=EDGE_LOOP('',(#76681,#76682,#76683,#76684)); +#76686=FACE_OUTER_BOUND('',#76685,.F.); +#76688=CARTESIAN_POINT('',(-4.043750003290E1,1.157659595013E0, +-4.099000013158E1)); +#76689=DIRECTION('',(-9.889161606776E-1,-1.484750051377E-1,0.E0)); +#76690=DIRECTION('',(1.484750051377E-1,-9.889161606776E-1,0.E0)); +#76691=AXIS2_PLACEMENT_3D('',#76688,#76689,#76690); +#76692=PLANE('',#76691); +#76694=ORIENTED_EDGE('',*,*,#76693,.T.); +#76695=ORIENTED_EDGE('',*,*,#74630,.T.); +#76696=ORIENTED_EDGE('',*,*,#76680,.F.); +#76697=ORIENTED_EDGE('',*,*,#71762,.F.); +#76698=EDGE_LOOP('',(#76694,#76695,#76696,#76697)); +#76699=FACE_OUTER_BOUND('',#76698,.F.); +#76701=CARTESIAN_POINT('',(-4.0472E1,1.272553198338E0,-4.099000013158E1)); +#76702=DIRECTION('',(-9.577530975164E-1,-2.875917317965E-1,0.E0)); +#76703=DIRECTION('',(2.875917317965E-1,-9.577530975164E-1,0.E0)); +#76704=AXIS2_PLACEMENT_3D('',#76701,#76702,#76703); +#76705=PLANE('',#76704); +#76707=ORIENTED_EDGE('',*,*,#76706,.T.); +#76708=ORIENTED_EDGE('',*,*,#74632,.T.); +#76709=ORIENTED_EDGE('',*,*,#76693,.F.); +#76710=ORIENTED_EDGE('',*,*,#71760,.F.); +#76711=EDGE_LOOP('',(#76707,#76708,#76709,#76710)); +#76712=FACE_OUTER_BOUND('',#76711,.F.); +#76714=CARTESIAN_POINT('',(-4.052375E1,1.349148933887E0,-4.099000013158E1)); +#76715=DIRECTION('',(-8.286084161794E-1,-5.598286279181E-1,0.E0)); +#76716=DIRECTION('',(5.598286279181E-1,-8.286084161794E-1,0.E0)); +#76717=AXIS2_PLACEMENT_3D('',#76714,#76715,#76716); +#76718=PLANE('',#76717); +#76720=ORIENTED_EDGE('',*,*,#76719,.T.); +#76721=ORIENTED_EDGE('',*,*,#74634,.T.); +#76722=ORIENTED_EDGE('',*,*,#76706,.F.); +#76723=ORIENTED_EDGE('',*,*,#71758,.F.); +#76724=EDGE_LOOP('',(#76720,#76721,#76722,#76723)); +#76725=FACE_OUTER_BOUND('',#76724,.F.); +#76727=CARTESIAN_POINT('',(-4.059274998355E1,1.387446801662E0, +-4.099000013158E1)); +#76728=DIRECTION('',(-4.852995656368E-1,-8.743479465251E-1,0.E0)); +#76729=DIRECTION('',(8.743479465251E-1,-4.852995656368E-1,0.E0)); +#76730=AXIS2_PLACEMENT_3D('',#76727,#76728,#76729); +#76731=PLANE('',#76730); +#76733=ORIENTED_EDGE('',*,*,#76732,.T.); +#76734=ORIENTED_EDGE('',*,*,#74636,.T.); +#76735=ORIENTED_EDGE('',*,*,#76719,.F.); +#76736=ORIENTED_EDGE('',*,*,#71756,.F.); +#76737=EDGE_LOOP('',(#76733,#76734,#76735,#76736)); +#76738=FACE_OUTER_BOUND('',#76737,.F.); +#76740=CARTESIAN_POINT('',(-4.069624998355E1,1.387446801662E0, +-4.099000013158E1)); +#76741=DIRECTION('',(0.E0,-1.E0,0.E0)); +#76742=DIRECTION('',(1.E0,0.E0,0.E0)); +#76743=AXIS2_PLACEMENT_3D('',#76740,#76741,#76742); +#76744=PLANE('',#76743); +#76746=ORIENTED_EDGE('',*,*,#76745,.T.); +#76747=ORIENTED_EDGE('',*,*,#74638,.T.); +#76748=ORIENTED_EDGE('',*,*,#76732,.F.); +#76749=ORIENTED_EDGE('',*,*,#71754,.F.); +#76750=EDGE_LOOP('',(#76746,#76747,#76748,#76749)); +#76751=FACE_OUTER_BOUND('',#76750,.F.); +#76753=CARTESIAN_POINT('',(-4.076525001645E1,1.349148933887E0, +-4.099000013158E1)); +#76754=DIRECTION('',(4.852993002742E-1,-8.743480938124E-1,0.E0)); +#76755=DIRECTION('',(8.743480938124E-1,4.852993002742E-1,0.E0)); +#76756=AXIS2_PLACEMENT_3D('',#76753,#76754,#76755); +#76757=PLANE('',#76756); +#76758=ORIENTED_EDGE('',*,*,#76253,.T.); +#76759=ORIENTED_EDGE('',*,*,#74640,.T.); +#76760=ORIENTED_EDGE('',*,*,#76745,.F.); +#76761=ORIENTED_EDGE('',*,*,#71752,.F.); +#76762=EDGE_LOOP('',(#76758,#76759,#76760,#76761)); +#76763=FACE_OUTER_BOUND('',#76762,.F.); +#76765=CARTESIAN_POINT('',(-5.345E1,1.742765961885E0,-4.099000013158E1)); +#76766=DIRECTION('',(-1.E0,0.E0,0.E0)); +#76767=DIRECTION('',(0.E0,-1.E0,0.E0)); +#76768=AXIS2_PLACEMENT_3D('',#76765,#76766,#76767); +#76769=PLANE('',#76768); +#76771=ORIENTED_EDGE('',*,*,#76770,.T.); +#76772=ORIENTED_EDGE('',*,*,#74650,.T.); +#76774=ORIENTED_EDGE('',*,*,#76773,.F.); +#76775=ORIENTED_EDGE('',*,*,#71832,.F.); +#76776=EDGE_LOOP('',(#76771,#76772,#76774,#76775)); +#76777=FACE_OUTER_BOUND('',#76776,.F.); +#76779=CARTESIAN_POINT('',(-5.303000001431E1,2.806595711708E0, +-4.099000013158E1)); +#76780=DIRECTION('',(-9.301351569724E-1,3.672173603792E-1,0.E0)); +#76781=DIRECTION('',(-3.672173603792E-1,-9.301351569724E-1,0.E0)); +#76782=AXIS2_PLACEMENT_3D('',#76779,#76780,#76781); +#76783=PLANE('',#76782); +#76784=ORIENTED_EDGE('',*,*,#76770,.F.); +#76785=ORIENTED_EDGE('',*,*,#71886,.F.); +#76787=ORIENTED_EDGE('',*,*,#76786,.T.); +#76788=ORIENTED_EDGE('',*,*,#74652,.T.); +#76789=EDGE_LOOP('',(#76784,#76785,#76787,#76788)); +#76790=FACE_OUTER_BOUND('',#76789,.F.); +#76792=CARTESIAN_POINT('',(-5.3E1,2.976808457375E0,-4.099000013158E1)); +#76793=DIRECTION('',(-9.848206972314E-1,1.735747513456E-1,0.E0)); +#76794=DIRECTION('',(-1.735747513456E-1,-9.848206972314E-1,0.E0)); +#76795=AXIS2_PLACEMENT_3D('',#76792,#76793,#76794); +#76796=PLANE('',#76795); +#76798=ORIENTED_EDGE('',*,*,#76797,.T.); +#76799=ORIENTED_EDGE('',*,*,#74654,.T.); +#76800=ORIENTED_EDGE('',*,*,#76786,.F.); +#76801=ORIENTED_EDGE('',*,*,#71884,.F.); +#76802=EDGE_LOOP('',(#76798,#76799,#76800,#76801)); +#76803=FACE_OUTER_BOUND('',#76802,.F.); +#76805=CARTESIAN_POINT('',(-5.3E1,3.061914949417E0,-4.099000013158E1)); +#76806=DIRECTION('',(-1.E0,0.E0,0.E0)); +#76807=DIRECTION('',(0.E0,-1.E0,0.E0)); +#76808=AXIS2_PLACEMENT_3D('',#76805,#76806,#76807); +#76809=PLANE('',#76808); +#76811=ORIENTED_EDGE('',*,*,#76810,.T.); +#76812=ORIENTED_EDGE('',*,*,#74656,.T.); +#76813=ORIENTED_EDGE('',*,*,#76797,.F.); +#76814=ORIENTED_EDGE('',*,*,#71882,.F.); +#76815=EDGE_LOOP('',(#76811,#76812,#76813,#76814)); +#76816=FACE_OUTER_BOUND('',#76815,.F.); +#76818=CARTESIAN_POINT('',(-5.303000001431E1,3.189574508667E0, +-4.099000013158E1)); +#76819=DIRECTION('',(-9.734809349779E-1,-2.287681560762E-1,0.E0)); +#76820=DIRECTION('',(2.287681560762E-1,-9.734809349779E-1,0.E0)); +#76821=AXIS2_PLACEMENT_3D('',#76818,#76819,#76820); +#76822=PLANE('',#76821); +#76824=ORIENTED_EDGE('',*,*,#76823,.T.); +#76825=ORIENTED_EDGE('',*,*,#74658,.T.); +#76826=ORIENTED_EDGE('',*,*,#76810,.F.); +#76827=ORIENTED_EDGE('',*,*,#71880,.F.); +#76828=EDGE_LOOP('',(#76824,#76825,#76826,#76827)); +#76829=FACE_OUTER_BOUND('',#76828,.F.); +#76831=CARTESIAN_POINT('',(-5.307500001431E1,3.274680881500E0, +-4.099000013158E1)); +#76832=DIRECTION('',(-8.840296887288E-1,-4.674307536375E-1,0.E0)); +#76833=DIRECTION('',(4.674307536375E-1,-8.840296887288E-1,0.E0)); +#76834=AXIS2_PLACEMENT_3D('',#76831,#76832,#76833); +#76835=PLANE('',#76834); +#76837=ORIENTED_EDGE('',*,*,#76836,.T.); +#76838=ORIENTED_EDGE('',*,*,#74660,.T.); +#76839=ORIENTED_EDGE('',*,*,#76823,.F.); +#76840=ORIENTED_EDGE('',*,*,#71878,.F.); +#76841=EDGE_LOOP('',(#76837,#76838,#76839,#76840)); +#76842=FACE_OUTER_BOUND('',#76841,.F.); +#76844=CARTESIAN_POINT('',(-5.315000000715E1,3.317234067917E0, +-4.099000013158E1)); +#76845=DIRECTION('',(-4.934794091096E-1,-8.697574792923E-1,0.E0)); +#76846=DIRECTION('',(8.697574792923E-1,-4.934794091096E-1,0.E0)); +#76847=AXIS2_PLACEMENT_3D('',#76844,#76845,#76846); +#76848=PLANE('',#76847); +#76850=ORIENTED_EDGE('',*,*,#76849,.T.); +#76851=ORIENTED_EDGE('',*,*,#74662,.T.); +#76852=ORIENTED_EDGE('',*,*,#76836,.F.); +#76853=ORIENTED_EDGE('',*,*,#71876,.F.); +#76854=EDGE_LOOP('',(#76850,#76851,#76852,#76853)); +#76855=FACE_OUTER_BOUND('',#76854,.F.); +#76857=CARTESIAN_POINT('',(-5.320999999285E1,3.317234067917E0, +-4.099000013158E1)); +#76858=DIRECTION('',(0.E0,-1.E0,0.E0)); +#76859=DIRECTION('',(1.E0,0.E0,0.E0)); +#76860=AXIS2_PLACEMENT_3D('',#76857,#76858,#76859); +#76861=PLANE('',#76860); +#76863=ORIENTED_EDGE('',*,*,#76862,.T.); +#76864=ORIENTED_EDGE('',*,*,#74664,.T.); +#76865=ORIENTED_EDGE('',*,*,#76849,.F.); +#76866=ORIENTED_EDGE('',*,*,#71874,.F.); +#76867=EDGE_LOOP('',(#76863,#76864,#76865,#76866)); +#76868=FACE_OUTER_BOUND('',#76867,.F.); +#76870=CARTESIAN_POINT('',(-5.328499999642E1,3.274680881500E0, +-4.099000013158E1)); +#76871=DIRECTION('',(4.934793557077E-1,-8.697575095912E-1,0.E0)); +#76872=DIRECTION('',(8.697575095912E-1,4.934793557077E-1,0.E0)); +#76873=AXIS2_PLACEMENT_3D('',#76870,#76871,#76872); +#76874=PLANE('',#76873); +#76876=ORIENTED_EDGE('',*,*,#76875,.T.); +#76877=ORIENTED_EDGE('',*,*,#74666,.T.); +#76878=ORIENTED_EDGE('',*,*,#76862,.F.); +#76879=ORIENTED_EDGE('',*,*,#71872,.F.); +#76880=EDGE_LOOP('',(#76876,#76877,#76878,#76879)); +#76881=FACE_OUTER_BOUND('',#76880,.F.); +#76883=CARTESIAN_POINT('',(-5.332999999642E1,3.189574508667E0, +-4.099000013158E1)); +#76884=DIRECTION('',(8.840296887288E-1,-4.674307536375E-1,0.E0)); +#76885=DIRECTION('',(4.674307536375E-1,8.840296887288E-1,0.E0)); +#76886=AXIS2_PLACEMENT_3D('',#76883,#76884,#76885); +#76887=PLANE('',#76886); +#76889=ORIENTED_EDGE('',*,*,#76888,.T.); +#76890=ORIENTED_EDGE('',*,*,#74668,.T.); +#76891=ORIENTED_EDGE('',*,*,#76875,.F.); +#76892=ORIENTED_EDGE('',*,*,#71870,.F.); +#76893=EDGE_LOOP('',(#76889,#76890,#76891,#76892)); +#76894=FACE_OUTER_BOUND('',#76893,.F.); +#76896=CARTESIAN_POINT('',(-5.336E1,3.061914949417E0,-4.099000013158E1)); +#76897=DIRECTION('',(9.734809531980E-1,-2.287680785441E-1,0.E0)); +#76898=DIRECTION('',(2.287680785441E-1,9.734809531980E-1,0.E0)); +#76899=AXIS2_PLACEMENT_3D('',#76896,#76897,#76898); +#76900=PLANE('',#76899); +#76902=ORIENTED_EDGE('',*,*,#76901,.T.); +#76903=ORIENTED_EDGE('',*,*,#74670,.T.); +#76904=ORIENTED_EDGE('',*,*,#76888,.F.); +#76905=ORIENTED_EDGE('',*,*,#71868,.F.); +#76906=EDGE_LOOP('',(#76902,#76903,#76904,#76905)); +#76907=FACE_OUTER_BOUND('',#76906,.F.); +#76909=CARTESIAN_POINT('',(-5.343499999955E1,3.061914949417E0, +-4.099000013158E1)); +#76910=DIRECTION('',(0.E0,-1.E0,0.E0)); +#76911=DIRECTION('',(1.E0,0.E0,0.E0)); +#76912=AXIS2_PLACEMENT_3D('',#76909,#76910,#76911); +#76913=PLANE('',#76912); +#76915=ORIENTED_EDGE('',*,*,#76914,.T.); +#76916=ORIENTED_EDGE('',*,*,#74672,.T.); +#76917=ORIENTED_EDGE('',*,*,#76901,.F.); +#76918=ORIENTED_EDGE('',*,*,#71866,.F.); +#76919=EDGE_LOOP('',(#76915,#76916,#76917,#76918)); +#76920=FACE_OUTER_BOUND('',#76919,.F.); +#76922=CARTESIAN_POINT('',(-5.341999999911E1,3.232127695084E0, +-4.099000013158E1)); +#76923=DIRECTION('',(-9.961394622883E-1,8.778480319513E-2,0.E0)); +#76924=DIRECTION('',(-8.778480319513E-2,-9.961394622883E-1,0.E0)); +#76925=AXIS2_PLACEMENT_3D('',#76922,#76923,#76924); +#76926=PLANE('',#76925); +#76928=ORIENTED_EDGE('',*,*,#76927,.T.); +#76929=ORIENTED_EDGE('',*,*,#74674,.T.); +#76930=ORIENTED_EDGE('',*,*,#76914,.F.); +#76931=ORIENTED_EDGE('',*,*,#71864,.F.); +#76932=EDGE_LOOP('',(#76928,#76929,#76930,#76931)); +#76933=FACE_OUTER_BOUND('',#76932,.F.); +#76935=CARTESIAN_POINT('',(-5.336E1,3.402340440750E0,-4.099000013158E1)); +#76936=DIRECTION('',(-9.431208360879E-1,3.324501293982E-1,0.E0)); +#76937=DIRECTION('',(-3.324501293982E-1,-9.431208360879E-1,0.E0)); +#76938=AXIS2_PLACEMENT_3D('',#76935,#76936,#76937); +#76939=PLANE('',#76938); +#76941=ORIENTED_EDGE('',*,*,#76940,.T.); +#76942=ORIENTED_EDGE('',*,*,#74676,.T.); +#76943=ORIENTED_EDGE('',*,*,#76927,.F.); +#76944=ORIENTED_EDGE('',*,*,#71862,.F.); +#76945=EDGE_LOOP('',(#76941,#76942,#76943,#76944)); +#76946=FACE_OUTER_BOUND('',#76945,.F.); +#76948=CARTESIAN_POINT('',(-5.330000000358E1,3.487446813583E0, +-4.099000013158E1)); +#76949=DIRECTION('',(-8.173074636082E-1,5.762017961881E-1,0.E0)); +#76950=DIRECTION('',(-5.762017961881E-1,-8.173074636082E-1,0.E0)); +#76951=AXIS2_PLACEMENT_3D('',#76948,#76949,#76950); +#76952=PLANE('',#76951); +#76954=ORIENTED_EDGE('',*,*,#76953,.T.); +#76955=ORIENTED_EDGE('',*,*,#74678,.T.); +#76956=ORIENTED_EDGE('',*,*,#76940,.F.); +#76957=ORIENTED_EDGE('',*,*,#71860,.F.); +#76958=EDGE_LOOP('',(#76954,#76955,#76956,#76957)); +#76959=FACE_OUTER_BOUND('',#76958,.F.); +#76961=CARTESIAN_POINT('',(-5.319500000715E1,3.53E0,-4.099000013158E1)); +#76962=DIRECTION('',(-3.755960992432E-1,9.267834537978E-1,0.E0)); +#76963=DIRECTION('',(-9.267834537978E-1,-3.755960992432E-1,0.E0)); +#76964=AXIS2_PLACEMENT_3D('',#76961,#76962,#76963); +#76965=PLANE('',#76964); +#76967=ORIENTED_EDGE('',*,*,#76966,.T.); +#76968=ORIENTED_EDGE('',*,*,#74680,.T.); +#76969=ORIENTED_EDGE('',*,*,#76953,.F.); +#76970=ORIENTED_EDGE('',*,*,#71858,.F.); +#76971=EDGE_LOOP('',(#76967,#76968,#76969,#76970)); +#76972=FACE_OUTER_BOUND('',#76971,.F.); +#76974=CARTESIAN_POINT('',(-5.3135E1,3.53E0,-4.099000013158E1)); +#76975=DIRECTION('',(0.E0,1.E0,0.E0)); +#76976=DIRECTION('',(-1.E0,0.E0,0.E0)); +#76977=AXIS2_PLACEMENT_3D('',#76974,#76975,#76976); +#76978=PLANE('',#76977); +#76980=ORIENTED_EDGE('',*,*,#76979,.T.); +#76981=ORIENTED_EDGE('',*,*,#74682,.T.); +#76982=ORIENTED_EDGE('',*,*,#76966,.F.); +#76983=ORIENTED_EDGE('',*,*,#71856,.F.); +#76984=EDGE_LOOP('',(#76980,#76981,#76982,#76983)); +#76985=FACE_OUTER_BOUND('',#76984,.F.); +#76987=CARTESIAN_POINT('',(-5.3045E1,3.487446813583E0,-4.099000013158E1)); +#76988=DIRECTION('',(4.274430958699E-1,9.040422555352E-1,0.E0)); +#76989=DIRECTION('',(-9.040422555352E-1,4.274430958699E-1,0.E0)); +#76990=AXIS2_PLACEMENT_3D('',#76987,#76988,#76989); +#76991=PLANE('',#76990); +#76993=ORIENTED_EDGE('',*,*,#76992,.T.); +#76994=ORIENTED_EDGE('',*,*,#74684,.T.); +#76995=ORIENTED_EDGE('',*,*,#76979,.F.); +#76996=ORIENTED_EDGE('',*,*,#71854,.F.); +#76997=EDGE_LOOP('',(#76993,#76994,#76995,#76996)); +#76998=FACE_OUTER_BOUND('',#76997,.F.); +#77000=CARTESIAN_POINT('',(-5.298500001431E1,3.402340440750E0, +-4.099000013158E1)); +#77001=DIRECTION('',(8.173075121299E-1,5.762017273630E-1,0.E0)); +#77002=DIRECTION('',(-5.762017273630E-1,8.173075121299E-1,0.E0)); +#77003=AXIS2_PLACEMENT_3D('',#77000,#77001,#77002); +#77004=PLANE('',#77003); +#77006=ORIENTED_EDGE('',*,*,#77005,.T.); +#77007=ORIENTED_EDGE('',*,*,#74686,.T.); +#77008=ORIENTED_EDGE('',*,*,#76992,.F.); +#77009=ORIENTED_EDGE('',*,*,#71852,.F.); +#77010=EDGE_LOOP('',(#77006,#77007,#77008,#77009)); +#77011=FACE_OUTER_BOUND('',#77010,.F.); +#77013=CARTESIAN_POINT('',(-5.292499998569E1,3.232127695084E0, +-4.099000013158E1)); +#77014=DIRECTION('',(9.431207848307E-1,3.324502748085E-1,0.E0)); +#77015=DIRECTION('',(-3.324502748085E-1,9.431207848307E-1,0.E0)); +#77016=AXIS2_PLACEMENT_3D('',#77013,#77014,#77015); +#77017=PLANE('',#77016); +#77019=ORIENTED_EDGE('',*,*,#77018,.T.); +#77020=ORIENTED_EDGE('',*,*,#74688,.T.); +#77021=ORIENTED_EDGE('',*,*,#77005,.F.); +#77022=ORIENTED_EDGE('',*,*,#71850,.F.); +#77023=EDGE_LOOP('',(#77019,#77020,#77021,#77022)); +#77024=FACE_OUTER_BOUND('',#77023,.F.); +#77026=CARTESIAN_POINT('',(-5.291E1,3.061914949417E0,-4.099000013158E1)); +#77027=DIRECTION('',(9.961394698379E-1,8.778471752612E-2,0.E0)); +#77028=DIRECTION('',(-8.778471752612E-2,9.961394698379E-1,0.E0)); +#77029=AXIS2_PLACEMENT_3D('',#77026,#77027,#77028); +#77030=PLANE('',#77029); +#77032=ORIENTED_EDGE('',*,*,#77031,.T.); +#77033=ORIENTED_EDGE('',*,*,#74690,.T.); +#77034=ORIENTED_EDGE('',*,*,#77018,.F.); +#77035=ORIENTED_EDGE('',*,*,#71848,.F.); +#77036=EDGE_LOOP('',(#77032,#77033,#77034,#77035)); +#77037=FACE_OUTER_BOUND('',#77036,.F.); +#77039=CARTESIAN_POINT('',(-5.291E1,2.976808457375E0,-4.099000013158E1)); +#77040=DIRECTION('',(1.E0,0.E0,0.E0)); +#77041=DIRECTION('',(0.E0,1.E0,0.E0)); +#77042=AXIS2_PLACEMENT_3D('',#77039,#77040,#77041); +#77043=PLANE('',#77042); +#77045=ORIENTED_EDGE('',*,*,#77044,.T.); +#77046=ORIENTED_EDGE('',*,*,#74692,.T.); +#77047=ORIENTED_EDGE('',*,*,#77031,.F.); +#77048=ORIENTED_EDGE('',*,*,#71846,.F.); +#77049=EDGE_LOOP('',(#77045,#77046,#77047,#77048)); +#77050=FACE_OUTER_BOUND('',#77049,.F.); +#77052=CARTESIAN_POINT('',(-5.292499998569E1,2.806595711708E0, +-4.099000013158E1)); +#77053=DIRECTION('',(9.961394698379E-1,-8.778471752612E-2,0.E0)); +#77054=DIRECTION('',(8.778471752612E-2,9.961394698379E-1,0.E0)); +#77055=AXIS2_PLACEMENT_3D('',#77052,#77053,#77054); +#77056=PLANE('',#77055); +#77058=ORIENTED_EDGE('',*,*,#77057,.T.); +#77059=ORIENTED_EDGE('',*,*,#74694,.T.); +#77060=ORIENTED_EDGE('',*,*,#77044,.F.); +#77061=ORIENTED_EDGE('',*,*,#71844,.F.); +#77062=EDGE_LOOP('',(#77058,#77059,#77060,#77061)); +#77063=FACE_OUTER_BOUND('',#77062,.F.); +#77065=CARTESIAN_POINT('',(-5.2955E1,2.678936152458E0,-4.099000013158E1)); +#77066=DIRECTION('',(9.734809349779E-1,-2.287681560762E-1,0.E0)); +#77067=DIRECTION('',(2.287681560762E-1,9.734809349779E-1,0.E0)); +#77068=AXIS2_PLACEMENT_3D('',#77065,#77066,#77067); +#77069=PLANE('',#77068); +#77071=ORIENTED_EDGE('',*,*,#77070,.T.); +#77072=ORIENTED_EDGE('',*,*,#74696,.T.); +#77073=ORIENTED_EDGE('',*,*,#77057,.F.); +#77074=ORIENTED_EDGE('',*,*,#71842,.F.); +#77075=EDGE_LOOP('',(#77071,#77072,#77073,#77074)); +#77076=FACE_OUTER_BOUND('',#77075,.F.); +#77078=CARTESIAN_POINT('',(-5.332999999642E1,1.742765961885E0, +-4.099000013158E1)); +#77079=DIRECTION('',(9.282947018105E-1,-3.718453261646E-1,0.E0)); +#77080=DIRECTION('',(3.718453261646E-1,9.282947018105E-1,0.E0)); +#77081=AXIS2_PLACEMENT_3D('',#77078,#77079,#77080); +#77082=PLANE('',#77081); +#77084=ORIENTED_EDGE('',*,*,#77083,.T.); +#77085=ORIENTED_EDGE('',*,*,#74698,.T.); +#77086=ORIENTED_EDGE('',*,*,#77070,.F.); +#77087=ORIENTED_EDGE('',*,*,#71840,.F.); +#77088=EDGE_LOOP('',(#77084,#77085,#77086,#77087)); +#77089=FACE_OUTER_BOUND('',#77088,.F.); +#77091=CARTESIAN_POINT('',(-5.291E1,1.742765961885E0,-4.099000013158E1)); +#77092=DIRECTION('',(0.E0,1.E0,0.E0)); +#77093=DIRECTION('',(-1.E0,0.E0,0.E0)); +#77094=AXIS2_PLACEMENT_3D('',#77091,#77092,#77093); +#77095=PLANE('',#77094); +#77097=ORIENTED_EDGE('',*,*,#77096,.T.); +#77098=ORIENTED_EDGE('',*,*,#74700,.T.); +#77099=ORIENTED_EDGE('',*,*,#77083,.F.); +#77100=ORIENTED_EDGE('',*,*,#71838,.F.); +#77101=EDGE_LOOP('',(#77097,#77098,#77099,#77100)); +#77102=FACE_OUTER_BOUND('',#77101,.F.); +#77104=CARTESIAN_POINT('',(-5.291E1,1.53E0,-4.099000013158E1)); +#77105=DIRECTION('',(1.E0,0.E0,0.E0)); +#77106=DIRECTION('',(0.E0,1.E0,0.E0)); +#77107=AXIS2_PLACEMENT_3D('',#77104,#77105,#77106); +#77108=PLANE('',#77107); +#77110=ORIENTED_EDGE('',*,*,#77109,.T.); +#77111=ORIENTED_EDGE('',*,*,#74702,.T.); +#77112=ORIENTED_EDGE('',*,*,#77096,.F.); +#77113=ORIENTED_EDGE('',*,*,#71836,.F.); +#77114=EDGE_LOOP('',(#77110,#77111,#77112,#77113)); +#77115=FACE_OUTER_BOUND('',#77114,.F.); +#77117=CARTESIAN_POINT('',(-5.345E1,1.53E0,-4.099000013158E1)); +#77118=DIRECTION('',(0.E0,-1.E0,0.E0)); +#77119=DIRECTION('',(1.E0,0.E0,0.E0)); +#77120=AXIS2_PLACEMENT_3D('',#77117,#77118,#77119); +#77121=PLANE('',#77120); +#77122=ORIENTED_EDGE('',*,*,#76773,.T.); +#77123=ORIENTED_EDGE('',*,*,#74704,.T.); +#77124=ORIENTED_EDGE('',*,*,#77109,.F.); +#77125=ORIENTED_EDGE('',*,*,#71834,.F.); +#77126=EDGE_LOOP('',(#77122,#77123,#77124,#77125)); +#77127=FACE_OUTER_BOUND('',#77126,.F.); +#77129=CARTESIAN_POINT('',(-5.225000002861E1,3.317234067917E0, +-4.099000013158E1)); +#77130=DIRECTION('',(1.E0,0.E0,0.E0)); +#77131=DIRECTION('',(0.E0,1.E0,0.E0)); +#77132=AXIS2_PLACEMENT_3D('',#77129,#77130,#77131); +#77133=PLANE('',#77132); +#77135=ORIENTED_EDGE('',*,*,#77134,.T.); +#77136=ORIENTED_EDGE('',*,*,#74714,.T.); +#77138=ORIENTED_EDGE('',*,*,#77137,.F.); +#77139=ORIENTED_EDGE('',*,*,#71890,.F.); +#77140=EDGE_LOOP('',(#77135,#77136,#77138,#77139)); +#77141=FACE_OUTER_BOUND('',#77140,.F.); +#77143=CARTESIAN_POINT('',(-5.255E1,1.53E0,-4.099000013158E1)); +#77144=DIRECTION('',(9.862028786902E-1,-1.655411793579E-1,0.E0)); +#77145=DIRECTION('',(1.655411793579E-1,9.862028786902E-1,0.E0)); +#77146=AXIS2_PLACEMENT_3D('',#77143,#77144,#77145); +#77147=PLANE('',#77146); +#77148=ORIENTED_EDGE('',*,*,#77134,.F.); +#77149=ORIENTED_EDGE('',*,*,#71902,.F.); +#77151=ORIENTED_EDGE('',*,*,#77150,.T.); +#77152=ORIENTED_EDGE('',*,*,#74716,.T.); +#77153=EDGE_LOOP('',(#77148,#77149,#77151,#77152)); +#77154=FACE_OUTER_BOUND('',#77153,.F.); +#77156=CARTESIAN_POINT('',(-5.264E1,1.53E0,-4.099000013158E1)); +#77157=DIRECTION('',(0.E0,-1.E0,0.E0)); +#77158=DIRECTION('',(1.E0,0.E0,0.E0)); +#77159=AXIS2_PLACEMENT_3D('',#77156,#77157,#77158); +#77160=PLANE('',#77159); +#77162=ORIENTED_EDGE('',*,*,#77161,.T.); +#77163=ORIENTED_EDGE('',*,*,#74718,.T.); +#77164=ORIENTED_EDGE('',*,*,#77150,.F.); +#77165=ORIENTED_EDGE('',*,*,#71900,.F.); +#77166=EDGE_LOOP('',(#77162,#77163,#77164,#77165)); +#77167=FACE_OUTER_BOUND('',#77166,.F.); +#77169=CARTESIAN_POINT('',(-5.234000002861E1,3.317234067917E0, +-4.099000013158E1)); +#77170=DIRECTION('',(-9.862028786902E-1,1.655411793579E-1,0.E0)); +#77171=DIRECTION('',(-1.655411793579E-1,-9.862028786902E-1,0.E0)); +#77172=AXIS2_PLACEMENT_3D('',#77169,#77170,#77171); +#77173=PLANE('',#77172); +#77175=ORIENTED_EDGE('',*,*,#77174,.T.); +#77176=ORIENTED_EDGE('',*,*,#74720,.T.); +#77177=ORIENTED_EDGE('',*,*,#77161,.F.); +#77178=ORIENTED_EDGE('',*,*,#71898,.F.); +#77179=EDGE_LOOP('',(#77175,#77176,#77177,#77178)); +#77180=FACE_OUTER_BOUND('',#77179,.F.); +#77182=CARTESIAN_POINT('',(-5.278999998569E1,3.317234067917E0, +-4.099000013158E1)); +#77183=DIRECTION('',(0.E0,-1.E0,0.E0)); +#77184=DIRECTION('',(1.E0,0.E0,0.E0)); +#77185=AXIS2_PLACEMENT_3D('',#77182,#77183,#77184); +#77186=PLANE('',#77185); +#77188=ORIENTED_EDGE('',*,*,#77187,.T.); +#77189=ORIENTED_EDGE('',*,*,#74722,.T.); +#77190=ORIENTED_EDGE('',*,*,#77174,.F.); +#77191=ORIENTED_EDGE('',*,*,#71896,.F.); +#77192=EDGE_LOOP('',(#77188,#77189,#77190,#77191)); +#77193=FACE_OUTER_BOUND('',#77192,.F.); +#77195=CARTESIAN_POINT('',(-5.278999998569E1,3.53E0,-4.099000013158E1)); +#77196=DIRECTION('',(-1.E0,0.E0,0.E0)); +#77197=DIRECTION('',(0.E0,-1.E0,0.E0)); +#77198=AXIS2_PLACEMENT_3D('',#77195,#77196,#77197); +#77199=PLANE('',#77198); +#77201=ORIENTED_EDGE('',*,*,#77200,.T.); +#77202=ORIENTED_EDGE('',*,*,#74724,.T.); +#77203=ORIENTED_EDGE('',*,*,#77187,.F.); +#77204=ORIENTED_EDGE('',*,*,#71894,.F.); +#77205=EDGE_LOOP('',(#77201,#77202,#77203,#77204)); +#77206=FACE_OUTER_BOUND('',#77205,.F.); +#77208=CARTESIAN_POINT('',(-5.225000002861E1,3.53E0,-4.099000013158E1)); +#77209=DIRECTION('',(0.E0,1.E0,0.E0)); +#77210=DIRECTION('',(-1.E0,0.E0,0.E0)); +#77211=AXIS2_PLACEMENT_3D('',#77208,#77209,#77210); +#77212=PLANE('',#77211); +#77213=ORIENTED_EDGE('',*,*,#77137,.T.); +#77214=ORIENTED_EDGE('',*,*,#74726,.T.); +#77215=ORIENTED_EDGE('',*,*,#77200,.F.); +#77216=ORIENTED_EDGE('',*,*,#71892,.F.); +#77217=EDGE_LOOP('',(#77213,#77214,#77215,#77216)); +#77218=FACE_OUTER_BOUND('',#77217,.F.); +#77220=CARTESIAN_POINT('',(6.198E0,-1.47E0,-4.099000013158E1)); +#77221=DIRECTION('',(1.E0,0.E0,0.E0)); +#77222=DIRECTION('',(0.E0,1.E0,0.E0)); +#77223=AXIS2_PLACEMENT_3D('',#77220,#77221,#77222); +#77224=PLANE('',#77223); +#77225=ORIENTED_EDGE('',*,*,#71906,.F.); +#77227=ORIENTED_EDGE('',*,*,#77226,.T.); +#77228=ORIENTED_EDGE('',*,*,#74736,.T.); +#77230=ORIENTED_EDGE('',*,*,#77229,.F.); +#77231=EDGE_LOOP('',(#77225,#77227,#77228,#77230)); +#77232=FACE_OUTER_BOUND('',#77231,.F.); +#77234=CARTESIAN_POINT('',(6.079E0,-1.47E0,-4.099000013158E1)); +#77235=DIRECTION('',(0.E0,-1.E0,0.E0)); +#77236=DIRECTION('',(1.E0,0.E0,0.E0)); +#77237=AXIS2_PLACEMENT_3D('',#77234,#77235,#77236); +#77238=PLANE('',#77237); +#77239=ORIENTED_EDGE('',*,*,#71918,.F.); +#77241=ORIENTED_EDGE('',*,*,#77240,.T.); +#77242=ORIENTED_EDGE('',*,*,#74738,.T.); +#77243=ORIENTED_EDGE('',*,*,#77226,.F.); +#77244=EDGE_LOOP('',(#77239,#77241,#77242,#77243)); +#77245=FACE_OUTER_BOUND('',#77244,.F.); +#77247=CARTESIAN_POINT('',(6.079E0,-5.936166763306E-2,-4.099000013158E1)); +#77248=DIRECTION('',(-1.E0,0.E0,0.E0)); +#77249=DIRECTION('',(0.E0,-1.E0,0.E0)); +#77250=AXIS2_PLACEMENT_3D('',#77247,#77248,#77249); +#77251=PLANE('',#77250); +#77252=ORIENTED_EDGE('',*,*,#71916,.F.); +#77254=ORIENTED_EDGE('',*,*,#77253,.T.); +#77255=ORIENTED_EDGE('',*,*,#74740,.T.); +#77256=ORIENTED_EDGE('',*,*,#77240,.F.); +#77257=EDGE_LOOP('',(#77252,#77254,#77255,#77256)); +#77258=FACE_OUTER_BOUND('',#77257,.F.); +#77260=CARTESIAN_POINT('',(5.96E0,-3.125532281399E-1,-4.099000013158E1)); +#77261=DIRECTION('',(9.050237477619E-1,-4.253610419245E-1,0.E0)); +#77262=DIRECTION('',(4.253610419245E-1,9.050237477619E-1,0.E0)); +#77263=AXIS2_PLACEMENT_3D('',#77260,#77261,#77262); +#77264=PLANE('',#77263); +#77265=ORIENTED_EDGE('',*,*,#71914,.F.); +#77267=ORIENTED_EDGE('',*,*,#77266,.T.); +#77268=ORIENTED_EDGE('',*,*,#74742,.T.); +#77269=ORIENTED_EDGE('',*,*,#77253,.F.); +#77270=EDGE_LOOP('',(#77265,#77267,#77268,#77269)); +#77271=FACE_OUTER_BOUND('',#77270,.F.); +#77273=CARTESIAN_POINT('',(5.96E0,-2.319145917892E-2,-4.099000013158E1)); +#77274=DIRECTION('',(-1.E0,0.E0,0.E0)); +#77275=DIRECTION('',(0.E0,-1.E0,0.E0)); +#77276=AXIS2_PLACEMENT_3D('',#77273,#77274,#77275); +#77277=PLANE('',#77276); +#77278=ORIENTED_EDGE('',*,*,#71912,.F.); +#77280=ORIENTED_EDGE('',*,*,#77279,.T.); +#77281=ORIENTED_EDGE('',*,*,#74744,.T.); +#77282=ORIENTED_EDGE('',*,*,#77266,.F.); +#77283=EDGE_LOOP('',(#77278,#77280,#77281,#77282)); +#77284=FACE_OUTER_BOUND('',#77283,.F.); +#77286=CARTESIAN_POINT('',(6.079E0,2.3E-1,-4.099000013158E1)); +#77287=DIRECTION('',(-9.050236822296E-1,4.253611813549E-1,0.E0)); +#77288=DIRECTION('',(-4.253611813549E-1,-9.050236822296E-1,0.E0)); +#77289=AXIS2_PLACEMENT_3D('',#77286,#77287,#77288); +#77290=PLANE('',#77289); +#77291=ORIENTED_EDGE('',*,*,#71910,.F.); +#77293=ORIENTED_EDGE('',*,*,#77292,.T.); +#77294=ORIENTED_EDGE('',*,*,#74746,.T.); +#77295=ORIENTED_EDGE('',*,*,#77279,.F.); +#77296=EDGE_LOOP('',(#77291,#77293,#77294,#77295)); +#77297=FACE_OUTER_BOUND('',#77296,.F.); +#77299=CARTESIAN_POINT('',(6.198E0,2.3E-1,-4.099000013158E1)); +#77300=DIRECTION('',(0.E0,1.E0,0.E0)); +#77301=DIRECTION('',(-1.E0,0.E0,0.E0)); +#77302=AXIS2_PLACEMENT_3D('',#77299,#77300,#77301); +#77303=PLANE('',#77302); +#77304=ORIENTED_EDGE('',*,*,#71908,.F.); +#77305=ORIENTED_EDGE('',*,*,#77229,.T.); +#77306=ORIENTED_EDGE('',*,*,#74748,.T.); +#77307=ORIENTED_EDGE('',*,*,#77292,.F.); +#77308=EDGE_LOOP('',(#77304,#77305,#77306,#77307)); +#77309=FACE_OUTER_BOUND('',#77308,.F.); +#77311=CARTESIAN_POINT('',(6.832666685581E0,2.3E-1,-4.099000013158E1)); +#77312=DIRECTION('',(-8.989091733820E-1,4.381350225783E-1,0.E0)); +#77313=DIRECTION('',(-4.381350225783E-1,-8.989091733820E-1,0.E0)); +#77314=AXIS2_PLACEMENT_3D('',#77311,#77312,#77313); +#77315=PLANE('',#77314); +#77316=ORIENTED_EDGE('',*,*,#71922,.F.); +#77318=ORIENTED_EDGE('',*,*,#77317,.T.); +#77319=ORIENTED_EDGE('',*,*,#74758,.T.); +#77321=ORIENTED_EDGE('',*,*,#77320,.F.); +#77322=EDGE_LOOP('',(#77316,#77318,#77319,#77321)); +#77323=FACE_OUTER_BOUND('',#77322,.F.); +#77325=CARTESIAN_POINT('',(6.951666628838E0,2.3E-1,-4.099000013158E1)); +#77326=DIRECTION('',(0.E0,1.E0,0.E0)); +#77327=DIRECTION('',(-1.E0,0.E0,0.E0)); +#77328=AXIS2_PLACEMENT_3D('',#77325,#77326,#77327); +#77329=PLANE('',#77328); +#77330=ORIENTED_EDGE('',*,*,#71942,.F.); +#77332=ORIENTED_EDGE('',*,*,#77331,.T.); +#77333=ORIENTED_EDGE('',*,*,#74760,.T.); +#77334=ORIENTED_EDGE('',*,*,#77317,.F.); +#77335=EDGE_LOOP('',(#77330,#77332,#77333,#77334)); +#77336=FACE_OUTER_BOUND('',#77335,.F.); +#77338=CARTESIAN_POINT('',(6.951666628838E0,-7.465957295895E-1, +-4.099000013158E1)); +#77339=DIRECTION('',(1.E0,0.E0,0.E0)); +#77340=DIRECTION('',(0.E0,1.E0,0.E0)); +#77341=AXIS2_PLACEMENT_3D('',#77338,#77339,#77340); +#77342=PLANE('',#77341); +#77343=ORIENTED_EDGE('',*,*,#71940,.F.); +#77345=ORIENTED_EDGE('',*,*,#77344,.T.); +#77346=ORIENTED_EDGE('',*,*,#74762,.T.); +#77347=ORIENTED_EDGE('',*,*,#77331,.F.); +#77348=EDGE_LOOP('',(#77343,#77345,#77346,#77347)); +#77349=FACE_OUTER_BOUND('',#77348,.F.); +#77351=CARTESIAN_POINT('',(7.15E0,-7.465957295895E-1,-4.099000013158E1)); +#77352=DIRECTION('',(0.E0,1.E0,0.E0)); +#77353=DIRECTION('',(-1.E0,0.E0,0.E0)); +#77354=AXIS2_PLACEMENT_3D('',#77351,#77352,#77353); +#77355=PLANE('',#77354); +#77356=ORIENTED_EDGE('',*,*,#71938,.F.); +#77358=ORIENTED_EDGE('',*,*,#77357,.T.); +#77359=ORIENTED_EDGE('',*,*,#74764,.T.); +#77360=ORIENTED_EDGE('',*,*,#77344,.F.); +#77361=EDGE_LOOP('',(#77356,#77358,#77359,#77360)); +#77362=FACE_OUTER_BOUND('',#77361,.F.); +#77364=CARTESIAN_POINT('',(7.15E0,-9.636170309782E-1,-4.099000013158E1)); +#77365=DIRECTION('',(1.E0,0.E0,0.E0)); +#77366=DIRECTION('',(0.E0,1.E0,0.E0)); +#77367=AXIS2_PLACEMENT_3D('',#77364,#77365,#77366); +#77368=PLANE('',#77367); +#77369=ORIENTED_EDGE('',*,*,#71936,.F.); +#77371=ORIENTED_EDGE('',*,*,#77370,.T.); +#77372=ORIENTED_EDGE('',*,*,#74766,.T.); +#77373=ORIENTED_EDGE('',*,*,#77357,.F.); +#77374=EDGE_LOOP('',(#77369,#77371,#77372,#77373)); +#77375=FACE_OUTER_BOUND('',#77374,.F.); +#77377=CARTESIAN_POINT('',(6.951666628838E0,-9.636170309782E-1, +-4.099000013158E1)); +#77378=DIRECTION('',(0.E0,-1.E0,0.E0)); +#77379=DIRECTION('',(1.E0,0.E0,0.E0)); +#77380=AXIS2_PLACEMENT_3D('',#77377,#77378,#77379); +#77381=PLANE('',#77380); +#77382=ORIENTED_EDGE('',*,*,#71934,.F.); +#77384=ORIENTED_EDGE('',*,*,#77383,.T.); +#77385=ORIENTED_EDGE('',*,*,#74768,.T.); +#77386=ORIENTED_EDGE('',*,*,#77370,.F.); +#77387=EDGE_LOOP('',(#77382,#77384,#77385,#77386)); +#77388=FACE_OUTER_BOUND('',#77387,.F.); +#77390=CARTESIAN_POINT('',(6.951666628838E0,-1.47E0,-4.099000013158E1)); +#77391=DIRECTION('',(1.E0,0.E0,0.E0)); +#77392=DIRECTION('',(0.E0,1.E0,0.E0)); +#77393=AXIS2_PLACEMENT_3D('',#77390,#77391,#77392); +#77394=PLANE('',#77393); +#77395=ORIENTED_EDGE('',*,*,#71932,.F.); +#77397=ORIENTED_EDGE('',*,*,#77396,.T.); +#77398=ORIENTED_EDGE('',*,*,#74770,.T.); +#77399=ORIENTED_EDGE('',*,*,#77383,.F.); +#77400=EDGE_LOOP('',(#77395,#77397,#77398,#77399)); +#77401=FACE_OUTER_BOUND('',#77400,.F.); +#77403=CARTESIAN_POINT('',(6.832666685581E0,-1.47E0,-4.099000013158E1)); +#77404=DIRECTION('',(0.E0,-1.E0,0.E0)); +#77405=DIRECTION('',(1.E0,0.E0,0.E0)); +#77406=AXIS2_PLACEMENT_3D('',#77403,#77404,#77405); +#77407=PLANE('',#77406); +#77408=ORIENTED_EDGE('',*,*,#71930,.F.); +#77410=ORIENTED_EDGE('',*,*,#77409,.T.); +#77411=ORIENTED_EDGE('',*,*,#74772,.T.); +#77412=ORIENTED_EDGE('',*,*,#77396,.F.); +#77413=EDGE_LOOP('',(#77408,#77410,#77411,#77412)); +#77414=FACE_OUTER_BOUND('',#77413,.F.); +#77416=CARTESIAN_POINT('',(6.832666685581E0,-9.636170309782E-1, +-4.099000013158E1)); +#77417=DIRECTION('',(-1.E0,0.E0,0.E0)); +#77418=DIRECTION('',(0.E0,-1.E0,0.E0)); +#77419=AXIS2_PLACEMENT_3D('',#77416,#77417,#77418); +#77420=PLANE('',#77419); +#77421=ORIENTED_EDGE('',*,*,#71928,.F.); +#77423=ORIENTED_EDGE('',*,*,#77422,.T.); +#77424=ORIENTED_EDGE('',*,*,#74774,.T.); +#77425=ORIENTED_EDGE('',*,*,#77409,.F.); +#77426=EDGE_LOOP('',(#77421,#77423,#77424,#77425)); +#77427=FACE_OUTER_BOUND('',#77426,.F.); +#77429=CARTESIAN_POINT('',(6.356666657209E0,-9.636170309782E-1, +-4.099000013158E1)); +#77430=DIRECTION('',(0.E0,-1.E0,0.E0)); +#77431=DIRECTION('',(1.E0,0.E0,0.E0)); +#77432=AXIS2_PLACEMENT_3D('',#77429,#77430,#77431); +#77433=PLANE('',#77432); +#77434=ORIENTED_EDGE('',*,*,#71926,.F.); +#77436=ORIENTED_EDGE('',*,*,#77435,.T.); +#77437=ORIENTED_EDGE('',*,*,#74776,.T.); +#77438=ORIENTED_EDGE('',*,*,#77422,.F.); +#77439=EDGE_LOOP('',(#77434,#77436,#77437,#77438)); +#77440=FACE_OUTER_BOUND('',#77439,.F.); +#77442=CARTESIAN_POINT('',(6.356666657209E0,-7.465957295895E-1, +-4.099000013158E1)); +#77443=DIRECTION('',(-1.E0,0.E0,0.E0)); +#77444=DIRECTION('',(0.E0,-1.E0,0.E0)); +#77445=AXIS2_PLACEMENT_3D('',#77442,#77443,#77444); +#77446=PLANE('',#77445); +#77447=ORIENTED_EDGE('',*,*,#71924,.F.); +#77448=ORIENTED_EDGE('',*,*,#77320,.T.); +#77449=ORIENTED_EDGE('',*,*,#74778,.T.); +#77450=ORIENTED_EDGE('',*,*,#77435,.F.); +#77451=EDGE_LOOP('',(#77447,#77448,#77449,#77450)); +#77452=FACE_OUTER_BOUND('',#77451,.F.); +#77454=CARTESIAN_POINT('',(6.4955E0,-7.465957295895E-1,-4.099000013158E1)); +#77455=DIRECTION('',(8.977723661728E-1,-4.404597354316E-1,0.E0)); +#77456=DIRECTION('',(4.404597354316E-1,8.977723661728E-1,0.E0)); +#77457=AXIS2_PLACEMENT_3D('',#77454,#77455,#77456); +#77458=PLANE('',#77457); +#77459=ORIENTED_EDGE('',*,*,#71312,.F.); +#77461=ORIENTED_EDGE('',*,*,#77460,.T.); +#77462=ORIENTED_EDGE('',*,*,#74782,.T.); +#77464=ORIENTED_EDGE('',*,*,#77463,.F.); +#77465=EDGE_LOOP('',(#77459,#77461,#77462,#77464)); +#77466=FACE_OUTER_BOUND('',#77465,.F.); +#77468=CARTESIAN_POINT('',(6.832666685581E0,-7.465957295895E-1, +-4.099000013158E1)); +#77469=DIRECTION('',(0.E0,1.E0,0.E0)); +#77470=DIRECTION('',(-1.E0,0.E0,0.E0)); +#77471=AXIS2_PLACEMENT_3D('',#77468,#77469,#77470); +#77472=PLANE('',#77471); +#77473=ORIENTED_EDGE('',*,*,#71316,.F.); +#77475=ORIENTED_EDGE('',*,*,#77474,.T.); +#77476=ORIENTED_EDGE('',*,*,#74784,.T.); +#77477=ORIENTED_EDGE('',*,*,#77460,.F.); +#77478=EDGE_LOOP('',(#77473,#77475,#77476,#77477)); +#77479=FACE_OUTER_BOUND('',#77478,.F.); +#77481=CARTESIAN_POINT('',(6.832666685581E0,-5.936166763306E-2, +-4.099000013158E1)); +#77482=DIRECTION('',(-1.E0,0.E0,0.E0)); +#77483=DIRECTION('',(0.E0,-1.E0,0.E0)); +#77484=AXIS2_PLACEMENT_3D('',#77481,#77482,#77483); +#77485=PLANE('',#77484); +#77486=ORIENTED_EDGE('',*,*,#71314,.F.); +#77487=ORIENTED_EDGE('',*,*,#77463,.T.); +#77488=ORIENTED_EDGE('',*,*,#74786,.T.); +#77489=ORIENTED_EDGE('',*,*,#77474,.F.); +#77490=EDGE_LOOP('',(#77486,#77487,#77488,#77489)); +#77491=FACE_OUTER_BOUND('',#77490,.F.); +#77493=CARTESIAN_POINT('',(9.9E0,7.427659618855E-1,-4.099000013158E1)); +#77494=DIRECTION('',(-1.E0,0.E0,0.E0)); +#77495=DIRECTION('',(0.E0,-1.E0,0.E0)); +#77496=AXIS2_PLACEMENT_3D('',#77493,#77494,#77495); +#77497=PLANE('',#77496); +#77498=ORIENTED_EDGE('',*,*,#71946,.F.); +#77500=ORIENTED_EDGE('',*,*,#77499,.T.); +#77501=ORIENTED_EDGE('',*,*,#74796,.T.); +#77503=ORIENTED_EDGE('',*,*,#77502,.F.); +#77504=EDGE_LOOP('',(#77498,#77500,#77501,#77503)); +#77505=FACE_OUTER_BOUND('',#77504,.F.); +#77507=CARTESIAN_POINT('',(1.034333331823E1,1.806595711708E0, +-4.099000013158E1)); +#77508=DIRECTION('',(-9.230550739791E-1,3.846678182554E-1,0.E0)); +#77509=DIRECTION('',(-3.846678182554E-1,-9.230550739791E-1,0.E0)); +#77510=AXIS2_PLACEMENT_3D('',#77507,#77508,#77509); +#77511=PLANE('',#77510); +#77512=ORIENTED_EDGE('',*,*,#72000,.F.); +#77514=ORIENTED_EDGE('',*,*,#77513,.T.); +#77515=ORIENTED_EDGE('',*,*,#74798,.T.); +#77516=ORIENTED_EDGE('',*,*,#77499,.F.); +#77517=EDGE_LOOP('',(#77512,#77514,#77515,#77516)); +#77518=FACE_OUTER_BOUND('',#77517,.F.); +#77520=CARTESIAN_POINT('',(1.0375E1,1.976808457375E0,-4.099000013158E1)); +#77521=DIRECTION('',(-9.831308864043E-1,1.829034176770E-1,0.E0)); +#77522=DIRECTION('',(-1.829034176770E-1,-9.831308864043E-1,0.E0)); +#77523=AXIS2_PLACEMENT_3D('',#77520,#77521,#77522); +#77524=PLANE('',#77523); +#77525=ORIENTED_EDGE('',*,*,#71998,.F.); +#77527=ORIENTED_EDGE('',*,*,#77526,.T.); +#77528=ORIENTED_EDGE('',*,*,#74800,.T.); +#77529=ORIENTED_EDGE('',*,*,#77513,.F.); +#77530=EDGE_LOOP('',(#77525,#77527,#77528,#77529)); +#77531=FACE_OUTER_BOUND('',#77530,.F.); +#77533=CARTESIAN_POINT('',(1.0375E1,2.061914949417E0,-4.099000013158E1)); +#77534=DIRECTION('',(-1.E0,0.E0,0.E0)); +#77535=DIRECTION('',(0.E0,-1.E0,0.E0)); +#77536=AXIS2_PLACEMENT_3D('',#77533,#77534,#77535); +#77537=PLANE('',#77536); +#77538=ORIENTED_EDGE('',*,*,#71996,.F.); +#77540=ORIENTED_EDGE('',*,*,#77539,.T.); +#77541=ORIENTED_EDGE('',*,*,#74802,.T.); +#77542=ORIENTED_EDGE('',*,*,#77526,.F.); +#77543=EDGE_LOOP('',(#77538,#77540,#77541,#77542)); +#77544=FACE_OUTER_BOUND('',#77543,.F.); +#77546=CARTESIAN_POINT('',(1.034333331823E1,2.189574508667E0, +-4.099000013158E1)); +#77547=DIRECTION('',(-9.705848990231E-1,-2.407591198446E-1,0.E0)); +#77548=DIRECTION('',(2.407591198446E-1,-9.705848990231E-1,0.E0)); +#77549=AXIS2_PLACEMENT_3D('',#77546,#77547,#77548); +#77550=PLANE('',#77549); +#77551=ORIENTED_EDGE('',*,*,#71994,.F.); +#77553=ORIENTED_EDGE('',*,*,#77552,.T.); +#77554=ORIENTED_EDGE('',*,*,#74804,.T.); +#77555=ORIENTED_EDGE('',*,*,#77539,.F.); +#77556=EDGE_LOOP('',(#77551,#77553,#77554,#77555)); +#77557=FACE_OUTER_BOUND('',#77556,.F.); +#77559=CARTESIAN_POINT('',(1.029583331823E1,2.274680881500E0, +-4.099000013158E1)); +#77560=DIRECTION('',(-8.732030784936E-1,-4.873565262817E-1,0.E0)); +#77561=DIRECTION('',(4.873565262817E-1,-8.732030784936E-1,0.E0)); +#77562=AXIS2_PLACEMENT_3D('',#77559,#77560,#77561); +#77563=PLANE('',#77562); +#77564=ORIENTED_EDGE('',*,*,#71992,.F.); +#77566=ORIENTED_EDGE('',*,*,#77565,.T.); +#77567=ORIENTED_EDGE('',*,*,#74806,.T.); +#77568=ORIENTED_EDGE('',*,*,#77552,.F.); +#77569=EDGE_LOOP('',(#77564,#77566,#77567,#77568)); +#77570=FACE_OUTER_BOUND('',#77569,.F.); +#77572=CARTESIAN_POINT('',(1.021666665912E1,2.317234067917E0, +-4.099000013158E1)); +#77573=DIRECTION('',(-4.734526704704E-1,-8.808192600213E-1,0.E0)); +#77574=DIRECTION('',(8.808192600213E-1,-4.734526704704E-1,0.E0)); +#77575=AXIS2_PLACEMENT_3D('',#77572,#77573,#77574); +#77576=PLANE('',#77575); +#77577=ORIENTED_EDGE('',*,*,#71990,.F.); +#77579=ORIENTED_EDGE('',*,*,#77578,.T.); +#77580=ORIENTED_EDGE('',*,*,#74808,.T.); +#77581=ORIENTED_EDGE('',*,*,#77565,.F.); +#77582=EDGE_LOOP('',(#77577,#77579,#77580,#77581)); +#77583=FACE_OUTER_BOUND('',#77582,.F.); +#77585=CARTESIAN_POINT('',(1.015333334088E1,2.317234067917E0, +-4.099000013158E1)); +#77586=DIRECTION('',(0.E0,-1.E0,0.E0)); +#77587=DIRECTION('',(1.E0,0.E0,0.E0)); +#77588=AXIS2_PLACEMENT_3D('',#77585,#77586,#77587); +#77589=PLANE('',#77588); +#77590=ORIENTED_EDGE('',*,*,#71988,.F.); +#77592=ORIENTED_EDGE('',*,*,#77591,.T.); +#77593=ORIENTED_EDGE('',*,*,#74810,.T.); +#77594=ORIENTED_EDGE('',*,*,#77578,.F.); +#77595=EDGE_LOOP('',(#77590,#77592,#77593,#77594)); +#77596=FACE_OUTER_BOUND('',#77595,.F.); +#77598=CARTESIAN_POINT('',(1.007416667044E1,2.274680881500E0, +-4.099000013158E1)); +#77599=DIRECTION('',(4.734526179241E-1,-8.808192882656E-1,0.E0)); +#77600=DIRECTION('',(8.808192882656E-1,4.734526179241E-1,0.E0)); +#77601=AXIS2_PLACEMENT_3D('',#77598,#77599,#77600); +#77602=PLANE('',#77601); +#77603=ORIENTED_EDGE('',*,*,#71986,.F.); +#77605=ORIENTED_EDGE('',*,*,#77604,.T.); +#77606=ORIENTED_EDGE('',*,*,#74812,.T.); +#77607=ORIENTED_EDGE('',*,*,#77591,.F.); +#77608=EDGE_LOOP('',(#77603,#77605,#77606,#77607)); +#77609=FACE_OUTER_BOUND('',#77608,.F.); +#77611=CARTESIAN_POINT('',(1.002666667044E1,2.189574508667E0, +-4.099000013158E1)); +#77612=DIRECTION('',(8.732030784936E-1,-4.873565262817E-1,0.E0)); +#77613=DIRECTION('',(4.873565262817E-1,8.732030784936E-1,0.E0)); +#77614=AXIS2_PLACEMENT_3D('',#77611,#77612,#77613); +#77615=PLANE('',#77614); +#77616=ORIENTED_EDGE('',*,*,#71984,.F.); +#77618=ORIENTED_EDGE('',*,*,#77617,.T.); +#77619=ORIENTED_EDGE('',*,*,#74814,.T.); +#77620=ORIENTED_EDGE('',*,*,#77604,.F.); +#77621=EDGE_LOOP('',(#77616,#77618,#77619,#77620)); +#77622=FACE_OUTER_BOUND('',#77621,.F.); +#77624=CARTESIAN_POINT('',(9.995E0,2.061914949417E0,-4.099000013158E1)); +#77625=DIRECTION('',(9.705849191432E-1,-2.407590387333E-1,0.E0)); +#77626=DIRECTION('',(2.407590387333E-1,9.705849191432E-1,0.E0)); +#77627=AXIS2_PLACEMENT_3D('',#77624,#77625,#77626); +#77628=PLANE('',#77627); +#77629=ORIENTED_EDGE('',*,*,#71982,.F.); +#77631=ORIENTED_EDGE('',*,*,#77630,.T.); +#77632=ORIENTED_EDGE('',*,*,#74816,.T.); +#77633=ORIENTED_EDGE('',*,*,#77617,.F.); +#77634=EDGE_LOOP('',(#77629,#77631,#77632,#77633)); +#77635=FACE_OUTER_BOUND('',#77634,.F.); +#77637=CARTESIAN_POINT('',(9.915833333805E0,2.061914949417E0, +-4.099000013158E1)); +#77638=DIRECTION('',(0.E0,-1.E0,0.E0)); +#77639=DIRECTION('',(1.E0,0.E0,0.E0)); +#77640=AXIS2_PLACEMENT_3D('',#77637,#77638,#77639); +#77641=PLANE('',#77640); +#77642=ORIENTED_EDGE('',*,*,#71980,.F.); +#77644=ORIENTED_EDGE('',*,*,#77643,.T.); +#77645=ORIENTED_EDGE('',*,*,#74818,.T.); +#77646=ORIENTED_EDGE('',*,*,#77630,.F.); +#77647=EDGE_LOOP('',(#77642,#77644,#77645,#77646)); +#77648=FACE_OUTER_BOUND('',#77647,.F.); +#77650=CARTESIAN_POINT('',(9.931666667610E0,2.232127695084E0, +-4.099000013158E1)); +#77651=DIRECTION('',(-9.957014371698E-1,9.262099123834E-2,0.E0)); +#77652=DIRECTION('',(-9.262099123834E-2,-9.957014371698E-1,0.E0)); +#77653=AXIS2_PLACEMENT_3D('',#77650,#77651,#77652); +#77654=PLANE('',#77653); +#77655=ORIENTED_EDGE('',*,*,#71978,.F.); +#77657=ORIENTED_EDGE('',*,*,#77656,.T.); +#77658=ORIENTED_EDGE('',*,*,#74820,.T.); +#77659=ORIENTED_EDGE('',*,*,#77643,.F.); +#77660=EDGE_LOOP('',(#77655,#77657,#77658,#77659)); +#77661=FACE_OUTER_BOUND('',#77660,.F.); +#77663=CARTESIAN_POINT('',(9.995E0,2.402340440750E0,-4.099000013158E1)); +#77664=DIRECTION('',(-9.372248073847E-1,3.487257667893E-1,0.E0)); +#77665=DIRECTION('',(-3.487257667893E-1,-9.372248073847E-1,0.E0)); +#77666=AXIS2_PLACEMENT_3D('',#77663,#77664,#77665); +#77667=PLANE('',#77666); +#77668=ORIENTED_EDGE('',*,*,#71976,.F.); +#77670=ORIENTED_EDGE('',*,*,#77669,.T.); +#77671=ORIENTED_EDGE('',*,*,#74822,.T.); +#77672=ORIENTED_EDGE('',*,*,#77656,.F.); +#77673=EDGE_LOOP('',(#77668,#77670,#77671,#77672)); +#77674=FACE_OUTER_BOUND('',#77673,.F.); +#77676=CARTESIAN_POINT('',(1.005833332956E1,2.487446813583E0, +-4.099000013158E1)); +#77677=DIRECTION('',(-8.022406504219E-1,5.970007862730E-1,0.E0)); +#77678=DIRECTION('',(-5.970007862730E-1,-8.022406504219E-1,0.E0)); +#77679=AXIS2_PLACEMENT_3D('',#77676,#77677,#77678); +#77680=PLANE('',#77679); +#77681=ORIENTED_EDGE('',*,*,#71974,.F.); +#77683=ORIENTED_EDGE('',*,*,#77682,.T.); +#77684=ORIENTED_EDGE('',*,*,#74824,.T.); +#77685=ORIENTED_EDGE('',*,*,#77669,.F.); +#77686=EDGE_LOOP('',(#77681,#77683,#77684,#77685)); +#77687=FACE_OUTER_BOUND('',#77686,.F.); +#77689=CARTESIAN_POINT('',(1.016916665912E1,2.53E0,-4.099000013158E1)); +#77690=DIRECTION('',(-3.584285683678E-1,9.335571548533E-1,0.E0)); +#77691=DIRECTION('',(-9.335571548533E-1,-3.584285683678E-1,0.E0)); +#77692=AXIS2_PLACEMENT_3D('',#77689,#77690,#77691); +#77693=PLANE('',#77692); +#77694=ORIENTED_EDGE('',*,*,#71972,.F.); +#77696=ORIENTED_EDGE('',*,*,#77695,.T.); +#77697=ORIENTED_EDGE('',*,*,#74826,.T.); +#77698=ORIENTED_EDGE('',*,*,#77682,.F.); +#77699=EDGE_LOOP('',(#77694,#77696,#77697,#77698)); +#77700=FACE_OUTER_BOUND('',#77699,.F.); +#77702=CARTESIAN_POINT('',(1.02325E1,2.53E0,-4.099000013158E1)); +#77703=DIRECTION('',(0.E0,1.E0,0.E0)); +#77704=DIRECTION('',(-1.E0,0.E0,0.E0)); +#77705=AXIS2_PLACEMENT_3D('',#77702,#77703,#77704); +#77706=PLANE('',#77705); +#77707=ORIENTED_EDGE('',*,*,#71970,.F.); +#77709=ORIENTED_EDGE('',*,*,#77708,.T.); +#77710=ORIENTED_EDGE('',*,*,#74828,.T.); +#77711=ORIENTED_EDGE('',*,*,#77695,.F.); +#77712=EDGE_LOOP('',(#77707,#77709,#77710,#77711)); +#77713=FACE_OUTER_BOUND('',#77712,.F.); +#77715=CARTESIAN_POINT('',(1.03275E1,2.487446813583E0,-4.099000013158E1)); +#77716=DIRECTION('',(4.087917506861E-1,9.126276922004E-1,0.E0)); +#77717=DIRECTION('',(-9.126276922004E-1,4.087917506861E-1,0.E0)); +#77718=AXIS2_PLACEMENT_3D('',#77715,#77716,#77717); +#77719=PLANE('',#77718); +#77720=ORIENTED_EDGE('',*,*,#71968,.F.); +#77722=ORIENTED_EDGE('',*,*,#77721,.T.); +#77723=ORIENTED_EDGE('',*,*,#74830,.T.); +#77724=ORIENTED_EDGE('',*,*,#77708,.F.); +#77725=EDGE_LOOP('',(#77720,#77722,#77723,#77724)); +#77726=FACE_OUTER_BOUND('',#77725,.F.); +#77728=CARTESIAN_POINT('',(1.039083331823E1,2.402340440750E0, +-4.099000013158E1)); +#77729=DIRECTION('',(8.022407015496E-1,5.970007175684E-1,0.E0)); +#77730=DIRECTION('',(-5.970007175684E-1,8.022407015496E-1,0.E0)); +#77731=AXIS2_PLACEMENT_3D('',#77728,#77729,#77730); +#77732=PLANE('',#77731); +#77733=ORIENTED_EDGE('',*,*,#71966,.F.); +#77735=ORIENTED_EDGE('',*,*,#77734,.T.); +#77736=ORIENTED_EDGE('',*,*,#74832,.T.); +#77737=ORIENTED_EDGE('',*,*,#77721,.F.); +#77738=EDGE_LOOP('',(#77733,#77735,#77736,#77737)); +#77739=FACE_OUTER_BOUND('',#77738,.F.); +#77741=CARTESIAN_POINT('',(1.045416668177E1,2.232127695084E0, +-4.099000013158E1)); +#77742=DIRECTION('',(9.372247513385E-1,3.487259174173E-1,0.E0)); +#77743=DIRECTION('',(-3.487259174173E-1,9.372247513385E-1,0.E0)); +#77744=AXIS2_PLACEMENT_3D('',#77741,#77742,#77743); +#77745=PLANE('',#77744); +#77746=ORIENTED_EDGE('',*,*,#71964,.F.); +#77748=ORIENTED_EDGE('',*,*,#77747,.T.); +#77749=ORIENTED_EDGE('',*,*,#74834,.T.); +#77750=ORIENTED_EDGE('',*,*,#77734,.F.); +#77751=EDGE_LOOP('',(#77746,#77748,#77749,#77750)); +#77752=FACE_OUTER_BOUND('',#77751,.F.); +#77754=CARTESIAN_POINT('',(1.047E1,2.061914949417E0,-4.099000013158E1)); +#77755=DIRECTION('',(9.957014455704E-1,9.262090092919E-2,0.E0)); +#77756=DIRECTION('',(-9.262090092919E-2,9.957014455704E-1,0.E0)); +#77757=AXIS2_PLACEMENT_3D('',#77754,#77755,#77756); +#77758=PLANE('',#77757); +#77759=ORIENTED_EDGE('',*,*,#71962,.F.); +#77761=ORIENTED_EDGE('',*,*,#77760,.T.); +#77762=ORIENTED_EDGE('',*,*,#74836,.T.); +#77763=ORIENTED_EDGE('',*,*,#77747,.F.); +#77764=EDGE_LOOP('',(#77759,#77761,#77762,#77763)); +#77765=FACE_OUTER_BOUND('',#77764,.F.); +#77767=CARTESIAN_POINT('',(1.047E1,1.976808457375E0,-4.099000013158E1)); +#77768=DIRECTION('',(1.E0,0.E0,0.E0)); +#77769=DIRECTION('',(0.E0,1.E0,0.E0)); +#77770=AXIS2_PLACEMENT_3D('',#77767,#77768,#77769); +#77771=PLANE('',#77770); +#77772=ORIENTED_EDGE('',*,*,#71960,.F.); +#77774=ORIENTED_EDGE('',*,*,#77773,.T.); +#77775=ORIENTED_EDGE('',*,*,#74838,.T.); +#77776=ORIENTED_EDGE('',*,*,#77760,.F.); +#77777=EDGE_LOOP('',(#77772,#77774,#77775,#77776)); +#77778=FACE_OUTER_BOUND('',#77777,.F.); +#77780=CARTESIAN_POINT('',(1.045416668177E1,1.806595711708E0, +-4.099000013158E1)); +#77781=DIRECTION('',(9.957014455704E-1,-9.262090092919E-2,0.E0)); +#77782=DIRECTION('',(9.262090092919E-2,9.957014455704E-1,0.E0)); +#77783=AXIS2_PLACEMENT_3D('',#77780,#77781,#77782); +#77784=PLANE('',#77783); +#77785=ORIENTED_EDGE('',*,*,#71958,.F.); +#77787=ORIENTED_EDGE('',*,*,#77786,.T.); +#77788=ORIENTED_EDGE('',*,*,#74840,.T.); +#77789=ORIENTED_EDGE('',*,*,#77773,.F.); +#77790=EDGE_LOOP('',(#77785,#77787,#77788,#77789)); +#77791=FACE_OUTER_BOUND('',#77790,.F.); +#77793=CARTESIAN_POINT('',(1.04225E1,1.678936152458E0,-4.099000013158E1)); +#77794=DIRECTION('',(9.705848990231E-1,-2.407591198446E-1,0.E0)); +#77795=DIRECTION('',(2.407591198446E-1,9.705848990231E-1,0.E0)); +#77796=AXIS2_PLACEMENT_3D('',#77793,#77794,#77795); +#77797=PLANE('',#77796); +#77798=ORIENTED_EDGE('',*,*,#71956,.F.); +#77800=ORIENTED_EDGE('',*,*,#77799,.T.); +#77801=ORIENTED_EDGE('',*,*,#74842,.T.); +#77802=ORIENTED_EDGE('',*,*,#77786,.F.); +#77803=EDGE_LOOP('',(#77798,#77800,#77801,#77802)); +#77804=FACE_OUTER_BOUND('',#77803,.F.); +#77806=CARTESIAN_POINT('',(1.002666667044E1,7.427659618855E-1, +-4.099000013158E1)); +#77807=DIRECTION('',(9.210514935555E-1,-3.894408122157E-1,0.E0)); +#77808=DIRECTION('',(3.894408122157E-1,9.210514935555E-1,0.E0)); +#77809=AXIS2_PLACEMENT_3D('',#77806,#77807,#77808); +#77810=PLANE('',#77809); +#77811=ORIENTED_EDGE('',*,*,#71954,.F.); +#77813=ORIENTED_EDGE('',*,*,#77812,.T.); +#77814=ORIENTED_EDGE('',*,*,#74844,.T.); +#77815=ORIENTED_EDGE('',*,*,#77799,.F.); +#77816=EDGE_LOOP('',(#77811,#77813,#77814,#77815)); +#77817=FACE_OUTER_BOUND('',#77816,.F.); +#77819=CARTESIAN_POINT('',(1.047E1,7.427659618855E-1,-4.099000013158E1)); +#77820=DIRECTION('',(0.E0,1.E0,0.E0)); +#77821=DIRECTION('',(-1.E0,0.E0,0.E0)); +#77822=AXIS2_PLACEMENT_3D('',#77819,#77820,#77821); +#77823=PLANE('',#77822); +#77824=ORIENTED_EDGE('',*,*,#71952,.F.); +#77826=ORIENTED_EDGE('',*,*,#77825,.T.); +#77827=ORIENTED_EDGE('',*,*,#74846,.T.); +#77828=ORIENTED_EDGE('',*,*,#77812,.F.); +#77829=EDGE_LOOP('',(#77824,#77826,#77827,#77828)); +#77830=FACE_OUTER_BOUND('',#77829,.F.); +#77832=CARTESIAN_POINT('',(1.047E1,5.3E-1,-4.099000013158E1)); +#77833=DIRECTION('',(1.E0,0.E0,0.E0)); +#77834=DIRECTION('',(0.E0,1.E0,0.E0)); +#77835=AXIS2_PLACEMENT_3D('',#77832,#77833,#77834); +#77836=PLANE('',#77835); +#77837=ORIENTED_EDGE('',*,*,#71950,.F.); +#77839=ORIENTED_EDGE('',*,*,#77838,.T.); +#77840=ORIENTED_EDGE('',*,*,#74848,.T.); +#77841=ORIENTED_EDGE('',*,*,#77825,.F.); +#77842=EDGE_LOOP('',(#77837,#77839,#77840,#77841)); +#77843=FACE_OUTER_BOUND('',#77842,.F.); +#77845=CARTESIAN_POINT('',(9.9E0,5.3E-1,-4.099000013158E1)); +#77846=DIRECTION('',(0.E0,-1.E0,0.E0)); +#77847=DIRECTION('',(1.E0,0.E0,0.E0)); +#77848=AXIS2_PLACEMENT_3D('',#77845,#77846,#77847); +#77849=PLANE('',#77848); +#77850=ORIENTED_EDGE('',*,*,#71948,.F.); +#77851=ORIENTED_EDGE('',*,*,#77502,.T.); +#77852=ORIENTED_EDGE('',*,*,#74850,.T.); +#77853=ORIENTED_EDGE('',*,*,#77838,.F.); +#77854=EDGE_LOOP('',(#77850,#77851,#77852,#77853)); +#77855=FACE_OUTER_BOUND('',#77854,.F.); +#77857=CARTESIAN_POINT('',(1.1135E1,1.508723406792E0,-4.099000013158E1)); +#77858=DIRECTION('',(9.372248056863E-1,3.487257713538E-1,0.E0)); +#77859=DIRECTION('',(-3.487257713538E-1,9.372248056863E-1,0.E0)); +#77860=AXIS2_PLACEMENT_3D('',#77857,#77858,#77859); +#77861=PLANE('',#77860); +#77862=ORIENTED_EDGE('',*,*,#72004,.F.); +#77864=ORIENTED_EDGE('',*,*,#77863,.T.); +#77865=ORIENTED_EDGE('',*,*,#74860,.T.); +#77867=ORIENTED_EDGE('',*,*,#77866,.F.); +#77868=EDGE_LOOP('',(#77862,#77864,#77865,#77867)); +#77869=FACE_OUTER_BOUND('',#77868,.F.); +#77871=CARTESIAN_POINT('',(1.116666663647E1,1.295957474709E0, +-4.099000013158E1)); +#77872=DIRECTION('',(9.891050112764E-1,1.472116730019E-1,0.E0)); +#77873=DIRECTION('',(-1.472116730019E-1,9.891050112764E-1,0.E0)); +#77874=AXIS2_PLACEMENT_3D('',#77871,#77872,#77873); +#77875=PLANE('',#77874); +#77876=ORIENTED_EDGE('',*,*,#72082,.F.); +#77878=ORIENTED_EDGE('',*,*,#77877,.T.); +#77879=ORIENTED_EDGE('',*,*,#74862,.T.); +#77880=ORIENTED_EDGE('',*,*,#77863,.F.); +#77881=EDGE_LOOP('',(#77876,#77878,#77879,#77880)); +#77882=FACE_OUTER_BOUND('',#77881,.F.); +#77884=CARTESIAN_POINT('',(1.116666663647E1,1.083191483021E0, +-4.099000013158E1)); +#77885=DIRECTION('',(1.E0,0.E0,0.E0)); +#77886=DIRECTION('',(0.E0,1.E0,0.E0)); +#77887=AXIS2_PLACEMENT_3D('',#77884,#77885,#77886); +#77888=PLANE('',#77887); +#77889=ORIENTED_EDGE('',*,*,#72080,.F.); +#77891=ORIENTED_EDGE('',*,*,#77890,.T.); +#77892=ORIENTED_EDGE('',*,*,#74864,.T.); +#77893=ORIENTED_EDGE('',*,*,#77877,.F.); +#77894=EDGE_LOOP('',(#77889,#77891,#77892,#77893)); +#77895=FACE_OUTER_BOUND('',#77894,.F.); +#77897=CARTESIAN_POINT('',(1.1135E1,8.704255211353E-1,-4.099000013158E1)); +#77898=DIRECTION('',(9.891050142788E-1,-1.472116528286E-1,0.E0)); +#77899=DIRECTION('',(1.472116528286E-1,9.891050142788E-1,0.E0)); +#77900=AXIS2_PLACEMENT_3D('',#77897,#77898,#77899); +#77901=PLANE('',#77900); +#77902=ORIENTED_EDGE('',*,*,#72078,.F.); +#77904=ORIENTED_EDGE('',*,*,#77903,.T.); +#77905=ORIENTED_EDGE('',*,*,#74866,.T.); +#77906=ORIENTED_EDGE('',*,*,#77890,.F.); +#77907=EDGE_LOOP('',(#77902,#77904,#77905,#77906)); +#77908=FACE_OUTER_BOUND('',#77907,.F.); +#77910=CARTESIAN_POINT('',(1.10875E1,7.002127605677E-1,-4.099000013158E1)); +#77911=DIRECTION('',(9.631981114475E-1,-2.687924814871E-1,0.E0)); +#77912=DIRECTION('',(2.687924814871E-1,9.631981114475E-1,0.E0)); +#77913=AXIS2_PLACEMENT_3D('',#77910,#77911,#77912); +#77914=PLANE('',#77913); +#77915=ORIENTED_EDGE('',*,*,#72076,.F.); +#77917=ORIENTED_EDGE('',*,*,#77916,.T.); +#77918=ORIENTED_EDGE('',*,*,#74868,.T.); +#77919=ORIENTED_EDGE('',*,*,#77903,.F.); +#77920=EDGE_LOOP('',(#77915,#77917,#77918,#77919)); +#77921=FACE_OUTER_BOUND('',#77920,.F.); +#77923=CARTESIAN_POINT('',(1.100833336353E1,5.725531901419E-1, +-4.099000013158E1)); +#77924=DIRECTION('',(8.498499084696E-1,-5.270247936048E-1,0.E0)); +#77925=DIRECTION('',(5.270247936048E-1,8.498499084696E-1,0.E0)); +#77926=AXIS2_PLACEMENT_3D('',#77923,#77924,#77925); +#77927=PLANE('',#77926); +#77928=ORIENTED_EDGE('',*,*,#72074,.F.); +#77930=ORIENTED_EDGE('',*,*,#77929,.T.); +#77931=ORIENTED_EDGE('',*,*,#74870,.T.); +#77932=ORIENTED_EDGE('',*,*,#77916,.F.); +#77933=EDGE_LOOP('',(#77928,#77930,#77931,#77932)); +#77934=FACE_OUTER_BOUND('',#77933,.F.); +#77936=CARTESIAN_POINT('',(1.092916663647E1,5.3E-1,-4.099000013158E1)); +#77937=DIRECTION('',(4.734523873504E-1,-8.808194122022E-1,0.E0)); +#77938=DIRECTION('',(8.808194122022E-1,4.734523873504E-1,0.E0)); +#77939=AXIS2_PLACEMENT_3D('',#77936,#77937,#77938); +#77940=PLANE('',#77939); +#77941=ORIENTED_EDGE('',*,*,#72072,.F.); +#77943=ORIENTED_EDGE('',*,*,#77942,.T.); +#77944=ORIENTED_EDGE('',*,*,#74872,.T.); +#77945=ORIENTED_EDGE('',*,*,#77929,.F.); +#77946=EDGE_LOOP('',(#77941,#77943,#77944,#77945)); +#77947=FACE_OUTER_BOUND('',#77946,.F.); +#77949=CARTESIAN_POINT('',(1.085E1,5.3E-1,-4.099000013158E1)); +#77950=DIRECTION('',(0.E0,-1.E0,0.E0)); +#77951=DIRECTION('',(1.E0,0.E0,0.E0)); +#77952=AXIS2_PLACEMENT_3D('',#77949,#77950,#77951); +#77953=PLANE('',#77952); +#77954=ORIENTED_EDGE('',*,*,#72070,.F.); +#77956=ORIENTED_EDGE('',*,*,#77955,.T.); +#77957=ORIENTED_EDGE('',*,*,#74874,.T.); +#77958=ORIENTED_EDGE('',*,*,#77942,.F.); +#77959=EDGE_LOOP('',(#77954,#77956,#77957,#77958)); +#77960=FACE_OUTER_BOUND('',#77959,.F.); +#77962=CARTESIAN_POINT('',(1.077083336353E1,5.725531901419E-1, +-4.099000013158E1)); +#77963=DIRECTION('',(-4.734528077201E-1,-8.808191862477E-1,0.E0)); +#77964=DIRECTION('',(8.808191862477E-1,-4.734528077201E-1,0.E0)); +#77965=AXIS2_PLACEMENT_3D('',#77962,#77963,#77964); +#77966=PLANE('',#77965); +#77967=ORIENTED_EDGE('',*,*,#72068,.F.); +#77969=ORIENTED_EDGE('',*,*,#77968,.T.); +#77970=ORIENTED_EDGE('',*,*,#74876,.T.); +#77971=ORIENTED_EDGE('',*,*,#77955,.F.); +#77972=EDGE_LOOP('',(#77967,#77969,#77970,#77971)); +#77973=FACE_OUTER_BOUND('',#77972,.F.); +#77975=CARTESIAN_POINT('',(1.069166663647E1,7.002127605677E-1, +-4.099000013158E1)); +#77976=DIRECTION('',(-8.498496383315E-1,-5.270252292138E-1,0.E0)); +#77977=DIRECTION('',(5.270252292138E-1,-8.498496383315E-1,0.E0)); +#77978=AXIS2_PLACEMENT_3D('',#77975,#77976,#77977); +#77979=PLANE('',#77978); +#77980=ORIENTED_EDGE('',*,*,#72066,.F.); +#77982=ORIENTED_EDGE('',*,*,#77981,.T.); +#77983=ORIENTED_EDGE('',*,*,#74878,.T.); +#77984=ORIENTED_EDGE('',*,*,#77968,.F.); +#77985=EDGE_LOOP('',(#77980,#77982,#77983,#77984)); +#77986=FACE_OUTER_BOUND('',#77985,.F.); +#77988=CARTESIAN_POINT('',(1.064416668177E1,8.704255211353E-1, +-4.099000013158E1)); +#77989=DIRECTION('',(-9.631981778141E-1,-2.687922436671E-1,0.E0)); +#77990=DIRECTION('',(2.687922436671E-1,-9.631981778141E-1,0.E0)); +#77991=AXIS2_PLACEMENT_3D('',#77988,#77989,#77990); +#77992=PLANE('',#77991); +#77993=ORIENTED_EDGE('',*,*,#72064,.F.); +#77995=ORIENTED_EDGE('',*,*,#77994,.T.); +#77996=ORIENTED_EDGE('',*,*,#74880,.T.); +#77997=ORIENTED_EDGE('',*,*,#77981,.F.); +#77998=EDGE_LOOP('',(#77993,#77995,#77996,#77997)); +#77999=FACE_OUTER_BOUND('',#77998,.F.); +#78001=CARTESIAN_POINT('',(1.06125E1,1.083191483021E0,-4.099000013158E1)); +#78002=DIRECTION('',(-9.891049836155E-1,-1.472118588531E-1,0.E0)); +#78003=DIRECTION('',(1.472118588531E-1,-9.891049836155E-1,0.E0)); +#78004=AXIS2_PLACEMENT_3D('',#78001,#78002,#78003); +#78005=PLANE('',#78004); +#78006=ORIENTED_EDGE('',*,*,#72062,.F.); +#78008=ORIENTED_EDGE('',*,*,#78007,.T.); +#78009=ORIENTED_EDGE('',*,*,#74882,.T.); +#78010=ORIENTED_EDGE('',*,*,#77994,.F.); +#78011=EDGE_LOOP('',(#78006,#78008,#78009,#78010)); +#78012=FACE_OUTER_BOUND('',#78011,.F.); +#78014=CARTESIAN_POINT('',(1.059666668177E1,1.381063847542E0, +-4.099000013158E1)); +#78015=DIRECTION('',(-9.985902751657E-1,-5.307977340225E-2,0.E0)); +#78016=DIRECTION('',(5.307977340225E-2,-9.985902751657E-1,0.E0)); +#78017=AXIS2_PLACEMENT_3D('',#78014,#78015,#78016); +#78018=PLANE('',#78017); +#78019=ORIENTED_EDGE('',*,*,#72060,.F.); +#78021=ORIENTED_EDGE('',*,*,#78020,.T.); +#78022=ORIENTED_EDGE('',*,*,#74884,.T.); +#78023=ORIENTED_EDGE('',*,*,#78007,.F.); +#78024=EDGE_LOOP('',(#78019,#78021,#78022,#78023)); +#78025=FACE_OUTER_BOUND('',#78024,.F.); +#78027=CARTESIAN_POINT('',(1.059666668177E1,1.678936152458E0, +-4.099000013158E1)); +#78028=DIRECTION('',(-1.E0,0.E0,0.E0)); +#78029=DIRECTION('',(0.E0,-1.E0,0.E0)); +#78030=AXIS2_PLACEMENT_3D('',#78027,#78028,#78029); +#78031=PLANE('',#78030); +#78032=ORIENTED_EDGE('',*,*,#72058,.F.); +#78034=ORIENTED_EDGE('',*,*,#78033,.T.); +#78035=ORIENTED_EDGE('',*,*,#74886,.T.); +#78036=ORIENTED_EDGE('',*,*,#78020,.F.); +#78037=EDGE_LOOP('',(#78032,#78034,#78035,#78036)); +#78038=FACE_OUTER_BOUND('',#78037,.F.); +#78040=CARTESIAN_POINT('',(1.06125E1,1.976808457375E0,-4.099000013158E1)); +#78041=DIRECTION('',(-9.985902746027E-1,5.307978399365E-2,0.E0)); +#78042=DIRECTION('',(-5.307978399365E-2,-9.985902746027E-1,0.E0)); +#78043=AXIS2_PLACEMENT_3D('',#78040,#78041,#78042); +#78044=PLANE('',#78043); +#78045=ORIENTED_EDGE('',*,*,#72056,.F.); +#78047=ORIENTED_EDGE('',*,*,#78046,.T.); +#78048=ORIENTED_EDGE('',*,*,#74888,.T.); +#78049=ORIENTED_EDGE('',*,*,#78033,.F.); +#78050=EDGE_LOOP('',(#78045,#78047,#78048,#78049)); +#78051=FACE_OUTER_BOUND('',#78050,.F.); +#78053=CARTESIAN_POINT('',(1.064416668177E1,2.189574508667E0, +-4.099000013158E1)); +#78054=DIRECTION('',(-9.891049926228E-1,1.472117983334E-1,0.E0)); +#78055=DIRECTION('',(-1.472117983334E-1,-9.891049926228E-1,0.E0)); +#78056=AXIS2_PLACEMENT_3D('',#78053,#78054,#78055); +#78057=PLANE('',#78056); +#78058=ORIENTED_EDGE('',*,*,#72054,.F.); +#78060=ORIENTED_EDGE('',*,*,#78059,.T.); +#78061=ORIENTED_EDGE('',*,*,#74890,.T.); +#78062=ORIENTED_EDGE('',*,*,#78046,.F.); +#78063=EDGE_LOOP('',(#78058,#78060,#78061,#78062)); +#78064=FACE_OUTER_BOUND('',#78063,.F.); +#78066=CARTESIAN_POINT('',(1.069166663647E1,2.359787254333E0, +-4.099000013158E1)); +#78067=DIRECTION('',(-9.631981717219E-1,2.687922654982E-1,0.E0)); +#78068=DIRECTION('',(-2.687922654982E-1,-9.631981717219E-1,0.E0)); +#78069=AXIS2_PLACEMENT_3D('',#78066,#78067,#78068); +#78070=PLANE('',#78069); +#78071=ORIENTED_EDGE('',*,*,#72052,.F.); +#78073=ORIENTED_EDGE('',*,*,#78072,.T.); +#78074=ORIENTED_EDGE('',*,*,#74892,.T.); +#78075=ORIENTED_EDGE('',*,*,#78059,.F.); +#78076=EDGE_LOOP('',(#78071,#78073,#78074,#78075)); +#78077=FACE_OUTER_BOUND('',#78076,.F.); +#78079=CARTESIAN_POINT('',(1.077083336353E1,2.487446813583E0, +-4.099000013158E1)); +#78080=DIRECTION('',(-8.498496176666E-1,5.270252625367E-1,0.E0)); +#78081=DIRECTION('',(-5.270252625367E-1,-8.498496176666E-1,0.E0)); +#78082=AXIS2_PLACEMENT_3D('',#78079,#78080,#78081); +#78083=PLANE('',#78082); +#78084=ORIENTED_EDGE('',*,*,#72050,.F.); +#78086=ORIENTED_EDGE('',*,*,#78085,.T.); +#78087=ORIENTED_EDGE('',*,*,#74894,.T.); +#78088=ORIENTED_EDGE('',*,*,#78072,.F.); +#78089=EDGE_LOOP('',(#78084,#78086,#78087,#78088)); +#78090=FACE_OUTER_BOUND('',#78089,.F.); +#78092=CARTESIAN_POINT('',(1.085E1,2.53E0,-4.099000013158E1)); +#78093=DIRECTION('',(-4.734527755629E-1,8.808192035326E-1,0.E0)); +#78094=DIRECTION('',(-8.808192035326E-1,-4.734527755629E-1,0.E0)); +#78095=AXIS2_PLACEMENT_3D('',#78092,#78093,#78094); +#78096=PLANE('',#78095); +#78097=ORIENTED_EDGE('',*,*,#72048,.F.); +#78099=ORIENTED_EDGE('',*,*,#78098,.T.); +#78100=ORIENTED_EDGE('',*,*,#74896,.T.); +#78101=ORIENTED_EDGE('',*,*,#78085,.F.); +#78102=EDGE_LOOP('',(#78097,#78099,#78100,#78101)); +#78103=FACE_OUTER_BOUND('',#78102,.F.); +#78105=CARTESIAN_POINT('',(1.091333336353E1,2.53E0,-4.099000013158E1)); +#78106=DIRECTION('',(0.E0,1.E0,0.E0)); +#78107=DIRECTION('',(-1.E0,0.E0,0.E0)); +#78108=AXIS2_PLACEMENT_3D('',#78105,#78106,#78107); +#78109=PLANE('',#78108); +#78110=ORIENTED_EDGE('',*,*,#72046,.F.); +#78112=ORIENTED_EDGE('',*,*,#78111,.T.); +#78113=ORIENTED_EDGE('',*,*,#74898,.T.); +#78114=ORIENTED_EDGE('',*,*,#78098,.F.); +#78115=EDGE_LOOP('',(#78110,#78112,#78113,#78114)); +#78116=FACE_OUTER_BOUND('',#78115,.F.); +#78118=CARTESIAN_POINT('',(1.09925E1,2.487446813583E0,-4.099000013158E1)); +#78119=DIRECTION('',(4.734527755629E-1,8.808192035326E-1,0.E0)); +#78120=DIRECTION('',(-8.808192035326E-1,4.734527755629E-1,0.E0)); +#78121=AXIS2_PLACEMENT_3D('',#78118,#78119,#78120); +#78122=PLANE('',#78121); +#78123=ORIENTED_EDGE('',*,*,#72044,.F.); +#78125=ORIENTED_EDGE('',*,*,#78124,.T.); +#78126=ORIENTED_EDGE('',*,*,#74900,.T.); +#78127=ORIENTED_EDGE('',*,*,#78111,.F.); +#78128=EDGE_LOOP('',(#78123,#78125,#78126,#78127)); +#78129=FACE_OUTER_BOUND('',#78128,.F.); +#78131=CARTESIAN_POINT('',(1.107166663647E1,2.359787254333E0, +-4.099000013158E1)); +#78132=DIRECTION('',(8.498498878047E-1,5.270248269278E-1,0.E0)); +#78133=DIRECTION('',(-5.270248269278E-1,8.498498878047E-1,0.E0)); +#78134=AXIS2_PLACEMENT_3D('',#78131,#78132,#78133); +#78135=PLANE('',#78134); +#78136=ORIENTED_EDGE('',*,*,#72042,.F.); +#78138=ORIENTED_EDGE('',*,*,#78137,.T.); +#78139=ORIENTED_EDGE('',*,*,#74902,.T.); +#78140=ORIENTED_EDGE('',*,*,#78124,.F.); +#78141=EDGE_LOOP('',(#78136,#78138,#78139,#78140)); +#78142=FACE_OUTER_BOUND('',#78141,.F.); +#78144=CARTESIAN_POINT('',(1.111916663647E1,2.189574508667E0, +-4.099000013158E1)); +#78145=DIRECTION('',(9.631981053552E-1,2.687925033183E-1,0.E0)); +#78146=DIRECTION('',(-2.687925033183E-1,9.631981053552E-1,0.E0)); +#78147=AXIS2_PLACEMENT_3D('',#78144,#78145,#78146); +#78148=PLANE('',#78147); +#78149=ORIENTED_EDGE('',*,*,#72040,.F.); +#78151=ORIENTED_EDGE('',*,*,#78150,.T.); +#78152=ORIENTED_EDGE('',*,*,#74904,.T.); +#78153=ORIENTED_EDGE('',*,*,#78137,.F.); +#78154=EDGE_LOOP('',(#78149,#78151,#78152,#78153)); +#78155=FACE_OUTER_BOUND('',#78154,.F.); +#78157=CARTESIAN_POINT('',(1.1135E1,2.061914949417E0,-4.099000013158E1)); +#78158=DIRECTION('',(9.923961397562E-1,1.230849373275E-1,0.E0)); +#78159=DIRECTION('',(-1.230849373275E-1,9.923961397562E-1,0.E0)); +#78160=AXIS2_PLACEMENT_3D('',#78157,#78158,#78159); +#78161=PLANE('',#78160); +#78162=ORIENTED_EDGE('',*,*,#72038,.F.); +#78164=ORIENTED_EDGE('',*,*,#78163,.T.); +#78165=ORIENTED_EDGE('',*,*,#74906,.T.); +#78166=ORIENTED_EDGE('',*,*,#78150,.F.); +#78167=EDGE_LOOP('',(#78162,#78164,#78165,#78166)); +#78168=FACE_OUTER_BOUND('',#78167,.F.); +#78170=CARTESIAN_POINT('',(1.105583336353E1,2.061914949417E0, +-4.099000013158E1)); +#78171=DIRECTION('',(0.E0,-1.E0,0.E0)); +#78172=DIRECTION('',(1.E0,0.E0,0.E0)); +#78173=AXIS2_PLACEMENT_3D('',#78170,#78171,#78172); +#78174=PLANE('',#78173); +#78175=ORIENTED_EDGE('',*,*,#72036,.F.); +#78177=ORIENTED_EDGE('',*,*,#78176,.T.); +#78178=ORIENTED_EDGE('',*,*,#74908,.T.); +#78179=ORIENTED_EDGE('',*,*,#78163,.F.); +#78180=EDGE_LOOP('',(#78175,#78177,#78178,#78179)); +#78181=FACE_OUTER_BOUND('',#78180,.F.); +#78183=CARTESIAN_POINT('',(1.102416663647E1,2.189574508667E0, +-4.099000013158E1)); +#78184=DIRECTION('',(-9.705848185426E-1,-2.407594442894E-1,0.E0)); +#78185=DIRECTION('',(2.407594442894E-1,-9.705848185426E-1,0.E0)); +#78186=AXIS2_PLACEMENT_3D('',#78183,#78184,#78185); +#78187=PLANE('',#78186); +#78188=ORIENTED_EDGE('',*,*,#72034,.F.); +#78190=ORIENTED_EDGE('',*,*,#78189,.T.); +#78191=ORIENTED_EDGE('',*,*,#74910,.T.); +#78192=ORIENTED_EDGE('',*,*,#78176,.F.); +#78193=EDGE_LOOP('',(#78188,#78190,#78191,#78192)); +#78194=FACE_OUTER_BOUND('',#78193,.F.); +#78196=CARTESIAN_POINT('',(1.097666663647E1,2.274680881500E0, +-4.099000013158E1)); +#78197=DIRECTION('',(-8.732030784936E-1,-4.873565262817E-1,0.E0)); +#78198=DIRECTION('',(4.873565262817E-1,-8.732030784936E-1,0.E0)); +#78199=AXIS2_PLACEMENT_3D('',#78196,#78197,#78198); +#78200=PLANE('',#78199); +#78201=ORIENTED_EDGE('',*,*,#72032,.F.); +#78203=ORIENTED_EDGE('',*,*,#78202,.T.); +#78204=ORIENTED_EDGE('',*,*,#74912,.T.); +#78205=ORIENTED_EDGE('',*,*,#78189,.F.); +#78206=EDGE_LOOP('',(#78201,#78203,#78204,#78205)); +#78207=FACE_OUTER_BOUND('',#78206,.F.); +#78209=CARTESIAN_POINT('',(1.092916663647E1,2.317234067917E0, +-4.099000013158E1)); +#78210=DIRECTION('',(-6.672579175356E-1,-7.448267392394E-1,0.E0)); +#78211=DIRECTION('',(7.448267392394E-1,-6.672579175356E-1,0.E0)); +#78212=AXIS2_PLACEMENT_3D('',#78209,#78210,#78211); +#78213=PLANE('',#78212); +#78214=ORIENTED_EDGE('',*,*,#72030,.F.); +#78216=ORIENTED_EDGE('',*,*,#78215,.T.); +#78217=ORIENTED_EDGE('',*,*,#74914,.T.); +#78218=ORIENTED_EDGE('',*,*,#78202,.F.); +#78219=EDGE_LOOP('',(#78214,#78216,#78217,#78218)); +#78220=FACE_OUTER_BOUND('',#78219,.F.); +#78222=CARTESIAN_POINT('',(1.083416663647E1,2.317234067917E0, +-4.099000013158E1)); +#78223=DIRECTION('',(0.E0,-1.E0,0.E0)); +#78224=DIRECTION('',(1.E0,0.E0,0.E0)); +#78225=AXIS2_PLACEMENT_3D('',#78222,#78223,#78224); +#78226=PLANE('',#78225); +#78227=ORIENTED_EDGE('',*,*,#72028,.F.); +#78229=ORIENTED_EDGE('',*,*,#78228,.T.); +#78230=ORIENTED_EDGE('',*,*,#74916,.T.); +#78231=ORIENTED_EDGE('',*,*,#78215,.F.); +#78232=EDGE_LOOP('',(#78227,#78229,#78230,#78231)); +#78233=FACE_OUTER_BOUND('',#78232,.F.); +#78235=CARTESIAN_POINT('',(1.078666663647E1,2.274680881500E0, +-4.099000013158E1)); +#78236=DIRECTION('',(6.672579175356E-1,-7.448267392394E-1,0.E0)); +#78237=DIRECTION('',(7.448267392394E-1,6.672579175356E-1,0.E0)); +#78238=AXIS2_PLACEMENT_3D('',#78235,#78236,#78237); +#78239=PLANE('',#78238); +#78240=ORIENTED_EDGE('',*,*,#72026,.F.); +#78242=ORIENTED_EDGE('',*,*,#78241,.T.); +#78243=ORIENTED_EDGE('',*,*,#74918,.T.); +#78244=ORIENTED_EDGE('',*,*,#78228,.F.); +#78245=EDGE_LOOP('',(#78240,#78242,#78243,#78244)); +#78246=FACE_OUTER_BOUND('',#78245,.F.); +#78248=CARTESIAN_POINT('',(1.073916663647E1,2.189574508667E0, +-4.099000013158E1)); +#78249=DIRECTION('',(8.732030784936E-1,-4.873565262817E-1,0.E0)); +#78250=DIRECTION('',(4.873565262817E-1,8.732030784936E-1,0.E0)); +#78251=AXIS2_PLACEMENT_3D('',#78248,#78249,#78250); +#78252=PLANE('',#78251); +#78253=ORIENTED_EDGE('',*,*,#72024,.F.); +#78255=ORIENTED_EDGE('',*,*,#78254,.T.); +#78256=ORIENTED_EDGE('',*,*,#74920,.T.); +#78257=ORIENTED_EDGE('',*,*,#78241,.F.); +#78258=EDGE_LOOP('',(#78253,#78255,#78256,#78257)); +#78259=FACE_OUTER_BOUND('',#78258,.F.); +#78261=CARTESIAN_POINT('',(1.07075E1,2.061914949417E0,-4.099000013158E1)); +#78262=DIRECTION('',(9.705849795035E-1,-2.407587953996E-1,0.E0)); +#78263=DIRECTION('',(2.407587953996E-1,9.705849795035E-1,0.E0)); +#78264=AXIS2_PLACEMENT_3D('',#78261,#78262,#78263); +#78265=PLANE('',#78264); +#78266=ORIENTED_EDGE('',*,*,#72022,.F.); +#78268=ORIENTED_EDGE('',*,*,#78267,.T.); +#78269=ORIENTED_EDGE('',*,*,#74922,.T.); +#78270=ORIENTED_EDGE('',*,*,#78254,.F.); +#78271=EDGE_LOOP('',(#78266,#78268,#78269,#78270)); +#78272=FACE_OUTER_BOUND('',#78271,.F.); +#78274=CARTESIAN_POINT('',(1.069166663647E1,1.934255270958E0, +-4.099000013158E1)); +#78275=DIRECTION('',(9.923961537957E-1,-1.230848241314E-1,0.E0)); +#78276=DIRECTION('',(1.230848241314E-1,9.923961537957E-1,0.E0)); +#78277=AXIS2_PLACEMENT_3D('',#78274,#78275,#78276); +#78278=PLANE('',#78277); +#78279=ORIENTED_EDGE('',*,*,#72020,.F.); +#78281=ORIENTED_EDGE('',*,*,#78280,.T.); +#78282=ORIENTED_EDGE('',*,*,#74924,.T.); +#78283=ORIENTED_EDGE('',*,*,#78267,.F.); +#78284=EDGE_LOOP('',(#78279,#78281,#78282,#78283)); +#78285=FACE_OUTER_BOUND('',#78284,.F.); +#78287=CARTESIAN_POINT('',(1.067583336353E1,1.678936152458E0, +-4.099000013158E1)); +#78288=DIRECTION('',(9.980826813011E-1,-6.189475976792E-2,0.E0)); +#78289=DIRECTION('',(6.189475976792E-2,9.980826813011E-1,0.E0)); +#78290=AXIS2_PLACEMENT_3D('',#78287,#78288,#78289); +#78291=PLANE('',#78290); +#78292=ORIENTED_EDGE('',*,*,#72018,.F.); +#78294=ORIENTED_EDGE('',*,*,#78293,.T.); +#78295=ORIENTED_EDGE('',*,*,#74926,.T.); +#78296=ORIENTED_EDGE('',*,*,#78280,.F.); +#78297=EDGE_LOOP('',(#78292,#78294,#78295,#78296)); +#78298=FACE_OUTER_BOUND('',#78297,.F.); +#78300=CARTESIAN_POINT('',(1.067583336353E1,1.593829779625E0, +-4.099000013158E1)); +#78301=DIRECTION('',(1.E0,0.E0,0.E0)); +#78302=DIRECTION('',(0.E0,1.E0,0.E0)); +#78303=AXIS2_PLACEMENT_3D('',#78300,#78301,#78302); +#78304=PLANE('',#78303); +#78305=ORIENTED_EDGE('',*,*,#72016,.F.); +#78307=ORIENTED_EDGE('',*,*,#78306,.T.); +#78308=ORIENTED_EDGE('',*,*,#74928,.T.); +#78309=ORIENTED_EDGE('',*,*,#78293,.F.); +#78310=EDGE_LOOP('',(#78305,#78307,#78308,#78309)); +#78311=FACE_OUTER_BOUND('',#78310,.F.); +#78313=CARTESIAN_POINT('',(1.077083336353E1,1.764042525291E0, +-4.099000013158E1)); +#78314=DIRECTION('',(-8.732030784936E-1,4.873565262817E-1,0.E0)); +#78315=DIRECTION('',(-4.873565262817E-1,-8.732030784936E-1,0.E0)); +#78316=AXIS2_PLACEMENT_3D('',#78313,#78314,#78315); +#78317=PLANE('',#78316); +#78318=ORIENTED_EDGE('',*,*,#72014,.F.); +#78320=ORIENTED_EDGE('',*,*,#78319,.T.); +#78321=ORIENTED_EDGE('',*,*,#74930,.T.); +#78322=ORIENTED_EDGE('',*,*,#78306,.F.); +#78323=EDGE_LOOP('',(#78318,#78320,#78321,#78322)); +#78324=FACE_OUTER_BOUND('',#78323,.F.); +#78326=CARTESIAN_POINT('',(1.085E1,1.806595711708E0,-4.099000013158E1)); +#78327=DIRECTION('',(-4.734527755629E-1,8.808192035326E-1,0.E0)); +#78328=DIRECTION('',(-8.808192035326E-1,-4.734527755629E-1,0.E0)); +#78329=AXIS2_PLACEMENT_3D('',#78326,#78327,#78328); +#78330=PLANE('',#78329); +#78331=ORIENTED_EDGE('',*,*,#72012,.F.); +#78333=ORIENTED_EDGE('',*,*,#78332,.T.); +#78334=ORIENTED_EDGE('',*,*,#74932,.T.); +#78335=ORIENTED_EDGE('',*,*,#78319,.F.); +#78336=EDGE_LOOP('',(#78331,#78333,#78334,#78335)); +#78337=FACE_OUTER_BOUND('',#78336,.F.); +#78339=CARTESIAN_POINT('',(1.092916663647E1,1.806595711708E0, +-4.099000013158E1)); +#78340=DIRECTION('',(0.E0,1.E0,0.E0)); +#78341=DIRECTION('',(-1.E0,0.E0,0.E0)); +#78342=AXIS2_PLACEMENT_3D('',#78339,#78340,#78341); +#78343=PLANE('',#78342); +#78344=ORIENTED_EDGE('',*,*,#72010,.F.); +#78346=ORIENTED_EDGE('',*,*,#78345,.T.); +#78347=ORIENTED_EDGE('',*,*,#74934,.T.); +#78348=ORIENTED_EDGE('',*,*,#78332,.F.); +#78349=EDGE_LOOP('',(#78344,#78346,#78347,#78348)); +#78350=FACE_OUTER_BOUND('',#78349,.F.); +#78352=CARTESIAN_POINT('',(1.100833336353E1,1.764042525291E0, +-4.099000013158E1)); +#78353=DIRECTION('',(4.734523551932E-1,8.808194294871E-1,0.E0)); +#78354=DIRECTION('',(-8.808194294871E-1,4.734523551932E-1,0.E0)); +#78355=AXIS2_PLACEMENT_3D('',#78352,#78353,#78354); +#78356=PLANE('',#78355); +#78357=ORIENTED_EDGE('',*,*,#72008,.F.); +#78359=ORIENTED_EDGE('',*,*,#78358,.T.); +#78360=ORIENTED_EDGE('',*,*,#74936,.T.); +#78361=ORIENTED_EDGE('',*,*,#78345,.F.); +#78362=EDGE_LOOP('',(#78357,#78359,#78360,#78361)); +#78363=FACE_OUTER_BOUND('',#78362,.F.); +#78365=CARTESIAN_POINT('',(1.10875E1,1.636382966042E0,-4.099000013158E1)); +#78366=DIRECTION('',(8.498498878048E-1,5.270248269278E-1,0.E0)); +#78367=DIRECTION('',(-5.270248269278E-1,8.498498878048E-1,0.E0)); +#78368=AXIS2_PLACEMENT_3D('',#78365,#78366,#78367); +#78369=PLANE('',#78368); +#78370=ORIENTED_EDGE('',*,*,#72006,.F.); +#78371=ORIENTED_EDGE('',*,*,#77866,.T.); +#78372=ORIENTED_EDGE('',*,*,#74938,.T.); +#78373=ORIENTED_EDGE('',*,*,#78358,.F.); +#78374=EDGE_LOOP('',(#78370,#78371,#78372,#78373)); +#78375=FACE_OUTER_BOUND('',#78374,.F.); +#78377=CARTESIAN_POINT('',(1.107166663647E1,1.381063847542E0, +-4.099000013158E1)); +#78378=DIRECTION('',(-9.923961467760E-1,-1.230848807294E-1,0.E0)); +#78379=DIRECTION('',(1.230848807294E-1,-9.923961467760E-1,0.E0)); +#78380=AXIS2_PLACEMENT_3D('',#78377,#78378,#78379); +#78381=PLANE('',#78380); +#78382=ORIENTED_EDGE('',*,*,#71326,.F.); +#78384=ORIENTED_EDGE('',*,*,#78383,.T.); +#78385=ORIENTED_EDGE('',*,*,#74942,.T.); +#78387=ORIENTED_EDGE('',*,*,#78386,.F.); +#78388=EDGE_LOOP('',(#78382,#78384,#78385,#78387)); +#78389=FACE_OUTER_BOUND('',#78388,.F.); +#78391=CARTESIAN_POINT('',(1.104E1,1.466170220375E0,-4.099000013158E1)); +#78392=DIRECTION('',(-9.372249143819E-1,-3.487254792268E-1,0.E0)); +#78393=DIRECTION('',(3.487254792268E-1,-9.372249143819E-1,0.E0)); +#78394=AXIS2_PLACEMENT_3D('',#78391,#78392,#78393); +#78395=PLANE('',#78394); +#78396=ORIENTED_EDGE('',*,*,#71364,.F.); +#78398=ORIENTED_EDGE('',*,*,#78397,.T.); +#78399=ORIENTED_EDGE('',*,*,#74944,.T.); +#78400=ORIENTED_EDGE('',*,*,#78383,.F.); +#78401=EDGE_LOOP('',(#78396,#78398,#78399,#78400)); +#78402=FACE_OUTER_BOUND('',#78401,.F.); +#78404=CARTESIAN_POINT('',(1.09925E1,1.551276593208E0,-4.099000013158E1)); +#78405=DIRECTION('',(-8.732030784936E-1,-4.873565262817E-1,0.E0)); +#78406=DIRECTION('',(4.873565262817E-1,-8.732030784936E-1,0.E0)); +#78407=AXIS2_PLACEMENT_3D('',#78404,#78405,#78406); +#78408=PLANE('',#78407); +#78409=ORIENTED_EDGE('',*,*,#71362,.F.); +#78411=ORIENTED_EDGE('',*,*,#78410,.T.); +#78412=ORIENTED_EDGE('',*,*,#74946,.T.); +#78413=ORIENTED_EDGE('',*,*,#78397,.F.); +#78414=EDGE_LOOP('',(#78409,#78411,#78412,#78413)); +#78415=FACE_OUTER_BOUND('',#78414,.F.); +#78417=CARTESIAN_POINT('',(1.0945E1,1.593829779625E0,-4.099000013158E1)); +#78418=DIRECTION('',(-6.672579175356E-1,-7.448267392394E-1,0.E0)); +#78419=DIRECTION('',(7.448267392394E-1,-6.672579175356E-1,0.E0)); +#78420=AXIS2_PLACEMENT_3D('',#78417,#78418,#78419); +#78421=PLANE('',#78420); +#78422=ORIENTED_EDGE('',*,*,#71360,.F.); +#78424=ORIENTED_EDGE('',*,*,#78423,.T.); +#78425=ORIENTED_EDGE('',*,*,#74948,.T.); +#78426=ORIENTED_EDGE('',*,*,#78410,.F.); +#78427=EDGE_LOOP('',(#78422,#78424,#78425,#78426)); +#78428=FACE_OUTER_BOUND('',#78427,.F.); +#78430=CARTESIAN_POINT('',(1.083416663647E1,1.593829779625E0, +-4.099000013158E1)); +#78431=DIRECTION('',(0.E0,-1.E0,0.E0)); +#78432=DIRECTION('',(1.E0,0.E0,0.E0)); +#78433=AXIS2_PLACEMENT_3D('',#78430,#78431,#78432); +#78434=PLANE('',#78433); +#78435=ORIENTED_EDGE('',*,*,#71358,.F.); +#78437=ORIENTED_EDGE('',*,*,#78436,.T.); +#78438=ORIENTED_EDGE('',*,*,#74950,.T.); +#78439=ORIENTED_EDGE('',*,*,#78423,.F.); +#78440=EDGE_LOOP('',(#78435,#78437,#78438,#78439)); +#78441=FACE_OUTER_BOUND('',#78440,.F.); +#78443=CARTESIAN_POINT('',(1.078666663647E1,1.551276593208E0, +-4.099000013158E1)); +#78444=DIRECTION('',(6.672579175356E-1,-7.448267392394E-1,0.E0)); +#78445=DIRECTION('',(7.448267392394E-1,6.672579175356E-1,0.E0)); +#78446=AXIS2_PLACEMENT_3D('',#78443,#78444,#78445); +#78447=PLANE('',#78446); +#78448=ORIENTED_EDGE('',*,*,#71356,.F.); +#78450=ORIENTED_EDGE('',*,*,#78449,.T.); +#78451=ORIENTED_EDGE('',*,*,#74952,.T.); +#78452=ORIENTED_EDGE('',*,*,#78436,.F.); +#78453=EDGE_LOOP('',(#78448,#78450,#78451,#78452)); +#78454=FACE_OUTER_BOUND('',#78453,.F.); +#78456=CARTESIAN_POINT('',(1.073916663647E1,1.466170220375E0, +-4.099000013158E1)); +#78457=DIRECTION('',(8.732030784936E-1,-4.873565262817E-1,0.E0)); +#78458=DIRECTION('',(4.873565262817E-1,8.732030784936E-1,0.E0)); +#78459=AXIS2_PLACEMENT_3D('',#78456,#78457,#78458); +#78460=PLANE('',#78459); +#78461=ORIENTED_EDGE('',*,*,#71354,.F.); +#78463=ORIENTED_EDGE('',*,*,#78462,.T.); +#78464=ORIENTED_EDGE('',*,*,#74954,.T.); +#78465=ORIENTED_EDGE('',*,*,#78449,.F.); +#78466=EDGE_LOOP('',(#78461,#78463,#78464,#78465)); +#78467=FACE_OUTER_BOUND('',#78466,.F.); +#78469=CARTESIAN_POINT('',(1.07075E1,1.381063847542E0,-4.099000013158E1)); +#78470=DIRECTION('',(9.372249143819E-1,-3.487254792268E-1,0.E0)); +#78471=DIRECTION('',(3.487254792268E-1,9.372249143819E-1,0.E0)); +#78472=AXIS2_PLACEMENT_3D('',#78469,#78470,#78471); +#78473=PLANE('',#78472); +#78474=ORIENTED_EDGE('',*,*,#71352,.F.); +#78476=ORIENTED_EDGE('',*,*,#78475,.T.); +#78477=ORIENTED_EDGE('',*,*,#74956,.T.); +#78478=ORIENTED_EDGE('',*,*,#78462,.F.); +#78479=EDGE_LOOP('',(#78474,#78476,#78477,#78478)); +#78480=FACE_OUTER_BOUND('',#78479,.F.); +#78482=CARTESIAN_POINT('',(1.069166663647E1,1.253404228687E0, +-4.099000013158E1)); +#78483=DIRECTION('',(9.923961467760E-1,-1.230848807294E-1,0.E0)); +#78484=DIRECTION('',(1.230848807294E-1,9.923961467760E-1,0.E0)); +#78485=AXIS2_PLACEMENT_3D('',#78482,#78483,#78484); +#78486=PLANE('',#78485); +#78487=ORIENTED_EDGE('',*,*,#71350,.F.); +#78489=ORIENTED_EDGE('',*,*,#78488,.T.); +#78490=ORIENTED_EDGE('',*,*,#74958,.T.); +#78491=ORIENTED_EDGE('',*,*,#78475,.F.); +#78492=EDGE_LOOP('',(#78487,#78489,#78490,#78491)); +#78493=FACE_OUTER_BOUND('',#78492,.F.); +#78495=CARTESIAN_POINT('',(1.069166663647E1,1.125744669437E0, +-4.099000013158E1)); +#78496=DIRECTION('',(1.E0,0.E0,0.E0)); +#78497=DIRECTION('',(0.E0,1.E0,0.E0)); +#78498=AXIS2_PLACEMENT_3D('',#78495,#78496,#78497); +#78499=PLANE('',#78498); +#78500=ORIENTED_EDGE('',*,*,#71348,.F.); +#78502=ORIENTED_EDGE('',*,*,#78501,.T.); +#78503=ORIENTED_EDGE('',*,*,#74960,.T.); +#78504=ORIENTED_EDGE('',*,*,#78488,.F.); +#78505=EDGE_LOOP('',(#78500,#78502,#78503,#78504)); +#78506=FACE_OUTER_BOUND('',#78505,.F.); +#78508=CARTESIAN_POINT('',(1.07075E1,9.980851101875E-1,-4.099000013158E1)); +#78509=DIRECTION('',(9.923961397562E-1,1.230849373275E-1,0.E0)); +#78510=DIRECTION('',(-1.230849373275E-1,9.923961397562E-1,0.E0)); +#78511=AXIS2_PLACEMENT_3D('',#78508,#78509,#78510); +#78512=PLANE('',#78511); +#78513=ORIENTED_EDGE('',*,*,#71346,.F.); +#78515=ORIENTED_EDGE('',*,*,#78514,.T.); +#78516=ORIENTED_EDGE('',*,*,#74962,.T.); +#78517=ORIENTED_EDGE('',*,*,#78501,.F.); +#78518=EDGE_LOOP('',(#78513,#78515,#78516,#78517)); +#78519=FACE_OUTER_BOUND('',#78518,.F.); +#78521=CARTESIAN_POINT('',(1.073916663647E1,8.704255211353E-1, +-4.099000013158E1)); +#78522=DIRECTION('',(9.705849926374E-1,2.407587424521E-1,0.E0)); +#78523=DIRECTION('',(-2.407587424521E-1,9.705849926374E-1,0.E0)); +#78524=AXIS2_PLACEMENT_3D('',#78521,#78522,#78523); +#78525=PLANE('',#78524); +#78526=ORIENTED_EDGE('',*,*,#71344,.F.); +#78528=ORIENTED_EDGE('',*,*,#78527,.T.); +#78529=ORIENTED_EDGE('',*,*,#74964,.T.); +#78530=ORIENTED_EDGE('',*,*,#78514,.F.); +#78531=EDGE_LOOP('',(#78526,#78528,#78529,#78530)); +#78532=FACE_OUTER_BOUND('',#78531,.F.); +#78534=CARTESIAN_POINT('',(1.078666663647E1,7.853191483021E-1, +-4.099000013158E1)); +#78535=DIRECTION('',(8.732030784936E-1,4.873565262817E-1,0.E0)); +#78536=DIRECTION('',(-4.873565262817E-1,8.732030784936E-1,0.E0)); +#78537=AXIS2_PLACEMENT_3D('',#78534,#78535,#78536); +#78538=PLANE('',#78537); +#78539=ORIENTED_EDGE('',*,*,#71342,.F.); +#78541=ORIENTED_EDGE('',*,*,#78540,.T.); +#78542=ORIENTED_EDGE('',*,*,#74966,.T.); +#78543=ORIENTED_EDGE('',*,*,#78527,.F.); +#78544=EDGE_LOOP('',(#78539,#78541,#78542,#78543)); +#78545=FACE_OUTER_BOUND('',#78544,.F.); +#78547=CARTESIAN_POINT('',(1.083416663647E1,7.427659618855E-1, +-4.099000013158E1)); +#78548=DIRECTION('',(6.672579175356E-1,7.448267392394E-1,0.E0)); +#78549=DIRECTION('',(-7.448267392394E-1,6.672579175356E-1,0.E0)); +#78550=AXIS2_PLACEMENT_3D('',#78547,#78548,#78549); +#78551=PLANE('',#78550); +#78552=ORIENTED_EDGE('',*,*,#71340,.F.); +#78554=ORIENTED_EDGE('',*,*,#78553,.T.); +#78555=ORIENTED_EDGE('',*,*,#74968,.T.); +#78556=ORIENTED_EDGE('',*,*,#78540,.F.); +#78557=EDGE_LOOP('',(#78552,#78554,#78555,#78556)); +#78558=FACE_OUTER_BOUND('',#78557,.F.); +#78560=CARTESIAN_POINT('',(1.0945E1,7.427659618855E-1,-4.099000013158E1)); +#78561=DIRECTION('',(0.E0,1.E0,0.E0)); +#78562=DIRECTION('',(-1.E0,0.E0,0.E0)); +#78563=AXIS2_PLACEMENT_3D('',#78560,#78561,#78562); +#78564=PLANE('',#78563); +#78565=ORIENTED_EDGE('',*,*,#71338,.F.); +#78567=ORIENTED_EDGE('',*,*,#78566,.T.); +#78568=ORIENTED_EDGE('',*,*,#74970,.T.); +#78569=ORIENTED_EDGE('',*,*,#78553,.F.); +#78570=EDGE_LOOP('',(#78565,#78567,#78568,#78569)); +#78571=FACE_OUTER_BOUND('',#78570,.F.); +#78573=CARTESIAN_POINT('',(1.09925E1,7.853191483021E-1,-4.099000013158E1)); +#78574=DIRECTION('',(-6.672579175356E-1,7.448267392394E-1,0.E0)); +#78575=DIRECTION('',(-7.448267392394E-1,-6.672579175356E-1,0.E0)); +#78576=AXIS2_PLACEMENT_3D('',#78573,#78574,#78575); +#78577=PLANE('',#78576); +#78578=ORIENTED_EDGE('',*,*,#71336,.F.); +#78580=ORIENTED_EDGE('',*,*,#78579,.T.); +#78581=ORIENTED_EDGE('',*,*,#74972,.T.); +#78582=ORIENTED_EDGE('',*,*,#78566,.F.); +#78583=EDGE_LOOP('',(#78578,#78580,#78581,#78582)); +#78584=FACE_OUTER_BOUND('',#78583,.F.); +#78586=CARTESIAN_POINT('',(1.104E1,8.704255211353E-1,-4.099000013158E1)); +#78587=DIRECTION('',(-8.732030784936E-1,4.873565262817E-1,0.E0)); +#78588=DIRECTION('',(-4.873565262817E-1,-8.732030784936E-1,0.E0)); +#78589=AXIS2_PLACEMENT_3D('',#78586,#78587,#78588); +#78590=PLANE('',#78589); +#78591=ORIENTED_EDGE('',*,*,#71334,.F.); +#78593=ORIENTED_EDGE('',*,*,#78592,.T.); +#78594=ORIENTED_EDGE('',*,*,#74974,.T.); +#78595=ORIENTED_EDGE('',*,*,#78579,.F.); +#78596=EDGE_LOOP('',(#78591,#78593,#78594,#78595)); +#78597=FACE_OUTER_BOUND('',#78596,.F.); +#78599=CARTESIAN_POINT('',(1.107166663647E1,9.980851101875E-1, +-4.099000013158E1)); +#78600=DIRECTION('',(-9.705849926374E-1,2.407587424521E-1,0.E0)); +#78601=DIRECTION('',(-2.407587424521E-1,-9.705849926374E-1,0.E0)); +#78602=AXIS2_PLACEMENT_3D('',#78599,#78600,#78601); +#78603=PLANE('',#78602); +#78604=ORIENTED_EDGE('',*,*,#71332,.F.); +#78606=ORIENTED_EDGE('',*,*,#78605,.T.); +#78607=ORIENTED_EDGE('',*,*,#74976,.T.); +#78608=ORIENTED_EDGE('',*,*,#78592,.F.); +#78609=EDGE_LOOP('',(#78604,#78606,#78607,#78608)); +#78610=FACE_OUTER_BOUND('',#78609,.F.); +#78612=CARTESIAN_POINT('',(1.10875E1,1.125744669437E0,-4.099000013158E1)); +#78613=DIRECTION('',(-9.923961397562E-1,1.230849373275E-1,0.E0)); +#78614=DIRECTION('',(-1.230849373275E-1,-9.923961397562E-1,0.E0)); +#78615=AXIS2_PLACEMENT_3D('',#78612,#78613,#78614); +#78616=PLANE('',#78615); +#78617=ORIENTED_EDGE('',*,*,#71330,.F.); +#78619=ORIENTED_EDGE('',*,*,#78618,.T.); +#78620=ORIENTED_EDGE('',*,*,#74978,.T.); +#78621=ORIENTED_EDGE('',*,*,#78605,.F.); +#78622=EDGE_LOOP('',(#78617,#78619,#78620,#78621)); +#78623=FACE_OUTER_BOUND('',#78622,.F.); +#78625=CARTESIAN_POINT('',(1.10875E1,1.253404228687E0,-4.099000013158E1)); +#78626=DIRECTION('',(-1.E0,0.E0,0.E0)); +#78627=DIRECTION('',(0.E0,-1.E0,0.E0)); +#78628=AXIS2_PLACEMENT_3D('',#78625,#78626,#78627); +#78629=PLANE('',#78628); +#78630=ORIENTED_EDGE('',*,*,#71328,.F.); +#78631=ORIENTED_EDGE('',*,*,#78386,.T.); +#78632=ORIENTED_EDGE('',*,*,#74980,.T.); +#78633=ORIENTED_EDGE('',*,*,#78618,.F.); +#78634=EDGE_LOOP('',(#78630,#78631,#78632,#78633)); +#78635=FACE_OUTER_BOUND('',#78634,.F.); +#78637=CARTESIAN_POINT('',(2.371899999595E1,-8.054255211353E-1, +-4.099000013158E1)); +#78638=DIRECTION('',(8.473629101008E-1,-5.310142169335E-1,0.E0)); +#78639=DIRECTION('',(5.310142169335E-1,8.473629101008E-1,0.E0)); +#78640=AXIS2_PLACEMENT_3D('',#78637,#78638,#78639); +#78641=PLANE('',#78640); +#78642=ORIENTED_EDGE('',*,*,#72425,.F.); +#78644=ORIENTED_EDGE('',*,*,#78643,.T.); +#78645=ORIENTED_EDGE('',*,*,#74990,.T.); +#78647=ORIENTED_EDGE('',*,*,#78646,.F.); +#78648=EDGE_LOOP('',(#78642,#78644,#78645,#78647)); +#78649=FACE_OUTER_BOUND('',#78648,.F.); +#78651=CARTESIAN_POINT('',(2.36E1,-8.054255211353E-1,-4.099000013158E1)); +#78652=DIRECTION('',(0.E0,-1.E0,0.E0)); +#78653=DIRECTION('',(1.E0,0.E0,0.E0)); +#78654=AXIS2_PLACEMENT_3D('',#78651,#78652,#78653); +#78655=PLANE('',#78654); +#78656=ORIENTED_EDGE('',*,*,#72503,.F.); +#78658=ORIENTED_EDGE('',*,*,#78657,.T.); +#78659=ORIENTED_EDGE('',*,*,#74992,.T.); +#78660=ORIENTED_EDGE('',*,*,#78643,.F.); +#78661=EDGE_LOOP('',(#78656,#78658,#78659,#78660)); +#78662=FACE_OUTER_BOUND('',#78661,.F.); +#78664=CARTESIAN_POINT('',(2.36E1,1.35E-1,-4.099000013158E1)); +#78665=DIRECTION('',(-1.E0,0.E0,0.E0)); +#78666=DIRECTION('',(0.E0,-1.E0,0.E0)); +#78667=AXIS2_PLACEMENT_3D('',#78664,#78665,#78666); +#78668=PLANE('',#78667); +#78669=ORIENTED_EDGE('',*,*,#72501,.F.); +#78671=ORIENTED_EDGE('',*,*,#78670,.T.); +#78672=ORIENTED_EDGE('',*,*,#74994,.T.); +#78673=ORIENTED_EDGE('',*,*,#78657,.F.); +#78674=EDGE_LOOP('',(#78669,#78671,#78672,#78673)); +#78675=FACE_OUTER_BOUND('',#78674,.F.); +#78677=CARTESIAN_POINT('',(2.417799998379E1,1.35E-1,-4.099000013158E1)); +#78678=DIRECTION('',(0.E0,1.E0,0.E0)); +#78679=DIRECTION('',(-1.E0,0.E0,0.E0)); +#78680=AXIS2_PLACEMENT_3D('',#78677,#78678,#78679); +#78681=PLANE('',#78680); +#78682=ORIENTED_EDGE('',*,*,#72499,.F.); +#78684=ORIENTED_EDGE('',*,*,#78683,.T.); +#78685=ORIENTED_EDGE('',*,*,#74996,.T.); +#78686=ORIENTED_EDGE('',*,*,#78670,.F.); +#78687=EDGE_LOOP('',(#78682,#78684,#78685,#78686)); +#78688=FACE_OUTER_BOUND('',#78687,.F.); +#78690=CARTESIAN_POINT('',(2.417799998379E1,-4.585104227066E-2, +-4.099000013158E1)); +#78691=DIRECTION('',(1.E0,0.E0,0.E0)); +#78692=DIRECTION('',(0.E0,1.E0,0.E0)); +#78693=AXIS2_PLACEMENT_3D('',#78690,#78691,#78692); +#78694=PLANE('',#78693); +#78695=ORIENTED_EDGE('',*,*,#72497,.F.); +#78697=ORIENTED_EDGE('',*,*,#78696,.T.); +#78698=ORIENTED_EDGE('',*,*,#74998,.T.); +#78699=ORIENTED_EDGE('',*,*,#78683,.F.); +#78700=EDGE_LOOP('',(#78695,#78697,#78698,#78699)); +#78701=FACE_OUTER_BOUND('',#78700,.F.); +#78703=CARTESIAN_POINT('',(2.368499999797E1,-4.585104227066E-2, +-4.099000013158E1)); +#78704=DIRECTION('',(0.E0,-1.E0,0.E0)); +#78705=DIRECTION('',(1.E0,0.E0,0.E0)); +#78706=AXIS2_PLACEMENT_3D('',#78703,#78704,#78705); +#78707=PLANE('',#78706); +#78708=ORIENTED_EDGE('',*,*,#72495,.F.); +#78710=ORIENTED_EDGE('',*,*,#78709,.T.); +#78711=ORIENTED_EDGE('',*,*,#75000,.T.); +#78712=ORIENTED_EDGE('',*,*,#78696,.F.); +#78713=EDGE_LOOP('',(#78708,#78710,#78711,#78712)); +#78714=FACE_OUTER_BOUND('',#78713,.F.); +#78716=CARTESIAN_POINT('',(2.368499999797E1,-6.245744788647E-1, +-4.099000013158E1)); +#78717=DIRECTION('',(1.E0,0.E0,0.E0)); +#78718=DIRECTION('',(0.E0,1.E0,0.E0)); +#78719=AXIS2_PLACEMENT_3D('',#78716,#78717,#78718); +#78720=PLANE('',#78719); +#78721=ORIENTED_EDGE('',*,*,#72493,.F.); +#78723=ORIENTED_EDGE('',*,*,#78722,.T.); +#78724=ORIENTED_EDGE('',*,*,#75002,.T.); +#78725=ORIENTED_EDGE('',*,*,#78709,.F.); +#78726=EDGE_LOOP('',(#78721,#78723,#78724,#78725)); +#78727=FACE_OUTER_BOUND('',#78726,.F.); +#78729=CARTESIAN_POINT('',(2.376999999595E1,-5.160638535023E-1, +-4.099000013158E1)); +#78730=DIRECTION('',(-7.872280249797E-1,6.166620117103E-1,0.E0)); +#78731=DIRECTION('',(-6.166620117103E-1,-7.872280249797E-1,0.E0)); +#78732=AXIS2_PLACEMENT_3D('',#78729,#78730,#78731); +#78733=PLANE('',#78732); +#78734=ORIENTED_EDGE('',*,*,#72491,.F.); +#78736=ORIENTED_EDGE('',*,*,#78735,.T.); +#78737=ORIENTED_EDGE('',*,*,#75004,.T.); +#78738=ORIENTED_EDGE('',*,*,#78722,.F.); +#78739=EDGE_LOOP('',(#78734,#78736,#78737,#78738)); +#78740=FACE_OUTER_BOUND('',#78739,.F.); +#78742=CARTESIAN_POINT('',(2.3855E1,-4.798936450481E-1,-4.099000013158E1)); +#78743=DIRECTION('',(-3.915551087683E-1,9.201546591728E-1,0.E0)); +#78744=DIRECTION('',(-9.201546591728E-1,-3.915551087683E-1,0.E0)); +#78745=AXIS2_PLACEMENT_3D('',#78742,#78743,#78744); +#78746=PLANE('',#78745); +#78747=ORIENTED_EDGE('',*,*,#72489,.F.); +#78749=ORIENTED_EDGE('',*,*,#78748,.T.); +#78750=ORIENTED_EDGE('',*,*,#75006,.T.); +#78751=ORIENTED_EDGE('',*,*,#78735,.F.); +#78752=EDGE_LOOP('',(#78747,#78749,#78750,#78751)); +#78753=FACE_OUTER_BOUND('',#78752,.F.); +#78755=CARTESIAN_POINT('',(2.3957E1,-4.798936450481E-1,-4.099000013158E1)); +#78756=DIRECTION('',(0.E0,1.E0,0.E0)); +#78757=DIRECTION('',(-1.E0,0.E0,0.E0)); +#78758=AXIS2_PLACEMENT_3D('',#78755,#78756,#78757); +#78759=PLANE('',#78758); +#78760=ORIENTED_EDGE('',*,*,#72487,.F.); +#78762=ORIENTED_EDGE('',*,*,#78761,.T.); +#78763=ORIENTED_EDGE('',*,*,#75008,.T.); +#78764=ORIENTED_EDGE('',*,*,#78748,.F.); +#78765=EDGE_LOOP('',(#78760,#78762,#78763,#78764)); +#78766=FACE_OUTER_BOUND('',#78765,.F.); +#78768=CARTESIAN_POINT('',(2.404200001621E1,-5.160638535023E-1, +-4.099000013158E1)); +#78769=DIRECTION('',(3.915550613434E-1,9.201546793536E-1,0.E0)); +#78770=DIRECTION('',(-9.201546793536E-1,3.915550613434E-1,0.E0)); +#78771=AXIS2_PLACEMENT_3D('',#78768,#78769,#78770); +#78772=PLANE('',#78771); +#78773=ORIENTED_EDGE('',*,*,#72485,.F.); +#78775=ORIENTED_EDGE('',*,*,#78774,.T.); +#78776=ORIENTED_EDGE('',*,*,#75010,.T.); +#78777=ORIENTED_EDGE('',*,*,#78761,.F.); +#78778=EDGE_LOOP('',(#78773,#78775,#78776,#78777)); +#78779=FACE_OUTER_BOUND('',#78778,.F.); +#78781=CARTESIAN_POINT('',(2.412699998379E1,-6.245744788647E-1, +-4.099000013158E1)); +#78782=DIRECTION('',(7.872281320395E-1,6.166618750382E-1,0.E0)); +#78783=DIRECTION('',(-6.166618750382E-1,7.872281320395E-1,0.E0)); +#78784=AXIS2_PLACEMENT_3D('',#78781,#78782,#78783); +#78785=PLANE('',#78784); +#78786=ORIENTED_EDGE('',*,*,#72483,.F.); +#78788=ORIENTED_EDGE('',*,*,#78787,.T.); +#78789=ORIENTED_EDGE('',*,*,#75012,.T.); +#78790=ORIENTED_EDGE('',*,*,#78774,.F.); +#78791=EDGE_LOOP('',(#78786,#78788,#78789,#78790)); +#78792=FACE_OUTER_BOUND('',#78791,.F.); +#78794=CARTESIAN_POINT('',(2.417799998379E1,-7.692553126812E-1, +-4.099000013158E1)); +#78795=DIRECTION('',(9.431208345346E-1,3.324501338046E-1,0.E0)); +#78796=DIRECTION('',(-3.324501338046E-1,9.431208345346E-1,0.E0)); +#78797=AXIS2_PLACEMENT_3D('',#78794,#78795,#78796); +#78798=PLANE('',#78797); +#78799=ORIENTED_EDGE('',*,*,#72481,.F.); +#78801=ORIENTED_EDGE('',*,*,#78800,.T.); +#78802=ORIENTED_EDGE('',*,*,#75014,.T.); +#78803=ORIENTED_EDGE('',*,*,#78787,.F.); +#78804=EDGE_LOOP('',(#78799,#78801,#78802,#78803)); +#78805=FACE_OUTER_BOUND('',#78804,.F.); +#78807=CARTESIAN_POINT('',(2.4212E1,-9.501064056158E-1,-4.099000013158E1)); +#78808=DIRECTION('',(9.827830549328E-1,1.847632726949E-1,0.E0)); +#78809=DIRECTION('',(-1.847632726949E-1,9.827830549328E-1,0.E0)); +#78810=AXIS2_PLACEMENT_3D('',#78807,#78808,#78809); +#78811=PLANE('',#78810); +#78812=ORIENTED_EDGE('',*,*,#72479,.F.); +#78814=ORIENTED_EDGE('',*,*,#78813,.T.); +#78815=ORIENTED_EDGE('',*,*,#75016,.T.); +#78816=ORIENTED_EDGE('',*,*,#78800,.F.); +#78817=EDGE_LOOP('',(#78812,#78814,#78815,#78816)); +#78818=FACE_OUTER_BOUND('',#78817,.F.); +#78820=CARTESIAN_POINT('',(2.4212E1,-1.094787239432E0,-4.099000013158E1)); +#78821=DIRECTION('',(1.E0,0.E0,0.E0)); +#78822=DIRECTION('',(0.E0,1.E0,0.E0)); +#78823=AXIS2_PLACEMENT_3D('',#78820,#78821,#78822); +#78824=PLANE('',#78823); +#78825=ORIENTED_EDGE('',*,*,#72477,.F.); +#78827=ORIENTED_EDGE('',*,*,#78826,.T.); +#78828=ORIENTED_EDGE('',*,*,#75018,.T.); +#78829=ORIENTED_EDGE('',*,*,#78813,.F.); +#78830=EDGE_LOOP('',(#78825,#78827,#78828,#78829)); +#78831=FACE_OUTER_BOUND('',#78830,.F.); +#78833=CARTESIAN_POINT('',(2.417799998379E1,-1.275638307035E0, +-4.099000013158E1)); +#78834=DIRECTION('',(9.827830502335E-1,-1.847632976914E-1,0.E0)); +#78835=DIRECTION('',(1.847632976914E-1,9.827830502335E-1,0.E0)); +#78836=AXIS2_PLACEMENT_3D('',#78833,#78834,#78835); +#78837=PLANE('',#78836); +#78838=ORIENTED_EDGE('',*,*,#72475,.F.); +#78840=ORIENTED_EDGE('',*,*,#78839,.T.); +#78841=ORIENTED_EDGE('',*,*,#75020,.T.); +#78842=ORIENTED_EDGE('',*,*,#78826,.F.); +#78843=EDGE_LOOP('',(#78838,#78840,#78841,#78842)); +#78844=FACE_OUTER_BOUND('',#78843,.F.); +#78846=CARTESIAN_POINT('',(2.412699998379E1,-1.420319153517E0, +-4.099000013158E1)); +#78847=DIRECTION('',(9.431208436600E-1,-3.324501079171E-1,0.E0)); +#78848=DIRECTION('',(3.324501079171E-1,9.431208436600E-1,0.E0)); +#78849=AXIS2_PLACEMENT_3D('',#78846,#78847,#78848); +#78850=PLANE('',#78849); +#78851=ORIENTED_EDGE('',*,*,#72473,.F.); +#78853=ORIENTED_EDGE('',*,*,#78852,.T.); +#78854=ORIENTED_EDGE('',*,*,#75022,.T.); +#78855=ORIENTED_EDGE('',*,*,#78839,.F.); +#78856=EDGE_LOOP('',(#78851,#78853,#78854,#78855)); +#78857=FACE_OUTER_BOUND('',#78856,.F.); +#78859=CARTESIAN_POINT('',(2.404200001621E1,-1.528829788379E0, +-4.099000013158E1)); +#78860=DIRECTION('',(7.872281582468E-1,-6.166618415820E-1,0.E0)); +#78861=DIRECTION('',(6.166618415820E-1,7.872281582468E-1,0.E0)); +#78862=AXIS2_PLACEMENT_3D('',#78859,#78860,#78861); +#78863=PLANE('',#78862); +#78864=ORIENTED_EDGE('',*,*,#72471,.F.); +#78866=ORIENTED_EDGE('',*,*,#78865,.T.); +#78867=ORIENTED_EDGE('',*,*,#75024,.T.); +#78868=ORIENTED_EDGE('',*,*,#78852,.F.); +#78869=EDGE_LOOP('',(#78864,#78866,#78867,#78868)); +#78870=FACE_OUTER_BOUND('',#78869,.F.); +#78872=CARTESIAN_POINT('',(2.3957E1,-1.565E0,-4.099000013158E1)); +#78873=DIRECTION('',(3.915550903665E-1,-9.201546670034E-1,0.E0)); +#78874=DIRECTION('',(9.201546670034E-1,3.915550903665E-1,0.E0)); +#78875=AXIS2_PLACEMENT_3D('',#78872,#78873,#78874); +#78876=PLANE('',#78875); +#78877=ORIENTED_EDGE('',*,*,#72469,.F.); +#78879=ORIENTED_EDGE('',*,*,#78878,.T.); +#78880=ORIENTED_EDGE('',*,*,#75026,.T.); +#78881=ORIENTED_EDGE('',*,*,#78865,.F.); +#78882=EDGE_LOOP('',(#78877,#78879,#78880,#78881)); +#78883=FACE_OUTER_BOUND('',#78882,.F.); +#78885=CARTESIAN_POINT('',(2.3855E1,-1.565E0,-4.099000013158E1)); +#78886=DIRECTION('',(0.E0,-1.E0,0.E0)); +#78887=DIRECTION('',(1.E0,0.E0,0.E0)); +#78888=AXIS2_PLACEMENT_3D('',#78885,#78886,#78887); +#78889=PLANE('',#78888); +#78890=ORIENTED_EDGE('',*,*,#72467,.F.); +#78892=ORIENTED_EDGE('',*,*,#78891,.T.); +#78893=ORIENTED_EDGE('',*,*,#75028,.T.); +#78894=ORIENTED_EDGE('',*,*,#78878,.F.); +#78895=EDGE_LOOP('',(#78890,#78892,#78893,#78894)); +#78896=FACE_OUTER_BOUND('',#78895,.F.); +#78898=CARTESIAN_POINT('',(2.376999999595E1,-1.528829788379E0, +-4.099000013158E1)); +#78899=DIRECTION('',(-3.915551377913E-1,-9.201546468226E-1,0.E0)); +#78900=DIRECTION('',(9.201546468226E-1,-3.915551377913E-1,0.E0)); +#78901=AXIS2_PLACEMENT_3D('',#78898,#78899,#78900); +#78902=PLANE('',#78901); +#78903=ORIENTED_EDGE('',*,*,#72465,.F.); +#78905=ORIENTED_EDGE('',*,*,#78904,.T.); +#78906=ORIENTED_EDGE('',*,*,#75030,.T.); +#78907=ORIENTED_EDGE('',*,*,#78891,.F.); +#78908=EDGE_LOOP('',(#78903,#78905,#78906,#78907)); +#78909=FACE_OUTER_BOUND('',#78908,.F.); +#78911=CARTESIAN_POINT('',(2.368499999797E1,-1.420319153517E0, +-4.099000013158E1)); +#78912=DIRECTION('',(-7.872280511870E-1,-6.166619782541E-1,0.E0)); +#78913=DIRECTION('',(6.166619782541E-1,-7.872280511870E-1,0.E0)); +#78914=AXIS2_PLACEMENT_3D('',#78911,#78912,#78913); +#78915=PLANE('',#78914); +#78916=ORIENTED_EDGE('',*,*,#72463,.F.); +#78918=ORIENTED_EDGE('',*,*,#78917,.T.); +#78919=ORIENTED_EDGE('',*,*,#75032,.T.); +#78920=ORIENTED_EDGE('',*,*,#78904,.F.); +#78921=EDGE_LOOP('',(#78916,#78918,#78919,#78920)); +#78922=FACE_OUTER_BOUND('',#78921,.F.); +#78924=CARTESIAN_POINT('',(2.363400000101E1,-1.275638307035E0, +-4.099000013158E1)); +#78925=DIRECTION('',(-9.431208498729E-1,-3.324500902917E-1,0.E0)); +#78926=DIRECTION('',(3.324500902917E-1,-9.431208498729E-1,0.E0)); +#78927=AXIS2_PLACEMENT_3D('',#78924,#78925,#78926); +#78928=PLANE('',#78927); +#78929=ORIENTED_EDGE('',*,*,#72461,.F.); +#78931=ORIENTED_EDGE('',*,*,#78930,.T.); +#78932=ORIENTED_EDGE('',*,*,#75034,.T.); +#78933=ORIENTED_EDGE('',*,*,#78917,.F.); +#78934=EDGE_LOOP('',(#78929,#78931,#78932,#78933)); +#78935=FACE_OUTER_BOUND('',#78934,.F.); +#78937=CARTESIAN_POINT('',(2.36E1,-1.130957447886E0,-4.099000013158E1)); +#78938=DIRECTION('',(-9.734809666732E-1,-2.287680212026E-1,0.E0)); +#78939=DIRECTION('',(2.287680212026E-1,-9.734809666732E-1,0.E0)); +#78940=AXIS2_PLACEMENT_3D('',#78937,#78938,#78939); +#78941=PLANE('',#78940); +#78942=ORIENTED_EDGE('',*,*,#72459,.F.); +#78944=ORIENTED_EDGE('',*,*,#78943,.T.); +#78945=ORIENTED_EDGE('',*,*,#75036,.T.); +#78946=ORIENTED_EDGE('',*,*,#78930,.F.); +#78947=EDGE_LOOP('',(#78942,#78944,#78945,#78946)); +#78948=FACE_OUTER_BOUND('',#78947,.F.); +#78950=CARTESIAN_POINT('',(2.3702E1,-1.130957447886E0,-4.099000013158E1)); +#78951=DIRECTION('',(0.E0,1.E0,0.E0)); +#78952=DIRECTION('',(-1.E0,0.E0,0.E0)); +#78953=AXIS2_PLACEMENT_3D('',#78950,#78951,#78952); +#78954=PLANE('',#78953); +#78955=ORIENTED_EDGE('',*,*,#72457,.F.); +#78957=ORIENTED_EDGE('',*,*,#78956,.T.); +#78958=ORIENTED_EDGE('',*,*,#75038,.T.); +#78959=ORIENTED_EDGE('',*,*,#78943,.F.); +#78960=EDGE_LOOP('',(#78955,#78957,#78958,#78959)); +#78961=FACE_OUTER_BOUND('',#78960,.F.); +#78963=CARTESIAN_POINT('',(2.373600000405E1,-1.275638307035E0, +-4.099000013158E1)); +#78964=DIRECTION('',(9.734809621182E-1,2.287680405856E-1,0.E0)); +#78965=DIRECTION('',(-2.287680405856E-1,9.734809621182E-1,0.E0)); +#78966=AXIS2_PLACEMENT_3D('',#78963,#78964,#78965); +#78967=PLANE('',#78966); +#78968=ORIENTED_EDGE('',*,*,#72455,.F.); +#78970=ORIENTED_EDGE('',*,*,#78969,.T.); +#78971=ORIENTED_EDGE('',*,*,#75040,.T.); +#78972=ORIENTED_EDGE('',*,*,#78956,.F.); +#78973=EDGE_LOOP('',(#78968,#78970,#78971,#78972)); +#78974=FACE_OUTER_BOUND('',#78973,.F.); +#78976=CARTESIAN_POINT('',(2.378700000405E1,-1.347978723943E0, +-4.099000013158E1)); +#78977=DIRECTION('',(8.173074474343E-1,5.762018191298E-1,0.E0)); +#78978=DIRECTION('',(-5.762018191298E-1,8.173074474343E-1,0.E0)); +#78979=AXIS2_PLACEMENT_3D('',#78976,#78977,#78978); +#78980=PLANE('',#78979); +#78981=ORIENTED_EDGE('',*,*,#72453,.F.); +#78983=ORIENTED_EDGE('',*,*,#78982,.T.); +#78984=ORIENTED_EDGE('',*,*,#75042,.T.); +#78985=ORIENTED_EDGE('',*,*,#78969,.F.); +#78986=EDGE_LOOP('',(#78981,#78983,#78984,#78985)); +#78987=FACE_OUTER_BOUND('',#78986,.F.); +#78989=CARTESIAN_POINT('',(2.3855E1,-1.384148932397E0,-4.099000013158E1)); +#78990=DIRECTION('',(4.696129511603E-1,8.828724008046E-1,0.E0)); +#78991=DIRECTION('',(-8.828724008046E-1,4.696129511603E-1,0.E0)); +#78992=AXIS2_PLACEMENT_3D('',#78989,#78990,#78991); +#78993=PLANE('',#78992); +#78994=ORIENTED_EDGE('',*,*,#72451,.F.); +#78996=ORIENTED_EDGE('',*,*,#78995,.T.); +#78997=ORIENTED_EDGE('',*,*,#75044,.T.); +#78998=ORIENTED_EDGE('',*,*,#78982,.F.); +#78999=EDGE_LOOP('',(#78994,#78996,#78997,#78998)); +#79000=FACE_OUTER_BOUND('',#78999,.F.); +#79002=CARTESIAN_POINT('',(2.3957E1,-1.384148932397E0,-4.099000013158E1)); +#79003=DIRECTION('',(0.E0,1.E0,0.E0)); +#79004=DIRECTION('',(-1.E0,0.E0,0.E0)); +#79005=AXIS2_PLACEMENT_3D('',#79002,#79003,#79004); +#79006=PLANE('',#79005); +#79007=ORIENTED_EDGE('',*,*,#72449,.F.); +#79009=ORIENTED_EDGE('',*,*,#79008,.T.); +#79010=ORIENTED_EDGE('',*,*,#75046,.T.); +#79011=ORIENTED_EDGE('',*,*,#78995,.F.); +#79012=EDGE_LOOP('',(#79007,#79009,#79010,#79011)); +#79013=FACE_OUTER_BOUND('',#79012,.F.); +#79015=CARTESIAN_POINT('',(2.402499998379E1,-1.347978723943E0, +-4.099000013158E1)); +#79016=DIRECTION('',(-4.696130166145E-1,8.828723659886E-1,0.E0)); +#79017=DIRECTION('',(-8.828723659886E-1,-4.696130166145E-1,0.E0)); +#79018=AXIS2_PLACEMENT_3D('',#79015,#79016,#79017); +#79019=PLANE('',#79018); +#79020=ORIENTED_EDGE('',*,*,#72447,.F.); +#79022=ORIENTED_EDGE('',*,*,#79021,.T.); +#79023=ORIENTED_EDGE('',*,*,#75048,.T.); +#79024=ORIENTED_EDGE('',*,*,#79008,.F.); +#79025=EDGE_LOOP('',(#79020,#79022,#79023,#79024)); +#79026=FACE_OUTER_BOUND('',#79025,.F.); +#79028=CARTESIAN_POINT('',(2.407599998379E1,-1.275638307035E0, +-4.099000013158E1)); +#79029=DIRECTION('',(-8.173074474343E-1,5.762018191298E-1,0.E0)); +#79030=DIRECTION('',(-5.762018191298E-1,-8.173074474343E-1,0.E0)); +#79031=AXIS2_PLACEMENT_3D('',#79028,#79029,#79030); +#79032=PLANE('',#79031); +#79033=ORIENTED_EDGE('',*,*,#72445,.F.); +#79035=ORIENTED_EDGE('',*,*,#79034,.T.); +#79036=ORIENTED_EDGE('',*,*,#75050,.T.); +#79037=ORIENTED_EDGE('',*,*,#79021,.F.); +#79038=EDGE_LOOP('',(#79033,#79035,#79036,#79037)); +#79039=FACE_OUTER_BOUND('',#79038,.F.); +#79041=CARTESIAN_POINT('',(2.411E1,-1.167127656341E0,-4.099000013158E1)); +#79042=DIRECTION('',(-9.542532775469E-1,2.989994687137E-1,0.E0)); +#79043=DIRECTION('',(-2.989994687137E-1,-9.542532775469E-1,0.E0)); +#79044=AXIS2_PLACEMENT_3D('',#79041,#79042,#79043); +#79045=PLANE('',#79044); +#79046=ORIENTED_EDGE('',*,*,#72443,.F.); +#79048=ORIENTED_EDGE('',*,*,#79047,.T.); +#79049=ORIENTED_EDGE('',*,*,#75052,.T.); +#79050=ORIENTED_EDGE('',*,*,#79034,.F.); +#79051=EDGE_LOOP('',(#79046,#79048,#79049,#79050)); +#79052=FACE_OUTER_BOUND('',#79051,.F.); +#79054=CARTESIAN_POINT('',(2.412699998379E1,-1.058617030978E0, +-4.099000013158E1)); +#79055=DIRECTION('',(-9.879491850577E-1,1.547785765016E-1,0.E0)); +#79056=DIRECTION('',(-1.547785765016E-1,-9.879491850577E-1,0.E0)); +#79057=AXIS2_PLACEMENT_3D('',#79054,#79055,#79056); +#79058=PLANE('',#79057); +#79059=ORIENTED_EDGE('',*,*,#72441,.F.); +#79061=ORIENTED_EDGE('',*,*,#79060,.T.); +#79062=ORIENTED_EDGE('',*,*,#75054,.T.); +#79063=ORIENTED_EDGE('',*,*,#79047,.F.); +#79064=EDGE_LOOP('',(#79059,#79061,#79062,#79063)); +#79065=FACE_OUTER_BOUND('',#79064,.F.); +#79067=CARTESIAN_POINT('',(2.412699998379E1,-9.862766140699E-1, +-4.099000013158E1)); +#79068=DIRECTION('',(-1.E0,0.E0,0.E0)); +#79069=DIRECTION('',(0.E0,-1.E0,0.E0)); +#79070=AXIS2_PLACEMENT_3D('',#79067,#79068,#79069); +#79071=PLANE('',#79070); +#79072=ORIENTED_EDGE('',*,*,#72439,.F.); +#79074=ORIENTED_EDGE('',*,*,#79073,.T.); +#79075=ORIENTED_EDGE('',*,*,#75056,.T.); +#79076=ORIENTED_EDGE('',*,*,#79060,.F.); +#79077=EDGE_LOOP('',(#79072,#79074,#79075,#79076)); +#79078=FACE_OUTER_BOUND('',#79077,.F.); +#79080=CARTESIAN_POINT('',(2.411E1,-8.777659380436E-1,-4.099000013158E1)); +#79081=DIRECTION('',(-9.879491961082E-1,-1.547785059663E-1,0.E0)); +#79082=DIRECTION('',(1.547785059663E-1,-9.879491961082E-1,0.E0)); +#79083=AXIS2_PLACEMENT_3D('',#79080,#79081,#79082); +#79084=PLANE('',#79083); +#79085=ORIENTED_EDGE('',*,*,#72437,.F.); +#79087=ORIENTED_EDGE('',*,*,#79086,.T.); +#79088=ORIENTED_EDGE('',*,*,#75058,.T.); +#79089=ORIENTED_EDGE('',*,*,#79073,.F.); +#79090=EDGE_LOOP('',(#79085,#79087,#79088,#79089)); +#79091=FACE_OUTER_BOUND('',#79090,.F.); +#79093=CARTESIAN_POINT('',(2.407599998379E1,-7.692553126812E-1, +-4.099000013158E1)); +#79094=DIRECTION('',(-9.542532576309E-1,-2.989995322752E-1,0.E0)); +#79095=DIRECTION('',(2.989995322752E-1,-9.542532576309E-1,0.E0)); +#79096=AXIS2_PLACEMENT_3D('',#79093,#79094,#79095); +#79097=PLANE('',#79096); +#79098=ORIENTED_EDGE('',*,*,#72435,.F.); +#79100=ORIENTED_EDGE('',*,*,#79099,.T.); +#79101=ORIENTED_EDGE('',*,*,#75060,.T.); +#79102=ORIENTED_EDGE('',*,*,#79086,.F.); +#79103=EDGE_LOOP('',(#79098,#79100,#79101,#79102)); +#79104=FACE_OUTER_BOUND('',#79103,.F.); +#79106=CARTESIAN_POINT('',(2.402499998379E1,-6.969148957729E-1, +-4.099000013158E1)); +#79107=DIRECTION('',(-8.173074474343E-1,-5.762018191298E-1,0.E0)); +#79108=DIRECTION('',(5.762018191298E-1,-8.173074474343E-1,0.E0)); +#79109=AXIS2_PLACEMENT_3D('',#79106,#79107,#79108); +#79110=PLANE('',#79109); +#79111=ORIENTED_EDGE('',*,*,#72433,.F.); +#79113=ORIENTED_EDGE('',*,*,#79112,.T.); +#79114=ORIENTED_EDGE('',*,*,#75062,.T.); +#79115=ORIENTED_EDGE('',*,*,#79099,.F.); +#79116=EDGE_LOOP('',(#79111,#79113,#79114,#79115)); +#79117=FACE_OUTER_BOUND('',#79116,.F.); +#79119=CARTESIAN_POINT('',(2.3957E1,-6.607446873188E-1,-4.099000013158E1)); +#79120=DIRECTION('',(-4.696130166145E-1,-8.828723659886E-1,0.E0)); +#79121=DIRECTION('',(8.828723659886E-1,-4.696130166145E-1,0.E0)); +#79122=AXIS2_PLACEMENT_3D('',#79119,#79120,#79121); +#79123=PLANE('',#79122); +#79124=ORIENTED_EDGE('',*,*,#72431,.F.); +#79126=ORIENTED_EDGE('',*,*,#79125,.T.); +#79127=ORIENTED_EDGE('',*,*,#75064,.T.); +#79128=ORIENTED_EDGE('',*,*,#79112,.F.); +#79129=EDGE_LOOP('',(#79124,#79126,#79127,#79128)); +#79130=FACE_OUTER_BOUND('',#79129,.F.); +#79132=CARTESIAN_POINT('',(2.3855E1,-6.607446873188E-1,-4.099000013158E1)); +#79133=DIRECTION('',(0.E0,-1.E0,0.E0)); +#79134=DIRECTION('',(1.E0,0.E0,0.E0)); +#79135=AXIS2_PLACEMENT_3D('',#79132,#79133,#79134); +#79136=PLANE('',#79135); +#79137=ORIENTED_EDGE('',*,*,#72429,.F.); +#79139=ORIENTED_EDGE('',*,*,#79138,.T.); +#79140=ORIENTED_EDGE('',*,*,#75066,.T.); +#79141=ORIENTED_EDGE('',*,*,#79125,.F.); +#79142=EDGE_LOOP('',(#79137,#79139,#79140,#79141)); +#79143=FACE_OUTER_BOUND('',#79142,.F.); +#79145=CARTESIAN_POINT('',(2.378700000405E1,-6.969148957729E-1, +-4.099000013158E1)); +#79146=DIRECTION('',(4.696129511603E-1,-8.828724008046E-1,0.E0)); +#79147=DIRECTION('',(8.828724008046E-1,4.696129511603E-1,0.E0)); +#79148=AXIS2_PLACEMENT_3D('',#79145,#79146,#79147); +#79149=PLANE('',#79148); +#79150=ORIENTED_EDGE('',*,*,#72427,.F.); +#79151=ORIENTED_EDGE('',*,*,#78646,.T.); +#79152=ORIENTED_EDGE('',*,*,#75068,.T.); +#79153=ORIENTED_EDGE('',*,*,#79138,.F.); +#79154=EDGE_LOOP('',(#79150,#79151,#79152,#79153)); +#79155=FACE_OUTER_BOUND('',#79154,.F.); +#79157=CARTESIAN_POINT('',(2.490899996758E1,-1.384148932397E0, +-4.099000013158E1)); +#79158=DIRECTION('',(9.542531355926E-1,-2.989999217585E-1,0.E0)); +#79159=DIRECTION('',(2.989999217585E-1,9.542531355926E-1,0.E0)); +#79160=AXIS2_PLACEMENT_3D('',#79157,#79158,#79159); +#79161=PLANE('',#79160); +#79162=ORIENTED_EDGE('',*,*,#72507,.F.); +#79164=ORIENTED_EDGE('',*,*,#79163,.T.); +#79165=ORIENTED_EDGE('',*,*,#75078,.T.); +#79167=ORIENTED_EDGE('',*,*,#79166,.F.); +#79168=EDGE_LOOP('',(#79162,#79164,#79165,#79167)); +#79169=FACE_OUTER_BOUND('',#79168,.F.); +#79171=CARTESIAN_POINT('',(2.485799996758E1,-1.456489361972E0, +-4.099000013158E1)); +#79172=DIRECTION('',(8.173074949451E-1,-5.762017517385E-1,0.E0)); +#79173=DIRECTION('',(5.762017517385E-1,8.173074949451E-1,0.E0)); +#79174=AXIS2_PLACEMENT_3D('',#79171,#79172,#79173); +#79175=PLANE('',#79174); +#79176=ORIENTED_EDGE('',*,*,#72619,.F.); +#79178=ORIENTED_EDGE('',*,*,#79177,.T.); +#79179=ORIENTED_EDGE('',*,*,#75080,.T.); +#79180=ORIENTED_EDGE('',*,*,#79163,.F.); +#79181=EDGE_LOOP('',(#79176,#79178,#79179,#79180)); +#79182=FACE_OUTER_BOUND('',#79181,.F.); +#79184=CARTESIAN_POINT('',(2.479000003242E1,-1.528829788379E0, +-4.099000013158E1)); +#79185=DIRECTION('',(7.286279990067E-1,-6.849096575926E-1,0.E0)); +#79186=DIRECTION('',(6.849096575926E-1,7.286279990067E-1,0.E0)); +#79187=AXIS2_PLACEMENT_3D('',#79184,#79185,#79186); +#79188=PLANE('',#79187); +#79189=ORIENTED_EDGE('',*,*,#72617,.F.); +#79191=ORIENTED_EDGE('',*,*,#79190,.T.); +#79192=ORIENTED_EDGE('',*,*,#75082,.T.); +#79193=ORIENTED_EDGE('',*,*,#79177,.F.); +#79194=EDGE_LOOP('',(#79189,#79191,#79192,#79193)); +#79195=FACE_OUTER_BOUND('',#79194,.F.); +#79197=CARTESIAN_POINT('',(2.4722E1,-1.565E0,-4.099000013158E1)); +#79198=DIRECTION('',(4.696127868431E-1,-8.828724882074E-1,0.E0)); +#79199=DIRECTION('',(8.828724882074E-1,4.696127868431E-1,0.E0)); +#79200=AXIS2_PLACEMENT_3D('',#79197,#79198,#79199); +#79201=PLANE('',#79200); +#79202=ORIENTED_EDGE('',*,*,#72615,.F.); +#79204=ORIENTED_EDGE('',*,*,#79203,.T.); +#79205=ORIENTED_EDGE('',*,*,#75084,.T.); +#79206=ORIENTED_EDGE('',*,*,#79190,.F.); +#79207=EDGE_LOOP('',(#79202,#79204,#79205,#79206)); +#79208=FACE_OUTER_BOUND('',#79207,.F.); +#79210=CARTESIAN_POINT('',(2.458600003242E1,-1.565E0,-4.099000013158E1)); +#79211=DIRECTION('',(0.E0,-1.E0,0.E0)); +#79212=DIRECTION('',(1.E0,0.E0,0.E0)); +#79213=AXIS2_PLACEMENT_3D('',#79210,#79211,#79212); +#79214=PLANE('',#79213); +#79215=ORIENTED_EDGE('',*,*,#72613,.F.); +#79217=ORIENTED_EDGE('',*,*,#79216,.T.); +#79218=ORIENTED_EDGE('',*,*,#75086,.T.); +#79219=ORIENTED_EDGE('',*,*,#79203,.F.); +#79220=EDGE_LOOP('',(#79215,#79217,#79218,#79219)); +#79221=FACE_OUTER_BOUND('',#79220,.F.); +#79223=CARTESIAN_POINT('',(2.4518E1,-1.528829788379E0,-4.099000013158E1)); +#79224=DIRECTION('',(-4.696127868431E-1,-8.828724882074E-1,0.E0)); +#79225=DIRECTION('',(8.828724882074E-1,-4.696127868431E-1,0.E0)); +#79226=AXIS2_PLACEMENT_3D('',#79223,#79224,#79225); +#79227=PLANE('',#79226); +#79228=ORIENTED_EDGE('',*,*,#72611,.F.); +#79230=ORIENTED_EDGE('',*,*,#79229,.T.); +#79231=ORIENTED_EDGE('',*,*,#75088,.T.); +#79232=ORIENTED_EDGE('',*,*,#79216,.F.); +#79233=EDGE_LOOP('',(#79228,#79230,#79231,#79232)); +#79234=FACE_OUTER_BOUND('',#79233,.F.); +#79236=CARTESIAN_POINT('',(2.444999996758E1,-1.456489361972E0, +-4.099000013158E1)); +#79237=DIRECTION('',(-7.286275100571E-1,-6.849101777518E-1,0.E0)); +#79238=DIRECTION('',(6.849101777518E-1,-7.286275100571E-1,0.E0)); +#79239=AXIS2_PLACEMENT_3D('',#79236,#79237,#79238); +#79240=PLANE('',#79239); +#79241=ORIENTED_EDGE('',*,*,#72609,.F.); +#79243=ORIENTED_EDGE('',*,*,#79242,.T.); +#79244=ORIENTED_EDGE('',*,*,#75090,.T.); +#79245=ORIENTED_EDGE('',*,*,#79229,.F.); +#79246=EDGE_LOOP('',(#79241,#79243,#79244,#79245)); +#79247=FACE_OUTER_BOUND('',#79246,.F.); +#79249=CARTESIAN_POINT('',(2.439900001621E1,-1.384148932397E0, +-4.099000013158E1)); +#79250=DIRECTION('',(-8.173077537275E-1,-5.762013846711E-1,0.E0)); +#79251=DIRECTION('',(5.762013846711E-1,-8.173077537275E-1,0.E0)); +#79252=AXIS2_PLACEMENT_3D('',#79249,#79250,#79251); +#79253=PLANE('',#79252); +#79254=ORIENTED_EDGE('',*,*,#72607,.F.); +#79256=ORIENTED_EDGE('',*,*,#79255,.T.); +#79257=ORIENTED_EDGE('',*,*,#75092,.T.); +#79258=ORIENTED_EDGE('',*,*,#79242,.F.); +#79259=EDGE_LOOP('',(#79254,#79256,#79257,#79258)); +#79260=FACE_OUTER_BOUND('',#79259,.F.); +#79262=CARTESIAN_POINT('',(2.4365E1,-1.275638307035E0,-4.099000013158E1)); +#79263=DIRECTION('',(-9.542532576309E-1,-2.989995322752E-1,0.E0)); +#79264=DIRECTION('',(2.989995322752E-1,-9.542532576309E-1,0.E0)); +#79265=AXIS2_PLACEMENT_3D('',#79262,#79263,#79264); +#79266=PLANE('',#79265); +#79267=ORIENTED_EDGE('',*,*,#72605,.F.); +#79269=ORIENTED_EDGE('',*,*,#79268,.T.); +#79270=ORIENTED_EDGE('',*,*,#75094,.T.); +#79271=ORIENTED_EDGE('',*,*,#79255,.F.); +#79272=EDGE_LOOP('',(#79267,#79269,#79270,#79271)); +#79273=FACE_OUTER_BOUND('',#79272,.F.); +#79275=CARTESIAN_POINT('',(2.434800001621E1,-1.167127656341E0, +-4.099000013158E1)); +#79276=DIRECTION('',(-9.879491905829E-1,-1.547785412340E-1,0.E0)); +#79277=DIRECTION('',(1.547785412340E-1,-9.879491905829E-1,0.E0)); +#79278=AXIS2_PLACEMENT_3D('',#79275,#79276,#79277); +#79279=PLANE('',#79278); +#79280=ORIENTED_EDGE('',*,*,#72603,.F.); +#79282=ORIENTED_EDGE('',*,*,#79281,.T.); +#79283=ORIENTED_EDGE('',*,*,#75096,.T.); +#79284=ORIENTED_EDGE('',*,*,#79268,.F.); +#79285=EDGE_LOOP('',(#79280,#79282,#79283,#79284)); +#79286=FACE_OUTER_BOUND('',#79285,.F.); +#79288=CARTESIAN_POINT('',(2.443300003242E1,-1.167127656341E0, +-4.099000013158E1)); +#79289=DIRECTION('',(0.E0,1.E0,0.E0)); +#79290=DIRECTION('',(-1.E0,0.E0,0.E0)); +#79291=AXIS2_PLACEMENT_3D('',#79288,#79289,#79290); +#79292=PLANE('',#79291); +#79293=ORIENTED_EDGE('',*,*,#72601,.F.); +#79295=ORIENTED_EDGE('',*,*,#79294,.T.); +#79296=ORIENTED_EDGE('',*,*,#75098,.T.); +#79297=ORIENTED_EDGE('',*,*,#79281,.F.); +#79298=EDGE_LOOP('',(#79293,#79295,#79296,#79297)); +#79299=FACE_OUTER_BOUND('',#79298,.F.); +#79301=CARTESIAN_POINT('',(2.444999996758E1,-1.239468073249E0, +-4.099000013158E1)); +#79302=DIRECTION('',(9.734811536182E-1,2.287672256905E-1,0.E0)); +#79303=DIRECTION('',(-2.287672256905E-1,9.734811536182E-1,0.E0)); +#79304=AXIS2_PLACEMENT_3D('',#79301,#79302,#79303); +#79305=PLANE('',#79304); +#79306=ORIENTED_EDGE('',*,*,#72599,.F.); +#79308=ORIENTED_EDGE('',*,*,#79307,.T.); +#79309=ORIENTED_EDGE('',*,*,#75100,.T.); +#79310=ORIENTED_EDGE('',*,*,#79294,.F.); +#79311=EDGE_LOOP('',(#79306,#79308,#79309,#79310)); +#79312=FACE_OUTER_BOUND('',#79311,.F.); +#79314=CARTESIAN_POINT('',(2.448400003242E1,-1.311808515489E0, +-4.099000013158E1)); +#79315=DIRECTION('',(9.050234272461E-1,4.253617238724E-1,0.E0)); +#79316=DIRECTION('',(-4.253617238724E-1,9.050234272461E-1,0.E0)); +#79317=AXIS2_PLACEMENT_3D('',#79314,#79315,#79316); +#79318=PLANE('',#79317); +#79319=ORIENTED_EDGE('',*,*,#72597,.F.); +#79321=ORIENTED_EDGE('',*,*,#79320,.T.); +#79322=ORIENTED_EDGE('',*,*,#75102,.T.); +#79323=ORIENTED_EDGE('',*,*,#79307,.F.); +#79324=EDGE_LOOP('',(#79319,#79321,#79322,#79323)); +#79325=FACE_OUTER_BOUND('',#79324,.F.); +#79327=CARTESIAN_POINT('',(2.4518E1,-1.347978723943E0,-4.099000013158E1)); +#79328=DIRECTION('',(7.286279541226E-1,6.849097053416E-1,0.E0)); +#79329=DIRECTION('',(-6.849097053416E-1,7.286279541226E-1,0.E0)); +#79330=AXIS2_PLACEMENT_3D('',#79327,#79328,#79329); +#79331=PLANE('',#79330); +#79332=ORIENTED_EDGE('',*,*,#72595,.F.); +#79334=ORIENTED_EDGE('',*,*,#79333,.T.); +#79335=ORIENTED_EDGE('',*,*,#75104,.T.); +#79336=ORIENTED_EDGE('',*,*,#79320,.F.); +#79337=EDGE_LOOP('',(#79332,#79334,#79335,#79336)); +#79338=FACE_OUTER_BOUND('',#79337,.F.); +#79340=CARTESIAN_POINT('',(2.460299996758E1,-1.384148932397E0, +-4.099000013158E1)); +#79341=DIRECTION('',(3.915552510429E-1,9.201545986305E-1,0.E0)); +#79342=DIRECTION('',(-9.201545986305E-1,3.915552510429E-1,0.E0)); +#79343=AXIS2_PLACEMENT_3D('',#79340,#79341,#79342); +#79344=PLANE('',#79343); +#79345=ORIENTED_EDGE('',*,*,#72593,.F.); +#79347=ORIENTED_EDGE('',*,*,#79346,.T.); +#79348=ORIENTED_EDGE('',*,*,#75106,.T.); +#79349=ORIENTED_EDGE('',*,*,#79333,.F.); +#79350=EDGE_LOOP('',(#79345,#79347,#79348,#79349)); +#79351=FACE_OUTER_BOUND('',#79350,.F.); +#79353=CARTESIAN_POINT('',(2.470499996758E1,-1.384148932397E0, +-4.099000013158E1)); +#79354=DIRECTION('',(0.E0,1.E0,0.E0)); +#79355=DIRECTION('',(-1.E0,0.E0,0.E0)); +#79356=AXIS2_PLACEMENT_3D('',#79353,#79354,#79355); +#79357=PLANE('',#79356); +#79358=ORIENTED_EDGE('',*,*,#72591,.F.); +#79360=ORIENTED_EDGE('',*,*,#79359,.T.); +#79361=ORIENTED_EDGE('',*,*,#75108,.T.); +#79362=ORIENTED_EDGE('',*,*,#79346,.F.); +#79363=EDGE_LOOP('',(#79358,#79360,#79361,#79362)); +#79364=FACE_OUTER_BOUND('',#79363,.F.); +#79366=CARTESIAN_POINT('',(2.479000003242E1,-1.347978723943E0, +-4.099000013158E1)); +#79367=DIRECTION('',(-3.915548716442E-1,9.201547600766E-1,0.E0)); +#79368=DIRECTION('',(-9.201547600766E-1,-3.915548716442E-1,0.E0)); +#79369=AXIS2_PLACEMENT_3D('',#79366,#79367,#79368); +#79370=PLANE('',#79369); +#79371=ORIENTED_EDGE('',*,*,#72589,.F.); +#79373=ORIENTED_EDGE('',*,*,#79372,.T.); +#79374=ORIENTED_EDGE('',*,*,#75110,.T.); +#79375=ORIENTED_EDGE('',*,*,#79359,.F.); +#79376=EDGE_LOOP('',(#79371,#79373,#79374,#79375)); +#79377=FACE_OUTER_BOUND('',#79376,.F.); +#79379=CARTESIAN_POINT('',(2.4824E1,-1.311808515489E0,-4.099000013158E1)); +#79380=DIRECTION('',(-7.286279541226E-1,6.849097053416E-1,0.E0)); +#79381=DIRECTION('',(-6.849097053416E-1,-7.286279541226E-1,0.E0)); +#79382=AXIS2_PLACEMENT_3D('',#79379,#79380,#79381); +#79383=PLANE('',#79382); +#79384=ORIENTED_EDGE('',*,*,#72587,.F.); +#79386=ORIENTED_EDGE('',*,*,#79385,.T.); +#79387=ORIENTED_EDGE('',*,*,#75112,.T.); +#79388=ORIENTED_EDGE('',*,*,#79372,.F.); +#79389=EDGE_LOOP('',(#79384,#79386,#79387,#79388)); +#79390=FACE_OUTER_BOUND('',#79389,.F.); +#79392=CARTESIAN_POINT('',(2.485799996758E1,-1.239468073249E0, +-4.099000013158E1)); +#79393=DIRECTION('',(-9.050238957323E-1,4.253607270934E-1,0.E0)); +#79394=DIRECTION('',(-4.253607270934E-1,-9.050238957323E-1,0.E0)); +#79395=AXIS2_PLACEMENT_3D('',#79392,#79393,#79394); +#79396=PLANE('',#79395); +#79397=ORIENTED_EDGE('',*,*,#72585,.F.); +#79399=ORIENTED_EDGE('',*,*,#79398,.T.); +#79400=ORIENTED_EDGE('',*,*,#75114,.T.); +#79401=ORIENTED_EDGE('',*,*,#79385,.F.); +#79402=EDGE_LOOP('',(#79397,#79399,#79400,#79401)); +#79403=FACE_OUTER_BOUND('',#79402,.F.); +#79405=CARTESIAN_POINT('',(2.4875E1,-1.167127656341E0,-4.099000013158E1)); +#79406=DIRECTION('',(-9.734808620977E-1,2.287684662046E-1,0.E0)); +#79407=DIRECTION('',(-2.287684662046E-1,-9.734808620977E-1,0.E0)); +#79408=AXIS2_PLACEMENT_3D('',#79405,#79406,#79407); +#79409=PLANE('',#79408); +#79410=ORIENTED_EDGE('',*,*,#72583,.F.); +#79412=ORIENTED_EDGE('',*,*,#79411,.T.); +#79413=ORIENTED_EDGE('',*,*,#75116,.T.); +#79414=ORIENTED_EDGE('',*,*,#79398,.F.); +#79415=EDGE_LOOP('',(#79410,#79412,#79413,#79414)); +#79416=FACE_OUTER_BOUND('',#79415,.F.); +#79418=CARTESIAN_POINT('',(2.4875E1,-1.022446822524E0,-4.099000013158E1)); +#79419=DIRECTION('',(-1.E0,0.E0,0.E0)); +#79420=DIRECTION('',(0.E0,-1.E0,0.E0)); +#79421=AXIS2_PLACEMENT_3D('',#79418,#79419,#79420); +#79422=PLANE('',#79421); +#79423=ORIENTED_EDGE('',*,*,#72581,.F.); +#79425=ORIENTED_EDGE('',*,*,#79424,.T.); +#79426=ORIENTED_EDGE('',*,*,#75118,.T.); +#79427=ORIENTED_EDGE('',*,*,#79411,.F.); +#79428=EDGE_LOOP('',(#79423,#79425,#79426,#79427)); +#79429=FACE_OUTER_BOUND('',#79428,.F.); +#79431=CARTESIAN_POINT('',(2.484100003242E1,-8.777659380436E-1, +-4.099000013158E1)); +#79432=DIRECTION('',(-9.734810256985E-1,-2.287677700309E-1,0.E0)); +#79433=DIRECTION('',(2.287677700309E-1,-9.734810256985E-1,0.E0)); +#79434=AXIS2_PLACEMENT_3D('',#79431,#79432,#79433); +#79435=PLANE('',#79434); +#79436=ORIENTED_EDGE('',*,*,#72579,.F.); +#79438=ORIENTED_EDGE('',*,*,#79437,.T.); +#79439=ORIENTED_EDGE('',*,*,#75120,.T.); +#79440=ORIENTED_EDGE('',*,*,#79424,.F.); +#79441=EDGE_LOOP('',(#79436,#79438,#79439,#79440)); +#79442=FACE_OUTER_BOUND('',#79441,.F.); +#79444=CARTESIAN_POINT('',(2.479000003242E1,-8.054255211353E-1, +-4.099000013158E1)); +#79445=DIRECTION('',(-8.173074474343E-1,-5.762018191298E-1,0.E0)); +#79446=DIRECTION('',(5.762018191298E-1,-8.173074474343E-1,0.E0)); +#79447=AXIS2_PLACEMENT_3D('',#79444,#79445,#79446); +#79448=PLANE('',#79447); +#79449=ORIENTED_EDGE('',*,*,#72577,.F.); +#79451=ORIENTED_EDGE('',*,*,#79450,.T.); +#79452=ORIENTED_EDGE('',*,*,#75122,.T.); +#79453=ORIENTED_EDGE('',*,*,#79437,.F.); +#79454=EDGE_LOOP('',(#79449,#79451,#79452,#79453)); +#79455=FACE_OUTER_BOUND('',#79454,.F.); +#79457=CARTESIAN_POINT('',(2.468800003242E1,-7.692553126812E-1, +-4.099000013158E1)); +#79458=DIRECTION('',(-3.342182914489E-1,-9.424956942400E-1,0.E0)); +#79459=DIRECTION('',(9.424956942400E-1,-3.342182914489E-1,0.E0)); +#79460=AXIS2_PLACEMENT_3D('',#79457,#79458,#79459); +#79461=PLANE('',#79460); +#79462=ORIENTED_EDGE('',*,*,#72575,.F.); +#79464=ORIENTED_EDGE('',*,*,#79463,.T.); +#79465=ORIENTED_EDGE('',*,*,#75124,.T.); +#79466=ORIENTED_EDGE('',*,*,#79450,.F.); +#79467=EDGE_LOOP('',(#79462,#79464,#79465,#79466)); +#79468=FACE_OUTER_BOUND('',#79467,.F.); +#79470=CARTESIAN_POINT('',(2.462E1,-7.692553126812E-1,-4.099000013158E1)); +#79471=DIRECTION('',(0.E0,-1.E0,0.E0)); +#79472=DIRECTION('',(1.E0,0.E0,0.E0)); +#79473=AXIS2_PLACEMENT_3D('',#79470,#79471,#79472); +#79474=PLANE('',#79473); +#79475=ORIENTED_EDGE('',*,*,#72573,.F.); +#79477=ORIENTED_EDGE('',*,*,#79476,.T.); +#79478=ORIENTED_EDGE('',*,*,#75126,.T.); +#79479=ORIENTED_EDGE('',*,*,#79463,.F.); +#79480=EDGE_LOOP('',(#79475,#79477,#79478,#79479)); +#79481=FACE_OUTER_BOUND('',#79480,.F.); +#79483=CARTESIAN_POINT('',(2.462E1,-6.245744788647E-1,-4.099000013158E1)); +#79484=DIRECTION('',(-1.E0,0.E0,0.E0)); +#79485=DIRECTION('',(0.E0,-1.E0,0.E0)); +#79486=AXIS2_PLACEMENT_3D('',#79483,#79484,#79485); +#79487=PLANE('',#79486); +#79488=ORIENTED_EDGE('',*,*,#72571,.F.); +#79490=ORIENTED_EDGE('',*,*,#79489,.T.); +#79491=ORIENTED_EDGE('',*,*,#75128,.T.); +#79492=ORIENTED_EDGE('',*,*,#79476,.F.); +#79493=EDGE_LOOP('',(#79488,#79490,#79491,#79492)); +#79494=FACE_OUTER_BOUND('',#79493,.F.); +#79496=CARTESIAN_POINT('',(2.468800003242E1,-6.245744788647E-1, +-4.099000013158E1)); +#79497=DIRECTION('',(0.E0,1.E0,0.E0)); +#79498=DIRECTION('',(-1.E0,0.E0,0.E0)); +#79499=AXIS2_PLACEMENT_3D('',#79496,#79497,#79498); +#79500=PLANE('',#79499); +#79501=ORIENTED_EDGE('',*,*,#72569,.F.); +#79503=ORIENTED_EDGE('',*,*,#79502,.T.); +#79504=ORIENTED_EDGE('',*,*,#75130,.T.); +#79505=ORIENTED_EDGE('',*,*,#79489,.F.); +#79506=EDGE_LOOP('',(#79501,#79503,#79504,#79505)); +#79507=FACE_OUTER_BOUND('',#79506,.F.); +#79509=CARTESIAN_POINT('',(2.479000003242E1,-5.522340619564E-1, +-4.099000013158E1)); +#79510=DIRECTION('',(-5.784987192472E-1,8.156832913756E-1,0.E0)); +#79511=DIRECTION('',(-8.156832913756E-1,-5.784987192472E-1,0.E0)); +#79512=AXIS2_PLACEMENT_3D('',#79509,#79510,#79511); +#79513=PLANE('',#79512); +#79514=ORIENTED_EDGE('',*,*,#72567,.F.); +#79516=ORIENTED_EDGE('',*,*,#79515,.T.); +#79517=ORIENTED_EDGE('',*,*,#75132,.T.); +#79518=ORIENTED_EDGE('',*,*,#79502,.F.); +#79519=EDGE_LOOP('',(#79514,#79516,#79517,#79518)); +#79520=FACE_OUTER_BOUND('',#79519,.F.); +#79522=CARTESIAN_POINT('',(2.4824E1,-4.798936450481E-1,-4.099000013158E1)); +#79523=DIRECTION('',(-9.050238383917E-1,4.253608490950E-1,0.E0)); +#79524=DIRECTION('',(-4.253608490950E-1,-9.050238383917E-1,0.E0)); +#79525=AXIS2_PLACEMENT_3D('',#79522,#79523,#79524); +#79526=PLANE('',#79525); +#79527=ORIENTED_EDGE('',*,*,#72565,.F.); +#79529=ORIENTED_EDGE('',*,*,#79528,.T.); +#79530=ORIENTED_EDGE('',*,*,#75134,.T.); +#79531=ORIENTED_EDGE('',*,*,#79515,.F.); +#79532=EDGE_LOOP('',(#79527,#79529,#79530,#79531)); +#79533=FACE_OUTER_BOUND('',#79532,.F.); +#79535=CARTESIAN_POINT('',(2.485799996758E1,-3.352128112316E-1, +-4.099000013158E1)); +#79536=DIRECTION('',(-9.734810078581E-1,2.287678459477E-1,0.E0)); +#79537=DIRECTION('',(-2.287678459477E-1,-9.734810078581E-1,0.E0)); +#79538=AXIS2_PLACEMENT_3D('',#79535,#79536,#79537); +#79539=PLANE('',#79538); +#79540=ORIENTED_EDGE('',*,*,#72563,.F.); +#79542=ORIENTED_EDGE('',*,*,#79541,.T.); +#79543=ORIENTED_EDGE('',*,*,#75136,.T.); +#79544=ORIENTED_EDGE('',*,*,#79528,.F.); +#79545=EDGE_LOOP('',(#79540,#79542,#79543,#79544)); +#79546=FACE_OUTER_BOUND('',#79545,.F.); +#79548=CARTESIAN_POINT('',(2.485799996758E1,-2.628722929955E-1, +-4.099000013158E1)); +#79549=DIRECTION('',(-1.E0,0.E0,0.E0)); +#79550=DIRECTION('',(0.E0,-1.E0,0.E0)); +#79551=AXIS2_PLACEMENT_3D('',#79548,#79549,#79550); +#79552=PLANE('',#79551); +#79553=ORIENTED_EDGE('',*,*,#72561,.F.); +#79555=ORIENTED_EDGE('',*,*,#79554,.T.); +#79556=ORIENTED_EDGE('',*,*,#75138,.T.); +#79557=ORIENTED_EDGE('',*,*,#79541,.F.); +#79558=EDGE_LOOP('',(#79553,#79555,#79556,#79557)); +#79559=FACE_OUTER_BOUND('',#79558,.F.); +#79561=CARTESIAN_POINT('',(2.4824E1,-1.543616676331E-1,-4.099000013158E1)); +#79562=DIRECTION('',(-9.542533796690E-1,-2.989991427917E-1,0.E0)); +#79563=DIRECTION('',(2.989991427917E-1,-9.542533796690E-1,0.E0)); +#79564=AXIS2_PLACEMENT_3D('',#79561,#79562,#79563); +#79565=PLANE('',#79564); +#79566=ORIENTED_EDGE('',*,*,#72559,.F.); +#79568=ORIENTED_EDGE('',*,*,#79567,.T.); +#79569=ORIENTED_EDGE('',*,*,#75140,.T.); +#79570=ORIENTED_EDGE('',*,*,#79554,.F.); +#79571=EDGE_LOOP('',(#79566,#79568,#79569,#79570)); +#79572=FACE_OUTER_BOUND('',#79571,.F.); +#79574=CARTESIAN_POINT('',(2.4773E1,-8.202125072479E-2,-4.099000013158E1)); +#79575=DIRECTION('',(-8.173074474343E-1,-5.762018191298E-1,0.E0)); +#79576=DIRECTION('',(5.762018191298E-1,-8.173074474343E-1,0.E0)); +#79577=AXIS2_PLACEMENT_3D('',#79574,#79575,#79576); +#79578=PLANE('',#79577); +#79579=ORIENTED_EDGE('',*,*,#72557,.F.); +#79581=ORIENTED_EDGE('',*,*,#79580,.T.); +#79582=ORIENTED_EDGE('',*,*,#75142,.T.); +#79583=ORIENTED_EDGE('',*,*,#79567,.F.); +#79584=EDGE_LOOP('',(#79579,#79581,#79582,#79583)); +#79585=FACE_OUTER_BOUND('',#79584,.F.); +#79587=CARTESIAN_POINT('',(2.468800003242E1,-4.585104227066E-2, +-4.099000013158E1)); +#79588=DIRECTION('',(-3.915552510429E-1,-9.201545986305E-1,0.E0)); +#79589=DIRECTION('',(9.201545986305E-1,-3.915552510429E-1,0.E0)); +#79590=AXIS2_PLACEMENT_3D('',#79587,#79588,#79589); +#79591=PLANE('',#79590); +#79592=ORIENTED_EDGE('',*,*,#72555,.F.); +#79594=ORIENTED_EDGE('',*,*,#79593,.T.); +#79595=ORIENTED_EDGE('',*,*,#75144,.T.); +#79596=ORIENTED_EDGE('',*,*,#79580,.F.); +#79597=EDGE_LOOP('',(#79592,#79594,#79595,#79596)); +#79598=FACE_OUTER_BOUND('',#79597,.F.); +#79600=CARTESIAN_POINT('',(2.462E1,-4.585104227066E-2,-4.099000013158E1)); +#79601=DIRECTION('',(0.E0,-1.E0,0.E0)); +#79602=DIRECTION('',(1.E0,0.E0,0.E0)); +#79603=AXIS2_PLACEMENT_3D('',#79600,#79601,#79602); +#79604=PLANE('',#79603); +#79605=ORIENTED_EDGE('',*,*,#72553,.F.); +#79607=ORIENTED_EDGE('',*,*,#79606,.T.); +#79608=ORIENTED_EDGE('',*,*,#75146,.T.); +#79609=ORIENTED_EDGE('',*,*,#79593,.F.); +#79610=EDGE_LOOP('',(#79605,#79607,#79608,#79609)); +#79611=FACE_OUTER_BOUND('',#79610,.F.); +#79613=CARTESIAN_POINT('',(2.453500003242E1,-8.202125072479E-2, +-4.099000013158E1)); +#79614=DIRECTION('',(3.915552510429E-1,-9.201545986305E-1,0.E0)); +#79615=DIRECTION('',(9.201545986305E-1,3.915552510429E-1,0.E0)); +#79616=AXIS2_PLACEMENT_3D('',#79613,#79614,#79615); +#79617=PLANE('',#79616); +#79618=ORIENTED_EDGE('',*,*,#72551,.F.); +#79620=ORIENTED_EDGE('',*,*,#79619,.T.); +#79621=ORIENTED_EDGE('',*,*,#75148,.T.); +#79622=ORIENTED_EDGE('',*,*,#79606,.F.); +#79623=EDGE_LOOP('',(#79618,#79620,#79621,#79622)); +#79624=FACE_OUTER_BOUND('',#79623,.F.); +#79626=CARTESIAN_POINT('',(2.448400003242E1,-1.543616676331E-1, +-4.099000013158E1)); +#79627=DIRECTION('',(8.173074474343E-1,-5.762018191298E-1,0.E0)); +#79628=DIRECTION('',(5.762018191298E-1,8.173074474343E-1,0.E0)); +#79629=AXIS2_PLACEMENT_3D('',#79626,#79627,#79628); +#79630=PLANE('',#79629); +#79631=ORIENTED_EDGE('',*,*,#72549,.F.); +#79633=ORIENTED_EDGE('',*,*,#79632,.T.); +#79634=ORIENTED_EDGE('',*,*,#75150,.T.); +#79635=ORIENTED_EDGE('',*,*,#79619,.F.); +#79636=EDGE_LOOP('',(#79631,#79633,#79634,#79635)); +#79637=FACE_OUTER_BOUND('',#79636,.F.); +#79639=CARTESIAN_POINT('',(2.444999996758E1,-2.628722929955E-1, +-4.099000013158E1)); +#79640=DIRECTION('',(9.542531355926E-1,-2.989999217585E-1,0.E0)); +#79641=DIRECTION('',(2.989999217585E-1,9.542531355926E-1,0.E0)); +#79642=AXIS2_PLACEMENT_3D('',#79639,#79640,#79641); +#79643=PLANE('',#79642); +#79644=ORIENTED_EDGE('',*,*,#72547,.F.); +#79646=ORIENTED_EDGE('',*,*,#79645,.T.); +#79647=ORIENTED_EDGE('',*,*,#75152,.T.); +#79648=ORIENTED_EDGE('',*,*,#79632,.F.); +#79649=EDGE_LOOP('',(#79644,#79646,#79647,#79648)); +#79650=FACE_OUTER_BOUND('',#79649,.F.); +#79652=CARTESIAN_POINT('',(2.4365E1,-2.628722929955E-1,-4.099000013158E1)); +#79653=DIRECTION('',(0.E0,-1.E0,0.E0)); +#79654=DIRECTION('',(1.E0,0.E0,0.E0)); +#79655=AXIS2_PLACEMENT_3D('',#79652,#79653,#79654); +#79656=PLANE('',#79655); +#79657=ORIENTED_EDGE('',*,*,#72545,.F.); +#79659=ORIENTED_EDGE('',*,*,#79658,.T.); +#79660=ORIENTED_EDGE('',*,*,#75154,.T.); +#79661=ORIENTED_EDGE('',*,*,#79645,.F.); +#79662=EDGE_LOOP('',(#79657,#79659,#79660,#79661)); +#79663=FACE_OUTER_BOUND('',#79662,.F.); +#79665=CARTESIAN_POINT('',(2.438199998379E1,-1.181914591789E-1, +-4.099000013158E1)); +#79666=DIRECTION('',(-9.931675534125E-1,1.166970901462E-1,0.E0)); +#79667=DIRECTION('',(-1.166970901462E-1,-9.931675534125E-1,0.E0)); +#79668=AXIS2_PLACEMENT_3D('',#79665,#79666,#79667); +#79669=PLANE('',#79668); +#79670=ORIENTED_EDGE('',*,*,#72543,.F.); +#79672=ORIENTED_EDGE('',*,*,#79671,.T.); +#79673=ORIENTED_EDGE('',*,*,#75156,.T.); +#79674=ORIENTED_EDGE('',*,*,#79658,.F.); +#79675=EDGE_LOOP('',(#79670,#79672,#79673,#79674)); +#79676=FACE_OUTER_BOUND('',#79675,.F.); +#79678=CARTESIAN_POINT('',(2.444999996758E1,2.648937463760E-2, +-4.099000013158E1)); +#79679=DIRECTION('',(-9.050237212701E-1,4.253610982899E-1,0.E0)); +#79680=DIRECTION('',(-4.253610982899E-1,-9.050237212701E-1,0.E0)); +#79681=AXIS2_PLACEMENT_3D('',#79678,#79679,#79680); +#79682=PLANE('',#79681); +#79683=ORIENTED_EDGE('',*,*,#72541,.F.); +#79685=ORIENTED_EDGE('',*,*,#79684,.T.); +#79686=ORIENTED_EDGE('',*,*,#75158,.T.); +#79687=ORIENTED_EDGE('',*,*,#79671,.F.); +#79688=EDGE_LOOP('',(#79683,#79685,#79686,#79687)); +#79689=FACE_OUTER_BOUND('',#79688,.F.); +#79691=CARTESIAN_POINT('',(2.4518E1,9.882979154587E-2,-4.099000013158E1)); +#79692=DIRECTION('',(-7.286274651730E-1,6.849102255008E-1,0.E0)); +#79693=DIRECTION('',(-6.849102255008E-1,-7.286274651730E-1,0.E0)); +#79694=AXIS2_PLACEMENT_3D('',#79691,#79692,#79693); +#79695=PLANE('',#79694); +#79696=ORIENTED_EDGE('',*,*,#72539,.F.); +#79698=ORIENTED_EDGE('',*,*,#79697,.T.); +#79699=ORIENTED_EDGE('',*,*,#75160,.T.); +#79700=ORIENTED_EDGE('',*,*,#79684,.F.); +#79701=EDGE_LOOP('',(#79696,#79698,#79699,#79700)); +#79702=FACE_OUTER_BOUND('',#79701,.F.); +#79704=CARTESIAN_POINT('',(2.463700003242E1,1.35E-1,-4.099000013158E1)); +#79705=DIRECTION('',(-2.908143263208E-1,9.567795083542E-1,0.E0)); +#79706=DIRECTION('',(-9.567795083542E-1,-2.908143263208E-1,0.E0)); +#79707=AXIS2_PLACEMENT_3D('',#79704,#79705,#79706); +#79708=PLANE('',#79707); +#79709=ORIENTED_EDGE('',*,*,#72537,.F.); +#79711=ORIENTED_EDGE('',*,*,#79710,.T.); +#79712=ORIENTED_EDGE('',*,*,#75162,.T.); +#79713=ORIENTED_EDGE('',*,*,#79697,.F.); +#79714=EDGE_LOOP('',(#79709,#79711,#79712,#79713)); +#79715=FACE_OUTER_BOUND('',#79714,.F.); +#79717=CARTESIAN_POINT('',(2.4671E1,1.35E-1,-4.099000013158E1)); +#79718=DIRECTION('',(0.E0,1.E0,0.E0)); +#79719=DIRECTION('',(-1.E0,0.E0,0.E0)); +#79720=AXIS2_PLACEMENT_3D('',#79717,#79718,#79719); +#79721=PLANE('',#79720); +#79722=ORIENTED_EDGE('',*,*,#72535,.F.); +#79724=ORIENTED_EDGE('',*,*,#79723,.T.); +#79725=ORIENTED_EDGE('',*,*,#75164,.T.); +#79726=ORIENTED_EDGE('',*,*,#79710,.F.); +#79727=EDGE_LOOP('',(#79722,#79724,#79725,#79726)); +#79728=FACE_OUTER_BOUND('',#79727,.F.); +#79730=CARTESIAN_POINT('',(2.479000003242E1,9.882979154587E-2, +-4.099000013158E1)); +#79731=DIRECTION('',(2.908143263209E-1,9.567795083542E-1,0.E0)); +#79732=DIRECTION('',(-9.567795083542E-1,2.908143263209E-1,0.E0)); +#79733=AXIS2_PLACEMENT_3D('',#79730,#79731,#79732); +#79734=PLANE('',#79733); +#79735=ORIENTED_EDGE('',*,*,#72533,.F.); +#79737=ORIENTED_EDGE('',*,*,#79736,.T.); +#79738=ORIENTED_EDGE('',*,*,#75166,.T.); +#79739=ORIENTED_EDGE('',*,*,#79723,.F.); +#79740=EDGE_LOOP('',(#79735,#79737,#79738,#79739)); +#79741=FACE_OUTER_BOUND('',#79740,.F.); +#79743=CARTESIAN_POINT('',(2.485799996758E1,2.648937463760E-2, +-4.099000013158E1)); +#79744=DIRECTION('',(7.286279541226E-1,6.849097053416E-1,0.E0)); +#79745=DIRECTION('',(-6.849097053416E-1,7.286279541226E-1,0.E0)); +#79746=AXIS2_PLACEMENT_3D('',#79743,#79744,#79745); +#79747=PLANE('',#79746); +#79748=ORIENTED_EDGE('',*,*,#72531,.F.); +#79750=ORIENTED_EDGE('',*,*,#79749,.T.); +#79751=ORIENTED_EDGE('',*,*,#75168,.T.); +#79752=ORIENTED_EDGE('',*,*,#79736,.F.); +#79753=EDGE_LOOP('',(#79748,#79750,#79751,#79752)); +#79754=FACE_OUTER_BOUND('',#79753,.F.); +#79756=CARTESIAN_POINT('',(2.4926E1,-1.181914591789E-1,-4.099000013158E1)); +#79757=DIRECTION('',(9.050236041485E-1,4.253613474848E-1,0.E0)); +#79758=DIRECTION('',(-4.253613474848E-1,9.050236041485E-1,0.E0)); +#79759=AXIS2_PLACEMENT_3D('',#79756,#79757,#79758); +#79760=PLANE('',#79759); +#79761=ORIENTED_EDGE('',*,*,#72529,.F.); +#79763=ORIENTED_EDGE('',*,*,#79762,.T.); +#79764=ORIENTED_EDGE('',*,*,#75170,.T.); +#79765=ORIENTED_EDGE('',*,*,#79749,.F.); +#79766=EDGE_LOOP('',(#79761,#79763,#79764,#79765)); +#79767=FACE_OUTER_BOUND('',#79766,.F.); +#79769=CARTESIAN_POINT('',(2.494300003242E1,-2.628722929955E-1, +-4.099000013158E1)); +#79770=DIRECTION('',(9.931675147166E-1,1.166974194728E-1,0.E0)); +#79771=DIRECTION('',(-1.166974194728E-1,9.931675147166E-1,0.E0)); +#79772=AXIS2_PLACEMENT_3D('',#79769,#79770,#79771); +#79773=PLANE('',#79772); +#79774=ORIENTED_EDGE('',*,*,#72527,.F.); +#79776=ORIENTED_EDGE('',*,*,#79775,.T.); +#79777=ORIENTED_EDGE('',*,*,#75172,.T.); +#79778=ORIENTED_EDGE('',*,*,#79762,.F.); +#79779=EDGE_LOOP('',(#79774,#79776,#79777,#79778)); +#79780=FACE_OUTER_BOUND('',#79779,.F.); +#79782=CARTESIAN_POINT('',(2.494300003242E1,-3.352128112316E-1, +-4.099000013158E1)); +#79783=DIRECTION('',(1.E0,0.E0,0.E0)); +#79784=DIRECTION('',(0.E0,1.E0,0.E0)); +#79785=AXIS2_PLACEMENT_3D('',#79782,#79783,#79784); +#79786=PLANE('',#79785); +#79787=ORIENTED_EDGE('',*,*,#72525,.F.); +#79789=ORIENTED_EDGE('',*,*,#79788,.T.); +#79790=ORIENTED_EDGE('',*,*,#75174,.T.); +#79791=ORIENTED_EDGE('',*,*,#79775,.F.); +#79792=EDGE_LOOP('',(#79787,#79789,#79790,#79791)); +#79793=FACE_OUTER_BOUND('',#79792,.F.); +#79795=CARTESIAN_POINT('',(2.4926E1,-4.798936450481E-1,-4.099000013158E1)); +#79796=DIRECTION('',(9.931675147166E-1,-1.166974194728E-1,0.E0)); +#79797=DIRECTION('',(1.166974194728E-1,9.931675147166E-1,0.E0)); +#79798=AXIS2_PLACEMENT_3D('',#79795,#79796,#79797); +#79799=PLANE('',#79798); +#79800=ORIENTED_EDGE('',*,*,#72523,.F.); +#79802=ORIENTED_EDGE('',*,*,#79801,.T.); +#79803=ORIENTED_EDGE('',*,*,#75176,.T.); +#79804=ORIENTED_EDGE('',*,*,#79788,.F.); +#79805=EDGE_LOOP('',(#79800,#79802,#79803,#79804)); +#79806=FACE_OUTER_BOUND('',#79805,.F.); +#79808=CARTESIAN_POINT('',(2.489200003242E1,-5.884042704105E-1, +-4.099000013158E1)); +#79809=DIRECTION('',(9.542533796690E-1,-2.989991427917E-1,0.E0)); +#79810=DIRECTION('',(2.989991427917E-1,9.542533796690E-1,0.E0)); +#79811=AXIS2_PLACEMENT_3D('',#79808,#79809,#79810); +#79812=PLANE('',#79811); +#79813=ORIENTED_EDGE('',*,*,#72521,.F.); +#79815=ORIENTED_EDGE('',*,*,#79814,.T.); +#79816=ORIENTED_EDGE('',*,*,#75178,.T.); +#79817=ORIENTED_EDGE('',*,*,#79801,.F.); +#79818=EDGE_LOOP('',(#79813,#79815,#79816,#79817)); +#79819=FACE_OUTER_BOUND('',#79818,.F.); +#79821=CARTESIAN_POINT('',(2.4824E1,-6.969148957729E-1,-4.099000013158E1)); +#79822=DIRECTION('',(8.473628246506E-1,-5.310143532901E-1,0.E0)); +#79823=DIRECTION('',(5.310143532901E-1,8.473628246506E-1,0.E0)); +#79824=AXIS2_PLACEMENT_3D('',#79821,#79822,#79823); +#79825=PLANE('',#79824); +#79826=ORIENTED_EDGE('',*,*,#72519,.F.); +#79828=ORIENTED_EDGE('',*,*,#79827,.T.); +#79829=ORIENTED_EDGE('',*,*,#75180,.T.); +#79830=ORIENTED_EDGE('',*,*,#79814,.F.); +#79831=EDGE_LOOP('',(#79826,#79828,#79829,#79830)); +#79832=FACE_OUTER_BOUND('',#79831,.F.); +#79834=CARTESIAN_POINT('',(2.490899996758E1,-7.692553126812E-1, +-4.099000013158E1)); +#79835=DIRECTION('',(6.481187281046E-1,7.615393058012E-1,0.E0)); +#79836=DIRECTION('',(-7.615393058012E-1,6.481187281046E-1,0.E0)); +#79837=AXIS2_PLACEMENT_3D('',#79834,#79835,#79836); +#79838=PLANE('',#79837); +#79839=ORIENTED_EDGE('',*,*,#72517,.F.); +#79841=ORIENTED_EDGE('',*,*,#79840,.T.); +#79842=ORIENTED_EDGE('',*,*,#75182,.T.); +#79843=ORIENTED_EDGE('',*,*,#79827,.F.); +#79844=EDGE_LOOP('',(#79839,#79841,#79842,#79843)); +#79845=FACE_OUTER_BOUND('',#79844,.F.); +#79847=CARTESIAN_POINT('',(2.494300003242E1,-8.777659380436E-1, +-4.099000013158E1)); +#79848=DIRECTION('',(9.542531355926E-1,2.989999217585E-1,0.E0)); +#79849=DIRECTION('',(-2.989999217585E-1,9.542531355926E-1,0.E0)); +#79850=AXIS2_PLACEMENT_3D('',#79847,#79848,#79849); +#79851=PLANE('',#79850); +#79852=ORIENTED_EDGE('',*,*,#72515,.F.); +#79854=ORIENTED_EDGE('',*,*,#79853,.T.); +#79855=ORIENTED_EDGE('',*,*,#75184,.T.); +#79856=ORIENTED_EDGE('',*,*,#79840,.F.); +#79857=EDGE_LOOP('',(#79852,#79854,#79855,#79856)); +#79858=FACE_OUTER_BOUND('',#79857,.F.); +#79860=CARTESIAN_POINT('',(2.495999996758E1,-1.022446822524E0, +-4.099000013158E1)); +#79861=DIRECTION('',(9.931675968445E-1,1.166967205116E-1,0.E0)); +#79862=DIRECTION('',(-1.166967205116E-1,9.931675968445E-1,0.E0)); +#79863=AXIS2_PLACEMENT_3D('',#79860,#79861,#79862); +#79864=PLANE('',#79863); +#79865=ORIENTED_EDGE('',*,*,#72513,.F.); +#79867=ORIENTED_EDGE('',*,*,#79866,.T.); +#79868=ORIENTED_EDGE('',*,*,#75186,.T.); +#79869=ORIENTED_EDGE('',*,*,#79853,.F.); +#79870=EDGE_LOOP('',(#79865,#79867,#79868,#79869)); +#79871=FACE_OUTER_BOUND('',#79870,.F.); +#79873=CARTESIAN_POINT('',(2.495999996758E1,-1.167127656341E0, +-4.099000013158E1)); +#79874=DIRECTION('',(1.E0,0.E0,0.E0)); +#79875=DIRECTION('',(0.E0,1.E0,0.E0)); +#79876=AXIS2_PLACEMENT_3D('',#79873,#79874,#79875); +#79877=PLANE('',#79876); +#79878=ORIENTED_EDGE('',*,*,#72511,.F.); +#79880=ORIENTED_EDGE('',*,*,#79879,.T.); +#79881=ORIENTED_EDGE('',*,*,#75188,.T.); +#79882=ORIENTED_EDGE('',*,*,#79866,.F.); +#79883=EDGE_LOOP('',(#79878,#79880,#79881,#79882)); +#79884=FACE_OUTER_BOUND('',#79883,.F.); +#79886=CARTESIAN_POINT('',(2.494300003242E1,-1.275638307035E0, +-4.099000013158E1)); +#79887=DIRECTION('',(9.879492582968E-1,-1.547781090170E-1,0.E0)); +#79888=DIRECTION('',(1.547781090170E-1,9.879492582968E-1,0.E0)); +#79889=AXIS2_PLACEMENT_3D('',#79886,#79887,#79888); +#79890=PLANE('',#79889); +#79891=ORIENTED_EDGE('',*,*,#72509,.F.); +#79892=ORIENTED_EDGE('',*,*,#79166,.T.); +#79893=ORIENTED_EDGE('',*,*,#75190,.T.); +#79894=ORIENTED_EDGE('',*,*,#79879,.F.); +#79895=EDGE_LOOP('',(#79891,#79892,#79893,#79894)); +#79896=FACE_OUTER_BOUND('',#79895,.F.); +#79898=CARTESIAN_POINT('',(4.946943749593E1,-6.457446694374E-1, +-4.099000013158E1)); +#79899=DIRECTION('',(8.597498331104E-1,-5.107154045714E-1,0.E0)); +#79900=DIRECTION('',(5.107154045714E-1,8.597498331104E-1,0.E0)); +#79901=AXIS2_PLACEMENT_3D('',#79898,#79899,#79900); +#79902=PLANE('',#79901); +#79903=ORIENTED_EDGE('',*,*,#72623,.F.); +#79905=ORIENTED_EDGE('',*,*,#79904,.T.); +#79906=ORIENTED_EDGE('',*,*,#75200,.T.); +#79908=ORIENTED_EDGE('',*,*,#79907,.F.); +#79909=EDGE_LOOP('',(#79903,#79905,#79906,#79908)); +#79910=FACE_OUTER_BOUND('',#79909,.F.); +#79912=CARTESIAN_POINT('',(4.935E1,-6.457446694374E-1,-4.099000013158E1)); +#79913=DIRECTION('',(0.E0,-1.E0,0.E0)); +#79914=DIRECTION('',(1.E0,0.E0,0.E0)); +#79915=AXIS2_PLACEMENT_3D('',#79912,#79913,#79914); +#79916=PLANE('',#79915); +#79917=ORIENTED_EDGE('',*,*,#72701,.F.); +#79919=ORIENTED_EDGE('',*,*,#79918,.T.); +#79920=ORIENTED_EDGE('',*,*,#75202,.T.); +#79921=ORIENTED_EDGE('',*,*,#79904,.F.); +#79922=EDGE_LOOP('',(#79917,#79919,#79920,#79921)); +#79923=FACE_OUTER_BOUND('',#79922,.F.); +#79925=CARTESIAN_POINT('',(4.935E1,3.5E-1,-4.099000013158E1)); +#79926=DIRECTION('',(-1.E0,0.E0,0.E0)); +#79927=DIRECTION('',(0.E0,-1.E0,0.E0)); +#79928=AXIS2_PLACEMENT_3D('',#79925,#79926,#79927); +#79929=PLANE('',#79928); +#79930=ORIENTED_EDGE('',*,*,#72699,.F.); +#79932=ORIENTED_EDGE('',*,*,#79931,.T.); +#79933=ORIENTED_EDGE('',*,*,#75204,.T.); +#79934=ORIENTED_EDGE('',*,*,#79918,.F.); +#79935=EDGE_LOOP('',(#79930,#79932,#79933,#79934)); +#79936=FACE_OUTER_BOUND('',#79935,.F.); +#79938=CARTESIAN_POINT('',(4.993012498373E1,3.5E-1,-4.099000013158E1)); +#79939=DIRECTION('',(0.E0,1.E0,0.E0)); +#79940=DIRECTION('',(-1.E0,0.E0,0.E0)); +#79941=AXIS2_PLACEMENT_3D('',#79938,#79939,#79940); +#79942=PLANE('',#79941); +#79943=ORIENTED_EDGE('',*,*,#72697,.F.); +#79945=ORIENTED_EDGE('',*,*,#79944,.T.); +#79946=ORIENTED_EDGE('',*,*,#75206,.T.); +#79947=ORIENTED_EDGE('',*,*,#79931,.F.); +#79948=EDGE_LOOP('',(#79943,#79945,#79946,#79947)); +#79949=FACE_OUTER_BOUND('',#79948,.F.); +#79951=CARTESIAN_POINT('',(4.993012498373E1,1.585106611252E-1, +-4.099000013158E1)); +#79952=DIRECTION('',(1.E0,0.E0,0.E0)); +#79953=DIRECTION('',(0.E0,1.E0,0.E0)); +#79954=AXIS2_PLACEMENT_3D('',#79951,#79952,#79953); +#79955=PLANE('',#79954); +#79956=ORIENTED_EDGE('',*,*,#72695,.F.); +#79958=ORIENTED_EDGE('',*,*,#79957,.T.); +#79959=ORIENTED_EDGE('',*,*,#75208,.T.); +#79960=ORIENTED_EDGE('',*,*,#79944,.F.); +#79961=EDGE_LOOP('',(#79956,#79958,#79959,#79960)); +#79962=FACE_OUTER_BOUND('',#79961,.F.); +#79964=CARTESIAN_POINT('',(4.943531249797E1,1.585106611252E-1, +-4.099000013158E1)); +#79965=DIRECTION('',(0.E0,-1.E0,0.E0)); +#79966=DIRECTION('',(1.E0,0.E0,0.E0)); +#79967=AXIS2_PLACEMENT_3D('',#79964,#79965,#79966); +#79968=PLANE('',#79967); +#79969=ORIENTED_EDGE('',*,*,#72693,.F.); +#79971=ORIENTED_EDGE('',*,*,#79970,.T.); +#79972=ORIENTED_EDGE('',*,*,#75210,.T.); +#79973=ORIENTED_EDGE('',*,*,#79957,.F.); +#79974=EDGE_LOOP('',(#79969,#79971,#79972,#79973)); +#79975=FACE_OUTER_BOUND('',#79974,.F.); +#79977=CARTESIAN_POINT('',(4.943531249797E1,-4.542553305626E-1, +-4.099000013158E1)); +#79978=DIRECTION('',(1.E0,0.E0,0.E0)); +#79979=DIRECTION('',(0.E0,1.E0,0.E0)); +#79980=AXIS2_PLACEMENT_3D('',#79977,#79978,#79979); +#79981=PLANE('',#79980); +#79982=ORIENTED_EDGE('',*,*,#72691,.F.); +#79984=ORIENTED_EDGE('',*,*,#79983,.T.); +#79985=ORIENTED_EDGE('',*,*,#75212,.T.); +#79986=ORIENTED_EDGE('',*,*,#79970,.F.); +#79987=EDGE_LOOP('',(#79982,#79984,#79985,#79986)); +#79988=FACE_OUTER_BOUND('',#79987,.F.); +#79990=CARTESIAN_POINT('',(4.952062499593E1,-3.393617272377E-1, +-4.099000013158E1)); +#79991=DIRECTION('',(-8.028677190482E-1,5.961572155986E-1,0.E0)); +#79992=DIRECTION('',(-5.961572155986E-1,-8.028677190482E-1,0.E0)); +#79993=AXIS2_PLACEMENT_3D('',#79990,#79991,#79992); +#79994=PLANE('',#79993); +#79995=ORIENTED_EDGE('',*,*,#72689,.F.); +#79997=ORIENTED_EDGE('',*,*,#79996,.T.); +#79998=ORIENTED_EDGE('',*,*,#75214,.T.); +#79999=ORIENTED_EDGE('',*,*,#79983,.F.); +#80000=EDGE_LOOP('',(#79995,#79997,#79998,#79999)); +#80001=FACE_OUTER_BOUND('',#80000,.F.); +#80003=CARTESIAN_POINT('',(4.96059375E1,-3.010638594627E-1,-4.099000013158E1)); +#80004=DIRECTION('',(-4.095396260376E-1,9.122923296318E-1,0.E0)); +#80005=DIRECTION('',(-9.122923296318E-1,-4.095396260376E-1,0.E0)); +#80006=AXIS2_PLACEMENT_3D('',#80003,#80004,#80005); +#80007=PLANE('',#80006); +#80008=ORIENTED_EDGE('',*,*,#72687,.F.); +#80010=ORIENTED_EDGE('',*,*,#80009,.T.); +#80011=ORIENTED_EDGE('',*,*,#75216,.T.); +#80012=ORIENTED_EDGE('',*,*,#79996,.F.); +#80013=EDGE_LOOP('',(#80008,#80010,#80011,#80012)); +#80014=FACE_OUTER_BOUND('',#80013,.F.); +#80016=CARTESIAN_POINT('',(4.97083125E1,-3.010638594627E-1,-4.099000013158E1)); +#80017=DIRECTION('',(0.E0,1.E0,0.E0)); +#80018=DIRECTION('',(-1.E0,0.E0,0.E0)); +#80019=AXIS2_PLACEMENT_3D('',#80016,#80017,#80018); +#80020=PLANE('',#80019); +#80021=ORIENTED_EDGE('',*,*,#72685,.F.); +#80023=ORIENTED_EDGE('',*,*,#80022,.T.); +#80024=ORIENTED_EDGE('',*,*,#75218,.T.); +#80025=ORIENTED_EDGE('',*,*,#80009,.F.); +#80026=EDGE_LOOP('',(#80021,#80023,#80024,#80025)); +#80027=FACE_OUTER_BOUND('',#80026,.F.); +#80029=CARTESIAN_POINT('',(4.979362501627E1,-3.393617272377E-1, +-4.099000013158E1)); +#80030=DIRECTION('',(4.095395772786E-1,9.122923515203E-1,0.E0)); +#80031=DIRECTION('',(-9.122923515203E-1,4.095395772786E-1,0.E0)); +#80032=AXIS2_PLACEMENT_3D('',#80029,#80030,#80031); +#80033=PLANE('',#80032); +#80034=ORIENTED_EDGE('',*,*,#72683,.F.); +#80036=ORIENTED_EDGE('',*,*,#80035,.T.); +#80037=ORIENTED_EDGE('',*,*,#75220,.T.); +#80038=ORIENTED_EDGE('',*,*,#80022,.F.); +#80039=EDGE_LOOP('',(#80034,#80036,#80037,#80038)); +#80040=FACE_OUTER_BOUND('',#80039,.F.); +#80042=CARTESIAN_POINT('',(4.987893748373E1,-4.542553305626E-1, +-4.099000013158E1)); +#80043=DIRECTION('',(8.028678210944E-1,5.961570781691E-1,0.E0)); +#80044=DIRECTION('',(-5.961570781691E-1,8.028678210944E-1,0.E0)); +#80045=AXIS2_PLACEMENT_3D('',#80042,#80043,#80044); +#80046=PLANE('',#80045); +#80047=ORIENTED_EDGE('',*,*,#72681,.F.); +#80049=ORIENTED_EDGE('',*,*,#80048,.T.); +#80050=ORIENTED_EDGE('',*,*,#75222,.T.); +#80051=ORIENTED_EDGE('',*,*,#80035,.F.); +#80052=EDGE_LOOP('',(#80047,#80049,#80050,#80051)); +#80053=FACE_OUTER_BOUND('',#80052,.F.); +#80055=CARTESIAN_POINT('',(4.993012498373E1,-6.074468016624E-1, +-4.099000013158E1)); +#80056=DIRECTION('',(9.484533328701E-1,3.169168272080E-1,0.E0)); +#80057=DIRECTION('',(-3.169168272080E-1,9.484533328701E-1,0.E0)); +#80058=AXIS2_PLACEMENT_3D('',#80055,#80056,#80057); +#80059=PLANE('',#80058); +#80060=ORIENTED_EDGE('',*,*,#72679,.F.); +#80062=ORIENTED_EDGE('',*,*,#80061,.T.); +#80063=ORIENTED_EDGE('',*,*,#75224,.T.); +#80064=ORIENTED_EDGE('',*,*,#80048,.F.); +#80065=EDGE_LOOP('',(#80060,#80062,#80063,#80064)); +#80066=FACE_OUTER_BOUND('',#80065,.F.); +#80068=CARTESIAN_POINT('',(4.996425E1,-7.989361941814E-1,-4.099000013158E1)); +#80069=DIRECTION('',(9.844893651528E-1,1.754442643719E-1,0.E0)); +#80070=DIRECTION('',(-1.754442643719E-1,9.844893651528E-1,0.E0)); +#80071=AXIS2_PLACEMENT_3D('',#80068,#80069,#80070); +#80072=PLANE('',#80071); +#80073=ORIENTED_EDGE('',*,*,#72677,.F.); +#80075=ORIENTED_EDGE('',*,*,#80074,.T.); +#80076=ORIENTED_EDGE('',*,*,#75226,.T.); +#80077=ORIENTED_EDGE('',*,*,#80061,.F.); +#80078=EDGE_LOOP('',(#80073,#80075,#80076,#80077)); +#80079=FACE_OUTER_BOUND('',#80078,.F.); +#80081=CARTESIAN_POINT('',(4.996425E1,-9.521276652813E-1,-4.099000013158E1)); +#80082=DIRECTION('',(1.E0,0.E0,0.E0)); +#80083=DIRECTION('',(0.E0,1.E0,0.E0)); +#80084=AXIS2_PLACEMENT_3D('',#80081,#80082,#80083); +#80085=PLANE('',#80084); +#80086=ORIENTED_EDGE('',*,*,#72675,.F.); +#80088=ORIENTED_EDGE('',*,*,#80087,.T.); +#80089=ORIENTED_EDGE('',*,*,#75228,.T.); +#80090=ORIENTED_EDGE('',*,*,#80074,.F.); +#80091=EDGE_LOOP('',(#80086,#80088,#80089,#80090)); +#80092=FACE_OUTER_BOUND('',#80091,.F.); +#80094=CARTESIAN_POINT('',(4.993012498373E1,-1.143617030978E0, +-4.099000013158E1)); +#80095=DIRECTION('',(9.844893609082E-1,-1.754442881901E-1,0.E0)); +#80096=DIRECTION('',(1.754442881901E-1,9.844893609082E-1,0.E0)); +#80097=AXIS2_PLACEMENT_3D('',#80094,#80095,#80096); +#80098=PLANE('',#80097); +#80099=ORIENTED_EDGE('',*,*,#72673,.F.); +#80101=ORIENTED_EDGE('',*,*,#80100,.T.); +#80102=ORIENTED_EDGE('',*,*,#75230,.T.); +#80103=ORIENTED_EDGE('',*,*,#80087,.F.); +#80104=EDGE_LOOP('',(#80099,#80101,#80102,#80103)); +#80105=FACE_OUTER_BOUND('',#80104,.F.); +#80107=CARTESIAN_POINT('',(4.987893748373E1,-1.296808515489E0, +-4.099000013158E1)); +#80108=DIRECTION('',(9.484533412095E-1,-3.169168022503E-1,0.E0)); +#80109=DIRECTION('',(3.169168022503E-1,9.484533412095E-1,0.E0)); +#80110=AXIS2_PLACEMENT_3D('',#80107,#80108,#80109); +#80111=PLANE('',#80110); +#80112=ORIENTED_EDGE('',*,*,#72671,.F.); +#80114=ORIENTED_EDGE('',*,*,#80113,.T.); +#80115=ORIENTED_EDGE('',*,*,#75232,.T.); +#80116=ORIENTED_EDGE('',*,*,#80100,.F.); +#80117=EDGE_LOOP('',(#80112,#80114,#80115,#80116)); +#80118=FACE_OUTER_BOUND('',#80117,.F.); +#80120=CARTESIAN_POINT('',(4.979362501627E1,-1.411702128872E0, +-4.099000013158E1)); +#80121=DIRECTION('',(8.028678460745E-1,-5.961570445274E-1,0.E0)); +#80122=DIRECTION('',(5.961570445274E-1,8.028678460745E-1,0.E0)); +#80123=AXIS2_PLACEMENT_3D('',#80120,#80121,#80122); +#80124=PLANE('',#80123); +#80125=ORIENTED_EDGE('',*,*,#72669,.F.); +#80127=ORIENTED_EDGE('',*,*,#80126,.T.); +#80128=ORIENTED_EDGE('',*,*,#75234,.T.); +#80129=ORIENTED_EDGE('',*,*,#80113,.F.); +#80130=EDGE_LOOP('',(#80125,#80127,#80128,#80129)); +#80131=FACE_OUTER_BOUND('',#80130,.F.); +#80133=CARTESIAN_POINT('',(4.97083125E1,-1.45E0,-4.099000013158E1)); +#80134=DIRECTION('',(4.095396071181E-1,-9.122923381250E-1,0.E0)); +#80135=DIRECTION('',(9.122923381250E-1,4.095396071181E-1,0.E0)); +#80136=AXIS2_PLACEMENT_3D('',#80133,#80134,#80135); +#80137=PLANE('',#80136); +#80138=ORIENTED_EDGE('',*,*,#72667,.F.); +#80140=ORIENTED_EDGE('',*,*,#80139,.T.); +#80141=ORIENTED_EDGE('',*,*,#75236,.T.); +#80142=ORIENTED_EDGE('',*,*,#80126,.F.); +#80143=EDGE_LOOP('',(#80138,#80140,#80141,#80142)); +#80144=FACE_OUTER_BOUND('',#80143,.F.); +#80146=CARTESIAN_POINT('',(4.96059375E1,-1.45E0,-4.099000013158E1)); +#80147=DIRECTION('',(0.E0,-1.E0,0.E0)); +#80148=DIRECTION('',(1.E0,0.E0,0.E0)); +#80149=AXIS2_PLACEMENT_3D('',#80146,#80147,#80148); +#80150=PLANE('',#80149); +#80151=ORIENTED_EDGE('',*,*,#72665,.F.); +#80153=ORIENTED_EDGE('',*,*,#80152,.T.); +#80154=ORIENTED_EDGE('',*,*,#75238,.T.); +#80155=ORIENTED_EDGE('',*,*,#80139,.F.); +#80156=EDGE_LOOP('',(#80151,#80153,#80154,#80155)); +#80157=FACE_OUTER_BOUND('',#80156,.F.); +#80159=CARTESIAN_POINT('',(4.952062499593E1,-1.411702128872E0, +-4.099000013158E1)); +#80160=DIRECTION('',(-4.095396558772E-1,-9.122923162364E-1,0.E0)); +#80161=DIRECTION('',(9.122923162364E-1,-4.095396558772E-1,0.E0)); +#80162=AXIS2_PLACEMENT_3D('',#80159,#80160,#80161); +#80163=PLANE('',#80162); +#80164=ORIENTED_EDGE('',*,*,#72663,.F.); +#80166=ORIENTED_EDGE('',*,*,#80165,.T.); +#80167=ORIENTED_EDGE('',*,*,#75240,.T.); +#80168=ORIENTED_EDGE('',*,*,#80152,.F.); +#80169=EDGE_LOOP('',(#80164,#80166,#80167,#80168)); +#80170=FACE_OUTER_BOUND('',#80169,.F.); +#80172=CARTESIAN_POINT('',(4.943531249797E1,-1.296808515489E0, +-4.099000013158E1)); +#80173=DIRECTION('',(-8.028677440283E-1,-5.961571819570E-1,0.E0)); +#80174=DIRECTION('',(5.961571819570E-1,-8.028677440283E-1,0.E0)); +#80175=AXIS2_PLACEMENT_3D('',#80172,#80173,#80174); +#80176=PLANE('',#80175); +#80177=ORIENTED_EDGE('',*,*,#72661,.F.); +#80179=ORIENTED_EDGE('',*,*,#80178,.T.); +#80180=ORIENTED_EDGE('',*,*,#75242,.T.); +#80181=ORIENTED_EDGE('',*,*,#80165,.F.); +#80182=EDGE_LOOP('',(#80177,#80179,#80180,#80181)); +#80183=FACE_OUTER_BOUND('',#80182,.F.); +#80185=CARTESIAN_POINT('',(4.938412500102E1,-1.143617030978E0, +-4.099000013158E1)); +#80186=DIRECTION('',(-9.484533468874E-1,-3.169167852578E-1,0.E0)); +#80187=DIRECTION('',(3.169167852578E-1,-9.484533468874E-1,0.E0)); +#80188=AXIS2_PLACEMENT_3D('',#80185,#80186,#80187); +#80189=PLANE('',#80188); +#80190=ORIENTED_EDGE('',*,*,#72659,.F.); +#80192=ORIENTED_EDGE('',*,*,#80191,.T.); +#80193=ORIENTED_EDGE('',*,*,#75244,.T.); +#80194=ORIENTED_EDGE('',*,*,#80178,.F.); +#80195=EDGE_LOOP('',(#80190,#80192,#80193,#80194)); +#80196=FACE_OUTER_BOUND('',#80195,.F.); +#80198=CARTESIAN_POINT('',(4.935E1,-9.904255330563E-1,-4.099000013158E1)); +#80199=DIRECTION('',(-9.760756891114E-1,-2.174310215347E-1,0.E0)); +#80200=DIRECTION('',(2.174310215347E-1,-9.760756891114E-1,0.E0)); +#80201=AXIS2_PLACEMENT_3D('',#80198,#80199,#80200); +#80202=PLANE('',#80201); +#80203=ORIENTED_EDGE('',*,*,#72657,.F.); +#80205=ORIENTED_EDGE('',*,*,#80204,.T.); +#80206=ORIENTED_EDGE('',*,*,#75246,.T.); +#80207=ORIENTED_EDGE('',*,*,#80191,.F.); +#80208=EDGE_LOOP('',(#80203,#80205,#80206,#80207)); +#80209=FACE_OUTER_BOUND('',#80208,.F.); +#80211=CARTESIAN_POINT('',(4.9452375E1,-9.904255330563E-1,-4.099000013158E1)); +#80212=DIRECTION('',(0.E0,1.E0,0.E0)); +#80213=DIRECTION('',(-1.E0,0.E0,0.E0)); +#80214=AXIS2_PLACEMENT_3D('',#80211,#80212,#80213); +#80215=PLANE('',#80214); +#80216=ORIENTED_EDGE('',*,*,#72655,.F.); +#80218=ORIENTED_EDGE('',*,*,#80217,.T.); +#80219=ORIENTED_EDGE('',*,*,#75248,.T.); +#80220=ORIENTED_EDGE('',*,*,#80204,.F.); +#80221=EDGE_LOOP('',(#80216,#80218,#80219,#80220)); +#80222=FACE_OUTER_BOUND('',#80221,.F.); +#80224=CARTESIAN_POINT('',(4.948650000407E1,-1.143617030978E0, +-4.099000013158E1)); +#80225=DIRECTION('',(9.760756849857E-1,2.174310400555E-1,0.E0)); +#80226=DIRECTION('',(-2.174310400555E-1,9.760756849857E-1,0.E0)); +#80227=AXIS2_PLACEMENT_3D('',#80224,#80225,#80226); +#80228=PLANE('',#80227); +#80229=ORIENTED_EDGE('',*,*,#72653,.F.); +#80231=ORIENTED_EDGE('',*,*,#80230,.T.); +#80232=ORIENTED_EDGE('',*,*,#75250,.T.); +#80233=ORIENTED_EDGE('',*,*,#80217,.F.); +#80234=EDGE_LOOP('',(#80229,#80231,#80232,#80233)); +#80235=FACE_OUTER_BOUND('',#80234,.F.); +#80237=CARTESIAN_POINT('',(4.953768750407E1,-1.220212766528E0, +-4.099000013158E1)); +#80238=DIRECTION('',(8.314301693208E-1,5.556292590776E-1,0.E0)); +#80239=DIRECTION('',(-5.556292590776E-1,8.314301693208E-1,0.E0)); +#80240=AXIS2_PLACEMENT_3D('',#80237,#80238,#80239); +#80241=PLANE('',#80240); +#80242=ORIENTED_EDGE('',*,*,#72651,.F.); +#80244=ORIENTED_EDGE('',*,*,#80243,.T.); +#80245=ORIENTED_EDGE('',*,*,#75252,.T.); +#80246=ORIENTED_EDGE('',*,*,#80230,.F.); +#80247=EDGE_LOOP('',(#80242,#80244,#80245,#80246)); +#80248=FACE_OUTER_BOUND('',#80247,.F.); +#80250=CARTESIAN_POINT('',(4.96059375E1,-1.258510634303E0,-4.099000013158E1)); +#80251=DIRECTION('',(4.893606484254E-1,8.720815075282E-1,0.E0)); +#80252=DIRECTION('',(-8.720815075282E-1,4.893606484254E-1,0.E0)); +#80253=AXIS2_PLACEMENT_3D('',#80250,#80251,#80252); +#80254=PLANE('',#80253); +#80255=ORIENTED_EDGE('',*,*,#72649,.F.); +#80257=ORIENTED_EDGE('',*,*,#80256,.T.); +#80258=ORIENTED_EDGE('',*,*,#75254,.T.); +#80259=ORIENTED_EDGE('',*,*,#80243,.F.); +#80260=EDGE_LOOP('',(#80255,#80257,#80258,#80259)); +#80261=FACE_OUTER_BOUND('',#80260,.F.); +#80263=CARTESIAN_POINT('',(4.97083125E1,-1.258510634303E0,-4.099000013158E1)); +#80264=DIRECTION('',(0.E0,1.E0,0.E0)); +#80265=DIRECTION('',(-1.E0,0.E0,0.E0)); +#80266=AXIS2_PLACEMENT_3D('',#80263,#80264,#80265); +#80267=PLANE('',#80266); +#80268=ORIENTED_EDGE('',*,*,#72647,.F.); +#80270=ORIENTED_EDGE('',*,*,#80269,.T.); +#80271=ORIENTED_EDGE('',*,*,#75256,.T.); +#80272=ORIENTED_EDGE('',*,*,#80256,.F.); +#80273=EDGE_LOOP('',(#80268,#80270,#80271,#80272)); +#80274=FACE_OUTER_BOUND('',#80273,.F.); +#80276=CARTESIAN_POINT('',(4.977656248373E1,-1.220212766528E0, +-4.099000013158E1)); +#80277=DIRECTION('',(-4.893607149748E-1,8.720814701846E-1,0.E0)); +#80278=DIRECTION('',(-8.720814701846E-1,-4.893607149748E-1,0.E0)); +#80279=AXIS2_PLACEMENT_3D('',#80276,#80277,#80278); +#80280=PLANE('',#80279); +#80281=ORIENTED_EDGE('',*,*,#72645,.F.); +#80283=ORIENTED_EDGE('',*,*,#80282,.T.); +#80284=ORIENTED_EDGE('',*,*,#75258,.T.); +#80285=ORIENTED_EDGE('',*,*,#80269,.F.); +#80286=EDGE_LOOP('',(#80281,#80283,#80284,#80285)); +#80287=FACE_OUTER_BOUND('',#80286,.F.); +#80289=CARTESIAN_POINT('',(4.982774998373E1,-1.143617030978E0, +-4.099000013158E1)); +#80290=DIRECTION('',(-8.314301693208E-1,5.556292590776E-1,0.E0)); +#80291=DIRECTION('',(-5.556292590776E-1,-8.314301693208E-1,0.E0)); +#80292=AXIS2_PLACEMENT_3D('',#80289,#80290,#80291); +#80293=PLANE('',#80292); +#80294=ORIENTED_EDGE('',*,*,#72643,.F.); +#80296=ORIENTED_EDGE('',*,*,#80295,.T.); +#80297=ORIENTED_EDGE('',*,*,#75260,.T.); +#80298=ORIENTED_EDGE('',*,*,#80282,.F.); +#80299=EDGE_LOOP('',(#80294,#80296,#80297,#80298)); +#80300=FACE_OUTER_BOUND('',#80299,.F.); +#80302=CARTESIAN_POINT('',(4.9861875E1,-1.028723400831E0,-4.099000013158E1)); +#80303=DIRECTION('',(-9.586105057088E-1,2.847207374686E-1,0.E0)); +#80304=DIRECTION('',(-2.847207374686E-1,-9.586105057088E-1,0.E0)); +#80305=AXIS2_PLACEMENT_3D('',#80302,#80303,#80304); +#80306=PLANE('',#80305); +#80307=ORIENTED_EDGE('',*,*,#72641,.F.); +#80309=ORIENTED_EDGE('',*,*,#80308,.T.); +#80310=ORIENTED_EDGE('',*,*,#75262,.T.); +#80311=ORIENTED_EDGE('',*,*,#80295,.F.); +#80312=EDGE_LOOP('',(#80307,#80309,#80310,#80311)); +#80313=FACE_OUTER_BOUND('',#80312,.F.); +#80315=CARTESIAN_POINT('',(4.987893748373E1,-9.138297975063E-1, +-4.099000013158E1)); +#80316=DIRECTION('',(-9.891519700257E-1,1.468958140801E-1,0.E0)); +#80317=DIRECTION('',(-1.468958140801E-1,-9.891519700257E-1,0.E0)); +#80318=AXIS2_PLACEMENT_3D('',#80315,#80316,#80317); +#80319=PLANE('',#80318); +#80320=ORIENTED_EDGE('',*,*,#72639,.F.); +#80322=ORIENTED_EDGE('',*,*,#80321,.T.); +#80323=ORIENTED_EDGE('',*,*,#75264,.T.); +#80324=ORIENTED_EDGE('',*,*,#80308,.F.); +#80325=EDGE_LOOP('',(#80320,#80322,#80323,#80324)); +#80326=FACE_OUTER_BOUND('',#80325,.F.); +#80328=CARTESIAN_POINT('',(4.987893748373E1,-8.372340619564E-1, +-4.099000013158E1)); +#80329=DIRECTION('',(-1.E0,0.E0,0.E0)); +#80330=DIRECTION('',(0.E0,-1.E0,0.E0)); +#80331=AXIS2_PLACEMENT_3D('',#80328,#80329,#80330); +#80332=PLANE('',#80331); +#80333=ORIENTED_EDGE('',*,*,#72637,.F.); +#80335=ORIENTED_EDGE('',*,*,#80334,.T.); +#80336=ORIENTED_EDGE('',*,*,#75266,.T.); +#80337=ORIENTED_EDGE('',*,*,#80321,.F.); +#80338=EDGE_LOOP('',(#80333,#80335,#80336,#80337)); +#80339=FACE_OUTER_BOUND('',#80338,.F.); +#80341=CARTESIAN_POINT('',(4.9861875E1,-7.223404049873E-1,-4.099000013158E1)); +#80342=DIRECTION('',(-9.891519799914E-1,-1.468957469740E-1,0.E0)); +#80343=DIRECTION('',(1.468957469740E-1,-9.891519799914E-1,0.E0)); +#80344=AXIS2_PLACEMENT_3D('',#80341,#80342,#80343); +#80345=PLANE('',#80344); +#80346=ORIENTED_EDGE('',*,*,#72635,.F.); +#80348=ORIENTED_EDGE('',*,*,#80347,.T.); +#80349=ORIENTED_EDGE('',*,*,#75268,.T.); +#80350=ORIENTED_EDGE('',*,*,#80334,.F.); +#80351=EDGE_LOOP('',(#80346,#80348,#80349,#80350)); +#80352=FACE_OUTER_BOUND('',#80351,.F.); +#80354=CARTESIAN_POINT('',(4.982774998373E1,-6.074468016624E-1, +-4.099000013158E1)); +#80355=DIRECTION('',(-9.586104875672E-1,-2.847207985488E-1,0.E0)); +#80356=DIRECTION('',(2.847207985488E-1,-9.586104875672E-1,0.E0)); +#80357=AXIS2_PLACEMENT_3D('',#80354,#80355,#80356); +#80358=PLANE('',#80357); +#80359=ORIENTED_EDGE('',*,*,#72633,.F.); +#80361=ORIENTED_EDGE('',*,*,#80360,.T.); +#80362=ORIENTED_EDGE('',*,*,#75270,.T.); +#80363=ORIENTED_EDGE('',*,*,#80347,.F.); +#80364=EDGE_LOOP('',(#80359,#80361,#80362,#80363)); +#80365=FACE_OUTER_BOUND('',#80364,.F.); +#80367=CARTESIAN_POINT('',(4.977656248373E1,-5.308510661125E-1, +-4.099000013158E1)); +#80368=DIRECTION('',(-8.314301693208E-1,-5.556292590776E-1,0.E0)); +#80369=DIRECTION('',(5.556292590776E-1,-8.314301693208E-1,0.E0)); +#80370=AXIS2_PLACEMENT_3D('',#80367,#80368,#80369); +#80371=PLANE('',#80370); +#80372=ORIENTED_EDGE('',*,*,#72631,.F.); +#80374=ORIENTED_EDGE('',*,*,#80373,.T.); +#80375=ORIENTED_EDGE('',*,*,#75272,.T.); +#80376=ORIENTED_EDGE('',*,*,#80360,.F.); +#80377=EDGE_LOOP('',(#80372,#80374,#80375,#80376)); +#80378=FACE_OUTER_BOUND('',#80377,.F.); +#80380=CARTESIAN_POINT('',(4.97083125E1,-4.925531983376E-1,-4.099000013158E1)); +#80381=DIRECTION('',(-4.893607149748E-1,-8.720814701846E-1,0.E0)); +#80382=DIRECTION('',(8.720814701846E-1,-4.893607149748E-1,0.E0)); +#80383=AXIS2_PLACEMENT_3D('',#80380,#80381,#80382); +#80384=PLANE('',#80383); +#80385=ORIENTED_EDGE('',*,*,#72629,.F.); +#80387=ORIENTED_EDGE('',*,*,#80386,.T.); +#80388=ORIENTED_EDGE('',*,*,#75274,.T.); +#80389=ORIENTED_EDGE('',*,*,#80373,.F.); +#80390=EDGE_LOOP('',(#80385,#80387,#80388,#80389)); +#80391=FACE_OUTER_BOUND('',#80390,.F.); +#80393=CARTESIAN_POINT('',(4.96059375E1,-4.925531983376E-1,-4.099000013158E1)); +#80394=DIRECTION('',(0.E0,-1.E0,0.E0)); +#80395=DIRECTION('',(1.E0,0.E0,0.E0)); +#80396=AXIS2_PLACEMENT_3D('',#80393,#80394,#80395); +#80397=PLANE('',#80396); +#80398=ORIENTED_EDGE('',*,*,#72627,.F.); +#80400=ORIENTED_EDGE('',*,*,#80399,.T.); +#80401=ORIENTED_EDGE('',*,*,#75276,.T.); +#80402=ORIENTED_EDGE('',*,*,#80386,.F.); +#80403=EDGE_LOOP('',(#80398,#80400,#80401,#80402)); +#80404=FACE_OUTER_BOUND('',#80403,.F.); +#80406=CARTESIAN_POINT('',(4.953768750407E1,-5.308510661125E-1, +-4.099000013158E1)); +#80407=DIRECTION('',(4.893606484254E-1,-8.720815075282E-1,0.E0)); +#80408=DIRECTION('',(8.720815075282E-1,4.893606484254E-1,0.E0)); +#80409=AXIS2_PLACEMENT_3D('',#80406,#80407,#80408); +#80410=PLANE('',#80409); +#80411=ORIENTED_EDGE('',*,*,#72625,.F.); +#80412=ORIENTED_EDGE('',*,*,#79907,.T.); +#80413=ORIENTED_EDGE('',*,*,#75278,.T.); +#80414=ORIENTED_EDGE('',*,*,#80399,.F.); +#80415=EDGE_LOOP('',(#80411,#80412,#80413,#80414)); +#80416=FACE_OUTER_BOUND('',#80415,.F.); +#80418=CARTESIAN_POINT('',(5.013487498373E1,-1.028723400831E0, +-4.099000013158E1)); +#80419=DIRECTION('',(-9.891519139419E-1,-1.468961917309E-1,0.E0)); +#80420=DIRECTION('',(1.468961917309E-1,-9.891519139419E-1,0.E0)); +#80421=AXIS2_PLACEMENT_3D('',#80418,#80419,#80420); +#80422=PLANE('',#80421); +#80423=ORIENTED_EDGE('',*,*,#72705,.F.); +#80425=ORIENTED_EDGE('',*,*,#80424,.T.); +#80426=ORIENTED_EDGE('',*,*,#75288,.T.); +#80428=ORIENTED_EDGE('',*,*,#80427,.F.); +#80429=EDGE_LOOP('',(#80423,#80425,#80426,#80428)); +#80430=FACE_OUTER_BOUND('',#80429,.F.); +#80432=CARTESIAN_POINT('',(5.02201875E1,-1.028723400831E0,-4.099000013158E1)); +#80433=DIRECTION('',(0.E0,1.E0,0.E0)); +#80434=DIRECTION('',(-1.E0,0.E0,0.E0)); +#80435=AXIS2_PLACEMENT_3D('',#80432,#80433,#80434); +#80436=PLANE('',#80435); +#80437=ORIENTED_EDGE('',*,*,#72783,.F.); +#80439=ORIENTED_EDGE('',*,*,#80438,.T.); +#80440=ORIENTED_EDGE('',*,*,#75290,.T.); +#80441=ORIENTED_EDGE('',*,*,#80424,.F.); +#80442=EDGE_LOOP('',(#80437,#80439,#80440,#80441)); +#80443=FACE_OUTER_BOUND('',#80442,.F.); +#80445=CARTESIAN_POINT('',(5.025431246746E1,-1.143617030978E0, +-4.099000013158E1)); +#80446=DIRECTION('',(9.586106168747E-1,2.847203631903E-1,0.E0)); +#80447=DIRECTION('',(-2.847203631903E-1,9.586106168747E-1,0.E0)); +#80448=AXIS2_PLACEMENT_3D('',#80445,#80446,#80447); +#80449=PLANE('',#80448); +#80450=ORIENTED_EDGE('',*,*,#72781,.F.); +#80452=ORIENTED_EDGE('',*,*,#80451,.T.); +#80453=ORIENTED_EDGE('',*,*,#75292,.T.); +#80454=ORIENTED_EDGE('',*,*,#80438,.F.); +#80455=EDGE_LOOP('',(#80450,#80452,#80453,#80454)); +#80456=FACE_OUTER_BOUND('',#80455,.F.); +#80458=CARTESIAN_POINT('',(5.030549996746E1,-1.220212766528E0, +-4.099000013158E1)); +#80459=DIRECTION('',(8.314301693208E-1,5.556292590776E-1,0.E0)); +#80460=DIRECTION('',(-5.556292590776E-1,8.314301693208E-1,0.E0)); +#80461=AXIS2_PLACEMENT_3D('',#80458,#80459,#80460); +#80462=PLANE('',#80461); +#80463=ORIENTED_EDGE('',*,*,#72779,.F.); +#80465=ORIENTED_EDGE('',*,*,#80464,.T.); +#80466=ORIENTED_EDGE('',*,*,#75294,.T.); +#80467=ORIENTED_EDGE('',*,*,#80451,.F.); +#80468=EDGE_LOOP('',(#80463,#80465,#80466,#80467)); +#80469=FACE_OUTER_BOUND('',#80468,.F.); +#80471=CARTESIAN_POINT('',(5.035668746746E1,-1.258510634303E0, +-4.099000013158E1)); +#80472=DIRECTION('',(5.990709899420E-1,8.006959154447E-1,0.E0)); +#80473=DIRECTION('',(-8.006959154447E-1,5.990709899420E-1,0.E0)); +#80474=AXIS2_PLACEMENT_3D('',#80471,#80472,#80473); +#80475=PLANE('',#80474); +#80476=ORIENTED_EDGE('',*,*,#72777,.F.); +#80478=ORIENTED_EDGE('',*,*,#80477,.T.); +#80479=ORIENTED_EDGE('',*,*,#75296,.T.); +#80480=ORIENTED_EDGE('',*,*,#80464,.F.); +#80481=EDGE_LOOP('',(#80476,#80478,#80479,#80480)); +#80482=FACE_OUTER_BOUND('',#80481,.F.); +#80484=CARTESIAN_POINT('',(5.045906246746E1,-1.258510634303E0, +-4.099000013158E1)); +#80485=DIRECTION('',(0.E0,1.E0,0.E0)); +#80486=DIRECTION('',(-1.E0,0.E0,0.E0)); +#80487=AXIS2_PLACEMENT_3D('',#80484,#80485,#80486); +#80488=PLANE('',#80487); +#80489=ORIENTED_EDGE('',*,*,#72775,.F.); +#80491=ORIENTED_EDGE('',*,*,#80490,.T.); +#80492=ORIENTED_EDGE('',*,*,#75298,.T.); +#80493=ORIENTED_EDGE('',*,*,#80477,.F.); +#80494=EDGE_LOOP('',(#80489,#80491,#80492,#80493)); +#80495=FACE_OUTER_BOUND('',#80494,.F.); +#80497=CARTESIAN_POINT('',(5.051024996746E1,-1.220212766528E0, +-4.099000013158E1)); +#80498=DIRECTION('',(-5.990709899420E-1,8.006959154447E-1,0.E0)); +#80499=DIRECTION('',(-8.006959154447E-1,-5.990709899420E-1,0.E0)); +#80500=AXIS2_PLACEMENT_3D('',#80497,#80498,#80499); +#80501=PLANE('',#80500); +#80502=ORIENTED_EDGE('',*,*,#72773,.F.); +#80504=ORIENTED_EDGE('',*,*,#80503,.T.); +#80505=ORIENTED_EDGE('',*,*,#75300,.T.); +#80506=ORIENTED_EDGE('',*,*,#80490,.F.); +#80507=EDGE_LOOP('',(#80502,#80504,#80505,#80506)); +#80508=FACE_OUTER_BOUND('',#80507,.F.); +#80510=CARTESIAN_POINT('',(5.056143746746E1,-1.143617030978E0, +-4.099000013158E1)); +#80511=DIRECTION('',(-8.314301693208E-1,5.556292590776E-1,0.E0)); +#80512=DIRECTION('',(-5.556292590776E-1,-8.314301693208E-1,0.E0)); +#80513=AXIS2_PLACEMENT_3D('',#80510,#80511,#80512); +#80514=PLANE('',#80513); +#80515=ORIENTED_EDGE('',*,*,#72771,.F.); +#80517=ORIENTED_EDGE('',*,*,#80516,.T.); +#80518=ORIENTED_EDGE('',*,*,#75302,.T.); +#80519=ORIENTED_EDGE('',*,*,#80503,.F.); +#80520=EDGE_LOOP('',(#80515,#80517,#80518,#80519)); +#80521=FACE_OUTER_BOUND('',#80520,.F.); +#80523=CARTESIAN_POINT('',(5.059556253254E1,-1.028723400831E0, +-4.099000013158E1)); +#80524=DIRECTION('',(-9.586103945429E-1,2.847211117467E-1,0.E0)); +#80525=DIRECTION('',(-2.847211117467E-1,-9.586103945429E-1,0.E0)); +#80526=AXIS2_PLACEMENT_3D('',#80523,#80524,#80525); +#80527=PLANE('',#80526); +#80528=ORIENTED_EDGE('',*,*,#72769,.F.); +#80530=ORIENTED_EDGE('',*,*,#80529,.T.); +#80531=ORIENTED_EDGE('',*,*,#75304,.T.); +#80532=ORIENTED_EDGE('',*,*,#80516,.F.); +#80533=EDGE_LOOP('',(#80528,#80530,#80531,#80532)); +#80534=FACE_OUTER_BOUND('',#80533,.F.); +#80536=CARTESIAN_POINT('',(5.061262496746E1,-9.138297975063E-1, +-4.099000013158E1)); +#80537=DIRECTION('',(-9.891520310922E-1,1.468954028763E-1,0.E0)); +#80538=DIRECTION('',(-1.468954028763E-1,-9.891520310922E-1,0.E0)); +#80539=AXIS2_PLACEMENT_3D('',#80536,#80537,#80538); +#80540=PLANE('',#80539); +#80541=ORIENTED_EDGE('',*,*,#72767,.F.); +#80543=ORIENTED_EDGE('',*,*,#80542,.T.); +#80544=ORIENTED_EDGE('',*,*,#75306,.T.); +#80545=ORIENTED_EDGE('',*,*,#80529,.F.); +#80546=EDGE_LOOP('',(#80541,#80543,#80544,#80545)); +#80547=FACE_OUTER_BOUND('',#80546,.F.); +#80549=CARTESIAN_POINT('',(5.06296875E1,-6.840425372124E-1,-4.099000013158E1)); +#80550=DIRECTION('',(-9.972545488284E-1,7.404974571874E-2,0.E0)); +#80551=DIRECTION('',(-7.404974571874E-2,-9.972545488284E-1,0.E0)); +#80552=AXIS2_PLACEMENT_3D('',#80549,#80550,#80551); +#80553=PLANE('',#80552); +#80554=ORIENTED_EDGE('',*,*,#72765,.F.); +#80556=ORIENTED_EDGE('',*,*,#80555,.T.); +#80557=ORIENTED_EDGE('',*,*,#75308,.T.); +#80558=ORIENTED_EDGE('',*,*,#80542,.F.); +#80559=EDGE_LOOP('',(#80554,#80556,#80557,#80558)); +#80560=FACE_OUTER_BOUND('',#80559,.F.); +#80562=CARTESIAN_POINT('',(5.06296875E1,-6.074468016624E-1,-4.099000013158E1)); +#80563=DIRECTION('',(-1.E0,0.E0,0.E0)); +#80564=DIRECTION('',(0.E0,-1.E0,0.E0)); +#80565=AXIS2_PLACEMENT_3D('',#80562,#80563,#80564); +#80566=PLANE('',#80565); +#80567=ORIENTED_EDGE('',*,*,#72763,.F.); +#80569=ORIENTED_EDGE('',*,*,#80568,.T.); +#80570=ORIENTED_EDGE('',*,*,#75310,.T.); +#80571=ORIENTED_EDGE('',*,*,#80555,.F.); +#80572=EDGE_LOOP('',(#80567,#80569,#80570,#80571)); +#80573=FACE_OUTER_BOUND('',#80572,.F.); +#80575=CARTESIAN_POINT('',(5.05273125E1,-7.606382727623E-1,-4.099000013158E1)); +#80576=DIRECTION('',(8.314301693208E-1,-5.556292590776E-1,0.E0)); +#80577=DIRECTION('',(5.556292590776E-1,8.314301693208E-1,0.E0)); +#80578=AXIS2_PLACEMENT_3D('',#80575,#80576,#80577); +#80579=PLANE('',#80578); +#80580=ORIENTED_EDGE('',*,*,#72761,.F.); +#80582=ORIENTED_EDGE('',*,*,#80581,.T.); +#80583=ORIENTED_EDGE('',*,*,#75312,.T.); +#80584=ORIENTED_EDGE('',*,*,#80568,.F.); +#80585=EDGE_LOOP('',(#80580,#80582,#80583,#80584)); +#80586=FACE_OUTER_BOUND('',#80585,.F.); +#80588=CARTESIAN_POINT('',(5.044200003254E1,-7.989361941814E-1, +-4.099000013158E1)); +#80589=DIRECTION('',(4.095402497473E-1,-9.122920496403E-1,0.E0)); +#80590=DIRECTION('',(9.122920496403E-1,4.095402497473E-1,0.E0)); +#80591=AXIS2_PLACEMENT_3D('',#80588,#80589,#80590); +#80592=PLANE('',#80591); +#80593=ORIENTED_EDGE('',*,*,#72759,.F.); +#80595=ORIENTED_EDGE('',*,*,#80594,.T.); +#80596=ORIENTED_EDGE('',*,*,#75314,.T.); +#80597=ORIENTED_EDGE('',*,*,#80581,.F.); +#80598=EDGE_LOOP('',(#80593,#80595,#80596,#80597)); +#80599=FACE_OUTER_BOUND('',#80598,.F.); +#80601=CARTESIAN_POINT('',(5.035668746746E1,-7.989361941814E-1, +-4.099000013158E1)); +#80602=DIRECTION('',(0.E0,-1.E0,0.E0)); +#80603=DIRECTION('',(1.E0,0.E0,0.E0)); +#80604=AXIS2_PLACEMENT_3D('',#80601,#80602,#80603); +#80605=PLANE('',#80604); +#80606=ORIENTED_EDGE('',*,*,#72757,.F.); +#80608=ORIENTED_EDGE('',*,*,#80607,.T.); +#80609=ORIENTED_EDGE('',*,*,#75316,.T.); +#80610=ORIENTED_EDGE('',*,*,#80594,.F.); +#80611=EDGE_LOOP('',(#80606,#80608,#80609,#80610)); +#80612=FACE_OUTER_BOUND('',#80611,.F.); +#80614=CARTESIAN_POINT('',(5.0271375E1,-7.606382727623E-1,-4.099000013158E1)); +#80615=DIRECTION('',(-4.095402497473E-1,-9.122920496403E-1,0.E0)); +#80616=DIRECTION('',(9.122920496403E-1,-4.095402497473E-1,0.E0)); +#80617=AXIS2_PLACEMENT_3D('',#80614,#80615,#80616); +#80618=PLANE('',#80617); +#80619=ORIENTED_EDGE('',*,*,#72755,.F.); +#80621=ORIENTED_EDGE('',*,*,#80620,.T.); +#80622=ORIENTED_EDGE('',*,*,#75318,.T.); +#80623=ORIENTED_EDGE('',*,*,#80607,.F.); +#80624=EDGE_LOOP('',(#80619,#80621,#80622,#80623)); +#80625=FACE_OUTER_BOUND('',#80624,.F.); +#80627=CARTESIAN_POINT('',(5.018606253254E1,-6.457446694374E-1, +-4.099000013158E1)); +#80628=DIRECTION('',(-8.028678210944E-1,-5.961570781691E-1,0.E0)); +#80629=DIRECTION('',(5.961570781691E-1,-8.028678210944E-1,0.E0)); +#80630=AXIS2_PLACEMENT_3D('',#80627,#80628,#80629); +#80631=PLANE('',#80630); +#80632=ORIENTED_EDGE('',*,*,#72753,.F.); +#80634=ORIENTED_EDGE('',*,*,#80633,.T.); +#80635=ORIENTED_EDGE('',*,*,#75320,.T.); +#80636=ORIENTED_EDGE('',*,*,#80620,.F.); +#80637=EDGE_LOOP('',(#80632,#80634,#80635,#80636)); +#80638=FACE_OUTER_BOUND('',#80637,.F.); +#80640=CARTESIAN_POINT('',(5.013487498373E1,-4.925531983376E-1, +-4.099000013158E1)); +#80641=DIRECTION('',(-9.484532420239E-1,-3.169170990880E-1,0.E0)); +#80642=DIRECTION('',(3.169170990880E-1,-9.484532420239E-1,0.E0)); +#80643=AXIS2_PLACEMENT_3D('',#80640,#80641,#80642); +#80644=PLANE('',#80643); +#80645=ORIENTED_EDGE('',*,*,#72751,.F.); +#80647=ORIENTED_EDGE('',*,*,#80646,.T.); +#80648=ORIENTED_EDGE('',*,*,#75322,.T.); +#80649=ORIENTED_EDGE('',*,*,#80633,.F.); +#80650=EDGE_LOOP('',(#80645,#80647,#80648,#80649)); +#80651=FACE_OUTER_BOUND('',#80650,.F.); +#80653=CARTESIAN_POINT('',(5.010075001627E1,-3.010638594627E-1, +-4.099000013158E1)); +#80654=DIRECTION('',(-9.844894000127E-1,-1.754440687585E-1,0.E0)); +#80655=DIRECTION('',(1.754440687585E-1,-9.844894000127E-1,0.E0)); +#80656=AXIS2_PLACEMENT_3D('',#80653,#80654,#80655); +#80657=PLANE('',#80656); +#80658=ORIENTED_EDGE('',*,*,#72749,.F.); +#80660=ORIENTED_EDGE('',*,*,#80659,.T.); +#80661=ORIENTED_EDGE('',*,*,#75324,.T.); +#80662=ORIENTED_EDGE('',*,*,#80646,.F.); +#80663=EDGE_LOOP('',(#80658,#80660,#80661,#80662)); +#80664=FACE_OUTER_BOUND('',#80663,.F.); +#80666=CARTESIAN_POINT('',(5.010075001627E1,-1.478723883629E-1, +-4.099000013158E1)); +#80667=DIRECTION('',(-1.E0,0.E0,0.E0)); +#80668=DIRECTION('',(0.E0,-1.E0,0.E0)); +#80669=AXIS2_PLACEMENT_3D('',#80666,#80667,#80668); +#80670=PLANE('',#80669); +#80671=ORIENTED_EDGE('',*,*,#72747,.F.); +#80673=ORIENTED_EDGE('',*,*,#80672,.T.); +#80674=ORIENTED_EDGE('',*,*,#75326,.T.); +#80675=ORIENTED_EDGE('',*,*,#80659,.F.); +#80676=EDGE_LOOP('',(#80671,#80673,#80674,#80675)); +#80677=FACE_OUTER_BOUND('',#80676,.F.); +#80679=CARTESIAN_POINT('',(5.013487498373E1,4.361705780029E-2, +-4.099000013158E1)); +#80680=DIRECTION('',(-9.844894169911E-1,1.754439734858E-1,0.E0)); +#80681=DIRECTION('',(-1.754439734858E-1,-9.844894169911E-1,0.E0)); +#80682=AXIS2_PLACEMENT_3D('',#80679,#80680,#80681); +#80683=PLANE('',#80682); +#80684=ORIENTED_EDGE('',*,*,#72745,.F.); +#80686=ORIENTED_EDGE('',*,*,#80685,.T.); +#80687=ORIENTED_EDGE('',*,*,#75328,.T.); +#80688=ORIENTED_EDGE('',*,*,#80672,.F.); +#80689=EDGE_LOOP('',(#80684,#80686,#80687,#80688)); +#80690=FACE_OUTER_BOUND('',#80689,.F.); +#80692=CARTESIAN_POINT('',(5.018606253254E1,1.968085289001E-1, +-4.099000013158E1)); +#80693=DIRECTION('',(-9.484532420239E-1,3.169170990880E-1,0.E0)); +#80694=DIRECTION('',(-3.169170990880E-1,-9.484532420239E-1,0.E0)); +#80695=AXIS2_PLACEMENT_3D('',#80692,#80693,#80694); +#80696=PLANE('',#80695); +#80697=ORIENTED_EDGE('',*,*,#72743,.F.); +#80699=ORIENTED_EDGE('',*,*,#80698,.T.); +#80700=ORIENTED_EDGE('',*,*,#75330,.T.); +#80701=ORIENTED_EDGE('',*,*,#80685,.F.); +#80702=EDGE_LOOP('',(#80697,#80699,#80700,#80701)); +#80703=FACE_OUTER_BOUND('',#80702,.F.); +#80705=CARTESIAN_POINT('',(5.0271375E1,3.117021322250E-1,-4.099000013158E1)); +#80706=DIRECTION('',(-8.028678210944E-1,5.961570781691E-1,0.E0)); +#80707=DIRECTION('',(-5.961570781691E-1,-8.028678210944E-1,0.E0)); +#80708=AXIS2_PLACEMENT_3D('',#80705,#80706,#80707); +#80709=PLANE('',#80708); +#80710=ORIENTED_EDGE('',*,*,#72741,.F.); +#80712=ORIENTED_EDGE('',*,*,#80711,.T.); +#80713=ORIENTED_EDGE('',*,*,#75332,.T.); +#80714=ORIENTED_EDGE('',*,*,#80698,.F.); +#80715=EDGE_LOOP('',(#80710,#80712,#80713,#80714)); +#80716=FACE_OUTER_BOUND('',#80715,.F.); +#80718=CARTESIAN_POINT('',(5.035668746746E1,3.5E-1,-4.099000013158E1)); +#80719=DIRECTION('',(-4.095397723149E-1,9.122922639660E-1,0.E0)); +#80720=DIRECTION('',(-9.122922639660E-1,-4.095397723149E-1,0.E0)); +#80721=AXIS2_PLACEMENT_3D('',#80718,#80719,#80720); +#80722=PLANE('',#80721); +#80723=ORIENTED_EDGE('',*,*,#72739,.F.); +#80725=ORIENTED_EDGE('',*,*,#80724,.T.); +#80726=ORIENTED_EDGE('',*,*,#75334,.T.); +#80727=ORIENTED_EDGE('',*,*,#80711,.F.); +#80728=EDGE_LOOP('',(#80723,#80725,#80726,#80727)); +#80729=FACE_OUTER_BOUND('',#80728,.F.); +#80731=CARTESIAN_POINT('',(5.044200003254E1,3.5E-1,-4.099000013158E1)); +#80732=DIRECTION('',(0.E0,1.E0,0.E0)); +#80733=DIRECTION('',(-1.E0,0.E0,0.E0)); +#80734=AXIS2_PLACEMENT_3D('',#80731,#80732,#80733); +#80735=PLANE('',#80734); +#80736=ORIENTED_EDGE('',*,*,#72737,.F.); +#80738=ORIENTED_EDGE('',*,*,#80737,.T.); +#80739=ORIENTED_EDGE('',*,*,#75336,.T.); +#80740=ORIENTED_EDGE('',*,*,#80724,.F.); +#80741=EDGE_LOOP('',(#80736,#80738,#80739,#80740)); +#80742=FACE_OUTER_BOUND('',#80741,.F.); +#80744=CARTESIAN_POINT('',(5.05273125E1,3.117021322250E-1,-4.099000013158E1)); +#80745=DIRECTION('',(4.095397723149E-1,9.122922639660E-1,0.E0)); +#80746=DIRECTION('',(-9.122922639660E-1,4.095397723149E-1,0.E0)); +#80747=AXIS2_PLACEMENT_3D('',#80744,#80745,#80746); +#80748=PLANE('',#80747); +#80749=ORIENTED_EDGE('',*,*,#72735,.F.); +#80751=ORIENTED_EDGE('',*,*,#80750,.T.); +#80752=ORIENTED_EDGE('',*,*,#75338,.T.); +#80753=ORIENTED_EDGE('',*,*,#80737,.F.); +#80754=EDGE_LOOP('',(#80749,#80751,#80752,#80753)); +#80755=FACE_OUTER_BOUND('',#80754,.F.); +#80757=CARTESIAN_POINT('',(5.061262496746E1,1.968085289001E-1, +-4.099000013158E1)); +#80758=DIRECTION('',(8.028678210944E-1,5.961570781691E-1,0.E0)); +#80759=DIRECTION('',(-5.961570781691E-1,8.028678210944E-1,0.E0)); +#80760=AXIS2_PLACEMENT_3D('',#80757,#80758,#80759); +#80761=PLANE('',#80760); +#80762=ORIENTED_EDGE('',*,*,#72733,.F.); +#80764=ORIENTED_EDGE('',*,*,#80763,.T.); +#80765=ORIENTED_EDGE('',*,*,#75340,.T.); +#80766=ORIENTED_EDGE('',*,*,#80750,.F.); +#80767=EDGE_LOOP('',(#80762,#80764,#80765,#80766)); +#80768=FACE_OUTER_BOUND('',#80767,.F.); +#80770=CARTESIAN_POINT('',(5.066381246746E1,4.361705780029E-2, +-4.099000013158E1)); +#80771=DIRECTION('',(9.484533328701E-1,3.169168272080E-1,0.E0)); +#80772=DIRECTION('',(-3.169168272080E-1,9.484533328701E-1,0.E0)); +#80773=AXIS2_PLACEMENT_3D('',#80770,#80771,#80772); +#80774=PLANE('',#80773); +#80775=ORIENTED_EDGE('',*,*,#72731,.F.); +#80777=ORIENTED_EDGE('',*,*,#80776,.T.); +#80778=ORIENTED_EDGE('',*,*,#75342,.T.); +#80779=ORIENTED_EDGE('',*,*,#80763,.F.); +#80780=EDGE_LOOP('',(#80775,#80777,#80778,#80779)); +#80781=FACE_OUTER_BOUND('',#80780,.F.); +#80783=CARTESIAN_POINT('',(5.069793753254E1,-1.478723883629E-1, +-4.099000013158E1)); +#80784=DIRECTION('',(9.844893302929E-1,1.754444599852E-1,0.E0)); +#80785=DIRECTION('',(-1.754444599852E-1,9.844893302929E-1,0.E0)); +#80786=AXIS2_PLACEMENT_3D('',#80783,#80784,#80785); +#80787=PLANE('',#80786); +#80788=ORIENTED_EDGE('',*,*,#72729,.F.); +#80790=ORIENTED_EDGE('',*,*,#80789,.T.); +#80791=ORIENTED_EDGE('',*,*,#75344,.T.); +#80792=ORIENTED_EDGE('',*,*,#80776,.F.); +#80793=EDGE_LOOP('',(#80788,#80790,#80791,#80792)); +#80794=FACE_OUTER_BOUND('',#80793,.F.); +#80796=CARTESIAN_POINT('',(5.071499996746E1,-4.159574627876E-1, +-4.099000013158E1)); +#80797=DIRECTION('',(9.979807514743E-1,6.351708185070E-2,0.E0)); +#80798=DIRECTION('',(-6.351708185070E-2,9.979807514743E-1,0.E0)); +#80799=AXIS2_PLACEMENT_3D('',#80796,#80797,#80798); +#80800=PLANE('',#80799); +#80801=ORIENTED_EDGE('',*,*,#72727,.F.); +#80803=ORIENTED_EDGE('',*,*,#80802,.T.); +#80804=ORIENTED_EDGE('',*,*,#75346,.T.); +#80805=ORIENTED_EDGE('',*,*,#80789,.F.); +#80806=EDGE_LOOP('',(#80801,#80803,#80804,#80805)); +#80807=FACE_OUTER_BOUND('',#80806,.F.); +#80809=CARTESIAN_POINT('',(5.071499996746E1,-6.840425372124E-1, +-4.099000013158E1)); +#80810=DIRECTION('',(1.E0,0.E0,0.E0)); +#80811=DIRECTION('',(0.E0,1.E0,0.E0)); +#80812=AXIS2_PLACEMENT_3D('',#80809,#80810,#80811); +#80813=PLANE('',#80812); +#80814=ORIENTED_EDGE('',*,*,#72725,.F.); +#80816=ORIENTED_EDGE('',*,*,#80815,.T.); +#80817=ORIENTED_EDGE('',*,*,#75348,.T.); +#80818=ORIENTED_EDGE('',*,*,#80802,.F.); +#80819=EDGE_LOOP('',(#80814,#80816,#80817,#80818)); +#80820=FACE_OUTER_BOUND('',#80819,.F.); +#80822=CARTESIAN_POINT('',(5.069793753254E1,-9.521276652813E-1, +-4.099000013158E1)); +#80823=DIRECTION('',(9.979807522799E-1,-6.351706919213E-2,0.E0)); +#80824=DIRECTION('',(6.351706919213E-2,9.979807522799E-1,0.E0)); +#80825=AXIS2_PLACEMENT_3D('',#80822,#80823,#80824); +#80826=PLANE('',#80825); +#80827=ORIENTED_EDGE('',*,*,#72723,.F.); +#80829=ORIENTED_EDGE('',*,*,#80828,.T.); +#80830=ORIENTED_EDGE('',*,*,#75350,.T.); +#80831=ORIENTED_EDGE('',*,*,#80815,.F.); +#80832=EDGE_LOOP('',(#80827,#80829,#80830,#80831)); +#80833=FACE_OUTER_BOUND('',#80832,.F.); +#80835=CARTESIAN_POINT('',(5.066381246746E1,-1.143617030978E0, +-4.099000013158E1)); +#80836=DIRECTION('',(9.844893175590E-1,-1.754445314399E-1,0.E0)); +#80837=DIRECTION('',(1.754445314399E-1,9.844893175590E-1,0.E0)); +#80838=AXIS2_PLACEMENT_3D('',#80835,#80836,#80837); +#80839=PLANE('',#80838); +#80840=ORIENTED_EDGE('',*,*,#72721,.F.); +#80842=ORIENTED_EDGE('',*,*,#80841,.T.); +#80843=ORIENTED_EDGE('',*,*,#75352,.T.); +#80844=ORIENTED_EDGE('',*,*,#80828,.F.); +#80845=EDGE_LOOP('',(#80840,#80842,#80843,#80844)); +#80846=FACE_OUTER_BOUND('',#80845,.F.); +#80848=CARTESIAN_POINT('',(5.061262496746E1,-1.296808515489E0, +-4.099000013158E1)); +#80849=DIRECTION('',(9.484533412095E-1,-3.169168022502E-1,0.E0)); +#80850=DIRECTION('',(3.169168022502E-1,9.484533412095E-1,0.E0)); +#80851=AXIS2_PLACEMENT_3D('',#80848,#80849,#80850); +#80852=PLANE('',#80851); +#80853=ORIENTED_EDGE('',*,*,#72719,.F.); +#80855=ORIENTED_EDGE('',*,*,#80854,.T.); +#80856=ORIENTED_EDGE('',*,*,#75354,.T.); +#80857=ORIENTED_EDGE('',*,*,#80841,.F.); +#80858=EDGE_LOOP('',(#80853,#80855,#80856,#80857)); +#80859=FACE_OUTER_BOUND('',#80858,.F.); +#80861=CARTESIAN_POINT('',(5.05273125E1,-1.411702128872E0,-4.099000013158E1)); +#80862=DIRECTION('',(8.028678460745E-1,-5.961570445274E-1,0.E0)); +#80863=DIRECTION('',(5.961570445274E-1,8.028678460745E-1,0.E0)); +#80864=AXIS2_PLACEMENT_3D('',#80861,#80862,#80863); +#80865=PLANE('',#80864); +#80866=ORIENTED_EDGE('',*,*,#72717,.F.); +#80868=ORIENTED_EDGE('',*,*,#80867,.T.); +#80869=ORIENTED_EDGE('',*,*,#75356,.T.); +#80870=ORIENTED_EDGE('',*,*,#80854,.F.); +#80871=EDGE_LOOP('',(#80866,#80868,#80869,#80870)); +#80872=FACE_OUTER_BOUND('',#80871,.F.); +#80874=CARTESIAN_POINT('',(5.044200003254E1,-1.45E0,-4.099000013158E1)); +#80875=DIRECTION('',(4.095398021544E-1,-9.122922505707E-1,0.E0)); +#80876=DIRECTION('',(9.122922505707E-1,4.095398021544E-1,0.E0)); +#80877=AXIS2_PLACEMENT_3D('',#80874,#80875,#80876); +#80878=PLANE('',#80877); +#80879=ORIENTED_EDGE('',*,*,#72715,.F.); +#80881=ORIENTED_EDGE('',*,*,#80880,.T.); +#80882=ORIENTED_EDGE('',*,*,#75358,.T.); +#80883=ORIENTED_EDGE('',*,*,#80867,.F.); +#80884=EDGE_LOOP('',(#80879,#80881,#80882,#80883)); +#80885=FACE_OUTER_BOUND('',#80884,.F.); +#80887=CARTESIAN_POINT('',(5.037375E1,-1.45E0,-4.099000013158E1)); +#80888=DIRECTION('',(0.E0,-1.E0,0.E0)); +#80889=DIRECTION('',(1.E0,0.E0,0.E0)); +#80890=AXIS2_PLACEMENT_3D('',#80887,#80888,#80889); +#80891=PLANE('',#80890); +#80892=ORIENTED_EDGE('',*,*,#72713,.F.); +#80894=ORIENTED_EDGE('',*,*,#80893,.T.); +#80895=ORIENTED_EDGE('',*,*,#75360,.T.); +#80896=ORIENTED_EDGE('',*,*,#80880,.F.); +#80897=EDGE_LOOP('',(#80892,#80894,#80895,#80896)); +#80898=FACE_OUTER_BOUND('',#80897,.F.); +#80900=CARTESIAN_POINT('',(5.028843753254E1,-1.411702128872E0, +-4.099000013158E1)); +#80901=DIRECTION('',(-4.095398021544E-1,-9.122922505707E-1,0.E0)); +#80902=DIRECTION('',(9.122922505707E-1,-4.095398021544E-1,0.E0)); +#80903=AXIS2_PLACEMENT_3D('',#80900,#80901,#80902); +#80904=PLANE('',#80903); +#80905=ORIENTED_EDGE('',*,*,#72711,.F.); +#80907=ORIENTED_EDGE('',*,*,#80906,.T.); +#80908=ORIENTED_EDGE('',*,*,#75362,.T.); +#80909=ORIENTED_EDGE('',*,*,#80893,.F.); +#80910=EDGE_LOOP('',(#80905,#80907,#80908,#80909)); +#80911=FACE_OUTER_BOUND('',#80910,.F.); +#80913=CARTESIAN_POINT('',(5.020312496746E1,-1.296808515489E0, +-4.099000013158E1)); +#80914=DIRECTION('',(-8.028675195266E-1,-5.961574843019E-1,0.E0)); +#80915=DIRECTION('',(5.961574843019E-1,-8.028675195266E-1,0.E0)); +#80916=AXIS2_PLACEMENT_3D('',#80913,#80914,#80915); +#80917=PLANE('',#80916); +#80918=ORIENTED_EDGE('',*,*,#72709,.F.); +#80920=ORIENTED_EDGE('',*,*,#80919,.T.); +#80921=ORIENTED_EDGE('',*,*,#75364,.T.); +#80922=ORIENTED_EDGE('',*,*,#80906,.F.); +#80923=EDGE_LOOP('',(#80918,#80920,#80921,#80922)); +#80924=FACE_OUTER_BOUND('',#80923,.F.); +#80926=CARTESIAN_POINT('',(5.015193751627E1,-1.143617030978E0, +-4.099000013158E1)); +#80927=DIRECTION('',(-9.484534320556E-1,-3.169165303702E-1,0.E0)); +#80928=DIRECTION('',(3.169165303702E-1,-9.484534320556E-1,0.E0)); +#80929=AXIS2_PLACEMENT_3D('',#80926,#80927,#80928); +#80930=PLANE('',#80929); +#80931=ORIENTED_EDGE('',*,*,#72707,.F.); +#80932=ORIENTED_EDGE('',*,*,#80427,.T.); +#80933=ORIENTED_EDGE('',*,*,#75366,.T.); +#80934=ORIENTED_EDGE('',*,*,#80919,.F.); +#80935=EDGE_LOOP('',(#80931,#80932,#80933,#80934)); +#80936=FACE_OUTER_BOUND('',#80935,.F.); +#80938=CARTESIAN_POINT('',(5.061262496746E1,-2.627659916878E-1, +-4.099000013158E1)); +#80939=DIRECTION('',(-9.891520310922E-1,1.468954028763E-1,0.E0)); +#80940=DIRECTION('',(-1.468954028763E-1,-9.891520310922E-1,0.E0)); +#80941=AXIS2_PLACEMENT_3D('',#80938,#80939,#80940); +#80942=PLANE('',#80941); +#80943=ORIENTED_EDGE('',*,*,#71374,.F.); +#80945=ORIENTED_EDGE('',*,*,#80944,.T.); +#80946=ORIENTED_EDGE('',*,*,#75370,.T.); +#80948=ORIENTED_EDGE('',*,*,#80947,.F.); +#80949=EDGE_LOOP('',(#80943,#80945,#80946,#80948)); +#80950=FACE_OUTER_BOUND('',#80949,.F.); +#80952=CARTESIAN_POINT('',(5.061262496746E1,-1.861702561378E-1, +-4.099000013158E1)); +#80953=DIRECTION('',(-1.E0,0.E0,0.E0)); +#80954=DIRECTION('',(0.E0,-1.E0,0.E0)); +#80955=AXIS2_PLACEMENT_3D('',#80952,#80953,#80954); +#80956=PLANE('',#80955); +#80957=ORIENTED_EDGE('',*,*,#71412,.F.); +#80959=ORIENTED_EDGE('',*,*,#80958,.T.); +#80960=ORIENTED_EDGE('',*,*,#75372,.T.); +#80961=ORIENTED_EDGE('',*,*,#80944,.F.); +#80962=EDGE_LOOP('',(#80957,#80959,#80960,#80961)); +#80963=FACE_OUTER_BOUND('',#80962,.F.); +#80965=CARTESIAN_POINT('',(5.059556253254E1,-7.127654552460E-2, +-4.099000013158E1)); +#80966=DIRECTION('',(-9.891520510235E-1,-1.468952686645E-1,0.E0)); +#80967=DIRECTION('',(1.468952686645E-1,-9.891520510235E-1,0.E0)); +#80968=AXIS2_PLACEMENT_3D('',#80965,#80966,#80967); +#80969=PLANE('',#80968); +#80970=ORIENTED_EDGE('',*,*,#71410,.F.); +#80972=ORIENTED_EDGE('',*,*,#80971,.T.); +#80973=ORIENTED_EDGE('',*,*,#75374,.T.); +#80974=ORIENTED_EDGE('',*,*,#80958,.F.); +#80975=EDGE_LOOP('',(#80970,#80972,#80973,#80974)); +#80976=FACE_OUTER_BOUND('',#80975,.F.); +#80978=CARTESIAN_POINT('',(5.056143746746E1,4.361705780029E-2, +-4.099000013158E1)); +#80979=DIRECTION('',(-9.586103764012E-1,-2.847211728270E-1,0.E0)); +#80980=DIRECTION('',(2.847211728270E-1,-9.586103764012E-1,0.E0)); +#80981=AXIS2_PLACEMENT_3D('',#80978,#80979,#80980); +#80982=PLANE('',#80981); +#80983=ORIENTED_EDGE('',*,*,#71408,.F.); +#80985=ORIENTED_EDGE('',*,*,#80984,.T.); +#80986=ORIENTED_EDGE('',*,*,#75376,.T.); +#80987=ORIENTED_EDGE('',*,*,#80971,.F.); +#80988=EDGE_LOOP('',(#80983,#80985,#80986,#80987)); +#80989=FACE_OUTER_BOUND('',#80988,.F.); +#80991=CARTESIAN_POINT('',(5.051024996746E1,1.202127933502E-1, +-4.099000013158E1)); +#80992=DIRECTION('',(-8.314301693208E-1,-5.556292590776E-1,0.E0)); +#80993=DIRECTION('',(5.556292590776E-1,-8.314301693208E-1,0.E0)); +#80994=AXIS2_PLACEMENT_3D('',#80991,#80992,#80993); +#80995=PLANE('',#80994); +#80996=ORIENTED_EDGE('',*,*,#71406,.F.); +#80998=ORIENTED_EDGE('',*,*,#80997,.T.); +#80999=ORIENTED_EDGE('',*,*,#75378,.T.); +#81000=ORIENTED_EDGE('',*,*,#80984,.F.); +#81001=EDGE_LOOP('',(#80996,#80998,#80999,#81000)); +#81002=FACE_OUTER_BOUND('',#81001,.F.); +#81004=CARTESIAN_POINT('',(5.045906246746E1,1.585106611252E-1, +-4.099000013158E1)); +#81005=DIRECTION('',(-5.990709899420E-1,-8.006959154447E-1,0.E0)); +#81006=DIRECTION('',(8.006959154447E-1,-5.990709899420E-1,0.E0)); +#81007=AXIS2_PLACEMENT_3D('',#81004,#81005,#81006); +#81008=PLANE('',#81007); +#81009=ORIENTED_EDGE('',*,*,#71404,.F.); +#81011=ORIENTED_EDGE('',*,*,#81010,.T.); +#81012=ORIENTED_EDGE('',*,*,#75380,.T.); +#81013=ORIENTED_EDGE('',*,*,#80997,.F.); +#81014=EDGE_LOOP('',(#81009,#81011,#81012,#81013)); +#81015=FACE_OUTER_BOUND('',#81014,.F.); +#81017=CARTESIAN_POINT('',(5.033962503254E1,1.585106611252E-1, +-4.099000013158E1)); +#81018=DIRECTION('',(0.E0,-1.E0,0.E0)); +#81019=DIRECTION('',(1.E0,0.E0,0.E0)); +#81020=AXIS2_PLACEMENT_3D('',#81017,#81018,#81019); +#81021=PLANE('',#81020); +#81022=ORIENTED_EDGE('',*,*,#71402,.F.); +#81024=ORIENTED_EDGE('',*,*,#81023,.T.); +#81025=ORIENTED_EDGE('',*,*,#75382,.T.); +#81026=ORIENTED_EDGE('',*,*,#81010,.F.); +#81027=EDGE_LOOP('',(#81022,#81024,#81025,#81026)); +#81028=FACE_OUTER_BOUND('',#81027,.F.); +#81030=CARTESIAN_POINT('',(5.028843753254E1,1.202127933502E-1, +-4.099000013158E1)); +#81031=DIRECTION('',(5.990709899420E-1,-8.006959154447E-1,0.E0)); +#81032=DIRECTION('',(8.006959154447E-1,5.990709899420E-1,0.E0)); +#81033=AXIS2_PLACEMENT_3D('',#81030,#81031,#81032); +#81034=PLANE('',#81033); +#81035=ORIENTED_EDGE('',*,*,#71400,.F.); +#81037=ORIENTED_EDGE('',*,*,#81036,.T.); +#81038=ORIENTED_EDGE('',*,*,#75384,.T.); +#81039=ORIENTED_EDGE('',*,*,#81023,.F.); +#81040=EDGE_LOOP('',(#81035,#81037,#81038,#81039)); +#81041=FACE_OUTER_BOUND('',#81040,.F.); +#81043=CARTESIAN_POINT('',(5.023725003254E1,4.361705780029E-2, +-4.099000013158E1)); +#81044=DIRECTION('',(8.314301693208E-1,-5.556292590776E-1,0.E0)); +#81045=DIRECTION('',(5.556292590776E-1,8.314301693208E-1,0.E0)); +#81046=AXIS2_PLACEMENT_3D('',#81043,#81044,#81045); +#81047=PLANE('',#81046); +#81048=ORIENTED_EDGE('',*,*,#71398,.F.); +#81050=ORIENTED_EDGE('',*,*,#81049,.T.); +#81051=ORIENTED_EDGE('',*,*,#75386,.T.); +#81052=ORIENTED_EDGE('',*,*,#81036,.F.); +#81053=EDGE_LOOP('',(#81048,#81050,#81051,#81052)); +#81054=FACE_OUTER_BOUND('',#81053,.F.); +#81056=CARTESIAN_POINT('',(5.020312496746E1,-7.127654552460E-2, +-4.099000013158E1)); +#81057=DIRECTION('',(9.586103764012E-1,-2.847211728270E-1,0.E0)); +#81058=DIRECTION('',(2.847211728270E-1,9.586103764012E-1,0.E0)); +#81059=AXIS2_PLACEMENT_3D('',#81056,#81057,#81058); +#81060=PLANE('',#81059); +#81061=ORIENTED_EDGE('',*,*,#71396,.F.); +#81063=ORIENTED_EDGE('',*,*,#81062,.T.); +#81064=ORIENTED_EDGE('',*,*,#75388,.T.); +#81065=ORIENTED_EDGE('',*,*,#81049,.F.); +#81066=EDGE_LOOP('',(#81061,#81063,#81064,#81065)); +#81067=FACE_OUTER_BOUND('',#81066,.F.); +#81069=CARTESIAN_POINT('',(5.018606253254E1,-1.861702561378E-1, +-4.099000013158E1)); +#81070=DIRECTION('',(9.891520510235E-1,-1.468952686645E-1,0.E0)); +#81071=DIRECTION('',(1.468952686645E-1,9.891520510235E-1,0.E0)); +#81072=AXIS2_PLACEMENT_3D('',#81069,#81070,#81071); +#81073=PLANE('',#81072); +#81074=ORIENTED_EDGE('',*,*,#71394,.F.); +#81076=ORIENTED_EDGE('',*,*,#81075,.T.); +#81077=ORIENTED_EDGE('',*,*,#75390,.T.); +#81078=ORIENTED_EDGE('',*,*,#81062,.F.); +#81079=EDGE_LOOP('',(#81074,#81076,#81077,#81078)); +#81080=FACE_OUTER_BOUND('',#81079,.F.); +#81082=CARTESIAN_POINT('',(5.018606253254E1,-2.627659916878E-1, +-4.099000013158E1)); +#81083=DIRECTION('',(1.E0,0.E0,0.E0)); +#81084=DIRECTION('',(0.E0,1.E0,0.E0)); +#81085=AXIS2_PLACEMENT_3D('',#81082,#81083,#81084); +#81086=PLANE('',#81085); +#81087=ORIENTED_EDGE('',*,*,#71392,.F.); +#81089=ORIENTED_EDGE('',*,*,#81088,.T.); +#81090=ORIENTED_EDGE('',*,*,#75392,.T.); +#81091=ORIENTED_EDGE('',*,*,#81075,.F.); +#81092=EDGE_LOOP('',(#81087,#81089,#81090,#81091)); +#81093=FACE_OUTER_BOUND('',#81092,.F.); +#81095=CARTESIAN_POINT('',(5.020312496746E1,-3.776595950127E-1, +-4.099000013158E1)); +#81096=DIRECTION('',(9.891520310922E-1,1.468954028763E-1,0.E0)); +#81097=DIRECTION('',(-1.468954028763E-1,9.891520310922E-1,0.E0)); +#81098=AXIS2_PLACEMENT_3D('',#81095,#81096,#81097); +#81099=PLANE('',#81098); +#81100=ORIENTED_EDGE('',*,*,#71390,.F.); +#81102=ORIENTED_EDGE('',*,*,#81101,.T.); +#81103=ORIENTED_EDGE('',*,*,#75394,.T.); +#81104=ORIENTED_EDGE('',*,*,#81088,.F.); +#81105=EDGE_LOOP('',(#81100,#81102,#81103,#81104)); +#81106=FACE_OUTER_BOUND('',#81105,.F.); +#81108=CARTESIAN_POINT('',(5.023725003254E1,-4.925531983376E-1, +-4.099000013158E1)); +#81109=DIRECTION('',(9.586103764012E-1,2.847211728270E-1,0.E0)); +#81110=DIRECTION('',(-2.847211728270E-1,9.586103764012E-1,0.E0)); +#81111=AXIS2_PLACEMENT_3D('',#81108,#81109,#81110); +#81112=PLANE('',#81111); +#81113=ORIENTED_EDGE('',*,*,#71388,.F.); +#81115=ORIENTED_EDGE('',*,*,#81114,.T.); +#81116=ORIENTED_EDGE('',*,*,#75396,.T.); +#81117=ORIENTED_EDGE('',*,*,#81101,.F.); +#81118=EDGE_LOOP('',(#81113,#81115,#81116,#81117)); +#81119=FACE_OUTER_BOUND('',#81118,.F.); +#81121=CARTESIAN_POINT('',(5.028843753254E1,-5.691489338875E-1, +-4.099000013158E1)); +#81122=DIRECTION('',(8.314301693208E-1,5.556292590776E-1,0.E0)); +#81123=DIRECTION('',(-5.556292590776E-1,8.314301693208E-1,0.E0)); +#81124=AXIS2_PLACEMENT_3D('',#81121,#81122,#81123); +#81125=PLANE('',#81124); +#81126=ORIENTED_EDGE('',*,*,#71386,.F.); +#81128=ORIENTED_EDGE('',*,*,#81127,.T.); +#81129=ORIENTED_EDGE('',*,*,#75398,.T.); +#81130=ORIENTED_EDGE('',*,*,#81114,.F.); +#81131=EDGE_LOOP('',(#81126,#81128,#81129,#81130)); +#81132=FACE_OUTER_BOUND('',#81131,.F.); +#81134=CARTESIAN_POINT('',(5.033962503254E1,-6.074468016624E-1, +-4.099000013158E1)); +#81135=DIRECTION('',(5.990709899420E-1,8.006959154447E-1,0.E0)); +#81136=DIRECTION('',(-8.006959154447E-1,5.990709899420E-1,0.E0)); +#81137=AXIS2_PLACEMENT_3D('',#81134,#81135,#81136); +#81138=PLANE('',#81137); +#81139=ORIENTED_EDGE('',*,*,#71384,.F.); +#81141=ORIENTED_EDGE('',*,*,#81140,.T.); +#81142=ORIENTED_EDGE('',*,*,#75400,.T.); +#81143=ORIENTED_EDGE('',*,*,#81127,.F.); +#81144=EDGE_LOOP('',(#81139,#81141,#81142,#81143)); +#81145=FACE_OUTER_BOUND('',#81144,.F.); +#81147=CARTESIAN_POINT('',(5.045906246746E1,-6.074468016624E-1, +-4.099000013158E1)); +#81148=DIRECTION('',(0.E0,1.E0,0.E0)); +#81149=DIRECTION('',(-1.E0,0.E0,0.E0)); +#81150=AXIS2_PLACEMENT_3D('',#81147,#81148,#81149); +#81151=PLANE('',#81150); +#81152=ORIENTED_EDGE('',*,*,#71382,.F.); +#81154=ORIENTED_EDGE('',*,*,#81153,.T.); +#81155=ORIENTED_EDGE('',*,*,#75402,.T.); +#81156=ORIENTED_EDGE('',*,*,#81140,.F.); +#81157=EDGE_LOOP('',(#81152,#81154,#81155,#81156)); +#81158=FACE_OUTER_BOUND('',#81157,.F.); +#81160=CARTESIAN_POINT('',(5.051024996746E1,-5.691489338875E-1, +-4.099000013158E1)); +#81161=DIRECTION('',(-5.990709899420E-1,8.006959154447E-1,0.E0)); +#81162=DIRECTION('',(-8.006959154447E-1,-5.990709899420E-1,0.E0)); +#81163=AXIS2_PLACEMENT_3D('',#81160,#81161,#81162); +#81164=PLANE('',#81163); +#81165=ORIENTED_EDGE('',*,*,#71380,.F.); +#81167=ORIENTED_EDGE('',*,*,#81166,.T.); +#81168=ORIENTED_EDGE('',*,*,#75404,.T.); +#81169=ORIENTED_EDGE('',*,*,#81153,.F.); +#81170=EDGE_LOOP('',(#81165,#81167,#81168,#81169)); +#81171=FACE_OUTER_BOUND('',#81170,.F.); +#81173=CARTESIAN_POINT('',(5.056143746746E1,-4.925531983376E-1, +-4.099000013158E1)); +#81174=DIRECTION('',(-8.314301693208E-1,5.556292590776E-1,0.E0)); +#81175=DIRECTION('',(-5.556292590776E-1,-8.314301693208E-1,0.E0)); +#81176=AXIS2_PLACEMENT_3D('',#81173,#81174,#81175); +#81177=PLANE('',#81176); +#81178=ORIENTED_EDGE('',*,*,#71378,.F.); +#81180=ORIENTED_EDGE('',*,*,#81179,.T.); +#81181=ORIENTED_EDGE('',*,*,#75406,.T.); +#81182=ORIENTED_EDGE('',*,*,#81166,.F.); +#81183=EDGE_LOOP('',(#81178,#81180,#81181,#81182)); +#81184=FACE_OUTER_BOUND('',#81183,.F.); +#81186=CARTESIAN_POINT('',(5.059556253254E1,-3.776595950127E-1, +-4.099000013158E1)); +#81187=DIRECTION('',(-9.586103764012E-1,2.847211728270E-1,0.E0)); +#81188=DIRECTION('',(-2.847211728270E-1,-9.586103764012E-1,0.E0)); +#81189=AXIS2_PLACEMENT_3D('',#81186,#81187,#81188); +#81190=PLANE('',#81189); +#81191=ORIENTED_EDGE('',*,*,#71376,.F.); +#81192=ORIENTED_EDGE('',*,*,#80947,.T.); +#81193=ORIENTED_EDGE('',*,*,#75408,.T.); +#81194=ORIENTED_EDGE('',*,*,#81179,.F.); +#81195=EDGE_LOOP('',(#81191,#81192,#81193,#81194)); +#81196=FACE_OUTER_BOUND('',#81195,.F.); +#81198=CARTESIAN_POINT('',(-5.495E1,3.63E0,-1.995E1)); +#81199=DIRECTION('',(0.E0,-1.E0,0.E0)); +#81200=DIRECTION('',(1.E0,0.E0,0.E0)); +#81201=AXIS2_PLACEMENT_3D('',#81198,#81199,#81200); +#81202=PLANE('',#81201); +#81204=ORIENTED_EDGE('',*,*,#81203,.T.); +#81206=ORIENTED_EDGE('',*,*,#81205,.F.); +#81207=ORIENTED_EDGE('',*,*,#72086,.F.); +#81209=ORIENTED_EDGE('',*,*,#81208,.T.); +#81210=EDGE_LOOP('',(#81204,#81206,#81207,#81209)); +#81211=FACE_OUTER_BOUND('',#81210,.F.); +#81213=CARTESIAN_POINT('',(-5.285E1,3.976410161514E0,-1.825E1)); +#81214=DIRECTION('',(0.E0,-8.660254037844E-1,5.E-1)); +#81215=DIRECTION('',(0.E0,-5.E-1,-8.660254037844E-1)); +#81216=AXIS2_PLACEMENT_3D('',#81213,#81214,#81215); +#81217=PLANE('',#81216); +#81218=ORIENTED_EDGE('',*,*,#81203,.F.); +#81220=ORIENTED_EDGE('',*,*,#81219,.T.); +#81222=ORIENTED_EDGE('',*,*,#81221,.T.); +#81224=ORIENTED_EDGE('',*,*,#81223,.T.); +#81226=ORIENTED_EDGE('',*,*,#81225,.T.); +#81228=ORIENTED_EDGE('',*,*,#81227,.F.); +#81229=EDGE_LOOP('',(#81218,#81220,#81222,#81224,#81226,#81228)); +#81230=FACE_OUTER_BOUND('',#81229,.F.); +#81232=CARTESIAN_POINT('',(-5.460358983849E1,4.63E0,-1.825E1)); +#81233=DIRECTION('',(-8.660254037844E-1,0.E0,5.E-1)); +#81234=DIRECTION('',(-5.E-1,0.E0,-8.660254037844E-1)); +#81235=AXIS2_PLACEMENT_3D('',#81232,#81233,#81234); +#81236=PLANE('',#81235); +#81238=ORIENTED_EDGE('',*,*,#81237,.F.); +#81240=ORIENTED_EDGE('',*,*,#81239,.T.); +#81242=ORIENTED_EDGE('',*,*,#81241,.T.); +#81243=ORIENTED_EDGE('',*,*,#81219,.F.); +#81244=EDGE_LOOP('',(#81238,#81240,#81242,#81243)); +#81245=FACE_OUTER_BOUND('',#81244,.F.); +#81247=CARTESIAN_POINT('',(-5.495E1,5.63E0,-1.995E1)); +#81248=DIRECTION('',(-1.E0,0.E0,0.E0)); +#81249=DIRECTION('',(0.E0,-1.E0,0.E0)); +#81250=AXIS2_PLACEMENT_3D('',#81247,#81248,#81249); +#81251=PLANE('',#81250); +#81252=ORIENTED_EDGE('',*,*,#81237,.T.); +#81253=ORIENTED_EDGE('',*,*,#81208,.F.); +#81254=ORIENTED_EDGE('',*,*,#72092,.F.); +#81256=ORIENTED_EDGE('',*,*,#81255,.T.); +#81257=EDGE_LOOP('',(#81252,#81253,#81254,#81256)); +#81258=FACE_OUTER_BOUND('',#81257,.F.); +#81260=CARTESIAN_POINT('',(-5.075E1,5.63E0,-1.995E1)); +#81261=DIRECTION('',(0.E0,1.E0,0.E0)); +#81262=DIRECTION('',(-1.E0,0.E0,0.E0)); +#81263=AXIS2_PLACEMENT_3D('',#81260,#81261,#81262); +#81264=PLANE('',#81263); +#81266=ORIENTED_EDGE('',*,*,#81265,.T.); +#81267=ORIENTED_EDGE('',*,*,#81255,.F.); +#81268=ORIENTED_EDGE('',*,*,#72090,.F.); +#81270=ORIENTED_EDGE('',*,*,#81269,.T.); +#81271=EDGE_LOOP('',(#81266,#81267,#81268,#81270)); +#81272=FACE_OUTER_BOUND('',#81271,.F.); +#81274=CARTESIAN_POINT('',(-5.285E1,5.283589838486E0,-1.825E1)); +#81275=DIRECTION('',(0.E0,8.660254037844E-1,5.E-1)); +#81276=DIRECTION('',(0.E0,5.E-1,-8.660254037844E-1)); +#81277=AXIS2_PLACEMENT_3D('',#81274,#81275,#81276); +#81278=PLANE('',#81277); +#81279=ORIENTED_EDGE('',*,*,#81265,.F.); +#81281=ORIENTED_EDGE('',*,*,#81280,.T.); +#81283=ORIENTED_EDGE('',*,*,#81282,.T.); +#81285=ORIENTED_EDGE('',*,*,#81284,.T.); +#81287=ORIENTED_EDGE('',*,*,#81286,.T.); +#81288=ORIENTED_EDGE('',*,*,#81239,.F.); +#81289=EDGE_LOOP('',(#81279,#81281,#81283,#81285,#81287,#81288)); +#81290=FACE_OUTER_BOUND('',#81289,.F.); +#81292=CARTESIAN_POINT('',(-5.109641016151E1,4.63E0,-1.825E1)); +#81293=DIRECTION('',(8.660254037844E-1,0.E0,5.E-1)); +#81294=DIRECTION('',(5.E-1,0.E0,-8.660254037844E-1)); +#81295=AXIS2_PLACEMENT_3D('',#81292,#81293,#81294); +#81296=PLANE('',#81295); +#81298=ORIENTED_EDGE('',*,*,#81297,.F.); +#81299=ORIENTED_EDGE('',*,*,#81227,.T.); +#81301=ORIENTED_EDGE('',*,*,#81300,.T.); +#81302=ORIENTED_EDGE('',*,*,#81280,.F.); +#81303=EDGE_LOOP('',(#81298,#81299,#81301,#81302)); +#81304=FACE_OUTER_BOUND('',#81303,.F.); +#81306=CARTESIAN_POINT('',(-5.075E1,3.63E0,-1.995E1)); +#81307=DIRECTION('',(1.E0,0.E0,0.E0)); +#81308=DIRECTION('',(0.E0,1.E0,0.E0)); +#81309=AXIS2_PLACEMENT_3D('',#81306,#81307,#81308); +#81310=PLANE('',#81309); +#81311=ORIENTED_EDGE('',*,*,#81297,.T.); +#81312=ORIENTED_EDGE('',*,*,#81269,.F.); +#81313=ORIENTED_EDGE('',*,*,#72088,.F.); +#81314=ORIENTED_EDGE('',*,*,#81205,.T.); +#81315=EDGE_LOOP('',(#81311,#81312,#81313,#81314)); +#81316=FACE_OUTER_BOUND('',#81315,.F.); +#81318=CARTESIAN_POINT('',(0.E0,0.E0,-1.765E1)); +#81319=DIRECTION('',(0.E0,0.E0,1.E0)); +#81320=DIRECTION('',(1.E0,0.E0,0.E0)); +#81321=AXIS2_PLACEMENT_3D('',#81318,#81319,#81320); +#81322=PLANE('',#81321); +#81323=ORIENTED_EDGE('',*,*,#81282,.F.); +#81324=ORIENTED_EDGE('',*,*,#81300,.F.); +#81325=ORIENTED_EDGE('',*,*,#81225,.F.); +#81327=ORIENTED_EDGE('',*,*,#81326,.T.); +#81328=EDGE_LOOP('',(#81323,#81324,#81325,#81327)); +#81329=FACE_OUTER_BOUND('',#81328,.F.); +#81331=CARTESIAN_POINT('',(0.E0,0.E0,-1.765E1)); +#81332=DIRECTION('',(0.E0,0.E0,1.E0)); +#81333=DIRECTION('',(1.E0,0.E0,0.E0)); +#81334=AXIS2_PLACEMENT_3D('',#81331,#81332,#81333); +#81335=PLANE('',#81334); +#81337=ORIENTED_EDGE('',*,*,#81336,.F.); +#81339=ORIENTED_EDGE('',*,*,#81338,.F.); +#81341=ORIENTED_EDGE('',*,*,#81340,.F.); +#81343=ORIENTED_EDGE('',*,*,#81342,.T.); +#81344=EDGE_LOOP('',(#81337,#81339,#81341,#81343)); +#81345=FACE_OUTER_BOUND('',#81344,.F.); +#81347=CARTESIAN_POINT('',(0.E0,0.E0,-1.765E1)); +#81348=DIRECTION('',(0.E0,0.E0,1.E0)); +#81349=DIRECTION('',(1.E0,0.E0,0.E0)); +#81350=AXIS2_PLACEMENT_3D('',#81347,#81348,#81349); +#81351=PLANE('',#81350); +#81353=ORIENTED_EDGE('',*,*,#81352,.F.); +#81355=ORIENTED_EDGE('',*,*,#81354,.F.); +#81357=ORIENTED_EDGE('',*,*,#81356,.F.); +#81359=ORIENTED_EDGE('',*,*,#81358,.T.); +#81360=EDGE_LOOP('',(#81353,#81355,#81357,#81359)); +#81361=FACE_OUTER_BOUND('',#81360,.F.); +#81363=CARTESIAN_POINT('',(0.E0,0.E0,-1.765E1)); +#81364=DIRECTION('',(0.E0,0.E0,1.E0)); +#81365=DIRECTION('',(1.E0,0.E0,0.E0)); +#81366=AXIS2_PLACEMENT_3D('',#81363,#81364,#81365); +#81367=PLANE('',#81366); +#81369=ORIENTED_EDGE('',*,*,#81368,.F.); +#81371=ORIENTED_EDGE('',*,*,#81370,.F.); +#81373=ORIENTED_EDGE('',*,*,#81372,.F.); +#81375=ORIENTED_EDGE('',*,*,#81374,.T.); +#81376=EDGE_LOOP('',(#81369,#81371,#81373,#81375)); +#81377=FACE_OUTER_BOUND('',#81376,.F.); +#81379=CARTESIAN_POINT('',(0.E0,0.E0,-1.765E1)); +#81380=DIRECTION('',(0.E0,0.E0,1.E0)); +#81381=DIRECTION('',(1.E0,0.E0,0.E0)); +#81382=AXIS2_PLACEMENT_3D('',#81379,#81380,#81381); +#81383=PLANE('',#81382); +#81385=ORIENTED_EDGE('',*,*,#81384,.T.); +#81387=ORIENTED_EDGE('',*,*,#81386,.F.); +#81389=ORIENTED_EDGE('',*,*,#81388,.F.); +#81391=ORIENTED_EDGE('',*,*,#81390,.F.); +#81392=EDGE_LOOP('',(#81385,#81387,#81389,#81391)); +#81393=FACE_OUTER_BOUND('',#81392,.F.); +#81395=CARTESIAN_POINT('',(0.E0,0.E0,-1.765E1)); +#81396=DIRECTION('',(0.E0,0.E0,1.E0)); +#81397=DIRECTION('',(1.E0,0.E0,0.E0)); +#81398=AXIS2_PLACEMENT_3D('',#81395,#81396,#81397); +#81399=PLANE('',#81398); +#81401=ORIENTED_EDGE('',*,*,#81400,.T.); +#81403=ORIENTED_EDGE('',*,*,#81402,.F.); +#81405=ORIENTED_EDGE('',*,*,#81404,.F.); +#81407=ORIENTED_EDGE('',*,*,#81406,.F.); +#81408=EDGE_LOOP('',(#81401,#81403,#81405,#81407)); +#81409=FACE_OUTER_BOUND('',#81408,.F.); +#81411=CARTESIAN_POINT('',(0.E0,0.E0,-1.765E1)); +#81412=DIRECTION('',(0.E0,0.E0,1.E0)); +#81413=DIRECTION('',(1.E0,0.E0,0.E0)); +#81414=AXIS2_PLACEMENT_3D('',#81411,#81412,#81413); +#81415=PLANE('',#81414); +#81417=ORIENTED_EDGE('',*,*,#81416,.T.); +#81418=ORIENTED_EDGE('',*,*,#81221,.F.); +#81419=ORIENTED_EDGE('',*,*,#81241,.F.); +#81420=ORIENTED_EDGE('',*,*,#81286,.F.); +#81421=EDGE_LOOP('',(#81417,#81418,#81419,#81420)); +#81422=FACE_OUTER_BOUND('',#81421,.F.); +#81424=CARTESIAN_POINT('',(0.E0,0.E0,-1.765E1)); +#81425=DIRECTION('',(0.E0,0.E0,1.E0)); +#81426=DIRECTION('',(1.E0,0.E0,0.E0)); +#81427=AXIS2_PLACEMENT_3D('',#81424,#81425,#81426); +#81428=PLANE('',#81427); +#81430=ORIENTED_EDGE('',*,*,#81429,.T.); +#81432=ORIENTED_EDGE('',*,*,#81431,.F.); +#81434=ORIENTED_EDGE('',*,*,#81433,.F.); +#81436=ORIENTED_EDGE('',*,*,#81435,.F.); +#81437=EDGE_LOOP('',(#81430,#81432,#81434,#81436)); +#81438=FACE_OUTER_BOUND('',#81437,.F.); +#81440=CARTESIAN_POINT('',(-5.145E1,4.3225E0,-1.995E1)); +#81441=DIRECTION('',(1.E0,0.E0,0.E0)); +#81442=DIRECTION('',(0.E0,1.E0,0.E0)); +#81443=AXIS2_PLACEMENT_3D('',#81440,#81441,#81442); +#81444=PLANE('',#81443); +#81445=ORIENTED_EDGE('',*,*,#81326,.F.); +#81447=ORIENTED_EDGE('',*,*,#81446,.T.); +#81449=ORIENTED_EDGE('',*,*,#81448,.T.); +#81451=ORIENTED_EDGE('',*,*,#81450,.F.); +#81452=EDGE_LOOP('',(#81445,#81447,#81449,#81451)); +#81453=FACE_OUTER_BOUND('',#81452,.F.); +#81455=CARTESIAN_POINT('',(-5.425E1,4.3225E0,-1.995E1)); +#81456=DIRECTION('',(0.E0,-1.E0,0.E0)); +#81457=DIRECTION('',(1.E0,0.E0,0.E0)); +#81458=AXIS2_PLACEMENT_3D('',#81455,#81456,#81457); +#81459=PLANE('',#81458); +#81460=ORIENTED_EDGE('',*,*,#81223,.F.); +#81462=ORIENTED_EDGE('',*,*,#81461,.T.); +#81464=ORIENTED_EDGE('',*,*,#81463,.T.); +#81465=ORIENTED_EDGE('',*,*,#81446,.F.); +#81466=EDGE_LOOP('',(#81460,#81462,#81464,#81465)); +#81467=FACE_OUTER_BOUND('',#81466,.F.); +#81469=CARTESIAN_POINT('',(-5.425E1,4.9375E0,-1.995E1)); +#81470=DIRECTION('',(-1.E0,0.E0,0.E0)); +#81471=DIRECTION('',(0.E0,-1.E0,0.E0)); +#81472=AXIS2_PLACEMENT_3D('',#81469,#81470,#81471); +#81473=PLANE('',#81472); +#81474=ORIENTED_EDGE('',*,*,#81416,.F.); +#81476=ORIENTED_EDGE('',*,*,#81475,.T.); +#81478=ORIENTED_EDGE('',*,*,#81477,.T.); +#81479=ORIENTED_EDGE('',*,*,#81461,.F.); +#81480=EDGE_LOOP('',(#81474,#81476,#81478,#81479)); +#81481=FACE_OUTER_BOUND('',#81480,.F.); +#81483=CARTESIAN_POINT('',(-5.145E1,4.9375E0,-1.995E1)); +#81484=DIRECTION('',(0.E0,1.E0,0.E0)); +#81485=DIRECTION('',(-1.E0,0.E0,0.E0)); +#81486=AXIS2_PLACEMENT_3D('',#81483,#81484,#81485); +#81487=PLANE('',#81486); +#81488=ORIENTED_EDGE('',*,*,#81284,.F.); +#81489=ORIENTED_EDGE('',*,*,#81450,.T.); +#81491=ORIENTED_EDGE('',*,*,#81490,.T.); +#81492=ORIENTED_EDGE('',*,*,#81475,.F.); +#81493=EDGE_LOOP('',(#81488,#81489,#81491,#81492)); +#81494=FACE_OUTER_BOUND('',#81493,.F.); +#81496=CARTESIAN_POINT('',(0.E0,0.E0,-9.35E0)); +#81497=DIRECTION('',(0.E0,0.E0,1.E0)); +#81498=DIRECTION('',(1.E0,0.E0,0.E0)); +#81499=AXIS2_PLACEMENT_3D('',#81496,#81497,#81498); +#81500=PLANE('',#81499); +#81501=ORIENTED_EDGE('',*,*,#81477,.F.); +#81502=ORIENTED_EDGE('',*,*,#81490,.F.); +#81503=ORIENTED_EDGE('',*,*,#81448,.F.); +#81504=ORIENTED_EDGE('',*,*,#81463,.F.); +#81505=EDGE_LOOP('',(#81501,#81502,#81503,#81504)); +#81506=FACE_OUTER_BOUND('',#81505,.F.); +#81508=CARTESIAN_POINT('',(0.E0,0.E0,-9.35E0)); +#81509=DIRECTION('',(0.E0,0.E0,1.E0)); +#81510=DIRECTION('',(1.E0,0.E0,0.E0)); +#81511=AXIS2_PLACEMENT_3D('',#81508,#81509,#81510); +#81512=PLANE('',#81511); +#81514=ORIENTED_EDGE('',*,*,#81513,.F.); +#81516=ORIENTED_EDGE('',*,*,#81515,.F.); +#81518=ORIENTED_EDGE('',*,*,#81517,.F.); +#81520=ORIENTED_EDGE('',*,*,#81519,.F.); +#81521=EDGE_LOOP('',(#81514,#81516,#81518,#81520)); +#81522=FACE_OUTER_BOUND('',#81521,.F.); +#81524=CARTESIAN_POINT('',(-4.775E1,4.9375E0,-1.995E1)); +#81525=DIRECTION('',(-1.E0,0.E0,0.E0)); +#81526=DIRECTION('',(0.E0,-1.E0,0.E0)); +#81527=AXIS2_PLACEMENT_3D('',#81524,#81525,#81526); +#81528=PLANE('',#81527); +#81529=ORIENTED_EDGE('',*,*,#81429,.F.); +#81531=ORIENTED_EDGE('',*,*,#81530,.T.); +#81532=ORIENTED_EDGE('',*,*,#81513,.T.); +#81534=ORIENTED_EDGE('',*,*,#81533,.F.); +#81535=EDGE_LOOP('',(#81529,#81531,#81532,#81534)); +#81536=FACE_OUTER_BOUND('',#81535,.F.); +#81538=CARTESIAN_POINT('',(-4.495E1,4.9375E0,-1.995E1)); +#81539=DIRECTION('',(0.E0,1.E0,0.E0)); +#81540=DIRECTION('',(-1.E0,0.E0,0.E0)); +#81541=AXIS2_PLACEMENT_3D('',#81538,#81539,#81540); +#81542=PLANE('',#81541); +#81544=ORIENTED_EDGE('',*,*,#81543,.F.); +#81546=ORIENTED_EDGE('',*,*,#81545,.T.); +#81547=ORIENTED_EDGE('',*,*,#81515,.T.); +#81548=ORIENTED_EDGE('',*,*,#81530,.F.); +#81549=EDGE_LOOP('',(#81544,#81546,#81547,#81548)); +#81550=FACE_OUTER_BOUND('',#81549,.F.); +#81552=CARTESIAN_POINT('',(-4.635E1,5.283589838486E0,-1.825E1)); +#81553=DIRECTION('',(0.E0,8.660254037844E-1,5.E-1)); +#81554=DIRECTION('',(0.E0,5.E-1,-8.660254037844E-1)); +#81555=AXIS2_PLACEMENT_3D('',#81552,#81553,#81554); +#81556=PLANE('',#81555); +#81558=ORIENTED_EDGE('',*,*,#81557,.F.); +#81560=ORIENTED_EDGE('',*,*,#81559,.T.); +#81561=ORIENTED_EDGE('',*,*,#81336,.T.); +#81562=ORIENTED_EDGE('',*,*,#81543,.T.); +#81563=ORIENTED_EDGE('',*,*,#81435,.T.); +#81565=ORIENTED_EDGE('',*,*,#81564,.F.); +#81566=EDGE_LOOP('',(#81558,#81560,#81561,#81562,#81563,#81565)); +#81567=FACE_OUTER_BOUND('',#81566,.F.); +#81569=CARTESIAN_POINT('',(-4.425E1,5.63E0,-1.995E1)); +#81570=DIRECTION('',(0.E0,1.E0,0.E0)); +#81571=DIRECTION('',(-1.E0,0.E0,0.E0)); +#81572=AXIS2_PLACEMENT_3D('',#81569,#81570,#81571); +#81573=PLANE('',#81572); +#81574=ORIENTED_EDGE('',*,*,#81557,.T.); +#81576=ORIENTED_EDGE('',*,*,#81575,.F.); +#81577=ORIENTED_EDGE('',*,*,#72100,.F.); +#81579=ORIENTED_EDGE('',*,*,#81578,.T.); +#81580=EDGE_LOOP('',(#81574,#81576,#81577,#81579)); +#81581=FACE_OUTER_BOUND('',#81580,.F.); +#81583=CARTESIAN_POINT('',(-4.845E1,5.63E0,-1.995E1)); +#81584=DIRECTION('',(-1.E0,0.E0,0.E0)); +#81585=DIRECTION('',(0.E0,-1.E0,0.E0)); +#81586=AXIS2_PLACEMENT_3D('',#81583,#81584,#81585); +#81587=PLANE('',#81586); +#81589=ORIENTED_EDGE('',*,*,#81588,.T.); +#81591=ORIENTED_EDGE('',*,*,#81590,.F.); +#81592=ORIENTED_EDGE('',*,*,#72102,.F.); +#81593=ORIENTED_EDGE('',*,*,#81575,.T.); +#81594=EDGE_LOOP('',(#81589,#81591,#81592,#81593)); +#81595=FACE_OUTER_BOUND('',#81594,.F.); +#81597=CARTESIAN_POINT('',(-4.810358983849E1,4.63E0,-1.825E1)); +#81598=DIRECTION('',(-8.660254037844E-1,0.E0,5.E-1)); +#81599=DIRECTION('',(-5.E-1,0.E0,-8.660254037844E-1)); +#81600=AXIS2_PLACEMENT_3D('',#81597,#81598,#81599); +#81601=PLANE('',#81600); +#81602=ORIENTED_EDGE('',*,*,#81588,.F.); +#81603=ORIENTED_EDGE('',*,*,#81564,.T.); +#81604=ORIENTED_EDGE('',*,*,#81433,.T.); +#81606=ORIENTED_EDGE('',*,*,#81605,.F.); +#81607=EDGE_LOOP('',(#81602,#81603,#81604,#81606)); +#81608=FACE_OUTER_BOUND('',#81607,.F.); +#81610=CARTESIAN_POINT('',(-4.635E1,3.976410161514E0,-1.825E1)); +#81611=DIRECTION('',(0.E0,-8.660254037844E-1,5.E-1)); +#81612=DIRECTION('',(0.E0,-5.E-1,-8.660254037844E-1)); +#81613=AXIS2_PLACEMENT_3D('',#81610,#81611,#81612); +#81614=PLANE('',#81613); +#81616=ORIENTED_EDGE('',*,*,#81615,.F.); +#81617=ORIENTED_EDGE('',*,*,#81605,.T.); +#81618=ORIENTED_EDGE('',*,*,#81431,.T.); +#81620=ORIENTED_EDGE('',*,*,#81619,.T.); +#81621=ORIENTED_EDGE('',*,*,#81340,.T.); +#81623=ORIENTED_EDGE('',*,*,#81622,.F.); +#81624=EDGE_LOOP('',(#81616,#81617,#81618,#81620,#81621,#81623)); +#81625=FACE_OUTER_BOUND('',#81624,.F.); +#81627=CARTESIAN_POINT('',(-4.845E1,3.63E0,-1.995E1)); +#81628=DIRECTION('',(0.E0,-1.E0,0.E0)); +#81629=DIRECTION('',(1.E0,0.E0,0.E0)); +#81630=AXIS2_PLACEMENT_3D('',#81627,#81628,#81629); +#81631=PLANE('',#81630); +#81632=ORIENTED_EDGE('',*,*,#81615,.T.); +#81634=ORIENTED_EDGE('',*,*,#81633,.F.); +#81635=ORIENTED_EDGE('',*,*,#72096,.F.); +#81636=ORIENTED_EDGE('',*,*,#81590,.T.); +#81637=EDGE_LOOP('',(#81632,#81634,#81635,#81636)); +#81638=FACE_OUTER_BOUND('',#81637,.F.); +#81640=CARTESIAN_POINT('',(-4.425E1,3.63E0,-1.995E1)); +#81641=DIRECTION('',(1.E0,0.E0,0.E0)); +#81642=DIRECTION('',(0.E0,1.E0,0.E0)); +#81643=AXIS2_PLACEMENT_3D('',#81640,#81641,#81642); +#81644=PLANE('',#81643); +#81646=ORIENTED_EDGE('',*,*,#81645,.T.); +#81647=ORIENTED_EDGE('',*,*,#81578,.F.); +#81648=ORIENTED_EDGE('',*,*,#72098,.F.); +#81649=ORIENTED_EDGE('',*,*,#81633,.T.); +#81650=EDGE_LOOP('',(#81646,#81647,#81648,#81649)); +#81651=FACE_OUTER_BOUND('',#81650,.F.); +#81653=CARTESIAN_POINT('',(-4.459641016151E1,4.63E0,-1.825E1)); +#81654=DIRECTION('',(8.660254037844E-1,0.E0,5.E-1)); +#81655=DIRECTION('',(5.E-1,0.E0,-8.660254037844E-1)); +#81656=AXIS2_PLACEMENT_3D('',#81653,#81654,#81655); +#81657=PLANE('',#81656); +#81658=ORIENTED_EDGE('',*,*,#81645,.F.); +#81659=ORIENTED_EDGE('',*,*,#81622,.T.); +#81660=ORIENTED_EDGE('',*,*,#81338,.T.); +#81661=ORIENTED_EDGE('',*,*,#81559,.F.); +#81662=EDGE_LOOP('',(#81658,#81659,#81660,#81661)); +#81663=FACE_OUTER_BOUND('',#81662,.F.); +#81665=CARTESIAN_POINT('',(-4.775E1,4.3225E0,-1.995E1)); +#81666=DIRECTION('',(0.E0,-1.E0,0.E0)); +#81667=DIRECTION('',(1.E0,0.E0,0.E0)); +#81668=AXIS2_PLACEMENT_3D('',#81665,#81666,#81667); +#81669=PLANE('',#81668); +#81670=ORIENTED_EDGE('',*,*,#81619,.F.); +#81671=ORIENTED_EDGE('',*,*,#81533,.T.); +#81672=ORIENTED_EDGE('',*,*,#81519,.T.); +#81674=ORIENTED_EDGE('',*,*,#81673,.F.); +#81675=EDGE_LOOP('',(#81670,#81671,#81672,#81674)); +#81676=FACE_OUTER_BOUND('',#81675,.F.); +#81678=CARTESIAN_POINT('',(-4.495E1,4.3225E0,-1.995E1)); +#81679=DIRECTION('',(1.E0,0.E0,0.E0)); +#81680=DIRECTION('',(0.E0,1.E0,0.E0)); +#81681=AXIS2_PLACEMENT_3D('',#81678,#81679,#81680); +#81682=PLANE('',#81681); +#81683=ORIENTED_EDGE('',*,*,#81342,.F.); +#81684=ORIENTED_EDGE('',*,*,#81673,.T.); +#81685=ORIENTED_EDGE('',*,*,#81517,.T.); +#81686=ORIENTED_EDGE('',*,*,#81545,.F.); +#81687=EDGE_LOOP('',(#81683,#81684,#81685,#81686)); +#81688=FACE_OUTER_BOUND('',#81687,.F.); +#81690=CARTESIAN_POINT('',(-5.61E1,-7.164101615138E-1,-1.825E1)); +#81691=DIRECTION('',(0.E0,8.660254037844E-1,5.E-1)); +#81692=DIRECTION('',(0.E0,5.E-1,-8.660254037844E-1)); +#81693=AXIS2_PLACEMENT_3D('',#81690,#81691,#81692); +#81694=PLANE('',#81693); +#81696=ORIENTED_EDGE('',*,*,#81695,.F.); +#81698=ORIENTED_EDGE('',*,*,#81697,.T.); +#81699=ORIENTED_EDGE('',*,*,#81352,.T.); +#81701=ORIENTED_EDGE('',*,*,#81700,.T.); +#81702=ORIENTED_EDGE('',*,*,#81390,.T.); +#81704=ORIENTED_EDGE('',*,*,#81703,.F.); +#81705=EDGE_LOOP('',(#81696,#81698,#81699,#81701,#81702,#81704)); +#81706=FACE_OUTER_BOUND('',#81705,.F.); +#81708=CARTESIAN_POINT('',(-5.4E1,-3.7E-1,-1.995E1)); +#81709=DIRECTION('',(0.E0,1.E0,0.E0)); +#81710=DIRECTION('',(-1.E0,0.E0,0.E0)); +#81711=AXIS2_PLACEMENT_3D('',#81708,#81709,#81710); +#81712=PLANE('',#81711); +#81713=ORIENTED_EDGE('',*,*,#81695,.T.); +#81715=ORIENTED_EDGE('',*,*,#81714,.F.); +#81716=ORIENTED_EDGE('',*,*,#72110,.F.); +#81718=ORIENTED_EDGE('',*,*,#81717,.T.); +#81719=EDGE_LOOP('',(#81713,#81715,#81716,#81718)); +#81720=FACE_OUTER_BOUND('',#81719,.F.); +#81722=CARTESIAN_POINT('',(-5.82E1,-3.7E-1,-1.995E1)); +#81723=DIRECTION('',(-1.E0,0.E0,0.E0)); +#81724=DIRECTION('',(0.E0,-1.E0,0.E0)); +#81725=AXIS2_PLACEMENT_3D('',#81722,#81723,#81724); +#81726=PLANE('',#81725); +#81728=ORIENTED_EDGE('',*,*,#81727,.T.); +#81730=ORIENTED_EDGE('',*,*,#81729,.F.); +#81731=ORIENTED_EDGE('',*,*,#72112,.F.); +#81732=ORIENTED_EDGE('',*,*,#81714,.T.); +#81733=EDGE_LOOP('',(#81728,#81730,#81731,#81732)); +#81734=FACE_OUTER_BOUND('',#81733,.F.); +#81736=CARTESIAN_POINT('',(-5.785358983849E1,-1.37E0,-1.825E1)); +#81737=DIRECTION('',(-8.660254037844E-1,0.E0,5.E-1)); +#81738=DIRECTION('',(-5.E-1,0.E0,-8.660254037844E-1)); +#81739=AXIS2_PLACEMENT_3D('',#81736,#81737,#81738); +#81740=PLANE('',#81739); +#81741=ORIENTED_EDGE('',*,*,#81727,.F.); +#81742=ORIENTED_EDGE('',*,*,#81703,.T.); +#81743=ORIENTED_EDGE('',*,*,#81388,.T.); +#81745=ORIENTED_EDGE('',*,*,#81744,.F.); +#81746=EDGE_LOOP('',(#81741,#81742,#81743,#81745)); +#81747=FACE_OUTER_BOUND('',#81746,.F.); +#81749=CARTESIAN_POINT('',(-5.61E1,-2.023589838486E0,-1.825E1)); +#81750=DIRECTION('',(0.E0,-8.660254037844E-1,5.E-1)); +#81751=DIRECTION('',(0.E0,-5.E-1,-8.660254037844E-1)); +#81752=AXIS2_PLACEMENT_3D('',#81749,#81750,#81751); +#81753=PLANE('',#81752); +#81755=ORIENTED_EDGE('',*,*,#81754,.F.); +#81756=ORIENTED_EDGE('',*,*,#81744,.T.); +#81757=ORIENTED_EDGE('',*,*,#81386,.T.); +#81759=ORIENTED_EDGE('',*,*,#81758,.T.); +#81760=ORIENTED_EDGE('',*,*,#81356,.T.); +#81762=ORIENTED_EDGE('',*,*,#81761,.F.); +#81763=EDGE_LOOP('',(#81755,#81756,#81757,#81759,#81760,#81762)); +#81764=FACE_OUTER_BOUND('',#81763,.F.); +#81766=CARTESIAN_POINT('',(-5.82E1,-2.37E0,-1.995E1)); +#81767=DIRECTION('',(0.E0,-1.E0,0.E0)); +#81768=DIRECTION('',(1.E0,0.E0,0.E0)); +#81769=AXIS2_PLACEMENT_3D('',#81766,#81767,#81768); +#81770=PLANE('',#81769); +#81771=ORIENTED_EDGE('',*,*,#81754,.T.); +#81773=ORIENTED_EDGE('',*,*,#81772,.F.); +#81774=ORIENTED_EDGE('',*,*,#72106,.F.); +#81775=ORIENTED_EDGE('',*,*,#81729,.T.); +#81776=EDGE_LOOP('',(#81771,#81773,#81774,#81775)); +#81777=FACE_OUTER_BOUND('',#81776,.F.); +#81779=CARTESIAN_POINT('',(-5.4E1,-2.37E0,-1.995E1)); +#81780=DIRECTION('',(1.E0,0.E0,0.E0)); +#81781=DIRECTION('',(0.E0,1.E0,0.E0)); +#81782=AXIS2_PLACEMENT_3D('',#81779,#81780,#81781); +#81783=PLANE('',#81782); +#81785=ORIENTED_EDGE('',*,*,#81784,.T.); +#81786=ORIENTED_EDGE('',*,*,#81717,.F.); +#81787=ORIENTED_EDGE('',*,*,#72108,.F.); +#81788=ORIENTED_EDGE('',*,*,#81772,.T.); +#81789=EDGE_LOOP('',(#81785,#81786,#81787,#81788)); +#81790=FACE_OUTER_BOUND('',#81789,.F.); +#81792=CARTESIAN_POINT('',(-5.434641016151E1,-1.37E0,-1.825E1)); +#81793=DIRECTION('',(8.660254037844E-1,0.E0,5.E-1)); +#81794=DIRECTION('',(5.E-1,0.E0,-8.660254037844E-1)); +#81795=AXIS2_PLACEMENT_3D('',#81792,#81793,#81794); +#81796=PLANE('',#81795); +#81797=ORIENTED_EDGE('',*,*,#81784,.F.); +#81798=ORIENTED_EDGE('',*,*,#81761,.T.); +#81799=ORIENTED_EDGE('',*,*,#81354,.T.); +#81800=ORIENTED_EDGE('',*,*,#81697,.F.); +#81801=EDGE_LOOP('',(#81797,#81798,#81799,#81800)); +#81802=FACE_OUTER_BOUND('',#81801,.F.); +#81804=CARTESIAN_POINT('',(-5.75E1,-1.6775E0,-1.995E1)); +#81805=DIRECTION('',(0.E0,-1.E0,0.E0)); +#81806=DIRECTION('',(1.E0,0.E0,0.E0)); +#81807=AXIS2_PLACEMENT_3D('',#81804,#81805,#81806); +#81808=PLANE('',#81807); +#81809=ORIENTED_EDGE('',*,*,#81758,.F.); +#81811=ORIENTED_EDGE('',*,*,#81810,.T.); +#81813=ORIENTED_EDGE('',*,*,#81812,.T.); +#81815=ORIENTED_EDGE('',*,*,#81814,.F.); +#81816=EDGE_LOOP('',(#81809,#81811,#81813,#81815)); +#81817=FACE_OUTER_BOUND('',#81816,.F.); +#81819=CARTESIAN_POINT('',(-5.75E1,-1.0625E0,-1.995E1)); +#81820=DIRECTION('',(-1.E0,0.E0,0.E0)); +#81821=DIRECTION('',(0.E0,-1.E0,0.E0)); +#81822=AXIS2_PLACEMENT_3D('',#81819,#81820,#81821); +#81823=PLANE('',#81822); +#81824=ORIENTED_EDGE('',*,*,#81384,.F.); +#81826=ORIENTED_EDGE('',*,*,#81825,.T.); +#81828=ORIENTED_EDGE('',*,*,#81827,.T.); +#81829=ORIENTED_EDGE('',*,*,#81810,.F.); +#81830=EDGE_LOOP('',(#81824,#81826,#81828,#81829)); +#81831=FACE_OUTER_BOUND('',#81830,.F.); +#81833=CARTESIAN_POINT('',(-5.47E1,-1.0625E0,-1.995E1)); +#81834=DIRECTION('',(0.E0,1.E0,0.E0)); +#81835=DIRECTION('',(-1.E0,0.E0,0.E0)); +#81836=AXIS2_PLACEMENT_3D('',#81833,#81834,#81835); +#81837=PLANE('',#81836); +#81838=ORIENTED_EDGE('',*,*,#81700,.F.); +#81840=ORIENTED_EDGE('',*,*,#81839,.T.); +#81842=ORIENTED_EDGE('',*,*,#81841,.T.); +#81843=ORIENTED_EDGE('',*,*,#81825,.F.); +#81844=EDGE_LOOP('',(#81838,#81840,#81842,#81843)); +#81845=FACE_OUTER_BOUND('',#81844,.F.); +#81847=CARTESIAN_POINT('',(-5.47E1,-1.6775E0,-1.995E1)); +#81848=DIRECTION('',(1.E0,0.E0,0.E0)); +#81849=DIRECTION('',(0.E0,1.E0,0.E0)); +#81850=AXIS2_PLACEMENT_3D('',#81847,#81848,#81849); +#81851=PLANE('',#81850); +#81852=ORIENTED_EDGE('',*,*,#81358,.F.); +#81853=ORIENTED_EDGE('',*,*,#81814,.T.); +#81855=ORIENTED_EDGE('',*,*,#81854,.T.); +#81856=ORIENTED_EDGE('',*,*,#81839,.F.); +#81857=EDGE_LOOP('',(#81852,#81853,#81855,#81856)); +#81858=FACE_OUTER_BOUND('',#81857,.F.); +#81860=CARTESIAN_POINT('',(0.E0,0.E0,-1.085E1)); +#81861=DIRECTION('',(0.E0,0.E0,1.E0)); +#81862=DIRECTION('',(1.E0,0.E0,0.E0)); +#81863=AXIS2_PLACEMENT_3D('',#81860,#81861,#81862); +#81864=PLANE('',#81863); +#81865=ORIENTED_EDGE('',*,*,#81827,.F.); +#81866=ORIENTED_EDGE('',*,*,#81841,.F.); +#81867=ORIENTED_EDGE('',*,*,#81854,.F.); +#81868=ORIENTED_EDGE('',*,*,#81812,.F.); +#81869=EDGE_LOOP('',(#81865,#81866,#81867,#81868)); +#81870=FACE_OUTER_BOUND('',#81869,.F.); +#81872=CARTESIAN_POINT('',(0.E0,0.E0,-1.085E1)); +#81873=DIRECTION('',(0.E0,0.E0,1.E0)); +#81874=DIRECTION('',(1.E0,0.E0,0.E0)); +#81875=AXIS2_PLACEMENT_3D('',#81872,#81873,#81874); +#81876=PLANE('',#81875); +#81878=ORIENTED_EDGE('',*,*,#81877,.F.); +#81880=ORIENTED_EDGE('',*,*,#81879,.F.); +#81882=ORIENTED_EDGE('',*,*,#81881,.F.); +#81884=ORIENTED_EDGE('',*,*,#81883,.F.); +#81885=EDGE_LOOP('',(#81878,#81880,#81882,#81884)); +#81886=FACE_OUTER_BOUND('',#81885,.F.); +#81888=CARTESIAN_POINT('',(-5.1E1,-1.0625E0,-1.995E1)); +#81889=DIRECTION('',(-1.E0,0.E0,0.E0)); +#81890=DIRECTION('',(0.E0,-1.E0,0.E0)); +#81891=AXIS2_PLACEMENT_3D('',#81888,#81889,#81890); +#81892=PLANE('',#81891); +#81893=ORIENTED_EDGE('',*,*,#81400,.F.); +#81895=ORIENTED_EDGE('',*,*,#81894,.T.); +#81896=ORIENTED_EDGE('',*,*,#81877,.T.); +#81898=ORIENTED_EDGE('',*,*,#81897,.F.); +#81899=EDGE_LOOP('',(#81893,#81895,#81896,#81898)); +#81900=FACE_OUTER_BOUND('',#81899,.F.); +#81902=CARTESIAN_POINT('',(-4.82E1,-1.0625E0,-1.995E1)); +#81903=DIRECTION('',(0.E0,1.E0,0.E0)); +#81904=DIRECTION('',(-1.E0,0.E0,0.E0)); +#81905=AXIS2_PLACEMENT_3D('',#81902,#81903,#81904); +#81906=PLANE('',#81905); +#81908=ORIENTED_EDGE('',*,*,#81907,.F.); +#81910=ORIENTED_EDGE('',*,*,#81909,.T.); +#81911=ORIENTED_EDGE('',*,*,#81879,.T.); +#81912=ORIENTED_EDGE('',*,*,#81894,.F.); +#81913=EDGE_LOOP('',(#81908,#81910,#81911,#81912)); +#81914=FACE_OUTER_BOUND('',#81913,.F.); +#81916=CARTESIAN_POINT('',(-4.96E1,-7.164101615138E-1,-1.825E1)); +#81917=DIRECTION('',(0.E0,8.660254037844E-1,5.E-1)); +#81918=DIRECTION('',(0.E0,5.E-1,-8.660254037844E-1)); +#81919=AXIS2_PLACEMENT_3D('',#81916,#81917,#81918); +#81920=PLANE('',#81919); +#81922=ORIENTED_EDGE('',*,*,#81921,.F.); +#81924=ORIENTED_EDGE('',*,*,#81923,.T.); +#81925=ORIENTED_EDGE('',*,*,#81368,.T.); +#81926=ORIENTED_EDGE('',*,*,#81907,.T.); +#81927=ORIENTED_EDGE('',*,*,#81406,.T.); +#81929=ORIENTED_EDGE('',*,*,#81928,.F.); +#81930=EDGE_LOOP('',(#81922,#81924,#81925,#81926,#81927,#81929)); +#81931=FACE_OUTER_BOUND('',#81930,.F.); +#81933=CARTESIAN_POINT('',(-4.75E1,-3.7E-1,-1.995E1)); +#81934=DIRECTION('',(0.E0,1.E0,0.E0)); +#81935=DIRECTION('',(-1.E0,0.E0,0.E0)); +#81936=AXIS2_PLACEMENT_3D('',#81933,#81934,#81935); +#81937=PLANE('',#81936); +#81938=ORIENTED_EDGE('',*,*,#81921,.T.); +#81940=ORIENTED_EDGE('',*,*,#81939,.F.); +#81941=ORIENTED_EDGE('',*,*,#72120,.F.); +#81943=ORIENTED_EDGE('',*,*,#81942,.T.); +#81944=EDGE_LOOP('',(#81938,#81940,#81941,#81943)); +#81945=FACE_OUTER_BOUND('',#81944,.F.); +#81947=CARTESIAN_POINT('',(-5.17E1,-3.7E-1,-1.995E1)); +#81948=DIRECTION('',(-1.E0,0.E0,0.E0)); +#81949=DIRECTION('',(0.E0,-1.E0,0.E0)); +#81950=AXIS2_PLACEMENT_3D('',#81947,#81948,#81949); +#81951=PLANE('',#81950); +#81953=ORIENTED_EDGE('',*,*,#81952,.T.); +#81955=ORIENTED_EDGE('',*,*,#81954,.F.); +#81956=ORIENTED_EDGE('',*,*,#72122,.F.); +#81957=ORIENTED_EDGE('',*,*,#81939,.T.); +#81958=EDGE_LOOP('',(#81953,#81955,#81956,#81957)); +#81959=FACE_OUTER_BOUND('',#81958,.F.); +#81961=CARTESIAN_POINT('',(-5.135358983849E1,-1.37E0,-1.825E1)); +#81962=DIRECTION('',(-8.660254037844E-1,0.E0,5.E-1)); +#81963=DIRECTION('',(-5.E-1,0.E0,-8.660254037844E-1)); +#81964=AXIS2_PLACEMENT_3D('',#81961,#81962,#81963); +#81965=PLANE('',#81964); +#81966=ORIENTED_EDGE('',*,*,#81952,.F.); +#81967=ORIENTED_EDGE('',*,*,#81928,.T.); +#81968=ORIENTED_EDGE('',*,*,#81404,.T.); +#81970=ORIENTED_EDGE('',*,*,#81969,.F.); +#81971=EDGE_LOOP('',(#81966,#81967,#81968,#81970)); +#81972=FACE_OUTER_BOUND('',#81971,.F.); +#81974=CARTESIAN_POINT('',(-4.96E1,-2.023589838486E0,-1.825E1)); +#81975=DIRECTION('',(0.E0,-8.660254037844E-1,5.E-1)); +#81976=DIRECTION('',(0.E0,-5.E-1,-8.660254037844E-1)); +#81977=AXIS2_PLACEMENT_3D('',#81974,#81975,#81976); +#81978=PLANE('',#81977); +#81980=ORIENTED_EDGE('',*,*,#81979,.F.); +#81981=ORIENTED_EDGE('',*,*,#81969,.T.); +#81982=ORIENTED_EDGE('',*,*,#81402,.T.); +#81984=ORIENTED_EDGE('',*,*,#81983,.T.); +#81985=ORIENTED_EDGE('',*,*,#81372,.T.); +#81987=ORIENTED_EDGE('',*,*,#81986,.F.); +#81988=EDGE_LOOP('',(#81980,#81981,#81982,#81984,#81985,#81987)); +#81989=FACE_OUTER_BOUND('',#81988,.F.); +#81991=CARTESIAN_POINT('',(-5.17E1,-2.37E0,-1.995E1)); +#81992=DIRECTION('',(0.E0,-1.E0,0.E0)); +#81993=DIRECTION('',(1.E0,0.E0,0.E0)); +#81994=AXIS2_PLACEMENT_3D('',#81991,#81992,#81993); +#81995=PLANE('',#81994); +#81996=ORIENTED_EDGE('',*,*,#81979,.T.); +#81998=ORIENTED_EDGE('',*,*,#81997,.F.); +#81999=ORIENTED_EDGE('',*,*,#72116,.F.); +#82000=ORIENTED_EDGE('',*,*,#81954,.T.); +#82001=EDGE_LOOP('',(#81996,#81998,#81999,#82000)); +#82002=FACE_OUTER_BOUND('',#82001,.F.); +#82004=CARTESIAN_POINT('',(-4.75E1,-2.37E0,-1.995E1)); +#82005=DIRECTION('',(1.E0,0.E0,0.E0)); +#82006=DIRECTION('',(0.E0,1.E0,0.E0)); +#82007=AXIS2_PLACEMENT_3D('',#82004,#82005,#82006); +#82008=PLANE('',#82007); +#82010=ORIENTED_EDGE('',*,*,#82009,.T.); +#82011=ORIENTED_EDGE('',*,*,#81942,.F.); +#82012=ORIENTED_EDGE('',*,*,#72118,.F.); +#82013=ORIENTED_EDGE('',*,*,#81997,.T.); +#82014=EDGE_LOOP('',(#82010,#82011,#82012,#82013)); +#82015=FACE_OUTER_BOUND('',#82014,.F.); +#82017=CARTESIAN_POINT('',(-4.784641016151E1,-1.37E0,-1.825E1)); +#82018=DIRECTION('',(8.660254037844E-1,0.E0,5.E-1)); +#82019=DIRECTION('',(5.E-1,0.E0,-8.660254037844E-1)); +#82020=AXIS2_PLACEMENT_3D('',#82017,#82018,#82019); +#82021=PLANE('',#82020); +#82022=ORIENTED_EDGE('',*,*,#82009,.F.); +#82023=ORIENTED_EDGE('',*,*,#81986,.T.); +#82024=ORIENTED_EDGE('',*,*,#81370,.T.); +#82025=ORIENTED_EDGE('',*,*,#81923,.F.); +#82026=EDGE_LOOP('',(#82022,#82023,#82024,#82025)); +#82027=FACE_OUTER_BOUND('',#82026,.F.); +#82029=CARTESIAN_POINT('',(-5.1E1,-1.6775E0,-1.995E1)); +#82030=DIRECTION('',(0.E0,-1.E0,0.E0)); +#82031=DIRECTION('',(1.E0,0.E0,0.E0)); +#82032=AXIS2_PLACEMENT_3D('',#82029,#82030,#82031); +#82033=PLANE('',#82032); +#82034=ORIENTED_EDGE('',*,*,#81983,.F.); +#82035=ORIENTED_EDGE('',*,*,#81897,.T.); +#82036=ORIENTED_EDGE('',*,*,#81883,.T.); +#82038=ORIENTED_EDGE('',*,*,#82037,.F.); +#82039=EDGE_LOOP('',(#82034,#82035,#82036,#82038)); +#82040=FACE_OUTER_BOUND('',#82039,.F.); +#82042=CARTESIAN_POINT('',(-4.82E1,-1.6775E0,-1.995E1)); +#82043=DIRECTION('',(1.E0,0.E0,0.E0)); +#82044=DIRECTION('',(0.E0,1.E0,0.E0)); +#82045=AXIS2_PLACEMENT_3D('',#82042,#82043,#82044); +#82046=PLANE('',#82045); +#82047=ORIENTED_EDGE('',*,*,#81374,.F.); +#82048=ORIENTED_EDGE('',*,*,#82037,.T.); +#82049=ORIENTED_EDGE('',*,*,#81881,.T.); +#82050=ORIENTED_EDGE('',*,*,#81909,.F.); +#82051=EDGE_LOOP('',(#82047,#82048,#82049,#82050)); +#82052=FACE_OUTER_BOUND('',#82051,.F.); +#82054=CARTESIAN_POINT('',(2.425E1,-1.682511336474E0,-1.895E1)); +#82055=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#82056=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#82057=AXIS2_PLACEMENT_3D('',#82054,#82055,#82056); +#82058=PLANE('',#82057); +#82060=ORIENTED_EDGE('',*,*,#82059,.T.); +#82062=ORIENTED_EDGE('',*,*,#82061,.T.); +#82064=ORIENTED_EDGE('',*,*,#82063,.T.); +#82065=ORIENTED_EDGE('',*,*,#72787,.F.); +#82066=EDGE_LOOP('',(#82060,#82062,#82064,#82065)); +#82067=FACE_OUTER_BOUND('',#82066,.F.); +#82069=CARTESIAN_POINT('',(2.535E1,0.E0,-1.995E1)); +#82070=DIRECTION('',(1.E0,0.E0,0.E0)); +#82071=DIRECTION('',(0.E0,0.E0,-1.E0)); +#82072=AXIS2_PLACEMENT_3D('',#82069,#82070,#82071); +#82073=PLANE('',#82072); +#82075=ORIENTED_EDGE('',*,*,#82074,.F.); +#82076=ORIENTED_EDGE('',*,*,#82059,.F.); +#82077=ORIENTED_EDGE('',*,*,#72793,.T.); +#82079=ORIENTED_EDGE('',*,*,#82078,.F.); +#82080=EDGE_LOOP('',(#82075,#82076,#82077,#82079)); +#82081=FACE_OUTER_BOUND('',#82080,.F.); +#82083=CARTESIAN_POINT('',(2.535E1,0.E0,-1.995E1)); +#82084=DIRECTION('',(1.E0,0.E0,0.E0)); +#82085=DIRECTION('',(0.E0,0.E0,-1.E0)); +#82086=AXIS2_PLACEMENT_3D('',#82083,#82084,#82085); +#82087=PLANE('',#82086); +#82089=ORIENTED_EDGE('',*,*,#82088,.T.); +#82090=ORIENTED_EDGE('',*,*,#72317,.T.); +#82092=ORIENTED_EDGE('',*,*,#82091,.F.); +#82094=ORIENTED_EDGE('',*,*,#82093,.F.); +#82096=ORIENTED_EDGE('',*,*,#82095,.F.); +#82097=EDGE_LOOP('',(#82089,#82090,#82092,#82094,#82096)); +#82098=FACE_OUTER_BOUND('',#82097,.F.); +#82100=CARTESIAN_POINT('',(2.52E1,-2.47E0,-1.78E1)); +#82101=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#82102=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#82103=AXIS2_PLACEMENT_3D('',#82100,#82101,#82102); +#82104=PLANE('',#82103); +#82106=ORIENTED_EDGE('',*,*,#82105,.F.); +#82108=ORIENTED_EDGE('',*,*,#82107,.T.); +#82109=ORIENTED_EDGE('',*,*,#82074,.T.); +#82111=ORIENTED_EDGE('',*,*,#82110,.T.); +#82112=EDGE_LOOP('',(#82106,#82108,#82109,#82111)); +#82113=FACE_OUTER_BOUND('',#82112,.F.); +#82115=CARTESIAN_POINT('',(2.315E1,-2.07E0,-1.765E1)); +#82116=DIRECTION('',(0.E0,0.E0,1.E0)); +#82117=DIRECTION('',(0.E0,-1.E0,0.E0)); +#82118=AXIS2_PLACEMENT_3D('',#82115,#82116,#82117); +#82119=PLANE('',#82118); +#82120=ORIENTED_EDGE('',*,*,#82105,.T.); +#82122=ORIENTED_EDGE('',*,*,#82121,.F.); +#82124=ORIENTED_EDGE('',*,*,#82123,.T.); +#82126=ORIENTED_EDGE('',*,*,#82125,.T.); +#82127=EDGE_LOOP('',(#82120,#82122,#82124,#82126)); +#82128=FACE_OUTER_BOUND('',#82127,.F.); +#82130=ORIENTED_EDGE('',*,*,#82129,.T.); +#82132=ORIENTED_EDGE('',*,*,#82131,.T.); +#82134=ORIENTED_EDGE('',*,*,#82133,.T.); +#82136=ORIENTED_EDGE('',*,*,#82135,.T.); +#82137=EDGE_LOOP('',(#82130,#82132,#82134,#82136)); +#82138=FACE_BOUND('',#82137,.F.); +#82140=CARTESIAN_POINT('',(2.455E1,-2.785E0,-1.995E1)); +#82141=DIRECTION('',(1.E0,0.E0,0.E0)); +#82142=DIRECTION('',(0.E0,1.E0,0.E0)); +#82143=AXIS2_PLACEMENT_3D('',#82140,#82141,#82142); +#82144=PLANE('',#82143); +#82146=ORIENTED_EDGE('',*,*,#82145,.T.); +#82148=ORIENTED_EDGE('',*,*,#82147,.T.); +#82150=ORIENTED_EDGE('',*,*,#82149,.F.); +#82151=ORIENTED_EDGE('',*,*,#82129,.F.); +#82152=EDGE_LOOP('',(#82146,#82148,#82150,#82151)); +#82153=FACE_OUTER_BOUND('',#82152,.F.); +#82155=CARTESIAN_POINT('',(2.395E1,-2.785E0,-1.995E1)); +#82156=DIRECTION('',(0.E0,-1.E0,0.E0)); +#82157=DIRECTION('',(1.E0,0.E0,0.E0)); +#82158=AXIS2_PLACEMENT_3D('',#82155,#82156,#82157); +#82159=PLANE('',#82158); +#82161=ORIENTED_EDGE('',*,*,#82160,.T.); +#82163=ORIENTED_EDGE('',*,*,#82162,.T.); +#82164=ORIENTED_EDGE('',*,*,#82145,.F.); +#82165=ORIENTED_EDGE('',*,*,#82135,.F.); +#82166=EDGE_LOOP('',(#82161,#82163,#82164,#82165)); +#82167=FACE_OUTER_BOUND('',#82166,.F.); +#82169=CARTESIAN_POINT('',(2.395E1,-2.155E0,-1.995E1)); +#82170=DIRECTION('',(-1.E0,0.E0,0.E0)); +#82171=DIRECTION('',(0.E0,-1.E0,0.E0)); +#82172=AXIS2_PLACEMENT_3D('',#82169,#82170,#82171); +#82173=PLANE('',#82172); +#82175=ORIENTED_EDGE('',*,*,#82174,.T.); +#82177=ORIENTED_EDGE('',*,*,#82176,.T.); +#82178=ORIENTED_EDGE('',*,*,#82160,.F.); +#82179=ORIENTED_EDGE('',*,*,#82133,.F.); +#82180=EDGE_LOOP('',(#82175,#82177,#82178,#82179)); +#82181=FACE_OUTER_BOUND('',#82180,.F.); +#82183=CARTESIAN_POINT('',(2.455E1,-2.155E0,-1.995E1)); +#82184=DIRECTION('',(0.E0,1.E0,0.E0)); +#82185=DIRECTION('',(-1.E0,0.E0,0.E0)); +#82186=AXIS2_PLACEMENT_3D('',#82183,#82184,#82185); +#82187=PLANE('',#82186); +#82188=ORIENTED_EDGE('',*,*,#82174,.F.); +#82189=ORIENTED_EDGE('',*,*,#82131,.F.); +#82190=ORIENTED_EDGE('',*,*,#82149,.T.); +#82192=ORIENTED_EDGE('',*,*,#82191,.T.); +#82193=EDGE_LOOP('',(#82188,#82189,#82190,#82192)); +#82194=FACE_OUTER_BOUND('',#82193,.F.); +#82196=CARTESIAN_POINT('',(0.E0,0.E0,-1.065E1)); +#82197=DIRECTION('',(0.E0,0.E0,1.E0)); +#82198=DIRECTION('',(1.E0,0.E0,0.E0)); +#82199=AXIS2_PLACEMENT_3D('',#82196,#82197,#82198); +#82200=PLANE('',#82199); +#82202=ORIENTED_EDGE('',*,*,#82201,.F.); +#82204=ORIENTED_EDGE('',*,*,#82203,.F.); +#82206=ORIENTED_EDGE('',*,*,#82205,.F.); +#82208=ORIENTED_EDGE('',*,*,#82207,.F.); +#82209=EDGE_LOOP('',(#82202,#82204,#82206,#82208)); +#82210=FACE_OUTER_BOUND('',#82209,.F.); +#82212=CARTESIAN_POINT('',(0.E0,0.E0,-1.065E1)); +#82213=DIRECTION('',(0.E0,0.E0,1.E0)); +#82214=DIRECTION('',(1.E0,0.E0,0.E0)); +#82215=AXIS2_PLACEMENT_3D('',#82212,#82213,#82214); +#82216=PLANE('',#82215); +#82217=ORIENTED_EDGE('',*,*,#82176,.F.); +#82218=ORIENTED_EDGE('',*,*,#82191,.F.); +#82219=ORIENTED_EDGE('',*,*,#82147,.F.); +#82220=ORIENTED_EDGE('',*,*,#82162,.F.); +#82221=EDGE_LOOP('',(#82217,#82218,#82219,#82220)); +#82222=FACE_OUTER_BOUND('',#82221,.F.); +#82224=CARTESIAN_POINT('',(2.395E1,6.645E0,-1.995E1)); +#82225=DIRECTION('',(-1.E0,0.E0,0.E0)); +#82226=DIRECTION('',(0.E0,-1.E0,0.E0)); +#82227=AXIS2_PLACEMENT_3D('',#82224,#82225,#82226); +#82228=PLANE('',#82227); +#82230=ORIENTED_EDGE('',*,*,#82229,.T.); +#82231=ORIENTED_EDGE('',*,*,#82201,.T.); +#82233=ORIENTED_EDGE('',*,*,#82232,.F.); +#82235=ORIENTED_EDGE('',*,*,#82234,.F.); +#82236=EDGE_LOOP('',(#82230,#82231,#82233,#82235)); +#82237=FACE_OUTER_BOUND('',#82236,.F.); +#82239=CARTESIAN_POINT('',(2.455E1,6.645E0,-1.995E1)); +#82240=DIRECTION('',(0.E0,1.E0,0.E0)); +#82241=DIRECTION('',(-1.E0,0.E0,0.E0)); +#82242=AXIS2_PLACEMENT_3D('',#82239,#82240,#82241); +#82243=PLANE('',#82242); +#82244=ORIENTED_EDGE('',*,*,#82229,.F.); +#82246=ORIENTED_EDGE('',*,*,#82245,.F.); +#82248=ORIENTED_EDGE('',*,*,#82247,.T.); +#82249=ORIENTED_EDGE('',*,*,#82203,.T.); +#82250=EDGE_LOOP('',(#82244,#82246,#82248,#82249)); +#82251=FACE_OUTER_BOUND('',#82250,.F.); +#82253=CARTESIAN_POINT('',(2.315E1,6.73E0,-1.765E1)); +#82254=DIRECTION('',(0.E0,0.E0,1.E0)); +#82255=DIRECTION('',(0.E0,-1.E0,0.E0)); +#82256=AXIS2_PLACEMENT_3D('',#82253,#82254,#82255); +#82257=PLANE('',#82256); +#82259=ORIENTED_EDGE('',*,*,#82258,.T.); +#82261=ORIENTED_EDGE('',*,*,#82260,.F.); +#82263=ORIENTED_EDGE('',*,*,#82262,.T.); +#82265=ORIENTED_EDGE('',*,*,#82264,.T.); +#82266=EDGE_LOOP('',(#82259,#82261,#82263,#82265)); +#82267=FACE_OUTER_BOUND('',#82266,.F.); +#82269=ORIENTED_EDGE('',*,*,#82268,.T.); +#82270=ORIENTED_EDGE('',*,*,#82245,.T.); +#82271=ORIENTED_EDGE('',*,*,#82234,.T.); +#82273=ORIENTED_EDGE('',*,*,#82272,.T.); +#82274=EDGE_LOOP('',(#82269,#82270,#82271,#82273)); +#82275=FACE_BOUND('',#82274,.F.); +#82277=CARTESIAN_POINT('',(2.455E1,6.015E0,-1.995E1)); +#82278=DIRECTION('',(1.E0,0.E0,0.E0)); +#82279=DIRECTION('',(0.E0,1.E0,0.E0)); +#82280=AXIS2_PLACEMENT_3D('',#82277,#82278,#82279); +#82281=PLANE('',#82280); +#82283=ORIENTED_EDGE('',*,*,#82282,.T.); +#82284=ORIENTED_EDGE('',*,*,#82205,.T.); +#82285=ORIENTED_EDGE('',*,*,#82247,.F.); +#82286=ORIENTED_EDGE('',*,*,#82268,.F.); +#82287=EDGE_LOOP('',(#82283,#82284,#82285,#82286)); +#82288=FACE_OUTER_BOUND('',#82287,.F.); +#82290=CARTESIAN_POINT('',(2.395E1,6.015E0,-1.995E1)); +#82291=DIRECTION('',(0.E0,-1.E0,0.E0)); +#82292=DIRECTION('',(1.E0,0.E0,0.E0)); +#82293=AXIS2_PLACEMENT_3D('',#82290,#82291,#82292); +#82294=PLANE('',#82293); +#82295=ORIENTED_EDGE('',*,*,#82232,.T.); +#82296=ORIENTED_EDGE('',*,*,#82207,.T.); +#82297=ORIENTED_EDGE('',*,*,#82282,.F.); +#82298=ORIENTED_EDGE('',*,*,#82272,.F.); +#82299=EDGE_LOOP('',(#82295,#82296,#82297,#82298)); +#82300=FACE_OUTER_BOUND('',#82299,.F.); +#82302=CARTESIAN_POINT('',(2.52E1,6.33E0,-1.78E1)); +#82303=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#82304=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#82305=AXIS2_PLACEMENT_3D('',#82302,#82303,#82304); +#82306=PLANE('',#82305); +#82307=ORIENTED_EDGE('',*,*,#82093,.T.); +#82309=ORIENTED_EDGE('',*,*,#82308,.T.); +#82310=ORIENTED_EDGE('',*,*,#82258,.F.); +#82312=ORIENTED_EDGE('',*,*,#82311,.T.); +#82313=EDGE_LOOP('',(#82307,#82309,#82310,#82312)); +#82314=FACE_OUTER_BOUND('',#82313,.F.); +#82316=CARTESIAN_POINT('',(2.315E1,5.93E0,-1.765E1)); +#82317=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#82318=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#82319=AXIS2_PLACEMENT_3D('',#82316,#82317,#82318); +#82320=PLANE('',#82319); +#82321=ORIENTED_EDGE('',*,*,#82308,.F.); +#82323=ORIENTED_EDGE('',*,*,#82322,.F.); +#82325=ORIENTED_EDGE('',*,*,#82324,.F.); +#82326=ORIENTED_EDGE('',*,*,#82260,.T.); +#82327=EDGE_LOOP('',(#82321,#82323,#82325,#82326)); +#82328=FACE_OUTER_BOUND('',#82327,.F.); +#82330=CARTESIAN_POINT('',(2.425E1,5.542511336474E0,-1.895E1)); +#82331=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#82332=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#82333=AXIS2_PLACEMENT_3D('',#82330,#82331,#82332); +#82334=PLANE('',#82333); +#82335=ORIENTED_EDGE('',*,*,#82322,.T.); +#82336=ORIENTED_EDGE('',*,*,#82091,.T.); +#82337=ORIENTED_EDGE('',*,*,#72315,.F.); +#82339=ORIENTED_EDGE('',*,*,#82338,.T.); +#82340=EDGE_LOOP('',(#82335,#82336,#82337,#82339)); +#82341=FACE_OUTER_BOUND('',#82340,.F.); +#82343=CARTESIAN_POINT('',(2.315E1,0.E0,-1.995E1)); +#82344=DIRECTION('',(1.E0,0.E0,0.E0)); +#82345=DIRECTION('',(0.E0,0.E0,-1.E0)); +#82346=AXIS2_PLACEMENT_3D('',#82343,#82344,#82345); +#82347=PLANE('',#82346); +#82349=ORIENTED_EDGE('',*,*,#82348,.F.); +#82350=ORIENTED_EDGE('',*,*,#82338,.F.); +#82351=ORIENTED_EDGE('',*,*,#72313,.T.); +#82352=ORIENTED_EDGE('',*,*,#66536,.T.); +#82354=ORIENTED_EDGE('',*,*,#82353,.T.); +#82355=EDGE_LOOP('',(#82349,#82350,#82351,#82352,#82354)); +#82356=FACE_OUTER_BOUND('',#82355,.F.); +#82358=CARTESIAN_POINT('',(2.315E1,0.E0,-1.995E1)); +#82359=DIRECTION('',(1.E0,0.E0,0.E0)); +#82360=DIRECTION('',(0.E0,0.E0,-1.E0)); +#82361=AXIS2_PLACEMENT_3D('',#82358,#82359,#82360); +#82362=PLANE('',#82361); +#82364=ORIENTED_EDGE('',*,*,#82363,.F.); +#82366=ORIENTED_EDGE('',*,*,#82365,.F.); +#82367=ORIENTED_EDGE('',*,*,#72789,.T.); +#82368=ORIENTED_EDGE('',*,*,#82063,.F.); +#82369=EDGE_LOOP('',(#82364,#82366,#82367,#82368)); +#82370=FACE_OUTER_BOUND('',#82369,.F.); +#82372=CARTESIAN_POINT('',(2.33E1,6.33E0,-1.78E1)); +#82373=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#82374=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#82375=AXIS2_PLACEMENT_3D('',#82372,#82373,#82374); +#82376=PLANE('',#82375); +#82378=ORIENTED_EDGE('',*,*,#82377,.T.); +#82379=ORIENTED_EDGE('',*,*,#82262,.F.); +#82380=ORIENTED_EDGE('',*,*,#82324,.T.); +#82381=ORIENTED_EDGE('',*,*,#82348,.T.); +#82382=EDGE_LOOP('',(#82378,#82379,#82380,#82381)); +#82383=FACE_OUTER_BOUND('',#82382,.F.); +#82385=CARTESIAN_POINT('',(2.315E1,7.03E0,-1.795E1)); +#82386=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#82387=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#82388=AXIS2_PLACEMENT_3D('',#82385,#82386,#82387); +#82389=PLANE('',#82388); +#82390=ORIENTED_EDGE('',*,*,#82311,.F.); +#82391=ORIENTED_EDGE('',*,*,#82264,.F.); +#82392=ORIENTED_EDGE('',*,*,#82377,.F.); +#82394=ORIENTED_EDGE('',*,*,#82393,.T.); +#82395=EDGE_LOOP('',(#82390,#82391,#82392,#82394)); +#82396=FACE_OUTER_BOUND('',#82395,.F.); +#82398=CARTESIAN_POINT('',(2.315E1,7.204977327052E0,-1.995E1)); +#82399=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#82400=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#82401=AXIS2_PLACEMENT_3D('',#82398,#82399,#82400); +#82402=PLANE('',#82401); +#82404=ORIENTED_EDGE('',*,*,#82403,.T.); +#82406=ORIENTED_EDGE('',*,*,#82405,.F.); +#82407=ORIENTED_EDGE('',*,*,#82095,.T.); +#82408=ORIENTED_EDGE('',*,*,#82393,.F.); +#82409=ORIENTED_EDGE('',*,*,#82353,.F.); +#82410=ORIENTED_EDGE('',*,*,#66534,.T.); +#82411=EDGE_LOOP('',(#82404,#82406,#82407,#82408,#82409,#82410)); +#82412=FACE_OUTER_BOUND('',#82411,.F.); +#82414=CARTESIAN_POINT('',(2.53E1,1.033E1,0.E0)); +#82415=DIRECTION('',(1.E0,0.E0,0.E0)); +#82416=DIRECTION('',(0.E0,0.E0,-1.E0)); +#82417=AXIS2_PLACEMENT_3D('',#82414,#82415,#82416); +#82418=PLANE('',#82417); +#82420=ORIENTED_EDGE('',*,*,#82419,.F.); +#82422=ORIENTED_EDGE('',*,*,#82421,.T.); +#82424=ORIENTED_EDGE('',*,*,#82423,.T.); +#82425=ORIENTED_EDGE('',*,*,#82403,.F.); +#82426=ORIENTED_EDGE('',*,*,#66532,.F.); +#82427=ORIENTED_EDGE('',*,*,#65923,.T.); +#82429=ORIENTED_EDGE('',*,*,#82428,.T.); +#82430=EDGE_LOOP('',(#82420,#82422,#82424,#82425,#82426,#82427,#82429)); +#82431=FACE_OUTER_BOUND('',#82430,.F.); +#82433=CARTESIAN_POINT('',(2.53E1,1.033E1,0.E0)); +#82434=DIRECTION('',(1.E0,0.E0,0.E0)); +#82435=DIRECTION('',(0.E0,0.E0,-1.E0)); +#82436=AXIS2_PLACEMENT_3D('',#82433,#82434,#82435); +#82437=PLANE('',#82436); +#82439=ORIENTED_EDGE('',*,*,#82438,.F.); +#82441=ORIENTED_EDGE('',*,*,#82440,.T.); +#82443=ORIENTED_EDGE('',*,*,#82442,.T.); +#82444=ORIENTED_EDGE('',*,*,#72857,.F.); +#82446=ORIENTED_EDGE('',*,*,#82445,.T.); +#82447=EDGE_LOOP('',(#82439,#82441,#82443,#82444,#82446)); +#82448=FACE_OUTER_BOUND('',#82447,.F.); +#82450=CARTESIAN_POINT('',(2.545E1,1.033E1,-1.78E1)); +#82451=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#82452=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#82453=AXIS2_PLACEMENT_3D('',#82450,#82451,#82452); +#82454=PLANE('',#82453); +#82455=ORIENTED_EDGE('',*,*,#82419,.T.); +#82457=ORIENTED_EDGE('',*,*,#82456,.F.); +#82459=ORIENTED_EDGE('',*,*,#82458,.F.); +#82461=ORIENTED_EDGE('',*,*,#82460,.T.); +#82462=EDGE_LOOP('',(#82455,#82457,#82459,#82461)); +#82463=FACE_OUTER_BOUND('',#82462,.F.); +#82465=CARTESIAN_POINT('',(2.64E1,1.088E1,-1.78E1)); +#82466=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#82467=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#82468=AXIS2_PLACEMENT_3D('',#82465,#82466,#82467); +#82469=PLANE('',#82468); +#82471=ORIENTED_EDGE('',*,*,#82470,.T.); +#82472=ORIENTED_EDGE('',*,*,#82456,.T.); +#82474=ORIENTED_EDGE('',*,*,#82473,.F.); +#82476=ORIENTED_EDGE('',*,*,#82475,.F.); +#82477=EDGE_LOOP('',(#82471,#82472,#82474,#82476)); +#82478=FACE_OUTER_BOUND('',#82477,.F.); +#82480=CARTESIAN_POINT('',(2.53E1,1.103E1,-1.765E1)); +#82481=DIRECTION('',(0.E0,0.E0,1.E0)); +#82482=DIRECTION('',(0.E0,-1.E0,0.E0)); +#82483=AXIS2_PLACEMENT_3D('',#82480,#82481,#82482); +#82484=PLANE('',#82483); +#82486=ORIENTED_EDGE('',*,*,#82485,.T.); +#82488=ORIENTED_EDGE('',*,*,#82487,.T.); +#82489=ORIENTED_EDGE('',*,*,#82458,.T.); +#82490=ORIENTED_EDGE('',*,*,#82470,.F.); +#82491=EDGE_LOOP('',(#82486,#82488,#82489,#82490)); +#82492=FACE_OUTER_BOUND('',#82491,.F.); +#82494=ORIENTED_EDGE('',*,*,#82493,.T.); +#82496=ORIENTED_EDGE('',*,*,#82495,.T.); +#82498=ORIENTED_EDGE('',*,*,#82497,.T.); +#82500=ORIENTED_EDGE('',*,*,#82499,.T.); +#82501=EDGE_LOOP('',(#82494,#82496,#82498,#82500)); +#82502=FACE_BOUND('',#82501,.F.); +#82504=CARTESIAN_POINT('',(2.61E1,1.0645E1,-1.995E1)); +#82505=DIRECTION('',(-1.E0,0.E0,0.E0)); +#82506=DIRECTION('',(0.E0,-1.E0,0.E0)); +#82507=AXIS2_PLACEMENT_3D('',#82504,#82505,#82506); +#82508=PLANE('',#82507); +#82509=ORIENTED_EDGE('',*,*,#82493,.F.); +#82511=ORIENTED_EDGE('',*,*,#82510,.T.); +#82513=ORIENTED_EDGE('',*,*,#82512,.T.); +#82515=ORIENTED_EDGE('',*,*,#82514,.F.); +#82516=EDGE_LOOP('',(#82509,#82511,#82513,#82515)); +#82517=FACE_OUTER_BOUND('',#82516,.F.); +#82519=CARTESIAN_POINT('',(2.67E1,1.0645E1,-1.995E1)); +#82520=DIRECTION('',(0.E0,1.E0,0.E0)); +#82521=DIRECTION('',(-1.E0,0.E0,0.E0)); +#82522=AXIS2_PLACEMENT_3D('',#82519,#82520,#82521); +#82523=PLANE('',#82522); +#82524=ORIENTED_EDGE('',*,*,#82499,.F.); +#82526=ORIENTED_EDGE('',*,*,#82525,.T.); +#82528=ORIENTED_EDGE('',*,*,#82527,.T.); +#82529=ORIENTED_EDGE('',*,*,#82510,.F.); +#82530=EDGE_LOOP('',(#82524,#82526,#82528,#82529)); +#82531=FACE_OUTER_BOUND('',#82530,.F.); +#82533=CARTESIAN_POINT('',(2.67E1,1.0015E1,-1.995E1)); +#82534=DIRECTION('',(1.E0,0.E0,0.E0)); +#82535=DIRECTION('',(0.E0,1.E0,0.E0)); +#82536=AXIS2_PLACEMENT_3D('',#82533,#82534,#82535); +#82537=PLANE('',#82536); +#82538=ORIENTED_EDGE('',*,*,#82497,.F.); +#82540=ORIENTED_EDGE('',*,*,#82539,.T.); +#82542=ORIENTED_EDGE('',*,*,#82541,.T.); +#82543=ORIENTED_EDGE('',*,*,#82525,.F.); +#82544=EDGE_LOOP('',(#82538,#82540,#82542,#82543)); +#82545=FACE_OUTER_BOUND('',#82544,.F.); +#82547=CARTESIAN_POINT('',(2.61E1,1.0015E1,-1.995E1)); +#82548=DIRECTION('',(0.E0,-1.E0,0.E0)); +#82549=DIRECTION('',(1.E0,0.E0,0.E0)); +#82550=AXIS2_PLACEMENT_3D('',#82547,#82548,#82549); +#82551=PLANE('',#82550); +#82552=ORIENTED_EDGE('',*,*,#82495,.F.); +#82553=ORIENTED_EDGE('',*,*,#82514,.T.); +#82555=ORIENTED_EDGE('',*,*,#82554,.T.); +#82556=ORIENTED_EDGE('',*,*,#82539,.F.); +#82557=EDGE_LOOP('',(#82552,#82553,#82555,#82556)); +#82558=FACE_OUTER_BOUND('',#82557,.F.); +#82560=CARTESIAN_POINT('',(0.E0,0.E0,-1.175E1)); +#82561=DIRECTION('',(0.E0,0.E0,1.E0)); +#82562=DIRECTION('',(1.E0,0.E0,0.E0)); +#82563=AXIS2_PLACEMENT_3D('',#82560,#82561,#82562); +#82564=PLANE('',#82563); +#82565=ORIENTED_EDGE('',*,*,#82512,.F.); +#82566=ORIENTED_EDGE('',*,*,#82527,.F.); +#82567=ORIENTED_EDGE('',*,*,#82541,.F.); +#82568=ORIENTED_EDGE('',*,*,#82554,.F.); +#82569=EDGE_LOOP('',(#82565,#82566,#82567,#82568)); +#82570=FACE_OUTER_BOUND('',#82569,.F.); +#82572=CARTESIAN_POINT('',(0.E0,0.E0,-1.175E1)); +#82573=DIRECTION('',(0.E0,0.E0,1.E0)); +#82574=DIRECTION('',(1.E0,0.E0,0.E0)); +#82575=AXIS2_PLACEMENT_3D('',#82572,#82573,#82574); +#82576=PLANE('',#82575); +#82578=ORIENTED_EDGE('',*,*,#82577,.F.); +#82580=ORIENTED_EDGE('',*,*,#82579,.F.); +#82582=ORIENTED_EDGE('',*,*,#82581,.F.); +#82584=ORIENTED_EDGE('',*,*,#82583,.F.); +#82585=EDGE_LOOP('',(#82578,#82580,#82582,#82584)); +#82586=FACE_OUTER_BOUND('',#82585,.F.); +#82588=CARTESIAN_POINT('',(2.61E1,1.845E0,-1.995E1)); +#82589=DIRECTION('',(-1.E0,0.E0,0.E0)); +#82590=DIRECTION('',(0.E0,-1.E0,0.E0)); +#82591=AXIS2_PLACEMENT_3D('',#82588,#82589,#82590); +#82592=PLANE('',#82591); +#82594=ORIENTED_EDGE('',*,*,#82593,.F.); +#82596=ORIENTED_EDGE('',*,*,#82595,.T.); +#82597=ORIENTED_EDGE('',*,*,#82577,.T.); +#82599=ORIENTED_EDGE('',*,*,#82598,.F.); +#82600=EDGE_LOOP('',(#82594,#82596,#82597,#82599)); +#82601=FACE_OUTER_BOUND('',#82600,.F.); +#82603=CARTESIAN_POINT('',(2.53E1,2.23E0,-1.765E1)); +#82604=DIRECTION('',(0.E0,0.E0,1.E0)); +#82605=DIRECTION('',(0.E0,-1.E0,0.E0)); +#82606=AXIS2_PLACEMENT_3D('',#82603,#82604,#82605); +#82607=PLANE('',#82606); +#82609=ORIENTED_EDGE('',*,*,#82608,.T.); +#82611=ORIENTED_EDGE('',*,*,#82610,.T.); +#82613=ORIENTED_EDGE('',*,*,#82612,.T.); +#82615=ORIENTED_EDGE('',*,*,#82614,.F.); +#82616=EDGE_LOOP('',(#82609,#82611,#82613,#82615)); +#82617=FACE_OUTER_BOUND('',#82616,.F.); +#82618=ORIENTED_EDGE('',*,*,#82593,.T.); +#82620=ORIENTED_EDGE('',*,*,#82619,.T.); +#82622=ORIENTED_EDGE('',*,*,#82621,.T.); +#82624=ORIENTED_EDGE('',*,*,#82623,.T.); +#82625=EDGE_LOOP('',(#82618,#82620,#82622,#82624)); +#82626=FACE_BOUND('',#82625,.F.); +#82628=CARTESIAN_POINT('',(2.61E1,1.215E0,-1.995E1)); +#82629=DIRECTION('',(0.E0,-1.E0,0.E0)); +#82630=DIRECTION('',(1.E0,0.E0,0.E0)); +#82631=AXIS2_PLACEMENT_3D('',#82628,#82629,#82630); +#82632=PLANE('',#82631); +#82633=ORIENTED_EDGE('',*,*,#82619,.F.); +#82634=ORIENTED_EDGE('',*,*,#82598,.T.); +#82635=ORIENTED_EDGE('',*,*,#82583,.T.); +#82637=ORIENTED_EDGE('',*,*,#82636,.F.); +#82638=EDGE_LOOP('',(#82633,#82634,#82635,#82637)); +#82639=FACE_OUTER_BOUND('',#82638,.F.); +#82641=CARTESIAN_POINT('',(2.67E1,1.215E0,-1.995E1)); +#82642=DIRECTION('',(1.E0,0.E0,0.E0)); +#82643=DIRECTION('',(0.E0,1.E0,0.E0)); +#82644=AXIS2_PLACEMENT_3D('',#82641,#82642,#82643); +#82645=PLANE('',#82644); +#82646=ORIENTED_EDGE('',*,*,#82621,.F.); +#82647=ORIENTED_EDGE('',*,*,#82636,.T.); +#82648=ORIENTED_EDGE('',*,*,#82581,.T.); +#82650=ORIENTED_EDGE('',*,*,#82649,.F.); +#82651=EDGE_LOOP('',(#82646,#82647,#82648,#82650)); +#82652=FACE_OUTER_BOUND('',#82651,.F.); +#82654=CARTESIAN_POINT('',(2.67E1,1.845E0,-1.995E1)); +#82655=DIRECTION('',(0.E0,1.E0,0.E0)); +#82656=DIRECTION('',(-1.E0,0.E0,0.E0)); +#82657=AXIS2_PLACEMENT_3D('',#82654,#82655,#82656); +#82658=PLANE('',#82657); +#82659=ORIENTED_EDGE('',*,*,#82623,.F.); +#82660=ORIENTED_EDGE('',*,*,#82649,.T.); +#82661=ORIENTED_EDGE('',*,*,#82579,.T.); +#82662=ORIENTED_EDGE('',*,*,#82595,.F.); +#82663=EDGE_LOOP('',(#82659,#82660,#82661,#82662)); +#82664=FACE_OUTER_BOUND('',#82663,.F.); +#82666=CARTESIAN_POINT('',(2.735E1,1.53E0,-1.78E1)); +#82667=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#82668=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#82669=AXIS2_PLACEMENT_3D('',#82666,#82667,#82668); +#82670=PLANE('',#82669); +#82671=ORIENTED_EDGE('',*,*,#82608,.F.); +#82673=ORIENTED_EDGE('',*,*,#82672,.T.); +#82675=ORIENTED_EDGE('',*,*,#82674,.T.); +#82677=ORIENTED_EDGE('',*,*,#82676,.T.); +#82678=EDGE_LOOP('',(#82671,#82673,#82675,#82677)); +#82679=FACE_OUTER_BOUND('',#82678,.F.); +#82681=CARTESIAN_POINT('',(2.64E1,2.08E0,-1.78E1)); +#82682=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#82683=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#82684=AXIS2_PLACEMENT_3D('',#82681,#82682,#82683); +#82685=PLANE('',#82684); +#82686=ORIENTED_EDGE('',*,*,#82614,.T.); +#82688=ORIENTED_EDGE('',*,*,#82687,.T.); +#82690=ORIENTED_EDGE('',*,*,#82689,.F.); +#82691=ORIENTED_EDGE('',*,*,#82672,.F.); +#82692=EDGE_LOOP('',(#82686,#82688,#82690,#82691)); +#82693=FACE_OUTER_BOUND('',#82692,.F.); +#82695=CARTESIAN_POINT('',(2.545E1,1.53E0,-1.78E1)); +#82696=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#82697=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#82698=AXIS2_PLACEMENT_3D('',#82695,#82696,#82697); +#82699=PLANE('',#82698); +#82700=ORIENTED_EDGE('',*,*,#82438,.T.); +#82701=ORIENTED_EDGE('',*,*,#82687,.F.); +#82702=ORIENTED_EDGE('',*,*,#82612,.F.); +#82704=ORIENTED_EDGE('',*,*,#82703,.T.); +#82705=EDGE_LOOP('',(#82700,#82701,#82702,#82704)); +#82706=FACE_OUTER_BOUND('',#82705,.F.); +#82708=CARTESIAN_POINT('',(2.64E1,9.8E-1,-1.78E1)); +#82709=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#82710=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#82711=AXIS2_PLACEMENT_3D('',#82708,#82709,#82710); +#82712=PLANE('',#82711); +#82714=ORIENTED_EDGE('',*,*,#82713,.T.); +#82715=ORIENTED_EDGE('',*,*,#82703,.F.); +#82716=ORIENTED_EDGE('',*,*,#82610,.F.); +#82717=ORIENTED_EDGE('',*,*,#82676,.F.); +#82718=EDGE_LOOP('',(#82714,#82715,#82716,#82717)); +#82719=FACE_OUTER_BOUND('',#82718,.F.); +#82721=CARTESIAN_POINT('',(2.53E1,8.3E-1,-1.765E1)); +#82722=DIRECTION('',(0.E0,-1.E0,0.E0)); +#82723=DIRECTION('',(0.E0,0.E0,-1.E0)); +#82724=AXIS2_PLACEMENT_3D('',#82721,#82722,#82723); +#82725=PLANE('',#82724); +#82726=ORIENTED_EDGE('',*,*,#82713,.F.); +#82728=ORIENTED_EDGE('',*,*,#82727,.T.); +#82730=ORIENTED_EDGE('',*,*,#82729,.F.); +#82731=ORIENTED_EDGE('',*,*,#82440,.F.); +#82732=EDGE_LOOP('',(#82726,#82728,#82730,#82731)); +#82733=FACE_OUTER_BOUND('',#82732,.F.); +#82735=CARTESIAN_POINT('',(2.75E1,1.033E1,0.E0)); +#82736=DIRECTION('',(1.E0,0.E0,0.E0)); +#82737=DIRECTION('',(0.E0,0.E0,-1.E0)); +#82738=AXIS2_PLACEMENT_3D('',#82735,#82736,#82737); +#82739=PLANE('',#82738); +#82741=ORIENTED_EDGE('',*,*,#82740,.F.); +#82743=ORIENTED_EDGE('',*,*,#82742,.F.); +#82744=ORIENTED_EDGE('',*,*,#65919,.F.); +#82745=ORIENTED_EDGE('',*,*,#66555,.T.); +#82747=ORIENTED_EDGE('',*,*,#82746,.T.); +#82749=ORIENTED_EDGE('',*,*,#82748,.F.); +#82751=ORIENTED_EDGE('',*,*,#82750,.F.); +#82752=EDGE_LOOP('',(#82741,#82743,#82744,#82745,#82747,#82749,#82751)); +#82753=FACE_OUTER_BOUND('',#82752,.F.); +#82755=CARTESIAN_POINT('',(2.75E1,1.033E1,0.E0)); +#82756=DIRECTION('',(1.E0,0.E0,0.E0)); +#82757=DIRECTION('',(0.E0,0.E0,-1.E0)); +#82758=AXIS2_PLACEMENT_3D('',#82755,#82756,#82757); +#82759=PLANE('',#82758); +#82760=ORIENTED_EDGE('',*,*,#82674,.F.); +#82762=ORIENTED_EDGE('',*,*,#82761,.F.); +#82763=ORIENTED_EDGE('',*,*,#72861,.T.); +#82765=ORIENTED_EDGE('',*,*,#82764,.F.); +#82766=ORIENTED_EDGE('',*,*,#82727,.F.); +#82767=EDGE_LOOP('',(#82760,#82762,#82763,#82765,#82766)); +#82768=FACE_OUTER_BOUND('',#82767,.F.); +#82770=CARTESIAN_POINT('',(2.735E1,1.033E1,-1.78E1)); +#82771=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#82772=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#82773=AXIS2_PLACEMENT_3D('',#82770,#82771,#82772); +#82774=PLANE('',#82773); +#82775=ORIENTED_EDGE('',*,*,#82485,.F.); +#82776=ORIENTED_EDGE('',*,*,#82475,.T.); +#82777=ORIENTED_EDGE('',*,*,#82740,.T.); +#82779=ORIENTED_EDGE('',*,*,#82778,.T.); +#82780=EDGE_LOOP('',(#82775,#82776,#82777,#82779)); +#82781=FACE_OUTER_BOUND('',#82780,.F.); +#82783=CARTESIAN_POINT('',(2.64E1,9.78E0,-1.78E1)); +#82784=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#82785=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#82786=AXIS2_PLACEMENT_3D('',#82783,#82784,#82785); +#82787=PLANE('',#82786); +#82789=ORIENTED_EDGE('',*,*,#82788,.T.); +#82790=ORIENTED_EDGE('',*,*,#82460,.F.); +#82791=ORIENTED_EDGE('',*,*,#82487,.F.); +#82792=ORIENTED_EDGE('',*,*,#82778,.F.); +#82793=EDGE_LOOP('',(#82789,#82790,#82791,#82792)); +#82794=FACE_OUTER_BOUND('',#82793,.F.); +#82796=CARTESIAN_POINT('',(2.53E1,9.63E0,-1.765E1)); +#82797=DIRECTION('',(0.E0,-1.E0,0.E0)); +#82798=DIRECTION('',(0.E0,0.E0,-1.E0)); +#82799=AXIS2_PLACEMENT_3D('',#82796,#82797,#82798); +#82800=PLANE('',#82799); +#82801=ORIENTED_EDGE('',*,*,#82788,.F.); +#82802=ORIENTED_EDGE('',*,*,#82750,.T.); +#82804=ORIENTED_EDGE('',*,*,#82803,.F.); +#82805=ORIENTED_EDGE('',*,*,#82421,.F.); +#82806=EDGE_LOOP('',(#82801,#82802,#82804,#82805)); +#82807=FACE_OUTER_BOUND('',#82806,.F.); +#82809=CARTESIAN_POINT('',(2.53E1,9.63E0,-1.816282873211E1)); +#82810=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#82811=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#82812=AXIS2_PLACEMENT_3D('',#82809,#82810,#82811); +#82813=PLANE('',#82812); +#82814=ORIENTED_EDGE('',*,*,#72319,.F.); +#82815=ORIENTED_EDGE('',*,*,#82088,.F.); +#82816=ORIENTED_EDGE('',*,*,#82405,.T.); +#82817=ORIENTED_EDGE('',*,*,#82423,.F.); +#82818=ORIENTED_EDGE('',*,*,#82803,.T.); +#82819=ORIENTED_EDGE('',*,*,#82748,.T.); +#82821=ORIENTED_EDGE('',*,*,#82820,.F.); +#82823=ORIENTED_EDGE('',*,*,#82822,.T.); +#82824=EDGE_LOOP('',(#82814,#82815,#82816,#82817,#82818,#82819,#82821,#82823)); +#82825=FACE_OUTER_BOUND('',#82824,.F.); +#82827=ORIENTED_EDGE('',*,*,#82826,.T.); +#82829=ORIENTED_EDGE('',*,*,#82828,.T.); +#82831=ORIENTED_EDGE('',*,*,#82830,.T.); +#82833=ORIENTED_EDGE('',*,*,#82832,.T.); +#82835=ORIENTED_EDGE('',*,*,#82834,.T.); +#82837=ORIENTED_EDGE('',*,*,#82836,.T.); +#82839=ORIENTED_EDGE('',*,*,#82838,.T.); +#82840=EDGE_LOOP('',(#82827,#82829,#82831,#82833,#82835,#82837,#82839)); +#82841=FACE_BOUND('',#82840,.F.); +#82843=ORIENTED_EDGE('',*,*,#82842,.T.); +#82845=ORIENTED_EDGE('',*,*,#82844,.T.); +#82847=ORIENTED_EDGE('',*,*,#82846,.T.); +#82849=ORIENTED_EDGE('',*,*,#82848,.T.); +#82851=ORIENTED_EDGE('',*,*,#82850,.T.); +#82853=ORIENTED_EDGE('',*,*,#82852,.T.); +#82855=ORIENTED_EDGE('',*,*,#82854,.T.); +#82857=ORIENTED_EDGE('',*,*,#82856,.T.); +#82859=ORIENTED_EDGE('',*,*,#82858,.T.); +#82861=ORIENTED_EDGE('',*,*,#82860,.T.); +#82863=ORIENTED_EDGE('',*,*,#82862,.T.); +#82864=EDGE_LOOP('',(#82843,#82845,#82847,#82849,#82851,#82853,#82855,#82857, +#82859,#82861,#82863)); +#82865=FACE_BOUND('',#82864,.F.); +#82867=CARTESIAN_POINT('',(2.53E1,9.63E0,-1.816282873211E1)); +#82868=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#82869=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#82870=AXIS2_PLACEMENT_3D('',#82867,#82868,#82869); +#82871=PLANE('',#82870); +#82873=ORIENTED_EDGE('',*,*,#82872,.T.); +#82875=ORIENTED_EDGE('',*,*,#82874,.T.); +#82877=ORIENTED_EDGE('',*,*,#82876,.T.); +#82878=EDGE_LOOP('',(#82873,#82875,#82877)); +#82879=FACE_OUTER_BOUND('',#82878,.F.); +#82881=CARTESIAN_POINT('',(2.745E1,7.204977327052E0,-1.995E1)); +#82882=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#82883=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#82884=AXIS2_PLACEMENT_3D('',#82881,#82882,#82883); +#82885=PLANE('',#82884); +#82887=ORIENTED_EDGE('',*,*,#82886,.T.); +#82889=ORIENTED_EDGE('',*,*,#82888,.F.); +#82891=ORIENTED_EDGE('',*,*,#82890,.T.); +#82893=ORIENTED_EDGE('',*,*,#82892,.F.); +#82895=ORIENTED_EDGE('',*,*,#82894,.F.); +#82896=ORIENTED_EDGE('',*,*,#82820,.T.); +#82897=ORIENTED_EDGE('',*,*,#82746,.F.); +#82898=ORIENTED_EDGE('',*,*,#66553,.T.); +#82899=EDGE_LOOP('',(#82887,#82889,#82891,#82893,#82895,#82896,#82897,#82898)); +#82900=FACE_OUTER_BOUND('',#82899,.F.); +#82902=CARTESIAN_POINT('',(2.96E1,1.033E1,0.E0)); +#82903=DIRECTION('',(1.E0,0.E0,0.E0)); +#82904=DIRECTION('',(0.E0,0.E0,-1.E0)); +#82905=AXIS2_PLACEMENT_3D('',#82902,#82903,#82904); +#82906=PLANE('',#82905); +#82908=ORIENTED_EDGE('',*,*,#82907,.F.); +#82910=ORIENTED_EDGE('',*,*,#82909,.T.); +#82912=ORIENTED_EDGE('',*,*,#82911,.T.); +#82913=ORIENTED_EDGE('',*,*,#82886,.F.); +#82914=ORIENTED_EDGE('',*,*,#66551,.F.); +#82915=ORIENTED_EDGE('',*,*,#65915,.T.); +#82917=ORIENTED_EDGE('',*,*,#82916,.T.); +#82918=EDGE_LOOP('',(#82908,#82910,#82912,#82913,#82914,#82915,#82917)); +#82919=FACE_OUTER_BOUND('',#82918,.F.); +#82921=CARTESIAN_POINT('',(2.96E1,1.033E1,0.E0)); +#82922=DIRECTION('',(1.E0,0.E0,0.E0)); +#82923=DIRECTION('',(0.E0,0.E0,-1.E0)); +#82924=AXIS2_PLACEMENT_3D('',#82921,#82922,#82923); +#82925=PLANE('',#82924); +#82927=ORIENTED_EDGE('',*,*,#82926,.F.); +#82929=ORIENTED_EDGE('',*,*,#82928,.T.); +#82931=ORIENTED_EDGE('',*,*,#82930,.T.); +#82932=ORIENTED_EDGE('',*,*,#72867,.F.); +#82934=ORIENTED_EDGE('',*,*,#82933,.T.); +#82935=EDGE_LOOP('',(#82927,#82929,#82931,#82932,#82934)); +#82936=FACE_OUTER_BOUND('',#82935,.F.); +#82938=CARTESIAN_POINT('',(2.975E1,1.033E1,-1.78E1)); +#82939=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#82940=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#82941=AXIS2_PLACEMENT_3D('',#82938,#82939,#82940); +#82942=PLANE('',#82941); +#82944=ORIENTED_EDGE('',*,*,#82943,.T.); +#82945=ORIENTED_EDGE('',*,*,#82907,.T.); +#82947=ORIENTED_EDGE('',*,*,#82946,.F.); +#82949=ORIENTED_EDGE('',*,*,#82948,.F.); +#82950=EDGE_LOOP('',(#82944,#82945,#82947,#82949)); +#82951=FACE_OUTER_BOUND('',#82950,.F.); +#82953=CARTESIAN_POINT('',(3.07E1,9.78E0,-1.78E1)); +#82954=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#82955=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#82956=AXIS2_PLACEMENT_3D('',#82953,#82954,#82955); +#82957=PLANE('',#82956); +#82958=ORIENTED_EDGE('',*,*,#82943,.F.); +#82960=ORIENTED_EDGE('',*,*,#82959,.F.); +#82962=ORIENTED_EDGE('',*,*,#82961,.F.); +#82964=ORIENTED_EDGE('',*,*,#82963,.T.); +#82965=EDGE_LOOP('',(#82958,#82960,#82962,#82964)); +#82966=FACE_OUTER_BOUND('',#82965,.F.); +#82968=CARTESIAN_POINT('',(2.96E1,1.103E1,-1.765E1)); +#82969=DIRECTION('',(0.E0,0.E0,1.E0)); +#82970=DIRECTION('',(0.E0,-1.E0,0.E0)); +#82971=AXIS2_PLACEMENT_3D('',#82968,#82969,#82970); +#82972=PLANE('',#82971); +#82974=ORIENTED_EDGE('',*,*,#82973,.T.); +#82975=ORIENTED_EDGE('',*,*,#82959,.T.); +#82976=ORIENTED_EDGE('',*,*,#82948,.T.); +#82978=ORIENTED_EDGE('',*,*,#82977,.F.); +#82979=EDGE_LOOP('',(#82974,#82975,#82976,#82978)); +#82980=FACE_OUTER_BOUND('',#82979,.F.); +#82982=ORIENTED_EDGE('',*,*,#82981,.T.); +#82984=ORIENTED_EDGE('',*,*,#82983,.T.); +#82986=ORIENTED_EDGE('',*,*,#82985,.T.); +#82988=ORIENTED_EDGE('',*,*,#82987,.T.); +#82989=EDGE_LOOP('',(#82982,#82984,#82986,#82988)); +#82990=FACE_BOUND('',#82989,.F.); +#82992=CARTESIAN_POINT('',(3.04E1,1.0645E1,-1.995E1)); +#82993=DIRECTION('',(-1.E0,0.E0,0.E0)); +#82994=DIRECTION('',(0.E0,-1.E0,0.E0)); +#82995=AXIS2_PLACEMENT_3D('',#82992,#82993,#82994); +#82996=PLANE('',#82995); +#82997=ORIENTED_EDGE('',*,*,#82981,.F.); +#82999=ORIENTED_EDGE('',*,*,#82998,.T.); +#83001=ORIENTED_EDGE('',*,*,#83000,.T.); +#83003=ORIENTED_EDGE('',*,*,#83002,.F.); +#83004=EDGE_LOOP('',(#82997,#82999,#83001,#83003)); +#83005=FACE_OUTER_BOUND('',#83004,.F.); +#83007=CARTESIAN_POINT('',(3.1E1,1.0645E1,-1.995E1)); +#83008=DIRECTION('',(0.E0,1.E0,0.E0)); +#83009=DIRECTION('',(-1.E0,0.E0,0.E0)); +#83010=AXIS2_PLACEMENT_3D('',#83007,#83008,#83009); +#83011=PLANE('',#83010); +#83012=ORIENTED_EDGE('',*,*,#82987,.F.); +#83014=ORIENTED_EDGE('',*,*,#83013,.T.); +#83016=ORIENTED_EDGE('',*,*,#83015,.T.); +#83017=ORIENTED_EDGE('',*,*,#82998,.F.); +#83018=EDGE_LOOP('',(#83012,#83014,#83016,#83017)); +#83019=FACE_OUTER_BOUND('',#83018,.F.); +#83021=CARTESIAN_POINT('',(3.1E1,1.0015E1,-1.995E1)); +#83022=DIRECTION('',(1.E0,0.E0,0.E0)); +#83023=DIRECTION('',(0.E0,1.E0,0.E0)); +#83024=AXIS2_PLACEMENT_3D('',#83021,#83022,#83023); +#83025=PLANE('',#83024); +#83026=ORIENTED_EDGE('',*,*,#82985,.F.); +#83028=ORIENTED_EDGE('',*,*,#83027,.T.); +#83030=ORIENTED_EDGE('',*,*,#83029,.T.); +#83031=ORIENTED_EDGE('',*,*,#83013,.F.); +#83032=EDGE_LOOP('',(#83026,#83028,#83030,#83031)); +#83033=FACE_OUTER_BOUND('',#83032,.F.); +#83035=CARTESIAN_POINT('',(3.04E1,1.0015E1,-1.995E1)); +#83036=DIRECTION('',(0.E0,-1.E0,0.E0)); +#83037=DIRECTION('',(1.E0,0.E0,0.E0)); +#83038=AXIS2_PLACEMENT_3D('',#83035,#83036,#83037); +#83039=PLANE('',#83038); +#83040=ORIENTED_EDGE('',*,*,#82983,.F.); +#83041=ORIENTED_EDGE('',*,*,#83002,.T.); +#83043=ORIENTED_EDGE('',*,*,#83042,.T.); +#83044=ORIENTED_EDGE('',*,*,#83027,.F.); +#83045=EDGE_LOOP('',(#83040,#83041,#83043,#83044)); +#83046=FACE_OUTER_BOUND('',#83045,.F.); +#83048=CARTESIAN_POINT('',(4.3E0,0.E0,-1.175E1)); +#83049=DIRECTION('',(0.E0,0.E0,1.E0)); +#83050=DIRECTION('',(1.E0,0.E0,0.E0)); +#83051=AXIS2_PLACEMENT_3D('',#83048,#83049,#83050); +#83052=PLANE('',#83051); +#83053=ORIENTED_EDGE('',*,*,#83000,.F.); +#83054=ORIENTED_EDGE('',*,*,#83015,.F.); +#83055=ORIENTED_EDGE('',*,*,#83029,.F.); +#83056=ORIENTED_EDGE('',*,*,#83042,.F.); +#83057=EDGE_LOOP('',(#83053,#83054,#83055,#83056)); +#83058=FACE_OUTER_BOUND('',#83057,.F.); +#83060=CARTESIAN_POINT('',(4.3E0,0.E0,-1.175E1)); +#83061=DIRECTION('',(0.E0,0.E0,1.E0)); +#83062=DIRECTION('',(1.E0,0.E0,0.E0)); +#83063=AXIS2_PLACEMENT_3D('',#83060,#83061,#83062); +#83064=PLANE('',#83063); +#83066=ORIENTED_EDGE('',*,*,#83065,.F.); +#83068=ORIENTED_EDGE('',*,*,#83067,.F.); +#83070=ORIENTED_EDGE('',*,*,#83069,.F.); +#83072=ORIENTED_EDGE('',*,*,#83071,.F.); +#83073=EDGE_LOOP('',(#83066,#83068,#83070,#83072)); +#83074=FACE_OUTER_BOUND('',#83073,.F.); +#83076=CARTESIAN_POINT('',(3.04E1,1.845E0,-1.995E1)); +#83077=DIRECTION('',(-1.E0,0.E0,0.E0)); +#83078=DIRECTION('',(0.E0,-1.E0,0.E0)); +#83079=AXIS2_PLACEMENT_3D('',#83076,#83077,#83078); +#83080=PLANE('',#83079); +#83082=ORIENTED_EDGE('',*,*,#83081,.F.); +#83084=ORIENTED_EDGE('',*,*,#83083,.T.); +#83085=ORIENTED_EDGE('',*,*,#83065,.T.); +#83087=ORIENTED_EDGE('',*,*,#83086,.F.); +#83088=EDGE_LOOP('',(#83082,#83084,#83085,#83087)); +#83089=FACE_OUTER_BOUND('',#83088,.F.); +#83091=CARTESIAN_POINT('',(2.96E1,2.23E0,-1.765E1)); +#83092=DIRECTION('',(0.E0,0.E0,1.E0)); +#83093=DIRECTION('',(0.E0,-1.E0,0.E0)); +#83094=AXIS2_PLACEMENT_3D('',#83091,#83092,#83093); +#83095=PLANE('',#83094); +#83097=ORIENTED_EDGE('',*,*,#83096,.T.); +#83099=ORIENTED_EDGE('',*,*,#83098,.T.); +#83101=ORIENTED_EDGE('',*,*,#83100,.T.); +#83103=ORIENTED_EDGE('',*,*,#83102,.F.); +#83104=EDGE_LOOP('',(#83097,#83099,#83101,#83103)); +#83105=FACE_OUTER_BOUND('',#83104,.F.); +#83106=ORIENTED_EDGE('',*,*,#83081,.T.); +#83108=ORIENTED_EDGE('',*,*,#83107,.T.); +#83110=ORIENTED_EDGE('',*,*,#83109,.T.); +#83112=ORIENTED_EDGE('',*,*,#83111,.T.); +#83113=EDGE_LOOP('',(#83106,#83108,#83110,#83112)); +#83114=FACE_BOUND('',#83113,.F.); +#83116=CARTESIAN_POINT('',(3.04E1,1.215E0,-1.995E1)); +#83117=DIRECTION('',(0.E0,-1.E0,0.E0)); +#83118=DIRECTION('',(1.E0,0.E0,0.E0)); +#83119=AXIS2_PLACEMENT_3D('',#83116,#83117,#83118); +#83120=PLANE('',#83119); +#83121=ORIENTED_EDGE('',*,*,#83107,.F.); +#83122=ORIENTED_EDGE('',*,*,#83086,.T.); +#83123=ORIENTED_EDGE('',*,*,#83071,.T.); +#83125=ORIENTED_EDGE('',*,*,#83124,.F.); +#83126=EDGE_LOOP('',(#83121,#83122,#83123,#83125)); +#83127=FACE_OUTER_BOUND('',#83126,.F.); +#83129=CARTESIAN_POINT('',(3.1E1,1.215E0,-1.995E1)); +#83130=DIRECTION('',(1.E0,0.E0,0.E0)); +#83131=DIRECTION('',(0.E0,1.E0,0.E0)); +#83132=AXIS2_PLACEMENT_3D('',#83129,#83130,#83131); +#83133=PLANE('',#83132); +#83134=ORIENTED_EDGE('',*,*,#83109,.F.); +#83135=ORIENTED_EDGE('',*,*,#83124,.T.); +#83136=ORIENTED_EDGE('',*,*,#83069,.T.); +#83138=ORIENTED_EDGE('',*,*,#83137,.F.); +#83139=EDGE_LOOP('',(#83134,#83135,#83136,#83138)); +#83140=FACE_OUTER_BOUND('',#83139,.F.); +#83142=CARTESIAN_POINT('',(3.1E1,1.845E0,-1.995E1)); +#83143=DIRECTION('',(0.E0,1.E0,0.E0)); +#83144=DIRECTION('',(-1.E0,0.E0,0.E0)); +#83145=AXIS2_PLACEMENT_3D('',#83142,#83143,#83144); +#83146=PLANE('',#83145); +#83147=ORIENTED_EDGE('',*,*,#83111,.F.); +#83148=ORIENTED_EDGE('',*,*,#83137,.T.); +#83149=ORIENTED_EDGE('',*,*,#83067,.T.); +#83150=ORIENTED_EDGE('',*,*,#83083,.F.); +#83151=EDGE_LOOP('',(#83147,#83148,#83149,#83150)); +#83152=FACE_OUTER_BOUND('',#83151,.F.); +#83154=CARTESIAN_POINT('',(3.165E1,1.53E0,-1.78E1)); +#83155=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#83156=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#83157=AXIS2_PLACEMENT_3D('',#83154,#83155,#83156); +#83158=PLANE('',#83157); +#83160=ORIENTED_EDGE('',*,*,#83159,.T.); +#83162=ORIENTED_EDGE('',*,*,#83161,.T.); +#83164=ORIENTED_EDGE('',*,*,#83163,.T.); +#83165=ORIENTED_EDGE('',*,*,#83096,.F.); +#83166=EDGE_LOOP('',(#83160,#83162,#83164,#83165)); +#83167=FACE_OUTER_BOUND('',#83166,.F.); +#83169=CARTESIAN_POINT('',(3.07E1,2.08E0,-1.78E1)); +#83170=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#83171=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#83172=AXIS2_PLACEMENT_3D('',#83169,#83170,#83171); +#83173=PLANE('',#83172); +#83175=ORIENTED_EDGE('',*,*,#83174,.T.); +#83177=ORIENTED_EDGE('',*,*,#83176,.F.); +#83178=ORIENTED_EDGE('',*,*,#83159,.F.); +#83179=ORIENTED_EDGE('',*,*,#83102,.T.); +#83180=EDGE_LOOP('',(#83175,#83177,#83178,#83179)); +#83181=FACE_OUTER_BOUND('',#83180,.F.); +#83183=CARTESIAN_POINT('',(2.975E1,1.53E0,-1.78E1)); +#83184=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#83185=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#83186=AXIS2_PLACEMENT_3D('',#83183,#83184,#83185); +#83187=PLANE('',#83186); +#83189=ORIENTED_EDGE('',*,*,#83188,.T.); +#83190=ORIENTED_EDGE('',*,*,#82926,.T.); +#83191=ORIENTED_EDGE('',*,*,#83174,.F.); +#83192=ORIENTED_EDGE('',*,*,#83100,.F.); +#83193=EDGE_LOOP('',(#83189,#83190,#83191,#83192)); +#83194=FACE_OUTER_BOUND('',#83193,.F.); +#83196=CARTESIAN_POINT('',(3.07E1,9.8E-1,-1.78E1)); +#83197=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#83198=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#83199=AXIS2_PLACEMENT_3D('',#83196,#83197,#83198); +#83200=PLANE('',#83199); +#83201=ORIENTED_EDGE('',*,*,#83188,.F.); +#83202=ORIENTED_EDGE('',*,*,#83098,.F.); +#83203=ORIENTED_EDGE('',*,*,#83163,.F.); +#83205=ORIENTED_EDGE('',*,*,#83204,.T.); +#83206=EDGE_LOOP('',(#83201,#83202,#83203,#83205)); +#83207=FACE_OUTER_BOUND('',#83206,.F.); +#83209=CARTESIAN_POINT('',(2.96E1,8.3E-1,-1.765E1)); +#83210=DIRECTION('',(0.E0,-1.E0,0.E0)); +#83211=DIRECTION('',(0.E0,0.E0,-1.E0)); +#83212=AXIS2_PLACEMENT_3D('',#83209,#83210,#83211); +#83213=PLANE('',#83212); +#83214=ORIENTED_EDGE('',*,*,#83204,.F.); +#83216=ORIENTED_EDGE('',*,*,#83215,.T.); +#83218=ORIENTED_EDGE('',*,*,#83217,.F.); +#83219=ORIENTED_EDGE('',*,*,#82928,.F.); +#83220=EDGE_LOOP('',(#83214,#83216,#83218,#83219)); +#83221=FACE_OUTER_BOUND('',#83220,.F.); +#83223=CARTESIAN_POINT('',(3.18E1,1.033E1,0.E0)); +#83224=DIRECTION('',(1.E0,0.E0,0.E0)); +#83225=DIRECTION('',(0.E0,0.E0,-1.E0)); +#83226=AXIS2_PLACEMENT_3D('',#83223,#83224,#83225); +#83227=PLANE('',#83226); +#83229=ORIENTED_EDGE('',*,*,#83228,.F.); +#83231=ORIENTED_EDGE('',*,*,#83230,.F.); +#83232=ORIENTED_EDGE('',*,*,#65911,.F.); +#83233=ORIENTED_EDGE('',*,*,#66570,.T.); +#83235=ORIENTED_EDGE('',*,*,#83234,.T.); +#83237=ORIENTED_EDGE('',*,*,#83236,.F.); +#83239=ORIENTED_EDGE('',*,*,#83238,.F.); +#83240=EDGE_LOOP('',(#83229,#83231,#83232,#83233,#83235,#83237,#83239)); +#83241=FACE_OUTER_BOUND('',#83240,.F.); +#83243=CARTESIAN_POINT('',(3.18E1,1.033E1,0.E0)); +#83244=DIRECTION('',(1.E0,0.E0,0.E0)); +#83245=DIRECTION('',(0.E0,0.E0,-1.E0)); +#83246=AXIS2_PLACEMENT_3D('',#83243,#83244,#83245); +#83247=PLANE('',#83246); +#83248=ORIENTED_EDGE('',*,*,#83161,.F.); +#83250=ORIENTED_EDGE('',*,*,#83249,.F.); +#83251=ORIENTED_EDGE('',*,*,#72871,.T.); +#83253=ORIENTED_EDGE('',*,*,#83252,.F.); +#83254=ORIENTED_EDGE('',*,*,#83215,.F.); +#83255=EDGE_LOOP('',(#83248,#83250,#83251,#83253,#83254)); +#83256=FACE_OUTER_BOUND('',#83255,.F.); +#83258=CARTESIAN_POINT('',(3.165E1,1.033E1,-1.78E1)); +#83259=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#83260=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#83261=AXIS2_PLACEMENT_3D('',#83258,#83259,#83260); +#83262=PLANE('',#83261); +#83264=ORIENTED_EDGE('',*,*,#83263,.T.); +#83265=ORIENTED_EDGE('',*,*,#83228,.T.); +#83266=ORIENTED_EDGE('',*,*,#82961,.T.); +#83267=ORIENTED_EDGE('',*,*,#82973,.F.); +#83268=EDGE_LOOP('',(#83264,#83265,#83266,#83267)); +#83269=FACE_OUTER_BOUND('',#83268,.F.); +#83271=CARTESIAN_POINT('',(3.07E1,1.088E1,-1.78E1)); +#83272=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#83273=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#83274=AXIS2_PLACEMENT_3D('',#83271,#83272,#83273); +#83275=PLANE('',#83274); +#83276=ORIENTED_EDGE('',*,*,#82946,.T.); +#83278=ORIENTED_EDGE('',*,*,#83277,.F.); +#83279=ORIENTED_EDGE('',*,*,#83263,.F.); +#83280=ORIENTED_EDGE('',*,*,#82977,.T.); +#83281=EDGE_LOOP('',(#83276,#83278,#83279,#83280)); +#83282=FACE_OUTER_BOUND('',#83281,.F.); +#83284=CARTESIAN_POINT('',(2.96E1,1.121514332974E1,-1.9E1)); +#83285=DIRECTION('',(0.E0,9.848077530122E-1,1.736481776669E-1)); +#83286=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#83287=AXIS2_PLACEMENT_3D('',#83284,#83285,#83286); +#83288=PLANE('',#83287); +#83289=ORIENTED_EDGE('',*,*,#83277,.T.); +#83290=ORIENTED_EDGE('',*,*,#82916,.F.); +#83291=ORIENTED_EDGE('',*,*,#65913,.T.); +#83292=ORIENTED_EDGE('',*,*,#83230,.T.); +#83293=EDGE_LOOP('',(#83289,#83290,#83291,#83292)); +#83294=FACE_OUTER_BOUND('',#83293,.F.); +#83296=CARTESIAN_POINT('',(3.175E1,7.204977327052E0,-1.995E1)); +#83297=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#83298=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#83299=AXIS2_PLACEMENT_3D('',#83296,#83297,#83298); +#83300=PLANE('',#83299); +#83302=ORIENTED_EDGE('',*,*,#83301,.T.); +#83304=ORIENTED_EDGE('',*,*,#83303,.F.); +#83306=ORIENTED_EDGE('',*,*,#83305,.T.); +#83308=ORIENTED_EDGE('',*,*,#83307,.F.); +#83310=ORIENTED_EDGE('',*,*,#83309,.F.); +#83312=ORIENTED_EDGE('',*,*,#83311,.T.); +#83313=ORIENTED_EDGE('',*,*,#83234,.F.); +#83314=ORIENTED_EDGE('',*,*,#66568,.T.); +#83315=EDGE_LOOP('',(#83302,#83304,#83306,#83308,#83310,#83312,#83313,#83314)); +#83316=FACE_OUTER_BOUND('',#83315,.F.); +#83318=CARTESIAN_POINT('',(3.39E1,1.033E1,0.E0)); +#83319=DIRECTION('',(1.E0,0.E0,0.E0)); +#83320=DIRECTION('',(0.E0,0.E0,-1.E0)); +#83321=AXIS2_PLACEMENT_3D('',#83318,#83319,#83320); +#83322=PLANE('',#83321); +#83324=ORIENTED_EDGE('',*,*,#83323,.F.); +#83326=ORIENTED_EDGE('',*,*,#83325,.T.); +#83328=ORIENTED_EDGE('',*,*,#83327,.T.); +#83329=ORIENTED_EDGE('',*,*,#83301,.F.); +#83330=ORIENTED_EDGE('',*,*,#66566,.F.); +#83331=ORIENTED_EDGE('',*,*,#65907,.T.); +#83333=ORIENTED_EDGE('',*,*,#83332,.T.); +#83334=EDGE_LOOP('',(#83324,#83326,#83328,#83329,#83330,#83331,#83333)); +#83335=FACE_OUTER_BOUND('',#83334,.F.); +#83337=CARTESIAN_POINT('',(3.39E1,1.033E1,0.E0)); +#83338=DIRECTION('',(1.E0,0.E0,0.E0)); +#83339=DIRECTION('',(0.E0,0.E0,-1.E0)); +#83340=AXIS2_PLACEMENT_3D('',#83337,#83338,#83339); +#83341=PLANE('',#83340); +#83343=ORIENTED_EDGE('',*,*,#83342,.F.); +#83345=ORIENTED_EDGE('',*,*,#83344,.T.); +#83347=ORIENTED_EDGE('',*,*,#83346,.T.); +#83348=ORIENTED_EDGE('',*,*,#72877,.F.); +#83350=ORIENTED_EDGE('',*,*,#83349,.T.); +#83351=EDGE_LOOP('',(#83343,#83345,#83347,#83348,#83350)); +#83352=FACE_OUTER_BOUND('',#83351,.F.); +#83354=CARTESIAN_POINT('',(3.405E1,1.033E1,-1.78E1)); +#83355=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#83356=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#83357=AXIS2_PLACEMENT_3D('',#83354,#83355,#83356); +#83358=PLANE('',#83357); +#83360=ORIENTED_EDGE('',*,*,#83359,.T.); +#83361=ORIENTED_EDGE('',*,*,#83323,.T.); +#83363=ORIENTED_EDGE('',*,*,#83362,.F.); +#83365=ORIENTED_EDGE('',*,*,#83364,.F.); +#83366=EDGE_LOOP('',(#83360,#83361,#83363,#83365)); +#83367=FACE_OUTER_BOUND('',#83366,.F.); +#83369=CARTESIAN_POINT('',(3.5E1,9.78E0,-1.78E1)); +#83370=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#83371=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#83372=AXIS2_PLACEMENT_3D('',#83369,#83370,#83371); +#83373=PLANE('',#83372); +#83374=ORIENTED_EDGE('',*,*,#83359,.F.); +#83376=ORIENTED_EDGE('',*,*,#83375,.F.); +#83378=ORIENTED_EDGE('',*,*,#83377,.F.); +#83380=ORIENTED_EDGE('',*,*,#83379,.T.); +#83381=EDGE_LOOP('',(#83374,#83376,#83378,#83380)); +#83382=FACE_OUTER_BOUND('',#83381,.F.); +#83384=CARTESIAN_POINT('',(3.39E1,1.103E1,-1.765E1)); +#83385=DIRECTION('',(0.E0,0.E0,1.E0)); +#83386=DIRECTION('',(0.E0,-1.E0,0.E0)); +#83387=AXIS2_PLACEMENT_3D('',#83384,#83385,#83386); +#83388=PLANE('',#83387); +#83390=ORIENTED_EDGE('',*,*,#83389,.T.); +#83391=ORIENTED_EDGE('',*,*,#83375,.T.); +#83392=ORIENTED_EDGE('',*,*,#83364,.T.); +#83394=ORIENTED_EDGE('',*,*,#83393,.F.); +#83395=EDGE_LOOP('',(#83390,#83391,#83392,#83394)); +#83396=FACE_OUTER_BOUND('',#83395,.F.); +#83398=ORIENTED_EDGE('',*,*,#83397,.T.); +#83400=ORIENTED_EDGE('',*,*,#83399,.T.); +#83402=ORIENTED_EDGE('',*,*,#83401,.T.); +#83404=ORIENTED_EDGE('',*,*,#83403,.T.); +#83405=EDGE_LOOP('',(#83398,#83400,#83402,#83404)); +#83406=FACE_BOUND('',#83405,.F.); +#83408=CARTESIAN_POINT('',(3.47E1,1.0645E1,-1.995E1)); +#83409=DIRECTION('',(-1.E0,0.E0,0.E0)); +#83410=DIRECTION('',(0.E0,-1.E0,0.E0)); +#83411=AXIS2_PLACEMENT_3D('',#83408,#83409,#83410); +#83412=PLANE('',#83411); +#83413=ORIENTED_EDGE('',*,*,#83397,.F.); +#83415=ORIENTED_EDGE('',*,*,#83414,.T.); +#83417=ORIENTED_EDGE('',*,*,#83416,.T.); +#83419=ORIENTED_EDGE('',*,*,#83418,.F.); +#83420=EDGE_LOOP('',(#83413,#83415,#83417,#83419)); +#83421=FACE_OUTER_BOUND('',#83420,.F.); +#83423=CARTESIAN_POINT('',(3.53E1,1.0645E1,-1.995E1)); +#83424=DIRECTION('',(0.E0,1.E0,0.E0)); +#83425=DIRECTION('',(-1.E0,0.E0,0.E0)); +#83426=AXIS2_PLACEMENT_3D('',#83423,#83424,#83425); +#83427=PLANE('',#83426); +#83428=ORIENTED_EDGE('',*,*,#83403,.F.); +#83430=ORIENTED_EDGE('',*,*,#83429,.T.); +#83432=ORIENTED_EDGE('',*,*,#83431,.T.); +#83433=ORIENTED_EDGE('',*,*,#83414,.F.); +#83434=EDGE_LOOP('',(#83428,#83430,#83432,#83433)); +#83435=FACE_OUTER_BOUND('',#83434,.F.); +#83437=CARTESIAN_POINT('',(3.53E1,1.0015E1,-1.995E1)); +#83438=DIRECTION('',(1.E0,0.E0,0.E0)); +#83439=DIRECTION('',(0.E0,1.E0,0.E0)); +#83440=AXIS2_PLACEMENT_3D('',#83437,#83438,#83439); +#83441=PLANE('',#83440); +#83442=ORIENTED_EDGE('',*,*,#83401,.F.); +#83444=ORIENTED_EDGE('',*,*,#83443,.T.); +#83446=ORIENTED_EDGE('',*,*,#83445,.T.); +#83447=ORIENTED_EDGE('',*,*,#83429,.F.); +#83448=EDGE_LOOP('',(#83442,#83444,#83446,#83447)); +#83449=FACE_OUTER_BOUND('',#83448,.F.); +#83451=CARTESIAN_POINT('',(3.47E1,1.0015E1,-1.995E1)); +#83452=DIRECTION('',(0.E0,-1.E0,0.E0)); +#83453=DIRECTION('',(1.E0,0.E0,0.E0)); +#83454=AXIS2_PLACEMENT_3D('',#83451,#83452,#83453); +#83455=PLANE('',#83454); +#83456=ORIENTED_EDGE('',*,*,#83399,.F.); +#83457=ORIENTED_EDGE('',*,*,#83418,.T.); +#83459=ORIENTED_EDGE('',*,*,#83458,.T.); +#83460=ORIENTED_EDGE('',*,*,#83443,.F.); +#83461=EDGE_LOOP('',(#83456,#83457,#83459,#83460)); +#83462=FACE_OUTER_BOUND('',#83461,.F.); +#83464=CARTESIAN_POINT('',(8.6E0,0.E0,-1.175E1)); +#83465=DIRECTION('',(0.E0,0.E0,1.E0)); +#83466=DIRECTION('',(1.E0,0.E0,0.E0)); +#83467=AXIS2_PLACEMENT_3D('',#83464,#83465,#83466); +#83468=PLANE('',#83467); +#83469=ORIENTED_EDGE('',*,*,#83416,.F.); +#83470=ORIENTED_EDGE('',*,*,#83431,.F.); +#83471=ORIENTED_EDGE('',*,*,#83445,.F.); +#83472=ORIENTED_EDGE('',*,*,#83458,.F.); +#83473=EDGE_LOOP('',(#83469,#83470,#83471,#83472)); +#83474=FACE_OUTER_BOUND('',#83473,.F.); +#83476=CARTESIAN_POINT('',(8.6E0,0.E0,-1.175E1)); +#83477=DIRECTION('',(0.E0,0.E0,1.E0)); +#83478=DIRECTION('',(1.E0,0.E0,0.E0)); +#83479=AXIS2_PLACEMENT_3D('',#83476,#83477,#83478); +#83480=PLANE('',#83479); +#83482=ORIENTED_EDGE('',*,*,#83481,.F.); +#83484=ORIENTED_EDGE('',*,*,#83483,.F.); +#83486=ORIENTED_EDGE('',*,*,#83485,.F.); +#83488=ORIENTED_EDGE('',*,*,#83487,.F.); +#83489=EDGE_LOOP('',(#83482,#83484,#83486,#83488)); +#83490=FACE_OUTER_BOUND('',#83489,.F.); +#83492=CARTESIAN_POINT('',(3.47E1,1.845E0,-1.995E1)); +#83493=DIRECTION('',(-1.E0,0.E0,0.E0)); +#83494=DIRECTION('',(0.E0,-1.E0,0.E0)); +#83495=AXIS2_PLACEMENT_3D('',#83492,#83493,#83494); +#83496=PLANE('',#83495); +#83498=ORIENTED_EDGE('',*,*,#83497,.F.); +#83500=ORIENTED_EDGE('',*,*,#83499,.T.); +#83501=ORIENTED_EDGE('',*,*,#83481,.T.); +#83503=ORIENTED_EDGE('',*,*,#83502,.F.); +#83504=EDGE_LOOP('',(#83498,#83500,#83501,#83503)); +#83505=FACE_OUTER_BOUND('',#83504,.F.); +#83507=CARTESIAN_POINT('',(3.39E1,2.23E0,-1.765E1)); +#83508=DIRECTION('',(0.E0,0.E0,1.E0)); +#83509=DIRECTION('',(0.E0,-1.E0,0.E0)); +#83510=AXIS2_PLACEMENT_3D('',#83507,#83508,#83509); +#83511=PLANE('',#83510); +#83513=ORIENTED_EDGE('',*,*,#83512,.T.); +#83515=ORIENTED_EDGE('',*,*,#83514,.T.); +#83517=ORIENTED_EDGE('',*,*,#83516,.T.); +#83519=ORIENTED_EDGE('',*,*,#83518,.F.); +#83520=EDGE_LOOP('',(#83513,#83515,#83517,#83519)); +#83521=FACE_OUTER_BOUND('',#83520,.F.); +#83522=ORIENTED_EDGE('',*,*,#83497,.T.); +#83524=ORIENTED_EDGE('',*,*,#83523,.T.); +#83526=ORIENTED_EDGE('',*,*,#83525,.T.); +#83528=ORIENTED_EDGE('',*,*,#83527,.T.); +#83529=EDGE_LOOP('',(#83522,#83524,#83526,#83528)); +#83530=FACE_BOUND('',#83529,.F.); +#83532=CARTESIAN_POINT('',(3.47E1,1.215E0,-1.995E1)); +#83533=DIRECTION('',(0.E0,-1.E0,0.E0)); +#83534=DIRECTION('',(1.E0,0.E0,0.E0)); +#83535=AXIS2_PLACEMENT_3D('',#83532,#83533,#83534); +#83536=PLANE('',#83535); +#83537=ORIENTED_EDGE('',*,*,#83523,.F.); +#83538=ORIENTED_EDGE('',*,*,#83502,.T.); +#83539=ORIENTED_EDGE('',*,*,#83487,.T.); +#83541=ORIENTED_EDGE('',*,*,#83540,.F.); +#83542=EDGE_LOOP('',(#83537,#83538,#83539,#83541)); +#83543=FACE_OUTER_BOUND('',#83542,.F.); +#83545=CARTESIAN_POINT('',(3.53E1,1.215E0,-1.995E1)); +#83546=DIRECTION('',(1.E0,0.E0,0.E0)); +#83547=DIRECTION('',(0.E0,1.E0,0.E0)); +#83548=AXIS2_PLACEMENT_3D('',#83545,#83546,#83547); +#83549=PLANE('',#83548); +#83550=ORIENTED_EDGE('',*,*,#83525,.F.); +#83551=ORIENTED_EDGE('',*,*,#83540,.T.); +#83552=ORIENTED_EDGE('',*,*,#83485,.T.); +#83554=ORIENTED_EDGE('',*,*,#83553,.F.); +#83555=EDGE_LOOP('',(#83550,#83551,#83552,#83554)); +#83556=FACE_OUTER_BOUND('',#83555,.F.); +#83558=CARTESIAN_POINT('',(3.53E1,1.845E0,-1.995E1)); +#83559=DIRECTION('',(0.E0,1.E0,0.E0)); +#83560=DIRECTION('',(-1.E0,0.E0,0.E0)); +#83561=AXIS2_PLACEMENT_3D('',#83558,#83559,#83560); +#83562=PLANE('',#83561); +#83563=ORIENTED_EDGE('',*,*,#83527,.F.); +#83564=ORIENTED_EDGE('',*,*,#83553,.T.); +#83565=ORIENTED_EDGE('',*,*,#83483,.T.); +#83566=ORIENTED_EDGE('',*,*,#83499,.F.); +#83567=EDGE_LOOP('',(#83563,#83564,#83565,#83566)); +#83568=FACE_OUTER_BOUND('',#83567,.F.); +#83570=CARTESIAN_POINT('',(3.595E1,1.53E0,-1.78E1)); +#83571=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#83572=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#83573=AXIS2_PLACEMENT_3D('',#83570,#83571,#83572); +#83574=PLANE('',#83573); +#83576=ORIENTED_EDGE('',*,*,#83575,.T.); +#83578=ORIENTED_EDGE('',*,*,#83577,.T.); +#83580=ORIENTED_EDGE('',*,*,#83579,.T.); +#83581=ORIENTED_EDGE('',*,*,#83512,.F.); +#83582=EDGE_LOOP('',(#83576,#83578,#83580,#83581)); +#83583=FACE_OUTER_BOUND('',#83582,.F.); +#83585=CARTESIAN_POINT('',(3.5E1,2.08E0,-1.78E1)); +#83586=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#83587=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#83588=AXIS2_PLACEMENT_3D('',#83585,#83586,#83587); +#83589=PLANE('',#83588); +#83591=ORIENTED_EDGE('',*,*,#83590,.T.); +#83593=ORIENTED_EDGE('',*,*,#83592,.F.); +#83594=ORIENTED_EDGE('',*,*,#83575,.F.); +#83595=ORIENTED_EDGE('',*,*,#83518,.T.); +#83596=EDGE_LOOP('',(#83591,#83593,#83594,#83595)); +#83597=FACE_OUTER_BOUND('',#83596,.F.); +#83599=CARTESIAN_POINT('',(3.405E1,1.53E0,-1.78E1)); +#83600=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#83601=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#83602=AXIS2_PLACEMENT_3D('',#83599,#83600,#83601); +#83603=PLANE('',#83602); +#83605=ORIENTED_EDGE('',*,*,#83604,.T.); +#83606=ORIENTED_EDGE('',*,*,#83342,.T.); +#83607=ORIENTED_EDGE('',*,*,#83590,.F.); +#83608=ORIENTED_EDGE('',*,*,#83516,.F.); +#83609=EDGE_LOOP('',(#83605,#83606,#83607,#83608)); +#83610=FACE_OUTER_BOUND('',#83609,.F.); +#83612=CARTESIAN_POINT('',(3.5E1,9.8E-1,-1.78E1)); +#83613=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#83614=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#83615=AXIS2_PLACEMENT_3D('',#83612,#83613,#83614); +#83616=PLANE('',#83615); +#83617=ORIENTED_EDGE('',*,*,#83604,.F.); +#83618=ORIENTED_EDGE('',*,*,#83514,.F.); +#83619=ORIENTED_EDGE('',*,*,#83579,.F.); +#83621=ORIENTED_EDGE('',*,*,#83620,.T.); +#83622=EDGE_LOOP('',(#83617,#83618,#83619,#83621)); +#83623=FACE_OUTER_BOUND('',#83622,.F.); +#83625=CARTESIAN_POINT('',(3.39E1,8.3E-1,-1.765E1)); +#83626=DIRECTION('',(0.E0,-1.E0,0.E0)); +#83627=DIRECTION('',(0.E0,0.E0,-1.E0)); +#83628=AXIS2_PLACEMENT_3D('',#83625,#83626,#83627); +#83629=PLANE('',#83628); +#83630=ORIENTED_EDGE('',*,*,#83620,.F.); +#83632=ORIENTED_EDGE('',*,*,#83631,.T.); +#83634=ORIENTED_EDGE('',*,*,#83633,.F.); +#83635=ORIENTED_EDGE('',*,*,#83344,.F.); +#83636=EDGE_LOOP('',(#83630,#83632,#83634,#83635)); +#83637=FACE_OUTER_BOUND('',#83636,.F.); +#83639=CARTESIAN_POINT('',(3.61E1,1.033E1,0.E0)); +#83640=DIRECTION('',(1.E0,0.E0,0.E0)); +#83641=DIRECTION('',(0.E0,0.E0,-1.E0)); +#83642=AXIS2_PLACEMENT_3D('',#83639,#83640,#83641); +#83643=PLANE('',#83642); +#83645=ORIENTED_EDGE('',*,*,#83644,.F.); +#83647=ORIENTED_EDGE('',*,*,#83646,.F.); +#83648=ORIENTED_EDGE('',*,*,#65903,.F.); +#83649=ORIENTED_EDGE('',*,*,#66585,.T.); +#83651=ORIENTED_EDGE('',*,*,#83650,.T.); +#83653=ORIENTED_EDGE('',*,*,#83652,.F.); +#83655=ORIENTED_EDGE('',*,*,#83654,.F.); +#83656=EDGE_LOOP('',(#83645,#83647,#83648,#83649,#83651,#83653,#83655)); +#83657=FACE_OUTER_BOUND('',#83656,.F.); +#83659=CARTESIAN_POINT('',(3.61E1,1.033E1,0.E0)); +#83660=DIRECTION('',(1.E0,0.E0,0.E0)); +#83661=DIRECTION('',(0.E0,0.E0,-1.E0)); +#83662=AXIS2_PLACEMENT_3D('',#83659,#83660,#83661); +#83663=PLANE('',#83662); +#83664=ORIENTED_EDGE('',*,*,#83577,.F.); +#83666=ORIENTED_EDGE('',*,*,#83665,.F.); +#83667=ORIENTED_EDGE('',*,*,#72881,.T.); +#83669=ORIENTED_EDGE('',*,*,#83668,.F.); +#83670=ORIENTED_EDGE('',*,*,#83631,.F.); +#83671=EDGE_LOOP('',(#83664,#83666,#83667,#83669,#83670)); +#83672=FACE_OUTER_BOUND('',#83671,.F.); +#83674=CARTESIAN_POINT('',(3.595E1,1.033E1,-1.78E1)); +#83675=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#83676=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#83677=AXIS2_PLACEMENT_3D('',#83674,#83675,#83676); +#83678=PLANE('',#83677); +#83680=ORIENTED_EDGE('',*,*,#83679,.T.); +#83681=ORIENTED_EDGE('',*,*,#83644,.T.); +#83682=ORIENTED_EDGE('',*,*,#83377,.T.); +#83683=ORIENTED_EDGE('',*,*,#83389,.F.); +#83684=EDGE_LOOP('',(#83680,#83681,#83682,#83683)); +#83685=FACE_OUTER_BOUND('',#83684,.F.); +#83687=CARTESIAN_POINT('',(3.5E1,1.088E1,-1.78E1)); +#83688=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#83689=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#83690=AXIS2_PLACEMENT_3D('',#83687,#83688,#83689); +#83691=PLANE('',#83690); +#83692=ORIENTED_EDGE('',*,*,#83362,.T.); +#83694=ORIENTED_EDGE('',*,*,#83693,.F.); +#83695=ORIENTED_EDGE('',*,*,#83679,.F.); +#83696=ORIENTED_EDGE('',*,*,#83393,.T.); +#83697=EDGE_LOOP('',(#83692,#83694,#83695,#83696)); +#83698=FACE_OUTER_BOUND('',#83697,.F.); +#83700=CARTESIAN_POINT('',(3.39E1,1.121514332974E1,-1.9E1)); +#83701=DIRECTION('',(0.E0,9.848077530122E-1,1.736481776669E-1)); +#83702=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#83703=AXIS2_PLACEMENT_3D('',#83700,#83701,#83702); +#83704=PLANE('',#83703); +#83705=ORIENTED_EDGE('',*,*,#83693,.T.); +#83706=ORIENTED_EDGE('',*,*,#83332,.F.); +#83707=ORIENTED_EDGE('',*,*,#65905,.T.); +#83708=ORIENTED_EDGE('',*,*,#83646,.T.); +#83709=EDGE_LOOP('',(#83705,#83706,#83707,#83708)); +#83710=FACE_OUTER_BOUND('',#83709,.F.); +#83712=CARTESIAN_POINT('',(3.605E1,7.204977327052E0,-1.995E1)); +#83713=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#83714=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#83715=AXIS2_PLACEMENT_3D('',#83712,#83713,#83714); +#83716=PLANE('',#83715); +#83718=ORIENTED_EDGE('',*,*,#83717,.T.); +#83720=ORIENTED_EDGE('',*,*,#83719,.F.); +#83722=ORIENTED_EDGE('',*,*,#83721,.T.); +#83724=ORIENTED_EDGE('',*,*,#83723,.F.); +#83726=ORIENTED_EDGE('',*,*,#83725,.F.); +#83728=ORIENTED_EDGE('',*,*,#83727,.T.); +#83729=ORIENTED_EDGE('',*,*,#83650,.F.); +#83730=ORIENTED_EDGE('',*,*,#66583,.T.); +#83731=EDGE_LOOP('',(#83718,#83720,#83722,#83724,#83726,#83728,#83729,#83730)); +#83732=FACE_OUTER_BOUND('',#83731,.F.); +#83734=CARTESIAN_POINT('',(3.82E1,1.033E1,0.E0)); +#83735=DIRECTION('',(1.E0,0.E0,0.E0)); +#83736=DIRECTION('',(0.E0,0.E0,-1.E0)); +#83737=AXIS2_PLACEMENT_3D('',#83734,#83735,#83736); +#83738=PLANE('',#83737); +#83740=ORIENTED_EDGE('',*,*,#83739,.F.); +#83742=ORIENTED_EDGE('',*,*,#83741,.T.); +#83744=ORIENTED_EDGE('',*,*,#83743,.T.); +#83745=ORIENTED_EDGE('',*,*,#83717,.F.); +#83746=ORIENTED_EDGE('',*,*,#66581,.F.); +#83747=ORIENTED_EDGE('',*,*,#65899,.T.); +#83749=ORIENTED_EDGE('',*,*,#83748,.T.); +#83750=EDGE_LOOP('',(#83740,#83742,#83744,#83745,#83746,#83747,#83749)); +#83751=FACE_OUTER_BOUND('',#83750,.F.); +#83753=CARTESIAN_POINT('',(3.82E1,1.033E1,0.E0)); +#83754=DIRECTION('',(1.E0,0.E0,0.E0)); +#83755=DIRECTION('',(0.E0,0.E0,-1.E0)); +#83756=AXIS2_PLACEMENT_3D('',#83753,#83754,#83755); +#83757=PLANE('',#83756); +#83759=ORIENTED_EDGE('',*,*,#83758,.F.); +#83761=ORIENTED_EDGE('',*,*,#83760,.T.); +#83763=ORIENTED_EDGE('',*,*,#83762,.T.); +#83764=ORIENTED_EDGE('',*,*,#72887,.F.); +#83766=ORIENTED_EDGE('',*,*,#83765,.T.); +#83767=EDGE_LOOP('',(#83759,#83761,#83763,#83764,#83766)); +#83768=FACE_OUTER_BOUND('',#83767,.F.); +#83770=CARTESIAN_POINT('',(3.835E1,1.033E1,-1.78E1)); +#83771=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#83772=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#83773=AXIS2_PLACEMENT_3D('',#83770,#83771,#83772); +#83774=PLANE('',#83773); +#83776=ORIENTED_EDGE('',*,*,#83775,.T.); +#83777=ORIENTED_EDGE('',*,*,#83739,.T.); +#83779=ORIENTED_EDGE('',*,*,#83778,.F.); +#83781=ORIENTED_EDGE('',*,*,#83780,.F.); +#83782=EDGE_LOOP('',(#83776,#83777,#83779,#83781)); +#83783=FACE_OUTER_BOUND('',#83782,.F.); +#83785=CARTESIAN_POINT('',(3.93E1,9.78E0,-1.78E1)); +#83786=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#83787=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#83788=AXIS2_PLACEMENT_3D('',#83785,#83786,#83787); +#83789=PLANE('',#83788); +#83790=ORIENTED_EDGE('',*,*,#83775,.F.); +#83792=ORIENTED_EDGE('',*,*,#83791,.F.); +#83794=ORIENTED_EDGE('',*,*,#83793,.F.); +#83796=ORIENTED_EDGE('',*,*,#83795,.T.); +#83797=EDGE_LOOP('',(#83790,#83792,#83794,#83796)); +#83798=FACE_OUTER_BOUND('',#83797,.F.); +#83800=CARTESIAN_POINT('',(3.82E1,1.103E1,-1.765E1)); +#83801=DIRECTION('',(0.E0,0.E0,1.E0)); +#83802=DIRECTION('',(0.E0,-1.E0,0.E0)); +#83803=AXIS2_PLACEMENT_3D('',#83800,#83801,#83802); +#83804=PLANE('',#83803); +#83806=ORIENTED_EDGE('',*,*,#83805,.T.); +#83807=ORIENTED_EDGE('',*,*,#83791,.T.); +#83808=ORIENTED_EDGE('',*,*,#83780,.T.); +#83810=ORIENTED_EDGE('',*,*,#83809,.F.); +#83811=EDGE_LOOP('',(#83806,#83807,#83808,#83810)); +#83812=FACE_OUTER_BOUND('',#83811,.F.); +#83814=ORIENTED_EDGE('',*,*,#83813,.T.); +#83816=ORIENTED_EDGE('',*,*,#83815,.T.); +#83818=ORIENTED_EDGE('',*,*,#83817,.T.); +#83820=ORIENTED_EDGE('',*,*,#83819,.T.); +#83821=EDGE_LOOP('',(#83814,#83816,#83818,#83820)); +#83822=FACE_BOUND('',#83821,.F.); +#83824=CARTESIAN_POINT('',(3.9E1,1.0645E1,-1.995E1)); +#83825=DIRECTION('',(-1.E0,0.E0,0.E0)); +#83826=DIRECTION('',(0.E0,-1.E0,0.E0)); +#83827=AXIS2_PLACEMENT_3D('',#83824,#83825,#83826); +#83828=PLANE('',#83827); +#83829=ORIENTED_EDGE('',*,*,#83813,.F.); +#83831=ORIENTED_EDGE('',*,*,#83830,.T.); +#83833=ORIENTED_EDGE('',*,*,#83832,.T.); +#83835=ORIENTED_EDGE('',*,*,#83834,.F.); +#83836=EDGE_LOOP('',(#83829,#83831,#83833,#83835)); +#83837=FACE_OUTER_BOUND('',#83836,.F.); +#83839=CARTESIAN_POINT('',(3.96E1,1.0645E1,-1.995E1)); +#83840=DIRECTION('',(0.E0,1.E0,0.E0)); +#83841=DIRECTION('',(-1.E0,0.E0,0.E0)); +#83842=AXIS2_PLACEMENT_3D('',#83839,#83840,#83841); +#83843=PLANE('',#83842); +#83844=ORIENTED_EDGE('',*,*,#83819,.F.); +#83846=ORIENTED_EDGE('',*,*,#83845,.T.); +#83848=ORIENTED_EDGE('',*,*,#83847,.T.); +#83849=ORIENTED_EDGE('',*,*,#83830,.F.); +#83850=EDGE_LOOP('',(#83844,#83846,#83848,#83849)); +#83851=FACE_OUTER_BOUND('',#83850,.F.); +#83853=CARTESIAN_POINT('',(3.96E1,1.0015E1,-1.995E1)); +#83854=DIRECTION('',(1.E0,0.E0,0.E0)); +#83855=DIRECTION('',(0.E0,1.E0,0.E0)); +#83856=AXIS2_PLACEMENT_3D('',#83853,#83854,#83855); +#83857=PLANE('',#83856); +#83858=ORIENTED_EDGE('',*,*,#83817,.F.); +#83860=ORIENTED_EDGE('',*,*,#83859,.T.); +#83862=ORIENTED_EDGE('',*,*,#83861,.T.); +#83863=ORIENTED_EDGE('',*,*,#83845,.F.); +#83864=EDGE_LOOP('',(#83858,#83860,#83862,#83863)); +#83865=FACE_OUTER_BOUND('',#83864,.F.); +#83867=CARTESIAN_POINT('',(3.9E1,1.0015E1,-1.995E1)); +#83868=DIRECTION('',(0.E0,-1.E0,0.E0)); +#83869=DIRECTION('',(1.E0,0.E0,0.E0)); +#83870=AXIS2_PLACEMENT_3D('',#83867,#83868,#83869); +#83871=PLANE('',#83870); +#83872=ORIENTED_EDGE('',*,*,#83815,.F.); +#83873=ORIENTED_EDGE('',*,*,#83834,.T.); +#83875=ORIENTED_EDGE('',*,*,#83874,.T.); +#83876=ORIENTED_EDGE('',*,*,#83859,.F.); +#83877=EDGE_LOOP('',(#83872,#83873,#83875,#83876)); +#83878=FACE_OUTER_BOUND('',#83877,.F.); +#83880=CARTESIAN_POINT('',(1.29E1,0.E0,-1.175E1)); +#83881=DIRECTION('',(0.E0,0.E0,1.E0)); +#83882=DIRECTION('',(1.E0,0.E0,0.E0)); +#83883=AXIS2_PLACEMENT_3D('',#83880,#83881,#83882); +#83884=PLANE('',#83883); +#83885=ORIENTED_EDGE('',*,*,#83832,.F.); +#83886=ORIENTED_EDGE('',*,*,#83847,.F.); +#83887=ORIENTED_EDGE('',*,*,#83861,.F.); +#83888=ORIENTED_EDGE('',*,*,#83874,.F.); +#83889=EDGE_LOOP('',(#83885,#83886,#83887,#83888)); +#83890=FACE_OUTER_BOUND('',#83889,.F.); +#83892=CARTESIAN_POINT('',(1.29E1,0.E0,-1.175E1)); +#83893=DIRECTION('',(0.E0,0.E0,1.E0)); +#83894=DIRECTION('',(1.E0,0.E0,0.E0)); +#83895=AXIS2_PLACEMENT_3D('',#83892,#83893,#83894); +#83896=PLANE('',#83895); +#83898=ORIENTED_EDGE('',*,*,#83897,.F.); +#83900=ORIENTED_EDGE('',*,*,#83899,.F.); +#83902=ORIENTED_EDGE('',*,*,#83901,.F.); +#83904=ORIENTED_EDGE('',*,*,#83903,.F.); +#83905=EDGE_LOOP('',(#83898,#83900,#83902,#83904)); +#83906=FACE_OUTER_BOUND('',#83905,.F.); +#83908=CARTESIAN_POINT('',(3.9E1,1.845E0,-1.995E1)); +#83909=DIRECTION('',(-1.E0,0.E0,0.E0)); +#83910=DIRECTION('',(0.E0,-1.E0,0.E0)); +#83911=AXIS2_PLACEMENT_3D('',#83908,#83909,#83910); +#83912=PLANE('',#83911); +#83914=ORIENTED_EDGE('',*,*,#83913,.F.); +#83916=ORIENTED_EDGE('',*,*,#83915,.T.); +#83917=ORIENTED_EDGE('',*,*,#83897,.T.); +#83919=ORIENTED_EDGE('',*,*,#83918,.F.); +#83920=EDGE_LOOP('',(#83914,#83916,#83917,#83919)); +#83921=FACE_OUTER_BOUND('',#83920,.F.); +#83923=CARTESIAN_POINT('',(3.82E1,2.23E0,-1.765E1)); +#83924=DIRECTION('',(0.E0,0.E0,1.E0)); +#83925=DIRECTION('',(0.E0,-1.E0,0.E0)); +#83926=AXIS2_PLACEMENT_3D('',#83923,#83924,#83925); +#83927=PLANE('',#83926); +#83929=ORIENTED_EDGE('',*,*,#83928,.T.); +#83931=ORIENTED_EDGE('',*,*,#83930,.T.); +#83933=ORIENTED_EDGE('',*,*,#83932,.T.); +#83935=ORIENTED_EDGE('',*,*,#83934,.F.); +#83936=EDGE_LOOP('',(#83929,#83931,#83933,#83935)); +#83937=FACE_OUTER_BOUND('',#83936,.F.); +#83938=ORIENTED_EDGE('',*,*,#83913,.T.); +#83940=ORIENTED_EDGE('',*,*,#83939,.T.); +#83942=ORIENTED_EDGE('',*,*,#83941,.T.); +#83944=ORIENTED_EDGE('',*,*,#83943,.T.); +#83945=EDGE_LOOP('',(#83938,#83940,#83942,#83944)); +#83946=FACE_BOUND('',#83945,.F.); +#83948=CARTESIAN_POINT('',(3.9E1,1.215E0,-1.995E1)); +#83949=DIRECTION('',(0.E0,-1.E0,0.E0)); +#83950=DIRECTION('',(1.E0,0.E0,0.E0)); +#83951=AXIS2_PLACEMENT_3D('',#83948,#83949,#83950); +#83952=PLANE('',#83951); +#83953=ORIENTED_EDGE('',*,*,#83939,.F.); +#83954=ORIENTED_EDGE('',*,*,#83918,.T.); +#83955=ORIENTED_EDGE('',*,*,#83903,.T.); +#83957=ORIENTED_EDGE('',*,*,#83956,.F.); +#83958=EDGE_LOOP('',(#83953,#83954,#83955,#83957)); +#83959=FACE_OUTER_BOUND('',#83958,.F.); +#83961=CARTESIAN_POINT('',(3.96E1,1.215E0,-1.995E1)); +#83962=DIRECTION('',(1.E0,0.E0,0.E0)); +#83963=DIRECTION('',(0.E0,1.E0,0.E0)); +#83964=AXIS2_PLACEMENT_3D('',#83961,#83962,#83963); +#83965=PLANE('',#83964); +#83966=ORIENTED_EDGE('',*,*,#83941,.F.); +#83967=ORIENTED_EDGE('',*,*,#83956,.T.); +#83968=ORIENTED_EDGE('',*,*,#83901,.T.); +#83970=ORIENTED_EDGE('',*,*,#83969,.F.); +#83971=EDGE_LOOP('',(#83966,#83967,#83968,#83970)); +#83972=FACE_OUTER_BOUND('',#83971,.F.); +#83974=CARTESIAN_POINT('',(3.96E1,1.845E0,-1.995E1)); +#83975=DIRECTION('',(0.E0,1.E0,0.E0)); +#83976=DIRECTION('',(-1.E0,0.E0,0.E0)); +#83977=AXIS2_PLACEMENT_3D('',#83974,#83975,#83976); +#83978=PLANE('',#83977); +#83979=ORIENTED_EDGE('',*,*,#83943,.F.); +#83980=ORIENTED_EDGE('',*,*,#83969,.T.); +#83981=ORIENTED_EDGE('',*,*,#83899,.T.); +#83982=ORIENTED_EDGE('',*,*,#83915,.F.); +#83983=EDGE_LOOP('',(#83979,#83980,#83981,#83982)); +#83984=FACE_OUTER_BOUND('',#83983,.F.); +#83986=CARTESIAN_POINT('',(4.025E1,1.53E0,-1.78E1)); +#83987=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#83988=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#83989=AXIS2_PLACEMENT_3D('',#83986,#83987,#83988); +#83990=PLANE('',#83989); +#83992=ORIENTED_EDGE('',*,*,#83991,.T.); +#83994=ORIENTED_EDGE('',*,*,#83993,.T.); +#83996=ORIENTED_EDGE('',*,*,#83995,.T.); +#83997=ORIENTED_EDGE('',*,*,#83928,.F.); +#83998=EDGE_LOOP('',(#83992,#83994,#83996,#83997)); +#83999=FACE_OUTER_BOUND('',#83998,.F.); +#84001=CARTESIAN_POINT('',(3.93E1,2.08E0,-1.78E1)); +#84002=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#84003=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#84004=AXIS2_PLACEMENT_3D('',#84001,#84002,#84003); +#84005=PLANE('',#84004); +#84007=ORIENTED_EDGE('',*,*,#84006,.T.); +#84009=ORIENTED_EDGE('',*,*,#84008,.F.); +#84010=ORIENTED_EDGE('',*,*,#83991,.F.); +#84011=ORIENTED_EDGE('',*,*,#83934,.T.); +#84012=EDGE_LOOP('',(#84007,#84009,#84010,#84011)); +#84013=FACE_OUTER_BOUND('',#84012,.F.); +#84015=CARTESIAN_POINT('',(3.835E1,1.53E0,-1.78E1)); +#84016=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#84017=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#84018=AXIS2_PLACEMENT_3D('',#84015,#84016,#84017); +#84019=PLANE('',#84018); +#84021=ORIENTED_EDGE('',*,*,#84020,.T.); +#84022=ORIENTED_EDGE('',*,*,#83758,.T.); +#84023=ORIENTED_EDGE('',*,*,#84006,.F.); +#84024=ORIENTED_EDGE('',*,*,#83932,.F.); +#84025=EDGE_LOOP('',(#84021,#84022,#84023,#84024)); +#84026=FACE_OUTER_BOUND('',#84025,.F.); +#84028=CARTESIAN_POINT('',(3.93E1,9.8E-1,-1.78E1)); +#84029=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#84030=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#84031=AXIS2_PLACEMENT_3D('',#84028,#84029,#84030); +#84032=PLANE('',#84031); +#84033=ORIENTED_EDGE('',*,*,#84020,.F.); +#84034=ORIENTED_EDGE('',*,*,#83930,.F.); +#84035=ORIENTED_EDGE('',*,*,#83995,.F.); +#84037=ORIENTED_EDGE('',*,*,#84036,.T.); +#84038=EDGE_LOOP('',(#84033,#84034,#84035,#84037)); +#84039=FACE_OUTER_BOUND('',#84038,.F.); +#84041=CARTESIAN_POINT('',(3.82E1,8.3E-1,-1.765E1)); +#84042=DIRECTION('',(0.E0,-1.E0,0.E0)); +#84043=DIRECTION('',(0.E0,0.E0,-1.E0)); +#84044=AXIS2_PLACEMENT_3D('',#84041,#84042,#84043); +#84045=PLANE('',#84044); +#84046=ORIENTED_EDGE('',*,*,#84036,.F.); +#84048=ORIENTED_EDGE('',*,*,#84047,.T.); +#84050=ORIENTED_EDGE('',*,*,#84049,.F.); +#84051=ORIENTED_EDGE('',*,*,#83760,.F.); +#84052=EDGE_LOOP('',(#84046,#84048,#84050,#84051)); +#84053=FACE_OUTER_BOUND('',#84052,.F.); +#84055=CARTESIAN_POINT('',(4.04E1,1.033E1,0.E0)); +#84056=DIRECTION('',(1.E0,0.E0,0.E0)); +#84057=DIRECTION('',(0.E0,0.E0,-1.E0)); +#84058=AXIS2_PLACEMENT_3D('',#84055,#84056,#84057); +#84059=PLANE('',#84058); +#84061=ORIENTED_EDGE('',*,*,#84060,.F.); +#84063=ORIENTED_EDGE('',*,*,#84062,.F.); +#84064=ORIENTED_EDGE('',*,*,#65895,.F.); +#84065=ORIENTED_EDGE('',*,*,#66600,.T.); +#84067=ORIENTED_EDGE('',*,*,#84066,.T.); +#84069=ORIENTED_EDGE('',*,*,#84068,.F.); +#84071=ORIENTED_EDGE('',*,*,#84070,.F.); +#84072=EDGE_LOOP('',(#84061,#84063,#84064,#84065,#84067,#84069,#84071)); +#84073=FACE_OUTER_BOUND('',#84072,.F.); +#84075=CARTESIAN_POINT('',(4.04E1,1.033E1,0.E0)); +#84076=DIRECTION('',(1.E0,0.E0,0.E0)); +#84077=DIRECTION('',(0.E0,0.E0,-1.E0)); +#84078=AXIS2_PLACEMENT_3D('',#84075,#84076,#84077); +#84079=PLANE('',#84078); +#84080=ORIENTED_EDGE('',*,*,#83993,.F.); +#84082=ORIENTED_EDGE('',*,*,#84081,.F.); +#84083=ORIENTED_EDGE('',*,*,#72891,.T.); +#84085=ORIENTED_EDGE('',*,*,#84084,.F.); +#84086=ORIENTED_EDGE('',*,*,#84047,.F.); +#84087=EDGE_LOOP('',(#84080,#84082,#84083,#84085,#84086)); +#84088=FACE_OUTER_BOUND('',#84087,.F.); +#84090=CARTESIAN_POINT('',(4.025E1,1.033E1,-1.78E1)); +#84091=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#84092=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#84093=AXIS2_PLACEMENT_3D('',#84090,#84091,#84092); +#84094=PLANE('',#84093); +#84096=ORIENTED_EDGE('',*,*,#84095,.T.); +#84097=ORIENTED_EDGE('',*,*,#84060,.T.); +#84098=ORIENTED_EDGE('',*,*,#83793,.T.); +#84099=ORIENTED_EDGE('',*,*,#83805,.F.); +#84100=EDGE_LOOP('',(#84096,#84097,#84098,#84099)); +#84101=FACE_OUTER_BOUND('',#84100,.F.); +#84103=CARTESIAN_POINT('',(3.93E1,1.088E1,-1.78E1)); +#84104=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#84105=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#84106=AXIS2_PLACEMENT_3D('',#84103,#84104,#84105); +#84107=PLANE('',#84106); +#84108=ORIENTED_EDGE('',*,*,#83778,.T.); +#84110=ORIENTED_EDGE('',*,*,#84109,.F.); +#84111=ORIENTED_EDGE('',*,*,#84095,.F.); +#84112=ORIENTED_EDGE('',*,*,#83809,.T.); +#84113=EDGE_LOOP('',(#84108,#84110,#84111,#84112)); +#84114=FACE_OUTER_BOUND('',#84113,.F.); +#84116=CARTESIAN_POINT('',(3.82E1,1.121514332974E1,-1.9E1)); +#84117=DIRECTION('',(0.E0,9.848077530122E-1,1.736481776669E-1)); +#84118=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#84119=AXIS2_PLACEMENT_3D('',#84116,#84117,#84118); +#84120=PLANE('',#84119); +#84121=ORIENTED_EDGE('',*,*,#84109,.T.); +#84122=ORIENTED_EDGE('',*,*,#83748,.F.); +#84123=ORIENTED_EDGE('',*,*,#65897,.T.); +#84124=ORIENTED_EDGE('',*,*,#84062,.T.); +#84125=EDGE_LOOP('',(#84121,#84122,#84123,#84124)); +#84126=FACE_OUTER_BOUND('',#84125,.F.); +#84128=CARTESIAN_POINT('',(4.035E1,7.204977327052E0,-1.995E1)); +#84129=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#84130=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#84131=AXIS2_PLACEMENT_3D('',#84128,#84129,#84130); +#84132=PLANE('',#84131); +#84134=ORIENTED_EDGE('',*,*,#84133,.T.); +#84136=ORIENTED_EDGE('',*,*,#84135,.F.); +#84138=ORIENTED_EDGE('',*,*,#84137,.T.); +#84140=ORIENTED_EDGE('',*,*,#84139,.F.); +#84142=ORIENTED_EDGE('',*,*,#84141,.F.); +#84144=ORIENTED_EDGE('',*,*,#84143,.T.); +#84145=ORIENTED_EDGE('',*,*,#84066,.F.); +#84146=ORIENTED_EDGE('',*,*,#66598,.T.); +#84147=EDGE_LOOP('',(#84134,#84136,#84138,#84140,#84142,#84144,#84145,#84146)); +#84148=FACE_OUTER_BOUND('',#84147,.F.); +#84150=CARTESIAN_POINT('',(4.25E1,1.033E1,0.E0)); +#84151=DIRECTION('',(1.E0,0.E0,0.E0)); +#84152=DIRECTION('',(0.E0,0.E0,-1.E0)); +#84153=AXIS2_PLACEMENT_3D('',#84150,#84151,#84152); +#84154=PLANE('',#84153); +#84156=ORIENTED_EDGE('',*,*,#84155,.F.); +#84158=ORIENTED_EDGE('',*,*,#84157,.T.); +#84160=ORIENTED_EDGE('',*,*,#84159,.T.); +#84161=ORIENTED_EDGE('',*,*,#84133,.F.); +#84162=ORIENTED_EDGE('',*,*,#66596,.F.); +#84163=ORIENTED_EDGE('',*,*,#65891,.T.); +#84165=ORIENTED_EDGE('',*,*,#84164,.T.); +#84166=EDGE_LOOP('',(#84156,#84158,#84160,#84161,#84162,#84163,#84165)); +#84167=FACE_OUTER_BOUND('',#84166,.F.); +#84169=CARTESIAN_POINT('',(4.25E1,1.033E1,0.E0)); +#84170=DIRECTION('',(1.E0,0.E0,0.E0)); +#84171=DIRECTION('',(0.E0,0.E0,-1.E0)); +#84172=AXIS2_PLACEMENT_3D('',#84169,#84170,#84171); +#84173=PLANE('',#84172); +#84175=ORIENTED_EDGE('',*,*,#84174,.F.); +#84177=ORIENTED_EDGE('',*,*,#84176,.T.); +#84179=ORIENTED_EDGE('',*,*,#84178,.T.); +#84180=ORIENTED_EDGE('',*,*,#72897,.F.); +#84182=ORIENTED_EDGE('',*,*,#84181,.T.); +#84183=EDGE_LOOP('',(#84175,#84177,#84179,#84180,#84182)); +#84184=FACE_OUTER_BOUND('',#84183,.F.); +#84186=CARTESIAN_POINT('',(4.265E1,1.033E1,-1.78E1)); +#84187=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#84188=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#84189=AXIS2_PLACEMENT_3D('',#84186,#84187,#84188); +#84190=PLANE('',#84189); +#84192=ORIENTED_EDGE('',*,*,#84191,.T.); +#84193=ORIENTED_EDGE('',*,*,#84155,.T.); +#84195=ORIENTED_EDGE('',*,*,#84194,.F.); +#84197=ORIENTED_EDGE('',*,*,#84196,.F.); +#84198=EDGE_LOOP('',(#84192,#84193,#84195,#84197)); +#84199=FACE_OUTER_BOUND('',#84198,.F.); +#84201=CARTESIAN_POINT('',(4.36E1,9.78E0,-1.78E1)); +#84202=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#84203=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#84204=AXIS2_PLACEMENT_3D('',#84201,#84202,#84203); +#84205=PLANE('',#84204); +#84206=ORIENTED_EDGE('',*,*,#84191,.F.); +#84208=ORIENTED_EDGE('',*,*,#84207,.F.); +#84210=ORIENTED_EDGE('',*,*,#84209,.F.); +#84212=ORIENTED_EDGE('',*,*,#84211,.T.); +#84213=EDGE_LOOP('',(#84206,#84208,#84210,#84212)); +#84214=FACE_OUTER_BOUND('',#84213,.F.); +#84216=CARTESIAN_POINT('',(4.25E1,1.103E1,-1.765E1)); +#84217=DIRECTION('',(0.E0,0.E0,1.E0)); +#84218=DIRECTION('',(0.E0,-1.E0,0.E0)); +#84219=AXIS2_PLACEMENT_3D('',#84216,#84217,#84218); +#84220=PLANE('',#84219); +#84222=ORIENTED_EDGE('',*,*,#84221,.T.); +#84223=ORIENTED_EDGE('',*,*,#84207,.T.); +#84224=ORIENTED_EDGE('',*,*,#84196,.T.); +#84226=ORIENTED_EDGE('',*,*,#84225,.F.); +#84227=EDGE_LOOP('',(#84222,#84223,#84224,#84226)); +#84228=FACE_OUTER_BOUND('',#84227,.F.); +#84230=ORIENTED_EDGE('',*,*,#84229,.T.); +#84232=ORIENTED_EDGE('',*,*,#84231,.T.); +#84234=ORIENTED_EDGE('',*,*,#84233,.T.); +#84236=ORIENTED_EDGE('',*,*,#84235,.T.); +#84237=EDGE_LOOP('',(#84230,#84232,#84234,#84236)); +#84238=FACE_BOUND('',#84237,.F.); +#84240=CARTESIAN_POINT('',(4.33E1,1.0645E1,-1.995E1)); +#84241=DIRECTION('',(-1.E0,0.E0,0.E0)); +#84242=DIRECTION('',(0.E0,-1.E0,0.E0)); +#84243=AXIS2_PLACEMENT_3D('',#84240,#84241,#84242); +#84244=PLANE('',#84243); +#84245=ORIENTED_EDGE('',*,*,#84229,.F.); +#84247=ORIENTED_EDGE('',*,*,#84246,.T.); +#84249=ORIENTED_EDGE('',*,*,#84248,.T.); +#84251=ORIENTED_EDGE('',*,*,#84250,.F.); +#84252=EDGE_LOOP('',(#84245,#84247,#84249,#84251)); +#84253=FACE_OUTER_BOUND('',#84252,.F.); +#84255=CARTESIAN_POINT('',(4.39E1,1.0645E1,-1.995E1)); +#84256=DIRECTION('',(0.E0,1.E0,0.E0)); +#84257=DIRECTION('',(-1.E0,0.E0,0.E0)); +#84258=AXIS2_PLACEMENT_3D('',#84255,#84256,#84257); +#84259=PLANE('',#84258); +#84260=ORIENTED_EDGE('',*,*,#84235,.F.); +#84262=ORIENTED_EDGE('',*,*,#84261,.T.); +#84264=ORIENTED_EDGE('',*,*,#84263,.T.); +#84265=ORIENTED_EDGE('',*,*,#84246,.F.); +#84266=EDGE_LOOP('',(#84260,#84262,#84264,#84265)); +#84267=FACE_OUTER_BOUND('',#84266,.F.); +#84269=CARTESIAN_POINT('',(4.39E1,1.0015E1,-1.995E1)); +#84270=DIRECTION('',(1.E0,0.E0,0.E0)); +#84271=DIRECTION('',(0.E0,1.E0,0.E0)); +#84272=AXIS2_PLACEMENT_3D('',#84269,#84270,#84271); +#84273=PLANE('',#84272); +#84274=ORIENTED_EDGE('',*,*,#84233,.F.); +#84276=ORIENTED_EDGE('',*,*,#84275,.T.); +#84278=ORIENTED_EDGE('',*,*,#84277,.T.); +#84279=ORIENTED_EDGE('',*,*,#84261,.F.); +#84280=EDGE_LOOP('',(#84274,#84276,#84278,#84279)); +#84281=FACE_OUTER_BOUND('',#84280,.F.); +#84283=CARTESIAN_POINT('',(4.33E1,1.0015E1,-1.995E1)); +#84284=DIRECTION('',(0.E0,-1.E0,0.E0)); +#84285=DIRECTION('',(1.E0,0.E0,0.E0)); +#84286=AXIS2_PLACEMENT_3D('',#84283,#84284,#84285); +#84287=PLANE('',#84286); +#84288=ORIENTED_EDGE('',*,*,#84231,.F.); +#84289=ORIENTED_EDGE('',*,*,#84250,.T.); +#84291=ORIENTED_EDGE('',*,*,#84290,.T.); +#84292=ORIENTED_EDGE('',*,*,#84275,.F.); +#84293=EDGE_LOOP('',(#84288,#84289,#84291,#84292)); +#84294=FACE_OUTER_BOUND('',#84293,.F.); +#84296=CARTESIAN_POINT('',(1.72E1,0.E0,-1.175E1)); +#84297=DIRECTION('',(0.E0,0.E0,1.E0)); +#84298=DIRECTION('',(1.E0,0.E0,0.E0)); +#84299=AXIS2_PLACEMENT_3D('',#84296,#84297,#84298); +#84300=PLANE('',#84299); +#84301=ORIENTED_EDGE('',*,*,#84248,.F.); +#84302=ORIENTED_EDGE('',*,*,#84263,.F.); +#84303=ORIENTED_EDGE('',*,*,#84277,.F.); +#84304=ORIENTED_EDGE('',*,*,#84290,.F.); +#84305=EDGE_LOOP('',(#84301,#84302,#84303,#84304)); +#84306=FACE_OUTER_BOUND('',#84305,.F.); +#84308=CARTESIAN_POINT('',(1.72E1,0.E0,-1.175E1)); +#84309=DIRECTION('',(0.E0,0.E0,1.E0)); +#84310=DIRECTION('',(1.E0,0.E0,0.E0)); +#84311=AXIS2_PLACEMENT_3D('',#84308,#84309,#84310); +#84312=PLANE('',#84311); +#84314=ORIENTED_EDGE('',*,*,#84313,.F.); +#84316=ORIENTED_EDGE('',*,*,#84315,.F.); +#84318=ORIENTED_EDGE('',*,*,#84317,.F.); +#84320=ORIENTED_EDGE('',*,*,#84319,.F.); +#84321=EDGE_LOOP('',(#84314,#84316,#84318,#84320)); +#84322=FACE_OUTER_BOUND('',#84321,.F.); +#84324=CARTESIAN_POINT('',(4.33E1,1.845E0,-1.995E1)); +#84325=DIRECTION('',(-1.E0,0.E0,0.E0)); +#84326=DIRECTION('',(0.E0,-1.E0,0.E0)); +#84327=AXIS2_PLACEMENT_3D('',#84324,#84325,#84326); +#84328=PLANE('',#84327); +#84330=ORIENTED_EDGE('',*,*,#84329,.F.); +#84332=ORIENTED_EDGE('',*,*,#84331,.T.); +#84333=ORIENTED_EDGE('',*,*,#84313,.T.); +#84335=ORIENTED_EDGE('',*,*,#84334,.F.); +#84336=EDGE_LOOP('',(#84330,#84332,#84333,#84335)); +#84337=FACE_OUTER_BOUND('',#84336,.F.); +#84339=CARTESIAN_POINT('',(4.25E1,2.23E0,-1.765E1)); +#84340=DIRECTION('',(0.E0,0.E0,1.E0)); +#84341=DIRECTION('',(0.E0,-1.E0,0.E0)); +#84342=AXIS2_PLACEMENT_3D('',#84339,#84340,#84341); +#84343=PLANE('',#84342); +#84345=ORIENTED_EDGE('',*,*,#84344,.T.); +#84347=ORIENTED_EDGE('',*,*,#84346,.T.); +#84349=ORIENTED_EDGE('',*,*,#84348,.T.); +#84351=ORIENTED_EDGE('',*,*,#84350,.F.); +#84352=EDGE_LOOP('',(#84345,#84347,#84349,#84351)); +#84353=FACE_OUTER_BOUND('',#84352,.F.); +#84354=ORIENTED_EDGE('',*,*,#84329,.T.); +#84356=ORIENTED_EDGE('',*,*,#84355,.T.); +#84358=ORIENTED_EDGE('',*,*,#84357,.T.); +#84360=ORIENTED_EDGE('',*,*,#84359,.T.); +#84361=EDGE_LOOP('',(#84354,#84356,#84358,#84360)); +#84362=FACE_BOUND('',#84361,.F.); +#84364=CARTESIAN_POINT('',(4.33E1,1.215E0,-1.995E1)); +#84365=DIRECTION('',(0.E0,-1.E0,0.E0)); +#84366=DIRECTION('',(1.E0,0.E0,0.E0)); +#84367=AXIS2_PLACEMENT_3D('',#84364,#84365,#84366); +#84368=PLANE('',#84367); +#84369=ORIENTED_EDGE('',*,*,#84355,.F.); +#84370=ORIENTED_EDGE('',*,*,#84334,.T.); +#84371=ORIENTED_EDGE('',*,*,#84319,.T.); +#84373=ORIENTED_EDGE('',*,*,#84372,.F.); +#84374=EDGE_LOOP('',(#84369,#84370,#84371,#84373)); +#84375=FACE_OUTER_BOUND('',#84374,.F.); +#84377=CARTESIAN_POINT('',(4.39E1,1.215E0,-1.995E1)); +#84378=DIRECTION('',(1.E0,0.E0,0.E0)); +#84379=DIRECTION('',(0.E0,1.E0,0.E0)); +#84380=AXIS2_PLACEMENT_3D('',#84377,#84378,#84379); +#84381=PLANE('',#84380); +#84382=ORIENTED_EDGE('',*,*,#84357,.F.); +#84383=ORIENTED_EDGE('',*,*,#84372,.T.); +#84384=ORIENTED_EDGE('',*,*,#84317,.T.); +#84386=ORIENTED_EDGE('',*,*,#84385,.F.); +#84387=EDGE_LOOP('',(#84382,#84383,#84384,#84386)); +#84388=FACE_OUTER_BOUND('',#84387,.F.); +#84390=CARTESIAN_POINT('',(4.39E1,1.845E0,-1.995E1)); +#84391=DIRECTION('',(0.E0,1.E0,0.E0)); +#84392=DIRECTION('',(-1.E0,0.E0,0.E0)); +#84393=AXIS2_PLACEMENT_3D('',#84390,#84391,#84392); +#84394=PLANE('',#84393); +#84395=ORIENTED_EDGE('',*,*,#84359,.F.); +#84396=ORIENTED_EDGE('',*,*,#84385,.T.); +#84397=ORIENTED_EDGE('',*,*,#84315,.T.); +#84398=ORIENTED_EDGE('',*,*,#84331,.F.); +#84399=EDGE_LOOP('',(#84395,#84396,#84397,#84398)); +#84400=FACE_OUTER_BOUND('',#84399,.F.); +#84402=CARTESIAN_POINT('',(4.455E1,1.53E0,-1.78E1)); +#84403=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#84404=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#84405=AXIS2_PLACEMENT_3D('',#84402,#84403,#84404); +#84406=PLANE('',#84405); +#84408=ORIENTED_EDGE('',*,*,#84407,.T.); +#84410=ORIENTED_EDGE('',*,*,#84409,.T.); +#84412=ORIENTED_EDGE('',*,*,#84411,.T.); +#84413=ORIENTED_EDGE('',*,*,#84344,.F.); +#84414=EDGE_LOOP('',(#84408,#84410,#84412,#84413)); +#84415=FACE_OUTER_BOUND('',#84414,.F.); +#84417=CARTESIAN_POINT('',(4.36E1,2.08E0,-1.78E1)); +#84418=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#84419=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#84420=AXIS2_PLACEMENT_3D('',#84417,#84418,#84419); +#84421=PLANE('',#84420); +#84423=ORIENTED_EDGE('',*,*,#84422,.T.); +#84425=ORIENTED_EDGE('',*,*,#84424,.F.); +#84426=ORIENTED_EDGE('',*,*,#84407,.F.); +#84427=ORIENTED_EDGE('',*,*,#84350,.T.); +#84428=EDGE_LOOP('',(#84423,#84425,#84426,#84427)); +#84429=FACE_OUTER_BOUND('',#84428,.F.); +#84431=CARTESIAN_POINT('',(4.265E1,1.53E0,-1.78E1)); +#84432=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#84433=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#84434=AXIS2_PLACEMENT_3D('',#84431,#84432,#84433); +#84435=PLANE('',#84434); +#84437=ORIENTED_EDGE('',*,*,#84436,.T.); +#84438=ORIENTED_EDGE('',*,*,#84174,.T.); +#84439=ORIENTED_EDGE('',*,*,#84422,.F.); +#84440=ORIENTED_EDGE('',*,*,#84348,.F.); +#84441=EDGE_LOOP('',(#84437,#84438,#84439,#84440)); +#84442=FACE_OUTER_BOUND('',#84441,.F.); +#84444=CARTESIAN_POINT('',(4.36E1,9.8E-1,-1.78E1)); +#84445=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#84446=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#84447=AXIS2_PLACEMENT_3D('',#84444,#84445,#84446); +#84448=PLANE('',#84447); +#84449=ORIENTED_EDGE('',*,*,#84436,.F.); +#84450=ORIENTED_EDGE('',*,*,#84346,.F.); +#84451=ORIENTED_EDGE('',*,*,#84411,.F.); +#84453=ORIENTED_EDGE('',*,*,#84452,.T.); +#84454=EDGE_LOOP('',(#84449,#84450,#84451,#84453)); +#84455=FACE_OUTER_BOUND('',#84454,.F.); +#84457=CARTESIAN_POINT('',(4.25E1,8.3E-1,-1.765E1)); +#84458=DIRECTION('',(0.E0,-1.E0,0.E0)); +#84459=DIRECTION('',(0.E0,0.E0,-1.E0)); +#84460=AXIS2_PLACEMENT_3D('',#84457,#84458,#84459); +#84461=PLANE('',#84460); +#84462=ORIENTED_EDGE('',*,*,#84452,.F.); +#84464=ORIENTED_EDGE('',*,*,#84463,.T.); +#84466=ORIENTED_EDGE('',*,*,#84465,.F.); +#84467=ORIENTED_EDGE('',*,*,#84176,.F.); +#84468=EDGE_LOOP('',(#84462,#84464,#84466,#84467)); +#84469=FACE_OUTER_BOUND('',#84468,.F.); +#84471=CARTESIAN_POINT('',(4.47E1,1.033E1,0.E0)); +#84472=DIRECTION('',(1.E0,0.E0,0.E0)); +#84473=DIRECTION('',(0.E0,0.E0,-1.E0)); +#84474=AXIS2_PLACEMENT_3D('',#84471,#84472,#84473); +#84475=PLANE('',#84474); +#84477=ORIENTED_EDGE('',*,*,#84476,.F.); +#84479=ORIENTED_EDGE('',*,*,#84478,.F.); +#84480=ORIENTED_EDGE('',*,*,#65887,.F.); +#84481=ORIENTED_EDGE('',*,*,#66615,.T.); +#84483=ORIENTED_EDGE('',*,*,#84482,.T.); +#84485=ORIENTED_EDGE('',*,*,#84484,.F.); +#84487=ORIENTED_EDGE('',*,*,#84486,.F.); +#84488=EDGE_LOOP('',(#84477,#84479,#84480,#84481,#84483,#84485,#84487)); +#84489=FACE_OUTER_BOUND('',#84488,.F.); +#84491=CARTESIAN_POINT('',(4.47E1,1.033E1,0.E0)); +#84492=DIRECTION('',(1.E0,0.E0,0.E0)); +#84493=DIRECTION('',(0.E0,0.E0,-1.E0)); +#84494=AXIS2_PLACEMENT_3D('',#84491,#84492,#84493); +#84495=PLANE('',#84494); +#84496=ORIENTED_EDGE('',*,*,#84409,.F.); +#84498=ORIENTED_EDGE('',*,*,#84497,.F.); +#84499=ORIENTED_EDGE('',*,*,#72901,.T.); +#84501=ORIENTED_EDGE('',*,*,#84500,.F.); +#84502=ORIENTED_EDGE('',*,*,#84463,.F.); +#84503=EDGE_LOOP('',(#84496,#84498,#84499,#84501,#84502)); +#84504=FACE_OUTER_BOUND('',#84503,.F.); +#84506=CARTESIAN_POINT('',(4.455E1,1.033E1,-1.78E1)); +#84507=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#84508=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#84509=AXIS2_PLACEMENT_3D('',#84506,#84507,#84508); +#84510=PLANE('',#84509); +#84512=ORIENTED_EDGE('',*,*,#84511,.T.); +#84513=ORIENTED_EDGE('',*,*,#84476,.T.); +#84514=ORIENTED_EDGE('',*,*,#84209,.T.); +#84515=ORIENTED_EDGE('',*,*,#84221,.F.); +#84516=EDGE_LOOP('',(#84512,#84513,#84514,#84515)); +#84517=FACE_OUTER_BOUND('',#84516,.F.); +#84519=CARTESIAN_POINT('',(4.36E1,1.088E1,-1.78E1)); +#84520=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#84521=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#84522=AXIS2_PLACEMENT_3D('',#84519,#84520,#84521); +#84523=PLANE('',#84522); +#84524=ORIENTED_EDGE('',*,*,#84194,.T.); +#84526=ORIENTED_EDGE('',*,*,#84525,.F.); +#84527=ORIENTED_EDGE('',*,*,#84511,.F.); +#84528=ORIENTED_EDGE('',*,*,#84225,.T.); +#84529=EDGE_LOOP('',(#84524,#84526,#84527,#84528)); +#84530=FACE_OUTER_BOUND('',#84529,.F.); +#84532=CARTESIAN_POINT('',(4.25E1,1.121514332974E1,-1.9E1)); +#84533=DIRECTION('',(0.E0,9.848077530122E-1,1.736481776669E-1)); +#84534=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#84535=AXIS2_PLACEMENT_3D('',#84532,#84533,#84534); +#84536=PLANE('',#84535); +#84537=ORIENTED_EDGE('',*,*,#84525,.T.); +#84538=ORIENTED_EDGE('',*,*,#84164,.F.); +#84539=ORIENTED_EDGE('',*,*,#65889,.T.); +#84540=ORIENTED_EDGE('',*,*,#84478,.T.); +#84541=EDGE_LOOP('',(#84537,#84538,#84539,#84540)); +#84542=FACE_OUTER_BOUND('',#84541,.F.); +#84544=CARTESIAN_POINT('',(4.465E1,7.204977327052E0,-1.995E1)); +#84545=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#84546=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#84547=AXIS2_PLACEMENT_3D('',#84544,#84545,#84546); +#84548=PLANE('',#84547); +#84550=ORIENTED_EDGE('',*,*,#84549,.T.); +#84552=ORIENTED_EDGE('',*,*,#84551,.F.); +#84554=ORIENTED_EDGE('',*,*,#84553,.T.); +#84556=ORIENTED_EDGE('',*,*,#84555,.F.); +#84558=ORIENTED_EDGE('',*,*,#84557,.F.); +#84560=ORIENTED_EDGE('',*,*,#84559,.T.); +#84561=ORIENTED_EDGE('',*,*,#84482,.F.); +#84562=ORIENTED_EDGE('',*,*,#66613,.T.); +#84563=EDGE_LOOP('',(#84550,#84552,#84554,#84556,#84558,#84560,#84561,#84562)); +#84564=FACE_OUTER_BOUND('',#84563,.F.); +#84566=CARTESIAN_POINT('',(4.68E1,1.033E1,0.E0)); +#84567=DIRECTION('',(1.E0,0.E0,0.E0)); +#84568=DIRECTION('',(0.E0,0.E0,-1.E0)); +#84569=AXIS2_PLACEMENT_3D('',#84566,#84567,#84568); +#84570=PLANE('',#84569); +#84572=ORIENTED_EDGE('',*,*,#84571,.F.); +#84574=ORIENTED_EDGE('',*,*,#84573,.T.); +#84576=ORIENTED_EDGE('',*,*,#84575,.T.); +#84577=ORIENTED_EDGE('',*,*,#84549,.F.); +#84578=ORIENTED_EDGE('',*,*,#66611,.F.); +#84579=ORIENTED_EDGE('',*,*,#65883,.T.); +#84581=ORIENTED_EDGE('',*,*,#84580,.T.); +#84582=EDGE_LOOP('',(#84572,#84574,#84576,#84577,#84578,#84579,#84581)); +#84583=FACE_OUTER_BOUND('',#84582,.F.); +#84585=CARTESIAN_POINT('',(4.68E1,1.033E1,0.E0)); +#84586=DIRECTION('',(1.E0,0.E0,0.E0)); +#84587=DIRECTION('',(0.E0,0.E0,-1.E0)); +#84588=AXIS2_PLACEMENT_3D('',#84585,#84586,#84587); +#84589=PLANE('',#84588); +#84591=ORIENTED_EDGE('',*,*,#84590,.F.); +#84593=ORIENTED_EDGE('',*,*,#84592,.T.); +#84595=ORIENTED_EDGE('',*,*,#84594,.T.); +#84596=ORIENTED_EDGE('',*,*,#72907,.F.); +#84598=ORIENTED_EDGE('',*,*,#84597,.T.); +#84599=EDGE_LOOP('',(#84591,#84593,#84595,#84596,#84598)); +#84600=FACE_OUTER_BOUND('',#84599,.F.); +#84602=CARTESIAN_POINT('',(4.695E1,1.033E1,-1.78E1)); +#84603=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#84604=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#84605=AXIS2_PLACEMENT_3D('',#84602,#84603,#84604); +#84606=PLANE('',#84605); +#84608=ORIENTED_EDGE('',*,*,#84607,.T.); +#84609=ORIENTED_EDGE('',*,*,#84571,.T.); +#84611=ORIENTED_EDGE('',*,*,#84610,.F.); +#84613=ORIENTED_EDGE('',*,*,#84612,.F.); +#84614=EDGE_LOOP('',(#84608,#84609,#84611,#84613)); +#84615=FACE_OUTER_BOUND('',#84614,.F.); +#84617=CARTESIAN_POINT('',(4.79E1,9.78E0,-1.78E1)); +#84618=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#84619=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#84620=AXIS2_PLACEMENT_3D('',#84617,#84618,#84619); +#84621=PLANE('',#84620); +#84622=ORIENTED_EDGE('',*,*,#84607,.F.); +#84624=ORIENTED_EDGE('',*,*,#84623,.F.); +#84626=ORIENTED_EDGE('',*,*,#84625,.F.); +#84628=ORIENTED_EDGE('',*,*,#84627,.T.); +#84629=EDGE_LOOP('',(#84622,#84624,#84626,#84628)); +#84630=FACE_OUTER_BOUND('',#84629,.F.); +#84632=CARTESIAN_POINT('',(4.68E1,1.103E1,-1.765E1)); +#84633=DIRECTION('',(0.E0,0.E0,1.E0)); +#84634=DIRECTION('',(0.E0,-1.E0,0.E0)); +#84635=AXIS2_PLACEMENT_3D('',#84632,#84633,#84634); +#84636=PLANE('',#84635); +#84638=ORIENTED_EDGE('',*,*,#84637,.T.); +#84639=ORIENTED_EDGE('',*,*,#84623,.T.); +#84640=ORIENTED_EDGE('',*,*,#84612,.T.); +#84642=ORIENTED_EDGE('',*,*,#84641,.F.); +#84643=EDGE_LOOP('',(#84638,#84639,#84640,#84642)); +#84644=FACE_OUTER_BOUND('',#84643,.F.); +#84646=ORIENTED_EDGE('',*,*,#84645,.T.); +#84648=ORIENTED_EDGE('',*,*,#84647,.T.); +#84650=ORIENTED_EDGE('',*,*,#84649,.T.); +#84652=ORIENTED_EDGE('',*,*,#84651,.T.); +#84653=EDGE_LOOP('',(#84646,#84648,#84650,#84652)); +#84654=FACE_BOUND('',#84653,.F.); +#84656=CARTESIAN_POINT('',(4.76E1,1.0645E1,-1.995E1)); +#84657=DIRECTION('',(-1.E0,0.E0,0.E0)); +#84658=DIRECTION('',(0.E0,-1.E0,0.E0)); +#84659=AXIS2_PLACEMENT_3D('',#84656,#84657,#84658); +#84660=PLANE('',#84659); +#84661=ORIENTED_EDGE('',*,*,#84645,.F.); +#84663=ORIENTED_EDGE('',*,*,#84662,.T.); +#84665=ORIENTED_EDGE('',*,*,#84664,.T.); +#84667=ORIENTED_EDGE('',*,*,#84666,.F.); +#84668=EDGE_LOOP('',(#84661,#84663,#84665,#84667)); +#84669=FACE_OUTER_BOUND('',#84668,.F.); +#84671=CARTESIAN_POINT('',(4.82E1,1.0645E1,-1.995E1)); +#84672=DIRECTION('',(0.E0,1.E0,0.E0)); +#84673=DIRECTION('',(-1.E0,0.E0,0.E0)); +#84674=AXIS2_PLACEMENT_3D('',#84671,#84672,#84673); +#84675=PLANE('',#84674); +#84676=ORIENTED_EDGE('',*,*,#84651,.F.); +#84678=ORIENTED_EDGE('',*,*,#84677,.T.); +#84680=ORIENTED_EDGE('',*,*,#84679,.T.); +#84681=ORIENTED_EDGE('',*,*,#84662,.F.); +#84682=EDGE_LOOP('',(#84676,#84678,#84680,#84681)); +#84683=FACE_OUTER_BOUND('',#84682,.F.); +#84685=CARTESIAN_POINT('',(4.82E1,1.0015E1,-1.995E1)); +#84686=DIRECTION('',(1.E0,0.E0,0.E0)); +#84687=DIRECTION('',(0.E0,1.E0,0.E0)); +#84688=AXIS2_PLACEMENT_3D('',#84685,#84686,#84687); +#84689=PLANE('',#84688); +#84690=ORIENTED_EDGE('',*,*,#84649,.F.); +#84692=ORIENTED_EDGE('',*,*,#84691,.T.); +#84694=ORIENTED_EDGE('',*,*,#84693,.T.); +#84695=ORIENTED_EDGE('',*,*,#84677,.F.); +#84696=EDGE_LOOP('',(#84690,#84692,#84694,#84695)); +#84697=FACE_OUTER_BOUND('',#84696,.F.); +#84699=CARTESIAN_POINT('',(4.76E1,1.0015E1,-1.995E1)); +#84700=DIRECTION('',(0.E0,-1.E0,0.E0)); +#84701=DIRECTION('',(1.E0,0.E0,0.E0)); +#84702=AXIS2_PLACEMENT_3D('',#84699,#84700,#84701); +#84703=PLANE('',#84702); +#84704=ORIENTED_EDGE('',*,*,#84647,.F.); +#84705=ORIENTED_EDGE('',*,*,#84666,.T.); +#84707=ORIENTED_EDGE('',*,*,#84706,.T.); +#84708=ORIENTED_EDGE('',*,*,#84691,.F.); +#84709=EDGE_LOOP('',(#84704,#84705,#84707,#84708)); +#84710=FACE_OUTER_BOUND('',#84709,.F.); +#84712=CARTESIAN_POINT('',(2.15E1,0.E0,-1.175E1)); +#84713=DIRECTION('',(0.E0,0.E0,1.E0)); +#84714=DIRECTION('',(1.E0,0.E0,0.E0)); +#84715=AXIS2_PLACEMENT_3D('',#84712,#84713,#84714); +#84716=PLANE('',#84715); +#84717=ORIENTED_EDGE('',*,*,#84664,.F.); +#84718=ORIENTED_EDGE('',*,*,#84679,.F.); +#84719=ORIENTED_EDGE('',*,*,#84693,.F.); +#84720=ORIENTED_EDGE('',*,*,#84706,.F.); +#84721=EDGE_LOOP('',(#84717,#84718,#84719,#84720)); +#84722=FACE_OUTER_BOUND('',#84721,.F.); +#84724=CARTESIAN_POINT('',(2.15E1,0.E0,-1.175E1)); +#84725=DIRECTION('',(0.E0,0.E0,1.E0)); +#84726=DIRECTION('',(1.E0,0.E0,0.E0)); +#84727=AXIS2_PLACEMENT_3D('',#84724,#84725,#84726); +#84728=PLANE('',#84727); +#84730=ORIENTED_EDGE('',*,*,#84729,.F.); +#84732=ORIENTED_EDGE('',*,*,#84731,.F.); +#84734=ORIENTED_EDGE('',*,*,#84733,.F.); +#84736=ORIENTED_EDGE('',*,*,#84735,.F.); +#84737=EDGE_LOOP('',(#84730,#84732,#84734,#84736)); +#84738=FACE_OUTER_BOUND('',#84737,.F.); +#84740=CARTESIAN_POINT('',(4.76E1,1.845E0,-1.995E1)); +#84741=DIRECTION('',(-1.E0,0.E0,0.E0)); +#84742=DIRECTION('',(0.E0,-1.E0,0.E0)); +#84743=AXIS2_PLACEMENT_3D('',#84740,#84741,#84742); +#84744=PLANE('',#84743); +#84746=ORIENTED_EDGE('',*,*,#84745,.F.); +#84748=ORIENTED_EDGE('',*,*,#84747,.T.); +#84749=ORIENTED_EDGE('',*,*,#84729,.T.); +#84751=ORIENTED_EDGE('',*,*,#84750,.F.); +#84752=EDGE_LOOP('',(#84746,#84748,#84749,#84751)); +#84753=FACE_OUTER_BOUND('',#84752,.F.); +#84755=CARTESIAN_POINT('',(4.68E1,2.23E0,-1.765E1)); +#84756=DIRECTION('',(0.E0,0.E0,1.E0)); +#84757=DIRECTION('',(0.E0,-1.E0,0.E0)); +#84758=AXIS2_PLACEMENT_3D('',#84755,#84756,#84757); +#84759=PLANE('',#84758); +#84761=ORIENTED_EDGE('',*,*,#84760,.T.); +#84763=ORIENTED_EDGE('',*,*,#84762,.T.); +#84765=ORIENTED_EDGE('',*,*,#84764,.T.); +#84767=ORIENTED_EDGE('',*,*,#84766,.F.); +#84768=EDGE_LOOP('',(#84761,#84763,#84765,#84767)); +#84769=FACE_OUTER_BOUND('',#84768,.F.); +#84770=ORIENTED_EDGE('',*,*,#84745,.T.); +#84772=ORIENTED_EDGE('',*,*,#84771,.T.); +#84774=ORIENTED_EDGE('',*,*,#84773,.T.); +#84776=ORIENTED_EDGE('',*,*,#84775,.T.); +#84777=EDGE_LOOP('',(#84770,#84772,#84774,#84776)); +#84778=FACE_BOUND('',#84777,.F.); +#84780=CARTESIAN_POINT('',(4.76E1,1.215E0,-1.995E1)); +#84781=DIRECTION('',(0.E0,-1.E0,0.E0)); +#84782=DIRECTION('',(1.E0,0.E0,0.E0)); +#84783=AXIS2_PLACEMENT_3D('',#84780,#84781,#84782); +#84784=PLANE('',#84783); +#84785=ORIENTED_EDGE('',*,*,#84771,.F.); +#84786=ORIENTED_EDGE('',*,*,#84750,.T.); +#84787=ORIENTED_EDGE('',*,*,#84735,.T.); +#84789=ORIENTED_EDGE('',*,*,#84788,.F.); +#84790=EDGE_LOOP('',(#84785,#84786,#84787,#84789)); +#84791=FACE_OUTER_BOUND('',#84790,.F.); +#84793=CARTESIAN_POINT('',(4.82E1,1.215E0,-1.995E1)); +#84794=DIRECTION('',(1.E0,0.E0,0.E0)); +#84795=DIRECTION('',(0.E0,1.E0,0.E0)); +#84796=AXIS2_PLACEMENT_3D('',#84793,#84794,#84795); +#84797=PLANE('',#84796); +#84798=ORIENTED_EDGE('',*,*,#84773,.F.); +#84799=ORIENTED_EDGE('',*,*,#84788,.T.); +#84800=ORIENTED_EDGE('',*,*,#84733,.T.); +#84802=ORIENTED_EDGE('',*,*,#84801,.F.); +#84803=EDGE_LOOP('',(#84798,#84799,#84800,#84802)); +#84804=FACE_OUTER_BOUND('',#84803,.F.); +#84806=CARTESIAN_POINT('',(4.82E1,1.845E0,-1.995E1)); +#84807=DIRECTION('',(0.E0,1.E0,0.E0)); +#84808=DIRECTION('',(-1.E0,0.E0,0.E0)); +#84809=AXIS2_PLACEMENT_3D('',#84806,#84807,#84808); +#84810=PLANE('',#84809); +#84811=ORIENTED_EDGE('',*,*,#84775,.F.); +#84812=ORIENTED_EDGE('',*,*,#84801,.T.); +#84813=ORIENTED_EDGE('',*,*,#84731,.T.); +#84814=ORIENTED_EDGE('',*,*,#84747,.F.); +#84815=EDGE_LOOP('',(#84811,#84812,#84813,#84814)); +#84816=FACE_OUTER_BOUND('',#84815,.F.); +#84818=CARTESIAN_POINT('',(4.885E1,1.53E0,-1.78E1)); +#84819=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#84820=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#84821=AXIS2_PLACEMENT_3D('',#84818,#84819,#84820); +#84822=PLANE('',#84821); +#84824=ORIENTED_EDGE('',*,*,#84823,.T.); +#84826=ORIENTED_EDGE('',*,*,#84825,.T.); +#84828=ORIENTED_EDGE('',*,*,#84827,.T.); +#84829=ORIENTED_EDGE('',*,*,#84760,.F.); +#84830=EDGE_LOOP('',(#84824,#84826,#84828,#84829)); +#84831=FACE_OUTER_BOUND('',#84830,.F.); +#84833=CARTESIAN_POINT('',(4.79E1,2.08E0,-1.78E1)); +#84834=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#84835=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#84836=AXIS2_PLACEMENT_3D('',#84833,#84834,#84835); +#84837=PLANE('',#84836); +#84839=ORIENTED_EDGE('',*,*,#84838,.T.); +#84841=ORIENTED_EDGE('',*,*,#84840,.F.); +#84842=ORIENTED_EDGE('',*,*,#84823,.F.); +#84843=ORIENTED_EDGE('',*,*,#84766,.T.); +#84844=EDGE_LOOP('',(#84839,#84841,#84842,#84843)); +#84845=FACE_OUTER_BOUND('',#84844,.F.); +#84847=CARTESIAN_POINT('',(4.695E1,1.53E0,-1.78E1)); +#84848=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#84849=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#84850=AXIS2_PLACEMENT_3D('',#84847,#84848,#84849); +#84851=PLANE('',#84850); +#84853=ORIENTED_EDGE('',*,*,#84852,.T.); +#84854=ORIENTED_EDGE('',*,*,#84590,.T.); +#84855=ORIENTED_EDGE('',*,*,#84838,.F.); +#84856=ORIENTED_EDGE('',*,*,#84764,.F.); +#84857=EDGE_LOOP('',(#84853,#84854,#84855,#84856)); +#84858=FACE_OUTER_BOUND('',#84857,.F.); +#84860=CARTESIAN_POINT('',(4.79E1,9.8E-1,-1.78E1)); +#84861=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#84862=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#84863=AXIS2_PLACEMENT_3D('',#84860,#84861,#84862); +#84864=PLANE('',#84863); +#84865=ORIENTED_EDGE('',*,*,#84852,.F.); +#84866=ORIENTED_EDGE('',*,*,#84762,.F.); +#84867=ORIENTED_EDGE('',*,*,#84827,.F.); +#84869=ORIENTED_EDGE('',*,*,#84868,.T.); +#84870=EDGE_LOOP('',(#84865,#84866,#84867,#84869)); +#84871=FACE_OUTER_BOUND('',#84870,.F.); +#84873=CARTESIAN_POINT('',(4.68E1,8.3E-1,-1.765E1)); +#84874=DIRECTION('',(0.E0,-1.E0,0.E0)); +#84875=DIRECTION('',(0.E0,0.E0,-1.E0)); +#84876=AXIS2_PLACEMENT_3D('',#84873,#84874,#84875); +#84877=PLANE('',#84876); +#84878=ORIENTED_EDGE('',*,*,#84868,.F.); +#84880=ORIENTED_EDGE('',*,*,#84879,.T.); +#84882=ORIENTED_EDGE('',*,*,#84881,.F.); +#84883=ORIENTED_EDGE('',*,*,#84592,.F.); +#84884=EDGE_LOOP('',(#84878,#84880,#84882,#84883)); +#84885=FACE_OUTER_BOUND('',#84884,.F.); +#84887=CARTESIAN_POINT('',(4.9E1,1.033E1,0.E0)); +#84888=DIRECTION('',(1.E0,0.E0,0.E0)); +#84889=DIRECTION('',(0.E0,0.E0,-1.E0)); +#84890=AXIS2_PLACEMENT_3D('',#84887,#84888,#84889); +#84891=PLANE('',#84890); +#84893=ORIENTED_EDGE('',*,*,#84892,.F.); +#84895=ORIENTED_EDGE('',*,*,#84894,.F.); +#84896=ORIENTED_EDGE('',*,*,#65879,.F.); +#84897=ORIENTED_EDGE('',*,*,#66630,.T.); +#84899=ORIENTED_EDGE('',*,*,#84898,.T.); +#84901=ORIENTED_EDGE('',*,*,#84900,.F.); +#84903=ORIENTED_EDGE('',*,*,#84902,.F.); +#84904=EDGE_LOOP('',(#84893,#84895,#84896,#84897,#84899,#84901,#84903)); +#84905=FACE_OUTER_BOUND('',#84904,.F.); +#84907=CARTESIAN_POINT('',(4.9E1,1.033E1,0.E0)); +#84908=DIRECTION('',(1.E0,0.E0,0.E0)); +#84909=DIRECTION('',(0.E0,0.E0,-1.E0)); +#84910=AXIS2_PLACEMENT_3D('',#84907,#84908,#84909); +#84911=PLANE('',#84910); +#84912=ORIENTED_EDGE('',*,*,#84825,.F.); +#84914=ORIENTED_EDGE('',*,*,#84913,.F.); +#84915=ORIENTED_EDGE('',*,*,#72911,.T.); +#84917=ORIENTED_EDGE('',*,*,#84916,.F.); +#84918=ORIENTED_EDGE('',*,*,#84879,.F.); +#84919=EDGE_LOOP('',(#84912,#84914,#84915,#84917,#84918)); +#84920=FACE_OUTER_BOUND('',#84919,.F.); +#84922=CARTESIAN_POINT('',(4.885E1,1.033E1,-1.78E1)); +#84923=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#84924=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#84925=AXIS2_PLACEMENT_3D('',#84922,#84923,#84924); +#84926=PLANE('',#84925); +#84928=ORIENTED_EDGE('',*,*,#84927,.T.); +#84929=ORIENTED_EDGE('',*,*,#84892,.T.); +#84930=ORIENTED_EDGE('',*,*,#84625,.T.); +#84931=ORIENTED_EDGE('',*,*,#84637,.F.); +#84932=EDGE_LOOP('',(#84928,#84929,#84930,#84931)); +#84933=FACE_OUTER_BOUND('',#84932,.F.); +#84935=CARTESIAN_POINT('',(4.79E1,1.088E1,-1.78E1)); +#84936=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#84937=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#84938=AXIS2_PLACEMENT_3D('',#84935,#84936,#84937); +#84939=PLANE('',#84938); +#84940=ORIENTED_EDGE('',*,*,#84610,.T.); +#84942=ORIENTED_EDGE('',*,*,#84941,.F.); +#84943=ORIENTED_EDGE('',*,*,#84927,.F.); +#84944=ORIENTED_EDGE('',*,*,#84641,.T.); +#84945=EDGE_LOOP('',(#84940,#84942,#84943,#84944)); +#84946=FACE_OUTER_BOUND('',#84945,.F.); +#84948=CARTESIAN_POINT('',(4.68E1,1.121514332974E1,-1.9E1)); +#84949=DIRECTION('',(0.E0,9.848077530122E-1,1.736481776669E-1)); +#84950=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#84951=AXIS2_PLACEMENT_3D('',#84948,#84949,#84950); +#84952=PLANE('',#84951); +#84953=ORIENTED_EDGE('',*,*,#84941,.T.); +#84954=ORIENTED_EDGE('',*,*,#84580,.F.); +#84955=ORIENTED_EDGE('',*,*,#65881,.T.); +#84956=ORIENTED_EDGE('',*,*,#84894,.T.); +#84957=EDGE_LOOP('',(#84953,#84954,#84955,#84956)); +#84958=FACE_OUTER_BOUND('',#84957,.F.); +#84960=CARTESIAN_POINT('',(4.895E1,7.204977327052E0,-1.995E1)); +#84961=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#84962=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#84963=AXIS2_PLACEMENT_3D('',#84960,#84961,#84962); +#84964=PLANE('',#84963); +#84966=ORIENTED_EDGE('',*,*,#84965,.T.); +#84968=ORIENTED_EDGE('',*,*,#84967,.F.); +#84970=ORIENTED_EDGE('',*,*,#84969,.T.); +#84972=ORIENTED_EDGE('',*,*,#84971,.F.); +#84974=ORIENTED_EDGE('',*,*,#84973,.F.); +#84976=ORIENTED_EDGE('',*,*,#84975,.T.); +#84977=ORIENTED_EDGE('',*,*,#84898,.F.); +#84978=ORIENTED_EDGE('',*,*,#66628,.T.); +#84979=EDGE_LOOP('',(#84966,#84968,#84970,#84972,#84974,#84976,#84977,#84978)); +#84980=FACE_OUTER_BOUND('',#84979,.F.); +#84982=CARTESIAN_POINT('',(5.11E1,1.033E1,0.E0)); +#84983=DIRECTION('',(1.E0,0.E0,0.E0)); +#84984=DIRECTION('',(0.E0,0.E0,-1.E0)); +#84985=AXIS2_PLACEMENT_3D('',#84982,#84983,#84984); +#84986=PLANE('',#84985); +#84988=ORIENTED_EDGE('',*,*,#84987,.F.); +#84990=ORIENTED_EDGE('',*,*,#84989,.T.); +#84992=ORIENTED_EDGE('',*,*,#84991,.T.); +#84993=ORIENTED_EDGE('',*,*,#84965,.F.); +#84994=ORIENTED_EDGE('',*,*,#66626,.F.); +#84995=ORIENTED_EDGE('',*,*,#65875,.T.); +#84997=ORIENTED_EDGE('',*,*,#84996,.T.); +#84998=EDGE_LOOP('',(#84988,#84990,#84992,#84993,#84994,#84995,#84997)); +#84999=FACE_OUTER_BOUND('',#84998,.F.); +#85001=CARTESIAN_POINT('',(5.11E1,1.033E1,0.E0)); +#85002=DIRECTION('',(1.E0,0.E0,0.E0)); +#85003=DIRECTION('',(0.E0,0.E0,-1.E0)); +#85004=AXIS2_PLACEMENT_3D('',#85001,#85002,#85003); +#85005=PLANE('',#85004); +#85007=ORIENTED_EDGE('',*,*,#85006,.F.); +#85009=ORIENTED_EDGE('',*,*,#85008,.T.); +#85011=ORIENTED_EDGE('',*,*,#85010,.T.); +#85012=ORIENTED_EDGE('',*,*,#72917,.F.); +#85014=ORIENTED_EDGE('',*,*,#85013,.T.); +#85015=EDGE_LOOP('',(#85007,#85009,#85011,#85012,#85014)); +#85016=FACE_OUTER_BOUND('',#85015,.F.); +#85018=CARTESIAN_POINT('',(5.125E1,1.033E1,-1.78E1)); +#85019=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#85020=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#85021=AXIS2_PLACEMENT_3D('',#85018,#85019,#85020); +#85022=PLANE('',#85021); +#85024=ORIENTED_EDGE('',*,*,#85023,.T.); +#85025=ORIENTED_EDGE('',*,*,#84987,.T.); +#85027=ORIENTED_EDGE('',*,*,#85026,.F.); +#85029=ORIENTED_EDGE('',*,*,#85028,.F.); +#85030=EDGE_LOOP('',(#85024,#85025,#85027,#85029)); +#85031=FACE_OUTER_BOUND('',#85030,.F.); +#85033=CARTESIAN_POINT('',(5.22E1,9.78E0,-1.78E1)); +#85034=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#85035=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#85036=AXIS2_PLACEMENT_3D('',#85033,#85034,#85035); +#85037=PLANE('',#85036); +#85038=ORIENTED_EDGE('',*,*,#85023,.F.); +#85040=ORIENTED_EDGE('',*,*,#85039,.F.); +#85042=ORIENTED_EDGE('',*,*,#85041,.F.); +#85044=ORIENTED_EDGE('',*,*,#85043,.T.); +#85045=EDGE_LOOP('',(#85038,#85040,#85042,#85044)); +#85046=FACE_OUTER_BOUND('',#85045,.F.); +#85048=CARTESIAN_POINT('',(5.11E1,1.103E1,-1.765E1)); +#85049=DIRECTION('',(0.E0,0.E0,1.E0)); +#85050=DIRECTION('',(0.E0,-1.E0,0.E0)); +#85051=AXIS2_PLACEMENT_3D('',#85048,#85049,#85050); +#85052=PLANE('',#85051); +#85054=ORIENTED_EDGE('',*,*,#85053,.T.); +#85055=ORIENTED_EDGE('',*,*,#85039,.T.); +#85056=ORIENTED_EDGE('',*,*,#85028,.T.); +#85058=ORIENTED_EDGE('',*,*,#85057,.F.); +#85059=EDGE_LOOP('',(#85054,#85055,#85056,#85058)); +#85060=FACE_OUTER_BOUND('',#85059,.F.); +#85062=ORIENTED_EDGE('',*,*,#85061,.T.); +#85064=ORIENTED_EDGE('',*,*,#85063,.T.); +#85066=ORIENTED_EDGE('',*,*,#85065,.T.); +#85068=ORIENTED_EDGE('',*,*,#85067,.T.); +#85069=EDGE_LOOP('',(#85062,#85064,#85066,#85068)); +#85070=FACE_BOUND('',#85069,.F.); +#85072=CARTESIAN_POINT('',(5.19E1,1.0645E1,-1.995E1)); +#85073=DIRECTION('',(-1.E0,0.E0,0.E0)); +#85074=DIRECTION('',(0.E0,-1.E0,0.E0)); +#85075=AXIS2_PLACEMENT_3D('',#85072,#85073,#85074); +#85076=PLANE('',#85075); +#85077=ORIENTED_EDGE('',*,*,#85061,.F.); +#85079=ORIENTED_EDGE('',*,*,#85078,.T.); +#85081=ORIENTED_EDGE('',*,*,#85080,.T.); +#85083=ORIENTED_EDGE('',*,*,#85082,.F.); +#85084=EDGE_LOOP('',(#85077,#85079,#85081,#85083)); +#85085=FACE_OUTER_BOUND('',#85084,.F.); +#85087=CARTESIAN_POINT('',(5.25E1,1.0645E1,-1.995E1)); +#85088=DIRECTION('',(0.E0,1.E0,0.E0)); +#85089=DIRECTION('',(-1.E0,0.E0,0.E0)); +#85090=AXIS2_PLACEMENT_3D('',#85087,#85088,#85089); +#85091=PLANE('',#85090); +#85092=ORIENTED_EDGE('',*,*,#85067,.F.); +#85094=ORIENTED_EDGE('',*,*,#85093,.T.); +#85096=ORIENTED_EDGE('',*,*,#85095,.T.); +#85097=ORIENTED_EDGE('',*,*,#85078,.F.); +#85098=EDGE_LOOP('',(#85092,#85094,#85096,#85097)); +#85099=FACE_OUTER_BOUND('',#85098,.F.); +#85101=CARTESIAN_POINT('',(5.25E1,1.0015E1,-1.995E1)); +#85102=DIRECTION('',(1.E0,0.E0,0.E0)); +#85103=DIRECTION('',(0.E0,1.E0,0.E0)); +#85104=AXIS2_PLACEMENT_3D('',#85101,#85102,#85103); +#85105=PLANE('',#85104); +#85106=ORIENTED_EDGE('',*,*,#85065,.F.); +#85108=ORIENTED_EDGE('',*,*,#85107,.T.); +#85110=ORIENTED_EDGE('',*,*,#85109,.T.); +#85111=ORIENTED_EDGE('',*,*,#85093,.F.); +#85112=EDGE_LOOP('',(#85106,#85108,#85110,#85111)); +#85113=FACE_OUTER_BOUND('',#85112,.F.); +#85115=CARTESIAN_POINT('',(5.19E1,1.0015E1,-1.995E1)); +#85116=DIRECTION('',(0.E0,-1.E0,0.E0)); +#85117=DIRECTION('',(1.E0,0.E0,0.E0)); +#85118=AXIS2_PLACEMENT_3D('',#85115,#85116,#85117); +#85119=PLANE('',#85118); +#85120=ORIENTED_EDGE('',*,*,#85063,.F.); +#85121=ORIENTED_EDGE('',*,*,#85082,.T.); +#85123=ORIENTED_EDGE('',*,*,#85122,.T.); +#85124=ORIENTED_EDGE('',*,*,#85107,.F.); +#85125=EDGE_LOOP('',(#85120,#85121,#85123,#85124)); +#85126=FACE_OUTER_BOUND('',#85125,.F.); +#85128=CARTESIAN_POINT('',(2.58E1,0.E0,-1.175E1)); +#85129=DIRECTION('',(0.E0,0.E0,1.E0)); +#85130=DIRECTION('',(1.E0,0.E0,0.E0)); +#85131=AXIS2_PLACEMENT_3D('',#85128,#85129,#85130); +#85132=PLANE('',#85131); +#85133=ORIENTED_EDGE('',*,*,#85080,.F.); +#85134=ORIENTED_EDGE('',*,*,#85095,.F.); +#85135=ORIENTED_EDGE('',*,*,#85109,.F.); +#85136=ORIENTED_EDGE('',*,*,#85122,.F.); +#85137=EDGE_LOOP('',(#85133,#85134,#85135,#85136)); +#85138=FACE_OUTER_BOUND('',#85137,.F.); +#85140=CARTESIAN_POINT('',(2.58E1,0.E0,-1.175E1)); +#85141=DIRECTION('',(0.E0,0.E0,1.E0)); +#85142=DIRECTION('',(1.E0,0.E0,0.E0)); +#85143=AXIS2_PLACEMENT_3D('',#85140,#85141,#85142); +#85144=PLANE('',#85143); +#85146=ORIENTED_EDGE('',*,*,#85145,.F.); +#85148=ORIENTED_EDGE('',*,*,#85147,.F.); +#85150=ORIENTED_EDGE('',*,*,#85149,.F.); +#85152=ORIENTED_EDGE('',*,*,#85151,.F.); +#85153=EDGE_LOOP('',(#85146,#85148,#85150,#85152)); +#85154=FACE_OUTER_BOUND('',#85153,.F.); +#85156=CARTESIAN_POINT('',(5.19E1,1.845E0,-1.995E1)); +#85157=DIRECTION('',(-1.E0,0.E0,0.E0)); +#85158=DIRECTION('',(0.E0,-1.E0,0.E0)); +#85159=AXIS2_PLACEMENT_3D('',#85156,#85157,#85158); +#85160=PLANE('',#85159); +#85162=ORIENTED_EDGE('',*,*,#85161,.F.); +#85164=ORIENTED_EDGE('',*,*,#85163,.T.); +#85165=ORIENTED_EDGE('',*,*,#85145,.T.); +#85167=ORIENTED_EDGE('',*,*,#85166,.F.); +#85168=EDGE_LOOP('',(#85162,#85164,#85165,#85167)); +#85169=FACE_OUTER_BOUND('',#85168,.F.); +#85171=CARTESIAN_POINT('',(5.11E1,2.23E0,-1.765E1)); +#85172=DIRECTION('',(0.E0,0.E0,1.E0)); +#85173=DIRECTION('',(0.E0,-1.E0,0.E0)); +#85174=AXIS2_PLACEMENT_3D('',#85171,#85172,#85173); +#85175=PLANE('',#85174); +#85177=ORIENTED_EDGE('',*,*,#85176,.T.); +#85179=ORIENTED_EDGE('',*,*,#85178,.T.); +#85181=ORIENTED_EDGE('',*,*,#85180,.T.); +#85183=ORIENTED_EDGE('',*,*,#85182,.F.); +#85184=EDGE_LOOP('',(#85177,#85179,#85181,#85183)); +#85185=FACE_OUTER_BOUND('',#85184,.F.); +#85186=ORIENTED_EDGE('',*,*,#85161,.T.); +#85188=ORIENTED_EDGE('',*,*,#85187,.T.); +#85190=ORIENTED_EDGE('',*,*,#85189,.T.); +#85192=ORIENTED_EDGE('',*,*,#85191,.T.); +#85193=EDGE_LOOP('',(#85186,#85188,#85190,#85192)); +#85194=FACE_BOUND('',#85193,.F.); +#85196=CARTESIAN_POINT('',(5.19E1,1.215E0,-1.995E1)); +#85197=DIRECTION('',(0.E0,-1.E0,0.E0)); +#85198=DIRECTION('',(1.E0,0.E0,0.E0)); +#85199=AXIS2_PLACEMENT_3D('',#85196,#85197,#85198); +#85200=PLANE('',#85199); +#85201=ORIENTED_EDGE('',*,*,#85187,.F.); +#85202=ORIENTED_EDGE('',*,*,#85166,.T.); +#85203=ORIENTED_EDGE('',*,*,#85151,.T.); +#85205=ORIENTED_EDGE('',*,*,#85204,.F.); +#85206=EDGE_LOOP('',(#85201,#85202,#85203,#85205)); +#85207=FACE_OUTER_BOUND('',#85206,.F.); +#85209=CARTESIAN_POINT('',(5.25E1,1.215E0,-1.995E1)); +#85210=DIRECTION('',(1.E0,0.E0,0.E0)); +#85211=DIRECTION('',(0.E0,1.E0,0.E0)); +#85212=AXIS2_PLACEMENT_3D('',#85209,#85210,#85211); +#85213=PLANE('',#85212); +#85214=ORIENTED_EDGE('',*,*,#85189,.F.); +#85215=ORIENTED_EDGE('',*,*,#85204,.T.); +#85216=ORIENTED_EDGE('',*,*,#85149,.T.); +#85218=ORIENTED_EDGE('',*,*,#85217,.F.); +#85219=EDGE_LOOP('',(#85214,#85215,#85216,#85218)); +#85220=FACE_OUTER_BOUND('',#85219,.F.); +#85222=CARTESIAN_POINT('',(5.25E1,1.845E0,-1.995E1)); +#85223=DIRECTION('',(0.E0,1.E0,0.E0)); +#85224=DIRECTION('',(-1.E0,0.E0,0.E0)); +#85225=AXIS2_PLACEMENT_3D('',#85222,#85223,#85224); +#85226=PLANE('',#85225); +#85227=ORIENTED_EDGE('',*,*,#85191,.F.); +#85228=ORIENTED_EDGE('',*,*,#85217,.T.); +#85229=ORIENTED_EDGE('',*,*,#85147,.T.); +#85230=ORIENTED_EDGE('',*,*,#85163,.F.); +#85231=EDGE_LOOP('',(#85227,#85228,#85229,#85230)); +#85232=FACE_OUTER_BOUND('',#85231,.F.); +#85234=CARTESIAN_POINT('',(5.315E1,1.53E0,-1.78E1)); +#85235=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#85236=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#85237=AXIS2_PLACEMENT_3D('',#85234,#85235,#85236); +#85238=PLANE('',#85237); +#85240=ORIENTED_EDGE('',*,*,#85239,.T.); +#85242=ORIENTED_EDGE('',*,*,#85241,.T.); +#85244=ORIENTED_EDGE('',*,*,#85243,.T.); +#85245=ORIENTED_EDGE('',*,*,#85176,.F.); +#85246=EDGE_LOOP('',(#85240,#85242,#85244,#85245)); +#85247=FACE_OUTER_BOUND('',#85246,.F.); +#85249=CARTESIAN_POINT('',(5.22E1,2.08E0,-1.78E1)); +#85250=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#85251=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#85252=AXIS2_PLACEMENT_3D('',#85249,#85250,#85251); +#85253=PLANE('',#85252); +#85255=ORIENTED_EDGE('',*,*,#85254,.T.); +#85257=ORIENTED_EDGE('',*,*,#85256,.F.); +#85258=ORIENTED_EDGE('',*,*,#85239,.F.); +#85259=ORIENTED_EDGE('',*,*,#85182,.T.); +#85260=EDGE_LOOP('',(#85255,#85257,#85258,#85259)); +#85261=FACE_OUTER_BOUND('',#85260,.F.); +#85263=CARTESIAN_POINT('',(5.125E1,1.53E0,-1.78E1)); +#85264=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#85265=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#85266=AXIS2_PLACEMENT_3D('',#85263,#85264,#85265); +#85267=PLANE('',#85266); +#85269=ORIENTED_EDGE('',*,*,#85268,.T.); +#85270=ORIENTED_EDGE('',*,*,#85006,.T.); +#85271=ORIENTED_EDGE('',*,*,#85254,.F.); +#85272=ORIENTED_EDGE('',*,*,#85180,.F.); +#85273=EDGE_LOOP('',(#85269,#85270,#85271,#85272)); +#85274=FACE_OUTER_BOUND('',#85273,.F.); +#85276=CARTESIAN_POINT('',(5.22E1,9.8E-1,-1.78E1)); +#85277=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#85278=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#85279=AXIS2_PLACEMENT_3D('',#85276,#85277,#85278); +#85280=PLANE('',#85279); +#85281=ORIENTED_EDGE('',*,*,#85268,.F.); +#85282=ORIENTED_EDGE('',*,*,#85178,.F.); +#85283=ORIENTED_EDGE('',*,*,#85243,.F.); +#85285=ORIENTED_EDGE('',*,*,#85284,.T.); +#85286=EDGE_LOOP('',(#85281,#85282,#85283,#85285)); +#85287=FACE_OUTER_BOUND('',#85286,.F.); +#85289=CARTESIAN_POINT('',(5.11E1,8.3E-1,-1.765E1)); +#85290=DIRECTION('',(0.E0,-1.E0,0.E0)); +#85291=DIRECTION('',(0.E0,0.E0,-1.E0)); +#85292=AXIS2_PLACEMENT_3D('',#85289,#85290,#85291); +#85293=PLANE('',#85292); +#85294=ORIENTED_EDGE('',*,*,#85284,.F.); +#85296=ORIENTED_EDGE('',*,*,#85295,.T.); +#85298=ORIENTED_EDGE('',*,*,#85297,.F.); +#85299=ORIENTED_EDGE('',*,*,#85008,.F.); +#85300=EDGE_LOOP('',(#85294,#85296,#85298,#85299)); +#85301=FACE_OUTER_BOUND('',#85300,.F.); +#85303=CARTESIAN_POINT('',(5.33E1,1.033E1,0.E0)); +#85304=DIRECTION('',(1.E0,0.E0,0.E0)); +#85305=DIRECTION('',(0.E0,0.E0,-1.E0)); +#85306=AXIS2_PLACEMENT_3D('',#85303,#85304,#85305); +#85307=PLANE('',#85306); +#85309=ORIENTED_EDGE('',*,*,#85308,.F.); +#85311=ORIENTED_EDGE('',*,*,#85310,.F.); +#85312=ORIENTED_EDGE('',*,*,#65937,.F.); +#85313=ORIENTED_EDGE('',*,*,#66641,.T.); +#85315=ORIENTED_EDGE('',*,*,#85314,.F.); +#85317=ORIENTED_EDGE('',*,*,#85316,.F.); +#85318=EDGE_LOOP('',(#85309,#85311,#85312,#85313,#85315,#85317)); +#85319=FACE_OUTER_BOUND('',#85318,.F.); +#85321=CARTESIAN_POINT('',(5.33E1,1.033E1,0.E0)); +#85322=DIRECTION('',(1.E0,0.E0,0.E0)); +#85323=DIRECTION('',(0.E0,0.E0,-1.E0)); +#85324=AXIS2_PLACEMENT_3D('',#85321,#85322,#85323); +#85325=PLANE('',#85324); +#85326=ORIENTED_EDGE('',*,*,#85241,.F.); +#85328=ORIENTED_EDGE('',*,*,#85327,.F.); +#85329=ORIENTED_EDGE('',*,*,#72921,.T.); +#85331=ORIENTED_EDGE('',*,*,#85330,.F.); +#85332=ORIENTED_EDGE('',*,*,#85295,.F.); +#85333=EDGE_LOOP('',(#85326,#85328,#85329,#85331,#85332)); +#85334=FACE_OUTER_BOUND('',#85333,.F.); +#85336=CARTESIAN_POINT('',(5.315E1,1.033E1,-1.78E1)); +#85337=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#85338=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#85339=AXIS2_PLACEMENT_3D('',#85336,#85337,#85338); +#85340=PLANE('',#85339); +#85342=ORIENTED_EDGE('',*,*,#85341,.T.); +#85343=ORIENTED_EDGE('',*,*,#85308,.T.); +#85344=ORIENTED_EDGE('',*,*,#85041,.T.); +#85345=ORIENTED_EDGE('',*,*,#85053,.F.); +#85346=EDGE_LOOP('',(#85342,#85343,#85344,#85345)); +#85347=FACE_OUTER_BOUND('',#85346,.F.); +#85349=CARTESIAN_POINT('',(5.22E1,1.088E1,-1.78E1)); +#85350=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#85351=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#85352=AXIS2_PLACEMENT_3D('',#85349,#85350,#85351); +#85353=PLANE('',#85352); +#85354=ORIENTED_EDGE('',*,*,#85026,.T.); +#85356=ORIENTED_EDGE('',*,*,#85355,.F.); +#85357=ORIENTED_EDGE('',*,*,#85341,.F.); +#85358=ORIENTED_EDGE('',*,*,#85057,.T.); +#85359=EDGE_LOOP('',(#85354,#85356,#85357,#85358)); +#85360=FACE_OUTER_BOUND('',#85359,.F.); +#85362=CARTESIAN_POINT('',(5.11E1,1.121514332974E1,-1.9E1)); +#85363=DIRECTION('',(0.E0,9.848077530122E-1,1.736481776669E-1)); +#85364=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#85365=AXIS2_PLACEMENT_3D('',#85362,#85363,#85364); +#85366=PLANE('',#85365); +#85367=ORIENTED_EDGE('',*,*,#85355,.T.); +#85368=ORIENTED_EDGE('',*,*,#84996,.F.); +#85369=ORIENTED_EDGE('',*,*,#65939,.T.); +#85370=ORIENTED_EDGE('',*,*,#85310,.T.); +#85371=EDGE_LOOP('',(#85367,#85368,#85369,#85370)); +#85372=FACE_OUTER_BOUND('',#85371,.F.); +#85374=CARTESIAN_POINT('',(5.11E1,9.63E0,-1.816282873211E1)); +#85375=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#85376=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#85377=AXIS2_PLACEMENT_3D('',#85374,#85375,#85376); +#85378=PLANE('',#85377); +#85379=ORIENTED_EDGE('',*,*,#84991,.F.); +#85381=ORIENTED_EDGE('',*,*,#85380,.T.); +#85382=ORIENTED_EDGE('',*,*,#85314,.T.); +#85383=ORIENTED_EDGE('',*,*,#72367,.F.); +#85385=ORIENTED_EDGE('',*,*,#85384,.F.); +#85386=ORIENTED_EDGE('',*,*,#84967,.T.); +#85387=EDGE_LOOP('',(#85379,#85381,#85382,#85383,#85385,#85386)); +#85388=FACE_OUTER_BOUND('',#85387,.F.); +#85390=ORIENTED_EDGE('',*,*,#85389,.T.); +#85392=ORIENTED_EDGE('',*,*,#85391,.T.); +#85394=ORIENTED_EDGE('',*,*,#85393,.T.); +#85396=ORIENTED_EDGE('',*,*,#85395,.T.); +#85398=ORIENTED_EDGE('',*,*,#85397,.T.); +#85400=ORIENTED_EDGE('',*,*,#85399,.T.); +#85402=ORIENTED_EDGE('',*,*,#85401,.T.); +#85404=ORIENTED_EDGE('',*,*,#85403,.T.); +#85406=ORIENTED_EDGE('',*,*,#85405,.T.); +#85408=ORIENTED_EDGE('',*,*,#85407,.T.); +#85410=ORIENTED_EDGE('',*,*,#85409,.T.); +#85412=ORIENTED_EDGE('',*,*,#85411,.T.); +#85414=ORIENTED_EDGE('',*,*,#85413,.T.); +#85416=ORIENTED_EDGE('',*,*,#85415,.T.); +#85418=ORIENTED_EDGE('',*,*,#85417,.T.); +#85420=ORIENTED_EDGE('',*,*,#85419,.T.); +#85422=ORIENTED_EDGE('',*,*,#85421,.T.); +#85424=ORIENTED_EDGE('',*,*,#85423,.T.); +#85426=ORIENTED_EDGE('',*,*,#85425,.T.); +#85428=ORIENTED_EDGE('',*,*,#85427,.T.); +#85430=ORIENTED_EDGE('',*,*,#85429,.T.); +#85432=ORIENTED_EDGE('',*,*,#85431,.T.); +#85434=ORIENTED_EDGE('',*,*,#85433,.T.); +#85436=ORIENTED_EDGE('',*,*,#85435,.T.); +#85438=ORIENTED_EDGE('',*,*,#85437,.T.); +#85440=ORIENTED_EDGE('',*,*,#85439,.T.); +#85442=ORIENTED_EDGE('',*,*,#85441,.T.); +#85444=ORIENTED_EDGE('',*,*,#85443,.T.); +#85446=ORIENTED_EDGE('',*,*,#85445,.T.); +#85448=ORIENTED_EDGE('',*,*,#85447,.T.); +#85450=ORIENTED_EDGE('',*,*,#85449,.T.); +#85452=ORIENTED_EDGE('',*,*,#85451,.T.); +#85454=ORIENTED_EDGE('',*,*,#85453,.T.); +#85456=ORIENTED_EDGE('',*,*,#85455,.T.); +#85457=EDGE_LOOP('',(#85390,#85392,#85394,#85396,#85398,#85400,#85402,#85404, +#85406,#85408,#85410,#85412,#85414,#85416,#85418,#85420,#85422,#85424,#85426, +#85428,#85430,#85432,#85434,#85436,#85438,#85440,#85442,#85444,#85446,#85448, +#85450,#85452,#85454,#85456)); +#85458=FACE_BOUND('',#85457,.F.); +#85460=ORIENTED_EDGE('',*,*,#85459,.T.); +#85462=ORIENTED_EDGE('',*,*,#85461,.T.); +#85464=ORIENTED_EDGE('',*,*,#85463,.T.); +#85466=ORIENTED_EDGE('',*,*,#85465,.T.); +#85468=ORIENTED_EDGE('',*,*,#85467,.T.); +#85470=ORIENTED_EDGE('',*,*,#85469,.T.); +#85472=ORIENTED_EDGE('',*,*,#85471,.T.); +#85474=ORIENTED_EDGE('',*,*,#85473,.T.); +#85476=ORIENTED_EDGE('',*,*,#85475,.T.); +#85478=ORIENTED_EDGE('',*,*,#85477,.T.); +#85480=ORIENTED_EDGE('',*,*,#85479,.T.); +#85482=ORIENTED_EDGE('',*,*,#85481,.T.); +#85484=ORIENTED_EDGE('',*,*,#85483,.T.); +#85486=ORIENTED_EDGE('',*,*,#85485,.T.); +#85488=ORIENTED_EDGE('',*,*,#85487,.T.); +#85490=ORIENTED_EDGE('',*,*,#85489,.T.); +#85492=ORIENTED_EDGE('',*,*,#85491,.T.); +#85494=ORIENTED_EDGE('',*,*,#85493,.T.); +#85496=ORIENTED_EDGE('',*,*,#85495,.T.); +#85498=ORIENTED_EDGE('',*,*,#85497,.T.); +#85500=ORIENTED_EDGE('',*,*,#85499,.T.); +#85502=ORIENTED_EDGE('',*,*,#85501,.T.); +#85504=ORIENTED_EDGE('',*,*,#85503,.T.); +#85506=ORIENTED_EDGE('',*,*,#85505,.T.); +#85508=ORIENTED_EDGE('',*,*,#85507,.T.); +#85510=ORIENTED_EDGE('',*,*,#85509,.T.); +#85511=EDGE_LOOP('',(#85460,#85462,#85464,#85466,#85468,#85470,#85472,#85474, +#85476,#85478,#85480,#85482,#85484,#85486,#85488,#85490,#85492,#85494,#85496, +#85498,#85500,#85502,#85504,#85506,#85508,#85510)); +#85512=FACE_BOUND('',#85511,.F.); +#85514=CARTESIAN_POINT('',(5.11E1,9.63E0,-1.816282873211E1)); +#85515=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#85516=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#85517=AXIS2_PLACEMENT_3D('',#85514,#85515,#85516); +#85518=PLANE('',#85517); +#85520=ORIENTED_EDGE('',*,*,#85519,.T.); +#85522=ORIENTED_EDGE('',*,*,#85521,.T.); +#85524=ORIENTED_EDGE('',*,*,#85523,.T.); +#85526=ORIENTED_EDGE('',*,*,#85525,.T.); +#85528=ORIENTED_EDGE('',*,*,#85527,.T.); +#85530=ORIENTED_EDGE('',*,*,#85529,.T.); +#85532=ORIENTED_EDGE('',*,*,#85531,.T.); +#85534=ORIENTED_EDGE('',*,*,#85533,.T.); +#85536=ORIENTED_EDGE('',*,*,#85535,.T.); +#85538=ORIENTED_EDGE('',*,*,#85537,.T.); +#85540=ORIENTED_EDGE('',*,*,#85539,.T.); +#85542=ORIENTED_EDGE('',*,*,#85541,.T.); +#85544=ORIENTED_EDGE('',*,*,#85543,.T.); +#85546=ORIENTED_EDGE('',*,*,#85545,.T.); +#85548=ORIENTED_EDGE('',*,*,#85547,.T.); +#85550=ORIENTED_EDGE('',*,*,#85549,.T.); +#85551=EDGE_LOOP('',(#85520,#85522,#85524,#85526,#85528,#85530,#85532,#85534, +#85536,#85538,#85540,#85542,#85544,#85546,#85548,#85550)); +#85552=FACE_OUTER_BOUND('',#85551,.F.); +#85554=CARTESIAN_POINT('',(5.11E1,9.63E0,-1.816282873211E1)); +#85555=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#85556=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#85557=AXIS2_PLACEMENT_3D('',#85554,#85555,#85556); +#85558=PLANE('',#85557); +#85560=ORIENTED_EDGE('',*,*,#85559,.T.); +#85562=ORIENTED_EDGE('',*,*,#85561,.T.); +#85564=ORIENTED_EDGE('',*,*,#85563,.T.); +#85566=ORIENTED_EDGE('',*,*,#85565,.T.); +#85568=ORIENTED_EDGE('',*,*,#85567,.T.); +#85570=ORIENTED_EDGE('',*,*,#85569,.T.); +#85572=ORIENTED_EDGE('',*,*,#85571,.T.); +#85574=ORIENTED_EDGE('',*,*,#85573,.T.); +#85576=ORIENTED_EDGE('',*,*,#85575,.T.); +#85578=ORIENTED_EDGE('',*,*,#85577,.T.); +#85580=ORIENTED_EDGE('',*,*,#85579,.T.); +#85582=ORIENTED_EDGE('',*,*,#85581,.T.); +#85584=ORIENTED_EDGE('',*,*,#85583,.T.); +#85586=ORIENTED_EDGE('',*,*,#85585,.T.); +#85588=ORIENTED_EDGE('',*,*,#85587,.T.); +#85590=ORIENTED_EDGE('',*,*,#85589,.T.); +#85591=EDGE_LOOP('',(#85560,#85562,#85564,#85566,#85568,#85570,#85572,#85574, +#85576,#85578,#85580,#85582,#85584,#85586,#85588,#85590)); +#85592=FACE_OUTER_BOUND('',#85591,.F.); +#85594=CARTESIAN_POINT('',(5.11E1,9.63E0,-1.816282873211E1)); +#85595=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#85596=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#85597=AXIS2_PLACEMENT_3D('',#85594,#85595,#85596); +#85598=PLANE('',#85597); +#85600=ORIENTED_EDGE('',*,*,#85599,.T.); +#85602=ORIENTED_EDGE('',*,*,#85601,.T.); +#85604=ORIENTED_EDGE('',*,*,#85603,.T.); +#85606=ORIENTED_EDGE('',*,*,#85605,.T.); +#85608=ORIENTED_EDGE('',*,*,#85607,.T.); +#85610=ORIENTED_EDGE('',*,*,#85609,.T.); +#85612=ORIENTED_EDGE('',*,*,#85611,.T.); +#85614=ORIENTED_EDGE('',*,*,#85613,.T.); +#85616=ORIENTED_EDGE('',*,*,#85615,.T.); +#85618=ORIENTED_EDGE('',*,*,#85617,.T.); +#85620=ORIENTED_EDGE('',*,*,#85619,.T.); +#85622=ORIENTED_EDGE('',*,*,#85621,.T.); +#85624=ORIENTED_EDGE('',*,*,#85623,.T.); +#85626=ORIENTED_EDGE('',*,*,#85625,.T.); +#85628=ORIENTED_EDGE('',*,*,#85627,.T.); +#85630=ORIENTED_EDGE('',*,*,#85629,.T.); +#85632=ORIENTED_EDGE('',*,*,#85631,.T.); +#85634=ORIENTED_EDGE('',*,*,#85633,.T.); +#85635=EDGE_LOOP('',(#85600,#85602,#85604,#85606,#85608,#85610,#85612,#85614, +#85616,#85618,#85620,#85622,#85624,#85626,#85628,#85630,#85632,#85634)); +#85636=FACE_OUTER_BOUND('',#85635,.F.); +#85638=CARTESIAN_POINT('',(5.11E1,9.63E0,-1.765E1)); +#85639=DIRECTION('',(0.E0,-1.E0,0.E0)); +#85640=DIRECTION('',(0.E0,0.E0,-1.E0)); +#85641=AXIS2_PLACEMENT_3D('',#85638,#85639,#85640); +#85642=PLANE('',#85641); +#85643=ORIENTED_EDGE('',*,*,#85043,.F.); +#85644=ORIENTED_EDGE('',*,*,#85316,.T.); +#85645=ORIENTED_EDGE('',*,*,#85380,.F.); +#85646=ORIENTED_EDGE('',*,*,#84989,.F.); +#85647=EDGE_LOOP('',(#85643,#85644,#85645,#85646)); +#85648=FACE_OUTER_BOUND('',#85647,.F.); +#85650=CARTESIAN_POINT('',(5.115E1,0.E0,-1.995E1)); +#85651=DIRECTION('',(1.E0,0.E0,0.E0)); +#85652=DIRECTION('',(0.E0,0.E0,-1.E0)); +#85653=AXIS2_PLACEMENT_3D('',#85650,#85651,#85652); +#85654=PLANE('',#85653); +#85656=ORIENTED_EDGE('',*,*,#85655,.F.); +#85658=ORIENTED_EDGE('',*,*,#85657,.F.); +#85659=ORIENTED_EDGE('',*,*,#72853,.T.); +#85661=ORIENTED_EDGE('',*,*,#85660,.F.); +#85662=EDGE_LOOP('',(#85656,#85658,#85659,#85661)); +#85663=FACE_OUTER_BOUND('',#85662,.F.); +#85665=CARTESIAN_POINT('',(5.115E1,0.E0,-1.995E1)); +#85666=DIRECTION('',(1.E0,0.E0,0.E0)); +#85667=DIRECTION('',(0.E0,0.E0,-1.E0)); +#85668=AXIS2_PLACEMENT_3D('',#85665,#85666,#85667); +#85669=PLANE('',#85668); +#85670=ORIENTED_EDGE('',*,*,#85384,.T.); +#85671=ORIENTED_EDGE('',*,*,#72365,.T.); +#85673=ORIENTED_EDGE('',*,*,#85672,.F.); +#85675=ORIENTED_EDGE('',*,*,#85674,.F.); +#85676=ORIENTED_EDGE('',*,*,#84969,.F.); +#85677=EDGE_LOOP('',(#85670,#85671,#85673,#85675,#85676)); +#85678=FACE_OUTER_BOUND('',#85677,.F.); +#85680=CARTESIAN_POINT('',(5.1E1,-2.47E0,-1.78E1)); +#85681=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#85682=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#85683=AXIS2_PLACEMENT_3D('',#85680,#85681,#85682); +#85684=PLANE('',#85683); +#85686=ORIENTED_EDGE('',*,*,#85685,.F.); +#85688=ORIENTED_EDGE('',*,*,#85687,.T.); +#85689=ORIENTED_EDGE('',*,*,#85655,.T.); +#85691=ORIENTED_EDGE('',*,*,#85690,.T.); +#85692=EDGE_LOOP('',(#85686,#85688,#85689,#85691)); +#85693=FACE_OUTER_BOUND('',#85692,.F.); +#85695=CARTESIAN_POINT('',(4.895E1,-2.07E0,-1.765E1)); +#85696=DIRECTION('',(0.E0,0.E0,1.E0)); +#85697=DIRECTION('',(0.E0,-1.E0,0.E0)); +#85698=AXIS2_PLACEMENT_3D('',#85695,#85696,#85697); +#85699=PLANE('',#85698); +#85700=ORIENTED_EDGE('',*,*,#85685,.T.); +#85702=ORIENTED_EDGE('',*,*,#85701,.F.); +#85704=ORIENTED_EDGE('',*,*,#85703,.T.); +#85706=ORIENTED_EDGE('',*,*,#85705,.T.); +#85707=EDGE_LOOP('',(#85700,#85702,#85704,#85706)); +#85708=FACE_OUTER_BOUND('',#85707,.F.); +#85710=ORIENTED_EDGE('',*,*,#85709,.T.); +#85712=ORIENTED_EDGE('',*,*,#85711,.T.); +#85714=ORIENTED_EDGE('',*,*,#85713,.T.); +#85716=ORIENTED_EDGE('',*,*,#85715,.T.); +#85717=EDGE_LOOP('',(#85710,#85712,#85714,#85716)); +#85718=FACE_BOUND('',#85717,.F.); +#85720=CARTESIAN_POINT('',(5.035E1,-2.785E0,-1.995E1)); +#85721=DIRECTION('',(1.E0,0.E0,0.E0)); +#85722=DIRECTION('',(0.E0,1.E0,0.E0)); +#85723=AXIS2_PLACEMENT_3D('',#85720,#85721,#85722); +#85724=PLANE('',#85723); +#85726=ORIENTED_EDGE('',*,*,#85725,.T.); +#85728=ORIENTED_EDGE('',*,*,#85727,.T.); +#85730=ORIENTED_EDGE('',*,*,#85729,.F.); +#85731=ORIENTED_EDGE('',*,*,#85709,.F.); +#85732=EDGE_LOOP('',(#85726,#85728,#85730,#85731)); +#85733=FACE_OUTER_BOUND('',#85732,.F.); +#85735=CARTESIAN_POINT('',(4.975E1,-2.785E0,-1.995E1)); +#85736=DIRECTION('',(0.E0,-1.E0,0.E0)); +#85737=DIRECTION('',(1.E0,0.E0,0.E0)); +#85738=AXIS2_PLACEMENT_3D('',#85735,#85736,#85737); +#85739=PLANE('',#85738); +#85741=ORIENTED_EDGE('',*,*,#85740,.T.); +#85743=ORIENTED_EDGE('',*,*,#85742,.T.); +#85744=ORIENTED_EDGE('',*,*,#85725,.F.); +#85745=ORIENTED_EDGE('',*,*,#85715,.F.); +#85746=EDGE_LOOP('',(#85741,#85743,#85744,#85745)); +#85747=FACE_OUTER_BOUND('',#85746,.F.); +#85749=CARTESIAN_POINT('',(4.975E1,-2.155E0,-1.995E1)); +#85750=DIRECTION('',(-1.E0,0.E0,0.E0)); +#85751=DIRECTION('',(0.E0,-1.E0,0.E0)); +#85752=AXIS2_PLACEMENT_3D('',#85749,#85750,#85751); +#85753=PLANE('',#85752); +#85755=ORIENTED_EDGE('',*,*,#85754,.T.); +#85757=ORIENTED_EDGE('',*,*,#85756,.T.); +#85758=ORIENTED_EDGE('',*,*,#85740,.F.); +#85759=ORIENTED_EDGE('',*,*,#85713,.F.); +#85760=EDGE_LOOP('',(#85755,#85757,#85758,#85759)); +#85761=FACE_OUTER_BOUND('',#85760,.F.); +#85763=CARTESIAN_POINT('',(5.035E1,-2.155E0,-1.995E1)); +#85764=DIRECTION('',(0.E0,1.E0,0.E0)); +#85765=DIRECTION('',(-1.E0,0.E0,0.E0)); +#85766=AXIS2_PLACEMENT_3D('',#85763,#85764,#85765); +#85767=PLANE('',#85766); +#85768=ORIENTED_EDGE('',*,*,#85754,.F.); +#85769=ORIENTED_EDGE('',*,*,#85711,.F.); +#85770=ORIENTED_EDGE('',*,*,#85729,.T.); +#85772=ORIENTED_EDGE('',*,*,#85771,.T.); +#85773=EDGE_LOOP('',(#85768,#85769,#85770,#85772)); +#85774=FACE_OUTER_BOUND('',#85773,.F.); +#85776=CARTESIAN_POINT('',(2.58E1,0.E0,-1.065E1)); +#85777=DIRECTION('',(0.E0,0.E0,1.E0)); +#85778=DIRECTION('',(1.E0,0.E0,0.E0)); +#85779=AXIS2_PLACEMENT_3D('',#85776,#85777,#85778); +#85780=PLANE('',#85779); +#85782=ORIENTED_EDGE('',*,*,#85781,.F.); +#85784=ORIENTED_EDGE('',*,*,#85783,.F.); +#85786=ORIENTED_EDGE('',*,*,#85785,.F.); +#85788=ORIENTED_EDGE('',*,*,#85787,.F.); +#85789=EDGE_LOOP('',(#85782,#85784,#85786,#85788)); +#85790=FACE_OUTER_BOUND('',#85789,.F.); +#85792=CARTESIAN_POINT('',(2.58E1,0.E0,-1.065E1)); +#85793=DIRECTION('',(0.E0,0.E0,1.E0)); +#85794=DIRECTION('',(1.E0,0.E0,0.E0)); +#85795=AXIS2_PLACEMENT_3D('',#85792,#85793,#85794); +#85796=PLANE('',#85795); +#85797=ORIENTED_EDGE('',*,*,#85756,.F.); +#85798=ORIENTED_EDGE('',*,*,#85771,.F.); +#85799=ORIENTED_EDGE('',*,*,#85727,.F.); +#85800=ORIENTED_EDGE('',*,*,#85742,.F.); +#85801=EDGE_LOOP('',(#85797,#85798,#85799,#85800)); +#85802=FACE_OUTER_BOUND('',#85801,.F.); +#85804=CARTESIAN_POINT('',(4.975E1,6.645E0,-1.995E1)); +#85805=DIRECTION('',(-1.E0,0.E0,0.E0)); +#85806=DIRECTION('',(0.E0,-1.E0,0.E0)); +#85807=AXIS2_PLACEMENT_3D('',#85804,#85805,#85806); +#85808=PLANE('',#85807); +#85810=ORIENTED_EDGE('',*,*,#85809,.T.); +#85811=ORIENTED_EDGE('',*,*,#85781,.T.); +#85813=ORIENTED_EDGE('',*,*,#85812,.F.); +#85815=ORIENTED_EDGE('',*,*,#85814,.F.); +#85816=EDGE_LOOP('',(#85810,#85811,#85813,#85815)); +#85817=FACE_OUTER_BOUND('',#85816,.F.); +#85819=CARTESIAN_POINT('',(5.035E1,6.645E0,-1.995E1)); +#85820=DIRECTION('',(0.E0,1.E0,0.E0)); +#85821=DIRECTION('',(-1.E0,0.E0,0.E0)); +#85822=AXIS2_PLACEMENT_3D('',#85819,#85820,#85821); +#85823=PLANE('',#85822); +#85824=ORIENTED_EDGE('',*,*,#85809,.F.); +#85826=ORIENTED_EDGE('',*,*,#85825,.F.); +#85828=ORIENTED_EDGE('',*,*,#85827,.T.); +#85829=ORIENTED_EDGE('',*,*,#85783,.T.); +#85830=EDGE_LOOP('',(#85824,#85826,#85828,#85829)); +#85831=FACE_OUTER_BOUND('',#85830,.F.); +#85833=CARTESIAN_POINT('',(4.895E1,6.73E0,-1.765E1)); +#85834=DIRECTION('',(0.E0,0.E0,1.E0)); +#85835=DIRECTION('',(0.E0,-1.E0,0.E0)); +#85836=AXIS2_PLACEMENT_3D('',#85833,#85834,#85835); +#85837=PLANE('',#85836); +#85839=ORIENTED_EDGE('',*,*,#85838,.T.); +#85841=ORIENTED_EDGE('',*,*,#85840,.F.); +#85843=ORIENTED_EDGE('',*,*,#85842,.T.); +#85845=ORIENTED_EDGE('',*,*,#85844,.T.); +#85846=EDGE_LOOP('',(#85839,#85841,#85843,#85845)); +#85847=FACE_OUTER_BOUND('',#85846,.F.); +#85849=ORIENTED_EDGE('',*,*,#85848,.T.); +#85850=ORIENTED_EDGE('',*,*,#85825,.T.); +#85851=ORIENTED_EDGE('',*,*,#85814,.T.); +#85853=ORIENTED_EDGE('',*,*,#85852,.T.); +#85854=EDGE_LOOP('',(#85849,#85850,#85851,#85853)); +#85855=FACE_BOUND('',#85854,.F.); +#85857=CARTESIAN_POINT('',(5.035E1,6.015E0,-1.995E1)); +#85858=DIRECTION('',(1.E0,0.E0,0.E0)); +#85859=DIRECTION('',(0.E0,1.E0,0.E0)); +#85860=AXIS2_PLACEMENT_3D('',#85857,#85858,#85859); +#85861=PLANE('',#85860); +#85863=ORIENTED_EDGE('',*,*,#85862,.T.); +#85864=ORIENTED_EDGE('',*,*,#85785,.T.); +#85865=ORIENTED_EDGE('',*,*,#85827,.F.); +#85866=ORIENTED_EDGE('',*,*,#85848,.F.); +#85867=EDGE_LOOP('',(#85863,#85864,#85865,#85866)); +#85868=FACE_OUTER_BOUND('',#85867,.F.); +#85870=CARTESIAN_POINT('',(4.975E1,6.015E0,-1.995E1)); +#85871=DIRECTION('',(0.E0,-1.E0,0.E0)); +#85872=DIRECTION('',(1.E0,0.E0,0.E0)); +#85873=AXIS2_PLACEMENT_3D('',#85870,#85871,#85872); +#85874=PLANE('',#85873); +#85875=ORIENTED_EDGE('',*,*,#85812,.T.); +#85876=ORIENTED_EDGE('',*,*,#85787,.T.); +#85877=ORIENTED_EDGE('',*,*,#85862,.F.); +#85878=ORIENTED_EDGE('',*,*,#85852,.F.); +#85879=EDGE_LOOP('',(#85875,#85876,#85877,#85878)); +#85880=FACE_OUTER_BOUND('',#85879,.F.); +#85882=CARTESIAN_POINT('',(5.1E1,6.33E0,-1.78E1)); +#85883=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#85884=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#85885=AXIS2_PLACEMENT_3D('',#85882,#85883,#85884); +#85886=PLANE('',#85885); +#85887=ORIENTED_EDGE('',*,*,#85674,.T.); +#85889=ORIENTED_EDGE('',*,*,#85888,.T.); +#85890=ORIENTED_EDGE('',*,*,#85838,.F.); +#85892=ORIENTED_EDGE('',*,*,#85891,.T.); +#85893=EDGE_LOOP('',(#85887,#85889,#85890,#85892)); +#85894=FACE_OUTER_BOUND('',#85893,.F.); +#85896=CARTESIAN_POINT('',(4.895E1,5.93E0,-1.765E1)); +#85897=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#85898=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#85899=AXIS2_PLACEMENT_3D('',#85896,#85897,#85898); +#85900=PLANE('',#85899); +#85901=ORIENTED_EDGE('',*,*,#85888,.F.); +#85903=ORIENTED_EDGE('',*,*,#85902,.F.); +#85905=ORIENTED_EDGE('',*,*,#85904,.F.); +#85906=ORIENTED_EDGE('',*,*,#85840,.T.); +#85907=EDGE_LOOP('',(#85901,#85903,#85905,#85906)); +#85908=FACE_OUTER_BOUND('',#85907,.F.); +#85910=CARTESIAN_POINT('',(5.005E1,5.542511336474E0,-1.895E1)); +#85911=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#85912=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#85913=AXIS2_PLACEMENT_3D('',#85910,#85911,#85912); +#85914=PLANE('',#85913); +#85915=ORIENTED_EDGE('',*,*,#85902,.T.); +#85916=ORIENTED_EDGE('',*,*,#85672,.T.); +#85917=ORIENTED_EDGE('',*,*,#72363,.F.); +#85919=ORIENTED_EDGE('',*,*,#85918,.T.); +#85920=EDGE_LOOP('',(#85915,#85916,#85917,#85919)); +#85921=FACE_OUTER_BOUND('',#85920,.F.); +#85923=CARTESIAN_POINT('',(4.895E1,0.E0,-1.995E1)); +#85924=DIRECTION('',(1.E0,0.E0,0.E0)); +#85925=DIRECTION('',(0.E0,0.E0,-1.E0)); +#85926=AXIS2_PLACEMENT_3D('',#85923,#85924,#85925); +#85927=PLANE('',#85926); +#85929=ORIENTED_EDGE('',*,*,#85928,.F.); +#85931=ORIENTED_EDGE('',*,*,#85930,.F.); +#85932=ORIENTED_EDGE('',*,*,#72849,.T.); +#85934=ORIENTED_EDGE('',*,*,#85933,.F.); +#85935=EDGE_LOOP('',(#85929,#85931,#85932,#85934)); +#85936=FACE_OUTER_BOUND('',#85935,.F.); +#85938=CARTESIAN_POINT('',(4.895E1,0.E0,-1.995E1)); +#85939=DIRECTION('',(1.E0,0.E0,0.E0)); +#85940=DIRECTION('',(0.E0,0.E0,-1.E0)); +#85941=AXIS2_PLACEMENT_3D('',#85938,#85939,#85940); +#85942=PLANE('',#85941); +#85944=ORIENTED_EDGE('',*,*,#85943,.F.); +#85945=ORIENTED_EDGE('',*,*,#84973,.T.); +#85947=ORIENTED_EDGE('',*,*,#85946,.F.); +#85948=ORIENTED_EDGE('',*,*,#85918,.F.); +#85949=ORIENTED_EDGE('',*,*,#72361,.T.); +#85950=EDGE_LOOP('',(#85944,#85945,#85947,#85948,#85949)); +#85951=FACE_OUTER_BOUND('',#85950,.F.); +#85953=CARTESIAN_POINT('',(4.91E1,-2.47E0,-1.78E1)); +#85954=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#85955=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#85956=AXIS2_PLACEMENT_3D('',#85953,#85954,#85955); +#85957=PLANE('',#85956); +#85959=ORIENTED_EDGE('',*,*,#85958,.T.); +#85960=ORIENTED_EDGE('',*,*,#85703,.F.); +#85962=ORIENTED_EDGE('',*,*,#85961,.T.); +#85963=ORIENTED_EDGE('',*,*,#85928,.T.); +#85964=EDGE_LOOP('',(#85959,#85960,#85962,#85963)); +#85965=FACE_OUTER_BOUND('',#85964,.F.); +#85967=CARTESIAN_POINT('',(4.895E1,-1.77E0,-1.795E1)); +#85968=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#85969=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#85970=AXIS2_PLACEMENT_3D('',#85967,#85968,#85969); +#85971=PLANE('',#85970); +#85972=ORIENTED_EDGE('',*,*,#85687,.F.); +#85973=ORIENTED_EDGE('',*,*,#85705,.F.); +#85974=ORIENTED_EDGE('',*,*,#85958,.F.); +#85976=ORIENTED_EDGE('',*,*,#85975,.F.); +#85977=EDGE_LOOP('',(#85972,#85973,#85974,#85976)); +#85978=FACE_OUTER_BOUND('',#85977,.F.); +#85980=CARTESIAN_POINT('',(5.005E1,-1.682511336474E0,-1.895E1)); +#85981=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#85982=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#85983=AXIS2_PLACEMENT_3D('',#85980,#85981,#85982); +#85984=PLANE('',#85983); +#85985=ORIENTED_EDGE('',*,*,#85657,.T.); +#85986=ORIENTED_EDGE('',*,*,#85975,.T.); +#85987=ORIENTED_EDGE('',*,*,#85933,.T.); +#85988=ORIENTED_EDGE('',*,*,#72847,.F.); +#85989=EDGE_LOOP('',(#85985,#85986,#85987,#85988)); +#85990=FACE_OUTER_BOUND('',#85989,.F.); +#85992=CARTESIAN_POINT('',(4.895E1,-2.87E0,-1.765E1)); +#85993=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#85994=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#85995=AXIS2_PLACEMENT_3D('',#85992,#85993,#85994); +#85996=PLANE('',#85995); +#85997=ORIENTED_EDGE('',*,*,#85690,.F.); +#85999=ORIENTED_EDGE('',*,*,#85998,.F.); +#86000=ORIENTED_EDGE('',*,*,#85961,.F.); +#86001=ORIENTED_EDGE('',*,*,#85701,.T.); +#86002=EDGE_LOOP('',(#85997,#85999,#86000,#86001)); +#86003=FACE_OUTER_BOUND('',#86002,.F.); +#86005=CARTESIAN_POINT('',(5.005E1,-3.257488663526E0,-1.895E1)); +#86006=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#86007=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#86008=AXIS2_PLACEMENT_3D('',#86005,#86006,#86007); +#86009=PLANE('',#86008); +#86010=ORIENTED_EDGE('',*,*,#85660,.T.); +#86011=ORIENTED_EDGE('',*,*,#72851,.F.); +#86012=ORIENTED_EDGE('',*,*,#85930,.T.); +#86013=ORIENTED_EDGE('',*,*,#85998,.T.); +#86014=EDGE_LOOP('',(#86010,#86011,#86012,#86013)); +#86015=FACE_OUTER_BOUND('',#86014,.F.); +#86017=CARTESIAN_POINT('',(4.68E1,9.63E0,-1.816282873211E1)); +#86018=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#86019=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#86020=AXIS2_PLACEMENT_3D('',#86017,#86018,#86019); +#86021=PLANE('',#86020); +#86022=ORIENTED_EDGE('',*,*,#84575,.F.); +#86024=ORIENTED_EDGE('',*,*,#86023,.T.); +#86025=ORIENTED_EDGE('',*,*,#84900,.T.); +#86026=ORIENTED_EDGE('',*,*,#84975,.F.); +#86027=ORIENTED_EDGE('',*,*,#85943,.T.); +#86028=ORIENTED_EDGE('',*,*,#72359,.F.); +#86030=ORIENTED_EDGE('',*,*,#86029,.F.); +#86031=ORIENTED_EDGE('',*,*,#84551,.T.); +#86032=EDGE_LOOP('',(#86022,#86024,#86025,#86026,#86027,#86028,#86030,#86031)); +#86033=FACE_OUTER_BOUND('',#86032,.F.); +#86035=CARTESIAN_POINT('',(4.68E1,9.63E0,-1.765E1)); +#86036=DIRECTION('',(0.E0,-1.E0,0.E0)); +#86037=DIRECTION('',(0.E0,0.E0,-1.E0)); +#86038=AXIS2_PLACEMENT_3D('',#86035,#86036,#86037); +#86039=PLANE('',#86038); +#86040=ORIENTED_EDGE('',*,*,#84627,.F.); +#86041=ORIENTED_EDGE('',*,*,#84902,.T.); +#86042=ORIENTED_EDGE('',*,*,#86023,.F.); +#86043=ORIENTED_EDGE('',*,*,#84573,.F.); +#86044=EDGE_LOOP('',(#86040,#86041,#86042,#86043)); +#86045=FACE_OUTER_BOUND('',#86044,.F.); +#86047=CARTESIAN_POINT('',(4.685E1,0.E0,-1.995E1)); +#86048=DIRECTION('',(1.E0,0.E0,0.E0)); +#86049=DIRECTION('',(0.E0,0.E0,-1.E0)); +#86050=AXIS2_PLACEMENT_3D('',#86047,#86048,#86049); +#86051=PLANE('',#86050); +#86053=ORIENTED_EDGE('',*,*,#86052,.F.); +#86055=ORIENTED_EDGE('',*,*,#86054,.F.); +#86056=ORIENTED_EDGE('',*,*,#72843,.T.); +#86058=ORIENTED_EDGE('',*,*,#86057,.F.); +#86059=EDGE_LOOP('',(#86053,#86055,#86056,#86058)); +#86060=FACE_OUTER_BOUND('',#86059,.F.); +#86062=CARTESIAN_POINT('',(4.685E1,0.E0,-1.995E1)); +#86063=DIRECTION('',(1.E0,0.E0,0.E0)); +#86064=DIRECTION('',(0.E0,0.E0,-1.E0)); +#86065=AXIS2_PLACEMENT_3D('',#86062,#86063,#86064); +#86066=PLANE('',#86065); +#86067=ORIENTED_EDGE('',*,*,#86029,.T.); +#86068=ORIENTED_EDGE('',*,*,#72357,.T.); +#86070=ORIENTED_EDGE('',*,*,#86069,.F.); +#86072=ORIENTED_EDGE('',*,*,#86071,.F.); +#86073=ORIENTED_EDGE('',*,*,#84553,.F.); +#86074=EDGE_LOOP('',(#86067,#86068,#86070,#86072,#86073)); +#86075=FACE_OUTER_BOUND('',#86074,.F.); +#86077=CARTESIAN_POINT('',(4.67E1,-2.47E0,-1.78E1)); +#86078=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#86079=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#86080=AXIS2_PLACEMENT_3D('',#86077,#86078,#86079); +#86081=PLANE('',#86080); +#86083=ORIENTED_EDGE('',*,*,#86082,.F.); +#86085=ORIENTED_EDGE('',*,*,#86084,.T.); +#86086=ORIENTED_EDGE('',*,*,#86052,.T.); +#86088=ORIENTED_EDGE('',*,*,#86087,.T.); +#86089=EDGE_LOOP('',(#86083,#86085,#86086,#86088)); +#86090=FACE_OUTER_BOUND('',#86089,.F.); +#86092=CARTESIAN_POINT('',(4.465E1,-2.07E0,-1.765E1)); +#86093=DIRECTION('',(0.E0,0.E0,1.E0)); +#86094=DIRECTION('',(0.E0,-1.E0,0.E0)); +#86095=AXIS2_PLACEMENT_3D('',#86092,#86093,#86094); +#86096=PLANE('',#86095); +#86097=ORIENTED_EDGE('',*,*,#86082,.T.); +#86099=ORIENTED_EDGE('',*,*,#86098,.F.); +#86101=ORIENTED_EDGE('',*,*,#86100,.T.); +#86103=ORIENTED_EDGE('',*,*,#86102,.T.); +#86104=EDGE_LOOP('',(#86097,#86099,#86101,#86103)); +#86105=FACE_OUTER_BOUND('',#86104,.F.); +#86107=ORIENTED_EDGE('',*,*,#86106,.T.); +#86109=ORIENTED_EDGE('',*,*,#86108,.T.); +#86111=ORIENTED_EDGE('',*,*,#86110,.T.); +#86113=ORIENTED_EDGE('',*,*,#86112,.T.); +#86114=EDGE_LOOP('',(#86107,#86109,#86111,#86113)); +#86115=FACE_BOUND('',#86114,.F.); +#86117=CARTESIAN_POINT('',(4.605E1,-2.785E0,-1.995E1)); +#86118=DIRECTION('',(1.E0,0.E0,0.E0)); +#86119=DIRECTION('',(0.E0,1.E0,0.E0)); +#86120=AXIS2_PLACEMENT_3D('',#86117,#86118,#86119); +#86121=PLANE('',#86120); +#86123=ORIENTED_EDGE('',*,*,#86122,.T.); +#86125=ORIENTED_EDGE('',*,*,#86124,.T.); +#86127=ORIENTED_EDGE('',*,*,#86126,.F.); +#86128=ORIENTED_EDGE('',*,*,#86106,.F.); +#86129=EDGE_LOOP('',(#86123,#86125,#86127,#86128)); +#86130=FACE_OUTER_BOUND('',#86129,.F.); +#86132=CARTESIAN_POINT('',(4.545E1,-2.785E0,-1.995E1)); +#86133=DIRECTION('',(0.E0,-1.E0,0.E0)); +#86134=DIRECTION('',(1.E0,0.E0,0.E0)); +#86135=AXIS2_PLACEMENT_3D('',#86132,#86133,#86134); +#86136=PLANE('',#86135); +#86138=ORIENTED_EDGE('',*,*,#86137,.T.); +#86140=ORIENTED_EDGE('',*,*,#86139,.T.); +#86141=ORIENTED_EDGE('',*,*,#86122,.F.); +#86142=ORIENTED_EDGE('',*,*,#86112,.F.); +#86143=EDGE_LOOP('',(#86138,#86140,#86141,#86142)); +#86144=FACE_OUTER_BOUND('',#86143,.F.); +#86146=CARTESIAN_POINT('',(4.545E1,-2.155E0,-1.995E1)); +#86147=DIRECTION('',(-1.E0,0.E0,0.E0)); +#86148=DIRECTION('',(0.E0,-1.E0,0.E0)); +#86149=AXIS2_PLACEMENT_3D('',#86146,#86147,#86148); +#86150=PLANE('',#86149); +#86152=ORIENTED_EDGE('',*,*,#86151,.T.); +#86154=ORIENTED_EDGE('',*,*,#86153,.T.); +#86155=ORIENTED_EDGE('',*,*,#86137,.F.); +#86156=ORIENTED_EDGE('',*,*,#86110,.F.); +#86157=EDGE_LOOP('',(#86152,#86154,#86155,#86156)); +#86158=FACE_OUTER_BOUND('',#86157,.F.); +#86160=CARTESIAN_POINT('',(4.605E1,-2.155E0,-1.995E1)); +#86161=DIRECTION('',(0.E0,1.E0,0.E0)); +#86162=DIRECTION('',(-1.E0,0.E0,0.E0)); +#86163=AXIS2_PLACEMENT_3D('',#86160,#86161,#86162); +#86164=PLANE('',#86163); +#86165=ORIENTED_EDGE('',*,*,#86151,.F.); +#86166=ORIENTED_EDGE('',*,*,#86108,.F.); +#86167=ORIENTED_EDGE('',*,*,#86126,.T.); +#86169=ORIENTED_EDGE('',*,*,#86168,.T.); +#86170=EDGE_LOOP('',(#86165,#86166,#86167,#86169)); +#86171=FACE_OUTER_BOUND('',#86170,.F.); +#86173=CARTESIAN_POINT('',(2.15E1,0.E0,-1.065E1)); +#86174=DIRECTION('',(0.E0,0.E0,1.E0)); +#86175=DIRECTION('',(1.E0,0.E0,0.E0)); +#86176=AXIS2_PLACEMENT_3D('',#86173,#86174,#86175); +#86177=PLANE('',#86176); +#86179=ORIENTED_EDGE('',*,*,#86178,.F.); +#86181=ORIENTED_EDGE('',*,*,#86180,.F.); +#86183=ORIENTED_EDGE('',*,*,#86182,.F.); +#86185=ORIENTED_EDGE('',*,*,#86184,.F.); +#86186=EDGE_LOOP('',(#86179,#86181,#86183,#86185)); +#86187=FACE_OUTER_BOUND('',#86186,.F.); +#86189=CARTESIAN_POINT('',(2.15E1,0.E0,-1.065E1)); +#86190=DIRECTION('',(0.E0,0.E0,1.E0)); +#86191=DIRECTION('',(1.E0,0.E0,0.E0)); +#86192=AXIS2_PLACEMENT_3D('',#86189,#86190,#86191); +#86193=PLANE('',#86192); +#86194=ORIENTED_EDGE('',*,*,#86153,.F.); +#86195=ORIENTED_EDGE('',*,*,#86168,.F.); +#86196=ORIENTED_EDGE('',*,*,#86124,.F.); +#86197=ORIENTED_EDGE('',*,*,#86139,.F.); +#86198=EDGE_LOOP('',(#86194,#86195,#86196,#86197)); +#86199=FACE_OUTER_BOUND('',#86198,.F.); +#86201=CARTESIAN_POINT('',(4.545E1,6.645E0,-1.995E1)); +#86202=DIRECTION('',(-1.E0,0.E0,0.E0)); +#86203=DIRECTION('',(0.E0,-1.E0,0.E0)); +#86204=AXIS2_PLACEMENT_3D('',#86201,#86202,#86203); +#86205=PLANE('',#86204); +#86207=ORIENTED_EDGE('',*,*,#86206,.T.); +#86208=ORIENTED_EDGE('',*,*,#86178,.T.); +#86210=ORIENTED_EDGE('',*,*,#86209,.F.); +#86212=ORIENTED_EDGE('',*,*,#86211,.F.); +#86213=EDGE_LOOP('',(#86207,#86208,#86210,#86212)); +#86214=FACE_OUTER_BOUND('',#86213,.F.); +#86216=CARTESIAN_POINT('',(4.605E1,6.645E0,-1.995E1)); +#86217=DIRECTION('',(0.E0,1.E0,0.E0)); +#86218=DIRECTION('',(-1.E0,0.E0,0.E0)); +#86219=AXIS2_PLACEMENT_3D('',#86216,#86217,#86218); +#86220=PLANE('',#86219); +#86221=ORIENTED_EDGE('',*,*,#86206,.F.); +#86223=ORIENTED_EDGE('',*,*,#86222,.F.); +#86225=ORIENTED_EDGE('',*,*,#86224,.T.); +#86226=ORIENTED_EDGE('',*,*,#86180,.T.); +#86227=EDGE_LOOP('',(#86221,#86223,#86225,#86226)); +#86228=FACE_OUTER_BOUND('',#86227,.F.); +#86230=CARTESIAN_POINT('',(4.465E1,6.73E0,-1.765E1)); +#86231=DIRECTION('',(0.E0,0.E0,1.E0)); +#86232=DIRECTION('',(0.E0,-1.E0,0.E0)); +#86233=AXIS2_PLACEMENT_3D('',#86230,#86231,#86232); +#86234=PLANE('',#86233); +#86236=ORIENTED_EDGE('',*,*,#86235,.T.); +#86238=ORIENTED_EDGE('',*,*,#86237,.F.); +#86240=ORIENTED_EDGE('',*,*,#86239,.T.); +#86242=ORIENTED_EDGE('',*,*,#86241,.T.); +#86243=EDGE_LOOP('',(#86236,#86238,#86240,#86242)); +#86244=FACE_OUTER_BOUND('',#86243,.F.); +#86246=ORIENTED_EDGE('',*,*,#86245,.T.); +#86247=ORIENTED_EDGE('',*,*,#86222,.T.); +#86248=ORIENTED_EDGE('',*,*,#86211,.T.); +#86250=ORIENTED_EDGE('',*,*,#86249,.T.); +#86251=EDGE_LOOP('',(#86246,#86247,#86248,#86250)); +#86252=FACE_BOUND('',#86251,.F.); +#86254=CARTESIAN_POINT('',(4.605E1,6.015E0,-1.995E1)); +#86255=DIRECTION('',(1.E0,0.E0,0.E0)); +#86256=DIRECTION('',(0.E0,1.E0,0.E0)); +#86257=AXIS2_PLACEMENT_3D('',#86254,#86255,#86256); +#86258=PLANE('',#86257); +#86260=ORIENTED_EDGE('',*,*,#86259,.T.); +#86261=ORIENTED_EDGE('',*,*,#86182,.T.); +#86262=ORIENTED_EDGE('',*,*,#86224,.F.); +#86263=ORIENTED_EDGE('',*,*,#86245,.F.); +#86264=EDGE_LOOP('',(#86260,#86261,#86262,#86263)); +#86265=FACE_OUTER_BOUND('',#86264,.F.); +#86267=CARTESIAN_POINT('',(4.545E1,6.015E0,-1.995E1)); +#86268=DIRECTION('',(0.E0,-1.E0,0.E0)); +#86269=DIRECTION('',(1.E0,0.E0,0.E0)); +#86270=AXIS2_PLACEMENT_3D('',#86267,#86268,#86269); +#86271=PLANE('',#86270); +#86272=ORIENTED_EDGE('',*,*,#86209,.T.); +#86273=ORIENTED_EDGE('',*,*,#86184,.T.); +#86274=ORIENTED_EDGE('',*,*,#86259,.F.); +#86275=ORIENTED_EDGE('',*,*,#86249,.F.); +#86276=EDGE_LOOP('',(#86272,#86273,#86274,#86275)); +#86277=FACE_OUTER_BOUND('',#86276,.F.); +#86279=CARTESIAN_POINT('',(4.67E1,6.33E0,-1.78E1)); +#86280=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#86281=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#86282=AXIS2_PLACEMENT_3D('',#86279,#86280,#86281); +#86283=PLANE('',#86282); +#86284=ORIENTED_EDGE('',*,*,#86071,.T.); +#86286=ORIENTED_EDGE('',*,*,#86285,.T.); +#86287=ORIENTED_EDGE('',*,*,#86235,.F.); +#86289=ORIENTED_EDGE('',*,*,#86288,.T.); +#86290=EDGE_LOOP('',(#86284,#86286,#86287,#86289)); +#86291=FACE_OUTER_BOUND('',#86290,.F.); +#86293=CARTESIAN_POINT('',(4.465E1,5.93E0,-1.765E1)); +#86294=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#86295=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#86296=AXIS2_PLACEMENT_3D('',#86293,#86294,#86295); +#86297=PLANE('',#86296); +#86298=ORIENTED_EDGE('',*,*,#86285,.F.); +#86300=ORIENTED_EDGE('',*,*,#86299,.F.); +#86302=ORIENTED_EDGE('',*,*,#86301,.F.); +#86303=ORIENTED_EDGE('',*,*,#86237,.T.); +#86304=EDGE_LOOP('',(#86298,#86300,#86302,#86303)); +#86305=FACE_OUTER_BOUND('',#86304,.F.); +#86307=CARTESIAN_POINT('',(4.575E1,5.542511336474E0,-1.895E1)); +#86308=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#86309=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#86310=AXIS2_PLACEMENT_3D('',#86307,#86308,#86309); +#86311=PLANE('',#86310); +#86312=ORIENTED_EDGE('',*,*,#86299,.T.); +#86313=ORIENTED_EDGE('',*,*,#86069,.T.); +#86314=ORIENTED_EDGE('',*,*,#72355,.F.); +#86316=ORIENTED_EDGE('',*,*,#86315,.T.); +#86317=EDGE_LOOP('',(#86312,#86313,#86314,#86316)); +#86318=FACE_OUTER_BOUND('',#86317,.F.); +#86320=CARTESIAN_POINT('',(4.465E1,0.E0,-1.995E1)); +#86321=DIRECTION('',(1.E0,0.E0,0.E0)); +#86322=DIRECTION('',(0.E0,0.E0,-1.E0)); +#86323=AXIS2_PLACEMENT_3D('',#86320,#86321,#86322); +#86324=PLANE('',#86323); +#86326=ORIENTED_EDGE('',*,*,#86325,.F.); +#86328=ORIENTED_EDGE('',*,*,#86327,.F.); +#86329=ORIENTED_EDGE('',*,*,#72839,.T.); +#86331=ORIENTED_EDGE('',*,*,#86330,.F.); +#86332=EDGE_LOOP('',(#86326,#86328,#86329,#86331)); +#86333=FACE_OUTER_BOUND('',#86332,.F.); +#86335=CARTESIAN_POINT('',(4.465E1,0.E0,-1.995E1)); +#86336=DIRECTION('',(1.E0,0.E0,0.E0)); +#86337=DIRECTION('',(0.E0,0.E0,-1.E0)); +#86338=AXIS2_PLACEMENT_3D('',#86335,#86336,#86337); +#86339=PLANE('',#86338); +#86341=ORIENTED_EDGE('',*,*,#86340,.F.); +#86342=ORIENTED_EDGE('',*,*,#84557,.T.); +#86344=ORIENTED_EDGE('',*,*,#86343,.F.); +#86345=ORIENTED_EDGE('',*,*,#86315,.F.); +#86346=ORIENTED_EDGE('',*,*,#72353,.T.); +#86347=EDGE_LOOP('',(#86341,#86342,#86344,#86345,#86346)); +#86348=FACE_OUTER_BOUND('',#86347,.F.); +#86350=CARTESIAN_POINT('',(4.48E1,-2.47E0,-1.78E1)); +#86351=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#86352=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#86353=AXIS2_PLACEMENT_3D('',#86350,#86351,#86352); +#86354=PLANE('',#86353); +#86356=ORIENTED_EDGE('',*,*,#86355,.T.); +#86357=ORIENTED_EDGE('',*,*,#86100,.F.); +#86359=ORIENTED_EDGE('',*,*,#86358,.T.); +#86360=ORIENTED_EDGE('',*,*,#86325,.T.); +#86361=EDGE_LOOP('',(#86356,#86357,#86359,#86360)); +#86362=FACE_OUTER_BOUND('',#86361,.F.); +#86364=CARTESIAN_POINT('',(4.465E1,-1.77E0,-1.795E1)); +#86365=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#86366=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#86367=AXIS2_PLACEMENT_3D('',#86364,#86365,#86366); +#86368=PLANE('',#86367); +#86369=ORIENTED_EDGE('',*,*,#86084,.F.); +#86370=ORIENTED_EDGE('',*,*,#86102,.F.); +#86371=ORIENTED_EDGE('',*,*,#86355,.F.); +#86373=ORIENTED_EDGE('',*,*,#86372,.F.); +#86374=EDGE_LOOP('',(#86369,#86370,#86371,#86373)); +#86375=FACE_OUTER_BOUND('',#86374,.F.); +#86377=CARTESIAN_POINT('',(4.575E1,-1.682511336474E0,-1.895E1)); +#86378=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#86379=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#86380=AXIS2_PLACEMENT_3D('',#86377,#86378,#86379); +#86381=PLANE('',#86380); +#86382=ORIENTED_EDGE('',*,*,#86054,.T.); +#86383=ORIENTED_EDGE('',*,*,#86372,.T.); +#86384=ORIENTED_EDGE('',*,*,#86330,.T.); +#86385=ORIENTED_EDGE('',*,*,#72837,.F.); +#86386=EDGE_LOOP('',(#86382,#86383,#86384,#86385)); +#86387=FACE_OUTER_BOUND('',#86386,.F.); +#86389=CARTESIAN_POINT('',(4.465E1,-2.87E0,-1.765E1)); +#86390=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#86391=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#86392=AXIS2_PLACEMENT_3D('',#86389,#86390,#86391); +#86393=PLANE('',#86392); +#86394=ORIENTED_EDGE('',*,*,#86087,.F.); +#86396=ORIENTED_EDGE('',*,*,#86395,.F.); +#86397=ORIENTED_EDGE('',*,*,#86358,.F.); +#86398=ORIENTED_EDGE('',*,*,#86098,.T.); +#86399=EDGE_LOOP('',(#86394,#86396,#86397,#86398)); +#86400=FACE_OUTER_BOUND('',#86399,.F.); +#86402=CARTESIAN_POINT('',(4.575E1,-3.257488663526E0,-1.895E1)); +#86403=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#86404=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#86405=AXIS2_PLACEMENT_3D('',#86402,#86403,#86404); +#86406=PLANE('',#86405); +#86407=ORIENTED_EDGE('',*,*,#86057,.T.); +#86408=ORIENTED_EDGE('',*,*,#72841,.F.); +#86409=ORIENTED_EDGE('',*,*,#86327,.T.); +#86410=ORIENTED_EDGE('',*,*,#86395,.T.); +#86411=EDGE_LOOP('',(#86407,#86408,#86409,#86410)); +#86412=FACE_OUTER_BOUND('',#86411,.F.); +#86414=CARTESIAN_POINT('',(4.25E1,9.63E0,-1.816282873211E1)); +#86415=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#86416=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#86417=AXIS2_PLACEMENT_3D('',#86414,#86415,#86416); +#86418=PLANE('',#86417); +#86419=ORIENTED_EDGE('',*,*,#84159,.F.); +#86421=ORIENTED_EDGE('',*,*,#86420,.T.); +#86422=ORIENTED_EDGE('',*,*,#84484,.T.); +#86423=ORIENTED_EDGE('',*,*,#84559,.F.); +#86424=ORIENTED_EDGE('',*,*,#86340,.T.); +#86425=ORIENTED_EDGE('',*,*,#72351,.F.); +#86427=ORIENTED_EDGE('',*,*,#86426,.F.); +#86428=ORIENTED_EDGE('',*,*,#84135,.T.); +#86429=EDGE_LOOP('',(#86419,#86421,#86422,#86423,#86424,#86425,#86427,#86428)); +#86430=FACE_OUTER_BOUND('',#86429,.F.); +#86432=CARTESIAN_POINT('',(4.25E1,9.63E0,-1.765E1)); +#86433=DIRECTION('',(0.E0,-1.E0,0.E0)); +#86434=DIRECTION('',(0.E0,0.E0,-1.E0)); +#86435=AXIS2_PLACEMENT_3D('',#86432,#86433,#86434); +#86436=PLANE('',#86435); +#86437=ORIENTED_EDGE('',*,*,#84211,.F.); +#86438=ORIENTED_EDGE('',*,*,#84486,.T.); +#86439=ORIENTED_EDGE('',*,*,#86420,.F.); +#86440=ORIENTED_EDGE('',*,*,#84157,.F.); +#86441=EDGE_LOOP('',(#86437,#86438,#86439,#86440)); +#86442=FACE_OUTER_BOUND('',#86441,.F.); +#86444=CARTESIAN_POINT('',(4.255E1,0.E0,-1.995E1)); +#86445=DIRECTION('',(1.E0,0.E0,0.E0)); +#86446=DIRECTION('',(0.E0,0.E0,-1.E0)); +#86447=AXIS2_PLACEMENT_3D('',#86444,#86445,#86446); +#86448=PLANE('',#86447); +#86450=ORIENTED_EDGE('',*,*,#86449,.F.); +#86452=ORIENTED_EDGE('',*,*,#86451,.F.); +#86453=ORIENTED_EDGE('',*,*,#72833,.T.); +#86455=ORIENTED_EDGE('',*,*,#86454,.F.); +#86456=EDGE_LOOP('',(#86450,#86452,#86453,#86455)); +#86457=FACE_OUTER_BOUND('',#86456,.F.); +#86459=CARTESIAN_POINT('',(4.255E1,0.E0,-1.995E1)); +#86460=DIRECTION('',(1.E0,0.E0,0.E0)); +#86461=DIRECTION('',(0.E0,0.E0,-1.E0)); +#86462=AXIS2_PLACEMENT_3D('',#86459,#86460,#86461); +#86463=PLANE('',#86462); +#86464=ORIENTED_EDGE('',*,*,#86426,.T.); +#86465=ORIENTED_EDGE('',*,*,#72349,.T.); +#86467=ORIENTED_EDGE('',*,*,#86466,.F.); +#86469=ORIENTED_EDGE('',*,*,#86468,.F.); +#86470=ORIENTED_EDGE('',*,*,#84137,.F.); +#86471=EDGE_LOOP('',(#86464,#86465,#86467,#86469,#86470)); +#86472=FACE_OUTER_BOUND('',#86471,.F.); +#86474=CARTESIAN_POINT('',(4.24E1,-2.47E0,-1.78E1)); +#86475=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#86476=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#86477=AXIS2_PLACEMENT_3D('',#86474,#86475,#86476); +#86478=PLANE('',#86477); +#86480=ORIENTED_EDGE('',*,*,#86479,.F.); +#86482=ORIENTED_EDGE('',*,*,#86481,.T.); +#86483=ORIENTED_EDGE('',*,*,#86449,.T.); +#86485=ORIENTED_EDGE('',*,*,#86484,.T.); +#86486=EDGE_LOOP('',(#86480,#86482,#86483,#86485)); +#86487=FACE_OUTER_BOUND('',#86486,.F.); +#86489=CARTESIAN_POINT('',(4.035E1,-2.07E0,-1.765E1)); +#86490=DIRECTION('',(0.E0,0.E0,1.E0)); +#86491=DIRECTION('',(0.E0,-1.E0,0.E0)); +#86492=AXIS2_PLACEMENT_3D('',#86489,#86490,#86491); +#86493=PLANE('',#86492); +#86494=ORIENTED_EDGE('',*,*,#86479,.T.); +#86496=ORIENTED_EDGE('',*,*,#86495,.F.); +#86498=ORIENTED_EDGE('',*,*,#86497,.T.); +#86500=ORIENTED_EDGE('',*,*,#86499,.T.); +#86501=EDGE_LOOP('',(#86494,#86496,#86498,#86500)); +#86502=FACE_OUTER_BOUND('',#86501,.F.); +#86504=ORIENTED_EDGE('',*,*,#86503,.T.); +#86506=ORIENTED_EDGE('',*,*,#86505,.T.); +#86508=ORIENTED_EDGE('',*,*,#86507,.T.); +#86510=ORIENTED_EDGE('',*,*,#86509,.T.); +#86511=EDGE_LOOP('',(#86504,#86506,#86508,#86510)); +#86512=FACE_BOUND('',#86511,.F.); +#86514=CARTESIAN_POINT('',(4.175E1,-2.785E0,-1.995E1)); +#86515=DIRECTION('',(1.E0,0.E0,0.E0)); +#86516=DIRECTION('',(0.E0,1.E0,0.E0)); +#86517=AXIS2_PLACEMENT_3D('',#86514,#86515,#86516); +#86518=PLANE('',#86517); +#86520=ORIENTED_EDGE('',*,*,#86519,.T.); +#86522=ORIENTED_EDGE('',*,*,#86521,.T.); +#86524=ORIENTED_EDGE('',*,*,#86523,.F.); +#86525=ORIENTED_EDGE('',*,*,#86503,.F.); +#86526=EDGE_LOOP('',(#86520,#86522,#86524,#86525)); +#86527=FACE_OUTER_BOUND('',#86526,.F.); +#86529=CARTESIAN_POINT('',(4.115E1,-2.785E0,-1.995E1)); +#86530=DIRECTION('',(0.E0,-1.E0,0.E0)); +#86531=DIRECTION('',(1.E0,0.E0,0.E0)); +#86532=AXIS2_PLACEMENT_3D('',#86529,#86530,#86531); +#86533=PLANE('',#86532); +#86535=ORIENTED_EDGE('',*,*,#86534,.T.); +#86537=ORIENTED_EDGE('',*,*,#86536,.T.); +#86538=ORIENTED_EDGE('',*,*,#86519,.F.); +#86539=ORIENTED_EDGE('',*,*,#86509,.F.); +#86540=EDGE_LOOP('',(#86535,#86537,#86538,#86539)); +#86541=FACE_OUTER_BOUND('',#86540,.F.); +#86543=CARTESIAN_POINT('',(4.115E1,-2.155E0,-1.995E1)); +#86544=DIRECTION('',(-1.E0,0.E0,0.E0)); +#86545=DIRECTION('',(0.E0,-1.E0,0.E0)); +#86546=AXIS2_PLACEMENT_3D('',#86543,#86544,#86545); +#86547=PLANE('',#86546); +#86549=ORIENTED_EDGE('',*,*,#86548,.T.); +#86551=ORIENTED_EDGE('',*,*,#86550,.T.); +#86552=ORIENTED_EDGE('',*,*,#86534,.F.); +#86553=ORIENTED_EDGE('',*,*,#86507,.F.); +#86554=EDGE_LOOP('',(#86549,#86551,#86552,#86553)); +#86555=FACE_OUTER_BOUND('',#86554,.F.); +#86557=CARTESIAN_POINT('',(4.175E1,-2.155E0,-1.995E1)); +#86558=DIRECTION('',(0.E0,1.E0,0.E0)); +#86559=DIRECTION('',(-1.E0,0.E0,0.E0)); +#86560=AXIS2_PLACEMENT_3D('',#86557,#86558,#86559); +#86561=PLANE('',#86560); +#86562=ORIENTED_EDGE('',*,*,#86548,.F.); +#86563=ORIENTED_EDGE('',*,*,#86505,.F.); +#86564=ORIENTED_EDGE('',*,*,#86523,.T.); +#86566=ORIENTED_EDGE('',*,*,#86565,.T.); +#86567=EDGE_LOOP('',(#86562,#86563,#86564,#86566)); +#86568=FACE_OUTER_BOUND('',#86567,.F.); +#86570=CARTESIAN_POINT('',(1.72E1,0.E0,-1.065E1)); +#86571=DIRECTION('',(0.E0,0.E0,1.E0)); +#86572=DIRECTION('',(1.E0,0.E0,0.E0)); +#86573=AXIS2_PLACEMENT_3D('',#86570,#86571,#86572); +#86574=PLANE('',#86573); +#86576=ORIENTED_EDGE('',*,*,#86575,.F.); +#86578=ORIENTED_EDGE('',*,*,#86577,.F.); +#86580=ORIENTED_EDGE('',*,*,#86579,.F.); +#86582=ORIENTED_EDGE('',*,*,#86581,.F.); +#86583=EDGE_LOOP('',(#86576,#86578,#86580,#86582)); +#86584=FACE_OUTER_BOUND('',#86583,.F.); +#86586=CARTESIAN_POINT('',(1.72E1,0.E0,-1.065E1)); +#86587=DIRECTION('',(0.E0,0.E0,1.E0)); +#86588=DIRECTION('',(1.E0,0.E0,0.E0)); +#86589=AXIS2_PLACEMENT_3D('',#86586,#86587,#86588); +#86590=PLANE('',#86589); +#86591=ORIENTED_EDGE('',*,*,#86550,.F.); +#86592=ORIENTED_EDGE('',*,*,#86565,.F.); +#86593=ORIENTED_EDGE('',*,*,#86521,.F.); +#86594=ORIENTED_EDGE('',*,*,#86536,.F.); +#86595=EDGE_LOOP('',(#86591,#86592,#86593,#86594)); +#86596=FACE_OUTER_BOUND('',#86595,.F.); +#86598=CARTESIAN_POINT('',(4.115E1,6.645E0,-1.995E1)); +#86599=DIRECTION('',(-1.E0,0.E0,0.E0)); +#86600=DIRECTION('',(0.E0,-1.E0,0.E0)); +#86601=AXIS2_PLACEMENT_3D('',#86598,#86599,#86600); +#86602=PLANE('',#86601); +#86604=ORIENTED_EDGE('',*,*,#86603,.T.); +#86605=ORIENTED_EDGE('',*,*,#86575,.T.); +#86607=ORIENTED_EDGE('',*,*,#86606,.F.); +#86609=ORIENTED_EDGE('',*,*,#86608,.F.); +#86610=EDGE_LOOP('',(#86604,#86605,#86607,#86609)); +#86611=FACE_OUTER_BOUND('',#86610,.F.); +#86613=CARTESIAN_POINT('',(4.175E1,6.645E0,-1.995E1)); +#86614=DIRECTION('',(0.E0,1.E0,0.E0)); +#86615=DIRECTION('',(-1.E0,0.E0,0.E0)); +#86616=AXIS2_PLACEMENT_3D('',#86613,#86614,#86615); +#86617=PLANE('',#86616); +#86618=ORIENTED_EDGE('',*,*,#86603,.F.); +#86620=ORIENTED_EDGE('',*,*,#86619,.F.); +#86622=ORIENTED_EDGE('',*,*,#86621,.T.); +#86623=ORIENTED_EDGE('',*,*,#86577,.T.); +#86624=EDGE_LOOP('',(#86618,#86620,#86622,#86623)); +#86625=FACE_OUTER_BOUND('',#86624,.F.); +#86627=CARTESIAN_POINT('',(4.035E1,6.73E0,-1.765E1)); +#86628=DIRECTION('',(0.E0,0.E0,1.E0)); +#86629=DIRECTION('',(0.E0,-1.E0,0.E0)); +#86630=AXIS2_PLACEMENT_3D('',#86627,#86628,#86629); +#86631=PLANE('',#86630); +#86633=ORIENTED_EDGE('',*,*,#86632,.T.); +#86635=ORIENTED_EDGE('',*,*,#86634,.F.); +#86637=ORIENTED_EDGE('',*,*,#86636,.T.); +#86639=ORIENTED_EDGE('',*,*,#86638,.T.); +#86640=EDGE_LOOP('',(#86633,#86635,#86637,#86639)); +#86641=FACE_OUTER_BOUND('',#86640,.F.); +#86643=ORIENTED_EDGE('',*,*,#86642,.T.); +#86644=ORIENTED_EDGE('',*,*,#86619,.T.); +#86645=ORIENTED_EDGE('',*,*,#86608,.T.); +#86647=ORIENTED_EDGE('',*,*,#86646,.T.); +#86648=EDGE_LOOP('',(#86643,#86644,#86645,#86647)); +#86649=FACE_BOUND('',#86648,.F.); +#86651=CARTESIAN_POINT('',(4.175E1,6.015E0,-1.995E1)); +#86652=DIRECTION('',(1.E0,0.E0,0.E0)); +#86653=DIRECTION('',(0.E0,1.E0,0.E0)); +#86654=AXIS2_PLACEMENT_3D('',#86651,#86652,#86653); +#86655=PLANE('',#86654); +#86657=ORIENTED_EDGE('',*,*,#86656,.T.); +#86658=ORIENTED_EDGE('',*,*,#86579,.T.); +#86659=ORIENTED_EDGE('',*,*,#86621,.F.); +#86660=ORIENTED_EDGE('',*,*,#86642,.F.); +#86661=EDGE_LOOP('',(#86657,#86658,#86659,#86660)); +#86662=FACE_OUTER_BOUND('',#86661,.F.); +#86664=CARTESIAN_POINT('',(4.115E1,6.015E0,-1.995E1)); +#86665=DIRECTION('',(0.E0,-1.E0,0.E0)); +#86666=DIRECTION('',(1.E0,0.E0,0.E0)); +#86667=AXIS2_PLACEMENT_3D('',#86664,#86665,#86666); +#86668=PLANE('',#86667); +#86669=ORIENTED_EDGE('',*,*,#86606,.T.); +#86670=ORIENTED_EDGE('',*,*,#86581,.T.); +#86671=ORIENTED_EDGE('',*,*,#86656,.F.); +#86672=ORIENTED_EDGE('',*,*,#86646,.F.); +#86673=EDGE_LOOP('',(#86669,#86670,#86671,#86672)); +#86674=FACE_OUTER_BOUND('',#86673,.F.); +#86676=CARTESIAN_POINT('',(4.24E1,6.33E0,-1.78E1)); +#86677=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#86678=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#86679=AXIS2_PLACEMENT_3D('',#86676,#86677,#86678); +#86680=PLANE('',#86679); +#86681=ORIENTED_EDGE('',*,*,#86468,.T.); +#86683=ORIENTED_EDGE('',*,*,#86682,.T.); +#86684=ORIENTED_EDGE('',*,*,#86632,.F.); +#86686=ORIENTED_EDGE('',*,*,#86685,.T.); +#86687=EDGE_LOOP('',(#86681,#86683,#86684,#86686)); +#86688=FACE_OUTER_BOUND('',#86687,.F.); +#86690=CARTESIAN_POINT('',(4.035E1,5.93E0,-1.765E1)); +#86691=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#86692=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#86693=AXIS2_PLACEMENT_3D('',#86690,#86691,#86692); +#86694=PLANE('',#86693); +#86695=ORIENTED_EDGE('',*,*,#86682,.F.); +#86697=ORIENTED_EDGE('',*,*,#86696,.F.); +#86699=ORIENTED_EDGE('',*,*,#86698,.F.); +#86700=ORIENTED_EDGE('',*,*,#86634,.T.); +#86701=EDGE_LOOP('',(#86695,#86697,#86699,#86700)); +#86702=FACE_OUTER_BOUND('',#86701,.F.); +#86704=CARTESIAN_POINT('',(4.145E1,5.542511336474E0,-1.895E1)); +#86705=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#86706=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#86707=AXIS2_PLACEMENT_3D('',#86704,#86705,#86706); +#86708=PLANE('',#86707); +#86709=ORIENTED_EDGE('',*,*,#86696,.T.); +#86710=ORIENTED_EDGE('',*,*,#86466,.T.); +#86711=ORIENTED_EDGE('',*,*,#72347,.F.); +#86713=ORIENTED_EDGE('',*,*,#86712,.T.); +#86714=EDGE_LOOP('',(#86709,#86710,#86711,#86713)); +#86715=FACE_OUTER_BOUND('',#86714,.F.); +#86717=CARTESIAN_POINT('',(4.035E1,0.E0,-1.995E1)); +#86718=DIRECTION('',(1.E0,0.E0,0.E0)); +#86719=DIRECTION('',(0.E0,0.E0,-1.E0)); +#86720=AXIS2_PLACEMENT_3D('',#86717,#86718,#86719); +#86721=PLANE('',#86720); +#86723=ORIENTED_EDGE('',*,*,#86722,.F.); +#86725=ORIENTED_EDGE('',*,*,#86724,.F.); +#86726=ORIENTED_EDGE('',*,*,#72829,.T.); +#86728=ORIENTED_EDGE('',*,*,#86727,.F.); +#86729=EDGE_LOOP('',(#86723,#86725,#86726,#86728)); +#86730=FACE_OUTER_BOUND('',#86729,.F.); +#86732=CARTESIAN_POINT('',(4.035E1,0.E0,-1.995E1)); +#86733=DIRECTION('',(1.E0,0.E0,0.E0)); +#86734=DIRECTION('',(0.E0,0.E0,-1.E0)); +#86735=AXIS2_PLACEMENT_3D('',#86732,#86733,#86734); +#86736=PLANE('',#86735); +#86738=ORIENTED_EDGE('',*,*,#86737,.F.); +#86739=ORIENTED_EDGE('',*,*,#84141,.T.); +#86741=ORIENTED_EDGE('',*,*,#86740,.F.); +#86742=ORIENTED_EDGE('',*,*,#86712,.F.); +#86743=ORIENTED_EDGE('',*,*,#72345,.T.); +#86744=EDGE_LOOP('',(#86738,#86739,#86741,#86742,#86743)); +#86745=FACE_OUTER_BOUND('',#86744,.F.); +#86747=CARTESIAN_POINT('',(4.05E1,-2.47E0,-1.78E1)); +#86748=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#86749=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#86750=AXIS2_PLACEMENT_3D('',#86747,#86748,#86749); +#86751=PLANE('',#86750); +#86753=ORIENTED_EDGE('',*,*,#86752,.T.); +#86754=ORIENTED_EDGE('',*,*,#86497,.F.); +#86756=ORIENTED_EDGE('',*,*,#86755,.T.); +#86757=ORIENTED_EDGE('',*,*,#86722,.T.); +#86758=EDGE_LOOP('',(#86753,#86754,#86756,#86757)); +#86759=FACE_OUTER_BOUND('',#86758,.F.); +#86761=CARTESIAN_POINT('',(4.035E1,-1.77E0,-1.795E1)); +#86762=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#86763=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#86764=AXIS2_PLACEMENT_3D('',#86761,#86762,#86763); +#86765=PLANE('',#86764); +#86766=ORIENTED_EDGE('',*,*,#86481,.F.); +#86767=ORIENTED_EDGE('',*,*,#86499,.F.); +#86768=ORIENTED_EDGE('',*,*,#86752,.F.); +#86770=ORIENTED_EDGE('',*,*,#86769,.F.); +#86771=EDGE_LOOP('',(#86766,#86767,#86768,#86770)); +#86772=FACE_OUTER_BOUND('',#86771,.F.); +#86774=CARTESIAN_POINT('',(4.145E1,-1.682511336474E0,-1.895E1)); +#86775=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#86776=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#86777=AXIS2_PLACEMENT_3D('',#86774,#86775,#86776); +#86778=PLANE('',#86777); +#86779=ORIENTED_EDGE('',*,*,#86451,.T.); +#86780=ORIENTED_EDGE('',*,*,#86769,.T.); +#86781=ORIENTED_EDGE('',*,*,#86727,.T.); +#86782=ORIENTED_EDGE('',*,*,#72827,.F.); +#86783=EDGE_LOOP('',(#86779,#86780,#86781,#86782)); +#86784=FACE_OUTER_BOUND('',#86783,.F.); +#86786=CARTESIAN_POINT('',(4.035E1,-2.87E0,-1.765E1)); +#86787=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#86788=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#86789=AXIS2_PLACEMENT_3D('',#86786,#86787,#86788); +#86790=PLANE('',#86789); +#86791=ORIENTED_EDGE('',*,*,#86484,.F.); +#86793=ORIENTED_EDGE('',*,*,#86792,.F.); +#86794=ORIENTED_EDGE('',*,*,#86755,.F.); +#86795=ORIENTED_EDGE('',*,*,#86495,.T.); +#86796=EDGE_LOOP('',(#86791,#86793,#86794,#86795)); +#86797=FACE_OUTER_BOUND('',#86796,.F.); +#86799=CARTESIAN_POINT('',(4.145E1,-3.257488663526E0,-1.895E1)); +#86800=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#86801=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#86802=AXIS2_PLACEMENT_3D('',#86799,#86800,#86801); +#86803=PLANE('',#86802); +#86804=ORIENTED_EDGE('',*,*,#86454,.T.); +#86805=ORIENTED_EDGE('',*,*,#72831,.F.); +#86806=ORIENTED_EDGE('',*,*,#86724,.T.); +#86807=ORIENTED_EDGE('',*,*,#86792,.T.); +#86808=EDGE_LOOP('',(#86804,#86805,#86806,#86807)); +#86809=FACE_OUTER_BOUND('',#86808,.F.); +#86811=CARTESIAN_POINT('',(3.82E1,9.63E0,-1.816282873211E1)); +#86812=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#86813=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#86814=AXIS2_PLACEMENT_3D('',#86811,#86812,#86813); +#86815=PLANE('',#86814); +#86816=ORIENTED_EDGE('',*,*,#83743,.F.); +#86818=ORIENTED_EDGE('',*,*,#86817,.T.); +#86819=ORIENTED_EDGE('',*,*,#84068,.T.); +#86820=ORIENTED_EDGE('',*,*,#84143,.F.); +#86821=ORIENTED_EDGE('',*,*,#86737,.T.); +#86822=ORIENTED_EDGE('',*,*,#72343,.F.); +#86824=ORIENTED_EDGE('',*,*,#86823,.F.); +#86825=ORIENTED_EDGE('',*,*,#83719,.T.); +#86826=EDGE_LOOP('',(#86816,#86818,#86819,#86820,#86821,#86822,#86824,#86825)); +#86827=FACE_OUTER_BOUND('',#86826,.F.); +#86829=CARTESIAN_POINT('',(3.82E1,9.63E0,-1.765E1)); +#86830=DIRECTION('',(0.E0,-1.E0,0.E0)); +#86831=DIRECTION('',(0.E0,0.E0,-1.E0)); +#86832=AXIS2_PLACEMENT_3D('',#86829,#86830,#86831); +#86833=PLANE('',#86832); +#86834=ORIENTED_EDGE('',*,*,#83795,.F.); +#86835=ORIENTED_EDGE('',*,*,#84070,.T.); +#86836=ORIENTED_EDGE('',*,*,#86817,.F.); +#86837=ORIENTED_EDGE('',*,*,#83741,.F.); +#86838=EDGE_LOOP('',(#86834,#86835,#86836,#86837)); +#86839=FACE_OUTER_BOUND('',#86838,.F.); +#86841=CARTESIAN_POINT('',(3.825E1,0.E0,-1.995E1)); +#86842=DIRECTION('',(1.E0,0.E0,0.E0)); +#86843=DIRECTION('',(0.E0,0.E0,-1.E0)); +#86844=AXIS2_PLACEMENT_3D('',#86841,#86842,#86843); +#86845=PLANE('',#86844); +#86847=ORIENTED_EDGE('',*,*,#86846,.F.); +#86849=ORIENTED_EDGE('',*,*,#86848,.F.); +#86850=ORIENTED_EDGE('',*,*,#72823,.T.); +#86852=ORIENTED_EDGE('',*,*,#86851,.F.); +#86853=EDGE_LOOP('',(#86847,#86849,#86850,#86852)); +#86854=FACE_OUTER_BOUND('',#86853,.F.); +#86856=CARTESIAN_POINT('',(3.825E1,0.E0,-1.995E1)); +#86857=DIRECTION('',(1.E0,0.E0,0.E0)); +#86858=DIRECTION('',(0.E0,0.E0,-1.E0)); +#86859=AXIS2_PLACEMENT_3D('',#86856,#86857,#86858); +#86860=PLANE('',#86859); +#86861=ORIENTED_EDGE('',*,*,#86823,.T.); +#86862=ORIENTED_EDGE('',*,*,#72341,.T.); +#86864=ORIENTED_EDGE('',*,*,#86863,.F.); +#86866=ORIENTED_EDGE('',*,*,#86865,.F.); +#86867=ORIENTED_EDGE('',*,*,#83721,.F.); +#86868=EDGE_LOOP('',(#86861,#86862,#86864,#86866,#86867)); +#86869=FACE_OUTER_BOUND('',#86868,.F.); +#86871=CARTESIAN_POINT('',(3.81E1,-2.47E0,-1.78E1)); +#86872=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#86873=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#86874=AXIS2_PLACEMENT_3D('',#86871,#86872,#86873); +#86875=PLANE('',#86874); +#86877=ORIENTED_EDGE('',*,*,#86876,.F.); +#86879=ORIENTED_EDGE('',*,*,#86878,.T.); +#86880=ORIENTED_EDGE('',*,*,#86846,.T.); +#86882=ORIENTED_EDGE('',*,*,#86881,.T.); +#86883=EDGE_LOOP('',(#86877,#86879,#86880,#86882)); +#86884=FACE_OUTER_BOUND('',#86883,.F.); +#86886=CARTESIAN_POINT('',(3.605E1,-2.07E0,-1.765E1)); +#86887=DIRECTION('',(0.E0,0.E0,1.E0)); +#86888=DIRECTION('',(0.E0,-1.E0,0.E0)); +#86889=AXIS2_PLACEMENT_3D('',#86886,#86887,#86888); +#86890=PLANE('',#86889); +#86891=ORIENTED_EDGE('',*,*,#86876,.T.); +#86893=ORIENTED_EDGE('',*,*,#86892,.F.); +#86895=ORIENTED_EDGE('',*,*,#86894,.T.); +#86897=ORIENTED_EDGE('',*,*,#86896,.T.); +#86898=EDGE_LOOP('',(#86891,#86893,#86895,#86897)); +#86899=FACE_OUTER_BOUND('',#86898,.F.); +#86901=ORIENTED_EDGE('',*,*,#86900,.T.); +#86903=ORIENTED_EDGE('',*,*,#86902,.T.); +#86905=ORIENTED_EDGE('',*,*,#86904,.T.); +#86907=ORIENTED_EDGE('',*,*,#86906,.T.); +#86908=EDGE_LOOP('',(#86901,#86903,#86905,#86907)); +#86909=FACE_BOUND('',#86908,.F.); +#86911=CARTESIAN_POINT('',(3.745E1,-2.785E0,-1.995E1)); +#86912=DIRECTION('',(1.E0,0.E0,0.E0)); +#86913=DIRECTION('',(0.E0,1.E0,0.E0)); +#86914=AXIS2_PLACEMENT_3D('',#86911,#86912,#86913); +#86915=PLANE('',#86914); +#86917=ORIENTED_EDGE('',*,*,#86916,.T.); +#86919=ORIENTED_EDGE('',*,*,#86918,.T.); +#86921=ORIENTED_EDGE('',*,*,#86920,.F.); +#86922=ORIENTED_EDGE('',*,*,#86900,.F.); +#86923=EDGE_LOOP('',(#86917,#86919,#86921,#86922)); +#86924=FACE_OUTER_BOUND('',#86923,.F.); +#86926=CARTESIAN_POINT('',(3.685E1,-2.785E0,-1.995E1)); +#86927=DIRECTION('',(0.E0,-1.E0,0.E0)); +#86928=DIRECTION('',(1.E0,0.E0,0.E0)); +#86929=AXIS2_PLACEMENT_3D('',#86926,#86927,#86928); +#86930=PLANE('',#86929); +#86932=ORIENTED_EDGE('',*,*,#86931,.T.); +#86934=ORIENTED_EDGE('',*,*,#86933,.T.); +#86935=ORIENTED_EDGE('',*,*,#86916,.F.); +#86936=ORIENTED_EDGE('',*,*,#86906,.F.); +#86937=EDGE_LOOP('',(#86932,#86934,#86935,#86936)); +#86938=FACE_OUTER_BOUND('',#86937,.F.); +#86940=CARTESIAN_POINT('',(3.685E1,-2.155E0,-1.995E1)); +#86941=DIRECTION('',(-1.E0,0.E0,0.E0)); +#86942=DIRECTION('',(0.E0,-1.E0,0.E0)); +#86943=AXIS2_PLACEMENT_3D('',#86940,#86941,#86942); +#86944=PLANE('',#86943); +#86946=ORIENTED_EDGE('',*,*,#86945,.T.); +#86948=ORIENTED_EDGE('',*,*,#86947,.T.); +#86949=ORIENTED_EDGE('',*,*,#86931,.F.); +#86950=ORIENTED_EDGE('',*,*,#86904,.F.); +#86951=EDGE_LOOP('',(#86946,#86948,#86949,#86950)); +#86952=FACE_OUTER_BOUND('',#86951,.F.); +#86954=CARTESIAN_POINT('',(3.745E1,-2.155E0,-1.995E1)); +#86955=DIRECTION('',(0.E0,1.E0,0.E0)); +#86956=DIRECTION('',(-1.E0,0.E0,0.E0)); +#86957=AXIS2_PLACEMENT_3D('',#86954,#86955,#86956); +#86958=PLANE('',#86957); +#86959=ORIENTED_EDGE('',*,*,#86945,.F.); +#86960=ORIENTED_EDGE('',*,*,#86902,.F.); +#86961=ORIENTED_EDGE('',*,*,#86920,.T.); +#86963=ORIENTED_EDGE('',*,*,#86962,.T.); +#86964=EDGE_LOOP('',(#86959,#86960,#86961,#86963)); +#86965=FACE_OUTER_BOUND('',#86964,.F.); +#86967=CARTESIAN_POINT('',(1.29E1,0.E0,-1.065E1)); +#86968=DIRECTION('',(0.E0,0.E0,1.E0)); +#86969=DIRECTION('',(1.E0,0.E0,0.E0)); +#86970=AXIS2_PLACEMENT_3D('',#86967,#86968,#86969); +#86971=PLANE('',#86970); +#86973=ORIENTED_EDGE('',*,*,#86972,.F.); +#86975=ORIENTED_EDGE('',*,*,#86974,.F.); +#86977=ORIENTED_EDGE('',*,*,#86976,.F.); +#86979=ORIENTED_EDGE('',*,*,#86978,.F.); +#86980=EDGE_LOOP('',(#86973,#86975,#86977,#86979)); +#86981=FACE_OUTER_BOUND('',#86980,.F.); +#86983=CARTESIAN_POINT('',(1.29E1,0.E0,-1.065E1)); +#86984=DIRECTION('',(0.E0,0.E0,1.E0)); +#86985=DIRECTION('',(1.E0,0.E0,0.E0)); +#86986=AXIS2_PLACEMENT_3D('',#86983,#86984,#86985); +#86987=PLANE('',#86986); +#86988=ORIENTED_EDGE('',*,*,#86947,.F.); +#86989=ORIENTED_EDGE('',*,*,#86962,.F.); +#86990=ORIENTED_EDGE('',*,*,#86918,.F.); +#86991=ORIENTED_EDGE('',*,*,#86933,.F.); +#86992=EDGE_LOOP('',(#86988,#86989,#86990,#86991)); +#86993=FACE_OUTER_BOUND('',#86992,.F.); +#86995=CARTESIAN_POINT('',(3.685E1,6.645E0,-1.995E1)); +#86996=DIRECTION('',(-1.E0,0.E0,0.E0)); +#86997=DIRECTION('',(0.E0,-1.E0,0.E0)); +#86998=AXIS2_PLACEMENT_3D('',#86995,#86996,#86997); +#86999=PLANE('',#86998); +#87001=ORIENTED_EDGE('',*,*,#87000,.T.); +#87002=ORIENTED_EDGE('',*,*,#86972,.T.); +#87004=ORIENTED_EDGE('',*,*,#87003,.F.); +#87006=ORIENTED_EDGE('',*,*,#87005,.F.); +#87007=EDGE_LOOP('',(#87001,#87002,#87004,#87006)); +#87008=FACE_OUTER_BOUND('',#87007,.F.); +#87010=CARTESIAN_POINT('',(3.745E1,6.645E0,-1.995E1)); +#87011=DIRECTION('',(0.E0,1.E0,0.E0)); +#87012=DIRECTION('',(-1.E0,0.E0,0.E0)); +#87013=AXIS2_PLACEMENT_3D('',#87010,#87011,#87012); +#87014=PLANE('',#87013); +#87015=ORIENTED_EDGE('',*,*,#87000,.F.); +#87017=ORIENTED_EDGE('',*,*,#87016,.F.); +#87019=ORIENTED_EDGE('',*,*,#87018,.T.); +#87020=ORIENTED_EDGE('',*,*,#86974,.T.); +#87021=EDGE_LOOP('',(#87015,#87017,#87019,#87020)); +#87022=FACE_OUTER_BOUND('',#87021,.F.); +#87024=CARTESIAN_POINT('',(3.605E1,6.73E0,-1.765E1)); +#87025=DIRECTION('',(0.E0,0.E0,1.E0)); +#87026=DIRECTION('',(0.E0,-1.E0,0.E0)); +#87027=AXIS2_PLACEMENT_3D('',#87024,#87025,#87026); +#87028=PLANE('',#87027); +#87030=ORIENTED_EDGE('',*,*,#87029,.T.); +#87032=ORIENTED_EDGE('',*,*,#87031,.F.); +#87034=ORIENTED_EDGE('',*,*,#87033,.T.); +#87036=ORIENTED_EDGE('',*,*,#87035,.T.); +#87037=EDGE_LOOP('',(#87030,#87032,#87034,#87036)); +#87038=FACE_OUTER_BOUND('',#87037,.F.); +#87040=ORIENTED_EDGE('',*,*,#87039,.T.); +#87041=ORIENTED_EDGE('',*,*,#87016,.T.); +#87042=ORIENTED_EDGE('',*,*,#87005,.T.); +#87044=ORIENTED_EDGE('',*,*,#87043,.T.); +#87045=EDGE_LOOP('',(#87040,#87041,#87042,#87044)); +#87046=FACE_BOUND('',#87045,.F.); +#87048=CARTESIAN_POINT('',(3.745E1,6.015E0,-1.995E1)); +#87049=DIRECTION('',(1.E0,0.E0,0.E0)); +#87050=DIRECTION('',(0.E0,1.E0,0.E0)); +#87051=AXIS2_PLACEMENT_3D('',#87048,#87049,#87050); +#87052=PLANE('',#87051); +#87054=ORIENTED_EDGE('',*,*,#87053,.T.); +#87055=ORIENTED_EDGE('',*,*,#86976,.T.); +#87056=ORIENTED_EDGE('',*,*,#87018,.F.); +#87057=ORIENTED_EDGE('',*,*,#87039,.F.); +#87058=EDGE_LOOP('',(#87054,#87055,#87056,#87057)); +#87059=FACE_OUTER_BOUND('',#87058,.F.); +#87061=CARTESIAN_POINT('',(3.685E1,6.015E0,-1.995E1)); +#87062=DIRECTION('',(0.E0,-1.E0,0.E0)); +#87063=DIRECTION('',(1.E0,0.E0,0.E0)); +#87064=AXIS2_PLACEMENT_3D('',#87061,#87062,#87063); +#87065=PLANE('',#87064); +#87066=ORIENTED_EDGE('',*,*,#87003,.T.); +#87067=ORIENTED_EDGE('',*,*,#86978,.T.); +#87068=ORIENTED_EDGE('',*,*,#87053,.F.); +#87069=ORIENTED_EDGE('',*,*,#87043,.F.); +#87070=EDGE_LOOP('',(#87066,#87067,#87068,#87069)); +#87071=FACE_OUTER_BOUND('',#87070,.F.); +#87073=CARTESIAN_POINT('',(3.81E1,6.33E0,-1.78E1)); +#87074=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#87075=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#87076=AXIS2_PLACEMENT_3D('',#87073,#87074,#87075); +#87077=PLANE('',#87076); +#87078=ORIENTED_EDGE('',*,*,#86865,.T.); +#87080=ORIENTED_EDGE('',*,*,#87079,.T.); +#87081=ORIENTED_EDGE('',*,*,#87029,.F.); +#87083=ORIENTED_EDGE('',*,*,#87082,.T.); +#87084=EDGE_LOOP('',(#87078,#87080,#87081,#87083)); +#87085=FACE_OUTER_BOUND('',#87084,.F.); +#87087=CARTESIAN_POINT('',(3.605E1,5.93E0,-1.765E1)); +#87088=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#87089=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#87090=AXIS2_PLACEMENT_3D('',#87087,#87088,#87089); +#87091=PLANE('',#87090); +#87092=ORIENTED_EDGE('',*,*,#87079,.F.); +#87094=ORIENTED_EDGE('',*,*,#87093,.F.); +#87096=ORIENTED_EDGE('',*,*,#87095,.F.); +#87097=ORIENTED_EDGE('',*,*,#87031,.T.); +#87098=EDGE_LOOP('',(#87092,#87094,#87096,#87097)); +#87099=FACE_OUTER_BOUND('',#87098,.F.); +#87101=CARTESIAN_POINT('',(3.715E1,5.542511336474E0,-1.895E1)); +#87102=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#87103=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#87104=AXIS2_PLACEMENT_3D('',#87101,#87102,#87103); +#87105=PLANE('',#87104); +#87106=ORIENTED_EDGE('',*,*,#87093,.T.); +#87107=ORIENTED_EDGE('',*,*,#86863,.T.); +#87108=ORIENTED_EDGE('',*,*,#72339,.F.); +#87110=ORIENTED_EDGE('',*,*,#87109,.T.); +#87111=EDGE_LOOP('',(#87106,#87107,#87108,#87110)); +#87112=FACE_OUTER_BOUND('',#87111,.F.); +#87114=CARTESIAN_POINT('',(3.605E1,0.E0,-1.995E1)); +#87115=DIRECTION('',(1.E0,0.E0,0.E0)); +#87116=DIRECTION('',(0.E0,0.E0,-1.E0)); +#87117=AXIS2_PLACEMENT_3D('',#87114,#87115,#87116); +#87118=PLANE('',#87117); +#87120=ORIENTED_EDGE('',*,*,#87119,.F.); +#87122=ORIENTED_EDGE('',*,*,#87121,.F.); +#87123=ORIENTED_EDGE('',*,*,#72819,.T.); +#87125=ORIENTED_EDGE('',*,*,#87124,.F.); +#87126=EDGE_LOOP('',(#87120,#87122,#87123,#87125)); +#87127=FACE_OUTER_BOUND('',#87126,.F.); +#87129=CARTESIAN_POINT('',(3.605E1,0.E0,-1.995E1)); +#87130=DIRECTION('',(1.E0,0.E0,0.E0)); +#87131=DIRECTION('',(0.E0,0.E0,-1.E0)); +#87132=AXIS2_PLACEMENT_3D('',#87129,#87130,#87131); +#87133=PLANE('',#87132); +#87135=ORIENTED_EDGE('',*,*,#87134,.F.); +#87136=ORIENTED_EDGE('',*,*,#83725,.T.); +#87138=ORIENTED_EDGE('',*,*,#87137,.F.); +#87139=ORIENTED_EDGE('',*,*,#87109,.F.); +#87140=ORIENTED_EDGE('',*,*,#72337,.T.); +#87141=EDGE_LOOP('',(#87135,#87136,#87138,#87139,#87140)); +#87142=FACE_OUTER_BOUND('',#87141,.F.); +#87144=CARTESIAN_POINT('',(3.62E1,-2.47E0,-1.78E1)); +#87145=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#87146=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#87147=AXIS2_PLACEMENT_3D('',#87144,#87145,#87146); +#87148=PLANE('',#87147); +#87150=ORIENTED_EDGE('',*,*,#87149,.T.); +#87151=ORIENTED_EDGE('',*,*,#86894,.F.); +#87153=ORIENTED_EDGE('',*,*,#87152,.T.); +#87154=ORIENTED_EDGE('',*,*,#87119,.T.); +#87155=EDGE_LOOP('',(#87150,#87151,#87153,#87154)); +#87156=FACE_OUTER_BOUND('',#87155,.F.); +#87158=CARTESIAN_POINT('',(3.605E1,-1.77E0,-1.795E1)); +#87159=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#87160=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#87161=AXIS2_PLACEMENT_3D('',#87158,#87159,#87160); +#87162=PLANE('',#87161); +#87163=ORIENTED_EDGE('',*,*,#86878,.F.); +#87164=ORIENTED_EDGE('',*,*,#86896,.F.); +#87165=ORIENTED_EDGE('',*,*,#87149,.F.); +#87167=ORIENTED_EDGE('',*,*,#87166,.F.); +#87168=EDGE_LOOP('',(#87163,#87164,#87165,#87167)); +#87169=FACE_OUTER_BOUND('',#87168,.F.); +#87171=CARTESIAN_POINT('',(3.715E1,-1.682511336474E0,-1.895E1)); +#87172=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#87173=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#87174=AXIS2_PLACEMENT_3D('',#87171,#87172,#87173); +#87175=PLANE('',#87174); +#87176=ORIENTED_EDGE('',*,*,#86848,.T.); +#87177=ORIENTED_EDGE('',*,*,#87166,.T.); +#87178=ORIENTED_EDGE('',*,*,#87124,.T.); +#87179=ORIENTED_EDGE('',*,*,#72817,.F.); +#87180=EDGE_LOOP('',(#87176,#87177,#87178,#87179)); +#87181=FACE_OUTER_BOUND('',#87180,.F.); +#87183=CARTESIAN_POINT('',(3.605E1,-2.87E0,-1.765E1)); +#87184=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#87185=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#87186=AXIS2_PLACEMENT_3D('',#87183,#87184,#87185); +#87187=PLANE('',#87186); +#87188=ORIENTED_EDGE('',*,*,#86881,.F.); +#87190=ORIENTED_EDGE('',*,*,#87189,.F.); +#87191=ORIENTED_EDGE('',*,*,#87152,.F.); +#87192=ORIENTED_EDGE('',*,*,#86892,.T.); +#87193=EDGE_LOOP('',(#87188,#87190,#87191,#87192)); +#87194=FACE_OUTER_BOUND('',#87193,.F.); +#87196=CARTESIAN_POINT('',(3.715E1,-3.257488663526E0,-1.895E1)); +#87197=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#87198=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#87199=AXIS2_PLACEMENT_3D('',#87196,#87197,#87198); +#87200=PLANE('',#87199); +#87201=ORIENTED_EDGE('',*,*,#86851,.T.); +#87202=ORIENTED_EDGE('',*,*,#72821,.F.); +#87203=ORIENTED_EDGE('',*,*,#87121,.T.); +#87204=ORIENTED_EDGE('',*,*,#87189,.T.); +#87205=EDGE_LOOP('',(#87201,#87202,#87203,#87204)); +#87206=FACE_OUTER_BOUND('',#87205,.F.); +#87208=CARTESIAN_POINT('',(3.39E1,9.63E0,-1.816282873211E1)); +#87209=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#87210=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#87211=AXIS2_PLACEMENT_3D('',#87208,#87209,#87210); +#87212=PLANE('',#87211); +#87213=ORIENTED_EDGE('',*,*,#83327,.F.); +#87215=ORIENTED_EDGE('',*,*,#87214,.T.); +#87216=ORIENTED_EDGE('',*,*,#83652,.T.); +#87217=ORIENTED_EDGE('',*,*,#83727,.F.); +#87218=ORIENTED_EDGE('',*,*,#87134,.T.); +#87219=ORIENTED_EDGE('',*,*,#72335,.F.); +#87221=ORIENTED_EDGE('',*,*,#87220,.F.); +#87222=ORIENTED_EDGE('',*,*,#83303,.T.); +#87223=EDGE_LOOP('',(#87213,#87215,#87216,#87217,#87218,#87219,#87221,#87222)); +#87224=FACE_OUTER_BOUND('',#87223,.F.); +#87226=CARTESIAN_POINT('',(3.39E1,9.63E0,-1.765E1)); +#87227=DIRECTION('',(0.E0,-1.E0,0.E0)); +#87228=DIRECTION('',(0.E0,0.E0,-1.E0)); +#87229=AXIS2_PLACEMENT_3D('',#87226,#87227,#87228); +#87230=PLANE('',#87229); +#87231=ORIENTED_EDGE('',*,*,#83379,.F.); +#87232=ORIENTED_EDGE('',*,*,#83654,.T.); +#87233=ORIENTED_EDGE('',*,*,#87214,.F.); +#87234=ORIENTED_EDGE('',*,*,#83325,.F.); +#87235=EDGE_LOOP('',(#87231,#87232,#87233,#87234)); +#87236=FACE_OUTER_BOUND('',#87235,.F.); +#87238=CARTESIAN_POINT('',(3.395E1,0.E0,-1.995E1)); +#87239=DIRECTION('',(1.E0,0.E0,0.E0)); +#87240=DIRECTION('',(0.E0,0.E0,-1.E0)); +#87241=AXIS2_PLACEMENT_3D('',#87238,#87239,#87240); +#87242=PLANE('',#87241); +#87244=ORIENTED_EDGE('',*,*,#87243,.F.); +#87246=ORIENTED_EDGE('',*,*,#87245,.F.); +#87247=ORIENTED_EDGE('',*,*,#72813,.T.); +#87249=ORIENTED_EDGE('',*,*,#87248,.F.); +#87250=EDGE_LOOP('',(#87244,#87246,#87247,#87249)); +#87251=FACE_OUTER_BOUND('',#87250,.F.); +#87253=CARTESIAN_POINT('',(3.395E1,0.E0,-1.995E1)); +#87254=DIRECTION('',(1.E0,0.E0,0.E0)); +#87255=DIRECTION('',(0.E0,0.E0,-1.E0)); +#87256=AXIS2_PLACEMENT_3D('',#87253,#87254,#87255); +#87257=PLANE('',#87256); +#87258=ORIENTED_EDGE('',*,*,#87220,.T.); +#87259=ORIENTED_EDGE('',*,*,#72333,.T.); +#87261=ORIENTED_EDGE('',*,*,#87260,.F.); +#87263=ORIENTED_EDGE('',*,*,#87262,.F.); +#87264=ORIENTED_EDGE('',*,*,#83305,.F.); +#87265=EDGE_LOOP('',(#87258,#87259,#87261,#87263,#87264)); +#87266=FACE_OUTER_BOUND('',#87265,.F.); +#87268=CARTESIAN_POINT('',(3.38E1,-2.47E0,-1.78E1)); +#87269=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#87270=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#87271=AXIS2_PLACEMENT_3D('',#87268,#87269,#87270); +#87272=PLANE('',#87271); +#87274=ORIENTED_EDGE('',*,*,#87273,.F.); +#87276=ORIENTED_EDGE('',*,*,#87275,.T.); +#87277=ORIENTED_EDGE('',*,*,#87243,.T.); +#87279=ORIENTED_EDGE('',*,*,#87278,.T.); +#87280=EDGE_LOOP('',(#87274,#87276,#87277,#87279)); +#87281=FACE_OUTER_BOUND('',#87280,.F.); +#87283=CARTESIAN_POINT('',(3.175E1,-2.07E0,-1.765E1)); +#87284=DIRECTION('',(0.E0,0.E0,1.E0)); +#87285=DIRECTION('',(0.E0,-1.E0,0.E0)); +#87286=AXIS2_PLACEMENT_3D('',#87283,#87284,#87285); +#87287=PLANE('',#87286); +#87288=ORIENTED_EDGE('',*,*,#87273,.T.); +#87290=ORIENTED_EDGE('',*,*,#87289,.F.); +#87292=ORIENTED_EDGE('',*,*,#87291,.T.); +#87294=ORIENTED_EDGE('',*,*,#87293,.T.); +#87295=EDGE_LOOP('',(#87288,#87290,#87292,#87294)); +#87296=FACE_OUTER_BOUND('',#87295,.F.); +#87298=ORIENTED_EDGE('',*,*,#87297,.T.); +#87300=ORIENTED_EDGE('',*,*,#87299,.T.); +#87302=ORIENTED_EDGE('',*,*,#87301,.T.); +#87304=ORIENTED_EDGE('',*,*,#87303,.T.); +#87305=EDGE_LOOP('',(#87298,#87300,#87302,#87304)); +#87306=FACE_BOUND('',#87305,.F.); +#87308=CARTESIAN_POINT('',(3.315E1,-2.785E0,-1.995E1)); +#87309=DIRECTION('',(1.E0,0.E0,0.E0)); +#87310=DIRECTION('',(0.E0,1.E0,0.E0)); +#87311=AXIS2_PLACEMENT_3D('',#87308,#87309,#87310); +#87312=PLANE('',#87311); +#87314=ORIENTED_EDGE('',*,*,#87313,.T.); +#87316=ORIENTED_EDGE('',*,*,#87315,.T.); +#87318=ORIENTED_EDGE('',*,*,#87317,.F.); +#87319=ORIENTED_EDGE('',*,*,#87297,.F.); +#87320=EDGE_LOOP('',(#87314,#87316,#87318,#87319)); +#87321=FACE_OUTER_BOUND('',#87320,.F.); +#87323=CARTESIAN_POINT('',(3.255E1,-2.785E0,-1.995E1)); +#87324=DIRECTION('',(0.E0,-1.E0,0.E0)); +#87325=DIRECTION('',(1.E0,0.E0,0.E0)); +#87326=AXIS2_PLACEMENT_3D('',#87323,#87324,#87325); +#87327=PLANE('',#87326); +#87329=ORIENTED_EDGE('',*,*,#87328,.T.); +#87331=ORIENTED_EDGE('',*,*,#87330,.T.); +#87332=ORIENTED_EDGE('',*,*,#87313,.F.); +#87333=ORIENTED_EDGE('',*,*,#87303,.F.); +#87334=EDGE_LOOP('',(#87329,#87331,#87332,#87333)); +#87335=FACE_OUTER_BOUND('',#87334,.F.); +#87337=CARTESIAN_POINT('',(3.255E1,-2.155E0,-1.995E1)); +#87338=DIRECTION('',(-1.E0,0.E0,0.E0)); +#87339=DIRECTION('',(0.E0,-1.E0,0.E0)); +#87340=AXIS2_PLACEMENT_3D('',#87337,#87338,#87339); +#87341=PLANE('',#87340); +#87343=ORIENTED_EDGE('',*,*,#87342,.T.); +#87345=ORIENTED_EDGE('',*,*,#87344,.T.); +#87346=ORIENTED_EDGE('',*,*,#87328,.F.); +#87347=ORIENTED_EDGE('',*,*,#87301,.F.); +#87348=EDGE_LOOP('',(#87343,#87345,#87346,#87347)); +#87349=FACE_OUTER_BOUND('',#87348,.F.); +#87351=CARTESIAN_POINT('',(3.315E1,-2.155E0,-1.995E1)); +#87352=DIRECTION('',(0.E0,1.E0,0.E0)); +#87353=DIRECTION('',(-1.E0,0.E0,0.E0)); +#87354=AXIS2_PLACEMENT_3D('',#87351,#87352,#87353); +#87355=PLANE('',#87354); +#87356=ORIENTED_EDGE('',*,*,#87342,.F.); +#87357=ORIENTED_EDGE('',*,*,#87299,.F.); +#87358=ORIENTED_EDGE('',*,*,#87317,.T.); +#87360=ORIENTED_EDGE('',*,*,#87359,.T.); +#87361=EDGE_LOOP('',(#87356,#87357,#87358,#87360)); +#87362=FACE_OUTER_BOUND('',#87361,.F.); +#87364=CARTESIAN_POINT('',(8.6E0,0.E0,-1.065E1)); +#87365=DIRECTION('',(0.E0,0.E0,1.E0)); +#87366=DIRECTION('',(1.E0,0.E0,0.E0)); +#87367=AXIS2_PLACEMENT_3D('',#87364,#87365,#87366); +#87368=PLANE('',#87367); +#87370=ORIENTED_EDGE('',*,*,#87369,.F.); +#87372=ORIENTED_EDGE('',*,*,#87371,.F.); +#87374=ORIENTED_EDGE('',*,*,#87373,.F.); +#87376=ORIENTED_EDGE('',*,*,#87375,.F.); +#87377=EDGE_LOOP('',(#87370,#87372,#87374,#87376)); +#87378=FACE_OUTER_BOUND('',#87377,.F.); +#87380=CARTESIAN_POINT('',(8.6E0,0.E0,-1.065E1)); +#87381=DIRECTION('',(0.E0,0.E0,1.E0)); +#87382=DIRECTION('',(1.E0,0.E0,0.E0)); +#87383=AXIS2_PLACEMENT_3D('',#87380,#87381,#87382); +#87384=PLANE('',#87383); +#87385=ORIENTED_EDGE('',*,*,#87344,.F.); +#87386=ORIENTED_EDGE('',*,*,#87359,.F.); +#87387=ORIENTED_EDGE('',*,*,#87315,.F.); +#87388=ORIENTED_EDGE('',*,*,#87330,.F.); +#87389=EDGE_LOOP('',(#87385,#87386,#87387,#87388)); +#87390=FACE_OUTER_BOUND('',#87389,.F.); +#87392=CARTESIAN_POINT('',(3.255E1,6.645E0,-1.995E1)); +#87393=DIRECTION('',(-1.E0,0.E0,0.E0)); +#87394=DIRECTION('',(0.E0,-1.E0,0.E0)); +#87395=AXIS2_PLACEMENT_3D('',#87392,#87393,#87394); +#87396=PLANE('',#87395); +#87398=ORIENTED_EDGE('',*,*,#87397,.T.); +#87399=ORIENTED_EDGE('',*,*,#87369,.T.); +#87401=ORIENTED_EDGE('',*,*,#87400,.F.); +#87403=ORIENTED_EDGE('',*,*,#87402,.F.); +#87404=EDGE_LOOP('',(#87398,#87399,#87401,#87403)); +#87405=FACE_OUTER_BOUND('',#87404,.F.); +#87407=CARTESIAN_POINT('',(3.315E1,6.645E0,-1.995E1)); +#87408=DIRECTION('',(0.E0,1.E0,0.E0)); +#87409=DIRECTION('',(-1.E0,0.E0,0.E0)); +#87410=AXIS2_PLACEMENT_3D('',#87407,#87408,#87409); +#87411=PLANE('',#87410); +#87412=ORIENTED_EDGE('',*,*,#87397,.F.); +#87414=ORIENTED_EDGE('',*,*,#87413,.F.); +#87416=ORIENTED_EDGE('',*,*,#87415,.T.); +#87417=ORIENTED_EDGE('',*,*,#87371,.T.); +#87418=EDGE_LOOP('',(#87412,#87414,#87416,#87417)); +#87419=FACE_OUTER_BOUND('',#87418,.F.); +#87421=CARTESIAN_POINT('',(3.175E1,6.73E0,-1.765E1)); +#87422=DIRECTION('',(0.E0,0.E0,1.E0)); +#87423=DIRECTION('',(0.E0,-1.E0,0.E0)); +#87424=AXIS2_PLACEMENT_3D('',#87421,#87422,#87423); +#87425=PLANE('',#87424); +#87427=ORIENTED_EDGE('',*,*,#87426,.T.); +#87429=ORIENTED_EDGE('',*,*,#87428,.F.); +#87431=ORIENTED_EDGE('',*,*,#87430,.T.); +#87433=ORIENTED_EDGE('',*,*,#87432,.T.); +#87434=EDGE_LOOP('',(#87427,#87429,#87431,#87433)); +#87435=FACE_OUTER_BOUND('',#87434,.F.); +#87437=ORIENTED_EDGE('',*,*,#87436,.T.); +#87438=ORIENTED_EDGE('',*,*,#87413,.T.); +#87439=ORIENTED_EDGE('',*,*,#87402,.T.); +#87441=ORIENTED_EDGE('',*,*,#87440,.T.); +#87442=EDGE_LOOP('',(#87437,#87438,#87439,#87441)); +#87443=FACE_BOUND('',#87442,.F.); +#87445=CARTESIAN_POINT('',(3.315E1,6.015E0,-1.995E1)); +#87446=DIRECTION('',(1.E0,0.E0,0.E0)); +#87447=DIRECTION('',(0.E0,1.E0,0.E0)); +#87448=AXIS2_PLACEMENT_3D('',#87445,#87446,#87447); +#87449=PLANE('',#87448); +#87451=ORIENTED_EDGE('',*,*,#87450,.T.); +#87452=ORIENTED_EDGE('',*,*,#87373,.T.); +#87453=ORIENTED_EDGE('',*,*,#87415,.F.); +#87454=ORIENTED_EDGE('',*,*,#87436,.F.); +#87455=EDGE_LOOP('',(#87451,#87452,#87453,#87454)); +#87456=FACE_OUTER_BOUND('',#87455,.F.); +#87458=CARTESIAN_POINT('',(3.255E1,6.015E0,-1.995E1)); +#87459=DIRECTION('',(0.E0,-1.E0,0.E0)); +#87460=DIRECTION('',(1.E0,0.E0,0.E0)); +#87461=AXIS2_PLACEMENT_3D('',#87458,#87459,#87460); +#87462=PLANE('',#87461); +#87463=ORIENTED_EDGE('',*,*,#87400,.T.); +#87464=ORIENTED_EDGE('',*,*,#87375,.T.); +#87465=ORIENTED_EDGE('',*,*,#87450,.F.); +#87466=ORIENTED_EDGE('',*,*,#87440,.F.); +#87467=EDGE_LOOP('',(#87463,#87464,#87465,#87466)); +#87468=FACE_OUTER_BOUND('',#87467,.F.); +#87470=CARTESIAN_POINT('',(3.38E1,6.33E0,-1.78E1)); +#87471=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#87472=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#87473=AXIS2_PLACEMENT_3D('',#87470,#87471,#87472); +#87474=PLANE('',#87473); +#87475=ORIENTED_EDGE('',*,*,#87262,.T.); +#87477=ORIENTED_EDGE('',*,*,#87476,.T.); +#87478=ORIENTED_EDGE('',*,*,#87426,.F.); +#87480=ORIENTED_EDGE('',*,*,#87479,.T.); +#87481=EDGE_LOOP('',(#87475,#87477,#87478,#87480)); +#87482=FACE_OUTER_BOUND('',#87481,.F.); +#87484=CARTESIAN_POINT('',(3.175E1,5.93E0,-1.765E1)); +#87485=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#87486=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#87487=AXIS2_PLACEMENT_3D('',#87484,#87485,#87486); +#87488=PLANE('',#87487); +#87489=ORIENTED_EDGE('',*,*,#87476,.F.); +#87491=ORIENTED_EDGE('',*,*,#87490,.F.); +#87493=ORIENTED_EDGE('',*,*,#87492,.F.); +#87494=ORIENTED_EDGE('',*,*,#87428,.T.); +#87495=EDGE_LOOP('',(#87489,#87491,#87493,#87494)); +#87496=FACE_OUTER_BOUND('',#87495,.F.); +#87498=CARTESIAN_POINT('',(3.285E1,5.542511336474E0,-1.895E1)); +#87499=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#87500=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#87501=AXIS2_PLACEMENT_3D('',#87498,#87499,#87500); +#87502=PLANE('',#87501); +#87503=ORIENTED_EDGE('',*,*,#87490,.T.); +#87504=ORIENTED_EDGE('',*,*,#87260,.T.); +#87505=ORIENTED_EDGE('',*,*,#72331,.F.); +#87507=ORIENTED_EDGE('',*,*,#87506,.T.); +#87508=EDGE_LOOP('',(#87503,#87504,#87505,#87507)); +#87509=FACE_OUTER_BOUND('',#87508,.F.); +#87511=CARTESIAN_POINT('',(3.175E1,0.E0,-1.995E1)); +#87512=DIRECTION('',(1.E0,0.E0,0.E0)); +#87513=DIRECTION('',(0.E0,0.E0,-1.E0)); +#87514=AXIS2_PLACEMENT_3D('',#87511,#87512,#87513); +#87515=PLANE('',#87514); +#87517=ORIENTED_EDGE('',*,*,#87516,.F.); +#87519=ORIENTED_EDGE('',*,*,#87518,.F.); +#87520=ORIENTED_EDGE('',*,*,#72809,.T.); +#87522=ORIENTED_EDGE('',*,*,#87521,.F.); +#87523=EDGE_LOOP('',(#87517,#87519,#87520,#87522)); +#87524=FACE_OUTER_BOUND('',#87523,.F.); +#87526=CARTESIAN_POINT('',(3.175E1,0.E0,-1.995E1)); +#87527=DIRECTION('',(1.E0,0.E0,0.E0)); +#87528=DIRECTION('',(0.E0,0.E0,-1.E0)); +#87529=AXIS2_PLACEMENT_3D('',#87526,#87527,#87528); +#87530=PLANE('',#87529); +#87532=ORIENTED_EDGE('',*,*,#87531,.F.); +#87533=ORIENTED_EDGE('',*,*,#83309,.T.); +#87535=ORIENTED_EDGE('',*,*,#87534,.F.); +#87536=ORIENTED_EDGE('',*,*,#87506,.F.); +#87537=ORIENTED_EDGE('',*,*,#72329,.T.); +#87538=EDGE_LOOP('',(#87532,#87533,#87535,#87536,#87537)); +#87539=FACE_OUTER_BOUND('',#87538,.F.); +#87541=CARTESIAN_POINT('',(3.19E1,-2.47E0,-1.78E1)); +#87542=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#87543=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#87544=AXIS2_PLACEMENT_3D('',#87541,#87542,#87543); +#87545=PLANE('',#87544); +#87547=ORIENTED_EDGE('',*,*,#87546,.T.); +#87548=ORIENTED_EDGE('',*,*,#87291,.F.); +#87550=ORIENTED_EDGE('',*,*,#87549,.T.); +#87551=ORIENTED_EDGE('',*,*,#87516,.T.); +#87552=EDGE_LOOP('',(#87547,#87548,#87550,#87551)); +#87553=FACE_OUTER_BOUND('',#87552,.F.); +#87555=CARTESIAN_POINT('',(3.175E1,-1.77E0,-1.795E1)); +#87556=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#87557=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#87558=AXIS2_PLACEMENT_3D('',#87555,#87556,#87557); +#87559=PLANE('',#87558); +#87560=ORIENTED_EDGE('',*,*,#87275,.F.); +#87561=ORIENTED_EDGE('',*,*,#87293,.F.); +#87562=ORIENTED_EDGE('',*,*,#87546,.F.); +#87564=ORIENTED_EDGE('',*,*,#87563,.F.); +#87565=EDGE_LOOP('',(#87560,#87561,#87562,#87564)); +#87566=FACE_OUTER_BOUND('',#87565,.F.); +#87568=CARTESIAN_POINT('',(3.285E1,-1.682511336474E0,-1.895E1)); +#87569=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#87570=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#87571=AXIS2_PLACEMENT_3D('',#87568,#87569,#87570); +#87572=PLANE('',#87571); +#87573=ORIENTED_EDGE('',*,*,#87245,.T.); +#87574=ORIENTED_EDGE('',*,*,#87563,.T.); +#87575=ORIENTED_EDGE('',*,*,#87521,.T.); +#87576=ORIENTED_EDGE('',*,*,#72807,.F.); +#87577=EDGE_LOOP('',(#87573,#87574,#87575,#87576)); +#87578=FACE_OUTER_BOUND('',#87577,.F.); +#87580=CARTESIAN_POINT('',(3.175E1,-2.87E0,-1.765E1)); +#87581=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#87582=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#87583=AXIS2_PLACEMENT_3D('',#87580,#87581,#87582); +#87584=PLANE('',#87583); +#87585=ORIENTED_EDGE('',*,*,#87278,.F.); +#87587=ORIENTED_EDGE('',*,*,#87586,.F.); +#87588=ORIENTED_EDGE('',*,*,#87549,.F.); +#87589=ORIENTED_EDGE('',*,*,#87289,.T.); +#87590=EDGE_LOOP('',(#87585,#87587,#87588,#87589)); +#87591=FACE_OUTER_BOUND('',#87590,.F.); +#87593=CARTESIAN_POINT('',(3.285E1,-3.257488663526E0,-1.895E1)); +#87594=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#87595=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#87596=AXIS2_PLACEMENT_3D('',#87593,#87594,#87595); +#87597=PLANE('',#87596); +#87598=ORIENTED_EDGE('',*,*,#87248,.T.); +#87599=ORIENTED_EDGE('',*,*,#72811,.F.); +#87600=ORIENTED_EDGE('',*,*,#87518,.T.); +#87601=ORIENTED_EDGE('',*,*,#87586,.T.); +#87602=EDGE_LOOP('',(#87598,#87599,#87600,#87601)); +#87603=FACE_OUTER_BOUND('',#87602,.F.); +#87605=CARTESIAN_POINT('',(2.96E1,9.63E0,-1.816282873211E1)); +#87606=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#87607=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#87608=AXIS2_PLACEMENT_3D('',#87605,#87606,#87607); +#87609=PLANE('',#87608); +#87610=ORIENTED_EDGE('',*,*,#82911,.F.); +#87612=ORIENTED_EDGE('',*,*,#87611,.T.); +#87613=ORIENTED_EDGE('',*,*,#83236,.T.); +#87614=ORIENTED_EDGE('',*,*,#83311,.F.); +#87615=ORIENTED_EDGE('',*,*,#87531,.T.); +#87616=ORIENTED_EDGE('',*,*,#72327,.F.); +#87618=ORIENTED_EDGE('',*,*,#87617,.F.); +#87619=ORIENTED_EDGE('',*,*,#82888,.T.); +#87620=EDGE_LOOP('',(#87610,#87612,#87613,#87614,#87615,#87616,#87618,#87619)); +#87621=FACE_OUTER_BOUND('',#87620,.F.); +#87623=CARTESIAN_POINT('',(2.96E1,9.63E0,-1.765E1)); +#87624=DIRECTION('',(0.E0,-1.E0,0.E0)); +#87625=DIRECTION('',(0.E0,0.E0,-1.E0)); +#87626=AXIS2_PLACEMENT_3D('',#87623,#87624,#87625); +#87627=PLANE('',#87626); +#87628=ORIENTED_EDGE('',*,*,#82963,.F.); +#87629=ORIENTED_EDGE('',*,*,#83238,.T.); +#87630=ORIENTED_EDGE('',*,*,#87611,.F.); +#87631=ORIENTED_EDGE('',*,*,#82909,.F.); +#87632=EDGE_LOOP('',(#87628,#87629,#87630,#87631)); +#87633=FACE_OUTER_BOUND('',#87632,.F.); +#87635=CARTESIAN_POINT('',(2.965E1,0.E0,-1.995E1)); +#87636=DIRECTION('',(1.E0,0.E0,0.E0)); +#87637=DIRECTION('',(0.E0,0.E0,-1.E0)); +#87638=AXIS2_PLACEMENT_3D('',#87635,#87636,#87637); +#87639=PLANE('',#87638); +#87641=ORIENTED_EDGE('',*,*,#87640,.F.); +#87643=ORIENTED_EDGE('',*,*,#87642,.F.); +#87644=ORIENTED_EDGE('',*,*,#72803,.T.); +#87646=ORIENTED_EDGE('',*,*,#87645,.F.); +#87647=EDGE_LOOP('',(#87641,#87643,#87644,#87646)); +#87648=FACE_OUTER_BOUND('',#87647,.F.); +#87650=CARTESIAN_POINT('',(2.965E1,0.E0,-1.995E1)); +#87651=DIRECTION('',(1.E0,0.E0,0.E0)); +#87652=DIRECTION('',(0.E0,0.E0,-1.E0)); +#87653=AXIS2_PLACEMENT_3D('',#87650,#87651,#87652); +#87654=PLANE('',#87653); +#87655=ORIENTED_EDGE('',*,*,#87617,.T.); +#87656=ORIENTED_EDGE('',*,*,#72325,.T.); +#87658=ORIENTED_EDGE('',*,*,#87657,.F.); +#87660=ORIENTED_EDGE('',*,*,#87659,.F.); +#87661=ORIENTED_EDGE('',*,*,#82890,.F.); +#87662=EDGE_LOOP('',(#87655,#87656,#87658,#87660,#87661)); +#87663=FACE_OUTER_BOUND('',#87662,.F.); +#87665=CARTESIAN_POINT('',(2.95E1,-2.47E0,-1.78E1)); +#87666=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#87667=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#87668=AXIS2_PLACEMENT_3D('',#87665,#87666,#87667); +#87669=PLANE('',#87668); +#87671=ORIENTED_EDGE('',*,*,#87670,.F.); +#87673=ORIENTED_EDGE('',*,*,#87672,.T.); +#87674=ORIENTED_EDGE('',*,*,#87640,.T.); +#87676=ORIENTED_EDGE('',*,*,#87675,.T.); +#87677=EDGE_LOOP('',(#87671,#87673,#87674,#87676)); +#87678=FACE_OUTER_BOUND('',#87677,.F.); +#87680=CARTESIAN_POINT('',(2.745E1,-2.07E0,-1.765E1)); +#87681=DIRECTION('',(0.E0,0.E0,1.E0)); +#87682=DIRECTION('',(0.E0,-1.E0,0.E0)); +#87683=AXIS2_PLACEMENT_3D('',#87680,#87681,#87682); +#87684=PLANE('',#87683); +#87685=ORIENTED_EDGE('',*,*,#87670,.T.); +#87687=ORIENTED_EDGE('',*,*,#87686,.F.); +#87689=ORIENTED_EDGE('',*,*,#87688,.T.); +#87691=ORIENTED_EDGE('',*,*,#87690,.T.); +#87692=EDGE_LOOP('',(#87685,#87687,#87689,#87691)); +#87693=FACE_OUTER_BOUND('',#87692,.F.); +#87695=ORIENTED_EDGE('',*,*,#87694,.T.); +#87697=ORIENTED_EDGE('',*,*,#87696,.T.); +#87699=ORIENTED_EDGE('',*,*,#87698,.T.); +#87701=ORIENTED_EDGE('',*,*,#87700,.T.); +#87702=EDGE_LOOP('',(#87695,#87697,#87699,#87701)); +#87703=FACE_BOUND('',#87702,.F.); +#87705=CARTESIAN_POINT('',(2.885E1,-2.785E0,-1.995E1)); +#87706=DIRECTION('',(1.E0,0.E0,0.E0)); +#87707=DIRECTION('',(0.E0,1.E0,0.E0)); +#87708=AXIS2_PLACEMENT_3D('',#87705,#87706,#87707); +#87709=PLANE('',#87708); +#87711=ORIENTED_EDGE('',*,*,#87710,.T.); +#87713=ORIENTED_EDGE('',*,*,#87712,.T.); +#87715=ORIENTED_EDGE('',*,*,#87714,.F.); +#87716=ORIENTED_EDGE('',*,*,#87694,.F.); +#87717=EDGE_LOOP('',(#87711,#87713,#87715,#87716)); +#87718=FACE_OUTER_BOUND('',#87717,.F.); +#87720=CARTESIAN_POINT('',(2.825E1,-2.785E0,-1.995E1)); +#87721=DIRECTION('',(0.E0,-1.E0,0.E0)); +#87722=DIRECTION('',(1.E0,0.E0,0.E0)); +#87723=AXIS2_PLACEMENT_3D('',#87720,#87721,#87722); +#87724=PLANE('',#87723); +#87726=ORIENTED_EDGE('',*,*,#87725,.T.); +#87728=ORIENTED_EDGE('',*,*,#87727,.T.); +#87729=ORIENTED_EDGE('',*,*,#87710,.F.); +#87730=ORIENTED_EDGE('',*,*,#87700,.F.); +#87731=EDGE_LOOP('',(#87726,#87728,#87729,#87730)); +#87732=FACE_OUTER_BOUND('',#87731,.F.); +#87734=CARTESIAN_POINT('',(2.825E1,-2.155E0,-1.995E1)); +#87735=DIRECTION('',(-1.E0,0.E0,0.E0)); +#87736=DIRECTION('',(0.E0,-1.E0,0.E0)); +#87737=AXIS2_PLACEMENT_3D('',#87734,#87735,#87736); +#87738=PLANE('',#87737); +#87740=ORIENTED_EDGE('',*,*,#87739,.T.); +#87742=ORIENTED_EDGE('',*,*,#87741,.T.); +#87743=ORIENTED_EDGE('',*,*,#87725,.F.); +#87744=ORIENTED_EDGE('',*,*,#87698,.F.); +#87745=EDGE_LOOP('',(#87740,#87742,#87743,#87744)); +#87746=FACE_OUTER_BOUND('',#87745,.F.); +#87748=CARTESIAN_POINT('',(2.885E1,-2.155E0,-1.995E1)); +#87749=DIRECTION('',(0.E0,1.E0,0.E0)); +#87750=DIRECTION('',(-1.E0,0.E0,0.E0)); +#87751=AXIS2_PLACEMENT_3D('',#87748,#87749,#87750); +#87752=PLANE('',#87751); +#87753=ORIENTED_EDGE('',*,*,#87739,.F.); +#87754=ORIENTED_EDGE('',*,*,#87696,.F.); +#87755=ORIENTED_EDGE('',*,*,#87714,.T.); +#87757=ORIENTED_EDGE('',*,*,#87756,.T.); +#87758=EDGE_LOOP('',(#87753,#87754,#87755,#87757)); +#87759=FACE_OUTER_BOUND('',#87758,.F.); +#87761=CARTESIAN_POINT('',(4.3E0,0.E0,-1.065E1)); +#87762=DIRECTION('',(0.E0,0.E0,1.E0)); +#87763=DIRECTION('',(1.E0,0.E0,0.E0)); +#87764=AXIS2_PLACEMENT_3D('',#87761,#87762,#87763); +#87765=PLANE('',#87764); +#87767=ORIENTED_EDGE('',*,*,#87766,.F.); +#87769=ORIENTED_EDGE('',*,*,#87768,.F.); +#87771=ORIENTED_EDGE('',*,*,#87770,.F.); +#87773=ORIENTED_EDGE('',*,*,#87772,.F.); +#87774=EDGE_LOOP('',(#87767,#87769,#87771,#87773)); +#87775=FACE_OUTER_BOUND('',#87774,.F.); +#87777=CARTESIAN_POINT('',(4.3E0,0.E0,-1.065E1)); +#87778=DIRECTION('',(0.E0,0.E0,1.E0)); +#87779=DIRECTION('',(1.E0,0.E0,0.E0)); +#87780=AXIS2_PLACEMENT_3D('',#87777,#87778,#87779); +#87781=PLANE('',#87780); +#87782=ORIENTED_EDGE('',*,*,#87741,.F.); +#87783=ORIENTED_EDGE('',*,*,#87756,.F.); +#87784=ORIENTED_EDGE('',*,*,#87712,.F.); +#87785=ORIENTED_EDGE('',*,*,#87727,.F.); +#87786=EDGE_LOOP('',(#87782,#87783,#87784,#87785)); +#87787=FACE_OUTER_BOUND('',#87786,.F.); +#87789=CARTESIAN_POINT('',(2.825E1,6.645E0,-1.995E1)); +#87790=DIRECTION('',(-1.E0,0.E0,0.E0)); +#87791=DIRECTION('',(0.E0,-1.E0,0.E0)); +#87792=AXIS2_PLACEMENT_3D('',#87789,#87790,#87791); +#87793=PLANE('',#87792); +#87795=ORIENTED_EDGE('',*,*,#87794,.T.); +#87796=ORIENTED_EDGE('',*,*,#87766,.T.); +#87798=ORIENTED_EDGE('',*,*,#87797,.F.); +#87800=ORIENTED_EDGE('',*,*,#87799,.F.); +#87801=EDGE_LOOP('',(#87795,#87796,#87798,#87800)); +#87802=FACE_OUTER_BOUND('',#87801,.F.); +#87804=CARTESIAN_POINT('',(2.885E1,6.645E0,-1.995E1)); +#87805=DIRECTION('',(0.E0,1.E0,0.E0)); +#87806=DIRECTION('',(-1.E0,0.E0,0.E0)); +#87807=AXIS2_PLACEMENT_3D('',#87804,#87805,#87806); +#87808=PLANE('',#87807); +#87809=ORIENTED_EDGE('',*,*,#87794,.F.); +#87811=ORIENTED_EDGE('',*,*,#87810,.F.); +#87813=ORIENTED_EDGE('',*,*,#87812,.T.); +#87814=ORIENTED_EDGE('',*,*,#87768,.T.); +#87815=EDGE_LOOP('',(#87809,#87811,#87813,#87814)); +#87816=FACE_OUTER_BOUND('',#87815,.F.); +#87818=CARTESIAN_POINT('',(2.745E1,6.73E0,-1.765E1)); +#87819=DIRECTION('',(0.E0,0.E0,1.E0)); +#87820=DIRECTION('',(0.E0,-1.E0,0.E0)); +#87821=AXIS2_PLACEMENT_3D('',#87818,#87819,#87820); +#87822=PLANE('',#87821); +#87824=ORIENTED_EDGE('',*,*,#87823,.T.); +#87826=ORIENTED_EDGE('',*,*,#87825,.F.); +#87828=ORIENTED_EDGE('',*,*,#87827,.T.); +#87830=ORIENTED_EDGE('',*,*,#87829,.T.); +#87831=EDGE_LOOP('',(#87824,#87826,#87828,#87830)); +#87832=FACE_OUTER_BOUND('',#87831,.F.); +#87834=ORIENTED_EDGE('',*,*,#87833,.T.); +#87835=ORIENTED_EDGE('',*,*,#87810,.T.); +#87836=ORIENTED_EDGE('',*,*,#87799,.T.); +#87838=ORIENTED_EDGE('',*,*,#87837,.T.); +#87839=EDGE_LOOP('',(#87834,#87835,#87836,#87838)); +#87840=FACE_BOUND('',#87839,.F.); +#87842=CARTESIAN_POINT('',(2.885E1,6.015E0,-1.995E1)); +#87843=DIRECTION('',(1.E0,0.E0,0.E0)); +#87844=DIRECTION('',(0.E0,1.E0,0.E0)); +#87845=AXIS2_PLACEMENT_3D('',#87842,#87843,#87844); +#87846=PLANE('',#87845); +#87848=ORIENTED_EDGE('',*,*,#87847,.T.); +#87849=ORIENTED_EDGE('',*,*,#87770,.T.); +#87850=ORIENTED_EDGE('',*,*,#87812,.F.); +#87851=ORIENTED_EDGE('',*,*,#87833,.F.); +#87852=EDGE_LOOP('',(#87848,#87849,#87850,#87851)); +#87853=FACE_OUTER_BOUND('',#87852,.F.); +#87855=CARTESIAN_POINT('',(2.825E1,6.015E0,-1.995E1)); +#87856=DIRECTION('',(0.E0,-1.E0,0.E0)); +#87857=DIRECTION('',(1.E0,0.E0,0.E0)); +#87858=AXIS2_PLACEMENT_3D('',#87855,#87856,#87857); +#87859=PLANE('',#87858); +#87860=ORIENTED_EDGE('',*,*,#87797,.T.); +#87861=ORIENTED_EDGE('',*,*,#87772,.T.); +#87862=ORIENTED_EDGE('',*,*,#87847,.F.); +#87863=ORIENTED_EDGE('',*,*,#87837,.F.); +#87864=EDGE_LOOP('',(#87860,#87861,#87862,#87863)); +#87865=FACE_OUTER_BOUND('',#87864,.F.); +#87867=CARTESIAN_POINT('',(2.95E1,6.33E0,-1.78E1)); +#87868=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#87869=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#87870=AXIS2_PLACEMENT_3D('',#87867,#87868,#87869); +#87871=PLANE('',#87870); +#87872=ORIENTED_EDGE('',*,*,#87659,.T.); +#87874=ORIENTED_EDGE('',*,*,#87873,.T.); +#87875=ORIENTED_EDGE('',*,*,#87823,.F.); +#87877=ORIENTED_EDGE('',*,*,#87876,.T.); +#87878=EDGE_LOOP('',(#87872,#87874,#87875,#87877)); +#87879=FACE_OUTER_BOUND('',#87878,.F.); +#87881=CARTESIAN_POINT('',(2.745E1,5.93E0,-1.765E1)); +#87882=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#87883=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#87884=AXIS2_PLACEMENT_3D('',#87881,#87882,#87883); +#87885=PLANE('',#87884); +#87886=ORIENTED_EDGE('',*,*,#87873,.F.); +#87888=ORIENTED_EDGE('',*,*,#87887,.F.); +#87890=ORIENTED_EDGE('',*,*,#87889,.F.); +#87891=ORIENTED_EDGE('',*,*,#87825,.T.); +#87892=EDGE_LOOP('',(#87886,#87888,#87890,#87891)); +#87893=FACE_OUTER_BOUND('',#87892,.F.); +#87895=CARTESIAN_POINT('',(2.855E1,5.542511336474E0,-1.895E1)); +#87896=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#87897=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#87898=AXIS2_PLACEMENT_3D('',#87895,#87896,#87897); +#87899=PLANE('',#87898); +#87900=ORIENTED_EDGE('',*,*,#87887,.T.); +#87901=ORIENTED_EDGE('',*,*,#87657,.T.); +#87902=ORIENTED_EDGE('',*,*,#72323,.F.); +#87904=ORIENTED_EDGE('',*,*,#87903,.T.); +#87905=EDGE_LOOP('',(#87900,#87901,#87902,#87904)); +#87906=FACE_OUTER_BOUND('',#87905,.F.); +#87908=CARTESIAN_POINT('',(2.745E1,0.E0,-1.995E1)); +#87909=DIRECTION('',(1.E0,0.E0,0.E0)); +#87910=DIRECTION('',(0.E0,0.E0,-1.E0)); +#87911=AXIS2_PLACEMENT_3D('',#87908,#87909,#87910); +#87912=PLANE('',#87911); +#87914=ORIENTED_EDGE('',*,*,#87913,.F.); +#87916=ORIENTED_EDGE('',*,*,#87915,.F.); +#87917=ORIENTED_EDGE('',*,*,#72799,.T.); +#87919=ORIENTED_EDGE('',*,*,#87918,.F.); +#87920=EDGE_LOOP('',(#87914,#87916,#87917,#87919)); +#87921=FACE_OUTER_BOUND('',#87920,.F.); +#87923=CARTESIAN_POINT('',(2.745E1,0.E0,-1.995E1)); +#87924=DIRECTION('',(1.E0,0.E0,0.E0)); +#87925=DIRECTION('',(0.E0,0.E0,-1.E0)); +#87926=AXIS2_PLACEMENT_3D('',#87923,#87924,#87925); +#87927=PLANE('',#87926); +#87928=ORIENTED_EDGE('',*,*,#82822,.F.); +#87929=ORIENTED_EDGE('',*,*,#82894,.T.); +#87931=ORIENTED_EDGE('',*,*,#87930,.F.); +#87932=ORIENTED_EDGE('',*,*,#87903,.F.); +#87933=ORIENTED_EDGE('',*,*,#72321,.T.); +#87934=EDGE_LOOP('',(#87928,#87929,#87931,#87932,#87933)); +#87935=FACE_OUTER_BOUND('',#87934,.F.); +#87937=CARTESIAN_POINT('',(2.76E1,-2.47E0,-1.78E1)); +#87938=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#87939=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#87940=AXIS2_PLACEMENT_3D('',#87937,#87938,#87939); +#87941=PLANE('',#87940); +#87943=ORIENTED_EDGE('',*,*,#87942,.T.); +#87944=ORIENTED_EDGE('',*,*,#87688,.F.); +#87946=ORIENTED_EDGE('',*,*,#87945,.T.); +#87947=ORIENTED_EDGE('',*,*,#87913,.T.); +#87948=EDGE_LOOP('',(#87943,#87944,#87946,#87947)); +#87949=FACE_OUTER_BOUND('',#87948,.F.); +#87951=CARTESIAN_POINT('',(2.745E1,-1.77E0,-1.795E1)); +#87952=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#87953=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#87954=AXIS2_PLACEMENT_3D('',#87951,#87952,#87953); +#87955=PLANE('',#87954); +#87956=ORIENTED_EDGE('',*,*,#87672,.F.); +#87957=ORIENTED_EDGE('',*,*,#87690,.F.); +#87958=ORIENTED_EDGE('',*,*,#87942,.F.); +#87960=ORIENTED_EDGE('',*,*,#87959,.F.); +#87961=EDGE_LOOP('',(#87956,#87957,#87958,#87960)); +#87962=FACE_OUTER_BOUND('',#87961,.F.); +#87964=CARTESIAN_POINT('',(2.855E1,-1.682511336474E0,-1.895E1)); +#87965=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#87966=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#87967=AXIS2_PLACEMENT_3D('',#87964,#87965,#87966); +#87968=PLANE('',#87967); +#87969=ORIENTED_EDGE('',*,*,#87642,.T.); +#87970=ORIENTED_EDGE('',*,*,#87959,.T.); +#87971=ORIENTED_EDGE('',*,*,#87918,.T.); +#87972=ORIENTED_EDGE('',*,*,#72797,.F.); +#87973=EDGE_LOOP('',(#87969,#87970,#87971,#87972)); +#87974=FACE_OUTER_BOUND('',#87973,.F.); +#87976=CARTESIAN_POINT('',(2.745E1,-2.87E0,-1.765E1)); +#87977=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#87978=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#87979=AXIS2_PLACEMENT_3D('',#87976,#87977,#87978); +#87980=PLANE('',#87979); +#87981=ORIENTED_EDGE('',*,*,#87675,.F.); +#87983=ORIENTED_EDGE('',*,*,#87982,.F.); +#87984=ORIENTED_EDGE('',*,*,#87945,.F.); +#87985=ORIENTED_EDGE('',*,*,#87686,.T.); +#87986=EDGE_LOOP('',(#87981,#87983,#87984,#87985)); +#87987=FACE_OUTER_BOUND('',#87986,.F.); +#87989=CARTESIAN_POINT('',(2.855E1,-3.257488663526E0,-1.895E1)); +#87990=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#87991=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#87992=AXIS2_PLACEMENT_3D('',#87989,#87990,#87991); +#87993=PLANE('',#87992); +#87994=ORIENTED_EDGE('',*,*,#87645,.T.); +#87995=ORIENTED_EDGE('',*,*,#72801,.F.); +#87996=ORIENTED_EDGE('',*,*,#87915,.T.); +#87997=ORIENTED_EDGE('',*,*,#87982,.T.); +#87998=EDGE_LOOP('',(#87994,#87995,#87996,#87997)); +#87999=FACE_OUTER_BOUND('',#87998,.F.); +#88001=CARTESIAN_POINT('',(2.76E1,6.33E0,-1.78E1)); +#88002=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#88003=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#88004=AXIS2_PLACEMENT_3D('',#88001,#88002,#88003); +#88005=PLANE('',#88004); +#88007=ORIENTED_EDGE('',*,*,#88006,.T.); +#88008=ORIENTED_EDGE('',*,*,#87827,.F.); +#88009=ORIENTED_EDGE('',*,*,#87889,.T.); +#88010=ORIENTED_EDGE('',*,*,#87930,.T.); +#88011=EDGE_LOOP('',(#88007,#88008,#88009,#88010)); +#88012=FACE_OUTER_BOUND('',#88011,.F.); +#88014=CARTESIAN_POINT('',(2.745E1,7.03E0,-1.795E1)); +#88015=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#88016=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#88017=AXIS2_PLACEMENT_3D('',#88014,#88015,#88016); +#88018=PLANE('',#88017); +#88019=ORIENTED_EDGE('',*,*,#87876,.F.); +#88020=ORIENTED_EDGE('',*,*,#87829,.F.); +#88021=ORIENTED_EDGE('',*,*,#88006,.F.); +#88022=ORIENTED_EDGE('',*,*,#82892,.T.); +#88023=EDGE_LOOP('',(#88019,#88020,#88021,#88022)); +#88024=FACE_OUTER_BOUND('',#88023,.F.); +#88026=CARTESIAN_POINT('',(3.19E1,6.33E0,-1.78E1)); +#88027=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#88028=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#88029=AXIS2_PLACEMENT_3D('',#88026,#88027,#88028); +#88030=PLANE('',#88029); +#88032=ORIENTED_EDGE('',*,*,#88031,.T.); +#88033=ORIENTED_EDGE('',*,*,#87430,.F.); +#88034=ORIENTED_EDGE('',*,*,#87492,.T.); +#88035=ORIENTED_EDGE('',*,*,#87534,.T.); +#88036=EDGE_LOOP('',(#88032,#88033,#88034,#88035)); +#88037=FACE_OUTER_BOUND('',#88036,.F.); +#88039=CARTESIAN_POINT('',(3.175E1,7.03E0,-1.795E1)); +#88040=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#88041=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#88042=AXIS2_PLACEMENT_3D('',#88039,#88040,#88041); +#88043=PLANE('',#88042); +#88044=ORIENTED_EDGE('',*,*,#87479,.F.); +#88045=ORIENTED_EDGE('',*,*,#87432,.F.); +#88046=ORIENTED_EDGE('',*,*,#88031,.F.); +#88047=ORIENTED_EDGE('',*,*,#83307,.T.); +#88048=EDGE_LOOP('',(#88044,#88045,#88046,#88047)); +#88049=FACE_OUTER_BOUND('',#88048,.F.); +#88051=CARTESIAN_POINT('',(3.62E1,6.33E0,-1.78E1)); +#88052=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#88053=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#88054=AXIS2_PLACEMENT_3D('',#88051,#88052,#88053); +#88055=PLANE('',#88054); +#88057=ORIENTED_EDGE('',*,*,#88056,.T.); +#88058=ORIENTED_EDGE('',*,*,#87033,.F.); +#88059=ORIENTED_EDGE('',*,*,#87095,.T.); +#88060=ORIENTED_EDGE('',*,*,#87137,.T.); +#88061=EDGE_LOOP('',(#88057,#88058,#88059,#88060)); +#88062=FACE_OUTER_BOUND('',#88061,.F.); +#88064=CARTESIAN_POINT('',(3.605E1,7.03E0,-1.795E1)); +#88065=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#88066=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#88067=AXIS2_PLACEMENT_3D('',#88064,#88065,#88066); +#88068=PLANE('',#88067); +#88069=ORIENTED_EDGE('',*,*,#87082,.F.); +#88070=ORIENTED_EDGE('',*,*,#87035,.F.); +#88071=ORIENTED_EDGE('',*,*,#88056,.F.); +#88072=ORIENTED_EDGE('',*,*,#83723,.T.); +#88073=EDGE_LOOP('',(#88069,#88070,#88071,#88072)); +#88074=FACE_OUTER_BOUND('',#88073,.F.); +#88076=CARTESIAN_POINT('',(4.05E1,6.33E0,-1.78E1)); +#88077=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#88078=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#88079=AXIS2_PLACEMENT_3D('',#88076,#88077,#88078); +#88080=PLANE('',#88079); +#88082=ORIENTED_EDGE('',*,*,#88081,.T.); +#88083=ORIENTED_EDGE('',*,*,#86636,.F.); +#88084=ORIENTED_EDGE('',*,*,#86698,.T.); +#88085=ORIENTED_EDGE('',*,*,#86740,.T.); +#88086=EDGE_LOOP('',(#88082,#88083,#88084,#88085)); +#88087=FACE_OUTER_BOUND('',#88086,.F.); +#88089=CARTESIAN_POINT('',(4.035E1,7.03E0,-1.795E1)); +#88090=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#88091=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#88092=AXIS2_PLACEMENT_3D('',#88089,#88090,#88091); +#88093=PLANE('',#88092); +#88094=ORIENTED_EDGE('',*,*,#86685,.F.); +#88095=ORIENTED_EDGE('',*,*,#86638,.F.); +#88096=ORIENTED_EDGE('',*,*,#88081,.F.); +#88097=ORIENTED_EDGE('',*,*,#84139,.T.); +#88098=EDGE_LOOP('',(#88094,#88095,#88096,#88097)); +#88099=FACE_OUTER_BOUND('',#88098,.F.); +#88101=CARTESIAN_POINT('',(4.48E1,6.33E0,-1.78E1)); +#88102=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#88103=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#88104=AXIS2_PLACEMENT_3D('',#88101,#88102,#88103); +#88105=PLANE('',#88104); +#88107=ORIENTED_EDGE('',*,*,#88106,.T.); +#88108=ORIENTED_EDGE('',*,*,#86239,.F.); +#88109=ORIENTED_EDGE('',*,*,#86301,.T.); +#88110=ORIENTED_EDGE('',*,*,#86343,.T.); +#88111=EDGE_LOOP('',(#88107,#88108,#88109,#88110)); +#88112=FACE_OUTER_BOUND('',#88111,.F.); +#88114=CARTESIAN_POINT('',(4.465E1,7.03E0,-1.795E1)); +#88115=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#88116=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#88117=AXIS2_PLACEMENT_3D('',#88114,#88115,#88116); +#88118=PLANE('',#88117); +#88119=ORIENTED_EDGE('',*,*,#86288,.F.); +#88120=ORIENTED_EDGE('',*,*,#86241,.F.); +#88121=ORIENTED_EDGE('',*,*,#88106,.F.); +#88122=ORIENTED_EDGE('',*,*,#84555,.T.); +#88123=EDGE_LOOP('',(#88119,#88120,#88121,#88122)); +#88124=FACE_OUTER_BOUND('',#88123,.F.); +#88126=CARTESIAN_POINT('',(4.91E1,6.33E0,-1.78E1)); +#88127=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#88128=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#88129=AXIS2_PLACEMENT_3D('',#88126,#88127,#88128); +#88130=PLANE('',#88129); +#88132=ORIENTED_EDGE('',*,*,#88131,.T.); +#88133=ORIENTED_EDGE('',*,*,#85842,.F.); +#88134=ORIENTED_EDGE('',*,*,#85904,.T.); +#88135=ORIENTED_EDGE('',*,*,#85946,.T.); +#88136=EDGE_LOOP('',(#88132,#88133,#88134,#88135)); +#88137=FACE_OUTER_BOUND('',#88136,.F.); +#88139=CARTESIAN_POINT('',(4.895E1,7.03E0,-1.795E1)); +#88140=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#88141=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#88142=AXIS2_PLACEMENT_3D('',#88139,#88140,#88141); +#88143=PLANE('',#88142); +#88144=ORIENTED_EDGE('',*,*,#85891,.F.); +#88145=ORIENTED_EDGE('',*,*,#85844,.F.); +#88146=ORIENTED_EDGE('',*,*,#88131,.F.); +#88147=ORIENTED_EDGE('',*,*,#84971,.T.); +#88148=EDGE_LOOP('',(#88144,#88145,#88146,#88147)); +#88149=FACE_OUTER_BOUND('',#88148,.F.); +#88151=CARTESIAN_POINT('',(5.166666665077E1,8.415214945424E0, +-1.901343038463E1)); +#88152=DIRECTION('',(-7.145103431101E-1,5.730991488572E-1,4.012883441714E-1)); +#88153=DIRECTION('',(-6.996248777657E-1,-5.852926082243E-1,-4.098262963371E-1)); +#88154=AXIS2_PLACEMENT_3D('',#88151,#88152,#88153); +#88155=PLANE('',#88154); +#88156=ORIENTED_EDGE('',*,*,#85389,.F.); +#88158=ORIENTED_EDGE('',*,*,#88157,.T.); +#88160=ORIENTED_EDGE('',*,*,#88159,.T.); +#88162=ORIENTED_EDGE('',*,*,#88161,.F.); +#88163=EDGE_LOOP('',(#88156,#88158,#88160,#88162)); +#88164=FACE_OUTER_BOUND('',#88163,.F.); +#88166=CARTESIAN_POINT('',(5.163333331347E1,8.443100969140E0, +-1.899390438061E1)); +#88167=DIRECTION('',(-7.145103431101E-1,-5.730991488572E-1,-4.012883441714E-1)); +#88168=DIRECTION('',(6.996248777657E-1,-5.852926082243E-1,-4.098262963371E-1)); +#88169=AXIS2_PLACEMENT_3D('',#88166,#88167,#88168); +#88170=PLANE('',#88169); +#88171=ORIENTED_EDGE('',*,*,#85455,.F.); +#88173=ORIENTED_EDGE('',*,*,#88172,.T.); +#88175=ORIENTED_EDGE('',*,*,#88174,.T.); +#88176=ORIENTED_EDGE('',*,*,#88157,.F.); +#88177=EDGE_LOOP('',(#88171,#88173,#88175,#88176)); +#88178=FACE_OUTER_BOUND('',#88177,.F.); +#88180=CARTESIAN_POINT('',(5.158333331347E1,8.526759040286E0, +-1.893532636856E1)); +#88181=DIRECTION('',(-8.981380971709E-1,-3.601922090061E-1,-2.522092999505E-1)); +#88182=DIRECTION('',(4.397134958245E-1,-7.357116583514E-1,-5.151508491264E-1)); +#88183=AXIS2_PLACEMENT_3D('',#88180,#88181,#88182); +#88184=PLANE('',#88183); +#88185=ORIENTED_EDGE('',*,*,#85453,.F.); +#88187=ORIENTED_EDGE('',*,*,#88186,.T.); +#88189=ORIENTED_EDGE('',*,*,#88188,.T.); +#88190=ORIENTED_EDGE('',*,*,#88172,.F.); +#88191=EDGE_LOOP('',(#88185,#88187,#88189,#88190)); +#88192=FACE_OUTER_BOUND('',#88191,.F.); +#88194=CARTESIAN_POINT('',(5.154999998063E1,8.638303135147E0, +-1.885722235250E1)); +#88195=DIRECTION('',(-9.713211546833E-1,-1.947708867090E-1,-1.363800430975E-1)); +#88196=DIRECTION('',(2.377713491249E-1,-7.956597095200E-1,-5.571269264556E-1)); +#88197=AXIS2_PLACEMENT_3D('',#88194,#88195,#88196); +#88198=PLANE('',#88197); +#88199=ORIENTED_EDGE('',*,*,#85451,.F.); +#88201=ORIENTED_EDGE('',*,*,#88200,.T.); +#88203=ORIENTED_EDGE('',*,*,#88202,.T.); +#88204=ORIENTED_EDGE('',*,*,#88186,.F.); +#88205=EDGE_LOOP('',(#88199,#88201,#88203,#88204)); +#88206=FACE_OUTER_BOUND('',#88205,.F.); +#88208=CARTESIAN_POINT('',(5.154999998063E1,8.721961206293E0, +-1.879864434045E1)); +#88209=DIRECTION('',(-1.E0,0.E0,0.E0)); +#88210=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#88211=AXIS2_PLACEMENT_3D('',#88208,#88209,#88210); +#88212=PLANE('',#88211); +#88213=ORIENTED_EDGE('',*,*,#85449,.F.); +#88215=ORIENTED_EDGE('',*,*,#88214,.T.); +#88217=ORIENTED_EDGE('',*,*,#88216,.T.); +#88218=ORIENTED_EDGE('',*,*,#88200,.F.); +#88219=EDGE_LOOP('',(#88213,#88215,#88217,#88218)); +#88220=FACE_OUTER_BOUND('',#88219,.F.); +#88222=CARTESIAN_POINT('',(5.158333331347E1,8.861391402990E0, +-1.870101426567E1)); +#88223=DIRECTION('',(-9.813591553412E-1,1.574268900469E-1,1.102314951277E-1)); +#88224=DIRECTION('',(-1.921827469570E-1,-8.038823582795E-1,-5.628844871011E-1)); +#88225=AXIS2_PLACEMENT_3D('',#88222,#88223,#88224); +#88226=PLANE('',#88225); +#88227=ORIENTED_EDGE('',*,*,#85447,.F.); +#88229=ORIENTED_EDGE('',*,*,#88228,.T.); +#88231=ORIENTED_EDGE('',*,*,#88230,.T.); +#88232=ORIENTED_EDGE('',*,*,#88214,.F.); +#88233=EDGE_LOOP('',(#88227,#88229,#88231,#88232)); +#88234=FACE_OUTER_BOUND('',#88233,.F.); +#88236=CARTESIAN_POINT('',(5.163333331347E1,8.945049474136E0, +-1.864243625362E1)); +#88237=DIRECTION('',(-8.981380971709E-1,3.601922090061E-1,2.522092999505E-1)); +#88238=DIRECTION('',(-4.397134958245E-1,-7.357116583514E-1,-5.151508491264E-1)); +#88239=AXIS2_PLACEMENT_3D('',#88236,#88237,#88238); +#88240=PLANE('',#88239); +#88241=ORIENTED_EDGE('',*,*,#85445,.F.); +#88243=ORIENTED_EDGE('',*,*,#88242,.T.); +#88245=ORIENTED_EDGE('',*,*,#88244,.T.); +#88246=ORIENTED_EDGE('',*,*,#88228,.F.); +#88247=EDGE_LOOP('',(#88241,#88243,#88245,#88246)); +#88248=FACE_OUTER_BOUND('',#88247,.F.); +#88250=CARTESIAN_POINT('',(5.171666665077E1,9.028707545282E0, +-1.858385824157E1)); +#88251=DIRECTION('',(-7.747956104588E-1,5.178775896599E-1,3.626217921497E-1)); +#88252=DIRECTION('',(-6.322118015458E-1,-6.346754082134E-1,-4.444045051474E-1)); +#88253=AXIS2_PLACEMENT_3D('',#88250,#88251,#88252); +#88254=PLANE('',#88253); +#88255=ORIENTED_EDGE('',*,*,#85443,.F.); +#88257=ORIENTED_EDGE('',*,*,#88256,.T.); +#88259=ORIENTED_EDGE('',*,*,#88258,.T.); +#88260=ORIENTED_EDGE('',*,*,#88242,.F.); +#88261=EDGE_LOOP('',(#88255,#88257,#88259,#88260)); +#88262=FACE_OUTER_BOUND('',#88261,.F.); +#88264=CARTESIAN_POINT('',(5.179999998808E1,9.056593568998E0, +-1.856433223755E1)); +#88265=DIRECTION('',(-3.781725790205E-1,7.583178107423E-1,5.309798474405E-1)); +#88266=DIRECTION('',(-9.257351135595E-1,-3.097808411987E-1,-2.169108802003E-1)); +#88267=AXIS2_PLACEMENT_3D('',#88264,#88265,#88266); +#88268=PLANE('',#88267); +#88269=ORIENTED_EDGE('',*,*,#85441,.F.); +#88271=ORIENTED_EDGE('',*,*,#88270,.T.); +#88273=ORIENTED_EDGE('',*,*,#88272,.T.); +#88274=ORIENTED_EDGE('',*,*,#88256,.F.); +#88275=EDGE_LOOP('',(#88269,#88271,#88273,#88274)); +#88276=FACE_OUTER_BOUND('',#88275,.F.); +#88278=CARTESIAN_POINT('',(5.186666663885E1,9.056593568998E0, +-1.856433223755E1)); +#88279=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#88280=DIRECTION('',(-1.E0,0.E0,0.E0)); +#88281=AXIS2_PLACEMENT_3D('',#88278,#88279,#88280); +#88282=PLANE('',#88281); +#88283=ORIENTED_EDGE('',*,*,#85439,.F.); +#88285=ORIENTED_EDGE('',*,*,#88284,.T.); +#88287=ORIENTED_EDGE('',*,*,#88286,.T.); +#88288=ORIENTED_EDGE('',*,*,#88270,.F.); +#88289=EDGE_LOOP('',(#88283,#88285,#88287,#88288)); +#88290=FACE_OUTER_BOUND('',#88289,.F.); +#88292=CARTESIAN_POINT('',(5.194999996424E1,9.028707545282E0, +-1.858385824157E1)); +#88293=DIRECTION('',(3.781726253818E-1,7.583177952284E-1,5.309798365775E-1)); +#88294=DIRECTION('',(-9.257350946204E-1,3.097808791756E-1,2.169109067920E-1)); +#88295=AXIS2_PLACEMENT_3D('',#88292,#88293,#88294); +#88296=PLANE('',#88295); +#88297=ORIENTED_EDGE('',*,*,#85437,.F.); +#88299=ORIENTED_EDGE('',*,*,#88298,.T.); +#88301=ORIENTED_EDGE('',*,*,#88300,.T.); +#88302=ORIENTED_EDGE('',*,*,#88284,.F.); +#88303=EDGE_LOOP('',(#88297,#88299,#88301,#88302)); +#88304=FACE_OUTER_BOUND('',#88303,.F.); +#88306=CARTESIAN_POINT('',(5.203333331347E1,8.945049474136E0, +-1.864243625362E1)); +#88307=DIRECTION('',(7.747955661588E-1,5.178776341325E-1,3.626218232898E-1)); +#88308=DIRECTION('',(-6.322118558368E-1,6.346753719250E-1,4.444044797380E-1)); +#88309=AXIS2_PLACEMENT_3D('',#88306,#88307,#88308); +#88310=PLANE('',#88309); +#88311=ORIENTED_EDGE('',*,*,#85435,.F.); +#88313=ORIENTED_EDGE('',*,*,#88312,.T.); +#88315=ORIENTED_EDGE('',*,*,#88314,.T.); +#88316=ORIENTED_EDGE('',*,*,#88298,.F.); +#88317=EDGE_LOOP('',(#88311,#88313,#88315,#88316)); +#88318=FACE_OUTER_BOUND('',#88317,.F.); +#88320=CARTESIAN_POINT('',(5.208333331347E1,8.861391402990E0, +-1.870101426567E1)); +#88321=DIRECTION('',(8.981380971709E-1,3.601922090061E-1,2.522092999505E-1)); +#88322=DIRECTION('',(-4.397134958245E-1,7.357116583514E-1,5.151508491264E-1)); +#88323=AXIS2_PLACEMENT_3D('',#88320,#88321,#88322); +#88324=PLANE('',#88323); +#88325=ORIENTED_EDGE('',*,*,#85433,.F.); +#88327=ORIENTED_EDGE('',*,*,#88326,.T.); +#88329=ORIENTED_EDGE('',*,*,#88328,.T.); +#88330=ORIENTED_EDGE('',*,*,#88312,.F.); +#88331=EDGE_LOOP('',(#88325,#88327,#88329,#88330)); +#88332=FACE_OUTER_BOUND('',#88331,.F.); +#88334=CARTESIAN_POINT('',(5.211666666269E1,8.721961206293E0, +-1.879864434045E1)); +#88335=DIRECTION('',(9.813591375178E-1,1.574269646006E-1,1.102315473308E-1)); +#88336=DIRECTION('',(-1.921828379702E-1,8.038823436794E-1,5.628844768780E-1)); +#88337=AXIS2_PLACEMENT_3D('',#88334,#88335,#88336); +#88338=PLANE('',#88337); +#88339=ORIENTED_EDGE('',*,*,#85431,.F.); +#88341=ORIENTED_EDGE('',*,*,#88340,.T.); +#88343=ORIENTED_EDGE('',*,*,#88342,.T.); +#88344=ORIENTED_EDGE('',*,*,#88326,.F.); +#88345=EDGE_LOOP('',(#88339,#88341,#88343,#88344)); +#88346=FACE_OUTER_BOUND('',#88345,.F.); +#88348=CARTESIAN_POINT('',(5.211666666269E1,8.638303135147E0, +-1.885722235250E1)); +#88349=DIRECTION('',(1.E0,0.E0,0.E0)); +#88350=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#88351=AXIS2_PLACEMENT_3D('',#88348,#88349,#88350); +#88352=PLANE('',#88351); +#88353=ORIENTED_EDGE('',*,*,#85429,.F.); +#88355=ORIENTED_EDGE('',*,*,#88354,.T.); +#88357=ORIENTED_EDGE('',*,*,#88356,.T.); +#88358=ORIENTED_EDGE('',*,*,#88340,.F.); +#88359=EDGE_LOOP('',(#88353,#88355,#88357,#88358)); +#88360=FACE_OUTER_BOUND('',#88359,.F.); +#88362=CARTESIAN_POINT('',(5.208333331347E1,8.526759040286E0, +-1.893532636856E1)); +#88363=DIRECTION('',(9.713211276800E-1,-1.947709770707E-1,-1.363801063693E-1)); +#88364=DIRECTION('',(2.377714594361E-1,7.956596874002E-1,5.571269109672E-1)); +#88365=AXIS2_PLACEMENT_3D('',#88362,#88363,#88364); +#88366=PLANE('',#88365); +#88367=ORIENTED_EDGE('',*,*,#85427,.F.); +#88369=ORIENTED_EDGE('',*,*,#88368,.T.); +#88371=ORIENTED_EDGE('',*,*,#88370,.T.); +#88372=ORIENTED_EDGE('',*,*,#88354,.F.); +#88373=EDGE_LOOP('',(#88367,#88369,#88371,#88372)); +#88374=FACE_OUTER_BOUND('',#88373,.F.); +#88376=CARTESIAN_POINT('',(5.203333331347E1,8.443100969140E0, +-1.899390438061E1)); +#88377=DIRECTION('',(8.981380971709E-1,-3.601922090061E-1,-2.522092999505E-1)); +#88378=DIRECTION('',(4.397134958245E-1,7.357116583514E-1,5.151508491264E-1)); +#88379=AXIS2_PLACEMENT_3D('',#88376,#88377,#88378); +#88380=PLANE('',#88379); +#88381=ORIENTED_EDGE('',*,*,#85425,.F.); +#88383=ORIENTED_EDGE('',*,*,#88382,.T.); +#88385=ORIENTED_EDGE('',*,*,#88384,.T.); +#88386=ORIENTED_EDGE('',*,*,#88368,.F.); +#88387=EDGE_LOOP('',(#88381,#88383,#88385,#88386)); +#88388=FACE_OUTER_BOUND('',#88387,.F.); +#88390=CARTESIAN_POINT('',(5.199999996424E1,8.415214945424E0, +-1.901343038463E1)); +#88391=DIRECTION('',(7.145102180353E-1,-5.730992534924E-1,-4.012884174377E-1)); +#88392=DIRECTION('',(6.996250055016E-1,5.852925057690E-1,4.098262245971E-1)); +#88393=AXIS2_PLACEMENT_3D('',#88390,#88391,#88392); +#88394=PLANE('',#88393); +#88395=ORIENTED_EDGE('',*,*,#85423,.F.); +#88397=ORIENTED_EDGE('',*,*,#88396,.T.); +#88399=ORIENTED_EDGE('',*,*,#88398,.T.); +#88400=ORIENTED_EDGE('',*,*,#88382,.F.); +#88401=EDGE_LOOP('',(#88395,#88397,#88399,#88400)); +#88402=FACE_OUTER_BOUND('',#88401,.F.); +#88404=CARTESIAN_POINT('',(5.203333331347E1,8.387328921709E0, +-1.903295638864E1)); +#88405=DIRECTION('',(7.145102180353E-1,5.730992534924E-1,4.012884174377E-1)); +#88406=DIRECTION('',(-6.996250055016E-1,5.852925057690E-1,4.098262245971E-1)); +#88407=AXIS2_PLACEMENT_3D('',#88404,#88405,#88406); +#88408=PLANE('',#88407); +#88409=ORIENTED_EDGE('',*,*,#85421,.F.); +#88411=ORIENTED_EDGE('',*,*,#88410,.T.); +#88413=ORIENTED_EDGE('',*,*,#88412,.T.); +#88414=ORIENTED_EDGE('',*,*,#88396,.F.); +#88415=EDGE_LOOP('',(#88409,#88411,#88413,#88414)); +#88416=FACE_OUTER_BOUND('',#88415,.F.); +#88418=CARTESIAN_POINT('',(5.209999996424E1,8.303670850563E0, +-1.909153440069E1)); +#88419=DIRECTION('',(8.373791801952E-1,4.477669016663E-1,3.135297599076E-1)); +#88420=DIRECTION('',(-5.466224552427E-1,6.859408673020E-1,4.803009660509E-1)); +#88421=AXIS2_PLACEMENT_3D('',#88418,#88419,#88420); +#88422=PLANE('',#88421); +#88423=ORIENTED_EDGE('',*,*,#85419,.F.); +#88425=ORIENTED_EDGE('',*,*,#88424,.T.); +#88427=ORIENTED_EDGE('',*,*,#88426,.T.); +#88428=ORIENTED_EDGE('',*,*,#88410,.F.); +#88429=EDGE_LOOP('',(#88423,#88425,#88427,#88428)); +#88430=FACE_OUTER_BOUND('',#88429,.F.); +#88432=CARTESIAN_POINT('',(5.213333331347E1,8.164240692926E0, +-1.918916444812E1)); +#88433=DIRECTION('',(9.813591273639E-1,1.574270070736E-1,1.102315770707E-1)); +#88434=DIRECTION('',(-1.921828898202E-1,8.038823353618E-1,5.628844710539E-1)); +#88435=AXIS2_PLACEMENT_3D('',#88432,#88433,#88434); +#88436=PLANE('',#88435); +#88437=ORIENTED_EDGE('',*,*,#85417,.F.); +#88439=ORIENTED_EDGE('',*,*,#88438,.T.); +#88441=ORIENTED_EDGE('',*,*,#88440,.T.); +#88442=ORIENTED_EDGE('',*,*,#88424,.F.); +#88443=EDGE_LOOP('',(#88437,#88439,#88441,#88442)); +#88444=FACE_OUTER_BOUND('',#88443,.F.); +#88446=CARTESIAN_POINT('',(5.213333331347E1,8.080582621780E0, +-1.924774246017E1)); +#88447=DIRECTION('',(1.E0,0.E0,0.E0)); +#88448=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#88449=AXIS2_PLACEMENT_3D('',#88446,#88447,#88448); +#88450=PLANE('',#88449); +#88451=ORIENTED_EDGE('',*,*,#85415,.F.); +#88453=ORIENTED_EDGE('',*,*,#88452,.T.); +#88455=ORIENTED_EDGE('',*,*,#88454,.T.); +#88456=ORIENTED_EDGE('',*,*,#88438,.F.); +#88457=EDGE_LOOP('',(#88451,#88453,#88455,#88456)); +#88458=FACE_OUTER_BOUND('',#88457,.F.); +#88460=CARTESIAN_POINT('',(5.209999996424E1,7.941152483673E0, +-1.934537249393E1)); +#88461=DIRECTION('',(9.813591222869E-1,-1.574270283101E-1,-1.102315919407E-1)); +#88462=DIRECTION('',(1.921829157452E-1,8.038823312030E-1,5.628844681419E-1)); +#88463=AXIS2_PLACEMENT_3D('',#88460,#88461,#88462); +#88464=PLANE('',#88463); +#88465=ORIENTED_EDGE('',*,*,#85413,.F.); +#88467=ORIENTED_EDGE('',*,*,#88466,.T.); +#88469=ORIENTED_EDGE('',*,*,#88468,.T.); +#88470=ORIENTED_EDGE('',*,*,#88452,.F.); +#88471=EDGE_LOOP('',(#88465,#88467,#88469,#88470)); +#88472=FACE_OUTER_BOUND('',#88471,.F.); +#88474=CARTESIAN_POINT('',(5.204999996424E1,7.857494402762E0, +-1.940395051281E1)); +#88475=DIRECTION('',(8.981381174407E-1,-3.601921750915E-1,-2.522092762032E-1)); +#88476=DIRECTION('',(4.397134544224E-1,7.357116749554E-1,5.151508607527E-1)); +#88477=AXIS2_PLACEMENT_3D('',#88474,#88475,#88476); +#88478=PLANE('',#88477); +#88479=ORIENTED_EDGE('',*,*,#85411,.F.); +#88481=ORIENTED_EDGE('',*,*,#88480,.T.); +#88483=ORIENTED_EDGE('',*,*,#88482,.T.); +#88484=ORIENTED_EDGE('',*,*,#88466,.F.); +#88485=EDGE_LOOP('',(#88479,#88481,#88483,#88484)); +#88486=FACE_OUTER_BOUND('',#88485,.F.); +#88488=CARTESIAN_POINT('',(5.196666666269E1,7.773836324292E0, +-1.946252852999E1)); +#88489=DIRECTION('',(7.747957704695E-1,-5.178774290256E-1,-3.626216796724E-1)); +#88490=DIRECTION('',(6.322116054476E-1,6.346755392865E-1,4.444045969257E-1)); +#88491=AXIS2_PLACEMENT_3D('',#88488,#88489,#88490); +#88492=PLANE('',#88491); +#88493=ORIENTED_EDGE('',*,*,#85409,.F.); +#88495=ORIENTED_EDGE('',*,*,#88494,.T.); +#88497=ORIENTED_EDGE('',*,*,#88496,.T.); +#88498=ORIENTED_EDGE('',*,*,#88480,.F.); +#88499=EDGE_LOOP('',(#88493,#88495,#88497,#88498)); +#88500=FACE_OUTER_BOUND('',#88499,.F.); +#88502=CARTESIAN_POINT('',(5.188333331347E1,7.745950298135E0, +-1.948205453572E1)); +#88503=DIRECTION('',(3.781725610314E-1,-7.583178167621E-1,-5.309798516555E-1)); +#88504=DIRECTION('',(9.257351209082E-1,3.097808264629E-1,2.169108698821E-1)); +#88505=AXIS2_PLACEMENT_3D('',#88502,#88503,#88504); +#88506=PLANE('',#88505); +#88507=ORIENTED_EDGE('',*,*,#85407,.F.); +#88509=ORIENTED_EDGE('',*,*,#88508,.T.); +#88511=ORIENTED_EDGE('',*,*,#88510,.T.); +#88512=ORIENTED_EDGE('',*,*,#88494,.F.); +#88513=EDGE_LOOP('',(#88507,#88509,#88511,#88512)); +#88514=FACE_OUTER_BOUND('',#88513,.F.); +#88516=CARTESIAN_POINT('',(5.178333331347E1,7.745950298135E0, +-1.948205453572E1)); +#88517=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#88518=DIRECTION('',(1.E0,0.E0,0.E0)); +#88519=AXIS2_PLACEMENT_3D('',#88516,#88517,#88518); +#88520=PLANE('',#88519); +#88521=ORIENTED_EDGE('',*,*,#85405,.F.); +#88523=ORIENTED_EDGE('',*,*,#88522,.T.); +#88525=ORIENTED_EDGE('',*,*,#88524,.T.); +#88526=ORIENTED_EDGE('',*,*,#88508,.F.); +#88527=EDGE_LOOP('',(#88521,#88523,#88525,#88526)); +#88528=FACE_OUTER_BOUND('',#88527,.F.); +#88530=CARTESIAN_POINT('',(5.169999997616E1,7.773836324292E0, +-1.946252852999E1)); +#88531=DIRECTION('',(-3.781726073926E-1,-7.583178012481E-1,-5.309798407925E-1)); +#88532=DIRECTION('',(9.257351019692E-1,-3.097808644398E-1,-2.169108964739E-1)); +#88533=AXIS2_PLACEMENT_3D('',#88530,#88531,#88532); +#88534=PLANE('',#88533); +#88535=ORIENTED_EDGE('',*,*,#85403,.F.); +#88537=ORIENTED_EDGE('',*,*,#88536,.T.); +#88539=ORIENTED_EDGE('',*,*,#88538,.T.); +#88540=ORIENTED_EDGE('',*,*,#88522,.F.); +#88541=EDGE_LOOP('',(#88535,#88537,#88539,#88540)); +#88542=FACE_OUTER_BOUND('',#88541,.F.); +#88544=CARTESIAN_POINT('',(5.161666664481E1,7.857494402762E0, +-1.940395051281E1)); +#88545=DIRECTION('',(-7.747956597195E-1,-5.178775402072E-1,-3.626217575226E-1)); +#88546=DIRECTION('',(6.322117411753E-1,-6.346754485654E-1,-4.444045334022E-1)); +#88547=AXIS2_PLACEMENT_3D('',#88544,#88545,#88546); +#88548=PLANE('',#88547); +#88549=ORIENTED_EDGE('',*,*,#85401,.F.); +#88551=ORIENTED_EDGE('',*,*,#88550,.T.); +#88553=ORIENTED_EDGE('',*,*,#88552,.T.); +#88554=ORIENTED_EDGE('',*,*,#88536,.F.); +#88555=EDGE_LOOP('',(#88549,#88551,#88553,#88554)); +#88556=FACE_OUTER_BOUND('',#88555,.F.); +#88558=CARTESIAN_POINT('',(5.156666664779E1,7.941152483673E0, +-1.934537249393E1)); +#88559=DIRECTION('',(-8.981381277912E-1,-3.601921577734E-1,-2.522092640769E-1)); +#88560=DIRECTION('',(4.397134332809E-1,-7.357116834341E-1,-5.151508666895E-1)); +#88561=AXIS2_PLACEMENT_3D('',#88558,#88559,#88560); +#88562=PLANE('',#88561); +#88563=ORIENTED_EDGE('',*,*,#85399,.F.); +#88565=ORIENTED_EDGE('',*,*,#88564,.T.); +#88567=ORIENTED_EDGE('',*,*,#88566,.T.); +#88568=ORIENTED_EDGE('',*,*,#88550,.F.); +#88569=EDGE_LOOP('',(#88563,#88565,#88567,#88568)); +#88570=FACE_OUTER_BOUND('',#88569,.F.); +#88572=CARTESIAN_POINT('',(5.153333331347E1,8.080582621780E0, +-1.924774246017E1)); +#88573=DIRECTION('',(-9.813591384900E-1,-1.574269605340E-1,-1.102315444833E-1)); +#88574=DIRECTION('',(1.921828330059E-1,-8.038823444758E-1,-5.628844774356E-1)); +#88575=AXIS2_PLACEMENT_3D('',#88572,#88573,#88574); +#88576=PLANE('',#88575); +#88577=ORIENTED_EDGE('',*,*,#85397,.F.); +#88579=ORIENTED_EDGE('',*,*,#88578,.T.); +#88581=ORIENTED_EDGE('',*,*,#88580,.T.); +#88582=ORIENTED_EDGE('',*,*,#88564,.F.); +#88583=EDGE_LOOP('',(#88577,#88579,#88581,#88582)); +#88584=FACE_OUTER_BOUND('',#88583,.F.); +#88586=CARTESIAN_POINT('',(5.153333331347E1,8.164240692926E0, +-1.918916444812E1)); +#88587=DIRECTION('',(-1.E0,0.E0,0.E0)); +#88588=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#88589=AXIS2_PLACEMENT_3D('',#88586,#88587,#88588); +#88590=PLANE('',#88589); +#88591=ORIENTED_EDGE('',*,*,#85395,.F.); +#88593=ORIENTED_EDGE('',*,*,#88592,.T.); +#88595=ORIENTED_EDGE('',*,*,#88594,.T.); +#88596=ORIENTED_EDGE('',*,*,#88578,.F.); +#88597=EDGE_LOOP('',(#88591,#88593,#88595,#88596)); +#88598=FACE_OUTER_BOUND('',#88597,.F.); +#88600=CARTESIAN_POINT('',(5.156666664779E1,8.303670850563E0, +-1.909153440069E1)); +#88601=DIRECTION('',(-9.813591435670E-1,1.574269392975E-1,1.102315296134E-1)); +#88602=DIRECTION('',(-1.921828070809E-1,-8.038823486346E-1,-5.628844803477E-1)); +#88603=AXIS2_PLACEMENT_3D('',#88600,#88601,#88602); +#88604=PLANE('',#88603); +#88605=ORIENTED_EDGE('',*,*,#85393,.F.); +#88607=ORIENTED_EDGE('',*,*,#88606,.T.); +#88609=ORIENTED_EDGE('',*,*,#88608,.T.); +#88610=ORIENTED_EDGE('',*,*,#88592,.F.); +#88611=EDGE_LOOP('',(#88605,#88607,#88609,#88610)); +#88612=FACE_OUTER_BOUND('',#88611,.F.); +#88614=CARTESIAN_POINT('',(5.163333331347E1,8.387328921709E0, +-1.903295638864E1)); +#88615=DIRECTION('',(-8.373791242699E-1,4.477669718454E-1,3.135298090475E-1)); +#88616=DIRECTION('',(-5.466225409156E-1,-6.859408214906E-1,-4.803009339735E-1)); +#88617=AXIS2_PLACEMENT_3D('',#88614,#88615,#88616); +#88618=PLANE('',#88617); +#88619=ORIENTED_EDGE('',*,*,#85391,.F.); +#88620=ORIENTED_EDGE('',*,*,#88161,.T.); +#88622=ORIENTED_EDGE('',*,*,#88621,.T.); +#88623=ORIENTED_EDGE('',*,*,#88606,.F.); +#88624=EDGE_LOOP('',(#88619,#88620,#88622,#88623)); +#88625=FACE_OUTER_BOUND('',#88624,.F.); +#88627=CARTESIAN_POINT('',(-3.98E1,1.854740865079E1,-1.173567578521E1)); +#88628=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#88629=DIRECTION('',(1.E0,0.E0,0.E0)); +#88630=AXIS2_PLACEMENT_3D('',#88627,#88628,#88629); +#88631=PLANE('',#88630); +#88633=ORIENTED_EDGE('',*,*,#88632,.F.); +#88635=ORIENTED_EDGE('',*,*,#88634,.F.); +#88637=ORIENTED_EDGE('',*,*,#88636,.F.); +#88639=ORIENTED_EDGE('',*,*,#88638,.F.); +#88641=ORIENTED_EDGE('',*,*,#88640,.F.); +#88643=ORIENTED_EDGE('',*,*,#88642,.F.); +#88645=ORIENTED_EDGE('',*,*,#88644,.F.); +#88647=ORIENTED_EDGE('',*,*,#88646,.F.); +#88649=ORIENTED_EDGE('',*,*,#88648,.F.); +#88651=ORIENTED_EDGE('',*,*,#88650,.F.); +#88653=ORIENTED_EDGE('',*,*,#88652,.F.); +#88654=EDGE_LOOP('',(#88633,#88635,#88637,#88639,#88641,#88643,#88645,#88647, +#88649,#88651,#88653)); +#88655=FACE_OUTER_BOUND('',#88654,.F.); +#88657=ORIENTED_EDGE('',*,*,#88656,.F.); +#88659=ORIENTED_EDGE('',*,*,#88658,.F.); +#88661=ORIENTED_EDGE('',*,*,#88660,.F.); +#88662=EDGE_LOOP('',(#88657,#88659,#88661)); +#88663=FACE_BOUND('',#88662,.F.); +#88665=CARTESIAN_POINT('',(-3.98E1,1.854740865079E1,-1.173567578521E1)); +#88666=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#88667=DIRECTION('',(1.E0,0.E0,0.E0)); +#88668=AXIS2_PLACEMENT_3D('',#88665,#88666,#88667); +#88669=PLANE('',#88668); +#88671=ORIENTED_EDGE('',*,*,#88670,.F.); +#88673=ORIENTED_EDGE('',*,*,#88672,.F.); +#88675=ORIENTED_EDGE('',*,*,#88674,.F.); +#88677=ORIENTED_EDGE('',*,*,#88676,.F.); +#88679=ORIENTED_EDGE('',*,*,#88678,.F.); +#88681=ORIENTED_EDGE('',*,*,#88680,.F.); +#88683=ORIENTED_EDGE('',*,*,#88682,.F.); +#88684=EDGE_LOOP('',(#88671,#88673,#88675,#88677,#88679,#88681,#88683)); +#88685=FACE_OUTER_BOUND('',#88684,.F.); +#88687=CARTESIAN_POINT('',(-3.98E1,1.854740865079E1,-1.173567578521E1)); +#88688=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#88689=DIRECTION('',(1.E0,0.E0,0.E0)); +#88690=AXIS2_PLACEMENT_3D('',#88687,#88688,#88689); +#88691=PLANE('',#88690); +#88693=ORIENTED_EDGE('',*,*,#88692,.F.); +#88695=ORIENTED_EDGE('',*,*,#88694,.F.); +#88697=ORIENTED_EDGE('',*,*,#88696,.F.); +#88699=ORIENTED_EDGE('',*,*,#88698,.F.); +#88701=ORIENTED_EDGE('',*,*,#88700,.F.); +#88703=ORIENTED_EDGE('',*,*,#88702,.F.); +#88705=ORIENTED_EDGE('',*,*,#88704,.F.); +#88707=ORIENTED_EDGE('',*,*,#88706,.F.); +#88709=ORIENTED_EDGE('',*,*,#88708,.F.); +#88711=ORIENTED_EDGE('',*,*,#88710,.F.); +#88713=ORIENTED_EDGE('',*,*,#88712,.F.); +#88715=ORIENTED_EDGE('',*,*,#88714,.F.); +#88717=ORIENTED_EDGE('',*,*,#88716,.F.); +#88719=ORIENTED_EDGE('',*,*,#88718,.F.); +#88721=ORIENTED_EDGE('',*,*,#88720,.F.); +#88723=ORIENTED_EDGE('',*,*,#88722,.F.); +#88725=ORIENTED_EDGE('',*,*,#88724,.F.); +#88727=ORIENTED_EDGE('',*,*,#88726,.F.); +#88729=ORIENTED_EDGE('',*,*,#88728,.F.); +#88731=ORIENTED_EDGE('',*,*,#88730,.F.); +#88733=ORIENTED_EDGE('',*,*,#88732,.F.); +#88735=ORIENTED_EDGE('',*,*,#88734,.F.); +#88737=ORIENTED_EDGE('',*,*,#88736,.F.); +#88739=ORIENTED_EDGE('',*,*,#88738,.F.); +#88741=ORIENTED_EDGE('',*,*,#88740,.F.); +#88743=ORIENTED_EDGE('',*,*,#88742,.F.); +#88745=ORIENTED_EDGE('',*,*,#88744,.F.); +#88747=ORIENTED_EDGE('',*,*,#88746,.F.); +#88749=ORIENTED_EDGE('',*,*,#88748,.F.); +#88751=ORIENTED_EDGE('',*,*,#88750,.F.); +#88753=ORIENTED_EDGE('',*,*,#88752,.F.); +#88755=ORIENTED_EDGE('',*,*,#88754,.F.); +#88757=ORIENTED_EDGE('',*,*,#88756,.F.); +#88759=ORIENTED_EDGE('',*,*,#88758,.F.); +#88761=ORIENTED_EDGE('',*,*,#88760,.F.); +#88763=ORIENTED_EDGE('',*,*,#88762,.F.); +#88765=ORIENTED_EDGE('',*,*,#88764,.F.); +#88767=ORIENTED_EDGE('',*,*,#88766,.F.); +#88769=ORIENTED_EDGE('',*,*,#88768,.F.); +#88771=ORIENTED_EDGE('',*,*,#88770,.F.); +#88772=EDGE_LOOP('',(#88693,#88695,#88697,#88699,#88701,#88703,#88705,#88707, +#88709,#88711,#88713,#88715,#88717,#88719,#88721,#88723,#88725,#88727,#88729, +#88731,#88733,#88735,#88737,#88739,#88741,#88743,#88745,#88747,#88749,#88751, +#88753,#88755,#88757,#88759,#88761,#88763,#88765,#88767,#88769,#88771)); +#88773=FACE_OUTER_BOUND('',#88772,.F.); +#88775=CARTESIAN_POINT('',(-3.98E1,1.854740865079E1,-1.173567578521E1)); +#88776=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#88777=DIRECTION('',(1.E0,0.E0,0.E0)); +#88778=AXIS2_PLACEMENT_3D('',#88775,#88776,#88777); +#88779=PLANE('',#88778); +#88781=ORIENTED_EDGE('',*,*,#88780,.F.); +#88783=ORIENTED_EDGE('',*,*,#88782,.F.); +#88785=ORIENTED_EDGE('',*,*,#88784,.F.); +#88787=ORIENTED_EDGE('',*,*,#88786,.F.); +#88789=ORIENTED_EDGE('',*,*,#88788,.F.); +#88791=ORIENTED_EDGE('',*,*,#88790,.F.); +#88793=ORIENTED_EDGE('',*,*,#88792,.F.); +#88795=ORIENTED_EDGE('',*,*,#88794,.F.); +#88797=ORIENTED_EDGE('',*,*,#88796,.F.); +#88799=ORIENTED_EDGE('',*,*,#88798,.F.); +#88801=ORIENTED_EDGE('',*,*,#88800,.F.); +#88803=ORIENTED_EDGE('',*,*,#88802,.F.); +#88805=ORIENTED_EDGE('',*,*,#88804,.F.); +#88807=ORIENTED_EDGE('',*,*,#88806,.F.); +#88809=ORIENTED_EDGE('',*,*,#88808,.F.); +#88811=ORIENTED_EDGE('',*,*,#88810,.F.); +#88813=ORIENTED_EDGE('',*,*,#88812,.F.); +#88815=ORIENTED_EDGE('',*,*,#88814,.F.); +#88817=ORIENTED_EDGE('',*,*,#88816,.F.); +#88819=ORIENTED_EDGE('',*,*,#88818,.F.); +#88821=ORIENTED_EDGE('',*,*,#88820,.F.); +#88823=ORIENTED_EDGE('',*,*,#88822,.F.); +#88825=ORIENTED_EDGE('',*,*,#88824,.F.); +#88827=ORIENTED_EDGE('',*,*,#88826,.F.); +#88829=ORIENTED_EDGE('',*,*,#88828,.F.); +#88831=ORIENTED_EDGE('',*,*,#88830,.F.); +#88833=ORIENTED_EDGE('',*,*,#88832,.F.); +#88835=ORIENTED_EDGE('',*,*,#88834,.F.); +#88836=EDGE_LOOP('',(#88781,#88783,#88785,#88787,#88789,#88791,#88793,#88795, +#88797,#88799,#88801,#88803,#88805,#88807,#88809,#88811,#88813,#88815,#88817, +#88819,#88821,#88823,#88825,#88827,#88829,#88831,#88833,#88835)); +#88837=FACE_OUTER_BOUND('',#88836,.F.); +#88839=CARTESIAN_POINT('',(-3.98E1,1.854740865079E1,-1.173567578521E1)); +#88840=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#88841=DIRECTION('',(1.E0,0.E0,0.E0)); +#88842=AXIS2_PLACEMENT_3D('',#88839,#88840,#88841); +#88843=PLANE('',#88842); +#88845=ORIENTED_EDGE('',*,*,#88844,.F.); +#88847=ORIENTED_EDGE('',*,*,#88846,.F.); +#88849=ORIENTED_EDGE('',*,*,#88848,.F.); +#88851=ORIENTED_EDGE('',*,*,#88850,.F.); +#88853=ORIENTED_EDGE('',*,*,#88852,.F.); +#88855=ORIENTED_EDGE('',*,*,#88854,.F.); +#88857=ORIENTED_EDGE('',*,*,#88856,.F.); +#88858=EDGE_LOOP('',(#88845,#88847,#88849,#88851,#88853,#88855,#88857)); +#88859=FACE_OUTER_BOUND('',#88858,.F.); +#88861=CARTESIAN_POINT('',(-3.98E1,1.854740865079E1,-1.173567578521E1)); +#88862=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#88863=DIRECTION('',(1.E0,0.E0,0.E0)); +#88864=AXIS2_PLACEMENT_3D('',#88861,#88862,#88863); +#88865=PLANE('',#88864); +#88867=ORIENTED_EDGE('',*,*,#88866,.F.); +#88869=ORIENTED_EDGE('',*,*,#88868,.F.); +#88871=ORIENTED_EDGE('',*,*,#88870,.F.); +#88873=ORIENTED_EDGE('',*,*,#88872,.F.); +#88875=ORIENTED_EDGE('',*,*,#88874,.F.); +#88877=ORIENTED_EDGE('',*,*,#88876,.F.); +#88879=ORIENTED_EDGE('',*,*,#88878,.F.); +#88881=ORIENTED_EDGE('',*,*,#88880,.F.); +#88883=ORIENTED_EDGE('',*,*,#88882,.F.); +#88885=ORIENTED_EDGE('',*,*,#88884,.F.); +#88887=ORIENTED_EDGE('',*,*,#88886,.F.); +#88888=EDGE_LOOP('',(#88867,#88869,#88871,#88873,#88875,#88877,#88879,#88881, +#88883,#88885,#88887)); +#88889=FACE_OUTER_BOUND('',#88888,.F.); +#88891=ORIENTED_EDGE('',*,*,#88890,.F.); +#88893=ORIENTED_EDGE('',*,*,#88892,.F.); +#88895=ORIENTED_EDGE('',*,*,#88894,.F.); +#88896=EDGE_LOOP('',(#88891,#88893,#88895)); +#88897=FACE_BOUND('',#88896,.F.); +#88899=CARTESIAN_POINT('',(-3.98E1,1.854740865079E1,-1.173567578521E1)); +#88900=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#88901=DIRECTION('',(1.E0,0.E0,0.E0)); +#88902=AXIS2_PLACEMENT_3D('',#88899,#88900,#88901); +#88903=PLANE('',#88902); +#88904=ORIENTED_EDGE('',*,*,#88159,.F.); +#88905=ORIENTED_EDGE('',*,*,#88174,.F.); +#88906=ORIENTED_EDGE('',*,*,#88188,.F.); +#88907=ORIENTED_EDGE('',*,*,#88202,.F.); +#88908=ORIENTED_EDGE('',*,*,#88216,.F.); +#88909=ORIENTED_EDGE('',*,*,#88230,.F.); +#88910=ORIENTED_EDGE('',*,*,#88244,.F.); +#88911=ORIENTED_EDGE('',*,*,#88258,.F.); +#88912=ORIENTED_EDGE('',*,*,#88272,.F.); +#88913=ORIENTED_EDGE('',*,*,#88286,.F.); +#88914=ORIENTED_EDGE('',*,*,#88300,.F.); +#88915=ORIENTED_EDGE('',*,*,#88314,.F.); +#88916=ORIENTED_EDGE('',*,*,#88328,.F.); +#88917=ORIENTED_EDGE('',*,*,#88342,.F.); +#88918=ORIENTED_EDGE('',*,*,#88356,.F.); +#88919=ORIENTED_EDGE('',*,*,#88370,.F.); +#88920=ORIENTED_EDGE('',*,*,#88384,.F.); +#88921=ORIENTED_EDGE('',*,*,#88398,.F.); +#88922=ORIENTED_EDGE('',*,*,#88412,.F.); +#88923=ORIENTED_EDGE('',*,*,#88426,.F.); +#88924=ORIENTED_EDGE('',*,*,#88440,.F.); +#88925=ORIENTED_EDGE('',*,*,#88454,.F.); +#88926=ORIENTED_EDGE('',*,*,#88468,.F.); +#88927=ORIENTED_EDGE('',*,*,#88482,.F.); +#88928=ORIENTED_EDGE('',*,*,#88496,.F.); +#88929=ORIENTED_EDGE('',*,*,#88510,.F.); +#88930=ORIENTED_EDGE('',*,*,#88524,.F.); +#88931=ORIENTED_EDGE('',*,*,#88538,.F.); +#88932=ORIENTED_EDGE('',*,*,#88552,.F.); +#88933=ORIENTED_EDGE('',*,*,#88566,.F.); +#88934=ORIENTED_EDGE('',*,*,#88580,.F.); +#88935=ORIENTED_EDGE('',*,*,#88594,.F.); +#88936=ORIENTED_EDGE('',*,*,#88608,.F.); +#88937=ORIENTED_EDGE('',*,*,#88621,.F.); +#88938=EDGE_LOOP('',(#88904,#88905,#88906,#88907,#88908,#88909,#88910,#88911, +#88912,#88913,#88914,#88915,#88916,#88917,#88918,#88919,#88920,#88921,#88922, +#88923,#88924,#88925,#88926,#88927,#88928,#88929,#88930,#88931,#88932,#88933, +#88934,#88935,#88936,#88937)); +#88939=FACE_OUTER_BOUND('',#88938,.F.); +#88941=ORIENTED_EDGE('',*,*,#88940,.F.); +#88943=ORIENTED_EDGE('',*,*,#88942,.F.); +#88945=ORIENTED_EDGE('',*,*,#88944,.F.); +#88947=ORIENTED_EDGE('',*,*,#88946,.F.); +#88949=ORIENTED_EDGE('',*,*,#88948,.F.); +#88951=ORIENTED_EDGE('',*,*,#88950,.F.); +#88953=ORIENTED_EDGE('',*,*,#88952,.F.); +#88955=ORIENTED_EDGE('',*,*,#88954,.F.); +#88957=ORIENTED_EDGE('',*,*,#88956,.F.); +#88959=ORIENTED_EDGE('',*,*,#88958,.F.); +#88961=ORIENTED_EDGE('',*,*,#88960,.F.); +#88963=ORIENTED_EDGE('',*,*,#88962,.F.); +#88965=ORIENTED_EDGE('',*,*,#88964,.F.); +#88967=ORIENTED_EDGE('',*,*,#88966,.F.); +#88969=ORIENTED_EDGE('',*,*,#88968,.F.); +#88971=ORIENTED_EDGE('',*,*,#88970,.F.); +#88972=EDGE_LOOP('',(#88941,#88943,#88945,#88947,#88949,#88951,#88953,#88955, +#88957,#88959,#88961,#88963,#88965,#88967,#88969,#88971)); +#88973=FACE_BOUND('',#88972,.F.); +#88975=ORIENTED_EDGE('',*,*,#88974,.F.); +#88977=ORIENTED_EDGE('',*,*,#88976,.F.); +#88979=ORIENTED_EDGE('',*,*,#88978,.F.); +#88981=ORIENTED_EDGE('',*,*,#88980,.F.); +#88983=ORIENTED_EDGE('',*,*,#88982,.F.); +#88985=ORIENTED_EDGE('',*,*,#88984,.F.); +#88987=ORIENTED_EDGE('',*,*,#88986,.F.); +#88989=ORIENTED_EDGE('',*,*,#88988,.F.); +#88991=ORIENTED_EDGE('',*,*,#88990,.F.); +#88993=ORIENTED_EDGE('',*,*,#88992,.F.); +#88995=ORIENTED_EDGE('',*,*,#88994,.F.); +#88997=ORIENTED_EDGE('',*,*,#88996,.F.); +#88999=ORIENTED_EDGE('',*,*,#88998,.F.); +#89001=ORIENTED_EDGE('',*,*,#89000,.F.); +#89003=ORIENTED_EDGE('',*,*,#89002,.F.); +#89005=ORIENTED_EDGE('',*,*,#89004,.F.); +#89006=EDGE_LOOP('',(#88975,#88977,#88979,#88981,#88983,#88985,#88987,#88989, +#88991,#88993,#88995,#88997,#88999,#89001,#89003,#89005)); +#89007=FACE_BOUND('',#89006,.F.); +#89009=CARTESIAN_POINT('',(-3.98E1,1.854740865079E1,-1.173567578521E1)); +#89010=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#89011=DIRECTION('',(1.E0,0.E0,0.E0)); +#89012=AXIS2_PLACEMENT_3D('',#89009,#89010,#89011); +#89013=PLANE('',#89012); +#89015=ORIENTED_EDGE('',*,*,#89014,.F.); +#89017=ORIENTED_EDGE('',*,*,#89016,.F.); +#89019=ORIENTED_EDGE('',*,*,#89018,.F.); +#89021=ORIENTED_EDGE('',*,*,#89020,.F.); +#89023=ORIENTED_EDGE('',*,*,#89022,.F.); +#89025=ORIENTED_EDGE('',*,*,#89024,.F.); +#89027=ORIENTED_EDGE('',*,*,#89026,.F.); +#89029=ORIENTED_EDGE('',*,*,#89028,.F.); +#89031=ORIENTED_EDGE('',*,*,#89030,.F.); +#89033=ORIENTED_EDGE('',*,*,#89032,.F.); +#89035=ORIENTED_EDGE('',*,*,#89034,.F.); +#89037=ORIENTED_EDGE('',*,*,#89036,.F.); +#89039=ORIENTED_EDGE('',*,*,#89038,.F.); +#89041=ORIENTED_EDGE('',*,*,#89040,.F.); +#89043=ORIENTED_EDGE('',*,*,#89042,.F.); +#89045=ORIENTED_EDGE('',*,*,#89044,.F.); +#89047=ORIENTED_EDGE('',*,*,#89046,.F.); +#89049=ORIENTED_EDGE('',*,*,#89048,.F.); +#89051=ORIENTED_EDGE('',*,*,#89050,.F.); +#89053=ORIENTED_EDGE('',*,*,#89052,.F.); +#89055=ORIENTED_EDGE('',*,*,#89054,.F.); +#89057=ORIENTED_EDGE('',*,*,#89056,.F.); +#89059=ORIENTED_EDGE('',*,*,#89058,.F.); +#89061=ORIENTED_EDGE('',*,*,#89060,.F.); +#89063=ORIENTED_EDGE('',*,*,#89062,.F.); +#89065=ORIENTED_EDGE('',*,*,#89064,.F.); +#89066=EDGE_LOOP('',(#89015,#89017,#89019,#89021,#89023,#89025,#89027,#89029, +#89031,#89033,#89035,#89037,#89039,#89041,#89043,#89045,#89047,#89049,#89051, +#89053,#89055,#89057,#89059,#89061,#89063,#89065)); +#89067=FACE_OUTER_BOUND('',#89066,.F.); +#89069=ORIENTED_EDGE('',*,*,#89068,.F.); +#89071=ORIENTED_EDGE('',*,*,#89070,.F.); +#89073=ORIENTED_EDGE('',*,*,#89072,.F.); +#89075=ORIENTED_EDGE('',*,*,#89074,.F.); +#89077=ORIENTED_EDGE('',*,*,#89076,.F.); +#89079=ORIENTED_EDGE('',*,*,#89078,.F.); +#89081=ORIENTED_EDGE('',*,*,#89080,.F.); +#89083=ORIENTED_EDGE('',*,*,#89082,.F.); +#89085=ORIENTED_EDGE('',*,*,#89084,.F.); +#89087=ORIENTED_EDGE('',*,*,#89086,.F.); +#89089=ORIENTED_EDGE('',*,*,#89088,.F.); +#89091=ORIENTED_EDGE('',*,*,#89090,.F.); +#89093=ORIENTED_EDGE('',*,*,#89092,.F.); +#89095=ORIENTED_EDGE('',*,*,#89094,.F.); +#89097=ORIENTED_EDGE('',*,*,#89096,.F.); +#89099=ORIENTED_EDGE('',*,*,#89098,.F.); +#89101=ORIENTED_EDGE('',*,*,#89100,.F.); +#89103=ORIENTED_EDGE('',*,*,#89102,.F.); +#89104=EDGE_LOOP('',(#89069,#89071,#89073,#89075,#89077,#89079,#89081,#89083, +#89085,#89087,#89089,#89091,#89093,#89095,#89097,#89099,#89101,#89103)); +#89105=FACE_BOUND('',#89104,.F.); +#89107=CARTESIAN_POINT('',(-3.88E1,9.056593568998E0,-1.856433223755E1)); +#89108=DIRECTION('',(-9.169350230852E-1,3.268716007558E-1,2.288779588759E-1)); +#89109=DIRECTION('',(-3.990365439904E-1,-7.511091986404E-1,-5.259323229066E-1)); +#89110=AXIS2_PLACEMENT_3D('',#89107,#89108,#89109); +#89111=PLANE('',#89110); +#89113=ORIENTED_EDGE('',*,*,#89112,.F.); +#89115=ORIENTED_EDGE('',*,*,#89114,.T.); +#89116=ORIENTED_EDGE('',*,*,#88632,.T.); +#89118=ORIENTED_EDGE('',*,*,#89117,.F.); +#89119=EDGE_LOOP('',(#89113,#89115,#89116,#89118)); +#89120=FACE_OUTER_BOUND('',#89119,.F.); +#89122=CARTESIAN_POINT('',(-3.98E1,9.63E0,-1.816282873211E1)); +#89123=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#89124=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#89125=AXIS2_PLACEMENT_3D('',#89122,#89123,#89124); +#89126=PLANE('',#89125); +#89127=ORIENTED_EDGE('',*,*,#71439,.F.); +#89129=ORIENTED_EDGE('',*,*,#89128,.F.); +#89131=ORIENTED_EDGE('',*,*,#89130,.T.); +#89132=ORIENTED_EDGE('',*,*,#66660,.F.); +#89134=ORIENTED_EDGE('',*,*,#89133,.T.); +#89135=ORIENTED_EDGE('',*,*,#67002,.T.); +#89136=ORIENTED_EDGE('',*,*,#67054,.F.); +#89138=ORIENTED_EDGE('',*,*,#89137,.T.); +#89139=EDGE_LOOP('',(#89127,#89129,#89131,#89132,#89134,#89135,#89136,#89138)); +#89140=FACE_OUTER_BOUND('',#89139,.F.); +#89141=ORIENTED_EDGE('',*,*,#89112,.T.); +#89143=ORIENTED_EDGE('',*,*,#89142,.T.); +#89145=ORIENTED_EDGE('',*,*,#89144,.T.); +#89147=ORIENTED_EDGE('',*,*,#89146,.T.); +#89149=ORIENTED_EDGE('',*,*,#89148,.T.); +#89151=ORIENTED_EDGE('',*,*,#89150,.T.); +#89153=ORIENTED_EDGE('',*,*,#89152,.T.); +#89155=ORIENTED_EDGE('',*,*,#89154,.T.); +#89157=ORIENTED_EDGE('',*,*,#89156,.T.); +#89159=ORIENTED_EDGE('',*,*,#89158,.T.); +#89161=ORIENTED_EDGE('',*,*,#89160,.T.); +#89162=EDGE_LOOP('',(#89141,#89143,#89145,#89147,#89149,#89151,#89153,#89155, +#89157,#89159,#89161)); +#89163=FACE_BOUND('',#89162,.F.); +#89165=ORIENTED_EDGE('',*,*,#89164,.T.); +#89167=ORIENTED_EDGE('',*,*,#89166,.T.); +#89169=ORIENTED_EDGE('',*,*,#89168,.T.); +#89171=ORIENTED_EDGE('',*,*,#89170,.T.); +#89173=ORIENTED_EDGE('',*,*,#89172,.T.); +#89175=ORIENTED_EDGE('',*,*,#89174,.T.); +#89177=ORIENTED_EDGE('',*,*,#89176,.T.); +#89178=EDGE_LOOP('',(#89165,#89167,#89169,#89171,#89173,#89175,#89177)); +#89179=FACE_BOUND('',#89178,.F.); +#89181=CARTESIAN_POINT('',(-3.98E1,9.63E0,-1.816282873211E1)); +#89182=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#89183=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#89184=AXIS2_PLACEMENT_3D('',#89181,#89182,#89183); +#89185=PLANE('',#89184); +#89187=ORIENTED_EDGE('',*,*,#89186,.T.); +#89189=ORIENTED_EDGE('',*,*,#89188,.T.); +#89191=ORIENTED_EDGE('',*,*,#89190,.T.); +#89192=EDGE_LOOP('',(#89187,#89189,#89191)); +#89193=FACE_OUTER_BOUND('',#89192,.F.); +#89195=CARTESIAN_POINT('',(-3.975E1,0.E0,-1.065E1)); +#89196=DIRECTION('',(1.E0,0.E0,0.E0)); +#89197=DIRECTION('',(0.E0,0.E0,-1.E0)); +#89198=AXIS2_PLACEMENT_3D('',#89195,#89196,#89197); +#89199=PLANE('',#89198); +#89200=ORIENTED_EDGE('',*,*,#89128,.T.); +#89201=ORIENTED_EDGE('',*,*,#71437,.T.); +#89203=ORIENTED_EDGE('',*,*,#89202,.F.); +#89205=ORIENTED_EDGE('',*,*,#89204,.F.); +#89207=ORIENTED_EDGE('',*,*,#89206,.F.); +#89208=EDGE_LOOP('',(#89200,#89201,#89203,#89205,#89207)); +#89209=FACE_OUTER_BOUND('',#89208,.F.); +#89211=CARTESIAN_POINT('',(-3.975E1,0.E0,-1.065E1)); +#89212=DIRECTION('',(1.E0,0.E0,0.E0)); +#89213=DIRECTION('',(0.E0,0.E0,-1.E0)); +#89214=AXIS2_PLACEMENT_3D('',#89211,#89212,#89213); +#89215=PLANE('',#89214); +#89217=ORIENTED_EDGE('',*,*,#89216,.T.); +#89218=ORIENTED_EDGE('',*,*,#72199,.T.); +#89220=ORIENTED_EDGE('',*,*,#89219,.F.); +#89222=ORIENTED_EDGE('',*,*,#89221,.F.); +#89224=ORIENTED_EDGE('',*,*,#89223,.F.); +#89225=EDGE_LOOP('',(#89217,#89218,#89220,#89222,#89224)); +#89226=FACE_OUTER_BOUND('',#89225,.F.); +#89228=CARTESIAN_POINT('',(-4.085E1,5.542511336474E0,-1.895E1)); +#89229=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#89230=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#89231=AXIS2_PLACEMENT_3D('',#89228,#89229,#89230); +#89232=PLANE('',#89231); +#89234=ORIENTED_EDGE('',*,*,#89233,.T.); +#89236=ORIENTED_EDGE('',*,*,#89235,.T.); +#89237=ORIENTED_EDGE('',*,*,#89202,.T.); +#89238=ORIENTED_EDGE('',*,*,#71435,.F.); +#89239=EDGE_LOOP('',(#89234,#89236,#89237,#89238)); +#89240=FACE_OUTER_BOUND('',#89239,.F.); +#89242=CARTESIAN_POINT('',(-4.195E1,0.E0,-1.065E1)); +#89243=DIRECTION('',(1.E0,0.E0,0.E0)); +#89244=DIRECTION('',(0.E0,0.E0,-1.E0)); +#89245=AXIS2_PLACEMENT_3D('',#89242,#89243,#89244); +#89246=PLANE('',#89245); +#89248=ORIENTED_EDGE('',*,*,#89247,.F.); +#89249=ORIENTED_EDGE('',*,*,#89233,.F.); +#89250=ORIENTED_EDGE('',*,*,#71433,.T.); +#89251=ORIENTED_EDGE('',*,*,#66339,.T.); +#89253=ORIENTED_EDGE('',*,*,#89252,.T.); +#89254=EDGE_LOOP('',(#89248,#89249,#89250,#89251,#89253)); +#89255=FACE_OUTER_BOUND('',#89254,.F.); +#89257=CARTESIAN_POINT('',(-4.195E1,0.E0,-1.065E1)); +#89258=DIRECTION('',(1.E0,0.E0,0.E0)); +#89259=DIRECTION('',(0.E0,0.E0,-1.E0)); +#89260=AXIS2_PLACEMENT_3D('',#89257,#89258,#89259); +#89261=PLANE('',#89260); +#89263=ORIENTED_EDGE('',*,*,#89262,.F.); +#89265=ORIENTED_EDGE('',*,*,#89264,.F.); +#89266=ORIENTED_EDGE('',*,*,#72195,.T.); +#89268=ORIENTED_EDGE('',*,*,#89267,.F.); +#89269=EDGE_LOOP('',(#89263,#89265,#89266,#89268)); +#89270=FACE_OUTER_BOUND('',#89269,.F.); +#89272=CARTESIAN_POINT('',(-4.18E1,6.33E0,-1.78E1)); +#89273=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#89274=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#89275=AXIS2_PLACEMENT_3D('',#89272,#89273,#89274); +#89276=PLANE('',#89275); +#89278=ORIENTED_EDGE('',*,*,#89277,.F.); +#89280=ORIENTED_EDGE('',*,*,#89279,.T.); +#89281=ORIENTED_EDGE('',*,*,#89247,.T.); +#89283=ORIENTED_EDGE('',*,*,#89282,.T.); +#89284=EDGE_LOOP('',(#89278,#89280,#89281,#89283)); +#89285=FACE_OUTER_BOUND('',#89284,.F.); +#89287=CARTESIAN_POINT('',(-4.195E1,6.73E0,-1.765E1)); +#89288=DIRECTION('',(0.E0,0.E0,1.E0)); +#89289=DIRECTION('',(0.E0,-1.E0,0.E0)); +#89290=AXIS2_PLACEMENT_3D('',#89287,#89288,#89289); +#89291=PLANE('',#89290); +#89293=ORIENTED_EDGE('',*,*,#89292,.T.); +#89295=ORIENTED_EDGE('',*,*,#89294,.F.); +#89296=ORIENTED_EDGE('',*,*,#89277,.T.); +#89298=ORIENTED_EDGE('',*,*,#89297,.T.); +#89299=EDGE_LOOP('',(#89293,#89295,#89296,#89298)); +#89300=FACE_OUTER_BOUND('',#89299,.F.); +#89302=ORIENTED_EDGE('',*,*,#89301,.T.); +#89304=ORIENTED_EDGE('',*,*,#89303,.T.); +#89306=ORIENTED_EDGE('',*,*,#89305,.T.); +#89308=ORIENTED_EDGE('',*,*,#89307,.T.); +#89309=EDGE_LOOP('',(#89302,#89304,#89306,#89308)); +#89310=FACE_BOUND('',#89309,.F.); +#89312=CARTESIAN_POINT('',(-4.115E1,6.645E0,-1.995E1)); +#89313=DIRECTION('',(-1.E0,0.E0,0.E0)); +#89314=DIRECTION('',(0.E0,-1.E0,0.E0)); +#89315=AXIS2_PLACEMENT_3D('',#89312,#89313,#89314); +#89316=PLANE('',#89315); +#89318=ORIENTED_EDGE('',*,*,#89317,.T.); +#89320=ORIENTED_EDGE('',*,*,#89319,.T.); +#89322=ORIENTED_EDGE('',*,*,#89321,.F.); +#89323=ORIENTED_EDGE('',*,*,#89301,.F.); +#89324=EDGE_LOOP('',(#89318,#89320,#89322,#89323)); +#89325=FACE_OUTER_BOUND('',#89324,.F.); +#89327=CARTESIAN_POINT('',(-4.055E1,6.645E0,-1.995E1)); +#89328=DIRECTION('',(0.E0,1.E0,0.E0)); +#89329=DIRECTION('',(-1.E0,0.E0,0.E0)); +#89330=AXIS2_PLACEMENT_3D('',#89327,#89328,#89329); +#89331=PLANE('',#89330); +#89332=ORIENTED_EDGE('',*,*,#89317,.F.); +#89333=ORIENTED_EDGE('',*,*,#89307,.F.); +#89335=ORIENTED_EDGE('',*,*,#89334,.T.); +#89337=ORIENTED_EDGE('',*,*,#89336,.T.); +#89338=EDGE_LOOP('',(#89332,#89333,#89335,#89337)); +#89339=FACE_OUTER_BOUND('',#89338,.F.); +#89341=CARTESIAN_POINT('',(-4.055E1,6.015E0,-1.995E1)); +#89342=DIRECTION('',(1.E0,0.E0,0.E0)); +#89343=DIRECTION('',(0.E0,1.E0,0.E0)); +#89344=AXIS2_PLACEMENT_3D('',#89341,#89342,#89343); +#89345=PLANE('',#89344); +#89347=ORIENTED_EDGE('',*,*,#89346,.T.); +#89349=ORIENTED_EDGE('',*,*,#89348,.T.); +#89350=ORIENTED_EDGE('',*,*,#89334,.F.); +#89351=ORIENTED_EDGE('',*,*,#89305,.F.); +#89352=EDGE_LOOP('',(#89347,#89349,#89350,#89351)); +#89353=FACE_OUTER_BOUND('',#89352,.F.); +#89355=CARTESIAN_POINT('',(-4.115E1,6.015E0,-1.995E1)); +#89356=DIRECTION('',(0.E0,-1.E0,0.E0)); +#89357=DIRECTION('',(1.E0,0.E0,0.E0)); +#89358=AXIS2_PLACEMENT_3D('',#89355,#89356,#89357); +#89359=PLANE('',#89358); +#89360=ORIENTED_EDGE('',*,*,#89321,.T.); +#89362=ORIENTED_EDGE('',*,*,#89361,.T.); +#89363=ORIENTED_EDGE('',*,*,#89346,.F.); +#89364=ORIENTED_EDGE('',*,*,#89303,.F.); +#89365=EDGE_LOOP('',(#89360,#89362,#89363,#89364)); +#89366=FACE_OUTER_BOUND('',#89365,.F.); +#89368=CARTESIAN_POINT('',(0.E0,0.E0,-1.065E1)); +#89369=DIRECTION('',(0.E0,0.E0,1.E0)); +#89370=DIRECTION('',(1.E0,0.E0,0.E0)); +#89371=AXIS2_PLACEMENT_3D('',#89368,#89369,#89370); +#89372=PLANE('',#89371); +#89374=ORIENTED_EDGE('',*,*,#89373,.F.); +#89376=ORIENTED_EDGE('',*,*,#89375,.F.); +#89378=ORIENTED_EDGE('',*,*,#89377,.F.); +#89380=ORIENTED_EDGE('',*,*,#89379,.F.); +#89381=EDGE_LOOP('',(#89374,#89376,#89378,#89380)); +#89382=FACE_OUTER_BOUND('',#89381,.F.); +#89384=CARTESIAN_POINT('',(0.E0,0.E0,-1.065E1)); +#89385=DIRECTION('',(0.E0,0.E0,1.E0)); +#89386=DIRECTION('',(1.E0,0.E0,0.E0)); +#89387=AXIS2_PLACEMENT_3D('',#89384,#89385,#89386); +#89388=PLANE('',#89387); +#89389=ORIENTED_EDGE('',*,*,#89319,.F.); +#89390=ORIENTED_EDGE('',*,*,#89336,.F.); +#89391=ORIENTED_EDGE('',*,*,#89348,.F.); +#89392=ORIENTED_EDGE('',*,*,#89361,.F.); +#89393=EDGE_LOOP('',(#89389,#89390,#89391,#89392)); +#89394=FACE_OUTER_BOUND('',#89393,.F.); +#89396=CARTESIAN_POINT('',(-4.115E1,-2.155E0,-1.995E1)); +#89397=DIRECTION('',(-1.E0,0.E0,0.E0)); +#89398=DIRECTION('',(0.E0,-1.E0,0.E0)); +#89399=AXIS2_PLACEMENT_3D('',#89396,#89397,#89398); +#89400=PLANE('',#89399); +#89402=ORIENTED_EDGE('',*,*,#89401,.T.); +#89403=ORIENTED_EDGE('',*,*,#89373,.T.); +#89405=ORIENTED_EDGE('',*,*,#89404,.F.); +#89407=ORIENTED_EDGE('',*,*,#89406,.F.); +#89408=EDGE_LOOP('',(#89402,#89403,#89405,#89407)); +#89409=FACE_OUTER_BOUND('',#89408,.F.); +#89411=CARTESIAN_POINT('',(-4.055E1,-2.155E0,-1.995E1)); +#89412=DIRECTION('',(0.E0,1.E0,0.E0)); +#89413=DIRECTION('',(-1.E0,0.E0,0.E0)); +#89414=AXIS2_PLACEMENT_3D('',#89411,#89412,#89413); +#89415=PLANE('',#89414); +#89416=ORIENTED_EDGE('',*,*,#89401,.F.); +#89418=ORIENTED_EDGE('',*,*,#89417,.F.); +#89420=ORIENTED_EDGE('',*,*,#89419,.T.); +#89421=ORIENTED_EDGE('',*,*,#89375,.T.); +#89422=EDGE_LOOP('',(#89416,#89418,#89420,#89421)); +#89423=FACE_OUTER_BOUND('',#89422,.F.); +#89425=CARTESIAN_POINT('',(-4.195E1,-2.07E0,-1.765E1)); +#89426=DIRECTION('',(0.E0,0.E0,1.E0)); +#89427=DIRECTION('',(0.E0,-1.E0,0.E0)); +#89428=AXIS2_PLACEMENT_3D('',#89425,#89426,#89427); +#89429=PLANE('',#89428); +#89431=ORIENTED_EDGE('',*,*,#89430,.T.); +#89433=ORIENTED_EDGE('',*,*,#89432,.F.); +#89435=ORIENTED_EDGE('',*,*,#89434,.T.); +#89437=ORIENTED_EDGE('',*,*,#89436,.T.); +#89438=EDGE_LOOP('',(#89431,#89433,#89435,#89437)); +#89439=FACE_OUTER_BOUND('',#89438,.F.); +#89440=ORIENTED_EDGE('',*,*,#89406,.T.); +#89442=ORIENTED_EDGE('',*,*,#89441,.T.); +#89444=ORIENTED_EDGE('',*,*,#89443,.T.); +#89445=ORIENTED_EDGE('',*,*,#89417,.T.); +#89446=EDGE_LOOP('',(#89440,#89442,#89444,#89445)); +#89447=FACE_BOUND('',#89446,.F.); +#89449=CARTESIAN_POINT('',(-4.115E1,-2.785E0,-1.995E1)); +#89450=DIRECTION('',(0.E0,-1.E0,0.E0)); +#89451=DIRECTION('',(1.E0,0.E0,0.E0)); +#89452=AXIS2_PLACEMENT_3D('',#89449,#89450,#89451); +#89453=PLANE('',#89452); +#89454=ORIENTED_EDGE('',*,*,#89404,.T.); +#89455=ORIENTED_EDGE('',*,*,#89379,.T.); +#89457=ORIENTED_EDGE('',*,*,#89456,.F.); +#89458=ORIENTED_EDGE('',*,*,#89441,.F.); +#89459=EDGE_LOOP('',(#89454,#89455,#89457,#89458)); +#89460=FACE_OUTER_BOUND('',#89459,.F.); +#89462=CARTESIAN_POINT('',(-4.055E1,-2.785E0,-1.995E1)); +#89463=DIRECTION('',(1.E0,0.E0,0.E0)); +#89464=DIRECTION('',(0.E0,1.E0,0.E0)); +#89465=AXIS2_PLACEMENT_3D('',#89462,#89463,#89464); +#89466=PLANE('',#89465); +#89467=ORIENTED_EDGE('',*,*,#89456,.T.); +#89468=ORIENTED_EDGE('',*,*,#89377,.T.); +#89469=ORIENTED_EDGE('',*,*,#89419,.F.); +#89470=ORIENTED_EDGE('',*,*,#89443,.F.); +#89471=EDGE_LOOP('',(#89467,#89468,#89469,#89470)); +#89472=FACE_OUTER_BOUND('',#89471,.F.); +#89474=CARTESIAN_POINT('',(-3.99E1,-2.47E0,-1.78E1)); +#89475=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#89476=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#89477=AXIS2_PLACEMENT_3D('',#89474,#89475,#89476); +#89478=PLANE('',#89477); +#89479=ORIENTED_EDGE('',*,*,#89430,.F.); +#89481=ORIENTED_EDGE('',*,*,#89480,.T.); +#89482=ORIENTED_EDGE('',*,*,#89221,.T.); +#89484=ORIENTED_EDGE('',*,*,#89483,.T.); +#89485=EDGE_LOOP('',(#89479,#89481,#89482,#89484)); +#89486=FACE_OUTER_BOUND('',#89485,.F.); +#89488=CARTESIAN_POINT('',(-4.195E1,-1.77E0,-1.795E1)); +#89489=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#89490=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#89491=AXIS2_PLACEMENT_3D('',#89488,#89489,#89490); +#89492=PLANE('',#89491); +#89493=ORIENTED_EDGE('',*,*,#89480,.F.); +#89494=ORIENTED_EDGE('',*,*,#89436,.F.); +#89496=ORIENTED_EDGE('',*,*,#89495,.F.); +#89498=ORIENTED_EDGE('',*,*,#89497,.F.); +#89499=EDGE_LOOP('',(#89493,#89494,#89496,#89498)); +#89500=FACE_OUTER_BOUND('',#89499,.F.); +#89502=CARTESIAN_POINT('',(-4.18E1,-2.47E0,-1.78E1)); +#89503=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#89504=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#89505=AXIS2_PLACEMENT_3D('',#89502,#89503,#89504); +#89506=PLANE('',#89505); +#89507=ORIENTED_EDGE('',*,*,#89495,.T.); +#89508=ORIENTED_EDGE('',*,*,#89434,.F.); +#89510=ORIENTED_EDGE('',*,*,#89509,.T.); +#89511=ORIENTED_EDGE('',*,*,#89262,.T.); +#89512=EDGE_LOOP('',(#89507,#89508,#89510,#89511)); +#89513=FACE_OUTER_BOUND('',#89512,.F.); +#89515=CARTESIAN_POINT('',(-4.195E1,-2.87E0,-1.765E1)); +#89516=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#89517=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#89518=AXIS2_PLACEMENT_3D('',#89515,#89516,#89517); +#89519=PLANE('',#89518); +#89520=ORIENTED_EDGE('',*,*,#89483,.F.); +#89522=ORIENTED_EDGE('',*,*,#89521,.F.); +#89523=ORIENTED_EDGE('',*,*,#89509,.F.); +#89524=ORIENTED_EDGE('',*,*,#89432,.T.); +#89525=EDGE_LOOP('',(#89520,#89522,#89523,#89524)); +#89526=FACE_OUTER_BOUND('',#89525,.F.); +#89528=CARTESIAN_POINT('',(-4.085E1,-3.257488663526E0,-1.895E1)); +#89529=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#89530=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#89531=AXIS2_PLACEMENT_3D('',#89528,#89529,#89530); +#89532=PLANE('',#89531); +#89533=ORIENTED_EDGE('',*,*,#89264,.T.); +#89534=ORIENTED_EDGE('',*,*,#89521,.T.); +#89535=ORIENTED_EDGE('',*,*,#89219,.T.); +#89536=ORIENTED_EDGE('',*,*,#72197,.F.); +#89537=EDGE_LOOP('',(#89533,#89534,#89535,#89536)); +#89538=FACE_OUTER_BOUND('',#89537,.F.); +#89540=CARTESIAN_POINT('',(-4.085E1,-1.682511336474E0,-1.895E1)); +#89541=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#89542=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#89543=AXIS2_PLACEMENT_3D('',#89540,#89541,#89542); +#89544=PLANE('',#89543); +#89545=ORIENTED_EDGE('',*,*,#66682,.F.); +#89547=ORIENTED_EDGE('',*,*,#89546,.F.); +#89548=ORIENTED_EDGE('',*,*,#89223,.T.); +#89549=ORIENTED_EDGE('',*,*,#89497,.T.); +#89550=ORIENTED_EDGE('',*,*,#89267,.T.); +#89551=ORIENTED_EDGE('',*,*,#72193,.F.); +#89552=EDGE_LOOP('',(#89545,#89547,#89548,#89549,#89550,#89551)); +#89553=FACE_OUTER_BOUND('',#89552,.F.); +#89555=CARTESIAN_POINT('',(-3.98E1,8.3E-1,-1.812E1)); +#89556=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#89557=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#89558=AXIS2_PLACEMENT_3D('',#89555,#89556,#89557); +#89559=PLANE('',#89558); +#89560=ORIENTED_EDGE('',*,*,#72201,.F.); +#89561=ORIENTED_EDGE('',*,*,#89216,.F.); +#89562=ORIENTED_EDGE('',*,*,#89546,.T.); +#89563=ORIENTED_EDGE('',*,*,#66680,.F.); +#89564=ORIENTED_EDGE('',*,*,#66984,.T.); +#89565=ORIENTED_EDGE('',*,*,#67021,.T.); +#89567=ORIENTED_EDGE('',*,*,#89566,.F.); +#89569=ORIENTED_EDGE('',*,*,#89568,.T.); +#89570=EDGE_LOOP('',(#89560,#89561,#89562,#89563,#89564,#89565,#89567,#89569)); +#89571=FACE_OUTER_BOUND('',#89570,.F.); +#89573=CARTESIAN_POINT('',(-3.655E1,-1.682511336474E0,-1.895E1)); +#89574=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#89575=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#89576=AXIS2_PLACEMENT_3D('',#89573,#89574,#89575); +#89577=PLANE('',#89576); +#89578=ORIENTED_EDGE('',*,*,#67091,.F.); +#89580=ORIENTED_EDGE('',*,*,#89579,.F.); +#89582=ORIENTED_EDGE('',*,*,#89581,.T.); +#89584=ORIENTED_EDGE('',*,*,#89583,.T.); +#89586=ORIENTED_EDGE('',*,*,#89585,.T.); +#89587=ORIENTED_EDGE('',*,*,#89566,.T.); +#89588=ORIENTED_EDGE('',*,*,#67019,.T.); +#89589=ORIENTED_EDGE('',*,*,#72187,.F.); +#89590=EDGE_LOOP('',(#89578,#89580,#89582,#89584,#89586,#89587,#89588,#89589)); +#89591=FACE_OUTER_BOUND('',#89590,.F.); +#89593=CARTESIAN_POINT('',(-3.55E1,8.3E-1,-1.812E1)); +#89594=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#89595=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#89596=AXIS2_PLACEMENT_3D('',#89593,#89594,#89595); +#89597=PLANE('',#89596); +#89598=ORIENTED_EDGE('',*,*,#72209,.F.); +#89600=ORIENTED_EDGE('',*,*,#89599,.F.); +#89601=ORIENTED_EDGE('',*,*,#89579,.T.); +#89602=ORIENTED_EDGE('',*,*,#67089,.F.); +#89603=ORIENTED_EDGE('',*,*,#67393,.T.); +#89604=ORIENTED_EDGE('',*,*,#67430,.T.); +#89606=ORIENTED_EDGE('',*,*,#89605,.F.); +#89608=ORIENTED_EDGE('',*,*,#89607,.T.); +#89609=EDGE_LOOP('',(#89598,#89600,#89601,#89602,#89603,#89604,#89606,#89608)); +#89610=FACE_OUTER_BOUND('',#89609,.F.); +#89612=CARTESIAN_POINT('',(-3.545E1,0.E0,-1.065E1)); +#89613=DIRECTION('',(1.E0,0.E0,0.E0)); +#89614=DIRECTION('',(0.E0,0.E0,-1.E0)); +#89615=AXIS2_PLACEMENT_3D('',#89612,#89613,#89614); +#89616=PLANE('',#89615); +#89618=ORIENTED_EDGE('',*,*,#89617,.T.); +#89619=ORIENTED_EDGE('',*,*,#71445,.T.); +#89621=ORIENTED_EDGE('',*,*,#89620,.F.); +#89623=ORIENTED_EDGE('',*,*,#89622,.F.); +#89624=ORIENTED_EDGE('',*,*,#67048,.F.); +#89625=EDGE_LOOP('',(#89618,#89619,#89621,#89623,#89624)); +#89626=FACE_OUTER_BOUND('',#89625,.F.); +#89628=CARTESIAN_POINT('',(-3.545E1,0.E0,-1.065E1)); +#89629=DIRECTION('',(1.E0,0.E0,0.E0)); +#89630=DIRECTION('',(0.E0,0.E0,-1.E0)); +#89631=AXIS2_PLACEMENT_3D('',#89628,#89629,#89630); +#89632=PLANE('',#89631); +#89633=ORIENTED_EDGE('',*,*,#89599,.T.); +#89634=ORIENTED_EDGE('',*,*,#72207,.T.); +#89636=ORIENTED_EDGE('',*,*,#89635,.F.); +#89638=ORIENTED_EDGE('',*,*,#89637,.F.); +#89639=ORIENTED_EDGE('',*,*,#89581,.F.); +#89640=EDGE_LOOP('',(#89633,#89634,#89636,#89638,#89639)); +#89641=FACE_OUTER_BOUND('',#89640,.F.); +#89643=CARTESIAN_POINT('',(-3.55E1,9.63E0,-1.816282873211E1)); +#89644=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#89645=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#89646=AXIS2_PLACEMENT_3D('',#89643,#89644,#89645); +#89647=PLANE('',#89646); +#89648=ORIENTED_EDGE('',*,*,#71447,.F.); +#89649=ORIENTED_EDGE('',*,*,#89617,.F.); +#89650=ORIENTED_EDGE('',*,*,#67046,.T.); +#89651=ORIENTED_EDGE('',*,*,#67070,.F.); +#89653=ORIENTED_EDGE('',*,*,#89652,.T.); +#89654=ORIENTED_EDGE('',*,*,#67411,.T.); +#89655=ORIENTED_EDGE('',*,*,#67463,.F.); +#89657=ORIENTED_EDGE('',*,*,#89656,.T.); +#89658=EDGE_LOOP('',(#89648,#89649,#89650,#89651,#89653,#89654,#89655,#89657)); +#89659=FACE_OUTER_BOUND('',#89658,.F.); +#89661=CARTESIAN_POINT('',(-3.55E1,9.63E0,-1.765E1)); +#89662=DIRECTION('',(0.E0,-1.E0,0.E0)); +#89663=DIRECTION('',(0.E0,0.E0,-1.E0)); +#89664=AXIS2_PLACEMENT_3D('',#89661,#89662,#89663); +#89665=PLANE('',#89664); +#89667=ORIENTED_EDGE('',*,*,#89666,.F.); +#89668=ORIENTED_EDGE('',*,*,#67413,.T.); +#89669=ORIENTED_EDGE('',*,*,#89652,.F.); +#89670=ORIENTED_EDGE('',*,*,#67068,.F.); +#89671=EDGE_LOOP('',(#89667,#89668,#89669,#89670)); +#89672=FACE_OUTER_BOUND('',#89671,.F.); +#89674=CARTESIAN_POINT('',(-3.44E1,9.78E0,-1.78E1)); +#89675=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#89676=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#89677=AXIS2_PLACEMENT_3D('',#89674,#89675,#89676); +#89678=PLANE('',#89677); +#89679=ORIENTED_EDGE('',*,*,#89666,.T.); +#89680=ORIENTED_EDGE('',*,*,#67110,.F.); +#89681=ORIENTED_EDGE('',*,*,#67153,.F.); +#89682=ORIENTED_EDGE('',*,*,#67140,.F.); +#89683=EDGE_LOOP('',(#89679,#89680,#89681,#89682)); +#89684=FACE_OUTER_BOUND('',#89683,.F.); +#89686=CARTESIAN_POINT('',(-3.335E1,0.E0,-1.065E1)); +#89687=DIRECTION('',(1.E0,0.E0,0.E0)); +#89688=DIRECTION('',(0.E0,0.E0,-1.E0)); +#89689=AXIS2_PLACEMENT_3D('',#89686,#89687,#89688); +#89690=PLANE('',#89689); +#89691=ORIENTED_EDGE('',*,*,#89656,.F.); +#89692=ORIENTED_EDGE('',*,*,#67461,.T.); +#89694=ORIENTED_EDGE('',*,*,#89693,.F.); +#89696=ORIENTED_EDGE('',*,*,#89695,.F.); +#89697=ORIENTED_EDGE('',*,*,#71449,.T.); +#89698=EDGE_LOOP('',(#89691,#89692,#89694,#89696,#89697)); +#89699=FACE_OUTER_BOUND('',#89698,.F.); +#89701=CARTESIAN_POINT('',(-3.335E1,0.E0,-1.065E1)); +#89702=DIRECTION('',(1.E0,0.E0,0.E0)); +#89703=DIRECTION('',(0.E0,0.E0,-1.E0)); +#89704=AXIS2_PLACEMENT_3D('',#89701,#89702,#89703); +#89705=PLANE('',#89704); +#89706=ORIENTED_EDGE('',*,*,#89607,.F.); +#89708=ORIENTED_EDGE('',*,*,#89707,.F.); +#89710=ORIENTED_EDGE('',*,*,#89709,.F.); +#89712=ORIENTED_EDGE('',*,*,#89711,.F.); +#89713=ORIENTED_EDGE('',*,*,#72211,.T.); +#89714=EDGE_LOOP('',(#89706,#89708,#89710,#89712,#89713)); +#89715=FACE_OUTER_BOUND('',#89714,.F.); +#89717=CARTESIAN_POINT('',(-3.32E1,6.33E0,-1.78E1)); +#89718=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#89719=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#89720=AXIS2_PLACEMENT_3D('',#89717,#89718,#89719); +#89721=PLANE('',#89720); +#89723=ORIENTED_EDGE('',*,*,#89722,.F.); +#89725=ORIENTED_EDGE('',*,*,#89724,.T.); +#89726=ORIENTED_EDGE('',*,*,#89693,.T.); +#89728=ORIENTED_EDGE('',*,*,#89727,.T.); +#89729=EDGE_LOOP('',(#89723,#89725,#89726,#89728)); +#89730=FACE_OUTER_BOUND('',#89729,.F.); +#89732=CARTESIAN_POINT('',(-3.335E1,6.73E0,-1.765E1)); +#89733=DIRECTION('',(0.E0,0.E0,1.E0)); +#89734=DIRECTION('',(0.E0,-1.E0,0.E0)); +#89735=AXIS2_PLACEMENT_3D('',#89732,#89733,#89734); +#89736=PLANE('',#89735); +#89738=ORIENTED_EDGE('',*,*,#89737,.T.); +#89740=ORIENTED_EDGE('',*,*,#89739,.F.); +#89741=ORIENTED_EDGE('',*,*,#89722,.T.); +#89743=ORIENTED_EDGE('',*,*,#89742,.T.); +#89744=EDGE_LOOP('',(#89738,#89740,#89741,#89743)); +#89745=FACE_OUTER_BOUND('',#89744,.F.); +#89747=ORIENTED_EDGE('',*,*,#89746,.T.); +#89749=ORIENTED_EDGE('',*,*,#89748,.T.); +#89751=ORIENTED_EDGE('',*,*,#89750,.T.); +#89753=ORIENTED_EDGE('',*,*,#89752,.T.); +#89754=EDGE_LOOP('',(#89747,#89749,#89751,#89753)); +#89755=FACE_BOUND('',#89754,.F.); +#89757=CARTESIAN_POINT('',(-3.255E1,6.645E0,-1.995E1)); +#89758=DIRECTION('',(-1.E0,0.E0,0.E0)); +#89759=DIRECTION('',(0.E0,-1.E0,0.E0)); +#89760=AXIS2_PLACEMENT_3D('',#89757,#89758,#89759); +#89761=PLANE('',#89760); +#89763=ORIENTED_EDGE('',*,*,#89762,.T.); +#89765=ORIENTED_EDGE('',*,*,#89764,.T.); +#89767=ORIENTED_EDGE('',*,*,#89766,.F.); +#89768=ORIENTED_EDGE('',*,*,#89746,.F.); +#89769=EDGE_LOOP('',(#89763,#89765,#89767,#89768)); +#89770=FACE_OUTER_BOUND('',#89769,.F.); +#89772=CARTESIAN_POINT('',(-3.195E1,6.645E0,-1.995E1)); +#89773=DIRECTION('',(0.E0,1.E0,0.E0)); +#89774=DIRECTION('',(-1.E0,0.E0,0.E0)); +#89775=AXIS2_PLACEMENT_3D('',#89772,#89773,#89774); +#89776=PLANE('',#89775); +#89777=ORIENTED_EDGE('',*,*,#89762,.F.); +#89778=ORIENTED_EDGE('',*,*,#89752,.F.); +#89780=ORIENTED_EDGE('',*,*,#89779,.T.); +#89782=ORIENTED_EDGE('',*,*,#89781,.T.); +#89783=EDGE_LOOP('',(#89777,#89778,#89780,#89782)); +#89784=FACE_OUTER_BOUND('',#89783,.F.); +#89786=CARTESIAN_POINT('',(-3.195E1,6.015E0,-1.995E1)); +#89787=DIRECTION('',(1.E0,0.E0,0.E0)); +#89788=DIRECTION('',(0.E0,1.E0,0.E0)); +#89789=AXIS2_PLACEMENT_3D('',#89786,#89787,#89788); +#89790=PLANE('',#89789); +#89792=ORIENTED_EDGE('',*,*,#89791,.T.); +#89794=ORIENTED_EDGE('',*,*,#89793,.T.); +#89795=ORIENTED_EDGE('',*,*,#89779,.F.); +#89796=ORIENTED_EDGE('',*,*,#89750,.F.); +#89797=EDGE_LOOP('',(#89792,#89794,#89795,#89796)); +#89798=FACE_OUTER_BOUND('',#89797,.F.); +#89800=CARTESIAN_POINT('',(-3.255E1,6.015E0,-1.995E1)); +#89801=DIRECTION('',(0.E0,-1.E0,0.E0)); +#89802=DIRECTION('',(1.E0,0.E0,0.E0)); +#89803=AXIS2_PLACEMENT_3D('',#89800,#89801,#89802); +#89804=PLANE('',#89803); +#89805=ORIENTED_EDGE('',*,*,#89766,.T.); +#89807=ORIENTED_EDGE('',*,*,#89806,.T.); +#89808=ORIENTED_EDGE('',*,*,#89791,.F.); +#89809=ORIENTED_EDGE('',*,*,#89748,.F.); +#89810=EDGE_LOOP('',(#89805,#89807,#89808,#89809)); +#89811=FACE_OUTER_BOUND('',#89810,.F.); +#89813=CARTESIAN_POINT('',(8.6E0,0.E0,-1.065E1)); +#89814=DIRECTION('',(0.E0,0.E0,1.E0)); +#89815=DIRECTION('',(1.E0,0.E0,0.E0)); +#89816=AXIS2_PLACEMENT_3D('',#89813,#89814,#89815); +#89817=PLANE('',#89816); +#89819=ORIENTED_EDGE('',*,*,#89818,.F.); +#89821=ORIENTED_EDGE('',*,*,#89820,.F.); +#89823=ORIENTED_EDGE('',*,*,#89822,.F.); +#89825=ORIENTED_EDGE('',*,*,#89824,.F.); +#89826=EDGE_LOOP('',(#89819,#89821,#89823,#89825)); +#89827=FACE_OUTER_BOUND('',#89826,.F.); +#89829=CARTESIAN_POINT('',(8.6E0,0.E0,-1.065E1)); +#89830=DIRECTION('',(0.E0,0.E0,1.E0)); +#89831=DIRECTION('',(1.E0,0.E0,0.E0)); +#89832=AXIS2_PLACEMENT_3D('',#89829,#89830,#89831); +#89833=PLANE('',#89832); +#89834=ORIENTED_EDGE('',*,*,#89764,.F.); +#89835=ORIENTED_EDGE('',*,*,#89781,.F.); +#89836=ORIENTED_EDGE('',*,*,#89793,.F.); +#89837=ORIENTED_EDGE('',*,*,#89806,.F.); +#89838=EDGE_LOOP('',(#89834,#89835,#89836,#89837)); +#89839=FACE_OUTER_BOUND('',#89838,.F.); +#89841=CARTESIAN_POINT('',(-3.255E1,-2.155E0,-1.995E1)); +#89842=DIRECTION('',(-1.E0,0.E0,0.E0)); +#89843=DIRECTION('',(0.E0,-1.E0,0.E0)); +#89844=AXIS2_PLACEMENT_3D('',#89841,#89842,#89843); +#89845=PLANE('',#89844); +#89847=ORIENTED_EDGE('',*,*,#89846,.T.); +#89848=ORIENTED_EDGE('',*,*,#89818,.T.); +#89850=ORIENTED_EDGE('',*,*,#89849,.F.); +#89852=ORIENTED_EDGE('',*,*,#89851,.F.); +#89853=EDGE_LOOP('',(#89847,#89848,#89850,#89852)); +#89854=FACE_OUTER_BOUND('',#89853,.F.); +#89856=CARTESIAN_POINT('',(-3.195E1,-2.155E0,-1.995E1)); +#89857=DIRECTION('',(0.E0,1.E0,0.E0)); +#89858=DIRECTION('',(-1.E0,0.E0,0.E0)); +#89859=AXIS2_PLACEMENT_3D('',#89856,#89857,#89858); +#89860=PLANE('',#89859); +#89861=ORIENTED_EDGE('',*,*,#89846,.F.); +#89863=ORIENTED_EDGE('',*,*,#89862,.F.); +#89865=ORIENTED_EDGE('',*,*,#89864,.T.); +#89866=ORIENTED_EDGE('',*,*,#89820,.T.); +#89867=EDGE_LOOP('',(#89861,#89863,#89865,#89866)); +#89868=FACE_OUTER_BOUND('',#89867,.F.); +#89870=CARTESIAN_POINT('',(-3.335E1,-2.07E0,-1.765E1)); +#89871=DIRECTION('',(0.E0,0.E0,1.E0)); +#89872=DIRECTION('',(0.E0,-1.E0,0.E0)); +#89873=AXIS2_PLACEMENT_3D('',#89870,#89871,#89872); +#89874=PLANE('',#89873); +#89876=ORIENTED_EDGE('',*,*,#89875,.T.); +#89878=ORIENTED_EDGE('',*,*,#89877,.F.); +#89880=ORIENTED_EDGE('',*,*,#89879,.T.); +#89882=ORIENTED_EDGE('',*,*,#89881,.T.); +#89883=EDGE_LOOP('',(#89876,#89878,#89880,#89882)); +#89884=FACE_OUTER_BOUND('',#89883,.F.); +#89885=ORIENTED_EDGE('',*,*,#89851,.T.); +#89887=ORIENTED_EDGE('',*,*,#89886,.T.); +#89889=ORIENTED_EDGE('',*,*,#89888,.T.); +#89890=ORIENTED_EDGE('',*,*,#89862,.T.); +#89891=EDGE_LOOP('',(#89885,#89887,#89889,#89890)); +#89892=FACE_BOUND('',#89891,.F.); +#89894=CARTESIAN_POINT('',(-3.255E1,-2.785E0,-1.995E1)); +#89895=DIRECTION('',(0.E0,-1.E0,0.E0)); +#89896=DIRECTION('',(1.E0,0.E0,0.E0)); +#89897=AXIS2_PLACEMENT_3D('',#89894,#89895,#89896); +#89898=PLANE('',#89897); +#89899=ORIENTED_EDGE('',*,*,#89849,.T.); +#89900=ORIENTED_EDGE('',*,*,#89824,.T.); +#89902=ORIENTED_EDGE('',*,*,#89901,.F.); +#89903=ORIENTED_EDGE('',*,*,#89886,.F.); +#89904=EDGE_LOOP('',(#89899,#89900,#89902,#89903)); +#89905=FACE_OUTER_BOUND('',#89904,.F.); +#89907=CARTESIAN_POINT('',(-3.195E1,-2.785E0,-1.995E1)); +#89908=DIRECTION('',(1.E0,0.E0,0.E0)); +#89909=DIRECTION('',(0.E0,1.E0,0.E0)); +#89910=AXIS2_PLACEMENT_3D('',#89907,#89908,#89909); +#89911=PLANE('',#89910); +#89912=ORIENTED_EDGE('',*,*,#89901,.T.); +#89913=ORIENTED_EDGE('',*,*,#89822,.T.); +#89914=ORIENTED_EDGE('',*,*,#89864,.F.); +#89915=ORIENTED_EDGE('',*,*,#89888,.F.); +#89916=EDGE_LOOP('',(#89912,#89913,#89914,#89915)); +#89917=FACE_OUTER_BOUND('',#89916,.F.); +#89919=CARTESIAN_POINT('',(-3.13E1,-2.47E0,-1.78E1)); +#89920=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#89921=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#89922=AXIS2_PLACEMENT_3D('',#89919,#89920,#89921); +#89923=PLANE('',#89922); +#89924=ORIENTED_EDGE('',*,*,#89875,.F.); +#89926=ORIENTED_EDGE('',*,*,#89925,.T.); +#89928=ORIENTED_EDGE('',*,*,#89927,.T.); +#89930=ORIENTED_EDGE('',*,*,#89929,.T.); +#89931=EDGE_LOOP('',(#89924,#89926,#89928,#89930)); +#89932=FACE_OUTER_BOUND('',#89931,.F.); +#89934=CARTESIAN_POINT('',(-3.335E1,-1.77E0,-1.795E1)); +#89935=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#89936=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#89937=AXIS2_PLACEMENT_3D('',#89934,#89935,#89936); +#89938=PLANE('',#89937); +#89939=ORIENTED_EDGE('',*,*,#89925,.F.); +#89940=ORIENTED_EDGE('',*,*,#89881,.F.); +#89942=ORIENTED_EDGE('',*,*,#89941,.F.); +#89944=ORIENTED_EDGE('',*,*,#89943,.F.); +#89945=EDGE_LOOP('',(#89939,#89940,#89942,#89944)); +#89946=FACE_OUTER_BOUND('',#89945,.F.); +#89948=CARTESIAN_POINT('',(-3.32E1,-2.47E0,-1.78E1)); +#89949=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#89950=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#89951=AXIS2_PLACEMENT_3D('',#89948,#89949,#89950); +#89952=PLANE('',#89951); +#89953=ORIENTED_EDGE('',*,*,#89941,.T.); +#89954=ORIENTED_EDGE('',*,*,#89879,.F.); +#89956=ORIENTED_EDGE('',*,*,#89955,.T.); +#89957=ORIENTED_EDGE('',*,*,#89709,.T.); +#89958=EDGE_LOOP('',(#89953,#89954,#89956,#89957)); +#89959=FACE_OUTER_BOUND('',#89958,.F.); +#89961=CARTESIAN_POINT('',(-3.335E1,-2.87E0,-1.765E1)); +#89962=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#89963=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#89964=AXIS2_PLACEMENT_3D('',#89961,#89962,#89963); +#89965=PLANE('',#89964); +#89966=ORIENTED_EDGE('',*,*,#89929,.F.); +#89968=ORIENTED_EDGE('',*,*,#89967,.F.); +#89969=ORIENTED_EDGE('',*,*,#89955,.F.); +#89970=ORIENTED_EDGE('',*,*,#89877,.T.); +#89971=EDGE_LOOP('',(#89966,#89968,#89969,#89970)); +#89972=FACE_OUTER_BOUND('',#89971,.F.); +#89974=CARTESIAN_POINT('',(-3.225E1,-3.257488663526E0,-1.895E1)); +#89975=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#89976=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#89977=AXIS2_PLACEMENT_3D('',#89974,#89975,#89976); +#89978=PLANE('',#89977); +#89979=ORIENTED_EDGE('',*,*,#89711,.T.); +#89980=ORIENTED_EDGE('',*,*,#89967,.T.); +#89982=ORIENTED_EDGE('',*,*,#89981,.T.); +#89983=ORIENTED_EDGE('',*,*,#72213,.F.); +#89984=EDGE_LOOP('',(#89979,#89980,#89982,#89983)); +#89985=FACE_OUTER_BOUND('',#89984,.F.); +#89987=CARTESIAN_POINT('',(-3.115E1,0.E0,-1.065E1)); +#89988=DIRECTION('',(1.E0,0.E0,0.E0)); +#89989=DIRECTION('',(0.E0,0.E0,-1.E0)); +#89990=AXIS2_PLACEMENT_3D('',#89987,#89988,#89989); +#89991=PLANE('',#89990); +#89993=ORIENTED_EDGE('',*,*,#89992,.T.); +#89994=ORIENTED_EDGE('',*,*,#71453,.T.); +#89996=ORIENTED_EDGE('',*,*,#89995,.F.); +#89998=ORIENTED_EDGE('',*,*,#89997,.F.); +#89999=ORIENTED_EDGE('',*,*,#67457,.F.); +#90000=EDGE_LOOP('',(#89993,#89994,#89996,#89998,#89999)); +#90001=FACE_OUTER_BOUND('',#90000,.F.); +#90003=CARTESIAN_POINT('',(-3.115E1,0.E0,-1.065E1)); +#90004=DIRECTION('',(1.E0,0.E0,0.E0)); +#90005=DIRECTION('',(0.E0,0.E0,-1.E0)); +#90006=AXIS2_PLACEMENT_3D('',#90003,#90004,#90005); +#90007=PLANE('',#90006); +#90009=ORIENTED_EDGE('',*,*,#90008,.T.); +#90010=ORIENTED_EDGE('',*,*,#72215,.T.); +#90011=ORIENTED_EDGE('',*,*,#89981,.F.); +#90012=ORIENTED_EDGE('',*,*,#89927,.F.); +#90014=ORIENTED_EDGE('',*,*,#90013,.F.); +#90015=EDGE_LOOP('',(#90009,#90010,#90011,#90012,#90014)); +#90016=FACE_OUTER_BOUND('',#90015,.F.); +#90018=CARTESIAN_POINT('',(-3.12E1,9.63E0,-1.816282873211E1)); +#90019=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#90020=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#90021=AXIS2_PLACEMENT_3D('',#90018,#90019,#90020); +#90022=PLANE('',#90021); +#90023=ORIENTED_EDGE('',*,*,#71455,.F.); +#90024=ORIENTED_EDGE('',*,*,#89992,.F.); +#90025=ORIENTED_EDGE('',*,*,#67455,.T.); +#90026=ORIENTED_EDGE('',*,*,#67479,.F.); +#90028=ORIENTED_EDGE('',*,*,#90027,.T.); +#90029=ORIENTED_EDGE('',*,*,#67820,.T.); +#90030=ORIENTED_EDGE('',*,*,#67872,.F.); +#90032=ORIENTED_EDGE('',*,*,#90031,.T.); +#90033=EDGE_LOOP('',(#90023,#90024,#90025,#90026,#90028,#90029,#90030,#90032)); +#90034=FACE_OUTER_BOUND('',#90033,.F.); +#90036=CARTESIAN_POINT('',(-3.12E1,9.63E0,-1.765E1)); +#90037=DIRECTION('',(0.E0,-1.E0,0.E0)); +#90038=DIRECTION('',(0.E0,0.E0,-1.E0)); +#90039=AXIS2_PLACEMENT_3D('',#90036,#90037,#90038); +#90040=PLANE('',#90039); +#90042=ORIENTED_EDGE('',*,*,#90041,.F.); +#90043=ORIENTED_EDGE('',*,*,#67822,.T.); +#90044=ORIENTED_EDGE('',*,*,#90027,.F.); +#90045=ORIENTED_EDGE('',*,*,#67477,.F.); +#90046=EDGE_LOOP('',(#90042,#90043,#90044,#90045)); +#90047=FACE_OUTER_BOUND('',#90046,.F.); +#90049=CARTESIAN_POINT('',(-3.01E1,9.78E0,-1.78E1)); +#90050=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#90051=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#90052=AXIS2_PLACEMENT_3D('',#90049,#90050,#90051); +#90053=PLANE('',#90052); +#90054=ORIENTED_EDGE('',*,*,#90041,.T.); +#90055=ORIENTED_EDGE('',*,*,#67519,.F.); +#90056=ORIENTED_EDGE('',*,*,#67562,.F.); +#90057=ORIENTED_EDGE('',*,*,#67549,.F.); +#90058=EDGE_LOOP('',(#90054,#90055,#90056,#90057)); +#90059=FACE_OUTER_BOUND('',#90058,.F.); +#90061=CARTESIAN_POINT('',(-2.905E1,0.E0,-1.065E1)); +#90062=DIRECTION('',(1.E0,0.E0,0.E0)); +#90063=DIRECTION('',(0.E0,0.E0,-1.E0)); +#90064=AXIS2_PLACEMENT_3D('',#90061,#90062,#90063); +#90065=PLANE('',#90064); +#90066=ORIENTED_EDGE('',*,*,#90031,.F.); +#90067=ORIENTED_EDGE('',*,*,#67870,.T.); +#90069=ORIENTED_EDGE('',*,*,#90068,.F.); +#90071=ORIENTED_EDGE('',*,*,#90070,.F.); +#90072=ORIENTED_EDGE('',*,*,#71457,.T.); +#90073=EDGE_LOOP('',(#90066,#90067,#90069,#90071,#90072)); +#90074=FACE_OUTER_BOUND('',#90073,.F.); +#90076=CARTESIAN_POINT('',(-2.905E1,0.E0,-1.065E1)); +#90077=DIRECTION('',(1.E0,0.E0,0.E0)); +#90078=DIRECTION('',(0.E0,0.E0,-1.E0)); +#90079=AXIS2_PLACEMENT_3D('',#90076,#90077,#90078); +#90080=PLANE('',#90079); +#90082=ORIENTED_EDGE('',*,*,#90081,.F.); +#90084=ORIENTED_EDGE('',*,*,#90083,.F.); +#90086=ORIENTED_EDGE('',*,*,#90085,.F.); +#90088=ORIENTED_EDGE('',*,*,#90087,.F.); +#90089=ORIENTED_EDGE('',*,*,#72219,.T.); +#90090=EDGE_LOOP('',(#90082,#90084,#90086,#90088,#90089)); +#90091=FACE_OUTER_BOUND('',#90090,.F.); +#90093=CARTESIAN_POINT('',(-2.89E1,6.33E0,-1.78E1)); +#90094=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#90095=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#90096=AXIS2_PLACEMENT_3D('',#90093,#90094,#90095); +#90097=PLANE('',#90096); +#90099=ORIENTED_EDGE('',*,*,#90098,.F.); +#90101=ORIENTED_EDGE('',*,*,#90100,.T.); +#90102=ORIENTED_EDGE('',*,*,#90068,.T.); +#90104=ORIENTED_EDGE('',*,*,#90103,.T.); +#90105=EDGE_LOOP('',(#90099,#90101,#90102,#90104)); +#90106=FACE_OUTER_BOUND('',#90105,.F.); +#90108=CARTESIAN_POINT('',(-2.905E1,6.73E0,-1.765E1)); +#90109=DIRECTION('',(0.E0,0.E0,1.E0)); +#90110=DIRECTION('',(0.E0,-1.E0,0.E0)); +#90111=AXIS2_PLACEMENT_3D('',#90108,#90109,#90110); +#90112=PLANE('',#90111); +#90114=ORIENTED_EDGE('',*,*,#90113,.T.); +#90116=ORIENTED_EDGE('',*,*,#90115,.F.); +#90117=ORIENTED_EDGE('',*,*,#90098,.T.); +#90119=ORIENTED_EDGE('',*,*,#90118,.T.); +#90120=EDGE_LOOP('',(#90114,#90116,#90117,#90119)); +#90121=FACE_OUTER_BOUND('',#90120,.F.); +#90123=ORIENTED_EDGE('',*,*,#90122,.T.); +#90125=ORIENTED_EDGE('',*,*,#90124,.T.); +#90127=ORIENTED_EDGE('',*,*,#90126,.T.); +#90129=ORIENTED_EDGE('',*,*,#90128,.T.); +#90130=EDGE_LOOP('',(#90123,#90125,#90127,#90129)); +#90131=FACE_BOUND('',#90130,.F.); +#90133=CARTESIAN_POINT('',(-2.825E1,6.645E0,-1.995E1)); +#90134=DIRECTION('',(-1.E0,0.E0,0.E0)); +#90135=DIRECTION('',(0.E0,-1.E0,0.E0)); +#90136=AXIS2_PLACEMENT_3D('',#90133,#90134,#90135); +#90137=PLANE('',#90136); +#90139=ORIENTED_EDGE('',*,*,#90138,.T.); +#90141=ORIENTED_EDGE('',*,*,#90140,.T.); +#90143=ORIENTED_EDGE('',*,*,#90142,.F.); +#90144=ORIENTED_EDGE('',*,*,#90122,.F.); +#90145=EDGE_LOOP('',(#90139,#90141,#90143,#90144)); +#90146=FACE_OUTER_BOUND('',#90145,.F.); +#90148=CARTESIAN_POINT('',(-2.765E1,6.645E0,-1.995E1)); +#90149=DIRECTION('',(0.E0,1.E0,0.E0)); +#90150=DIRECTION('',(-1.E0,0.E0,0.E0)); +#90151=AXIS2_PLACEMENT_3D('',#90148,#90149,#90150); +#90152=PLANE('',#90151); +#90153=ORIENTED_EDGE('',*,*,#90138,.F.); +#90154=ORIENTED_EDGE('',*,*,#90128,.F.); +#90156=ORIENTED_EDGE('',*,*,#90155,.T.); +#90158=ORIENTED_EDGE('',*,*,#90157,.T.); +#90159=EDGE_LOOP('',(#90153,#90154,#90156,#90158)); +#90160=FACE_OUTER_BOUND('',#90159,.F.); +#90162=CARTESIAN_POINT('',(-2.765E1,6.015E0,-1.995E1)); +#90163=DIRECTION('',(1.E0,0.E0,0.E0)); +#90164=DIRECTION('',(0.E0,1.E0,0.E0)); +#90165=AXIS2_PLACEMENT_3D('',#90162,#90163,#90164); +#90166=PLANE('',#90165); +#90168=ORIENTED_EDGE('',*,*,#90167,.T.); +#90170=ORIENTED_EDGE('',*,*,#90169,.T.); +#90171=ORIENTED_EDGE('',*,*,#90155,.F.); +#90172=ORIENTED_EDGE('',*,*,#90126,.F.); +#90173=EDGE_LOOP('',(#90168,#90170,#90171,#90172)); +#90174=FACE_OUTER_BOUND('',#90173,.F.); +#90176=CARTESIAN_POINT('',(-2.825E1,6.015E0,-1.995E1)); +#90177=DIRECTION('',(0.E0,-1.E0,0.E0)); +#90178=DIRECTION('',(1.E0,0.E0,0.E0)); +#90179=AXIS2_PLACEMENT_3D('',#90176,#90177,#90178); +#90180=PLANE('',#90179); +#90181=ORIENTED_EDGE('',*,*,#90142,.T.); +#90183=ORIENTED_EDGE('',*,*,#90182,.T.); +#90184=ORIENTED_EDGE('',*,*,#90167,.F.); +#90185=ORIENTED_EDGE('',*,*,#90124,.F.); +#90186=EDGE_LOOP('',(#90181,#90183,#90184,#90185)); +#90187=FACE_OUTER_BOUND('',#90186,.F.); +#90189=CARTESIAN_POINT('',(1.29E1,0.E0,-1.065E1)); +#90190=DIRECTION('',(0.E0,0.E0,1.E0)); +#90191=DIRECTION('',(1.E0,0.E0,0.E0)); +#90192=AXIS2_PLACEMENT_3D('',#90189,#90190,#90191); +#90193=PLANE('',#90192); +#90195=ORIENTED_EDGE('',*,*,#90194,.F.); +#90197=ORIENTED_EDGE('',*,*,#90196,.F.); +#90199=ORIENTED_EDGE('',*,*,#90198,.F.); +#90201=ORIENTED_EDGE('',*,*,#90200,.F.); +#90202=EDGE_LOOP('',(#90195,#90197,#90199,#90201)); +#90203=FACE_OUTER_BOUND('',#90202,.F.); +#90205=CARTESIAN_POINT('',(1.29E1,0.E0,-1.065E1)); +#90206=DIRECTION('',(0.E0,0.E0,1.E0)); +#90207=DIRECTION('',(1.E0,0.E0,0.E0)); +#90208=AXIS2_PLACEMENT_3D('',#90205,#90206,#90207); +#90209=PLANE('',#90208); +#90210=ORIENTED_EDGE('',*,*,#90140,.F.); +#90211=ORIENTED_EDGE('',*,*,#90157,.F.); +#90212=ORIENTED_EDGE('',*,*,#90169,.F.); +#90213=ORIENTED_EDGE('',*,*,#90182,.F.); +#90214=EDGE_LOOP('',(#90210,#90211,#90212,#90213)); +#90215=FACE_OUTER_BOUND('',#90214,.F.); +#90217=CARTESIAN_POINT('',(-2.825E1,-2.155E0,-1.995E1)); +#90218=DIRECTION('',(-1.E0,0.E0,0.E0)); +#90219=DIRECTION('',(0.E0,-1.E0,0.E0)); +#90220=AXIS2_PLACEMENT_3D('',#90217,#90218,#90219); +#90221=PLANE('',#90220); +#90223=ORIENTED_EDGE('',*,*,#90222,.T.); +#90224=ORIENTED_EDGE('',*,*,#90194,.T.); +#90226=ORIENTED_EDGE('',*,*,#90225,.F.); +#90228=ORIENTED_EDGE('',*,*,#90227,.F.); +#90229=EDGE_LOOP('',(#90223,#90224,#90226,#90228)); +#90230=FACE_OUTER_BOUND('',#90229,.F.); +#90232=CARTESIAN_POINT('',(-2.765E1,-2.155E0,-1.995E1)); +#90233=DIRECTION('',(0.E0,1.E0,0.E0)); +#90234=DIRECTION('',(-1.E0,0.E0,0.E0)); +#90235=AXIS2_PLACEMENT_3D('',#90232,#90233,#90234); +#90236=PLANE('',#90235); +#90237=ORIENTED_EDGE('',*,*,#90222,.F.); +#90239=ORIENTED_EDGE('',*,*,#90238,.F.); +#90241=ORIENTED_EDGE('',*,*,#90240,.T.); +#90242=ORIENTED_EDGE('',*,*,#90196,.T.); +#90243=EDGE_LOOP('',(#90237,#90239,#90241,#90242)); +#90244=FACE_OUTER_BOUND('',#90243,.F.); +#90246=CARTESIAN_POINT('',(-2.905E1,-2.07E0,-1.765E1)); +#90247=DIRECTION('',(0.E0,0.E0,1.E0)); +#90248=DIRECTION('',(0.E0,-1.E0,0.E0)); +#90249=AXIS2_PLACEMENT_3D('',#90246,#90247,#90248); +#90250=PLANE('',#90249); +#90252=ORIENTED_EDGE('',*,*,#90251,.T.); +#90254=ORIENTED_EDGE('',*,*,#90253,.F.); +#90256=ORIENTED_EDGE('',*,*,#90255,.T.); +#90258=ORIENTED_EDGE('',*,*,#90257,.T.); +#90259=EDGE_LOOP('',(#90252,#90254,#90256,#90258)); +#90260=FACE_OUTER_BOUND('',#90259,.F.); +#90261=ORIENTED_EDGE('',*,*,#90227,.T.); +#90263=ORIENTED_EDGE('',*,*,#90262,.T.); +#90265=ORIENTED_EDGE('',*,*,#90264,.T.); +#90266=ORIENTED_EDGE('',*,*,#90238,.T.); +#90267=EDGE_LOOP('',(#90261,#90263,#90265,#90266)); +#90268=FACE_BOUND('',#90267,.F.); +#90270=CARTESIAN_POINT('',(-2.825E1,-2.785E0,-1.995E1)); +#90271=DIRECTION('',(0.E0,-1.E0,0.E0)); +#90272=DIRECTION('',(1.E0,0.E0,0.E0)); +#90273=AXIS2_PLACEMENT_3D('',#90270,#90271,#90272); +#90274=PLANE('',#90273); +#90275=ORIENTED_EDGE('',*,*,#90225,.T.); +#90276=ORIENTED_EDGE('',*,*,#90200,.T.); +#90278=ORIENTED_EDGE('',*,*,#90277,.F.); +#90279=ORIENTED_EDGE('',*,*,#90262,.F.); +#90280=EDGE_LOOP('',(#90275,#90276,#90278,#90279)); +#90281=FACE_OUTER_BOUND('',#90280,.F.); +#90283=CARTESIAN_POINT('',(-2.765E1,-2.785E0,-1.995E1)); +#90284=DIRECTION('',(1.E0,0.E0,0.E0)); +#90285=DIRECTION('',(0.E0,1.E0,0.E0)); +#90286=AXIS2_PLACEMENT_3D('',#90283,#90284,#90285); +#90287=PLANE('',#90286); +#90288=ORIENTED_EDGE('',*,*,#90277,.T.); +#90289=ORIENTED_EDGE('',*,*,#90198,.T.); +#90290=ORIENTED_EDGE('',*,*,#90240,.F.); +#90291=ORIENTED_EDGE('',*,*,#90264,.F.); +#90292=EDGE_LOOP('',(#90288,#90289,#90290,#90291)); +#90293=FACE_OUTER_BOUND('',#90292,.F.); +#90295=CARTESIAN_POINT('',(-2.7E1,-2.47E0,-1.78E1)); +#90296=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#90297=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#90298=AXIS2_PLACEMENT_3D('',#90295,#90296,#90297); +#90299=PLANE('',#90298); +#90300=ORIENTED_EDGE('',*,*,#90251,.F.); +#90302=ORIENTED_EDGE('',*,*,#90301,.T.); +#90304=ORIENTED_EDGE('',*,*,#90303,.T.); +#90306=ORIENTED_EDGE('',*,*,#90305,.T.); +#90307=EDGE_LOOP('',(#90300,#90302,#90304,#90306)); +#90308=FACE_OUTER_BOUND('',#90307,.F.); +#90310=CARTESIAN_POINT('',(-2.905E1,-1.77E0,-1.795E1)); +#90311=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#90312=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#90313=AXIS2_PLACEMENT_3D('',#90310,#90311,#90312); +#90314=PLANE('',#90313); +#90315=ORIENTED_EDGE('',*,*,#90301,.F.); +#90316=ORIENTED_EDGE('',*,*,#90257,.F.); +#90318=ORIENTED_EDGE('',*,*,#90317,.F.); +#90320=ORIENTED_EDGE('',*,*,#90319,.F.); +#90321=EDGE_LOOP('',(#90315,#90316,#90318,#90320)); +#90322=FACE_OUTER_BOUND('',#90321,.F.); +#90324=CARTESIAN_POINT('',(-2.89E1,-2.47E0,-1.78E1)); +#90325=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#90326=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#90327=AXIS2_PLACEMENT_3D('',#90324,#90325,#90326); +#90328=PLANE('',#90327); +#90329=ORIENTED_EDGE('',*,*,#90317,.T.); +#90330=ORIENTED_EDGE('',*,*,#90255,.F.); +#90332=ORIENTED_EDGE('',*,*,#90331,.T.); +#90333=ORIENTED_EDGE('',*,*,#90085,.T.); +#90334=EDGE_LOOP('',(#90329,#90330,#90332,#90333)); +#90335=FACE_OUTER_BOUND('',#90334,.F.); +#90337=CARTESIAN_POINT('',(-2.905E1,-2.87E0,-1.765E1)); +#90338=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#90339=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#90340=AXIS2_PLACEMENT_3D('',#90337,#90338,#90339); +#90341=PLANE('',#90340); +#90342=ORIENTED_EDGE('',*,*,#90305,.F.); +#90344=ORIENTED_EDGE('',*,*,#90343,.F.); +#90345=ORIENTED_EDGE('',*,*,#90331,.F.); +#90346=ORIENTED_EDGE('',*,*,#90253,.T.); +#90347=EDGE_LOOP('',(#90342,#90344,#90345,#90346)); +#90348=FACE_OUTER_BOUND('',#90347,.F.); +#90350=CARTESIAN_POINT('',(-2.795E1,-3.257488663526E0,-1.895E1)); +#90351=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#90352=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#90353=AXIS2_PLACEMENT_3D('',#90350,#90351,#90352); +#90354=PLANE('',#90353); +#90355=ORIENTED_EDGE('',*,*,#90087,.T.); +#90356=ORIENTED_EDGE('',*,*,#90343,.T.); +#90358=ORIENTED_EDGE('',*,*,#90357,.T.); +#90359=ORIENTED_EDGE('',*,*,#72221,.F.); +#90360=EDGE_LOOP('',(#90355,#90356,#90358,#90359)); +#90361=FACE_OUTER_BOUND('',#90360,.F.); +#90363=CARTESIAN_POINT('',(-2.685E1,0.E0,-1.065E1)); +#90364=DIRECTION('',(1.E0,0.E0,0.E0)); +#90365=DIRECTION('',(0.E0,0.E0,-1.E0)); +#90366=AXIS2_PLACEMENT_3D('',#90363,#90364,#90365); +#90367=PLANE('',#90366); +#90369=ORIENTED_EDGE('',*,*,#90368,.T.); +#90370=ORIENTED_EDGE('',*,*,#71461,.T.); +#90372=ORIENTED_EDGE('',*,*,#90371,.F.); +#90374=ORIENTED_EDGE('',*,*,#90373,.F.); +#90375=ORIENTED_EDGE('',*,*,#67866,.F.); +#90376=EDGE_LOOP('',(#90369,#90370,#90372,#90374,#90375)); +#90377=FACE_OUTER_BOUND('',#90376,.F.); +#90379=CARTESIAN_POINT('',(-2.685E1,0.E0,-1.065E1)); +#90380=DIRECTION('',(1.E0,0.E0,0.E0)); +#90381=DIRECTION('',(0.E0,0.E0,-1.E0)); +#90382=AXIS2_PLACEMENT_3D('',#90379,#90380,#90381); +#90383=PLANE('',#90382); +#90385=ORIENTED_EDGE('',*,*,#90384,.T.); +#90386=ORIENTED_EDGE('',*,*,#72223,.T.); +#90387=ORIENTED_EDGE('',*,*,#90357,.F.); +#90388=ORIENTED_EDGE('',*,*,#90303,.F.); +#90390=ORIENTED_EDGE('',*,*,#90389,.F.); +#90391=EDGE_LOOP('',(#90385,#90386,#90387,#90388,#90390)); +#90392=FACE_OUTER_BOUND('',#90391,.F.); +#90394=CARTESIAN_POINT('',(-2.69E1,9.63E0,-1.816282873211E1)); +#90395=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#90396=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#90397=AXIS2_PLACEMENT_3D('',#90394,#90395,#90396); +#90398=PLANE('',#90397); +#90399=ORIENTED_EDGE('',*,*,#71463,.F.); +#90400=ORIENTED_EDGE('',*,*,#90368,.F.); +#90401=ORIENTED_EDGE('',*,*,#67864,.T.); +#90402=ORIENTED_EDGE('',*,*,#67888,.F.); +#90404=ORIENTED_EDGE('',*,*,#90403,.T.); +#90405=ORIENTED_EDGE('',*,*,#68229,.T.); +#90406=ORIENTED_EDGE('',*,*,#68281,.F.); +#90408=ORIENTED_EDGE('',*,*,#90407,.T.); +#90409=EDGE_LOOP('',(#90399,#90400,#90401,#90402,#90404,#90405,#90406,#90408)); +#90410=FACE_OUTER_BOUND('',#90409,.F.); +#90412=CARTESIAN_POINT('',(-2.69E1,9.63E0,-1.765E1)); +#90413=DIRECTION('',(0.E0,-1.E0,0.E0)); +#90414=DIRECTION('',(0.E0,0.E0,-1.E0)); +#90415=AXIS2_PLACEMENT_3D('',#90412,#90413,#90414); +#90416=PLANE('',#90415); +#90418=ORIENTED_EDGE('',*,*,#90417,.F.); +#90419=ORIENTED_EDGE('',*,*,#68231,.T.); +#90420=ORIENTED_EDGE('',*,*,#90403,.F.); +#90421=ORIENTED_EDGE('',*,*,#67886,.F.); +#90422=EDGE_LOOP('',(#90418,#90419,#90420,#90421)); +#90423=FACE_OUTER_BOUND('',#90422,.F.); +#90425=CARTESIAN_POINT('',(-2.58E1,9.78E0,-1.78E1)); +#90426=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#90427=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#90428=AXIS2_PLACEMENT_3D('',#90425,#90426,#90427); +#90429=PLANE('',#90428); +#90430=ORIENTED_EDGE('',*,*,#90417,.T.); +#90431=ORIENTED_EDGE('',*,*,#67928,.F.); +#90432=ORIENTED_EDGE('',*,*,#67971,.F.); +#90433=ORIENTED_EDGE('',*,*,#67958,.F.); +#90434=EDGE_LOOP('',(#90430,#90431,#90432,#90433)); +#90435=FACE_OUTER_BOUND('',#90434,.F.); +#90437=CARTESIAN_POINT('',(-2.475E1,0.E0,-1.065E1)); +#90438=DIRECTION('',(1.E0,0.E0,0.E0)); +#90439=DIRECTION('',(0.E0,0.E0,-1.E0)); +#90440=AXIS2_PLACEMENT_3D('',#90437,#90438,#90439); +#90441=PLANE('',#90440); +#90442=ORIENTED_EDGE('',*,*,#90407,.F.); +#90443=ORIENTED_EDGE('',*,*,#68279,.T.); +#90445=ORIENTED_EDGE('',*,*,#90444,.F.); +#90447=ORIENTED_EDGE('',*,*,#90446,.F.); +#90448=ORIENTED_EDGE('',*,*,#71465,.T.); +#90449=EDGE_LOOP('',(#90442,#90443,#90445,#90447,#90448)); +#90450=FACE_OUTER_BOUND('',#90449,.F.); +#90452=CARTESIAN_POINT('',(-2.475E1,0.E0,-1.065E1)); +#90453=DIRECTION('',(1.E0,0.E0,0.E0)); +#90454=DIRECTION('',(0.E0,0.E0,-1.E0)); +#90455=AXIS2_PLACEMENT_3D('',#90452,#90453,#90454); +#90456=PLANE('',#90455); +#90458=ORIENTED_EDGE('',*,*,#90457,.F.); +#90460=ORIENTED_EDGE('',*,*,#90459,.F.); +#90462=ORIENTED_EDGE('',*,*,#90461,.F.); +#90464=ORIENTED_EDGE('',*,*,#90463,.F.); +#90465=ORIENTED_EDGE('',*,*,#72227,.T.); +#90466=EDGE_LOOP('',(#90458,#90460,#90462,#90464,#90465)); +#90467=FACE_OUTER_BOUND('',#90466,.F.); +#90469=CARTESIAN_POINT('',(-2.46E1,6.33E0,-1.78E1)); +#90470=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#90471=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#90472=AXIS2_PLACEMENT_3D('',#90469,#90470,#90471); +#90473=PLANE('',#90472); +#90475=ORIENTED_EDGE('',*,*,#90474,.F.); +#90477=ORIENTED_EDGE('',*,*,#90476,.T.); +#90478=ORIENTED_EDGE('',*,*,#90444,.T.); +#90480=ORIENTED_EDGE('',*,*,#90479,.T.); +#90481=EDGE_LOOP('',(#90475,#90477,#90478,#90480)); +#90482=FACE_OUTER_BOUND('',#90481,.F.); +#90484=CARTESIAN_POINT('',(-2.475E1,6.73E0,-1.765E1)); +#90485=DIRECTION('',(0.E0,0.E0,1.E0)); +#90486=DIRECTION('',(0.E0,-1.E0,0.E0)); +#90487=AXIS2_PLACEMENT_3D('',#90484,#90485,#90486); +#90488=PLANE('',#90487); +#90490=ORIENTED_EDGE('',*,*,#90489,.T.); +#90492=ORIENTED_EDGE('',*,*,#90491,.F.); +#90493=ORIENTED_EDGE('',*,*,#90474,.T.); +#90495=ORIENTED_EDGE('',*,*,#90494,.T.); +#90496=EDGE_LOOP('',(#90490,#90492,#90493,#90495)); +#90497=FACE_OUTER_BOUND('',#90496,.F.); +#90499=ORIENTED_EDGE('',*,*,#90498,.T.); +#90501=ORIENTED_EDGE('',*,*,#90500,.T.); +#90503=ORIENTED_EDGE('',*,*,#90502,.T.); +#90505=ORIENTED_EDGE('',*,*,#90504,.T.); +#90506=EDGE_LOOP('',(#90499,#90501,#90503,#90505)); +#90507=FACE_BOUND('',#90506,.F.); +#90509=CARTESIAN_POINT('',(-2.395E1,6.645E0,-1.995E1)); +#90510=DIRECTION('',(-1.E0,0.E0,0.E0)); +#90511=DIRECTION('',(0.E0,-1.E0,0.E0)); +#90512=AXIS2_PLACEMENT_3D('',#90509,#90510,#90511); +#90513=PLANE('',#90512); +#90515=ORIENTED_EDGE('',*,*,#90514,.T.); +#90517=ORIENTED_EDGE('',*,*,#90516,.T.); +#90519=ORIENTED_EDGE('',*,*,#90518,.F.); +#90520=ORIENTED_EDGE('',*,*,#90498,.F.); +#90521=EDGE_LOOP('',(#90515,#90517,#90519,#90520)); +#90522=FACE_OUTER_BOUND('',#90521,.F.); +#90524=CARTESIAN_POINT('',(-2.335E1,6.645E0,-1.995E1)); +#90525=DIRECTION('',(0.E0,1.E0,0.E0)); +#90526=DIRECTION('',(-1.E0,0.E0,0.E0)); +#90527=AXIS2_PLACEMENT_3D('',#90524,#90525,#90526); +#90528=PLANE('',#90527); +#90529=ORIENTED_EDGE('',*,*,#90514,.F.); +#90530=ORIENTED_EDGE('',*,*,#90504,.F.); +#90532=ORIENTED_EDGE('',*,*,#90531,.T.); +#90534=ORIENTED_EDGE('',*,*,#90533,.T.); +#90535=EDGE_LOOP('',(#90529,#90530,#90532,#90534)); +#90536=FACE_OUTER_BOUND('',#90535,.F.); +#90538=CARTESIAN_POINT('',(-2.335E1,6.015E0,-1.995E1)); +#90539=DIRECTION('',(1.E0,0.E0,0.E0)); +#90540=DIRECTION('',(0.E0,1.E0,0.E0)); +#90541=AXIS2_PLACEMENT_3D('',#90538,#90539,#90540); +#90542=PLANE('',#90541); +#90544=ORIENTED_EDGE('',*,*,#90543,.T.); +#90546=ORIENTED_EDGE('',*,*,#90545,.T.); +#90547=ORIENTED_EDGE('',*,*,#90531,.F.); +#90548=ORIENTED_EDGE('',*,*,#90502,.F.); +#90549=EDGE_LOOP('',(#90544,#90546,#90547,#90548)); +#90550=FACE_OUTER_BOUND('',#90549,.F.); +#90552=CARTESIAN_POINT('',(-2.395E1,6.015E0,-1.995E1)); +#90553=DIRECTION('',(0.E0,-1.E0,0.E0)); +#90554=DIRECTION('',(1.E0,0.E0,0.E0)); +#90555=AXIS2_PLACEMENT_3D('',#90552,#90553,#90554); +#90556=PLANE('',#90555); +#90557=ORIENTED_EDGE('',*,*,#90518,.T.); +#90559=ORIENTED_EDGE('',*,*,#90558,.T.); +#90560=ORIENTED_EDGE('',*,*,#90543,.F.); +#90561=ORIENTED_EDGE('',*,*,#90500,.F.); +#90562=EDGE_LOOP('',(#90557,#90559,#90560,#90561)); +#90563=FACE_OUTER_BOUND('',#90562,.F.); +#90565=CARTESIAN_POINT('',(1.72E1,0.E0,-1.065E1)); +#90566=DIRECTION('',(0.E0,0.E0,1.E0)); +#90567=DIRECTION('',(1.E0,0.E0,0.E0)); +#90568=AXIS2_PLACEMENT_3D('',#90565,#90566,#90567); +#90569=PLANE('',#90568); +#90571=ORIENTED_EDGE('',*,*,#90570,.F.); +#90573=ORIENTED_EDGE('',*,*,#90572,.F.); +#90575=ORIENTED_EDGE('',*,*,#90574,.F.); +#90577=ORIENTED_EDGE('',*,*,#90576,.F.); +#90578=EDGE_LOOP('',(#90571,#90573,#90575,#90577)); +#90579=FACE_OUTER_BOUND('',#90578,.F.); +#90581=CARTESIAN_POINT('',(1.72E1,0.E0,-1.065E1)); +#90582=DIRECTION('',(0.E0,0.E0,1.E0)); +#90583=DIRECTION('',(1.E0,0.E0,0.E0)); +#90584=AXIS2_PLACEMENT_3D('',#90581,#90582,#90583); +#90585=PLANE('',#90584); +#90586=ORIENTED_EDGE('',*,*,#90516,.F.); +#90587=ORIENTED_EDGE('',*,*,#90533,.F.); +#90588=ORIENTED_EDGE('',*,*,#90545,.F.); +#90589=ORIENTED_EDGE('',*,*,#90558,.F.); +#90590=EDGE_LOOP('',(#90586,#90587,#90588,#90589)); +#90591=FACE_OUTER_BOUND('',#90590,.F.); +#90593=CARTESIAN_POINT('',(-2.395E1,-2.155E0,-1.995E1)); +#90594=DIRECTION('',(-1.E0,0.E0,0.E0)); +#90595=DIRECTION('',(0.E0,-1.E0,0.E0)); +#90596=AXIS2_PLACEMENT_3D('',#90593,#90594,#90595); +#90597=PLANE('',#90596); +#90599=ORIENTED_EDGE('',*,*,#90598,.T.); +#90600=ORIENTED_EDGE('',*,*,#90570,.T.); +#90602=ORIENTED_EDGE('',*,*,#90601,.F.); +#90604=ORIENTED_EDGE('',*,*,#90603,.F.); +#90605=EDGE_LOOP('',(#90599,#90600,#90602,#90604)); +#90606=FACE_OUTER_BOUND('',#90605,.F.); +#90608=CARTESIAN_POINT('',(-2.335E1,-2.155E0,-1.995E1)); +#90609=DIRECTION('',(0.E0,1.E0,0.E0)); +#90610=DIRECTION('',(-1.E0,0.E0,0.E0)); +#90611=AXIS2_PLACEMENT_3D('',#90608,#90609,#90610); +#90612=PLANE('',#90611); +#90613=ORIENTED_EDGE('',*,*,#90598,.F.); +#90615=ORIENTED_EDGE('',*,*,#90614,.F.); +#90617=ORIENTED_EDGE('',*,*,#90616,.T.); +#90618=ORIENTED_EDGE('',*,*,#90572,.T.); +#90619=EDGE_LOOP('',(#90613,#90615,#90617,#90618)); +#90620=FACE_OUTER_BOUND('',#90619,.F.); +#90622=CARTESIAN_POINT('',(-2.475E1,-2.07E0,-1.765E1)); +#90623=DIRECTION('',(0.E0,0.E0,1.E0)); +#90624=DIRECTION('',(0.E0,-1.E0,0.E0)); +#90625=AXIS2_PLACEMENT_3D('',#90622,#90623,#90624); +#90626=PLANE('',#90625); +#90628=ORIENTED_EDGE('',*,*,#90627,.T.); +#90630=ORIENTED_EDGE('',*,*,#90629,.F.); +#90632=ORIENTED_EDGE('',*,*,#90631,.T.); +#90634=ORIENTED_EDGE('',*,*,#90633,.T.); +#90635=EDGE_LOOP('',(#90628,#90630,#90632,#90634)); +#90636=FACE_OUTER_BOUND('',#90635,.F.); +#90637=ORIENTED_EDGE('',*,*,#90603,.T.); +#90639=ORIENTED_EDGE('',*,*,#90638,.T.); +#90641=ORIENTED_EDGE('',*,*,#90640,.T.); +#90642=ORIENTED_EDGE('',*,*,#90614,.T.); +#90643=EDGE_LOOP('',(#90637,#90639,#90641,#90642)); +#90644=FACE_BOUND('',#90643,.F.); +#90646=CARTESIAN_POINT('',(-2.395E1,-2.785E0,-1.995E1)); +#90647=DIRECTION('',(0.E0,-1.E0,0.E0)); +#90648=DIRECTION('',(1.E0,0.E0,0.E0)); +#90649=AXIS2_PLACEMENT_3D('',#90646,#90647,#90648); +#90650=PLANE('',#90649); +#90651=ORIENTED_EDGE('',*,*,#90601,.T.); +#90652=ORIENTED_EDGE('',*,*,#90576,.T.); +#90654=ORIENTED_EDGE('',*,*,#90653,.F.); +#90655=ORIENTED_EDGE('',*,*,#90638,.F.); +#90656=EDGE_LOOP('',(#90651,#90652,#90654,#90655)); +#90657=FACE_OUTER_BOUND('',#90656,.F.); +#90659=CARTESIAN_POINT('',(-2.335E1,-2.785E0,-1.995E1)); +#90660=DIRECTION('',(1.E0,0.E0,0.E0)); +#90661=DIRECTION('',(0.E0,1.E0,0.E0)); +#90662=AXIS2_PLACEMENT_3D('',#90659,#90660,#90661); +#90663=PLANE('',#90662); +#90664=ORIENTED_EDGE('',*,*,#90653,.T.); +#90665=ORIENTED_EDGE('',*,*,#90574,.T.); +#90666=ORIENTED_EDGE('',*,*,#90616,.F.); +#90667=ORIENTED_EDGE('',*,*,#90640,.F.); +#90668=EDGE_LOOP('',(#90664,#90665,#90666,#90667)); +#90669=FACE_OUTER_BOUND('',#90668,.F.); +#90671=CARTESIAN_POINT('',(-2.27E1,-2.47E0,-1.78E1)); +#90672=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#90673=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#90674=AXIS2_PLACEMENT_3D('',#90671,#90672,#90673); +#90675=PLANE('',#90674); +#90676=ORIENTED_EDGE('',*,*,#90627,.F.); +#90678=ORIENTED_EDGE('',*,*,#90677,.T.); +#90680=ORIENTED_EDGE('',*,*,#90679,.T.); +#90682=ORIENTED_EDGE('',*,*,#90681,.T.); +#90683=EDGE_LOOP('',(#90676,#90678,#90680,#90682)); +#90684=FACE_OUTER_BOUND('',#90683,.F.); +#90686=CARTESIAN_POINT('',(-2.475E1,-1.77E0,-1.795E1)); +#90687=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#90688=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#90689=AXIS2_PLACEMENT_3D('',#90686,#90687,#90688); +#90690=PLANE('',#90689); +#90691=ORIENTED_EDGE('',*,*,#90677,.F.); +#90692=ORIENTED_EDGE('',*,*,#90633,.F.); +#90694=ORIENTED_EDGE('',*,*,#90693,.F.); +#90696=ORIENTED_EDGE('',*,*,#90695,.F.); +#90697=EDGE_LOOP('',(#90691,#90692,#90694,#90696)); +#90698=FACE_OUTER_BOUND('',#90697,.F.); +#90700=CARTESIAN_POINT('',(-2.46E1,-2.47E0,-1.78E1)); +#90701=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#90702=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#90703=AXIS2_PLACEMENT_3D('',#90700,#90701,#90702); +#90704=PLANE('',#90703); +#90705=ORIENTED_EDGE('',*,*,#90693,.T.); +#90706=ORIENTED_EDGE('',*,*,#90631,.F.); +#90708=ORIENTED_EDGE('',*,*,#90707,.T.); +#90709=ORIENTED_EDGE('',*,*,#90461,.T.); +#90710=EDGE_LOOP('',(#90705,#90706,#90708,#90709)); +#90711=FACE_OUTER_BOUND('',#90710,.F.); +#90713=CARTESIAN_POINT('',(-2.475E1,-2.87E0,-1.765E1)); +#90714=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#90715=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#90716=AXIS2_PLACEMENT_3D('',#90713,#90714,#90715); +#90717=PLANE('',#90716); +#90718=ORIENTED_EDGE('',*,*,#90681,.F.); +#90720=ORIENTED_EDGE('',*,*,#90719,.F.); +#90721=ORIENTED_EDGE('',*,*,#90707,.F.); +#90722=ORIENTED_EDGE('',*,*,#90629,.T.); +#90723=EDGE_LOOP('',(#90718,#90720,#90721,#90722)); +#90724=FACE_OUTER_BOUND('',#90723,.F.); +#90726=CARTESIAN_POINT('',(-2.365E1,-3.257488663526E0,-1.895E1)); +#90727=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#90728=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#90729=AXIS2_PLACEMENT_3D('',#90726,#90727,#90728); +#90730=PLANE('',#90729); +#90731=ORIENTED_EDGE('',*,*,#90463,.T.); +#90732=ORIENTED_EDGE('',*,*,#90719,.T.); +#90734=ORIENTED_EDGE('',*,*,#90733,.T.); +#90735=ORIENTED_EDGE('',*,*,#72229,.F.); +#90736=EDGE_LOOP('',(#90731,#90732,#90734,#90735)); +#90737=FACE_OUTER_BOUND('',#90736,.F.); +#90739=CARTESIAN_POINT('',(-2.255E1,0.E0,-1.065E1)); +#90740=DIRECTION('',(1.E0,0.E0,0.E0)); +#90741=DIRECTION('',(0.E0,0.E0,-1.E0)); +#90742=AXIS2_PLACEMENT_3D('',#90739,#90740,#90741); +#90743=PLANE('',#90742); +#90745=ORIENTED_EDGE('',*,*,#90744,.T.); +#90746=ORIENTED_EDGE('',*,*,#71469,.T.); +#90748=ORIENTED_EDGE('',*,*,#90747,.F.); +#90750=ORIENTED_EDGE('',*,*,#90749,.F.); +#90751=ORIENTED_EDGE('',*,*,#68275,.F.); +#90752=EDGE_LOOP('',(#90745,#90746,#90748,#90750,#90751)); +#90753=FACE_OUTER_BOUND('',#90752,.F.); +#90755=CARTESIAN_POINT('',(-2.255E1,0.E0,-1.065E1)); +#90756=DIRECTION('',(1.E0,0.E0,0.E0)); +#90757=DIRECTION('',(0.E0,0.E0,-1.E0)); +#90758=AXIS2_PLACEMENT_3D('',#90755,#90756,#90757); +#90759=PLANE('',#90758); +#90761=ORIENTED_EDGE('',*,*,#90760,.T.); +#90762=ORIENTED_EDGE('',*,*,#72231,.T.); +#90763=ORIENTED_EDGE('',*,*,#90733,.F.); +#90764=ORIENTED_EDGE('',*,*,#90679,.F.); +#90766=ORIENTED_EDGE('',*,*,#90765,.F.); +#90767=EDGE_LOOP('',(#90761,#90762,#90763,#90764,#90766)); +#90768=FACE_OUTER_BOUND('',#90767,.F.); +#90770=CARTESIAN_POINT('',(-2.26E1,9.63E0,-1.816282873211E1)); +#90771=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#90772=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#90773=AXIS2_PLACEMENT_3D('',#90770,#90771,#90772); +#90774=PLANE('',#90773); +#90775=ORIENTED_EDGE('',*,*,#71471,.F.); +#90776=ORIENTED_EDGE('',*,*,#90744,.F.); +#90777=ORIENTED_EDGE('',*,*,#68273,.T.); +#90778=ORIENTED_EDGE('',*,*,#68297,.F.); +#90780=ORIENTED_EDGE('',*,*,#90779,.T.); +#90781=ORIENTED_EDGE('',*,*,#68638,.T.); +#90782=ORIENTED_EDGE('',*,*,#68690,.F.); +#90784=ORIENTED_EDGE('',*,*,#90783,.T.); +#90785=EDGE_LOOP('',(#90775,#90776,#90777,#90778,#90780,#90781,#90782,#90784)); +#90786=FACE_OUTER_BOUND('',#90785,.F.); +#90788=CARTESIAN_POINT('',(-2.26E1,9.63E0,-1.765E1)); +#90789=DIRECTION('',(0.E0,-1.E0,0.E0)); +#90790=DIRECTION('',(0.E0,0.E0,-1.E0)); +#90791=AXIS2_PLACEMENT_3D('',#90788,#90789,#90790); +#90792=PLANE('',#90791); +#90794=ORIENTED_EDGE('',*,*,#90793,.F.); +#90795=ORIENTED_EDGE('',*,*,#68640,.T.); +#90796=ORIENTED_EDGE('',*,*,#90779,.F.); +#90797=ORIENTED_EDGE('',*,*,#68295,.F.); +#90798=EDGE_LOOP('',(#90794,#90795,#90796,#90797)); +#90799=FACE_OUTER_BOUND('',#90798,.F.); +#90801=CARTESIAN_POINT('',(-2.15E1,9.78E0,-1.78E1)); +#90802=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#90803=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#90804=AXIS2_PLACEMENT_3D('',#90801,#90802,#90803); +#90805=PLANE('',#90804); +#90806=ORIENTED_EDGE('',*,*,#90793,.T.); +#90807=ORIENTED_EDGE('',*,*,#68337,.F.); +#90808=ORIENTED_EDGE('',*,*,#68380,.F.); +#90809=ORIENTED_EDGE('',*,*,#68367,.F.); +#90810=EDGE_LOOP('',(#90806,#90807,#90808,#90809)); +#90811=FACE_OUTER_BOUND('',#90810,.F.); +#90813=CARTESIAN_POINT('',(-2.045E1,0.E0,-1.065E1)); +#90814=DIRECTION('',(1.E0,0.E0,0.E0)); +#90815=DIRECTION('',(0.E0,0.E0,-1.E0)); +#90816=AXIS2_PLACEMENT_3D('',#90813,#90814,#90815); +#90817=PLANE('',#90816); +#90818=ORIENTED_EDGE('',*,*,#90783,.F.); +#90819=ORIENTED_EDGE('',*,*,#68688,.T.); +#90821=ORIENTED_EDGE('',*,*,#90820,.F.); +#90823=ORIENTED_EDGE('',*,*,#90822,.F.); +#90824=ORIENTED_EDGE('',*,*,#71473,.T.); +#90825=EDGE_LOOP('',(#90818,#90819,#90821,#90823,#90824)); +#90826=FACE_OUTER_BOUND('',#90825,.F.); +#90828=CARTESIAN_POINT('',(-2.045E1,0.E0,-1.065E1)); +#90829=DIRECTION('',(1.E0,0.E0,0.E0)); +#90830=DIRECTION('',(0.E0,0.E0,-1.E0)); +#90831=AXIS2_PLACEMENT_3D('',#90828,#90829,#90830); +#90832=PLANE('',#90831); +#90834=ORIENTED_EDGE('',*,*,#90833,.F.); +#90836=ORIENTED_EDGE('',*,*,#90835,.F.); +#90838=ORIENTED_EDGE('',*,*,#90837,.F.); +#90840=ORIENTED_EDGE('',*,*,#90839,.F.); +#90841=ORIENTED_EDGE('',*,*,#72235,.T.); +#90842=EDGE_LOOP('',(#90834,#90836,#90838,#90840,#90841)); +#90843=FACE_OUTER_BOUND('',#90842,.F.); +#90845=CARTESIAN_POINT('',(-2.03E1,6.33E0,-1.78E1)); +#90846=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#90847=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#90848=AXIS2_PLACEMENT_3D('',#90845,#90846,#90847); +#90849=PLANE('',#90848); +#90851=ORIENTED_EDGE('',*,*,#90850,.F.); +#90853=ORIENTED_EDGE('',*,*,#90852,.T.); +#90854=ORIENTED_EDGE('',*,*,#90820,.T.); +#90856=ORIENTED_EDGE('',*,*,#90855,.T.); +#90857=EDGE_LOOP('',(#90851,#90853,#90854,#90856)); +#90858=FACE_OUTER_BOUND('',#90857,.F.); +#90860=CARTESIAN_POINT('',(-2.045E1,6.73E0,-1.765E1)); +#90861=DIRECTION('',(0.E0,0.E0,1.E0)); +#90862=DIRECTION('',(0.E0,-1.E0,0.E0)); +#90863=AXIS2_PLACEMENT_3D('',#90860,#90861,#90862); +#90864=PLANE('',#90863); +#90866=ORIENTED_EDGE('',*,*,#90865,.T.); +#90868=ORIENTED_EDGE('',*,*,#90867,.F.); +#90869=ORIENTED_EDGE('',*,*,#90850,.T.); +#90871=ORIENTED_EDGE('',*,*,#90870,.T.); +#90872=EDGE_LOOP('',(#90866,#90868,#90869,#90871)); +#90873=FACE_OUTER_BOUND('',#90872,.F.); +#90875=ORIENTED_EDGE('',*,*,#90874,.T.); +#90877=ORIENTED_EDGE('',*,*,#90876,.T.); +#90879=ORIENTED_EDGE('',*,*,#90878,.T.); +#90881=ORIENTED_EDGE('',*,*,#90880,.T.); +#90882=EDGE_LOOP('',(#90875,#90877,#90879,#90881)); +#90883=FACE_BOUND('',#90882,.F.); +#90885=CARTESIAN_POINT('',(-1.965E1,6.645E0,-1.995E1)); +#90886=DIRECTION('',(-1.E0,0.E0,0.E0)); +#90887=DIRECTION('',(0.E0,-1.E0,0.E0)); +#90888=AXIS2_PLACEMENT_3D('',#90885,#90886,#90887); +#90889=PLANE('',#90888); +#90891=ORIENTED_EDGE('',*,*,#90890,.T.); +#90893=ORIENTED_EDGE('',*,*,#90892,.T.); +#90895=ORIENTED_EDGE('',*,*,#90894,.F.); +#90896=ORIENTED_EDGE('',*,*,#90874,.F.); +#90897=EDGE_LOOP('',(#90891,#90893,#90895,#90896)); +#90898=FACE_OUTER_BOUND('',#90897,.F.); +#90900=CARTESIAN_POINT('',(-1.905E1,6.645E0,-1.995E1)); +#90901=DIRECTION('',(0.E0,1.E0,0.E0)); +#90902=DIRECTION('',(-1.E0,0.E0,0.E0)); +#90903=AXIS2_PLACEMENT_3D('',#90900,#90901,#90902); +#90904=PLANE('',#90903); +#90905=ORIENTED_EDGE('',*,*,#90890,.F.); +#90906=ORIENTED_EDGE('',*,*,#90880,.F.); +#90908=ORIENTED_EDGE('',*,*,#90907,.T.); +#90910=ORIENTED_EDGE('',*,*,#90909,.T.); +#90911=EDGE_LOOP('',(#90905,#90906,#90908,#90910)); +#90912=FACE_OUTER_BOUND('',#90911,.F.); +#90914=CARTESIAN_POINT('',(-1.905E1,6.015E0,-1.995E1)); +#90915=DIRECTION('',(1.E0,0.E0,0.E0)); +#90916=DIRECTION('',(0.E0,1.E0,0.E0)); +#90917=AXIS2_PLACEMENT_3D('',#90914,#90915,#90916); +#90918=PLANE('',#90917); +#90920=ORIENTED_EDGE('',*,*,#90919,.T.); +#90922=ORIENTED_EDGE('',*,*,#90921,.T.); +#90923=ORIENTED_EDGE('',*,*,#90907,.F.); +#90924=ORIENTED_EDGE('',*,*,#90878,.F.); +#90925=EDGE_LOOP('',(#90920,#90922,#90923,#90924)); +#90926=FACE_OUTER_BOUND('',#90925,.F.); +#90928=CARTESIAN_POINT('',(-1.965E1,6.015E0,-1.995E1)); +#90929=DIRECTION('',(0.E0,-1.E0,0.E0)); +#90930=DIRECTION('',(1.E0,0.E0,0.E0)); +#90931=AXIS2_PLACEMENT_3D('',#90928,#90929,#90930); +#90932=PLANE('',#90931); +#90933=ORIENTED_EDGE('',*,*,#90894,.T.); +#90935=ORIENTED_EDGE('',*,*,#90934,.T.); +#90936=ORIENTED_EDGE('',*,*,#90919,.F.); +#90937=ORIENTED_EDGE('',*,*,#90876,.F.); +#90938=EDGE_LOOP('',(#90933,#90935,#90936,#90937)); +#90939=FACE_OUTER_BOUND('',#90938,.F.); +#90941=CARTESIAN_POINT('',(2.15E1,0.E0,-1.065E1)); +#90942=DIRECTION('',(0.E0,0.E0,1.E0)); +#90943=DIRECTION('',(1.E0,0.E0,0.E0)); +#90944=AXIS2_PLACEMENT_3D('',#90941,#90942,#90943); +#90945=PLANE('',#90944); +#90947=ORIENTED_EDGE('',*,*,#90946,.F.); +#90949=ORIENTED_EDGE('',*,*,#90948,.F.); +#90951=ORIENTED_EDGE('',*,*,#90950,.F.); +#90953=ORIENTED_EDGE('',*,*,#90952,.F.); +#90954=EDGE_LOOP('',(#90947,#90949,#90951,#90953)); +#90955=FACE_OUTER_BOUND('',#90954,.F.); +#90957=CARTESIAN_POINT('',(2.15E1,0.E0,-1.065E1)); +#90958=DIRECTION('',(0.E0,0.E0,1.E0)); +#90959=DIRECTION('',(1.E0,0.E0,0.E0)); +#90960=AXIS2_PLACEMENT_3D('',#90957,#90958,#90959); +#90961=PLANE('',#90960); +#90962=ORIENTED_EDGE('',*,*,#90892,.F.); +#90963=ORIENTED_EDGE('',*,*,#90909,.F.); +#90964=ORIENTED_EDGE('',*,*,#90921,.F.); +#90965=ORIENTED_EDGE('',*,*,#90934,.F.); +#90966=EDGE_LOOP('',(#90962,#90963,#90964,#90965)); +#90967=FACE_OUTER_BOUND('',#90966,.F.); +#90969=CARTESIAN_POINT('',(-1.965E1,-2.155E0,-1.995E1)); +#90970=DIRECTION('',(-1.E0,0.E0,0.E0)); +#90971=DIRECTION('',(0.E0,-1.E0,0.E0)); +#90972=AXIS2_PLACEMENT_3D('',#90969,#90970,#90971); +#90973=PLANE('',#90972); +#90975=ORIENTED_EDGE('',*,*,#90974,.T.); +#90976=ORIENTED_EDGE('',*,*,#90946,.T.); +#90978=ORIENTED_EDGE('',*,*,#90977,.F.); +#90980=ORIENTED_EDGE('',*,*,#90979,.F.); +#90981=EDGE_LOOP('',(#90975,#90976,#90978,#90980)); +#90982=FACE_OUTER_BOUND('',#90981,.F.); +#90984=CARTESIAN_POINT('',(-1.905E1,-2.155E0,-1.995E1)); +#90985=DIRECTION('',(0.E0,1.E0,0.E0)); +#90986=DIRECTION('',(-1.E0,0.E0,0.E0)); +#90987=AXIS2_PLACEMENT_3D('',#90984,#90985,#90986); +#90988=PLANE('',#90987); +#90989=ORIENTED_EDGE('',*,*,#90974,.F.); +#90991=ORIENTED_EDGE('',*,*,#90990,.F.); +#90993=ORIENTED_EDGE('',*,*,#90992,.T.); +#90994=ORIENTED_EDGE('',*,*,#90948,.T.); +#90995=EDGE_LOOP('',(#90989,#90991,#90993,#90994)); +#90996=FACE_OUTER_BOUND('',#90995,.F.); +#90998=CARTESIAN_POINT('',(-2.045E1,-2.07E0,-1.765E1)); +#90999=DIRECTION('',(0.E0,0.E0,1.E0)); +#91000=DIRECTION('',(0.E0,-1.E0,0.E0)); +#91001=AXIS2_PLACEMENT_3D('',#90998,#90999,#91000); +#91002=PLANE('',#91001); +#91004=ORIENTED_EDGE('',*,*,#91003,.T.); +#91006=ORIENTED_EDGE('',*,*,#91005,.F.); +#91008=ORIENTED_EDGE('',*,*,#91007,.T.); +#91010=ORIENTED_EDGE('',*,*,#91009,.T.); +#91011=EDGE_LOOP('',(#91004,#91006,#91008,#91010)); +#91012=FACE_OUTER_BOUND('',#91011,.F.); +#91013=ORIENTED_EDGE('',*,*,#90979,.T.); +#91015=ORIENTED_EDGE('',*,*,#91014,.T.); +#91017=ORIENTED_EDGE('',*,*,#91016,.T.); +#91018=ORIENTED_EDGE('',*,*,#90990,.T.); +#91019=EDGE_LOOP('',(#91013,#91015,#91017,#91018)); +#91020=FACE_BOUND('',#91019,.F.); +#91022=CARTESIAN_POINT('',(-1.965E1,-2.785E0,-1.995E1)); +#91023=DIRECTION('',(0.E0,-1.E0,0.E0)); +#91024=DIRECTION('',(1.E0,0.E0,0.E0)); +#91025=AXIS2_PLACEMENT_3D('',#91022,#91023,#91024); +#91026=PLANE('',#91025); +#91027=ORIENTED_EDGE('',*,*,#90977,.T.); +#91028=ORIENTED_EDGE('',*,*,#90952,.T.); +#91030=ORIENTED_EDGE('',*,*,#91029,.F.); +#91031=ORIENTED_EDGE('',*,*,#91014,.F.); +#91032=EDGE_LOOP('',(#91027,#91028,#91030,#91031)); +#91033=FACE_OUTER_BOUND('',#91032,.F.); +#91035=CARTESIAN_POINT('',(-1.905E1,-2.785E0,-1.995E1)); +#91036=DIRECTION('',(1.E0,0.E0,0.E0)); +#91037=DIRECTION('',(0.E0,1.E0,0.E0)); +#91038=AXIS2_PLACEMENT_3D('',#91035,#91036,#91037); +#91039=PLANE('',#91038); +#91040=ORIENTED_EDGE('',*,*,#91029,.T.); +#91041=ORIENTED_EDGE('',*,*,#90950,.T.); +#91042=ORIENTED_EDGE('',*,*,#90992,.F.); +#91043=ORIENTED_EDGE('',*,*,#91016,.F.); +#91044=EDGE_LOOP('',(#91040,#91041,#91042,#91043)); +#91045=FACE_OUTER_BOUND('',#91044,.F.); +#91047=CARTESIAN_POINT('',(-1.84E1,-2.47E0,-1.78E1)); +#91048=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#91049=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#91050=AXIS2_PLACEMENT_3D('',#91047,#91048,#91049); +#91051=PLANE('',#91050); +#91052=ORIENTED_EDGE('',*,*,#91003,.F.); +#91054=ORIENTED_EDGE('',*,*,#91053,.T.); +#91056=ORIENTED_EDGE('',*,*,#91055,.T.); +#91058=ORIENTED_EDGE('',*,*,#91057,.T.); +#91059=EDGE_LOOP('',(#91052,#91054,#91056,#91058)); +#91060=FACE_OUTER_BOUND('',#91059,.F.); +#91062=CARTESIAN_POINT('',(-2.045E1,-1.77E0,-1.795E1)); +#91063=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#91064=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#91065=AXIS2_PLACEMENT_3D('',#91062,#91063,#91064); +#91066=PLANE('',#91065); +#91067=ORIENTED_EDGE('',*,*,#91053,.F.); +#91068=ORIENTED_EDGE('',*,*,#91009,.F.); +#91070=ORIENTED_EDGE('',*,*,#91069,.F.); +#91072=ORIENTED_EDGE('',*,*,#91071,.F.); +#91073=EDGE_LOOP('',(#91067,#91068,#91070,#91072)); +#91074=FACE_OUTER_BOUND('',#91073,.F.); +#91076=CARTESIAN_POINT('',(-2.03E1,-2.47E0,-1.78E1)); +#91077=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#91078=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#91079=AXIS2_PLACEMENT_3D('',#91076,#91077,#91078); +#91080=PLANE('',#91079); +#91081=ORIENTED_EDGE('',*,*,#91069,.T.); +#91082=ORIENTED_EDGE('',*,*,#91007,.F.); +#91084=ORIENTED_EDGE('',*,*,#91083,.T.); +#91085=ORIENTED_EDGE('',*,*,#90837,.T.); +#91086=EDGE_LOOP('',(#91081,#91082,#91084,#91085)); +#91087=FACE_OUTER_BOUND('',#91086,.F.); +#91089=CARTESIAN_POINT('',(-2.045E1,-2.87E0,-1.765E1)); +#91090=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#91091=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#91092=AXIS2_PLACEMENT_3D('',#91089,#91090,#91091); +#91093=PLANE('',#91092); +#91094=ORIENTED_EDGE('',*,*,#91057,.F.); +#91096=ORIENTED_EDGE('',*,*,#91095,.F.); +#91097=ORIENTED_EDGE('',*,*,#91083,.F.); +#91098=ORIENTED_EDGE('',*,*,#91005,.T.); +#91099=EDGE_LOOP('',(#91094,#91096,#91097,#91098)); +#91100=FACE_OUTER_BOUND('',#91099,.F.); +#91102=CARTESIAN_POINT('',(-1.935E1,-3.257488663526E0,-1.895E1)); +#91103=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#91104=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#91105=AXIS2_PLACEMENT_3D('',#91102,#91103,#91104); +#91106=PLANE('',#91105); +#91107=ORIENTED_EDGE('',*,*,#90839,.T.); +#91108=ORIENTED_EDGE('',*,*,#91095,.T.); +#91110=ORIENTED_EDGE('',*,*,#91109,.T.); +#91111=ORIENTED_EDGE('',*,*,#72237,.F.); +#91112=EDGE_LOOP('',(#91107,#91108,#91110,#91111)); +#91113=FACE_OUTER_BOUND('',#91112,.F.); +#91115=CARTESIAN_POINT('',(-1.825E1,0.E0,-1.065E1)); +#91116=DIRECTION('',(1.E0,0.E0,0.E0)); +#91117=DIRECTION('',(0.E0,0.E0,-1.E0)); +#91118=AXIS2_PLACEMENT_3D('',#91115,#91116,#91117); +#91119=PLANE('',#91118); +#91121=ORIENTED_EDGE('',*,*,#91120,.T.); +#91122=ORIENTED_EDGE('',*,*,#71477,.T.); +#91124=ORIENTED_EDGE('',*,*,#91123,.F.); +#91126=ORIENTED_EDGE('',*,*,#91125,.F.); +#91127=ORIENTED_EDGE('',*,*,#68684,.F.); +#91128=EDGE_LOOP('',(#91121,#91122,#91124,#91126,#91127)); +#91129=FACE_OUTER_BOUND('',#91128,.F.); +#91131=CARTESIAN_POINT('',(-1.825E1,0.E0,-1.065E1)); +#91132=DIRECTION('',(1.E0,0.E0,0.E0)); +#91133=DIRECTION('',(0.E0,0.E0,-1.E0)); +#91134=AXIS2_PLACEMENT_3D('',#91131,#91132,#91133); +#91135=PLANE('',#91134); +#91137=ORIENTED_EDGE('',*,*,#91136,.T.); +#91138=ORIENTED_EDGE('',*,*,#72239,.T.); +#91139=ORIENTED_EDGE('',*,*,#91109,.F.); +#91140=ORIENTED_EDGE('',*,*,#91055,.F.); +#91142=ORIENTED_EDGE('',*,*,#91141,.F.); +#91143=EDGE_LOOP('',(#91137,#91138,#91139,#91140,#91142)); +#91144=FACE_OUTER_BOUND('',#91143,.F.); +#91146=CARTESIAN_POINT('',(-1.83E1,9.63E0,-1.816282873211E1)); +#91147=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#91148=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#91149=AXIS2_PLACEMENT_3D('',#91146,#91147,#91148); +#91150=PLANE('',#91149); +#91151=ORIENTED_EDGE('',*,*,#71479,.F.); +#91152=ORIENTED_EDGE('',*,*,#91120,.F.); +#91153=ORIENTED_EDGE('',*,*,#68682,.T.); +#91154=ORIENTED_EDGE('',*,*,#68706,.F.); +#91156=ORIENTED_EDGE('',*,*,#91155,.T.); +#91157=ORIENTED_EDGE('',*,*,#69047,.T.); +#91158=ORIENTED_EDGE('',*,*,#69099,.F.); +#91160=ORIENTED_EDGE('',*,*,#91159,.T.); +#91161=EDGE_LOOP('',(#91151,#91152,#91153,#91154,#91156,#91157,#91158,#91160)); +#91162=FACE_OUTER_BOUND('',#91161,.F.); +#91164=CARTESIAN_POINT('',(-1.83E1,9.63E0,-1.765E1)); +#91165=DIRECTION('',(0.E0,-1.E0,0.E0)); +#91166=DIRECTION('',(0.E0,0.E0,-1.E0)); +#91167=AXIS2_PLACEMENT_3D('',#91164,#91165,#91166); +#91168=PLANE('',#91167); +#91170=ORIENTED_EDGE('',*,*,#91169,.F.); +#91171=ORIENTED_EDGE('',*,*,#69049,.T.); +#91172=ORIENTED_EDGE('',*,*,#91155,.F.); +#91173=ORIENTED_EDGE('',*,*,#68704,.F.); +#91174=EDGE_LOOP('',(#91170,#91171,#91172,#91173)); +#91175=FACE_OUTER_BOUND('',#91174,.F.); +#91177=CARTESIAN_POINT('',(-1.72E1,9.78E0,-1.78E1)); +#91178=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#91179=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#91180=AXIS2_PLACEMENT_3D('',#91177,#91178,#91179); +#91181=PLANE('',#91180); +#91182=ORIENTED_EDGE('',*,*,#91169,.T.); +#91183=ORIENTED_EDGE('',*,*,#68746,.F.); +#91184=ORIENTED_EDGE('',*,*,#68789,.F.); +#91185=ORIENTED_EDGE('',*,*,#68776,.F.); +#91186=EDGE_LOOP('',(#91182,#91183,#91184,#91185)); +#91187=FACE_OUTER_BOUND('',#91186,.F.); +#91189=CARTESIAN_POINT('',(-1.615E1,0.E0,-1.065E1)); +#91190=DIRECTION('',(1.E0,0.E0,0.E0)); +#91191=DIRECTION('',(0.E0,0.E0,-1.E0)); +#91192=AXIS2_PLACEMENT_3D('',#91189,#91190,#91191); +#91193=PLANE('',#91192); +#91194=ORIENTED_EDGE('',*,*,#91159,.F.); +#91195=ORIENTED_EDGE('',*,*,#69097,.T.); +#91197=ORIENTED_EDGE('',*,*,#91196,.F.); +#91199=ORIENTED_EDGE('',*,*,#91198,.F.); +#91200=ORIENTED_EDGE('',*,*,#71481,.T.); +#91201=EDGE_LOOP('',(#91194,#91195,#91197,#91199,#91200)); +#91202=FACE_OUTER_BOUND('',#91201,.F.); +#91204=CARTESIAN_POINT('',(-1.615E1,0.E0,-1.065E1)); +#91205=DIRECTION('',(1.E0,0.E0,0.E0)); +#91206=DIRECTION('',(0.E0,0.E0,-1.E0)); +#91207=AXIS2_PLACEMENT_3D('',#91204,#91205,#91206); +#91208=PLANE('',#91207); +#91210=ORIENTED_EDGE('',*,*,#91209,.F.); +#91212=ORIENTED_EDGE('',*,*,#91211,.F.); +#91214=ORIENTED_EDGE('',*,*,#91213,.F.); +#91216=ORIENTED_EDGE('',*,*,#91215,.F.); +#91217=ORIENTED_EDGE('',*,*,#72243,.T.); +#91218=EDGE_LOOP('',(#91210,#91212,#91214,#91216,#91217)); +#91219=FACE_OUTER_BOUND('',#91218,.F.); +#91221=CARTESIAN_POINT('',(-1.6E1,6.33E0,-1.78E1)); +#91222=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#91223=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#91224=AXIS2_PLACEMENT_3D('',#91221,#91222,#91223); +#91225=PLANE('',#91224); +#91227=ORIENTED_EDGE('',*,*,#91226,.F.); +#91229=ORIENTED_EDGE('',*,*,#91228,.T.); +#91230=ORIENTED_EDGE('',*,*,#91196,.T.); +#91232=ORIENTED_EDGE('',*,*,#91231,.T.); +#91233=EDGE_LOOP('',(#91227,#91229,#91230,#91232)); +#91234=FACE_OUTER_BOUND('',#91233,.F.); +#91236=CARTESIAN_POINT('',(-1.615E1,6.73E0,-1.765E1)); +#91237=DIRECTION('',(0.E0,0.E0,1.E0)); +#91238=DIRECTION('',(0.E0,-1.E0,0.E0)); +#91239=AXIS2_PLACEMENT_3D('',#91236,#91237,#91238); +#91240=PLANE('',#91239); +#91242=ORIENTED_EDGE('',*,*,#91241,.T.); +#91244=ORIENTED_EDGE('',*,*,#91243,.F.); +#91245=ORIENTED_EDGE('',*,*,#91226,.T.); +#91247=ORIENTED_EDGE('',*,*,#91246,.T.); +#91248=EDGE_LOOP('',(#91242,#91244,#91245,#91247)); +#91249=FACE_OUTER_BOUND('',#91248,.F.); +#91251=ORIENTED_EDGE('',*,*,#91250,.T.); +#91253=ORIENTED_EDGE('',*,*,#91252,.T.); +#91255=ORIENTED_EDGE('',*,*,#91254,.T.); +#91257=ORIENTED_EDGE('',*,*,#91256,.T.); +#91258=EDGE_LOOP('',(#91251,#91253,#91255,#91257)); +#91259=FACE_BOUND('',#91258,.F.); +#91261=CARTESIAN_POINT('',(-1.535E1,6.645E0,-1.995E1)); +#91262=DIRECTION('',(-1.E0,0.E0,0.E0)); +#91263=DIRECTION('',(0.E0,-1.E0,0.E0)); +#91264=AXIS2_PLACEMENT_3D('',#91261,#91262,#91263); +#91265=PLANE('',#91264); +#91267=ORIENTED_EDGE('',*,*,#91266,.T.); +#91269=ORIENTED_EDGE('',*,*,#91268,.T.); +#91271=ORIENTED_EDGE('',*,*,#91270,.F.); +#91272=ORIENTED_EDGE('',*,*,#91250,.F.); +#91273=EDGE_LOOP('',(#91267,#91269,#91271,#91272)); +#91274=FACE_OUTER_BOUND('',#91273,.F.); +#91276=CARTESIAN_POINT('',(-1.475E1,6.645E0,-1.995E1)); +#91277=DIRECTION('',(0.E0,1.E0,0.E0)); +#91278=DIRECTION('',(-1.E0,0.E0,0.E0)); +#91279=AXIS2_PLACEMENT_3D('',#91276,#91277,#91278); +#91280=PLANE('',#91279); +#91281=ORIENTED_EDGE('',*,*,#91266,.F.); +#91282=ORIENTED_EDGE('',*,*,#91256,.F.); +#91284=ORIENTED_EDGE('',*,*,#91283,.T.); +#91286=ORIENTED_EDGE('',*,*,#91285,.T.); +#91287=EDGE_LOOP('',(#91281,#91282,#91284,#91286)); +#91288=FACE_OUTER_BOUND('',#91287,.F.); +#91290=CARTESIAN_POINT('',(-1.475E1,6.015E0,-1.995E1)); +#91291=DIRECTION('',(1.E0,0.E0,0.E0)); +#91292=DIRECTION('',(0.E0,1.E0,0.E0)); +#91293=AXIS2_PLACEMENT_3D('',#91290,#91291,#91292); +#91294=PLANE('',#91293); +#91296=ORIENTED_EDGE('',*,*,#91295,.T.); +#91298=ORIENTED_EDGE('',*,*,#91297,.T.); +#91299=ORIENTED_EDGE('',*,*,#91283,.F.); +#91300=ORIENTED_EDGE('',*,*,#91254,.F.); +#91301=EDGE_LOOP('',(#91296,#91298,#91299,#91300)); +#91302=FACE_OUTER_BOUND('',#91301,.F.); +#91304=CARTESIAN_POINT('',(-1.535E1,6.015E0,-1.995E1)); +#91305=DIRECTION('',(0.E0,-1.E0,0.E0)); +#91306=DIRECTION('',(1.E0,0.E0,0.E0)); +#91307=AXIS2_PLACEMENT_3D('',#91304,#91305,#91306); +#91308=PLANE('',#91307); +#91309=ORIENTED_EDGE('',*,*,#91270,.T.); +#91311=ORIENTED_EDGE('',*,*,#91310,.T.); +#91312=ORIENTED_EDGE('',*,*,#91295,.F.); +#91313=ORIENTED_EDGE('',*,*,#91252,.F.); +#91314=EDGE_LOOP('',(#91309,#91311,#91312,#91313)); +#91315=FACE_OUTER_BOUND('',#91314,.F.); +#91317=CARTESIAN_POINT('',(2.58E1,0.E0,-1.065E1)); +#91318=DIRECTION('',(0.E0,0.E0,1.E0)); +#91319=DIRECTION('',(1.E0,0.E0,0.E0)); +#91320=AXIS2_PLACEMENT_3D('',#91317,#91318,#91319); +#91321=PLANE('',#91320); +#91323=ORIENTED_EDGE('',*,*,#91322,.F.); +#91325=ORIENTED_EDGE('',*,*,#91324,.F.); +#91327=ORIENTED_EDGE('',*,*,#91326,.F.); +#91329=ORIENTED_EDGE('',*,*,#91328,.F.); +#91330=EDGE_LOOP('',(#91323,#91325,#91327,#91329)); +#91331=FACE_OUTER_BOUND('',#91330,.F.); +#91333=CARTESIAN_POINT('',(2.58E1,0.E0,-1.065E1)); +#91334=DIRECTION('',(0.E0,0.E0,1.E0)); +#91335=DIRECTION('',(1.E0,0.E0,0.E0)); +#91336=AXIS2_PLACEMENT_3D('',#91333,#91334,#91335); +#91337=PLANE('',#91336); +#91338=ORIENTED_EDGE('',*,*,#91268,.F.); +#91339=ORIENTED_EDGE('',*,*,#91285,.F.); +#91340=ORIENTED_EDGE('',*,*,#91297,.F.); +#91341=ORIENTED_EDGE('',*,*,#91310,.F.); +#91342=EDGE_LOOP('',(#91338,#91339,#91340,#91341)); +#91343=FACE_OUTER_BOUND('',#91342,.F.); +#91345=CARTESIAN_POINT('',(-1.535E1,-2.155E0,-1.995E1)); +#91346=DIRECTION('',(-1.E0,0.E0,0.E0)); +#91347=DIRECTION('',(0.E0,-1.E0,0.E0)); +#91348=AXIS2_PLACEMENT_3D('',#91345,#91346,#91347); +#91349=PLANE('',#91348); +#91351=ORIENTED_EDGE('',*,*,#91350,.T.); +#91352=ORIENTED_EDGE('',*,*,#91322,.T.); +#91354=ORIENTED_EDGE('',*,*,#91353,.F.); +#91356=ORIENTED_EDGE('',*,*,#91355,.F.); +#91357=EDGE_LOOP('',(#91351,#91352,#91354,#91356)); +#91358=FACE_OUTER_BOUND('',#91357,.F.); +#91360=CARTESIAN_POINT('',(-1.475E1,-2.155E0,-1.995E1)); +#91361=DIRECTION('',(0.E0,1.E0,0.E0)); +#91362=DIRECTION('',(-1.E0,0.E0,0.E0)); +#91363=AXIS2_PLACEMENT_3D('',#91360,#91361,#91362); +#91364=PLANE('',#91363); +#91365=ORIENTED_EDGE('',*,*,#91350,.F.); +#91367=ORIENTED_EDGE('',*,*,#91366,.F.); +#91369=ORIENTED_EDGE('',*,*,#91368,.T.); +#91370=ORIENTED_EDGE('',*,*,#91324,.T.); +#91371=EDGE_LOOP('',(#91365,#91367,#91369,#91370)); +#91372=FACE_OUTER_BOUND('',#91371,.F.); +#91374=CARTESIAN_POINT('',(-1.615E1,-2.07E0,-1.765E1)); +#91375=DIRECTION('',(0.E0,0.E0,1.E0)); +#91376=DIRECTION('',(0.E0,-1.E0,0.E0)); +#91377=AXIS2_PLACEMENT_3D('',#91374,#91375,#91376); +#91378=PLANE('',#91377); +#91380=ORIENTED_EDGE('',*,*,#91379,.T.); +#91382=ORIENTED_EDGE('',*,*,#91381,.F.); +#91384=ORIENTED_EDGE('',*,*,#91383,.T.); +#91386=ORIENTED_EDGE('',*,*,#91385,.T.); +#91387=EDGE_LOOP('',(#91380,#91382,#91384,#91386)); +#91388=FACE_OUTER_BOUND('',#91387,.F.); +#91389=ORIENTED_EDGE('',*,*,#91355,.T.); +#91391=ORIENTED_EDGE('',*,*,#91390,.T.); +#91393=ORIENTED_EDGE('',*,*,#91392,.T.); +#91394=ORIENTED_EDGE('',*,*,#91366,.T.); +#91395=EDGE_LOOP('',(#91389,#91391,#91393,#91394)); +#91396=FACE_BOUND('',#91395,.F.); +#91398=CARTESIAN_POINT('',(-1.535E1,-2.785E0,-1.995E1)); +#91399=DIRECTION('',(0.E0,-1.E0,0.E0)); +#91400=DIRECTION('',(1.E0,0.E0,0.E0)); +#91401=AXIS2_PLACEMENT_3D('',#91398,#91399,#91400); +#91402=PLANE('',#91401); +#91403=ORIENTED_EDGE('',*,*,#91353,.T.); +#91404=ORIENTED_EDGE('',*,*,#91328,.T.); +#91406=ORIENTED_EDGE('',*,*,#91405,.F.); +#91407=ORIENTED_EDGE('',*,*,#91390,.F.); +#91408=EDGE_LOOP('',(#91403,#91404,#91406,#91407)); +#91409=FACE_OUTER_BOUND('',#91408,.F.); +#91411=CARTESIAN_POINT('',(-1.475E1,-2.785E0,-1.995E1)); +#91412=DIRECTION('',(1.E0,0.E0,0.E0)); +#91413=DIRECTION('',(0.E0,1.E0,0.E0)); +#91414=AXIS2_PLACEMENT_3D('',#91411,#91412,#91413); +#91415=PLANE('',#91414); +#91416=ORIENTED_EDGE('',*,*,#91405,.T.); +#91417=ORIENTED_EDGE('',*,*,#91326,.T.); +#91418=ORIENTED_EDGE('',*,*,#91368,.F.); +#91419=ORIENTED_EDGE('',*,*,#91392,.F.); +#91420=EDGE_LOOP('',(#91416,#91417,#91418,#91419)); +#91421=FACE_OUTER_BOUND('',#91420,.F.); +#91423=CARTESIAN_POINT('',(-1.41E1,-2.47E0,-1.78E1)); +#91424=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#91425=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#91426=AXIS2_PLACEMENT_3D('',#91423,#91424,#91425); +#91427=PLANE('',#91426); +#91428=ORIENTED_EDGE('',*,*,#91379,.F.); +#91430=ORIENTED_EDGE('',*,*,#91429,.T.); +#91432=ORIENTED_EDGE('',*,*,#91431,.T.); +#91434=ORIENTED_EDGE('',*,*,#91433,.T.); +#91435=EDGE_LOOP('',(#91428,#91430,#91432,#91434)); +#91436=FACE_OUTER_BOUND('',#91435,.F.); +#91438=CARTESIAN_POINT('',(-1.615E1,-1.77E0,-1.795E1)); +#91439=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#91440=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#91441=AXIS2_PLACEMENT_3D('',#91438,#91439,#91440); +#91442=PLANE('',#91441); +#91443=ORIENTED_EDGE('',*,*,#91429,.F.); +#91444=ORIENTED_EDGE('',*,*,#91385,.F.); +#91446=ORIENTED_EDGE('',*,*,#91445,.F.); +#91448=ORIENTED_EDGE('',*,*,#91447,.F.); +#91449=EDGE_LOOP('',(#91443,#91444,#91446,#91448)); +#91450=FACE_OUTER_BOUND('',#91449,.F.); +#91452=CARTESIAN_POINT('',(-1.6E1,-2.47E0,-1.78E1)); +#91453=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#91454=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#91455=AXIS2_PLACEMENT_3D('',#91452,#91453,#91454); +#91456=PLANE('',#91455); +#91457=ORIENTED_EDGE('',*,*,#91445,.T.); +#91458=ORIENTED_EDGE('',*,*,#91383,.F.); +#91460=ORIENTED_EDGE('',*,*,#91459,.T.); +#91461=ORIENTED_EDGE('',*,*,#91213,.T.); +#91462=EDGE_LOOP('',(#91457,#91458,#91460,#91461)); +#91463=FACE_OUTER_BOUND('',#91462,.F.); +#91465=CARTESIAN_POINT('',(-1.615E1,-2.87E0,-1.765E1)); +#91466=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#91467=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#91468=AXIS2_PLACEMENT_3D('',#91465,#91466,#91467); +#91469=PLANE('',#91468); +#91470=ORIENTED_EDGE('',*,*,#91433,.F.); +#91472=ORIENTED_EDGE('',*,*,#91471,.F.); +#91473=ORIENTED_EDGE('',*,*,#91459,.F.); +#91474=ORIENTED_EDGE('',*,*,#91381,.T.); +#91475=EDGE_LOOP('',(#91470,#91472,#91473,#91474)); +#91476=FACE_OUTER_BOUND('',#91475,.F.); +#91478=CARTESIAN_POINT('',(-1.505E1,-3.257488663526E0,-1.895E1)); +#91479=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#91480=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#91481=AXIS2_PLACEMENT_3D('',#91478,#91479,#91480); +#91482=PLANE('',#91481); +#91483=ORIENTED_EDGE('',*,*,#91215,.T.); +#91484=ORIENTED_EDGE('',*,*,#91471,.T.); +#91486=ORIENTED_EDGE('',*,*,#91485,.T.); +#91487=ORIENTED_EDGE('',*,*,#72245,.F.); +#91488=EDGE_LOOP('',(#91483,#91484,#91486,#91487)); +#91489=FACE_OUTER_BOUND('',#91488,.F.); +#91491=CARTESIAN_POINT('',(-1.395E1,0.E0,-1.065E1)); +#91492=DIRECTION('',(1.E0,0.E0,0.E0)); +#91493=DIRECTION('',(0.E0,0.E0,-1.E0)); +#91494=AXIS2_PLACEMENT_3D('',#91491,#91492,#91493); +#91495=PLANE('',#91494); +#91497=ORIENTED_EDGE('',*,*,#91496,.T.); +#91498=ORIENTED_EDGE('',*,*,#71485,.T.); +#91500=ORIENTED_EDGE('',*,*,#91499,.F.); +#91502=ORIENTED_EDGE('',*,*,#91501,.F.); +#91503=ORIENTED_EDGE('',*,*,#69093,.F.); +#91504=EDGE_LOOP('',(#91497,#91498,#91500,#91502,#91503)); +#91505=FACE_OUTER_BOUND('',#91504,.F.); +#91507=CARTESIAN_POINT('',(-1.395E1,0.E0,-1.065E1)); +#91508=DIRECTION('',(1.E0,0.E0,0.E0)); +#91509=DIRECTION('',(0.E0,0.E0,-1.E0)); +#91510=AXIS2_PLACEMENT_3D('',#91507,#91508,#91509); +#91511=PLANE('',#91510); +#91513=ORIENTED_EDGE('',*,*,#91512,.T.); +#91514=ORIENTED_EDGE('',*,*,#72247,.T.); +#91515=ORIENTED_EDGE('',*,*,#91485,.F.); +#91516=ORIENTED_EDGE('',*,*,#91431,.F.); +#91518=ORIENTED_EDGE('',*,*,#91517,.F.); +#91519=EDGE_LOOP('',(#91513,#91514,#91515,#91516,#91518)); +#91520=FACE_OUTER_BOUND('',#91519,.F.); +#91522=CARTESIAN_POINT('',(-1.4E1,9.63E0,-1.816282873211E1)); +#91523=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#91524=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#91525=AXIS2_PLACEMENT_3D('',#91522,#91523,#91524); +#91526=PLANE('',#91525); +#91527=ORIENTED_EDGE('',*,*,#71487,.F.); +#91528=ORIENTED_EDGE('',*,*,#91496,.F.); +#91529=ORIENTED_EDGE('',*,*,#69091,.T.); +#91530=ORIENTED_EDGE('',*,*,#69115,.F.); +#91532=ORIENTED_EDGE('',*,*,#91531,.T.); +#91533=ORIENTED_EDGE('',*,*,#69456,.T.); +#91534=ORIENTED_EDGE('',*,*,#69508,.F.); +#91536=ORIENTED_EDGE('',*,*,#91535,.T.); +#91537=EDGE_LOOP('',(#91527,#91528,#91529,#91530,#91532,#91533,#91534,#91536)); +#91538=FACE_OUTER_BOUND('',#91537,.F.); +#91540=CARTESIAN_POINT('',(-1.4E1,9.63E0,-1.765E1)); +#91541=DIRECTION('',(0.E0,-1.E0,0.E0)); +#91542=DIRECTION('',(0.E0,0.E0,-1.E0)); +#91543=AXIS2_PLACEMENT_3D('',#91540,#91541,#91542); +#91544=PLANE('',#91543); +#91546=ORIENTED_EDGE('',*,*,#91545,.F.); +#91547=ORIENTED_EDGE('',*,*,#69458,.T.); +#91548=ORIENTED_EDGE('',*,*,#91531,.F.); +#91549=ORIENTED_EDGE('',*,*,#69113,.F.); +#91550=EDGE_LOOP('',(#91546,#91547,#91548,#91549)); +#91551=FACE_OUTER_BOUND('',#91550,.F.); +#91553=CARTESIAN_POINT('',(-1.29E1,9.78E0,-1.78E1)); +#91554=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#91555=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#91556=AXIS2_PLACEMENT_3D('',#91553,#91554,#91555); +#91557=PLANE('',#91556); +#91558=ORIENTED_EDGE('',*,*,#91545,.T.); +#91559=ORIENTED_EDGE('',*,*,#69155,.F.); +#91560=ORIENTED_EDGE('',*,*,#69198,.F.); +#91561=ORIENTED_EDGE('',*,*,#69185,.F.); +#91562=EDGE_LOOP('',(#91558,#91559,#91560,#91561)); +#91563=FACE_OUTER_BOUND('',#91562,.F.); +#91565=CARTESIAN_POINT('',(-1.185E1,0.E0,-1.065E1)); +#91566=DIRECTION('',(1.E0,0.E0,0.E0)); +#91567=DIRECTION('',(0.E0,0.E0,-1.E0)); +#91568=AXIS2_PLACEMENT_3D('',#91565,#91566,#91567); +#91569=PLANE('',#91568); +#91570=ORIENTED_EDGE('',*,*,#91535,.F.); +#91571=ORIENTED_EDGE('',*,*,#69506,.T.); +#91573=ORIENTED_EDGE('',*,*,#91572,.F.); +#91575=ORIENTED_EDGE('',*,*,#91574,.F.); +#91576=ORIENTED_EDGE('',*,*,#71489,.T.); +#91577=EDGE_LOOP('',(#91570,#91571,#91573,#91575,#91576)); +#91578=FACE_OUTER_BOUND('',#91577,.F.); +#91580=CARTESIAN_POINT('',(-1.185E1,0.E0,-1.065E1)); +#91581=DIRECTION('',(1.E0,0.E0,0.E0)); +#91582=DIRECTION('',(0.E0,0.E0,-1.E0)); +#91583=AXIS2_PLACEMENT_3D('',#91580,#91581,#91582); +#91584=PLANE('',#91583); +#91586=ORIENTED_EDGE('',*,*,#91585,.F.); +#91588=ORIENTED_EDGE('',*,*,#91587,.F.); +#91590=ORIENTED_EDGE('',*,*,#91589,.F.); +#91592=ORIENTED_EDGE('',*,*,#91591,.F.); +#91593=ORIENTED_EDGE('',*,*,#72251,.T.); +#91594=EDGE_LOOP('',(#91586,#91588,#91590,#91592,#91593)); +#91595=FACE_OUTER_BOUND('',#91594,.F.); +#91597=CARTESIAN_POINT('',(-1.17E1,6.33E0,-1.78E1)); +#91598=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#91599=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#91600=AXIS2_PLACEMENT_3D('',#91597,#91598,#91599); +#91601=PLANE('',#91600); +#91603=ORIENTED_EDGE('',*,*,#91602,.F.); +#91605=ORIENTED_EDGE('',*,*,#91604,.T.); +#91606=ORIENTED_EDGE('',*,*,#91572,.T.); +#91608=ORIENTED_EDGE('',*,*,#91607,.T.); +#91609=EDGE_LOOP('',(#91603,#91605,#91606,#91608)); +#91610=FACE_OUTER_BOUND('',#91609,.F.); +#91612=CARTESIAN_POINT('',(-1.185E1,6.73E0,-1.765E1)); +#91613=DIRECTION('',(0.E0,0.E0,1.E0)); +#91614=DIRECTION('',(0.E0,-1.E0,0.E0)); +#91615=AXIS2_PLACEMENT_3D('',#91612,#91613,#91614); +#91616=PLANE('',#91615); +#91618=ORIENTED_EDGE('',*,*,#91617,.T.); +#91620=ORIENTED_EDGE('',*,*,#91619,.F.); +#91621=ORIENTED_EDGE('',*,*,#91602,.T.); +#91623=ORIENTED_EDGE('',*,*,#91622,.T.); +#91624=EDGE_LOOP('',(#91618,#91620,#91621,#91623)); +#91625=FACE_OUTER_BOUND('',#91624,.F.); +#91627=ORIENTED_EDGE('',*,*,#91626,.T.); +#91629=ORIENTED_EDGE('',*,*,#91628,.T.); +#91631=ORIENTED_EDGE('',*,*,#91630,.T.); +#91633=ORIENTED_EDGE('',*,*,#91632,.T.); +#91634=EDGE_LOOP('',(#91627,#91629,#91631,#91633)); +#91635=FACE_BOUND('',#91634,.F.); +#91637=CARTESIAN_POINT('',(-1.105E1,6.645E0,-1.995E1)); +#91638=DIRECTION('',(-1.E0,0.E0,0.E0)); +#91639=DIRECTION('',(0.E0,-1.E0,0.E0)); +#91640=AXIS2_PLACEMENT_3D('',#91637,#91638,#91639); +#91641=PLANE('',#91640); +#91643=ORIENTED_EDGE('',*,*,#91642,.T.); +#91645=ORIENTED_EDGE('',*,*,#91644,.T.); +#91647=ORIENTED_EDGE('',*,*,#91646,.F.); +#91648=ORIENTED_EDGE('',*,*,#91626,.F.); +#91649=EDGE_LOOP('',(#91643,#91645,#91647,#91648)); +#91650=FACE_OUTER_BOUND('',#91649,.F.); +#91652=CARTESIAN_POINT('',(-1.045E1,6.645E0,-1.995E1)); +#91653=DIRECTION('',(0.E0,1.E0,0.E0)); +#91654=DIRECTION('',(-1.E0,0.E0,0.E0)); +#91655=AXIS2_PLACEMENT_3D('',#91652,#91653,#91654); +#91656=PLANE('',#91655); +#91657=ORIENTED_EDGE('',*,*,#91642,.F.); +#91658=ORIENTED_EDGE('',*,*,#91632,.F.); +#91660=ORIENTED_EDGE('',*,*,#91659,.T.); +#91662=ORIENTED_EDGE('',*,*,#91661,.T.); +#91663=EDGE_LOOP('',(#91657,#91658,#91660,#91662)); +#91664=FACE_OUTER_BOUND('',#91663,.F.); +#91666=CARTESIAN_POINT('',(-1.045E1,6.015E0,-1.995E1)); +#91667=DIRECTION('',(1.E0,0.E0,0.E0)); +#91668=DIRECTION('',(0.E0,1.E0,0.E0)); +#91669=AXIS2_PLACEMENT_3D('',#91666,#91667,#91668); +#91670=PLANE('',#91669); +#91672=ORIENTED_EDGE('',*,*,#91671,.T.); +#91674=ORIENTED_EDGE('',*,*,#91673,.T.); +#91675=ORIENTED_EDGE('',*,*,#91659,.F.); +#91676=ORIENTED_EDGE('',*,*,#91630,.F.); +#91677=EDGE_LOOP('',(#91672,#91674,#91675,#91676)); +#91678=FACE_OUTER_BOUND('',#91677,.F.); +#91680=CARTESIAN_POINT('',(-1.105E1,6.015E0,-1.995E1)); +#91681=DIRECTION('',(0.E0,-1.E0,0.E0)); +#91682=DIRECTION('',(1.E0,0.E0,0.E0)); +#91683=AXIS2_PLACEMENT_3D('',#91680,#91681,#91682); +#91684=PLANE('',#91683); +#91685=ORIENTED_EDGE('',*,*,#91646,.T.); +#91687=ORIENTED_EDGE('',*,*,#91686,.T.); +#91688=ORIENTED_EDGE('',*,*,#91671,.F.); +#91689=ORIENTED_EDGE('',*,*,#91628,.F.); +#91690=EDGE_LOOP('',(#91685,#91687,#91688,#91689)); +#91691=FACE_OUTER_BOUND('',#91690,.F.); +#91693=CARTESIAN_POINT('',(3.01E1,0.E0,-1.065E1)); +#91694=DIRECTION('',(0.E0,0.E0,1.E0)); +#91695=DIRECTION('',(1.E0,0.E0,0.E0)); +#91696=AXIS2_PLACEMENT_3D('',#91693,#91694,#91695); +#91697=PLANE('',#91696); +#91699=ORIENTED_EDGE('',*,*,#91698,.F.); +#91701=ORIENTED_EDGE('',*,*,#91700,.F.); +#91703=ORIENTED_EDGE('',*,*,#91702,.F.); +#91705=ORIENTED_EDGE('',*,*,#91704,.F.); +#91706=EDGE_LOOP('',(#91699,#91701,#91703,#91705)); +#91707=FACE_OUTER_BOUND('',#91706,.F.); +#91709=CARTESIAN_POINT('',(3.01E1,0.E0,-1.065E1)); +#91710=DIRECTION('',(0.E0,0.E0,1.E0)); +#91711=DIRECTION('',(1.E0,0.E0,0.E0)); +#91712=AXIS2_PLACEMENT_3D('',#91709,#91710,#91711); +#91713=PLANE('',#91712); +#91714=ORIENTED_EDGE('',*,*,#91644,.F.); +#91715=ORIENTED_EDGE('',*,*,#91661,.F.); +#91716=ORIENTED_EDGE('',*,*,#91673,.F.); +#91717=ORIENTED_EDGE('',*,*,#91686,.F.); +#91718=EDGE_LOOP('',(#91714,#91715,#91716,#91717)); +#91719=FACE_OUTER_BOUND('',#91718,.F.); +#91721=CARTESIAN_POINT('',(-1.105E1,-2.155E0,-1.995E1)); +#91722=DIRECTION('',(-1.E0,0.E0,0.E0)); +#91723=DIRECTION('',(0.E0,-1.E0,0.E0)); +#91724=AXIS2_PLACEMENT_3D('',#91721,#91722,#91723); +#91725=PLANE('',#91724); +#91727=ORIENTED_EDGE('',*,*,#91726,.T.); +#91728=ORIENTED_EDGE('',*,*,#91698,.T.); +#91730=ORIENTED_EDGE('',*,*,#91729,.F.); +#91732=ORIENTED_EDGE('',*,*,#91731,.F.); +#91733=EDGE_LOOP('',(#91727,#91728,#91730,#91732)); +#91734=FACE_OUTER_BOUND('',#91733,.F.); +#91736=CARTESIAN_POINT('',(-1.045E1,-2.155E0,-1.995E1)); +#91737=DIRECTION('',(0.E0,1.E0,0.E0)); +#91738=DIRECTION('',(-1.E0,0.E0,0.E0)); +#91739=AXIS2_PLACEMENT_3D('',#91736,#91737,#91738); +#91740=PLANE('',#91739); +#91741=ORIENTED_EDGE('',*,*,#91726,.F.); +#91743=ORIENTED_EDGE('',*,*,#91742,.F.); +#91745=ORIENTED_EDGE('',*,*,#91744,.T.); +#91746=ORIENTED_EDGE('',*,*,#91700,.T.); +#91747=EDGE_LOOP('',(#91741,#91743,#91745,#91746)); +#91748=FACE_OUTER_BOUND('',#91747,.F.); +#91750=CARTESIAN_POINT('',(-1.185E1,-2.07E0,-1.765E1)); +#91751=DIRECTION('',(0.E0,0.E0,1.E0)); +#91752=DIRECTION('',(0.E0,-1.E0,0.E0)); +#91753=AXIS2_PLACEMENT_3D('',#91750,#91751,#91752); +#91754=PLANE('',#91753); +#91756=ORIENTED_EDGE('',*,*,#91755,.T.); +#91758=ORIENTED_EDGE('',*,*,#91757,.F.); +#91760=ORIENTED_EDGE('',*,*,#91759,.T.); +#91762=ORIENTED_EDGE('',*,*,#91761,.T.); +#91763=EDGE_LOOP('',(#91756,#91758,#91760,#91762)); +#91764=FACE_OUTER_BOUND('',#91763,.F.); +#91765=ORIENTED_EDGE('',*,*,#91731,.T.); +#91767=ORIENTED_EDGE('',*,*,#91766,.T.); +#91769=ORIENTED_EDGE('',*,*,#91768,.T.); +#91770=ORIENTED_EDGE('',*,*,#91742,.T.); +#91771=EDGE_LOOP('',(#91765,#91767,#91769,#91770)); +#91772=FACE_BOUND('',#91771,.F.); +#91774=CARTESIAN_POINT('',(-1.105E1,-2.785E0,-1.995E1)); +#91775=DIRECTION('',(0.E0,-1.E0,0.E0)); +#91776=DIRECTION('',(1.E0,0.E0,0.E0)); +#91777=AXIS2_PLACEMENT_3D('',#91774,#91775,#91776); +#91778=PLANE('',#91777); +#91779=ORIENTED_EDGE('',*,*,#91729,.T.); +#91780=ORIENTED_EDGE('',*,*,#91704,.T.); +#91782=ORIENTED_EDGE('',*,*,#91781,.F.); +#91783=ORIENTED_EDGE('',*,*,#91766,.F.); +#91784=EDGE_LOOP('',(#91779,#91780,#91782,#91783)); +#91785=FACE_OUTER_BOUND('',#91784,.F.); +#91787=CARTESIAN_POINT('',(-1.045E1,-2.785E0,-1.995E1)); +#91788=DIRECTION('',(1.E0,0.E0,0.E0)); +#91789=DIRECTION('',(0.E0,1.E0,0.E0)); +#91790=AXIS2_PLACEMENT_3D('',#91787,#91788,#91789); +#91791=PLANE('',#91790); +#91792=ORIENTED_EDGE('',*,*,#91781,.T.); +#91793=ORIENTED_EDGE('',*,*,#91702,.T.); +#91794=ORIENTED_EDGE('',*,*,#91744,.F.); +#91795=ORIENTED_EDGE('',*,*,#91768,.F.); +#91796=EDGE_LOOP('',(#91792,#91793,#91794,#91795)); +#91797=FACE_OUTER_BOUND('',#91796,.F.); +#91799=CARTESIAN_POINT('',(-9.8E0,-2.47E0,-1.78E1)); +#91800=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#91801=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#91802=AXIS2_PLACEMENT_3D('',#91799,#91800,#91801); +#91803=PLANE('',#91802); +#91804=ORIENTED_EDGE('',*,*,#91755,.F.); +#91806=ORIENTED_EDGE('',*,*,#91805,.T.); +#91808=ORIENTED_EDGE('',*,*,#91807,.T.); +#91810=ORIENTED_EDGE('',*,*,#91809,.T.); +#91811=EDGE_LOOP('',(#91804,#91806,#91808,#91810)); +#91812=FACE_OUTER_BOUND('',#91811,.F.); +#91814=CARTESIAN_POINT('',(-1.185E1,-1.77E0,-1.795E1)); +#91815=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#91816=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#91817=AXIS2_PLACEMENT_3D('',#91814,#91815,#91816); +#91818=PLANE('',#91817); +#91819=ORIENTED_EDGE('',*,*,#91805,.F.); +#91820=ORIENTED_EDGE('',*,*,#91761,.F.); +#91822=ORIENTED_EDGE('',*,*,#91821,.F.); +#91824=ORIENTED_EDGE('',*,*,#91823,.F.); +#91825=EDGE_LOOP('',(#91819,#91820,#91822,#91824)); +#91826=FACE_OUTER_BOUND('',#91825,.F.); +#91828=CARTESIAN_POINT('',(-1.17E1,-2.47E0,-1.78E1)); +#91829=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#91830=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#91831=AXIS2_PLACEMENT_3D('',#91828,#91829,#91830); +#91832=PLANE('',#91831); +#91833=ORIENTED_EDGE('',*,*,#91821,.T.); +#91834=ORIENTED_EDGE('',*,*,#91759,.F.); +#91836=ORIENTED_EDGE('',*,*,#91835,.T.); +#91837=ORIENTED_EDGE('',*,*,#91589,.T.); +#91838=EDGE_LOOP('',(#91833,#91834,#91836,#91837)); +#91839=FACE_OUTER_BOUND('',#91838,.F.); +#91841=CARTESIAN_POINT('',(-1.185E1,-2.87E0,-1.765E1)); +#91842=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#91843=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#91844=AXIS2_PLACEMENT_3D('',#91841,#91842,#91843); +#91845=PLANE('',#91844); +#91846=ORIENTED_EDGE('',*,*,#91809,.F.); +#91848=ORIENTED_EDGE('',*,*,#91847,.F.); +#91849=ORIENTED_EDGE('',*,*,#91835,.F.); +#91850=ORIENTED_EDGE('',*,*,#91757,.T.); +#91851=EDGE_LOOP('',(#91846,#91848,#91849,#91850)); +#91852=FACE_OUTER_BOUND('',#91851,.F.); +#91854=CARTESIAN_POINT('',(-1.075E1,-3.257488663526E0,-1.895E1)); +#91855=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#91856=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#91857=AXIS2_PLACEMENT_3D('',#91854,#91855,#91856); +#91858=PLANE('',#91857); +#91859=ORIENTED_EDGE('',*,*,#91591,.T.); +#91860=ORIENTED_EDGE('',*,*,#91847,.T.); +#91862=ORIENTED_EDGE('',*,*,#91861,.T.); +#91863=ORIENTED_EDGE('',*,*,#72253,.F.); +#91864=EDGE_LOOP('',(#91859,#91860,#91862,#91863)); +#91865=FACE_OUTER_BOUND('',#91864,.F.); +#91867=CARTESIAN_POINT('',(-9.65E0,0.E0,-1.065E1)); +#91868=DIRECTION('',(1.E0,0.E0,0.E0)); +#91869=DIRECTION('',(0.E0,0.E0,-1.E0)); +#91870=AXIS2_PLACEMENT_3D('',#91867,#91868,#91869); +#91871=PLANE('',#91870); +#91873=ORIENTED_EDGE('',*,*,#91872,.T.); +#91874=ORIENTED_EDGE('',*,*,#71493,.T.); +#91876=ORIENTED_EDGE('',*,*,#91875,.F.); +#91878=ORIENTED_EDGE('',*,*,#91877,.F.); +#91879=ORIENTED_EDGE('',*,*,#69502,.F.); +#91880=EDGE_LOOP('',(#91873,#91874,#91876,#91878,#91879)); +#91881=FACE_OUTER_BOUND('',#91880,.F.); +#91883=CARTESIAN_POINT('',(-9.65E0,0.E0,-1.065E1)); +#91884=DIRECTION('',(1.E0,0.E0,0.E0)); +#91885=DIRECTION('',(0.E0,0.E0,-1.E0)); +#91886=AXIS2_PLACEMENT_3D('',#91883,#91884,#91885); +#91887=PLANE('',#91886); +#91889=ORIENTED_EDGE('',*,*,#91888,.T.); +#91890=ORIENTED_EDGE('',*,*,#72255,.T.); +#91891=ORIENTED_EDGE('',*,*,#91861,.F.); +#91892=ORIENTED_EDGE('',*,*,#91807,.F.); +#91894=ORIENTED_EDGE('',*,*,#91893,.F.); +#91895=EDGE_LOOP('',(#91889,#91890,#91891,#91892,#91894)); +#91896=FACE_OUTER_BOUND('',#91895,.F.); +#91898=CARTESIAN_POINT('',(-9.7E0,9.63E0,-1.816282873211E1)); +#91899=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#91900=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#91901=AXIS2_PLACEMENT_3D('',#91898,#91899,#91900); +#91902=PLANE('',#91901); +#91903=ORIENTED_EDGE('',*,*,#71495,.F.); +#91904=ORIENTED_EDGE('',*,*,#91872,.F.); +#91905=ORIENTED_EDGE('',*,*,#69500,.T.); +#91906=ORIENTED_EDGE('',*,*,#69524,.F.); +#91908=ORIENTED_EDGE('',*,*,#91907,.T.); +#91909=ORIENTED_EDGE('',*,*,#69865,.T.); +#91910=ORIENTED_EDGE('',*,*,#69917,.F.); +#91912=ORIENTED_EDGE('',*,*,#91911,.T.); +#91913=EDGE_LOOP('',(#91903,#91904,#91905,#91906,#91908,#91909,#91910,#91912)); +#91914=FACE_OUTER_BOUND('',#91913,.F.); +#91916=CARTESIAN_POINT('',(-9.7E0,9.63E0,-1.765E1)); +#91917=DIRECTION('',(0.E0,-1.E0,0.E0)); +#91918=DIRECTION('',(0.E0,0.E0,-1.E0)); +#91919=AXIS2_PLACEMENT_3D('',#91916,#91917,#91918); +#91920=PLANE('',#91919); +#91922=ORIENTED_EDGE('',*,*,#91921,.F.); +#91923=ORIENTED_EDGE('',*,*,#69867,.T.); +#91924=ORIENTED_EDGE('',*,*,#91907,.F.); +#91925=ORIENTED_EDGE('',*,*,#69522,.F.); +#91926=EDGE_LOOP('',(#91922,#91923,#91924,#91925)); +#91927=FACE_OUTER_BOUND('',#91926,.F.); +#91929=CARTESIAN_POINT('',(-8.6E0,9.78E0,-1.78E1)); +#91930=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#91931=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#91932=AXIS2_PLACEMENT_3D('',#91929,#91930,#91931); +#91933=PLANE('',#91932); +#91934=ORIENTED_EDGE('',*,*,#91921,.T.); +#91935=ORIENTED_EDGE('',*,*,#69564,.F.); +#91936=ORIENTED_EDGE('',*,*,#69607,.F.); +#91937=ORIENTED_EDGE('',*,*,#69594,.F.); +#91938=EDGE_LOOP('',(#91934,#91935,#91936,#91937)); +#91939=FACE_OUTER_BOUND('',#91938,.F.); +#91941=CARTESIAN_POINT('',(-7.55E0,0.E0,-1.065E1)); +#91942=DIRECTION('',(1.E0,0.E0,0.E0)); +#91943=DIRECTION('',(0.E0,0.E0,-1.E0)); +#91944=AXIS2_PLACEMENT_3D('',#91941,#91942,#91943); +#91945=PLANE('',#91944); +#91946=ORIENTED_EDGE('',*,*,#91911,.F.); +#91947=ORIENTED_EDGE('',*,*,#69915,.T.); +#91949=ORIENTED_EDGE('',*,*,#91948,.F.); +#91951=ORIENTED_EDGE('',*,*,#91950,.F.); +#91952=ORIENTED_EDGE('',*,*,#71497,.T.); +#91953=EDGE_LOOP('',(#91946,#91947,#91949,#91951,#91952)); +#91954=FACE_OUTER_BOUND('',#91953,.F.); +#91956=CARTESIAN_POINT('',(-7.55E0,0.E0,-1.065E1)); +#91957=DIRECTION('',(1.E0,0.E0,0.E0)); +#91958=DIRECTION('',(0.E0,0.E0,-1.E0)); +#91959=AXIS2_PLACEMENT_3D('',#91956,#91957,#91958); +#91960=PLANE('',#91959); +#91962=ORIENTED_EDGE('',*,*,#91961,.F.); +#91964=ORIENTED_EDGE('',*,*,#91963,.F.); +#91966=ORIENTED_EDGE('',*,*,#91965,.F.); +#91968=ORIENTED_EDGE('',*,*,#91967,.F.); +#91969=ORIENTED_EDGE('',*,*,#72259,.T.); +#91970=EDGE_LOOP('',(#91962,#91964,#91966,#91968,#91969)); +#91971=FACE_OUTER_BOUND('',#91970,.F.); +#91973=CARTESIAN_POINT('',(-7.4E0,6.33E0,-1.78E1)); +#91974=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#91975=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#91976=AXIS2_PLACEMENT_3D('',#91973,#91974,#91975); +#91977=PLANE('',#91976); +#91979=ORIENTED_EDGE('',*,*,#91978,.F.); +#91981=ORIENTED_EDGE('',*,*,#91980,.T.); +#91982=ORIENTED_EDGE('',*,*,#91948,.T.); +#91984=ORIENTED_EDGE('',*,*,#91983,.T.); +#91985=EDGE_LOOP('',(#91979,#91981,#91982,#91984)); +#91986=FACE_OUTER_BOUND('',#91985,.F.); +#91988=CARTESIAN_POINT('',(-7.55E0,6.73E0,-1.765E1)); +#91989=DIRECTION('',(0.E0,0.E0,1.E0)); +#91990=DIRECTION('',(0.E0,-1.E0,0.E0)); +#91991=AXIS2_PLACEMENT_3D('',#91988,#91989,#91990); +#91992=PLANE('',#91991); +#91994=ORIENTED_EDGE('',*,*,#91993,.T.); +#91996=ORIENTED_EDGE('',*,*,#91995,.F.); +#91997=ORIENTED_EDGE('',*,*,#91978,.T.); +#91999=ORIENTED_EDGE('',*,*,#91998,.T.); +#92000=EDGE_LOOP('',(#91994,#91996,#91997,#91999)); +#92001=FACE_OUTER_BOUND('',#92000,.F.); +#92003=ORIENTED_EDGE('',*,*,#92002,.T.); +#92005=ORIENTED_EDGE('',*,*,#92004,.T.); +#92007=ORIENTED_EDGE('',*,*,#92006,.T.); +#92009=ORIENTED_EDGE('',*,*,#92008,.T.); +#92010=EDGE_LOOP('',(#92003,#92005,#92007,#92009)); +#92011=FACE_BOUND('',#92010,.F.); +#92013=CARTESIAN_POINT('',(-6.75E0,6.645E0,-1.995E1)); +#92014=DIRECTION('',(-1.E0,0.E0,0.E0)); +#92015=DIRECTION('',(0.E0,-1.E0,0.E0)); +#92016=AXIS2_PLACEMENT_3D('',#92013,#92014,#92015); +#92017=PLANE('',#92016); +#92019=ORIENTED_EDGE('',*,*,#92018,.T.); +#92021=ORIENTED_EDGE('',*,*,#92020,.T.); +#92023=ORIENTED_EDGE('',*,*,#92022,.F.); +#92024=ORIENTED_EDGE('',*,*,#92002,.F.); +#92025=EDGE_LOOP('',(#92019,#92021,#92023,#92024)); +#92026=FACE_OUTER_BOUND('',#92025,.F.); +#92028=CARTESIAN_POINT('',(-6.15E0,6.645E0,-1.995E1)); +#92029=DIRECTION('',(0.E0,1.E0,0.E0)); +#92030=DIRECTION('',(-1.E0,0.E0,0.E0)); +#92031=AXIS2_PLACEMENT_3D('',#92028,#92029,#92030); +#92032=PLANE('',#92031); +#92033=ORIENTED_EDGE('',*,*,#92018,.F.); +#92034=ORIENTED_EDGE('',*,*,#92008,.F.); +#92036=ORIENTED_EDGE('',*,*,#92035,.T.); +#92038=ORIENTED_EDGE('',*,*,#92037,.T.); +#92039=EDGE_LOOP('',(#92033,#92034,#92036,#92038)); +#92040=FACE_OUTER_BOUND('',#92039,.F.); +#92042=CARTESIAN_POINT('',(-6.15E0,6.015E0,-1.995E1)); +#92043=DIRECTION('',(1.E0,0.E0,0.E0)); +#92044=DIRECTION('',(0.E0,1.E0,0.E0)); +#92045=AXIS2_PLACEMENT_3D('',#92042,#92043,#92044); +#92046=PLANE('',#92045); +#92048=ORIENTED_EDGE('',*,*,#92047,.T.); +#92050=ORIENTED_EDGE('',*,*,#92049,.T.); +#92051=ORIENTED_EDGE('',*,*,#92035,.F.); +#92052=ORIENTED_EDGE('',*,*,#92006,.F.); +#92053=EDGE_LOOP('',(#92048,#92050,#92051,#92052)); +#92054=FACE_OUTER_BOUND('',#92053,.F.); +#92056=CARTESIAN_POINT('',(-6.75E0,6.015E0,-1.995E1)); +#92057=DIRECTION('',(0.E0,-1.E0,0.E0)); +#92058=DIRECTION('',(1.E0,0.E0,0.E0)); +#92059=AXIS2_PLACEMENT_3D('',#92056,#92057,#92058); +#92060=PLANE('',#92059); +#92061=ORIENTED_EDGE('',*,*,#92022,.T.); +#92063=ORIENTED_EDGE('',*,*,#92062,.T.); +#92064=ORIENTED_EDGE('',*,*,#92047,.F.); +#92065=ORIENTED_EDGE('',*,*,#92004,.F.); +#92066=EDGE_LOOP('',(#92061,#92063,#92064,#92065)); +#92067=FACE_OUTER_BOUND('',#92066,.F.); +#92069=CARTESIAN_POINT('',(3.44E1,0.E0,-1.065E1)); +#92070=DIRECTION('',(0.E0,0.E0,1.E0)); +#92071=DIRECTION('',(1.E0,0.E0,0.E0)); +#92072=AXIS2_PLACEMENT_3D('',#92069,#92070,#92071); +#92073=PLANE('',#92072); +#92075=ORIENTED_EDGE('',*,*,#92074,.F.); +#92077=ORIENTED_EDGE('',*,*,#92076,.F.); +#92079=ORIENTED_EDGE('',*,*,#92078,.F.); +#92081=ORIENTED_EDGE('',*,*,#92080,.F.); +#92082=EDGE_LOOP('',(#92075,#92077,#92079,#92081)); +#92083=FACE_OUTER_BOUND('',#92082,.F.); +#92085=CARTESIAN_POINT('',(3.44E1,0.E0,-1.065E1)); +#92086=DIRECTION('',(0.E0,0.E0,1.E0)); +#92087=DIRECTION('',(1.E0,0.E0,0.E0)); +#92088=AXIS2_PLACEMENT_3D('',#92085,#92086,#92087); +#92089=PLANE('',#92088); +#92090=ORIENTED_EDGE('',*,*,#92020,.F.); +#92091=ORIENTED_EDGE('',*,*,#92037,.F.); +#92092=ORIENTED_EDGE('',*,*,#92049,.F.); +#92093=ORIENTED_EDGE('',*,*,#92062,.F.); +#92094=EDGE_LOOP('',(#92090,#92091,#92092,#92093)); +#92095=FACE_OUTER_BOUND('',#92094,.F.); +#92097=CARTESIAN_POINT('',(-6.75E0,-2.155E0,-1.995E1)); +#92098=DIRECTION('',(-1.E0,0.E0,0.E0)); +#92099=DIRECTION('',(0.E0,-1.E0,0.E0)); +#92100=AXIS2_PLACEMENT_3D('',#92097,#92098,#92099); +#92101=PLANE('',#92100); +#92103=ORIENTED_EDGE('',*,*,#92102,.T.); +#92104=ORIENTED_EDGE('',*,*,#92074,.T.); +#92106=ORIENTED_EDGE('',*,*,#92105,.F.); +#92108=ORIENTED_EDGE('',*,*,#92107,.F.); +#92109=EDGE_LOOP('',(#92103,#92104,#92106,#92108)); +#92110=FACE_OUTER_BOUND('',#92109,.F.); +#92112=CARTESIAN_POINT('',(-6.15E0,-2.155E0,-1.995E1)); +#92113=DIRECTION('',(0.E0,1.E0,0.E0)); +#92114=DIRECTION('',(-1.E0,0.E0,0.E0)); +#92115=AXIS2_PLACEMENT_3D('',#92112,#92113,#92114); +#92116=PLANE('',#92115); +#92117=ORIENTED_EDGE('',*,*,#92102,.F.); +#92119=ORIENTED_EDGE('',*,*,#92118,.F.); +#92121=ORIENTED_EDGE('',*,*,#92120,.T.); +#92122=ORIENTED_EDGE('',*,*,#92076,.T.); +#92123=EDGE_LOOP('',(#92117,#92119,#92121,#92122)); +#92124=FACE_OUTER_BOUND('',#92123,.F.); +#92126=CARTESIAN_POINT('',(-7.55E0,-2.07E0,-1.765E1)); +#92127=DIRECTION('',(0.E0,0.E0,1.E0)); +#92128=DIRECTION('',(0.E0,-1.E0,0.E0)); +#92129=AXIS2_PLACEMENT_3D('',#92126,#92127,#92128); +#92130=PLANE('',#92129); +#92132=ORIENTED_EDGE('',*,*,#92131,.T.); +#92134=ORIENTED_EDGE('',*,*,#92133,.F.); +#92136=ORIENTED_EDGE('',*,*,#92135,.T.); +#92138=ORIENTED_EDGE('',*,*,#92137,.T.); +#92139=EDGE_LOOP('',(#92132,#92134,#92136,#92138)); +#92140=FACE_OUTER_BOUND('',#92139,.F.); +#92141=ORIENTED_EDGE('',*,*,#92107,.T.); +#92143=ORIENTED_EDGE('',*,*,#92142,.T.); +#92145=ORIENTED_EDGE('',*,*,#92144,.T.); +#92146=ORIENTED_EDGE('',*,*,#92118,.T.); +#92147=EDGE_LOOP('',(#92141,#92143,#92145,#92146)); +#92148=FACE_BOUND('',#92147,.F.); +#92150=CARTESIAN_POINT('',(-6.75E0,-2.785E0,-1.995E1)); +#92151=DIRECTION('',(0.E0,-1.E0,0.E0)); +#92152=DIRECTION('',(1.E0,0.E0,0.E0)); +#92153=AXIS2_PLACEMENT_3D('',#92150,#92151,#92152); +#92154=PLANE('',#92153); +#92155=ORIENTED_EDGE('',*,*,#92105,.T.); +#92156=ORIENTED_EDGE('',*,*,#92080,.T.); +#92158=ORIENTED_EDGE('',*,*,#92157,.F.); +#92159=ORIENTED_EDGE('',*,*,#92142,.F.); +#92160=EDGE_LOOP('',(#92155,#92156,#92158,#92159)); +#92161=FACE_OUTER_BOUND('',#92160,.F.); +#92163=CARTESIAN_POINT('',(-6.15E0,-2.785E0,-1.995E1)); +#92164=DIRECTION('',(1.E0,0.E0,0.E0)); +#92165=DIRECTION('',(0.E0,1.E0,0.E0)); +#92166=AXIS2_PLACEMENT_3D('',#92163,#92164,#92165); +#92167=PLANE('',#92166); +#92168=ORIENTED_EDGE('',*,*,#92157,.T.); +#92169=ORIENTED_EDGE('',*,*,#92078,.T.); +#92170=ORIENTED_EDGE('',*,*,#92120,.F.); +#92171=ORIENTED_EDGE('',*,*,#92144,.F.); +#92172=EDGE_LOOP('',(#92168,#92169,#92170,#92171)); +#92173=FACE_OUTER_BOUND('',#92172,.F.); +#92175=CARTESIAN_POINT('',(-5.5E0,-2.47E0,-1.78E1)); +#92176=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#92177=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#92178=AXIS2_PLACEMENT_3D('',#92175,#92176,#92177); +#92179=PLANE('',#92178); +#92180=ORIENTED_EDGE('',*,*,#92131,.F.); +#92182=ORIENTED_EDGE('',*,*,#92181,.T.); +#92184=ORIENTED_EDGE('',*,*,#92183,.T.); +#92186=ORIENTED_EDGE('',*,*,#92185,.T.); +#92187=EDGE_LOOP('',(#92180,#92182,#92184,#92186)); +#92188=FACE_OUTER_BOUND('',#92187,.F.); +#92190=CARTESIAN_POINT('',(-7.55E0,-1.77E0,-1.795E1)); +#92191=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#92192=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#92193=AXIS2_PLACEMENT_3D('',#92190,#92191,#92192); +#92194=PLANE('',#92193); +#92195=ORIENTED_EDGE('',*,*,#92181,.F.); +#92196=ORIENTED_EDGE('',*,*,#92137,.F.); +#92198=ORIENTED_EDGE('',*,*,#92197,.F.); +#92200=ORIENTED_EDGE('',*,*,#92199,.F.); +#92201=EDGE_LOOP('',(#92195,#92196,#92198,#92200)); +#92202=FACE_OUTER_BOUND('',#92201,.F.); +#92204=CARTESIAN_POINT('',(-7.4E0,-2.47E0,-1.78E1)); +#92205=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#92206=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#92207=AXIS2_PLACEMENT_3D('',#92204,#92205,#92206); +#92208=PLANE('',#92207); +#92209=ORIENTED_EDGE('',*,*,#92197,.T.); +#92210=ORIENTED_EDGE('',*,*,#92135,.F.); +#92212=ORIENTED_EDGE('',*,*,#92211,.T.); +#92213=ORIENTED_EDGE('',*,*,#91965,.T.); +#92214=EDGE_LOOP('',(#92209,#92210,#92212,#92213)); +#92215=FACE_OUTER_BOUND('',#92214,.F.); +#92217=CARTESIAN_POINT('',(-7.55E0,-2.87E0,-1.765E1)); +#92218=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#92219=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#92220=AXIS2_PLACEMENT_3D('',#92217,#92218,#92219); +#92221=PLANE('',#92220); +#92222=ORIENTED_EDGE('',*,*,#92185,.F.); +#92224=ORIENTED_EDGE('',*,*,#92223,.F.); +#92225=ORIENTED_EDGE('',*,*,#92211,.F.); +#92226=ORIENTED_EDGE('',*,*,#92133,.T.); +#92227=EDGE_LOOP('',(#92222,#92224,#92225,#92226)); +#92228=FACE_OUTER_BOUND('',#92227,.F.); +#92230=CARTESIAN_POINT('',(-6.45E0,-3.257488663526E0,-1.895E1)); +#92231=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#92232=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#92233=AXIS2_PLACEMENT_3D('',#92230,#92231,#92232); +#92234=PLANE('',#92233); +#92235=ORIENTED_EDGE('',*,*,#91967,.T.); +#92236=ORIENTED_EDGE('',*,*,#92223,.T.); +#92238=ORIENTED_EDGE('',*,*,#92237,.T.); +#92239=ORIENTED_EDGE('',*,*,#72261,.F.); +#92240=EDGE_LOOP('',(#92235,#92236,#92238,#92239)); +#92241=FACE_OUTER_BOUND('',#92240,.F.); +#92243=CARTESIAN_POINT('',(-5.35E0,0.E0,-1.065E1)); +#92244=DIRECTION('',(1.E0,0.E0,0.E0)); +#92245=DIRECTION('',(0.E0,0.E0,-1.E0)); +#92246=AXIS2_PLACEMENT_3D('',#92243,#92244,#92245); +#92247=PLANE('',#92246); +#92249=ORIENTED_EDGE('',*,*,#92248,.T.); +#92250=ORIENTED_EDGE('',*,*,#71501,.T.); +#92252=ORIENTED_EDGE('',*,*,#92251,.F.); +#92254=ORIENTED_EDGE('',*,*,#92253,.F.); +#92255=ORIENTED_EDGE('',*,*,#69911,.F.); +#92256=EDGE_LOOP('',(#92249,#92250,#92252,#92254,#92255)); +#92257=FACE_OUTER_BOUND('',#92256,.F.); +#92259=CARTESIAN_POINT('',(-5.35E0,0.E0,-1.065E1)); +#92260=DIRECTION('',(1.E0,0.E0,0.E0)); +#92261=DIRECTION('',(0.E0,0.E0,-1.E0)); +#92262=AXIS2_PLACEMENT_3D('',#92259,#92260,#92261); +#92263=PLANE('',#92262); +#92265=ORIENTED_EDGE('',*,*,#92264,.T.); +#92266=ORIENTED_EDGE('',*,*,#72263,.T.); +#92267=ORIENTED_EDGE('',*,*,#92237,.F.); +#92268=ORIENTED_EDGE('',*,*,#92183,.F.); +#92270=ORIENTED_EDGE('',*,*,#92269,.F.); +#92271=EDGE_LOOP('',(#92265,#92266,#92267,#92268,#92270)); +#92272=FACE_OUTER_BOUND('',#92271,.F.); +#92274=CARTESIAN_POINT('',(-5.4E0,9.63E0,-1.816282873211E1)); +#92275=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#92276=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#92277=AXIS2_PLACEMENT_3D('',#92274,#92275,#92276); +#92278=PLANE('',#92277); +#92279=ORIENTED_EDGE('',*,*,#71503,.F.); +#92280=ORIENTED_EDGE('',*,*,#92248,.F.); +#92281=ORIENTED_EDGE('',*,*,#69909,.T.); +#92282=ORIENTED_EDGE('',*,*,#69933,.F.); +#92284=ORIENTED_EDGE('',*,*,#92283,.T.); +#92285=ORIENTED_EDGE('',*,*,#70274,.T.); +#92286=ORIENTED_EDGE('',*,*,#70326,.F.); +#92288=ORIENTED_EDGE('',*,*,#92287,.T.); +#92289=EDGE_LOOP('',(#92279,#92280,#92281,#92282,#92284,#92285,#92286,#92288)); +#92290=FACE_OUTER_BOUND('',#92289,.F.); +#92292=CARTESIAN_POINT('',(-5.4E0,9.63E0,-1.765E1)); +#92293=DIRECTION('',(0.E0,-1.E0,0.E0)); +#92294=DIRECTION('',(0.E0,0.E0,-1.E0)); +#92295=AXIS2_PLACEMENT_3D('',#92292,#92293,#92294); +#92296=PLANE('',#92295); +#92298=ORIENTED_EDGE('',*,*,#92297,.F.); +#92299=ORIENTED_EDGE('',*,*,#70276,.T.); +#92300=ORIENTED_EDGE('',*,*,#92283,.F.); +#92301=ORIENTED_EDGE('',*,*,#69931,.F.); +#92302=EDGE_LOOP('',(#92298,#92299,#92300,#92301)); +#92303=FACE_OUTER_BOUND('',#92302,.F.); +#92305=CARTESIAN_POINT('',(-4.3E0,9.78E0,-1.78E1)); +#92306=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#92307=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#92308=AXIS2_PLACEMENT_3D('',#92305,#92306,#92307); +#92309=PLANE('',#92308); +#92310=ORIENTED_EDGE('',*,*,#92297,.T.); +#92311=ORIENTED_EDGE('',*,*,#69973,.F.); +#92312=ORIENTED_EDGE('',*,*,#70016,.F.); +#92313=ORIENTED_EDGE('',*,*,#70003,.F.); +#92314=EDGE_LOOP('',(#92310,#92311,#92312,#92313)); +#92315=FACE_OUTER_BOUND('',#92314,.F.); +#92317=CARTESIAN_POINT('',(-3.25E0,0.E0,-1.065E1)); +#92318=DIRECTION('',(1.E0,0.E0,0.E0)); +#92319=DIRECTION('',(0.E0,0.E0,-1.E0)); +#92320=AXIS2_PLACEMENT_3D('',#92317,#92318,#92319); +#92321=PLANE('',#92320); +#92322=ORIENTED_EDGE('',*,*,#92287,.F.); +#92323=ORIENTED_EDGE('',*,*,#70324,.T.); +#92325=ORIENTED_EDGE('',*,*,#92324,.F.); +#92327=ORIENTED_EDGE('',*,*,#92326,.F.); +#92328=ORIENTED_EDGE('',*,*,#71505,.T.); +#92329=EDGE_LOOP('',(#92322,#92323,#92325,#92327,#92328)); +#92330=FACE_OUTER_BOUND('',#92329,.F.); +#92332=CARTESIAN_POINT('',(-3.25E0,0.E0,-1.065E1)); +#92333=DIRECTION('',(1.E0,0.E0,0.E0)); +#92334=DIRECTION('',(0.E0,0.E0,-1.E0)); +#92335=AXIS2_PLACEMENT_3D('',#92332,#92333,#92334); +#92336=PLANE('',#92335); +#92338=ORIENTED_EDGE('',*,*,#92337,.F.); +#92340=ORIENTED_EDGE('',*,*,#92339,.F.); +#92342=ORIENTED_EDGE('',*,*,#92341,.F.); +#92344=ORIENTED_EDGE('',*,*,#92343,.F.); +#92345=ORIENTED_EDGE('',*,*,#72267,.T.); +#92346=EDGE_LOOP('',(#92338,#92340,#92342,#92344,#92345)); +#92347=FACE_OUTER_BOUND('',#92346,.F.); +#92349=CARTESIAN_POINT('',(-3.1E0,6.33E0,-1.78E1)); +#92350=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#92351=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#92352=AXIS2_PLACEMENT_3D('',#92349,#92350,#92351); +#92353=PLANE('',#92352); +#92355=ORIENTED_EDGE('',*,*,#92354,.F.); +#92357=ORIENTED_EDGE('',*,*,#92356,.T.); +#92358=ORIENTED_EDGE('',*,*,#92324,.T.); +#92360=ORIENTED_EDGE('',*,*,#92359,.T.); +#92361=EDGE_LOOP('',(#92355,#92357,#92358,#92360)); +#92362=FACE_OUTER_BOUND('',#92361,.F.); +#92364=CARTESIAN_POINT('',(-3.25E0,6.73E0,-1.765E1)); +#92365=DIRECTION('',(0.E0,0.E0,1.E0)); +#92366=DIRECTION('',(0.E0,-1.E0,0.E0)); +#92367=AXIS2_PLACEMENT_3D('',#92364,#92365,#92366); +#92368=PLANE('',#92367); +#92370=ORIENTED_EDGE('',*,*,#92369,.T.); +#92372=ORIENTED_EDGE('',*,*,#92371,.F.); +#92373=ORIENTED_EDGE('',*,*,#92354,.T.); +#92375=ORIENTED_EDGE('',*,*,#92374,.T.); +#92376=EDGE_LOOP('',(#92370,#92372,#92373,#92375)); +#92377=FACE_OUTER_BOUND('',#92376,.F.); +#92379=ORIENTED_EDGE('',*,*,#92378,.T.); +#92381=ORIENTED_EDGE('',*,*,#92380,.T.); +#92383=ORIENTED_EDGE('',*,*,#92382,.T.); +#92385=ORIENTED_EDGE('',*,*,#92384,.T.); +#92386=EDGE_LOOP('',(#92379,#92381,#92383,#92385)); +#92387=FACE_BOUND('',#92386,.F.); +#92389=CARTESIAN_POINT('',(-2.45E0,6.645E0,-1.995E1)); +#92390=DIRECTION('',(-1.E0,0.E0,0.E0)); +#92391=DIRECTION('',(0.E0,-1.E0,0.E0)); +#92392=AXIS2_PLACEMENT_3D('',#92389,#92390,#92391); +#92393=PLANE('',#92392); +#92395=ORIENTED_EDGE('',*,*,#92394,.T.); +#92397=ORIENTED_EDGE('',*,*,#92396,.T.); +#92399=ORIENTED_EDGE('',*,*,#92398,.F.); +#92400=ORIENTED_EDGE('',*,*,#92378,.F.); +#92401=EDGE_LOOP('',(#92395,#92397,#92399,#92400)); +#92402=FACE_OUTER_BOUND('',#92401,.F.); +#92404=CARTESIAN_POINT('',(-1.85E0,6.645E0,-1.995E1)); +#92405=DIRECTION('',(0.E0,1.E0,0.E0)); +#92406=DIRECTION('',(-1.E0,0.E0,0.E0)); +#92407=AXIS2_PLACEMENT_3D('',#92404,#92405,#92406); +#92408=PLANE('',#92407); +#92409=ORIENTED_EDGE('',*,*,#92394,.F.); +#92410=ORIENTED_EDGE('',*,*,#92384,.F.); +#92412=ORIENTED_EDGE('',*,*,#92411,.T.); +#92414=ORIENTED_EDGE('',*,*,#92413,.T.); +#92415=EDGE_LOOP('',(#92409,#92410,#92412,#92414)); +#92416=FACE_OUTER_BOUND('',#92415,.F.); +#92418=CARTESIAN_POINT('',(-1.85E0,6.015E0,-1.995E1)); +#92419=DIRECTION('',(1.E0,0.E0,0.E0)); +#92420=DIRECTION('',(0.E0,1.E0,0.E0)); +#92421=AXIS2_PLACEMENT_3D('',#92418,#92419,#92420); +#92422=PLANE('',#92421); +#92424=ORIENTED_EDGE('',*,*,#92423,.T.); +#92426=ORIENTED_EDGE('',*,*,#92425,.T.); +#92427=ORIENTED_EDGE('',*,*,#92411,.F.); +#92428=ORIENTED_EDGE('',*,*,#92382,.F.); +#92429=EDGE_LOOP('',(#92424,#92426,#92427,#92428)); +#92430=FACE_OUTER_BOUND('',#92429,.F.); +#92432=CARTESIAN_POINT('',(-2.45E0,6.015E0,-1.995E1)); +#92433=DIRECTION('',(0.E0,-1.E0,0.E0)); +#92434=DIRECTION('',(1.E0,0.E0,0.E0)); +#92435=AXIS2_PLACEMENT_3D('',#92432,#92433,#92434); +#92436=PLANE('',#92435); +#92437=ORIENTED_EDGE('',*,*,#92398,.T.); +#92439=ORIENTED_EDGE('',*,*,#92438,.T.); +#92440=ORIENTED_EDGE('',*,*,#92423,.F.); +#92441=ORIENTED_EDGE('',*,*,#92380,.F.); +#92442=EDGE_LOOP('',(#92437,#92439,#92440,#92441)); +#92443=FACE_OUTER_BOUND('',#92442,.F.); +#92445=CARTESIAN_POINT('',(3.87E1,0.E0,-1.065E1)); +#92446=DIRECTION('',(0.E0,0.E0,1.E0)); +#92447=DIRECTION('',(1.E0,0.E0,0.E0)); +#92448=AXIS2_PLACEMENT_3D('',#92445,#92446,#92447); +#92449=PLANE('',#92448); +#92451=ORIENTED_EDGE('',*,*,#92450,.F.); +#92453=ORIENTED_EDGE('',*,*,#92452,.F.); +#92455=ORIENTED_EDGE('',*,*,#92454,.F.); +#92457=ORIENTED_EDGE('',*,*,#92456,.F.); +#92458=EDGE_LOOP('',(#92451,#92453,#92455,#92457)); +#92459=FACE_OUTER_BOUND('',#92458,.F.); +#92461=CARTESIAN_POINT('',(3.87E1,0.E0,-1.065E1)); +#92462=DIRECTION('',(0.E0,0.E0,1.E0)); +#92463=DIRECTION('',(1.E0,0.E0,0.E0)); +#92464=AXIS2_PLACEMENT_3D('',#92461,#92462,#92463); +#92465=PLANE('',#92464); +#92466=ORIENTED_EDGE('',*,*,#92396,.F.); +#92467=ORIENTED_EDGE('',*,*,#92413,.F.); +#92468=ORIENTED_EDGE('',*,*,#92425,.F.); +#92469=ORIENTED_EDGE('',*,*,#92438,.F.); +#92470=EDGE_LOOP('',(#92466,#92467,#92468,#92469)); +#92471=FACE_OUTER_BOUND('',#92470,.F.); +#92473=CARTESIAN_POINT('',(-2.45E0,-2.155E0,-1.995E1)); +#92474=DIRECTION('',(-1.E0,0.E0,0.E0)); +#92475=DIRECTION('',(0.E0,-1.E0,0.E0)); +#92476=AXIS2_PLACEMENT_3D('',#92473,#92474,#92475); +#92477=PLANE('',#92476); +#92479=ORIENTED_EDGE('',*,*,#92478,.T.); +#92480=ORIENTED_EDGE('',*,*,#92450,.T.); +#92482=ORIENTED_EDGE('',*,*,#92481,.F.); +#92484=ORIENTED_EDGE('',*,*,#92483,.F.); +#92485=EDGE_LOOP('',(#92479,#92480,#92482,#92484)); +#92486=FACE_OUTER_BOUND('',#92485,.F.); +#92488=CARTESIAN_POINT('',(-1.85E0,-2.155E0,-1.995E1)); +#92489=DIRECTION('',(0.E0,1.E0,0.E0)); +#92490=DIRECTION('',(-1.E0,0.E0,0.E0)); +#92491=AXIS2_PLACEMENT_3D('',#92488,#92489,#92490); +#92492=PLANE('',#92491); +#92493=ORIENTED_EDGE('',*,*,#92478,.F.); +#92495=ORIENTED_EDGE('',*,*,#92494,.F.); +#92497=ORIENTED_EDGE('',*,*,#92496,.T.); +#92498=ORIENTED_EDGE('',*,*,#92452,.T.); +#92499=EDGE_LOOP('',(#92493,#92495,#92497,#92498)); +#92500=FACE_OUTER_BOUND('',#92499,.F.); +#92502=CARTESIAN_POINT('',(-3.25E0,-2.07E0,-1.765E1)); +#92503=DIRECTION('',(0.E0,0.E0,1.E0)); +#92504=DIRECTION('',(0.E0,-1.E0,0.E0)); +#92505=AXIS2_PLACEMENT_3D('',#92502,#92503,#92504); +#92506=PLANE('',#92505); +#92508=ORIENTED_EDGE('',*,*,#92507,.T.); +#92510=ORIENTED_EDGE('',*,*,#92509,.F.); +#92512=ORIENTED_EDGE('',*,*,#92511,.T.); +#92514=ORIENTED_EDGE('',*,*,#92513,.T.); +#92515=EDGE_LOOP('',(#92508,#92510,#92512,#92514)); +#92516=FACE_OUTER_BOUND('',#92515,.F.); +#92517=ORIENTED_EDGE('',*,*,#92483,.T.); +#92519=ORIENTED_EDGE('',*,*,#92518,.T.); +#92521=ORIENTED_EDGE('',*,*,#92520,.T.); +#92522=ORIENTED_EDGE('',*,*,#92494,.T.); +#92523=EDGE_LOOP('',(#92517,#92519,#92521,#92522)); +#92524=FACE_BOUND('',#92523,.F.); +#92526=CARTESIAN_POINT('',(-2.45E0,-2.785E0,-1.995E1)); +#92527=DIRECTION('',(0.E0,-1.E0,0.E0)); +#92528=DIRECTION('',(1.E0,0.E0,0.E0)); +#92529=AXIS2_PLACEMENT_3D('',#92526,#92527,#92528); +#92530=PLANE('',#92529); +#92531=ORIENTED_EDGE('',*,*,#92481,.T.); +#92532=ORIENTED_EDGE('',*,*,#92456,.T.); +#92534=ORIENTED_EDGE('',*,*,#92533,.F.); +#92535=ORIENTED_EDGE('',*,*,#92518,.F.); +#92536=EDGE_LOOP('',(#92531,#92532,#92534,#92535)); +#92537=FACE_OUTER_BOUND('',#92536,.F.); +#92539=CARTESIAN_POINT('',(-1.85E0,-2.785E0,-1.995E1)); +#92540=DIRECTION('',(1.E0,0.E0,0.E0)); +#92541=DIRECTION('',(0.E0,1.E0,0.E0)); +#92542=AXIS2_PLACEMENT_3D('',#92539,#92540,#92541); +#92543=PLANE('',#92542); +#92544=ORIENTED_EDGE('',*,*,#92533,.T.); +#92545=ORIENTED_EDGE('',*,*,#92454,.T.); +#92546=ORIENTED_EDGE('',*,*,#92496,.F.); +#92547=ORIENTED_EDGE('',*,*,#92520,.F.); +#92548=EDGE_LOOP('',(#92544,#92545,#92546,#92547)); +#92549=FACE_OUTER_BOUND('',#92548,.F.); +#92551=CARTESIAN_POINT('',(-1.2E0,-2.47E0,-1.78E1)); +#92552=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#92553=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#92554=AXIS2_PLACEMENT_3D('',#92551,#92552,#92553); +#92555=PLANE('',#92554); +#92556=ORIENTED_EDGE('',*,*,#92507,.F.); +#92558=ORIENTED_EDGE('',*,*,#92557,.T.); +#92560=ORIENTED_EDGE('',*,*,#92559,.T.); +#92562=ORIENTED_EDGE('',*,*,#92561,.T.); +#92563=EDGE_LOOP('',(#92556,#92558,#92560,#92562)); +#92564=FACE_OUTER_BOUND('',#92563,.F.); +#92566=CARTESIAN_POINT('',(-3.25E0,-1.77E0,-1.795E1)); +#92567=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#92568=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#92569=AXIS2_PLACEMENT_3D('',#92566,#92567,#92568); +#92570=PLANE('',#92569); +#92571=ORIENTED_EDGE('',*,*,#92557,.F.); +#92572=ORIENTED_EDGE('',*,*,#92513,.F.); +#92574=ORIENTED_EDGE('',*,*,#92573,.F.); +#92576=ORIENTED_EDGE('',*,*,#92575,.F.); +#92577=EDGE_LOOP('',(#92571,#92572,#92574,#92576)); +#92578=FACE_OUTER_BOUND('',#92577,.F.); +#92580=CARTESIAN_POINT('',(-3.1E0,-2.47E0,-1.78E1)); +#92581=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#92582=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#92583=AXIS2_PLACEMENT_3D('',#92580,#92581,#92582); +#92584=PLANE('',#92583); +#92585=ORIENTED_EDGE('',*,*,#92573,.T.); +#92586=ORIENTED_EDGE('',*,*,#92511,.F.); +#92588=ORIENTED_EDGE('',*,*,#92587,.T.); +#92589=ORIENTED_EDGE('',*,*,#92341,.T.); +#92590=EDGE_LOOP('',(#92585,#92586,#92588,#92589)); +#92591=FACE_OUTER_BOUND('',#92590,.F.); +#92593=CARTESIAN_POINT('',(-3.25E0,-2.87E0,-1.765E1)); +#92594=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#92595=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#92596=AXIS2_PLACEMENT_3D('',#92593,#92594,#92595); +#92597=PLANE('',#92596); +#92598=ORIENTED_EDGE('',*,*,#92561,.F.); +#92600=ORIENTED_EDGE('',*,*,#92599,.F.); +#92601=ORIENTED_EDGE('',*,*,#92587,.F.); +#92602=ORIENTED_EDGE('',*,*,#92509,.T.); +#92603=EDGE_LOOP('',(#92598,#92600,#92601,#92602)); +#92604=FACE_OUTER_BOUND('',#92603,.F.); +#92606=CARTESIAN_POINT('',(-2.15E0,-3.257488663526E0,-1.895E1)); +#92607=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#92608=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#92609=AXIS2_PLACEMENT_3D('',#92606,#92607,#92608); +#92610=PLANE('',#92609); +#92611=ORIENTED_EDGE('',*,*,#92343,.T.); +#92612=ORIENTED_EDGE('',*,*,#92599,.T.); +#92614=ORIENTED_EDGE('',*,*,#92613,.T.); +#92615=ORIENTED_EDGE('',*,*,#72269,.F.); +#92616=EDGE_LOOP('',(#92611,#92612,#92614,#92615)); +#92617=FACE_OUTER_BOUND('',#92616,.F.); +#92619=CARTESIAN_POINT('',(-1.05E0,0.E0,-1.065E1)); +#92620=DIRECTION('',(1.E0,0.E0,0.E0)); +#92621=DIRECTION('',(0.E0,0.E0,-1.E0)); +#92622=AXIS2_PLACEMENT_3D('',#92619,#92620,#92621); +#92623=PLANE('',#92622); +#92625=ORIENTED_EDGE('',*,*,#92624,.T.); +#92626=ORIENTED_EDGE('',*,*,#71509,.T.); +#92628=ORIENTED_EDGE('',*,*,#92627,.F.); +#92630=ORIENTED_EDGE('',*,*,#92629,.F.); +#92631=ORIENTED_EDGE('',*,*,#70320,.F.); +#92632=EDGE_LOOP('',(#92625,#92626,#92628,#92630,#92631)); +#92633=FACE_OUTER_BOUND('',#92632,.F.); +#92635=CARTESIAN_POINT('',(-1.05E0,0.E0,-1.065E1)); +#92636=DIRECTION('',(1.E0,0.E0,0.E0)); +#92637=DIRECTION('',(0.E0,0.E0,-1.E0)); +#92638=AXIS2_PLACEMENT_3D('',#92635,#92636,#92637); +#92639=PLANE('',#92638); +#92641=ORIENTED_EDGE('',*,*,#92640,.T.); +#92642=ORIENTED_EDGE('',*,*,#72271,.T.); +#92643=ORIENTED_EDGE('',*,*,#92613,.F.); +#92644=ORIENTED_EDGE('',*,*,#92559,.F.); +#92646=ORIENTED_EDGE('',*,*,#92645,.F.); +#92647=EDGE_LOOP('',(#92641,#92642,#92643,#92644,#92646)); +#92648=FACE_OUTER_BOUND('',#92647,.F.); +#92650=CARTESIAN_POINT('',(-1.1E0,9.63E0,-1.816282873211E1)); +#92651=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#92652=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#92653=AXIS2_PLACEMENT_3D('',#92650,#92651,#92652); +#92654=PLANE('',#92653); +#92655=ORIENTED_EDGE('',*,*,#71511,.F.); +#92656=ORIENTED_EDGE('',*,*,#92624,.F.); +#92657=ORIENTED_EDGE('',*,*,#70318,.T.); +#92658=ORIENTED_EDGE('',*,*,#70342,.F.); +#92660=ORIENTED_EDGE('',*,*,#92659,.T.); +#92661=ORIENTED_EDGE('',*,*,#70683,.T.); +#92662=ORIENTED_EDGE('',*,*,#70735,.F.); +#92664=ORIENTED_EDGE('',*,*,#92663,.T.); +#92665=EDGE_LOOP('',(#92655,#92656,#92657,#92658,#92660,#92661,#92662,#92664)); +#92666=FACE_OUTER_BOUND('',#92665,.F.); +#92668=CARTESIAN_POINT('',(-1.1E0,9.63E0,-1.765E1)); +#92669=DIRECTION('',(0.E0,-1.E0,0.E0)); +#92670=DIRECTION('',(0.E0,0.E0,-1.E0)); +#92671=AXIS2_PLACEMENT_3D('',#92668,#92669,#92670); +#92672=PLANE('',#92671); +#92674=ORIENTED_EDGE('',*,*,#92673,.F.); +#92675=ORIENTED_EDGE('',*,*,#70685,.T.); +#92676=ORIENTED_EDGE('',*,*,#92659,.F.); +#92677=ORIENTED_EDGE('',*,*,#70340,.F.); +#92678=EDGE_LOOP('',(#92674,#92675,#92676,#92677)); +#92679=FACE_OUTER_BOUND('',#92678,.F.); +#92681=CARTESIAN_POINT('',(0.E0,9.78E0,-1.78E1)); +#92682=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#92683=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#92684=AXIS2_PLACEMENT_3D('',#92681,#92682,#92683); +#92685=PLANE('',#92684); +#92686=ORIENTED_EDGE('',*,*,#92673,.T.); +#92687=ORIENTED_EDGE('',*,*,#70382,.F.); +#92688=ORIENTED_EDGE('',*,*,#70425,.F.); +#92689=ORIENTED_EDGE('',*,*,#70412,.F.); +#92690=EDGE_LOOP('',(#92686,#92687,#92688,#92689)); +#92691=FACE_OUTER_BOUND('',#92690,.F.); +#92693=CARTESIAN_POINT('',(1.05E0,0.E0,-1.065E1)); +#92694=DIRECTION('',(1.E0,0.E0,0.E0)); +#92695=DIRECTION('',(0.E0,0.E0,-1.E0)); +#92696=AXIS2_PLACEMENT_3D('',#92693,#92694,#92695); +#92697=PLANE('',#92696); +#92698=ORIENTED_EDGE('',*,*,#92663,.F.); +#92699=ORIENTED_EDGE('',*,*,#70733,.T.); +#92701=ORIENTED_EDGE('',*,*,#92700,.F.); +#92703=ORIENTED_EDGE('',*,*,#92702,.F.); +#92704=ORIENTED_EDGE('',*,*,#71513,.T.); +#92705=EDGE_LOOP('',(#92698,#92699,#92701,#92703,#92704)); +#92706=FACE_OUTER_BOUND('',#92705,.F.); +#92708=CARTESIAN_POINT('',(1.05E0,0.E0,-1.065E1)); +#92709=DIRECTION('',(1.E0,0.E0,0.E0)); +#92710=DIRECTION('',(0.E0,0.E0,-1.E0)); +#92711=AXIS2_PLACEMENT_3D('',#92708,#92709,#92710); +#92712=PLANE('',#92711); +#92714=ORIENTED_EDGE('',*,*,#92713,.F.); +#92716=ORIENTED_EDGE('',*,*,#92715,.F.); +#92718=ORIENTED_EDGE('',*,*,#92717,.F.); +#92720=ORIENTED_EDGE('',*,*,#92719,.F.); +#92721=ORIENTED_EDGE('',*,*,#72275,.T.); +#92722=EDGE_LOOP('',(#92714,#92716,#92718,#92720,#92721)); +#92723=FACE_OUTER_BOUND('',#92722,.F.); +#92725=CARTESIAN_POINT('',(1.2E0,6.33E0,-1.78E1)); +#92726=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#92727=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#92728=AXIS2_PLACEMENT_3D('',#92725,#92726,#92727); +#92729=PLANE('',#92728); +#92731=ORIENTED_EDGE('',*,*,#92730,.F.); +#92733=ORIENTED_EDGE('',*,*,#92732,.T.); +#92734=ORIENTED_EDGE('',*,*,#92700,.T.); +#92736=ORIENTED_EDGE('',*,*,#92735,.T.); +#92737=EDGE_LOOP('',(#92731,#92733,#92734,#92736)); +#92738=FACE_OUTER_BOUND('',#92737,.F.); +#92740=CARTESIAN_POINT('',(1.05E0,6.73E0,-1.765E1)); +#92741=DIRECTION('',(0.E0,0.E0,1.E0)); +#92742=DIRECTION('',(0.E0,-1.E0,0.E0)); +#92743=AXIS2_PLACEMENT_3D('',#92740,#92741,#92742); +#92744=PLANE('',#92743); +#92746=ORIENTED_EDGE('',*,*,#92745,.T.); +#92748=ORIENTED_EDGE('',*,*,#92747,.F.); +#92749=ORIENTED_EDGE('',*,*,#92730,.T.); +#92751=ORIENTED_EDGE('',*,*,#92750,.T.); +#92752=EDGE_LOOP('',(#92746,#92748,#92749,#92751)); +#92753=FACE_OUTER_BOUND('',#92752,.F.); +#92755=ORIENTED_EDGE('',*,*,#92754,.T.); +#92757=ORIENTED_EDGE('',*,*,#92756,.T.); +#92759=ORIENTED_EDGE('',*,*,#92758,.T.); +#92761=ORIENTED_EDGE('',*,*,#92760,.T.); +#92762=EDGE_LOOP('',(#92755,#92757,#92759,#92761)); +#92763=FACE_BOUND('',#92762,.F.); +#92765=CARTESIAN_POINT('',(1.85E0,6.645E0,-1.995E1)); +#92766=DIRECTION('',(-1.E0,0.E0,0.E0)); +#92767=DIRECTION('',(0.E0,-1.E0,0.E0)); +#92768=AXIS2_PLACEMENT_3D('',#92765,#92766,#92767); +#92769=PLANE('',#92768); +#92771=ORIENTED_EDGE('',*,*,#92770,.T.); +#92773=ORIENTED_EDGE('',*,*,#92772,.T.); +#92775=ORIENTED_EDGE('',*,*,#92774,.F.); +#92776=ORIENTED_EDGE('',*,*,#92754,.F.); +#92777=EDGE_LOOP('',(#92771,#92773,#92775,#92776)); +#92778=FACE_OUTER_BOUND('',#92777,.F.); +#92780=CARTESIAN_POINT('',(2.45E0,6.645E0,-1.995E1)); +#92781=DIRECTION('',(0.E0,1.E0,0.E0)); +#92782=DIRECTION('',(-1.E0,0.E0,0.E0)); +#92783=AXIS2_PLACEMENT_3D('',#92780,#92781,#92782); +#92784=PLANE('',#92783); +#92785=ORIENTED_EDGE('',*,*,#92770,.F.); +#92786=ORIENTED_EDGE('',*,*,#92760,.F.); +#92788=ORIENTED_EDGE('',*,*,#92787,.T.); +#92790=ORIENTED_EDGE('',*,*,#92789,.T.); +#92791=EDGE_LOOP('',(#92785,#92786,#92788,#92790)); +#92792=FACE_OUTER_BOUND('',#92791,.F.); +#92794=CARTESIAN_POINT('',(2.45E0,6.015E0,-1.995E1)); +#92795=DIRECTION('',(1.E0,0.E0,0.E0)); +#92796=DIRECTION('',(0.E0,1.E0,0.E0)); +#92797=AXIS2_PLACEMENT_3D('',#92794,#92795,#92796); +#92798=PLANE('',#92797); +#92800=ORIENTED_EDGE('',*,*,#92799,.T.); +#92802=ORIENTED_EDGE('',*,*,#92801,.T.); +#92803=ORIENTED_EDGE('',*,*,#92787,.F.); +#92804=ORIENTED_EDGE('',*,*,#92758,.F.); +#92805=EDGE_LOOP('',(#92800,#92802,#92803,#92804)); +#92806=FACE_OUTER_BOUND('',#92805,.F.); +#92808=CARTESIAN_POINT('',(1.85E0,6.015E0,-1.995E1)); +#92809=DIRECTION('',(0.E0,-1.E0,0.E0)); +#92810=DIRECTION('',(1.E0,0.E0,0.E0)); +#92811=AXIS2_PLACEMENT_3D('',#92808,#92809,#92810); +#92812=PLANE('',#92811); +#92813=ORIENTED_EDGE('',*,*,#92774,.T.); +#92815=ORIENTED_EDGE('',*,*,#92814,.T.); +#92816=ORIENTED_EDGE('',*,*,#92799,.F.); +#92817=ORIENTED_EDGE('',*,*,#92756,.F.); +#92818=EDGE_LOOP('',(#92813,#92815,#92816,#92817)); +#92819=FACE_OUTER_BOUND('',#92818,.F.); +#92821=CARTESIAN_POINT('',(4.3E1,0.E0,-1.065E1)); +#92822=DIRECTION('',(0.E0,0.E0,1.E0)); +#92823=DIRECTION('',(1.E0,0.E0,0.E0)); +#92824=AXIS2_PLACEMENT_3D('',#92821,#92822,#92823); +#92825=PLANE('',#92824); +#92827=ORIENTED_EDGE('',*,*,#92826,.F.); +#92829=ORIENTED_EDGE('',*,*,#92828,.F.); +#92831=ORIENTED_EDGE('',*,*,#92830,.F.); +#92833=ORIENTED_EDGE('',*,*,#92832,.F.); +#92834=EDGE_LOOP('',(#92827,#92829,#92831,#92833)); +#92835=FACE_OUTER_BOUND('',#92834,.F.); +#92837=CARTESIAN_POINT('',(4.3E1,0.E0,-1.065E1)); +#92838=DIRECTION('',(0.E0,0.E0,1.E0)); +#92839=DIRECTION('',(1.E0,0.E0,0.E0)); +#92840=AXIS2_PLACEMENT_3D('',#92837,#92838,#92839); +#92841=PLANE('',#92840); +#92842=ORIENTED_EDGE('',*,*,#92772,.F.); +#92843=ORIENTED_EDGE('',*,*,#92789,.F.); +#92844=ORIENTED_EDGE('',*,*,#92801,.F.); +#92845=ORIENTED_EDGE('',*,*,#92814,.F.); +#92846=EDGE_LOOP('',(#92842,#92843,#92844,#92845)); +#92847=FACE_OUTER_BOUND('',#92846,.F.); +#92849=CARTESIAN_POINT('',(1.85E0,-2.155E0,-1.995E1)); +#92850=DIRECTION('',(-1.E0,0.E0,0.E0)); +#92851=DIRECTION('',(0.E0,-1.E0,0.E0)); +#92852=AXIS2_PLACEMENT_3D('',#92849,#92850,#92851); +#92853=PLANE('',#92852); +#92855=ORIENTED_EDGE('',*,*,#92854,.T.); +#92856=ORIENTED_EDGE('',*,*,#92826,.T.); +#92858=ORIENTED_EDGE('',*,*,#92857,.F.); +#92860=ORIENTED_EDGE('',*,*,#92859,.F.); +#92861=EDGE_LOOP('',(#92855,#92856,#92858,#92860)); +#92862=FACE_OUTER_BOUND('',#92861,.F.); +#92864=CARTESIAN_POINT('',(2.45E0,-2.155E0,-1.995E1)); +#92865=DIRECTION('',(0.E0,1.E0,0.E0)); +#92866=DIRECTION('',(-1.E0,0.E0,0.E0)); +#92867=AXIS2_PLACEMENT_3D('',#92864,#92865,#92866); +#92868=PLANE('',#92867); +#92869=ORIENTED_EDGE('',*,*,#92854,.F.); +#92871=ORIENTED_EDGE('',*,*,#92870,.F.); +#92873=ORIENTED_EDGE('',*,*,#92872,.T.); +#92874=ORIENTED_EDGE('',*,*,#92828,.T.); +#92875=EDGE_LOOP('',(#92869,#92871,#92873,#92874)); +#92876=FACE_OUTER_BOUND('',#92875,.F.); +#92878=CARTESIAN_POINT('',(1.05E0,-2.07E0,-1.765E1)); +#92879=DIRECTION('',(0.E0,0.E0,1.E0)); +#92880=DIRECTION('',(0.E0,-1.E0,0.E0)); +#92881=AXIS2_PLACEMENT_3D('',#92878,#92879,#92880); +#92882=PLANE('',#92881); +#92884=ORIENTED_EDGE('',*,*,#92883,.T.); +#92886=ORIENTED_EDGE('',*,*,#92885,.F.); +#92888=ORIENTED_EDGE('',*,*,#92887,.T.); +#92890=ORIENTED_EDGE('',*,*,#92889,.T.); +#92891=EDGE_LOOP('',(#92884,#92886,#92888,#92890)); +#92892=FACE_OUTER_BOUND('',#92891,.F.); +#92893=ORIENTED_EDGE('',*,*,#92859,.T.); +#92895=ORIENTED_EDGE('',*,*,#92894,.T.); +#92897=ORIENTED_EDGE('',*,*,#92896,.T.); +#92898=ORIENTED_EDGE('',*,*,#92870,.T.); +#92899=EDGE_LOOP('',(#92893,#92895,#92897,#92898)); +#92900=FACE_BOUND('',#92899,.F.); +#92902=CARTESIAN_POINT('',(1.85E0,-2.785E0,-1.995E1)); +#92903=DIRECTION('',(0.E0,-1.E0,0.E0)); +#92904=DIRECTION('',(1.E0,0.E0,0.E0)); +#92905=AXIS2_PLACEMENT_3D('',#92902,#92903,#92904); +#92906=PLANE('',#92905); +#92907=ORIENTED_EDGE('',*,*,#92857,.T.); +#92908=ORIENTED_EDGE('',*,*,#92832,.T.); +#92910=ORIENTED_EDGE('',*,*,#92909,.F.); +#92911=ORIENTED_EDGE('',*,*,#92894,.F.); +#92912=EDGE_LOOP('',(#92907,#92908,#92910,#92911)); +#92913=FACE_OUTER_BOUND('',#92912,.F.); +#92915=CARTESIAN_POINT('',(2.45E0,-2.785E0,-1.995E1)); +#92916=DIRECTION('',(1.E0,0.E0,0.E0)); +#92917=DIRECTION('',(0.E0,1.E0,0.E0)); +#92918=AXIS2_PLACEMENT_3D('',#92915,#92916,#92917); +#92919=PLANE('',#92918); +#92920=ORIENTED_EDGE('',*,*,#92909,.T.); +#92921=ORIENTED_EDGE('',*,*,#92830,.T.); +#92922=ORIENTED_EDGE('',*,*,#92872,.F.); +#92923=ORIENTED_EDGE('',*,*,#92896,.F.); +#92924=EDGE_LOOP('',(#92920,#92921,#92922,#92923)); +#92925=FACE_OUTER_BOUND('',#92924,.F.); +#92927=CARTESIAN_POINT('',(3.1E0,-2.47E0,-1.78E1)); +#92928=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#92929=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#92930=AXIS2_PLACEMENT_3D('',#92927,#92928,#92929); +#92931=PLANE('',#92930); +#92932=ORIENTED_EDGE('',*,*,#92883,.F.); +#92934=ORIENTED_EDGE('',*,*,#92933,.T.); +#92936=ORIENTED_EDGE('',*,*,#92935,.T.); +#92938=ORIENTED_EDGE('',*,*,#92937,.T.); +#92939=EDGE_LOOP('',(#92932,#92934,#92936,#92938)); +#92940=FACE_OUTER_BOUND('',#92939,.F.); +#92942=CARTESIAN_POINT('',(1.05E0,-1.77E0,-1.795E1)); +#92943=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#92944=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#92945=AXIS2_PLACEMENT_3D('',#92942,#92943,#92944); +#92946=PLANE('',#92945); +#92947=ORIENTED_EDGE('',*,*,#92933,.F.); +#92948=ORIENTED_EDGE('',*,*,#92889,.F.); +#92950=ORIENTED_EDGE('',*,*,#92949,.F.); +#92952=ORIENTED_EDGE('',*,*,#92951,.F.); +#92953=EDGE_LOOP('',(#92947,#92948,#92950,#92952)); +#92954=FACE_OUTER_BOUND('',#92953,.F.); +#92956=CARTESIAN_POINT('',(1.2E0,-2.47E0,-1.78E1)); +#92957=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#92958=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#92959=AXIS2_PLACEMENT_3D('',#92956,#92957,#92958); +#92960=PLANE('',#92959); +#92961=ORIENTED_EDGE('',*,*,#92949,.T.); +#92962=ORIENTED_EDGE('',*,*,#92887,.F.); +#92964=ORIENTED_EDGE('',*,*,#92963,.T.); +#92965=ORIENTED_EDGE('',*,*,#92717,.T.); +#92966=EDGE_LOOP('',(#92961,#92962,#92964,#92965)); +#92967=FACE_OUTER_BOUND('',#92966,.F.); +#92969=CARTESIAN_POINT('',(1.05E0,-2.87E0,-1.765E1)); +#92970=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#92971=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#92972=AXIS2_PLACEMENT_3D('',#92969,#92970,#92971); +#92973=PLANE('',#92972); +#92974=ORIENTED_EDGE('',*,*,#92937,.F.); +#92976=ORIENTED_EDGE('',*,*,#92975,.F.); +#92977=ORIENTED_EDGE('',*,*,#92963,.F.); +#92978=ORIENTED_EDGE('',*,*,#92885,.T.); +#92979=EDGE_LOOP('',(#92974,#92976,#92977,#92978)); +#92980=FACE_OUTER_BOUND('',#92979,.F.); +#92982=CARTESIAN_POINT('',(2.15E0,-3.257488663526E0,-1.895E1)); +#92983=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#92984=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#92985=AXIS2_PLACEMENT_3D('',#92982,#92983,#92984); +#92986=PLANE('',#92985); +#92987=ORIENTED_EDGE('',*,*,#92719,.T.); +#92988=ORIENTED_EDGE('',*,*,#92975,.T.); +#92990=ORIENTED_EDGE('',*,*,#92989,.T.); +#92991=ORIENTED_EDGE('',*,*,#72277,.F.); +#92992=EDGE_LOOP('',(#92987,#92988,#92990,#92991)); +#92993=FACE_OUTER_BOUND('',#92992,.F.); +#92995=CARTESIAN_POINT('',(3.25E0,0.E0,-1.065E1)); +#92996=DIRECTION('',(1.E0,0.E0,0.E0)); +#92997=DIRECTION('',(0.E0,0.E0,-1.E0)); +#92998=AXIS2_PLACEMENT_3D('',#92995,#92996,#92997); +#92999=PLANE('',#92998); +#93001=ORIENTED_EDGE('',*,*,#93000,.T.); +#93002=ORIENTED_EDGE('',*,*,#71517,.T.); +#93004=ORIENTED_EDGE('',*,*,#93003,.F.); +#93006=ORIENTED_EDGE('',*,*,#93005,.F.); +#93007=ORIENTED_EDGE('',*,*,#70729,.F.); +#93008=EDGE_LOOP('',(#93001,#93002,#93004,#93006,#93007)); +#93009=FACE_OUTER_BOUND('',#93008,.F.); +#93011=CARTESIAN_POINT('',(3.25E0,0.E0,-1.065E1)); +#93012=DIRECTION('',(1.E0,0.E0,0.E0)); +#93013=DIRECTION('',(0.E0,0.E0,-1.E0)); +#93014=AXIS2_PLACEMENT_3D('',#93011,#93012,#93013); +#93015=PLANE('',#93014); +#93017=ORIENTED_EDGE('',*,*,#93016,.T.); +#93018=ORIENTED_EDGE('',*,*,#72279,.T.); +#93019=ORIENTED_EDGE('',*,*,#92989,.F.); +#93020=ORIENTED_EDGE('',*,*,#92935,.F.); +#93022=ORIENTED_EDGE('',*,*,#93021,.F.); +#93023=EDGE_LOOP('',(#93017,#93018,#93019,#93020,#93022)); +#93024=FACE_OUTER_BOUND('',#93023,.F.); +#93026=CARTESIAN_POINT('',(3.2E0,9.63E0,-1.816282873211E1)); +#93027=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#93028=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#93029=AXIS2_PLACEMENT_3D('',#93026,#93027,#93028); +#93030=PLANE('',#93029); +#93031=ORIENTED_EDGE('',*,*,#71519,.F.); +#93032=ORIENTED_EDGE('',*,*,#93000,.F.); +#93033=ORIENTED_EDGE('',*,*,#70727,.T.); +#93034=ORIENTED_EDGE('',*,*,#70751,.F.); +#93036=ORIENTED_EDGE('',*,*,#93035,.T.); +#93037=ORIENTED_EDGE('',*,*,#71092,.T.); +#93038=ORIENTED_EDGE('',*,*,#71143,.F.); +#93040=ORIENTED_EDGE('',*,*,#93039,.T.); +#93041=EDGE_LOOP('',(#93031,#93032,#93033,#93034,#93036,#93037,#93038,#93040)); +#93042=FACE_OUTER_BOUND('',#93041,.F.); +#93044=CARTESIAN_POINT('',(3.2E0,9.63E0,-1.765E1)); +#93045=DIRECTION('',(0.E0,-1.E0,0.E0)); +#93046=DIRECTION('',(0.E0,0.E0,-1.E0)); +#93047=AXIS2_PLACEMENT_3D('',#93044,#93045,#93046); +#93048=PLANE('',#93047); +#93050=ORIENTED_EDGE('',*,*,#93049,.F.); +#93051=ORIENTED_EDGE('',*,*,#71094,.T.); +#93052=ORIENTED_EDGE('',*,*,#93035,.F.); +#93053=ORIENTED_EDGE('',*,*,#70749,.F.); +#93054=EDGE_LOOP('',(#93050,#93051,#93052,#93053)); +#93055=FACE_OUTER_BOUND('',#93054,.F.); +#93057=CARTESIAN_POINT('',(4.3E0,9.78E0,-1.78E1)); +#93058=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#93059=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#93060=AXIS2_PLACEMENT_3D('',#93057,#93058,#93059); +#93061=PLANE('',#93060); +#93062=ORIENTED_EDGE('',*,*,#93049,.T.); +#93063=ORIENTED_EDGE('',*,*,#70791,.F.); +#93064=ORIENTED_EDGE('',*,*,#70834,.F.); +#93065=ORIENTED_EDGE('',*,*,#70821,.F.); +#93066=EDGE_LOOP('',(#93062,#93063,#93064,#93065)); +#93067=FACE_OUTER_BOUND('',#93066,.F.); +#93069=CARTESIAN_POINT('',(5.35E0,0.E0,-1.065E1)); +#93070=DIRECTION('',(1.E0,0.E0,0.E0)); +#93071=DIRECTION('',(0.E0,0.E0,-1.E0)); +#93072=AXIS2_PLACEMENT_3D('',#93069,#93070,#93071); +#93073=PLANE('',#93072); +#93074=ORIENTED_EDGE('',*,*,#93039,.F.); +#93075=ORIENTED_EDGE('',*,*,#71141,.T.); +#93077=ORIENTED_EDGE('',*,*,#93076,.F.); +#93079=ORIENTED_EDGE('',*,*,#93078,.F.); +#93080=ORIENTED_EDGE('',*,*,#71521,.T.); +#93081=EDGE_LOOP('',(#93074,#93075,#93077,#93079,#93080)); +#93082=FACE_OUTER_BOUND('',#93081,.F.); +#93084=CARTESIAN_POINT('',(5.35E0,0.E0,-1.065E1)); +#93085=DIRECTION('',(1.E0,0.E0,0.E0)); +#93086=DIRECTION('',(0.E0,0.E0,-1.E0)); +#93087=AXIS2_PLACEMENT_3D('',#93084,#93085,#93086); +#93088=PLANE('',#93087); +#93090=ORIENTED_EDGE('',*,*,#93089,.F.); +#93092=ORIENTED_EDGE('',*,*,#93091,.F.); +#93094=ORIENTED_EDGE('',*,*,#93093,.F.); +#93096=ORIENTED_EDGE('',*,*,#93095,.F.); +#93097=ORIENTED_EDGE('',*,*,#72283,.T.); +#93098=EDGE_LOOP('',(#93090,#93092,#93094,#93096,#93097)); +#93099=FACE_OUTER_BOUND('',#93098,.F.); +#93101=CARTESIAN_POINT('',(5.5E0,6.33E0,-1.78E1)); +#93102=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#93103=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#93104=AXIS2_PLACEMENT_3D('',#93101,#93102,#93103); +#93105=PLANE('',#93104); +#93107=ORIENTED_EDGE('',*,*,#93106,.F.); +#93109=ORIENTED_EDGE('',*,*,#93108,.T.); +#93110=ORIENTED_EDGE('',*,*,#93076,.T.); +#93112=ORIENTED_EDGE('',*,*,#93111,.T.); +#93113=EDGE_LOOP('',(#93107,#93109,#93110,#93112)); +#93114=FACE_OUTER_BOUND('',#93113,.F.); +#93116=CARTESIAN_POINT('',(5.35E0,6.73E0,-1.765E1)); +#93117=DIRECTION('',(0.E0,0.E0,1.E0)); +#93118=DIRECTION('',(0.E0,-1.E0,0.E0)); +#93119=AXIS2_PLACEMENT_3D('',#93116,#93117,#93118); +#93120=PLANE('',#93119); +#93122=ORIENTED_EDGE('',*,*,#93121,.T.); +#93124=ORIENTED_EDGE('',*,*,#93123,.F.); +#93125=ORIENTED_EDGE('',*,*,#93106,.T.); +#93127=ORIENTED_EDGE('',*,*,#93126,.T.); +#93128=EDGE_LOOP('',(#93122,#93124,#93125,#93127)); +#93129=FACE_OUTER_BOUND('',#93128,.F.); +#93131=ORIENTED_EDGE('',*,*,#93130,.T.); +#93133=ORIENTED_EDGE('',*,*,#93132,.T.); +#93135=ORIENTED_EDGE('',*,*,#93134,.T.); +#93137=ORIENTED_EDGE('',*,*,#93136,.T.); +#93138=EDGE_LOOP('',(#93131,#93133,#93135,#93137)); +#93139=FACE_BOUND('',#93138,.F.); +#93141=CARTESIAN_POINT('',(6.15E0,6.645E0,-1.995E1)); +#93142=DIRECTION('',(-1.E0,0.E0,0.E0)); +#93143=DIRECTION('',(0.E0,-1.E0,0.E0)); +#93144=AXIS2_PLACEMENT_3D('',#93141,#93142,#93143); +#93145=PLANE('',#93144); +#93147=ORIENTED_EDGE('',*,*,#93146,.T.); +#93149=ORIENTED_EDGE('',*,*,#93148,.T.); +#93151=ORIENTED_EDGE('',*,*,#93150,.F.); +#93152=ORIENTED_EDGE('',*,*,#93130,.F.); +#93153=EDGE_LOOP('',(#93147,#93149,#93151,#93152)); +#93154=FACE_OUTER_BOUND('',#93153,.F.); +#93156=CARTESIAN_POINT('',(6.75E0,6.645E0,-1.995E1)); +#93157=DIRECTION('',(0.E0,1.E0,0.E0)); +#93158=DIRECTION('',(-1.E0,0.E0,0.E0)); +#93159=AXIS2_PLACEMENT_3D('',#93156,#93157,#93158); +#93160=PLANE('',#93159); +#93161=ORIENTED_EDGE('',*,*,#93146,.F.); +#93162=ORIENTED_EDGE('',*,*,#93136,.F.); +#93164=ORIENTED_EDGE('',*,*,#93163,.T.); +#93166=ORIENTED_EDGE('',*,*,#93165,.T.); +#93167=EDGE_LOOP('',(#93161,#93162,#93164,#93166)); +#93168=FACE_OUTER_BOUND('',#93167,.F.); +#93170=CARTESIAN_POINT('',(6.75E0,6.015E0,-1.995E1)); +#93171=DIRECTION('',(1.E0,0.E0,0.E0)); +#93172=DIRECTION('',(0.E0,1.E0,0.E0)); +#93173=AXIS2_PLACEMENT_3D('',#93170,#93171,#93172); +#93174=PLANE('',#93173); +#93176=ORIENTED_EDGE('',*,*,#93175,.T.); +#93178=ORIENTED_EDGE('',*,*,#93177,.T.); +#93179=ORIENTED_EDGE('',*,*,#93163,.F.); +#93180=ORIENTED_EDGE('',*,*,#93134,.F.); +#93181=EDGE_LOOP('',(#93176,#93178,#93179,#93180)); +#93182=FACE_OUTER_BOUND('',#93181,.F.); +#93184=CARTESIAN_POINT('',(6.15E0,6.015E0,-1.995E1)); +#93185=DIRECTION('',(0.E0,-1.E0,0.E0)); +#93186=DIRECTION('',(1.E0,0.E0,0.E0)); +#93187=AXIS2_PLACEMENT_3D('',#93184,#93185,#93186); +#93188=PLANE('',#93187); +#93189=ORIENTED_EDGE('',*,*,#93150,.T.); +#93191=ORIENTED_EDGE('',*,*,#93190,.T.); +#93192=ORIENTED_EDGE('',*,*,#93175,.F.); +#93193=ORIENTED_EDGE('',*,*,#93132,.F.); +#93194=EDGE_LOOP('',(#93189,#93191,#93192,#93193)); +#93195=FACE_OUTER_BOUND('',#93194,.F.); +#93197=CARTESIAN_POINT('',(4.73E1,0.E0,-1.065E1)); +#93198=DIRECTION('',(0.E0,0.E0,1.E0)); +#93199=DIRECTION('',(1.E0,0.E0,0.E0)); +#93200=AXIS2_PLACEMENT_3D('',#93197,#93198,#93199); +#93201=PLANE('',#93200); +#93203=ORIENTED_EDGE('',*,*,#93202,.F.); +#93205=ORIENTED_EDGE('',*,*,#93204,.F.); +#93207=ORIENTED_EDGE('',*,*,#93206,.F.); +#93209=ORIENTED_EDGE('',*,*,#93208,.F.); +#93210=EDGE_LOOP('',(#93203,#93205,#93207,#93209)); +#93211=FACE_OUTER_BOUND('',#93210,.F.); +#93213=CARTESIAN_POINT('',(4.73E1,0.E0,-1.065E1)); +#93214=DIRECTION('',(0.E0,0.E0,1.E0)); +#93215=DIRECTION('',(1.E0,0.E0,0.E0)); +#93216=AXIS2_PLACEMENT_3D('',#93213,#93214,#93215); +#93217=PLANE('',#93216); +#93218=ORIENTED_EDGE('',*,*,#93148,.F.); +#93219=ORIENTED_EDGE('',*,*,#93165,.F.); +#93220=ORIENTED_EDGE('',*,*,#93177,.F.); +#93221=ORIENTED_EDGE('',*,*,#93190,.F.); +#93222=EDGE_LOOP('',(#93218,#93219,#93220,#93221)); +#93223=FACE_OUTER_BOUND('',#93222,.F.); +#93225=CARTESIAN_POINT('',(6.15E0,-2.155E0,-1.995E1)); +#93226=DIRECTION('',(-1.E0,0.E0,0.E0)); +#93227=DIRECTION('',(0.E0,-1.E0,0.E0)); +#93228=AXIS2_PLACEMENT_3D('',#93225,#93226,#93227); +#93229=PLANE('',#93228); +#93231=ORIENTED_EDGE('',*,*,#93230,.T.); +#93232=ORIENTED_EDGE('',*,*,#93202,.T.); +#93234=ORIENTED_EDGE('',*,*,#93233,.F.); +#93236=ORIENTED_EDGE('',*,*,#93235,.F.); +#93237=EDGE_LOOP('',(#93231,#93232,#93234,#93236)); +#93238=FACE_OUTER_BOUND('',#93237,.F.); +#93240=CARTESIAN_POINT('',(6.75E0,-2.155E0,-1.995E1)); +#93241=DIRECTION('',(0.E0,1.E0,0.E0)); +#93242=DIRECTION('',(-1.E0,0.E0,0.E0)); +#93243=AXIS2_PLACEMENT_3D('',#93240,#93241,#93242); +#93244=PLANE('',#93243); +#93245=ORIENTED_EDGE('',*,*,#93230,.F.); +#93247=ORIENTED_EDGE('',*,*,#93246,.F.); +#93249=ORIENTED_EDGE('',*,*,#93248,.T.); +#93250=ORIENTED_EDGE('',*,*,#93204,.T.); +#93251=EDGE_LOOP('',(#93245,#93247,#93249,#93250)); +#93252=FACE_OUTER_BOUND('',#93251,.F.); +#93254=CARTESIAN_POINT('',(5.35E0,-2.07E0,-1.765E1)); +#93255=DIRECTION('',(0.E0,0.E0,1.E0)); +#93256=DIRECTION('',(0.E0,-1.E0,0.E0)); +#93257=AXIS2_PLACEMENT_3D('',#93254,#93255,#93256); +#93258=PLANE('',#93257); +#93260=ORIENTED_EDGE('',*,*,#93259,.T.); +#93262=ORIENTED_EDGE('',*,*,#93261,.F.); +#93264=ORIENTED_EDGE('',*,*,#93263,.T.); +#93266=ORIENTED_EDGE('',*,*,#93265,.T.); +#93267=EDGE_LOOP('',(#93260,#93262,#93264,#93266)); +#93268=FACE_OUTER_BOUND('',#93267,.F.); +#93269=ORIENTED_EDGE('',*,*,#93235,.T.); +#93271=ORIENTED_EDGE('',*,*,#93270,.T.); +#93273=ORIENTED_EDGE('',*,*,#93272,.T.); +#93274=ORIENTED_EDGE('',*,*,#93246,.T.); +#93275=EDGE_LOOP('',(#93269,#93271,#93273,#93274)); +#93276=FACE_BOUND('',#93275,.F.); +#93278=CARTESIAN_POINT('',(6.15E0,-2.785E0,-1.995E1)); +#93279=DIRECTION('',(0.E0,-1.E0,0.E0)); +#93280=DIRECTION('',(1.E0,0.E0,0.E0)); +#93281=AXIS2_PLACEMENT_3D('',#93278,#93279,#93280); +#93282=PLANE('',#93281); +#93283=ORIENTED_EDGE('',*,*,#93233,.T.); +#93284=ORIENTED_EDGE('',*,*,#93208,.T.); +#93286=ORIENTED_EDGE('',*,*,#93285,.F.); +#93287=ORIENTED_EDGE('',*,*,#93270,.F.); +#93288=EDGE_LOOP('',(#93283,#93284,#93286,#93287)); +#93289=FACE_OUTER_BOUND('',#93288,.F.); +#93291=CARTESIAN_POINT('',(6.75E0,-2.785E0,-1.995E1)); +#93292=DIRECTION('',(1.E0,0.E0,0.E0)); +#93293=DIRECTION('',(0.E0,1.E0,0.E0)); +#93294=AXIS2_PLACEMENT_3D('',#93291,#93292,#93293); +#93295=PLANE('',#93294); +#93296=ORIENTED_EDGE('',*,*,#93285,.T.); +#93297=ORIENTED_EDGE('',*,*,#93206,.T.); +#93298=ORIENTED_EDGE('',*,*,#93248,.F.); +#93299=ORIENTED_EDGE('',*,*,#93272,.F.); +#93300=EDGE_LOOP('',(#93296,#93297,#93298,#93299)); +#93301=FACE_OUTER_BOUND('',#93300,.F.); +#93303=CARTESIAN_POINT('',(7.4E0,-2.47E0,-1.78E1)); +#93304=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#93305=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#93306=AXIS2_PLACEMENT_3D('',#93303,#93304,#93305); +#93307=PLANE('',#93306); +#93308=ORIENTED_EDGE('',*,*,#93259,.F.); +#93310=ORIENTED_EDGE('',*,*,#93309,.T.); +#93312=ORIENTED_EDGE('',*,*,#93311,.T.); +#93314=ORIENTED_EDGE('',*,*,#93313,.T.); +#93315=EDGE_LOOP('',(#93308,#93310,#93312,#93314)); +#93316=FACE_OUTER_BOUND('',#93315,.F.); +#93318=CARTESIAN_POINT('',(5.35E0,-1.77E0,-1.795E1)); +#93319=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#93320=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#93321=AXIS2_PLACEMENT_3D('',#93318,#93319,#93320); +#93322=PLANE('',#93321); +#93323=ORIENTED_EDGE('',*,*,#93309,.F.); +#93324=ORIENTED_EDGE('',*,*,#93265,.F.); +#93326=ORIENTED_EDGE('',*,*,#93325,.F.); +#93328=ORIENTED_EDGE('',*,*,#93327,.F.); +#93329=EDGE_LOOP('',(#93323,#93324,#93326,#93328)); +#93330=FACE_OUTER_BOUND('',#93329,.F.); +#93332=CARTESIAN_POINT('',(5.5E0,-2.47E0,-1.78E1)); +#93333=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#93334=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#93335=AXIS2_PLACEMENT_3D('',#93332,#93333,#93334); +#93336=PLANE('',#93335); +#93337=ORIENTED_EDGE('',*,*,#93325,.T.); +#93338=ORIENTED_EDGE('',*,*,#93263,.F.); +#93340=ORIENTED_EDGE('',*,*,#93339,.T.); +#93341=ORIENTED_EDGE('',*,*,#93093,.T.); +#93342=EDGE_LOOP('',(#93337,#93338,#93340,#93341)); +#93343=FACE_OUTER_BOUND('',#93342,.F.); +#93345=CARTESIAN_POINT('',(5.35E0,-2.87E0,-1.765E1)); +#93346=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#93347=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#93348=AXIS2_PLACEMENT_3D('',#93345,#93346,#93347); +#93349=PLANE('',#93348); +#93350=ORIENTED_EDGE('',*,*,#93313,.F.); +#93352=ORIENTED_EDGE('',*,*,#93351,.F.); +#93353=ORIENTED_EDGE('',*,*,#93339,.F.); +#93354=ORIENTED_EDGE('',*,*,#93261,.T.); +#93355=EDGE_LOOP('',(#93350,#93352,#93353,#93354)); +#93356=FACE_OUTER_BOUND('',#93355,.F.); +#93358=CARTESIAN_POINT('',(6.45E0,-3.257488663526E0,-1.895E1)); +#93359=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#93360=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#93361=AXIS2_PLACEMENT_3D('',#93358,#93359,#93360); +#93362=PLANE('',#93361); +#93363=ORIENTED_EDGE('',*,*,#93095,.T.); +#93364=ORIENTED_EDGE('',*,*,#93351,.T.); +#93366=ORIENTED_EDGE('',*,*,#93365,.T.); +#93367=ORIENTED_EDGE('',*,*,#72285,.F.); +#93368=EDGE_LOOP('',(#93363,#93364,#93366,#93367)); +#93369=FACE_OUTER_BOUND('',#93368,.F.); +#93371=CARTESIAN_POINT('',(7.55E0,0.E0,-1.065E1)); +#93372=DIRECTION('',(1.E0,0.E0,0.E0)); +#93373=DIRECTION('',(0.E0,0.E0,-1.E0)); +#93374=AXIS2_PLACEMENT_3D('',#93371,#93372,#93373); +#93375=PLANE('',#93374); +#93376=ORIENTED_EDGE('',*,*,#71157,.T.); +#93377=ORIENTED_EDGE('',*,*,#71525,.T.); +#93379=ORIENTED_EDGE('',*,*,#93378,.F.); +#93381=ORIENTED_EDGE('',*,*,#93380,.F.); +#93382=ORIENTED_EDGE('',*,*,#71137,.F.); +#93383=EDGE_LOOP('',(#93376,#93377,#93379,#93381,#93382)); +#93384=FACE_OUTER_BOUND('',#93383,.F.); +#93386=CARTESIAN_POINT('',(7.55E0,0.E0,-1.065E1)); +#93387=DIRECTION('',(1.E0,0.E0,0.E0)); +#93388=DIRECTION('',(0.E0,0.E0,-1.E0)); +#93389=AXIS2_PLACEMENT_3D('',#93386,#93387,#93388); +#93390=PLANE('',#93389); +#93392=ORIENTED_EDGE('',*,*,#93391,.T.); +#93393=ORIENTED_EDGE('',*,*,#72287,.T.); +#93394=ORIENTED_EDGE('',*,*,#93365,.F.); +#93395=ORIENTED_EDGE('',*,*,#93311,.F.); +#93397=ORIENTED_EDGE('',*,*,#93396,.F.); +#93398=EDGE_LOOP('',(#93392,#93393,#93394,#93395,#93397)); +#93399=FACE_OUTER_BOUND('',#93398,.F.); +#93401=CARTESIAN_POINT('',(6.45E0,5.542511336474E0,-1.895E1)); +#93402=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#93403=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#93404=AXIS2_PLACEMENT_3D('',#93401,#93402,#93403); +#93405=PLANE('',#93404); +#93406=ORIENTED_EDGE('',*,*,#93078,.T.); +#93408=ORIENTED_EDGE('',*,*,#93407,.T.); +#93409=ORIENTED_EDGE('',*,*,#93378,.T.); +#93410=ORIENTED_EDGE('',*,*,#71523,.F.); +#93411=EDGE_LOOP('',(#93406,#93408,#93409,#93410)); +#93412=FACE_OUTER_BOUND('',#93411,.F.); +#93414=CARTESIAN_POINT('',(5.35E0,5.93E0,-1.765E1)); +#93415=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#93416=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#93417=AXIS2_PLACEMENT_3D('',#93414,#93415,#93416); +#93418=PLANE('',#93417); +#93420=ORIENTED_EDGE('',*,*,#93419,.F.); +#93421=ORIENTED_EDGE('',*,*,#93407,.F.); +#93422=ORIENTED_EDGE('',*,*,#93108,.F.); +#93423=ORIENTED_EDGE('',*,*,#93123,.T.); +#93424=EDGE_LOOP('',(#93420,#93421,#93422,#93423)); +#93425=FACE_OUTER_BOUND('',#93424,.F.); +#93427=CARTESIAN_POINT('',(7.4E0,6.33E0,-1.78E1)); +#93428=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#93429=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#93430=AXIS2_PLACEMENT_3D('',#93427,#93428,#93429); +#93431=PLANE('',#93430); +#93432=ORIENTED_EDGE('',*,*,#93121,.F.); +#93434=ORIENTED_EDGE('',*,*,#93433,.T.); +#93435=ORIENTED_EDGE('',*,*,#93380,.T.); +#93436=ORIENTED_EDGE('',*,*,#93419,.T.); +#93437=EDGE_LOOP('',(#93432,#93434,#93435,#93436)); +#93438=FACE_OUTER_BOUND('',#93437,.F.); +#93440=CARTESIAN_POINT('',(5.35E0,7.03E0,-1.795E1)); +#93441=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#93442=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#93443=AXIS2_PLACEMENT_3D('',#93440,#93441,#93442); +#93444=PLANE('',#93443); +#93445=ORIENTED_EDGE('',*,*,#93433,.F.); +#93446=ORIENTED_EDGE('',*,*,#93126,.F.); +#93447=ORIENTED_EDGE('',*,*,#93111,.F.); +#93448=ORIENTED_EDGE('',*,*,#71139,.T.); +#93449=EDGE_LOOP('',(#93445,#93446,#93447,#93448)); +#93450=FACE_OUTER_BOUND('',#93449,.F.); +#93452=CARTESIAN_POINT('',(7.5E0,8.3E-1,-1.812E1)); +#93453=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#93454=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#93455=AXIS2_PLACEMENT_3D('',#93452,#93453,#93454); +#93456=PLANE('',#93455); +#93457=ORIENTED_EDGE('',*,*,#72289,.F.); +#93458=ORIENTED_EDGE('',*,*,#93391,.F.); +#93460=ORIENTED_EDGE('',*,*,#93459,.T.); +#93461=ORIENTED_EDGE('',*,*,#65974,.F.); +#93462=ORIENTED_EDGE('',*,*,#66278,.T.); +#93463=ORIENTED_EDGE('',*,*,#66312,.T.); +#93464=EDGE_LOOP('',(#93457,#93458,#93460,#93461,#93462,#93463)); +#93465=FACE_OUTER_BOUND('',#93464,.F.); +#93467=CARTESIAN_POINT('',(6.45E0,-1.682511336474E0,-1.895E1)); +#93468=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#93469=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#93470=AXIS2_PLACEMENT_3D('',#93467,#93468,#93469); +#93471=PLANE('',#93470); +#93472=ORIENTED_EDGE('',*,*,#65976,.F.); +#93473=ORIENTED_EDGE('',*,*,#93459,.F.); +#93474=ORIENTED_EDGE('',*,*,#93396,.T.); +#93475=ORIENTED_EDGE('',*,*,#93327,.T.); +#93476=ORIENTED_EDGE('',*,*,#93091,.T.); +#93478=ORIENTED_EDGE('',*,*,#93477,.T.); +#93479=ORIENTED_EDGE('',*,*,#71109,.T.); +#93480=ORIENTED_EDGE('',*,*,#72127,.F.); +#93481=EDGE_LOOP('',(#93472,#93473,#93474,#93475,#93476,#93478,#93479,#93480)); +#93482=FACE_OUTER_BOUND('',#93481,.F.); +#93484=CARTESIAN_POINT('',(3.2E0,8.3E-1,-1.812E1)); +#93485=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#93486=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#93487=AXIS2_PLACEMENT_3D('',#93484,#93485,#93486); +#93488=PLANE('',#93487); +#93489=ORIENTED_EDGE('',*,*,#72281,.F.); +#93490=ORIENTED_EDGE('',*,*,#93016,.F.); +#93492=ORIENTED_EDGE('',*,*,#93491,.T.); +#93493=ORIENTED_EDGE('',*,*,#70770,.F.); +#93494=ORIENTED_EDGE('',*,*,#71074,.T.); +#93495=ORIENTED_EDGE('',*,*,#71111,.T.); +#93496=ORIENTED_EDGE('',*,*,#93477,.F.); +#93497=ORIENTED_EDGE('',*,*,#93089,.T.); +#93498=EDGE_LOOP('',(#93489,#93490,#93492,#93493,#93494,#93495,#93496,#93497)); +#93499=FACE_OUTER_BOUND('',#93498,.F.); +#93501=CARTESIAN_POINT('',(2.15E0,-1.682511336474E0,-1.895E1)); +#93502=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#93503=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#93504=AXIS2_PLACEMENT_3D('',#93501,#93502,#93503); +#93505=PLANE('',#93504); +#93506=ORIENTED_EDGE('',*,*,#70772,.F.); +#93507=ORIENTED_EDGE('',*,*,#93491,.F.); +#93508=ORIENTED_EDGE('',*,*,#93021,.T.); +#93509=ORIENTED_EDGE('',*,*,#92951,.T.); +#93510=ORIENTED_EDGE('',*,*,#92715,.T.); +#93512=ORIENTED_EDGE('',*,*,#93511,.T.); +#93513=ORIENTED_EDGE('',*,*,#70700,.T.); +#93514=ORIENTED_EDGE('',*,*,#72133,.F.); +#93515=EDGE_LOOP('',(#93506,#93507,#93508,#93509,#93510,#93512,#93513,#93514)); +#93516=FACE_OUTER_BOUND('',#93515,.F.); +#93518=CARTESIAN_POINT('',(-1.1E0,8.3E-1,-1.812E1)); +#93519=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#93520=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#93521=AXIS2_PLACEMENT_3D('',#93518,#93519,#93520); +#93522=PLANE('',#93521); +#93523=ORIENTED_EDGE('',*,*,#72273,.F.); +#93524=ORIENTED_EDGE('',*,*,#92640,.F.); +#93526=ORIENTED_EDGE('',*,*,#93525,.T.); +#93527=ORIENTED_EDGE('',*,*,#70361,.F.); +#93528=ORIENTED_EDGE('',*,*,#70665,.T.); +#93529=ORIENTED_EDGE('',*,*,#70702,.T.); +#93530=ORIENTED_EDGE('',*,*,#93511,.F.); +#93531=ORIENTED_EDGE('',*,*,#92713,.T.); +#93532=EDGE_LOOP('',(#93523,#93524,#93526,#93527,#93528,#93529,#93530,#93531)); +#93533=FACE_OUTER_BOUND('',#93532,.F.); +#93535=CARTESIAN_POINT('',(-2.15E0,-1.682511336474E0,-1.895E1)); +#93536=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#93537=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#93538=AXIS2_PLACEMENT_3D('',#93535,#93536,#93537); +#93539=PLANE('',#93538); +#93540=ORIENTED_EDGE('',*,*,#70363,.F.); +#93541=ORIENTED_EDGE('',*,*,#93525,.F.); +#93542=ORIENTED_EDGE('',*,*,#92645,.T.); +#93543=ORIENTED_EDGE('',*,*,#92575,.T.); +#93544=ORIENTED_EDGE('',*,*,#92339,.T.); +#93546=ORIENTED_EDGE('',*,*,#93545,.T.); +#93547=ORIENTED_EDGE('',*,*,#70291,.T.); +#93548=ORIENTED_EDGE('',*,*,#72139,.F.); +#93549=EDGE_LOOP('',(#93540,#93541,#93542,#93543,#93544,#93546,#93547,#93548)); +#93550=FACE_OUTER_BOUND('',#93549,.F.); +#93552=CARTESIAN_POINT('',(-5.4E0,8.3E-1,-1.812E1)); +#93553=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#93554=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#93555=AXIS2_PLACEMENT_3D('',#93552,#93553,#93554); +#93556=PLANE('',#93555); +#93557=ORIENTED_EDGE('',*,*,#72265,.F.); +#93558=ORIENTED_EDGE('',*,*,#92264,.F.); +#93560=ORIENTED_EDGE('',*,*,#93559,.T.); +#93561=ORIENTED_EDGE('',*,*,#69952,.F.); +#93562=ORIENTED_EDGE('',*,*,#70256,.T.); +#93563=ORIENTED_EDGE('',*,*,#70293,.T.); +#93564=ORIENTED_EDGE('',*,*,#93545,.F.); +#93565=ORIENTED_EDGE('',*,*,#92337,.T.); +#93566=EDGE_LOOP('',(#93557,#93558,#93560,#93561,#93562,#93563,#93564,#93565)); +#93567=FACE_OUTER_BOUND('',#93566,.F.); +#93569=CARTESIAN_POINT('',(-6.45E0,-1.682511336474E0,-1.895E1)); +#93570=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#93571=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#93572=AXIS2_PLACEMENT_3D('',#93569,#93570,#93571); +#93573=PLANE('',#93572); +#93574=ORIENTED_EDGE('',*,*,#69954,.F.); +#93575=ORIENTED_EDGE('',*,*,#93559,.F.); +#93576=ORIENTED_EDGE('',*,*,#92269,.T.); +#93577=ORIENTED_EDGE('',*,*,#92199,.T.); +#93578=ORIENTED_EDGE('',*,*,#91963,.T.); +#93580=ORIENTED_EDGE('',*,*,#93579,.T.); +#93581=ORIENTED_EDGE('',*,*,#69882,.T.); +#93582=ORIENTED_EDGE('',*,*,#72145,.F.); +#93583=EDGE_LOOP('',(#93574,#93575,#93576,#93577,#93578,#93580,#93581,#93582)); +#93584=FACE_OUTER_BOUND('',#93583,.F.); +#93586=CARTESIAN_POINT('',(-9.7E0,8.3E-1,-1.812E1)); +#93587=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#93588=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#93589=AXIS2_PLACEMENT_3D('',#93586,#93587,#93588); +#93590=PLANE('',#93589); +#93591=ORIENTED_EDGE('',*,*,#72257,.F.); +#93592=ORIENTED_EDGE('',*,*,#91888,.F.); +#93594=ORIENTED_EDGE('',*,*,#93593,.T.); +#93595=ORIENTED_EDGE('',*,*,#69543,.F.); +#93596=ORIENTED_EDGE('',*,*,#69847,.T.); +#93597=ORIENTED_EDGE('',*,*,#69884,.T.); +#93598=ORIENTED_EDGE('',*,*,#93579,.F.); +#93599=ORIENTED_EDGE('',*,*,#91961,.T.); +#93600=EDGE_LOOP('',(#93591,#93592,#93594,#93595,#93596,#93597,#93598,#93599)); +#93601=FACE_OUTER_BOUND('',#93600,.F.); +#93603=CARTESIAN_POINT('',(-1.075E1,-1.682511336474E0,-1.895E1)); +#93604=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#93605=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#93606=AXIS2_PLACEMENT_3D('',#93603,#93604,#93605); +#93607=PLANE('',#93606); +#93608=ORIENTED_EDGE('',*,*,#69545,.F.); +#93609=ORIENTED_EDGE('',*,*,#93593,.F.); +#93610=ORIENTED_EDGE('',*,*,#91893,.T.); +#93611=ORIENTED_EDGE('',*,*,#91823,.T.); +#93612=ORIENTED_EDGE('',*,*,#91587,.T.); +#93614=ORIENTED_EDGE('',*,*,#93613,.T.); +#93615=ORIENTED_EDGE('',*,*,#69473,.T.); +#93616=ORIENTED_EDGE('',*,*,#72151,.F.); +#93617=EDGE_LOOP('',(#93608,#93609,#93610,#93611,#93612,#93614,#93615,#93616)); +#93618=FACE_OUTER_BOUND('',#93617,.F.); +#93620=CARTESIAN_POINT('',(-1.4E1,8.3E-1,-1.812E1)); +#93621=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#93622=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#93623=AXIS2_PLACEMENT_3D('',#93620,#93621,#93622); +#93624=PLANE('',#93623); +#93625=ORIENTED_EDGE('',*,*,#72249,.F.); +#93626=ORIENTED_EDGE('',*,*,#91512,.F.); +#93628=ORIENTED_EDGE('',*,*,#93627,.T.); +#93629=ORIENTED_EDGE('',*,*,#69134,.F.); +#93630=ORIENTED_EDGE('',*,*,#69438,.T.); +#93631=ORIENTED_EDGE('',*,*,#69475,.T.); +#93632=ORIENTED_EDGE('',*,*,#93613,.F.); +#93633=ORIENTED_EDGE('',*,*,#91585,.T.); +#93634=EDGE_LOOP('',(#93625,#93626,#93628,#93629,#93630,#93631,#93632,#93633)); +#93635=FACE_OUTER_BOUND('',#93634,.F.); +#93637=CARTESIAN_POINT('',(-1.505E1,-1.682511336474E0,-1.895E1)); +#93638=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#93639=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#93640=AXIS2_PLACEMENT_3D('',#93637,#93638,#93639); +#93641=PLANE('',#93640); +#93642=ORIENTED_EDGE('',*,*,#69136,.F.); +#93643=ORIENTED_EDGE('',*,*,#93627,.F.); +#93644=ORIENTED_EDGE('',*,*,#91517,.T.); +#93645=ORIENTED_EDGE('',*,*,#91447,.T.); +#93646=ORIENTED_EDGE('',*,*,#91211,.T.); +#93648=ORIENTED_EDGE('',*,*,#93647,.T.); +#93649=ORIENTED_EDGE('',*,*,#69064,.T.); +#93650=ORIENTED_EDGE('',*,*,#72157,.F.); +#93651=EDGE_LOOP('',(#93642,#93643,#93644,#93645,#93646,#93648,#93649,#93650)); +#93652=FACE_OUTER_BOUND('',#93651,.F.); +#93654=CARTESIAN_POINT('',(-1.83E1,8.3E-1,-1.812E1)); +#93655=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#93656=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#93657=AXIS2_PLACEMENT_3D('',#93654,#93655,#93656); +#93658=PLANE('',#93657); +#93659=ORIENTED_EDGE('',*,*,#72241,.F.); +#93660=ORIENTED_EDGE('',*,*,#91136,.F.); +#93662=ORIENTED_EDGE('',*,*,#93661,.T.); +#93663=ORIENTED_EDGE('',*,*,#68725,.F.); +#93664=ORIENTED_EDGE('',*,*,#69029,.T.); +#93665=ORIENTED_EDGE('',*,*,#69066,.T.); +#93666=ORIENTED_EDGE('',*,*,#93647,.F.); +#93667=ORIENTED_EDGE('',*,*,#91209,.T.); +#93668=EDGE_LOOP('',(#93659,#93660,#93662,#93663,#93664,#93665,#93666,#93667)); +#93669=FACE_OUTER_BOUND('',#93668,.F.); +#93671=CARTESIAN_POINT('',(-1.935E1,-1.682511336474E0,-1.895E1)); +#93672=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#93673=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#93674=AXIS2_PLACEMENT_3D('',#93671,#93672,#93673); +#93675=PLANE('',#93674); +#93676=ORIENTED_EDGE('',*,*,#68727,.F.); +#93677=ORIENTED_EDGE('',*,*,#93661,.F.); +#93678=ORIENTED_EDGE('',*,*,#91141,.T.); +#93679=ORIENTED_EDGE('',*,*,#91071,.T.); +#93680=ORIENTED_EDGE('',*,*,#90835,.T.); +#93682=ORIENTED_EDGE('',*,*,#93681,.T.); +#93683=ORIENTED_EDGE('',*,*,#68655,.T.); +#93684=ORIENTED_EDGE('',*,*,#72163,.F.); +#93685=EDGE_LOOP('',(#93676,#93677,#93678,#93679,#93680,#93682,#93683,#93684)); +#93686=FACE_OUTER_BOUND('',#93685,.F.); +#93688=CARTESIAN_POINT('',(-2.26E1,8.3E-1,-1.812E1)); +#93689=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#93690=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#93691=AXIS2_PLACEMENT_3D('',#93688,#93689,#93690); +#93692=PLANE('',#93691); +#93693=ORIENTED_EDGE('',*,*,#72233,.F.); +#93694=ORIENTED_EDGE('',*,*,#90760,.F.); +#93696=ORIENTED_EDGE('',*,*,#93695,.T.); +#93697=ORIENTED_EDGE('',*,*,#68316,.F.); +#93698=ORIENTED_EDGE('',*,*,#68620,.T.); +#93699=ORIENTED_EDGE('',*,*,#68657,.T.); +#93700=ORIENTED_EDGE('',*,*,#93681,.F.); +#93701=ORIENTED_EDGE('',*,*,#90833,.T.); +#93702=EDGE_LOOP('',(#93693,#93694,#93696,#93697,#93698,#93699,#93700,#93701)); +#93703=FACE_OUTER_BOUND('',#93702,.F.); +#93705=CARTESIAN_POINT('',(-2.365E1,-1.682511336474E0,-1.895E1)); +#93706=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#93707=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#93708=AXIS2_PLACEMENT_3D('',#93705,#93706,#93707); +#93709=PLANE('',#93708); +#93710=ORIENTED_EDGE('',*,*,#68318,.F.); +#93711=ORIENTED_EDGE('',*,*,#93695,.F.); +#93712=ORIENTED_EDGE('',*,*,#90765,.T.); +#93713=ORIENTED_EDGE('',*,*,#90695,.T.); +#93714=ORIENTED_EDGE('',*,*,#90459,.T.); +#93716=ORIENTED_EDGE('',*,*,#93715,.T.); +#93717=ORIENTED_EDGE('',*,*,#68246,.T.); +#93718=ORIENTED_EDGE('',*,*,#72169,.F.); +#93719=EDGE_LOOP('',(#93710,#93711,#93712,#93713,#93714,#93716,#93717,#93718)); +#93720=FACE_OUTER_BOUND('',#93719,.F.); +#93722=CARTESIAN_POINT('',(-2.69E1,8.3E-1,-1.812E1)); +#93723=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#93724=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#93725=AXIS2_PLACEMENT_3D('',#93722,#93723,#93724); +#93726=PLANE('',#93725); +#93727=ORIENTED_EDGE('',*,*,#72225,.F.); +#93728=ORIENTED_EDGE('',*,*,#90384,.F.); +#93730=ORIENTED_EDGE('',*,*,#93729,.T.); +#93731=ORIENTED_EDGE('',*,*,#67907,.F.); +#93732=ORIENTED_EDGE('',*,*,#68211,.T.); +#93733=ORIENTED_EDGE('',*,*,#68248,.T.); +#93734=ORIENTED_EDGE('',*,*,#93715,.F.); +#93735=ORIENTED_EDGE('',*,*,#90457,.T.); +#93736=EDGE_LOOP('',(#93727,#93728,#93730,#93731,#93732,#93733,#93734,#93735)); +#93737=FACE_OUTER_BOUND('',#93736,.F.); +#93739=CARTESIAN_POINT('',(-2.795E1,-1.682511336474E0,-1.895E1)); +#93740=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#93741=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#93742=AXIS2_PLACEMENT_3D('',#93739,#93740,#93741); +#93743=PLANE('',#93742); +#93744=ORIENTED_EDGE('',*,*,#67909,.F.); +#93745=ORIENTED_EDGE('',*,*,#93729,.F.); +#93746=ORIENTED_EDGE('',*,*,#90389,.T.); +#93747=ORIENTED_EDGE('',*,*,#90319,.T.); +#93748=ORIENTED_EDGE('',*,*,#90083,.T.); +#93750=ORIENTED_EDGE('',*,*,#93749,.T.); +#93751=ORIENTED_EDGE('',*,*,#67837,.T.); +#93752=ORIENTED_EDGE('',*,*,#72175,.F.); +#93753=EDGE_LOOP('',(#93744,#93745,#93746,#93747,#93748,#93750,#93751,#93752)); +#93754=FACE_OUTER_BOUND('',#93753,.F.); +#93756=CARTESIAN_POINT('',(-3.12E1,8.3E-1,-1.812E1)); +#93757=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#93758=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#93759=AXIS2_PLACEMENT_3D('',#93756,#93757,#93758); +#93760=PLANE('',#93759); +#93761=ORIENTED_EDGE('',*,*,#72217,.F.); +#93762=ORIENTED_EDGE('',*,*,#90008,.F.); +#93764=ORIENTED_EDGE('',*,*,#93763,.T.); +#93765=ORIENTED_EDGE('',*,*,#67498,.F.); +#93766=ORIENTED_EDGE('',*,*,#67802,.T.); +#93767=ORIENTED_EDGE('',*,*,#67839,.T.); +#93768=ORIENTED_EDGE('',*,*,#93749,.F.); +#93769=ORIENTED_EDGE('',*,*,#90081,.T.); +#93770=EDGE_LOOP('',(#93761,#93762,#93764,#93765,#93766,#93767,#93768,#93769)); +#93771=FACE_OUTER_BOUND('',#93770,.F.); +#93773=CARTESIAN_POINT('',(-3.225E1,-1.682511336474E0,-1.895E1)); +#93774=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#93775=DIRECTION('',(0.E0,8.715574274766E-2,-9.961946980917E-1)); +#93776=AXIS2_PLACEMENT_3D('',#93773,#93774,#93775); +#93777=PLANE('',#93776); +#93778=ORIENTED_EDGE('',*,*,#67500,.F.); +#93779=ORIENTED_EDGE('',*,*,#93763,.F.); +#93780=ORIENTED_EDGE('',*,*,#90013,.T.); +#93781=ORIENTED_EDGE('',*,*,#89943,.T.); +#93782=ORIENTED_EDGE('',*,*,#89707,.T.); +#93783=ORIENTED_EDGE('',*,*,#89605,.T.); +#93784=ORIENTED_EDGE('',*,*,#67428,.T.); +#93785=ORIENTED_EDGE('',*,*,#72181,.F.); +#93786=EDGE_LOOP('',(#93778,#93779,#93780,#93781,#93782,#93783,#93784,#93785)); +#93787=FACE_OUTER_BOUND('',#93786,.F.); +#93789=CARTESIAN_POINT('',(2.15E0,5.542511336474E0,-1.895E1)); +#93790=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#93791=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#93792=AXIS2_PLACEMENT_3D('',#93789,#93790,#93791); +#93793=PLANE('',#93792); +#93794=ORIENTED_EDGE('',*,*,#92702,.T.); +#93796=ORIENTED_EDGE('',*,*,#93795,.T.); +#93797=ORIENTED_EDGE('',*,*,#93003,.T.); +#93798=ORIENTED_EDGE('',*,*,#71515,.F.); +#93799=EDGE_LOOP('',(#93794,#93796,#93797,#93798)); +#93800=FACE_OUTER_BOUND('',#93799,.F.); +#93802=CARTESIAN_POINT('',(1.05E0,5.93E0,-1.765E1)); +#93803=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#93804=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#93805=AXIS2_PLACEMENT_3D('',#93802,#93803,#93804); +#93806=PLANE('',#93805); +#93808=ORIENTED_EDGE('',*,*,#93807,.F.); +#93809=ORIENTED_EDGE('',*,*,#93795,.F.); +#93810=ORIENTED_EDGE('',*,*,#92732,.F.); +#93811=ORIENTED_EDGE('',*,*,#92747,.T.); +#93812=EDGE_LOOP('',(#93808,#93809,#93810,#93811)); +#93813=FACE_OUTER_BOUND('',#93812,.F.); +#93815=CARTESIAN_POINT('',(3.1E0,6.33E0,-1.78E1)); +#93816=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#93817=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#93818=AXIS2_PLACEMENT_3D('',#93815,#93816,#93817); +#93819=PLANE('',#93818); +#93820=ORIENTED_EDGE('',*,*,#92745,.F.); +#93822=ORIENTED_EDGE('',*,*,#93821,.T.); +#93823=ORIENTED_EDGE('',*,*,#93005,.T.); +#93824=ORIENTED_EDGE('',*,*,#93807,.T.); +#93825=EDGE_LOOP('',(#93820,#93822,#93823,#93824)); +#93826=FACE_OUTER_BOUND('',#93825,.F.); +#93828=CARTESIAN_POINT('',(1.05E0,7.03E0,-1.795E1)); +#93829=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#93830=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#93831=AXIS2_PLACEMENT_3D('',#93828,#93829,#93830); +#93832=PLANE('',#93831); +#93833=ORIENTED_EDGE('',*,*,#93821,.F.); +#93834=ORIENTED_EDGE('',*,*,#92750,.F.); +#93835=ORIENTED_EDGE('',*,*,#92735,.F.); +#93836=ORIENTED_EDGE('',*,*,#70731,.T.); +#93837=EDGE_LOOP('',(#93833,#93834,#93835,#93836)); +#93838=FACE_OUTER_BOUND('',#93837,.F.); +#93840=CARTESIAN_POINT('',(-2.15E0,5.542511336474E0,-1.895E1)); +#93841=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#93842=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#93843=AXIS2_PLACEMENT_3D('',#93840,#93841,#93842); +#93844=PLANE('',#93843); +#93845=ORIENTED_EDGE('',*,*,#92326,.T.); +#93847=ORIENTED_EDGE('',*,*,#93846,.T.); +#93848=ORIENTED_EDGE('',*,*,#92627,.T.); +#93849=ORIENTED_EDGE('',*,*,#71507,.F.); +#93850=EDGE_LOOP('',(#93845,#93847,#93848,#93849)); +#93851=FACE_OUTER_BOUND('',#93850,.F.); +#93853=CARTESIAN_POINT('',(-3.25E0,5.93E0,-1.765E1)); +#93854=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#93855=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#93856=AXIS2_PLACEMENT_3D('',#93853,#93854,#93855); +#93857=PLANE('',#93856); +#93859=ORIENTED_EDGE('',*,*,#93858,.F.); +#93860=ORIENTED_EDGE('',*,*,#93846,.F.); +#93861=ORIENTED_EDGE('',*,*,#92356,.F.); +#93862=ORIENTED_EDGE('',*,*,#92371,.T.); +#93863=EDGE_LOOP('',(#93859,#93860,#93861,#93862)); +#93864=FACE_OUTER_BOUND('',#93863,.F.); +#93866=CARTESIAN_POINT('',(-1.2E0,6.33E0,-1.78E1)); +#93867=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#93868=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#93869=AXIS2_PLACEMENT_3D('',#93866,#93867,#93868); +#93870=PLANE('',#93869); +#93871=ORIENTED_EDGE('',*,*,#92369,.F.); +#93873=ORIENTED_EDGE('',*,*,#93872,.T.); +#93874=ORIENTED_EDGE('',*,*,#92629,.T.); +#93875=ORIENTED_EDGE('',*,*,#93858,.T.); +#93876=EDGE_LOOP('',(#93871,#93873,#93874,#93875)); +#93877=FACE_OUTER_BOUND('',#93876,.F.); +#93879=CARTESIAN_POINT('',(-3.25E0,7.03E0,-1.795E1)); +#93880=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#93881=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#93882=AXIS2_PLACEMENT_3D('',#93879,#93880,#93881); +#93883=PLANE('',#93882); +#93884=ORIENTED_EDGE('',*,*,#93872,.F.); +#93885=ORIENTED_EDGE('',*,*,#92374,.F.); +#93886=ORIENTED_EDGE('',*,*,#92359,.F.); +#93887=ORIENTED_EDGE('',*,*,#70322,.T.); +#93888=EDGE_LOOP('',(#93884,#93885,#93886,#93887)); +#93889=FACE_OUTER_BOUND('',#93888,.F.); +#93891=CARTESIAN_POINT('',(-6.45E0,5.542511336474E0,-1.895E1)); +#93892=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#93893=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#93894=AXIS2_PLACEMENT_3D('',#93891,#93892,#93893); +#93895=PLANE('',#93894); +#93896=ORIENTED_EDGE('',*,*,#91950,.T.); +#93898=ORIENTED_EDGE('',*,*,#93897,.T.); +#93899=ORIENTED_EDGE('',*,*,#92251,.T.); +#93900=ORIENTED_EDGE('',*,*,#71499,.F.); +#93901=EDGE_LOOP('',(#93896,#93898,#93899,#93900)); +#93902=FACE_OUTER_BOUND('',#93901,.F.); +#93904=CARTESIAN_POINT('',(-7.55E0,5.93E0,-1.765E1)); +#93905=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#93906=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#93907=AXIS2_PLACEMENT_3D('',#93904,#93905,#93906); +#93908=PLANE('',#93907); +#93910=ORIENTED_EDGE('',*,*,#93909,.F.); +#93911=ORIENTED_EDGE('',*,*,#93897,.F.); +#93912=ORIENTED_EDGE('',*,*,#91980,.F.); +#93913=ORIENTED_EDGE('',*,*,#91995,.T.); +#93914=EDGE_LOOP('',(#93910,#93911,#93912,#93913)); +#93915=FACE_OUTER_BOUND('',#93914,.F.); +#93917=CARTESIAN_POINT('',(-5.5E0,6.33E0,-1.78E1)); +#93918=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#93919=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#93920=AXIS2_PLACEMENT_3D('',#93917,#93918,#93919); +#93921=PLANE('',#93920); +#93922=ORIENTED_EDGE('',*,*,#91993,.F.); +#93924=ORIENTED_EDGE('',*,*,#93923,.T.); +#93925=ORIENTED_EDGE('',*,*,#92253,.T.); +#93926=ORIENTED_EDGE('',*,*,#93909,.T.); +#93927=EDGE_LOOP('',(#93922,#93924,#93925,#93926)); +#93928=FACE_OUTER_BOUND('',#93927,.F.); +#93930=CARTESIAN_POINT('',(-7.55E0,7.03E0,-1.795E1)); +#93931=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#93932=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#93933=AXIS2_PLACEMENT_3D('',#93930,#93931,#93932); +#93934=PLANE('',#93933); +#93935=ORIENTED_EDGE('',*,*,#93923,.F.); +#93936=ORIENTED_EDGE('',*,*,#91998,.F.); +#93937=ORIENTED_EDGE('',*,*,#91983,.F.); +#93938=ORIENTED_EDGE('',*,*,#69913,.T.); +#93939=EDGE_LOOP('',(#93935,#93936,#93937,#93938)); +#93940=FACE_OUTER_BOUND('',#93939,.F.); +#93942=CARTESIAN_POINT('',(-1.075E1,5.542511336474E0,-1.895E1)); +#93943=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#93944=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#93945=AXIS2_PLACEMENT_3D('',#93942,#93943,#93944); +#93946=PLANE('',#93945); +#93947=ORIENTED_EDGE('',*,*,#91574,.T.); +#93949=ORIENTED_EDGE('',*,*,#93948,.T.); +#93950=ORIENTED_EDGE('',*,*,#91875,.T.); +#93951=ORIENTED_EDGE('',*,*,#71491,.F.); +#93952=EDGE_LOOP('',(#93947,#93949,#93950,#93951)); +#93953=FACE_OUTER_BOUND('',#93952,.F.); +#93955=CARTESIAN_POINT('',(-1.185E1,5.93E0,-1.765E1)); +#93956=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#93957=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#93958=AXIS2_PLACEMENT_3D('',#93955,#93956,#93957); +#93959=PLANE('',#93958); +#93961=ORIENTED_EDGE('',*,*,#93960,.F.); +#93962=ORIENTED_EDGE('',*,*,#93948,.F.); +#93963=ORIENTED_EDGE('',*,*,#91604,.F.); +#93964=ORIENTED_EDGE('',*,*,#91619,.T.); +#93965=EDGE_LOOP('',(#93961,#93962,#93963,#93964)); +#93966=FACE_OUTER_BOUND('',#93965,.F.); +#93968=CARTESIAN_POINT('',(-9.8E0,6.33E0,-1.78E1)); +#93969=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#93970=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#93971=AXIS2_PLACEMENT_3D('',#93968,#93969,#93970); +#93972=PLANE('',#93971); +#93973=ORIENTED_EDGE('',*,*,#91617,.F.); +#93975=ORIENTED_EDGE('',*,*,#93974,.T.); +#93976=ORIENTED_EDGE('',*,*,#91877,.T.); +#93977=ORIENTED_EDGE('',*,*,#93960,.T.); +#93978=EDGE_LOOP('',(#93973,#93975,#93976,#93977)); +#93979=FACE_OUTER_BOUND('',#93978,.F.); +#93981=CARTESIAN_POINT('',(-1.185E1,7.03E0,-1.795E1)); +#93982=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#93983=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#93984=AXIS2_PLACEMENT_3D('',#93981,#93982,#93983); +#93985=PLANE('',#93984); +#93986=ORIENTED_EDGE('',*,*,#93974,.F.); +#93987=ORIENTED_EDGE('',*,*,#91622,.F.); +#93988=ORIENTED_EDGE('',*,*,#91607,.F.); +#93989=ORIENTED_EDGE('',*,*,#69504,.T.); +#93990=EDGE_LOOP('',(#93986,#93987,#93988,#93989)); +#93991=FACE_OUTER_BOUND('',#93990,.F.); +#93993=CARTESIAN_POINT('',(-1.505E1,5.542511336474E0,-1.895E1)); +#93994=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#93995=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#93996=AXIS2_PLACEMENT_3D('',#93993,#93994,#93995); +#93997=PLANE('',#93996); +#93998=ORIENTED_EDGE('',*,*,#91198,.T.); +#94000=ORIENTED_EDGE('',*,*,#93999,.T.); +#94001=ORIENTED_EDGE('',*,*,#91499,.T.); +#94002=ORIENTED_EDGE('',*,*,#71483,.F.); +#94003=EDGE_LOOP('',(#93998,#94000,#94001,#94002)); +#94004=FACE_OUTER_BOUND('',#94003,.F.); +#94006=CARTESIAN_POINT('',(-1.615E1,5.93E0,-1.765E1)); +#94007=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#94008=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#94009=AXIS2_PLACEMENT_3D('',#94006,#94007,#94008); +#94010=PLANE('',#94009); +#94012=ORIENTED_EDGE('',*,*,#94011,.F.); +#94013=ORIENTED_EDGE('',*,*,#93999,.F.); +#94014=ORIENTED_EDGE('',*,*,#91228,.F.); +#94015=ORIENTED_EDGE('',*,*,#91243,.T.); +#94016=EDGE_LOOP('',(#94012,#94013,#94014,#94015)); +#94017=FACE_OUTER_BOUND('',#94016,.F.); +#94019=CARTESIAN_POINT('',(-1.41E1,6.33E0,-1.78E1)); +#94020=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#94021=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#94022=AXIS2_PLACEMENT_3D('',#94019,#94020,#94021); +#94023=PLANE('',#94022); +#94024=ORIENTED_EDGE('',*,*,#91241,.F.); +#94026=ORIENTED_EDGE('',*,*,#94025,.T.); +#94027=ORIENTED_EDGE('',*,*,#91501,.T.); +#94028=ORIENTED_EDGE('',*,*,#94011,.T.); +#94029=EDGE_LOOP('',(#94024,#94026,#94027,#94028)); +#94030=FACE_OUTER_BOUND('',#94029,.F.); +#94032=CARTESIAN_POINT('',(-1.615E1,7.03E0,-1.795E1)); +#94033=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#94034=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#94035=AXIS2_PLACEMENT_3D('',#94032,#94033,#94034); +#94036=PLANE('',#94035); +#94037=ORIENTED_EDGE('',*,*,#94025,.F.); +#94038=ORIENTED_EDGE('',*,*,#91246,.F.); +#94039=ORIENTED_EDGE('',*,*,#91231,.F.); +#94040=ORIENTED_EDGE('',*,*,#69095,.T.); +#94041=EDGE_LOOP('',(#94037,#94038,#94039,#94040)); +#94042=FACE_OUTER_BOUND('',#94041,.F.); +#94044=CARTESIAN_POINT('',(-1.935E1,5.542511336474E0,-1.895E1)); +#94045=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#94046=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#94047=AXIS2_PLACEMENT_3D('',#94044,#94045,#94046); +#94048=PLANE('',#94047); +#94049=ORIENTED_EDGE('',*,*,#90822,.T.); +#94051=ORIENTED_EDGE('',*,*,#94050,.T.); +#94052=ORIENTED_EDGE('',*,*,#91123,.T.); +#94053=ORIENTED_EDGE('',*,*,#71475,.F.); +#94054=EDGE_LOOP('',(#94049,#94051,#94052,#94053)); +#94055=FACE_OUTER_BOUND('',#94054,.F.); +#94057=CARTESIAN_POINT('',(-2.045E1,5.93E0,-1.765E1)); +#94058=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#94059=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#94060=AXIS2_PLACEMENT_3D('',#94057,#94058,#94059); +#94061=PLANE('',#94060); +#94063=ORIENTED_EDGE('',*,*,#94062,.F.); +#94064=ORIENTED_EDGE('',*,*,#94050,.F.); +#94065=ORIENTED_EDGE('',*,*,#90852,.F.); +#94066=ORIENTED_EDGE('',*,*,#90867,.T.); +#94067=EDGE_LOOP('',(#94063,#94064,#94065,#94066)); +#94068=FACE_OUTER_BOUND('',#94067,.F.); +#94070=CARTESIAN_POINT('',(-1.84E1,6.33E0,-1.78E1)); +#94071=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#94072=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#94073=AXIS2_PLACEMENT_3D('',#94070,#94071,#94072); +#94074=PLANE('',#94073); +#94075=ORIENTED_EDGE('',*,*,#90865,.F.); +#94077=ORIENTED_EDGE('',*,*,#94076,.T.); +#94078=ORIENTED_EDGE('',*,*,#91125,.T.); +#94079=ORIENTED_EDGE('',*,*,#94062,.T.); +#94080=EDGE_LOOP('',(#94075,#94077,#94078,#94079)); +#94081=FACE_OUTER_BOUND('',#94080,.F.); +#94083=CARTESIAN_POINT('',(-2.045E1,7.03E0,-1.795E1)); +#94084=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#94085=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#94086=AXIS2_PLACEMENT_3D('',#94083,#94084,#94085); +#94087=PLANE('',#94086); +#94088=ORIENTED_EDGE('',*,*,#94076,.F.); +#94089=ORIENTED_EDGE('',*,*,#90870,.F.); +#94090=ORIENTED_EDGE('',*,*,#90855,.F.); +#94091=ORIENTED_EDGE('',*,*,#68686,.T.); +#94092=EDGE_LOOP('',(#94088,#94089,#94090,#94091)); +#94093=FACE_OUTER_BOUND('',#94092,.F.); +#94095=CARTESIAN_POINT('',(-2.365E1,5.542511336474E0,-1.895E1)); +#94096=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#94097=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#94098=AXIS2_PLACEMENT_3D('',#94095,#94096,#94097); +#94099=PLANE('',#94098); +#94100=ORIENTED_EDGE('',*,*,#90446,.T.); +#94102=ORIENTED_EDGE('',*,*,#94101,.T.); +#94103=ORIENTED_EDGE('',*,*,#90747,.T.); +#94104=ORIENTED_EDGE('',*,*,#71467,.F.); +#94105=EDGE_LOOP('',(#94100,#94102,#94103,#94104)); +#94106=FACE_OUTER_BOUND('',#94105,.F.); +#94108=CARTESIAN_POINT('',(-2.475E1,5.93E0,-1.765E1)); +#94109=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#94110=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#94111=AXIS2_PLACEMENT_3D('',#94108,#94109,#94110); +#94112=PLANE('',#94111); +#94114=ORIENTED_EDGE('',*,*,#94113,.F.); +#94115=ORIENTED_EDGE('',*,*,#94101,.F.); +#94116=ORIENTED_EDGE('',*,*,#90476,.F.); +#94117=ORIENTED_EDGE('',*,*,#90491,.T.); +#94118=EDGE_LOOP('',(#94114,#94115,#94116,#94117)); +#94119=FACE_OUTER_BOUND('',#94118,.F.); +#94121=CARTESIAN_POINT('',(-2.27E1,6.33E0,-1.78E1)); +#94122=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#94123=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#94124=AXIS2_PLACEMENT_3D('',#94121,#94122,#94123); +#94125=PLANE('',#94124); +#94126=ORIENTED_EDGE('',*,*,#90489,.F.); +#94128=ORIENTED_EDGE('',*,*,#94127,.T.); +#94129=ORIENTED_EDGE('',*,*,#90749,.T.); +#94130=ORIENTED_EDGE('',*,*,#94113,.T.); +#94131=EDGE_LOOP('',(#94126,#94128,#94129,#94130)); +#94132=FACE_OUTER_BOUND('',#94131,.F.); +#94134=CARTESIAN_POINT('',(-2.475E1,7.03E0,-1.795E1)); +#94135=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#94136=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#94137=AXIS2_PLACEMENT_3D('',#94134,#94135,#94136); +#94138=PLANE('',#94137); +#94139=ORIENTED_EDGE('',*,*,#94127,.F.); +#94140=ORIENTED_EDGE('',*,*,#90494,.F.); +#94141=ORIENTED_EDGE('',*,*,#90479,.F.); +#94142=ORIENTED_EDGE('',*,*,#68277,.T.); +#94143=EDGE_LOOP('',(#94139,#94140,#94141,#94142)); +#94144=FACE_OUTER_BOUND('',#94143,.F.); +#94146=CARTESIAN_POINT('',(-2.795E1,5.542511336474E0,-1.895E1)); +#94147=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#94148=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#94149=AXIS2_PLACEMENT_3D('',#94146,#94147,#94148); +#94150=PLANE('',#94149); +#94151=ORIENTED_EDGE('',*,*,#90070,.T.); +#94153=ORIENTED_EDGE('',*,*,#94152,.T.); +#94154=ORIENTED_EDGE('',*,*,#90371,.T.); +#94155=ORIENTED_EDGE('',*,*,#71459,.F.); +#94156=EDGE_LOOP('',(#94151,#94153,#94154,#94155)); +#94157=FACE_OUTER_BOUND('',#94156,.F.); +#94159=CARTESIAN_POINT('',(-2.905E1,5.93E0,-1.765E1)); +#94160=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#94161=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#94162=AXIS2_PLACEMENT_3D('',#94159,#94160,#94161); +#94163=PLANE('',#94162); +#94165=ORIENTED_EDGE('',*,*,#94164,.F.); +#94166=ORIENTED_EDGE('',*,*,#94152,.F.); +#94167=ORIENTED_EDGE('',*,*,#90100,.F.); +#94168=ORIENTED_EDGE('',*,*,#90115,.T.); +#94169=EDGE_LOOP('',(#94165,#94166,#94167,#94168)); +#94170=FACE_OUTER_BOUND('',#94169,.F.); +#94172=CARTESIAN_POINT('',(-2.7E1,6.33E0,-1.78E1)); +#94173=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#94174=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#94175=AXIS2_PLACEMENT_3D('',#94172,#94173,#94174); +#94176=PLANE('',#94175); +#94177=ORIENTED_EDGE('',*,*,#90113,.F.); +#94179=ORIENTED_EDGE('',*,*,#94178,.T.); +#94180=ORIENTED_EDGE('',*,*,#90373,.T.); +#94181=ORIENTED_EDGE('',*,*,#94164,.T.); +#94182=EDGE_LOOP('',(#94177,#94179,#94180,#94181)); +#94183=FACE_OUTER_BOUND('',#94182,.F.); +#94185=CARTESIAN_POINT('',(-2.905E1,7.03E0,-1.795E1)); +#94186=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#94187=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#94188=AXIS2_PLACEMENT_3D('',#94185,#94186,#94187); +#94189=PLANE('',#94188); +#94190=ORIENTED_EDGE('',*,*,#94178,.F.); +#94191=ORIENTED_EDGE('',*,*,#90118,.F.); +#94192=ORIENTED_EDGE('',*,*,#90103,.F.); +#94193=ORIENTED_EDGE('',*,*,#67868,.T.); +#94194=EDGE_LOOP('',(#94190,#94191,#94192,#94193)); +#94195=FACE_OUTER_BOUND('',#94194,.F.); +#94197=CARTESIAN_POINT('',(-3.225E1,5.542511336474E0,-1.895E1)); +#94198=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#94199=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#94200=AXIS2_PLACEMENT_3D('',#94197,#94198,#94199); +#94201=PLANE('',#94200); +#94202=ORIENTED_EDGE('',*,*,#89695,.T.); +#94204=ORIENTED_EDGE('',*,*,#94203,.T.); +#94205=ORIENTED_EDGE('',*,*,#89995,.T.); +#94206=ORIENTED_EDGE('',*,*,#71451,.F.); +#94207=EDGE_LOOP('',(#94202,#94204,#94205,#94206)); +#94208=FACE_OUTER_BOUND('',#94207,.F.); +#94210=CARTESIAN_POINT('',(-3.335E1,5.93E0,-1.765E1)); +#94211=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#94212=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#94213=AXIS2_PLACEMENT_3D('',#94210,#94211,#94212); +#94214=PLANE('',#94213); +#94216=ORIENTED_EDGE('',*,*,#94215,.F.); +#94217=ORIENTED_EDGE('',*,*,#94203,.F.); +#94218=ORIENTED_EDGE('',*,*,#89724,.F.); +#94219=ORIENTED_EDGE('',*,*,#89739,.T.); +#94220=EDGE_LOOP('',(#94216,#94217,#94218,#94219)); +#94221=FACE_OUTER_BOUND('',#94220,.F.); +#94223=CARTESIAN_POINT('',(-3.13E1,6.33E0,-1.78E1)); +#94224=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#94225=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#94226=AXIS2_PLACEMENT_3D('',#94223,#94224,#94225); +#94227=PLANE('',#94226); +#94228=ORIENTED_EDGE('',*,*,#89737,.F.); +#94230=ORIENTED_EDGE('',*,*,#94229,.T.); +#94231=ORIENTED_EDGE('',*,*,#89997,.T.); +#94232=ORIENTED_EDGE('',*,*,#94215,.T.); +#94233=EDGE_LOOP('',(#94228,#94230,#94231,#94232)); +#94234=FACE_OUTER_BOUND('',#94233,.F.); +#94236=CARTESIAN_POINT('',(-3.335E1,7.03E0,-1.795E1)); +#94237=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#94238=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#94239=AXIS2_PLACEMENT_3D('',#94236,#94237,#94238); +#94240=PLANE('',#94239); +#94241=ORIENTED_EDGE('',*,*,#94229,.F.); +#94242=ORIENTED_EDGE('',*,*,#89742,.F.); +#94243=ORIENTED_EDGE('',*,*,#89727,.F.); +#94244=ORIENTED_EDGE('',*,*,#67459,.T.); +#94245=EDGE_LOOP('',(#94241,#94242,#94243,#94244)); +#94246=FACE_OUTER_BOUND('',#94245,.F.); +#94248=CARTESIAN_POINT('',(-3.655E1,5.542511336474E0,-1.895E1)); +#94249=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#94250=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#94251=AXIS2_PLACEMENT_3D('',#94248,#94249,#94250); +#94252=PLANE('',#94251); +#94254=ORIENTED_EDGE('',*,*,#94253,.T.); +#94256=ORIENTED_EDGE('',*,*,#94255,.T.); +#94257=ORIENTED_EDGE('',*,*,#89620,.T.); +#94258=ORIENTED_EDGE('',*,*,#71443,.F.); +#94259=EDGE_LOOP('',(#94254,#94256,#94257,#94258)); +#94260=FACE_OUTER_BOUND('',#94259,.F.); +#94262=CARTESIAN_POINT('',(-3.765E1,0.E0,-1.065E1)); +#94263=DIRECTION('',(1.E0,0.E0,0.E0)); +#94264=DIRECTION('',(0.E0,0.E0,-1.E0)); +#94265=AXIS2_PLACEMENT_3D('',#94262,#94263,#94264); +#94266=PLANE('',#94265); +#94267=ORIENTED_EDGE('',*,*,#89137,.F.); +#94268=ORIENTED_EDGE('',*,*,#67052,.T.); +#94270=ORIENTED_EDGE('',*,*,#94269,.F.); +#94271=ORIENTED_EDGE('',*,*,#94253,.F.); +#94272=ORIENTED_EDGE('',*,*,#71441,.T.); +#94273=EDGE_LOOP('',(#94267,#94268,#94270,#94271,#94272)); +#94274=FACE_OUTER_BOUND('',#94273,.F.); +#94276=CARTESIAN_POINT('',(-3.765E1,0.E0,-1.065E1)); +#94277=DIRECTION('',(1.E0,0.E0,0.E0)); +#94278=DIRECTION('',(0.E0,0.E0,-1.E0)); +#94279=AXIS2_PLACEMENT_3D('',#94276,#94277,#94278); +#94280=PLANE('',#94279); +#94281=ORIENTED_EDGE('',*,*,#89568,.F.); +#94282=ORIENTED_EDGE('',*,*,#89585,.F.); +#94284=ORIENTED_EDGE('',*,*,#94283,.F.); +#94286=ORIENTED_EDGE('',*,*,#94285,.F.); +#94287=ORIENTED_EDGE('',*,*,#72203,.T.); +#94288=EDGE_LOOP('',(#94281,#94282,#94284,#94286,#94287)); +#94289=FACE_OUTER_BOUND('',#94288,.F.); +#94291=CARTESIAN_POINT('',(-3.75E1,6.33E0,-1.78E1)); +#94292=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#94293=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#94294=AXIS2_PLACEMENT_3D('',#94291,#94292,#94293); +#94295=PLANE('',#94294); +#94297=ORIENTED_EDGE('',*,*,#94296,.F.); +#94299=ORIENTED_EDGE('',*,*,#94298,.T.); +#94300=ORIENTED_EDGE('',*,*,#94269,.T.); +#94302=ORIENTED_EDGE('',*,*,#94301,.T.); +#94303=EDGE_LOOP('',(#94297,#94299,#94300,#94302)); +#94304=FACE_OUTER_BOUND('',#94303,.F.); +#94306=CARTESIAN_POINT('',(-3.765E1,6.73E0,-1.765E1)); +#94307=DIRECTION('',(0.E0,0.E0,1.E0)); +#94308=DIRECTION('',(0.E0,-1.E0,0.E0)); +#94309=AXIS2_PLACEMENT_3D('',#94306,#94307,#94308); +#94310=PLANE('',#94309); +#94312=ORIENTED_EDGE('',*,*,#94311,.T.); +#94314=ORIENTED_EDGE('',*,*,#94313,.F.); +#94315=ORIENTED_EDGE('',*,*,#94296,.T.); +#94317=ORIENTED_EDGE('',*,*,#94316,.T.); +#94318=EDGE_LOOP('',(#94312,#94314,#94315,#94317)); +#94319=FACE_OUTER_BOUND('',#94318,.F.); +#94321=ORIENTED_EDGE('',*,*,#94320,.T.); +#94323=ORIENTED_EDGE('',*,*,#94322,.T.); +#94325=ORIENTED_EDGE('',*,*,#94324,.T.); +#94327=ORIENTED_EDGE('',*,*,#94326,.T.); +#94328=EDGE_LOOP('',(#94321,#94323,#94325,#94327)); +#94329=FACE_BOUND('',#94328,.F.); +#94331=CARTESIAN_POINT('',(-3.685E1,6.645E0,-1.995E1)); +#94332=DIRECTION('',(-1.E0,0.E0,0.E0)); +#94333=DIRECTION('',(0.E0,-1.E0,0.E0)); +#94334=AXIS2_PLACEMENT_3D('',#94331,#94332,#94333); +#94335=PLANE('',#94334); +#94337=ORIENTED_EDGE('',*,*,#94336,.T.); +#94339=ORIENTED_EDGE('',*,*,#94338,.T.); +#94341=ORIENTED_EDGE('',*,*,#94340,.F.); +#94342=ORIENTED_EDGE('',*,*,#94320,.F.); +#94343=EDGE_LOOP('',(#94337,#94339,#94341,#94342)); +#94344=FACE_OUTER_BOUND('',#94343,.F.); +#94346=CARTESIAN_POINT('',(-3.625E1,6.645E0,-1.995E1)); +#94347=DIRECTION('',(0.E0,1.E0,0.E0)); +#94348=DIRECTION('',(-1.E0,0.E0,0.E0)); +#94349=AXIS2_PLACEMENT_3D('',#94346,#94347,#94348); +#94350=PLANE('',#94349); +#94351=ORIENTED_EDGE('',*,*,#94336,.F.); +#94352=ORIENTED_EDGE('',*,*,#94326,.F.); +#94354=ORIENTED_EDGE('',*,*,#94353,.T.); +#94356=ORIENTED_EDGE('',*,*,#94355,.T.); +#94357=EDGE_LOOP('',(#94351,#94352,#94354,#94356)); +#94358=FACE_OUTER_BOUND('',#94357,.F.); +#94360=CARTESIAN_POINT('',(-3.625E1,6.015E0,-1.995E1)); +#94361=DIRECTION('',(1.E0,0.E0,0.E0)); +#94362=DIRECTION('',(0.E0,1.E0,0.E0)); +#94363=AXIS2_PLACEMENT_3D('',#94360,#94361,#94362); +#94364=PLANE('',#94363); +#94366=ORIENTED_EDGE('',*,*,#94365,.T.); +#94368=ORIENTED_EDGE('',*,*,#94367,.T.); +#94369=ORIENTED_EDGE('',*,*,#94353,.F.); +#94370=ORIENTED_EDGE('',*,*,#94324,.F.); +#94371=EDGE_LOOP('',(#94366,#94368,#94369,#94370)); +#94372=FACE_OUTER_BOUND('',#94371,.F.); +#94374=CARTESIAN_POINT('',(-3.685E1,6.015E0,-1.995E1)); +#94375=DIRECTION('',(0.E0,-1.E0,0.E0)); +#94376=DIRECTION('',(1.E0,0.E0,0.E0)); +#94377=AXIS2_PLACEMENT_3D('',#94374,#94375,#94376); +#94378=PLANE('',#94377); +#94379=ORIENTED_EDGE('',*,*,#94340,.T.); +#94381=ORIENTED_EDGE('',*,*,#94380,.T.); +#94382=ORIENTED_EDGE('',*,*,#94365,.F.); +#94383=ORIENTED_EDGE('',*,*,#94322,.F.); +#94384=EDGE_LOOP('',(#94379,#94381,#94382,#94383)); +#94385=FACE_OUTER_BOUND('',#94384,.F.); +#94387=CARTESIAN_POINT('',(4.3E0,0.E0,-1.065E1)); +#94388=DIRECTION('',(0.E0,0.E0,1.E0)); +#94389=DIRECTION('',(1.E0,0.E0,0.E0)); +#94390=AXIS2_PLACEMENT_3D('',#94387,#94388,#94389); +#94391=PLANE('',#94390); +#94393=ORIENTED_EDGE('',*,*,#94392,.F.); +#94395=ORIENTED_EDGE('',*,*,#94394,.F.); +#94397=ORIENTED_EDGE('',*,*,#94396,.F.); +#94399=ORIENTED_EDGE('',*,*,#94398,.F.); +#94400=EDGE_LOOP('',(#94393,#94395,#94397,#94399)); +#94401=FACE_OUTER_BOUND('',#94400,.F.); +#94403=CARTESIAN_POINT('',(4.3E0,0.E0,-1.065E1)); +#94404=DIRECTION('',(0.E0,0.E0,1.E0)); +#94405=DIRECTION('',(1.E0,0.E0,0.E0)); +#94406=AXIS2_PLACEMENT_3D('',#94403,#94404,#94405); +#94407=PLANE('',#94406); +#94408=ORIENTED_EDGE('',*,*,#94338,.F.); +#94409=ORIENTED_EDGE('',*,*,#94355,.F.); +#94410=ORIENTED_EDGE('',*,*,#94367,.F.); +#94411=ORIENTED_EDGE('',*,*,#94380,.F.); +#94412=EDGE_LOOP('',(#94408,#94409,#94410,#94411)); +#94413=FACE_OUTER_BOUND('',#94412,.F.); +#94415=CARTESIAN_POINT('',(-3.685E1,-2.155E0,-1.995E1)); +#94416=DIRECTION('',(-1.E0,0.E0,0.E0)); +#94417=DIRECTION('',(0.E0,-1.E0,0.E0)); +#94418=AXIS2_PLACEMENT_3D('',#94415,#94416,#94417); +#94419=PLANE('',#94418); +#94421=ORIENTED_EDGE('',*,*,#94420,.T.); +#94422=ORIENTED_EDGE('',*,*,#94392,.T.); +#94424=ORIENTED_EDGE('',*,*,#94423,.F.); +#94426=ORIENTED_EDGE('',*,*,#94425,.F.); +#94427=EDGE_LOOP('',(#94421,#94422,#94424,#94426)); +#94428=FACE_OUTER_BOUND('',#94427,.F.); +#94430=CARTESIAN_POINT('',(-3.625E1,-2.155E0,-1.995E1)); +#94431=DIRECTION('',(0.E0,1.E0,0.E0)); +#94432=DIRECTION('',(-1.E0,0.E0,0.E0)); +#94433=AXIS2_PLACEMENT_3D('',#94430,#94431,#94432); +#94434=PLANE('',#94433); +#94435=ORIENTED_EDGE('',*,*,#94420,.F.); +#94437=ORIENTED_EDGE('',*,*,#94436,.F.); +#94439=ORIENTED_EDGE('',*,*,#94438,.T.); +#94440=ORIENTED_EDGE('',*,*,#94394,.T.); +#94441=EDGE_LOOP('',(#94435,#94437,#94439,#94440)); +#94442=FACE_OUTER_BOUND('',#94441,.F.); +#94444=CARTESIAN_POINT('',(-3.765E1,-2.07E0,-1.765E1)); +#94445=DIRECTION('',(0.E0,0.E0,1.E0)); +#94446=DIRECTION('',(0.E0,-1.E0,0.E0)); +#94447=AXIS2_PLACEMENT_3D('',#94444,#94445,#94446); +#94448=PLANE('',#94447); +#94450=ORIENTED_EDGE('',*,*,#94449,.T.); +#94452=ORIENTED_EDGE('',*,*,#94451,.F.); +#94454=ORIENTED_EDGE('',*,*,#94453,.T.); +#94456=ORIENTED_EDGE('',*,*,#94455,.T.); +#94457=EDGE_LOOP('',(#94450,#94452,#94454,#94456)); +#94458=FACE_OUTER_BOUND('',#94457,.F.); +#94459=ORIENTED_EDGE('',*,*,#94425,.T.); +#94461=ORIENTED_EDGE('',*,*,#94460,.T.); +#94463=ORIENTED_EDGE('',*,*,#94462,.T.); +#94464=ORIENTED_EDGE('',*,*,#94436,.T.); +#94465=EDGE_LOOP('',(#94459,#94461,#94463,#94464)); +#94466=FACE_BOUND('',#94465,.F.); +#94468=CARTESIAN_POINT('',(-3.685E1,-2.785E0,-1.995E1)); +#94469=DIRECTION('',(0.E0,-1.E0,0.E0)); +#94470=DIRECTION('',(1.E0,0.E0,0.E0)); +#94471=AXIS2_PLACEMENT_3D('',#94468,#94469,#94470); +#94472=PLANE('',#94471); +#94473=ORIENTED_EDGE('',*,*,#94423,.T.); +#94474=ORIENTED_EDGE('',*,*,#94398,.T.); +#94476=ORIENTED_EDGE('',*,*,#94475,.F.); +#94477=ORIENTED_EDGE('',*,*,#94460,.F.); +#94478=EDGE_LOOP('',(#94473,#94474,#94476,#94477)); +#94479=FACE_OUTER_BOUND('',#94478,.F.); +#94481=CARTESIAN_POINT('',(-3.625E1,-2.785E0,-1.995E1)); +#94482=DIRECTION('',(1.E0,0.E0,0.E0)); +#94483=DIRECTION('',(0.E0,1.E0,0.E0)); +#94484=AXIS2_PLACEMENT_3D('',#94481,#94482,#94483); +#94485=PLANE('',#94484); +#94486=ORIENTED_EDGE('',*,*,#94475,.T.); +#94487=ORIENTED_EDGE('',*,*,#94396,.T.); +#94488=ORIENTED_EDGE('',*,*,#94438,.F.); +#94489=ORIENTED_EDGE('',*,*,#94462,.F.); +#94490=EDGE_LOOP('',(#94486,#94487,#94488,#94489)); +#94491=FACE_OUTER_BOUND('',#94490,.F.); +#94493=CARTESIAN_POINT('',(-3.56E1,-2.47E0,-1.78E1)); +#94494=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#94495=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#94496=AXIS2_PLACEMENT_3D('',#94493,#94494,#94495); +#94497=PLANE('',#94496); +#94498=ORIENTED_EDGE('',*,*,#94449,.F.); +#94500=ORIENTED_EDGE('',*,*,#94499,.T.); +#94501=ORIENTED_EDGE('',*,*,#89637,.T.); +#94503=ORIENTED_EDGE('',*,*,#94502,.T.); +#94504=EDGE_LOOP('',(#94498,#94500,#94501,#94503)); +#94505=FACE_OUTER_BOUND('',#94504,.F.); +#94507=CARTESIAN_POINT('',(-3.765E1,-1.77E0,-1.795E1)); +#94508=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#94509=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#94510=AXIS2_PLACEMENT_3D('',#94507,#94508,#94509); +#94511=PLANE('',#94510); +#94512=ORIENTED_EDGE('',*,*,#94499,.F.); +#94513=ORIENTED_EDGE('',*,*,#94455,.F.); +#94515=ORIENTED_EDGE('',*,*,#94514,.F.); +#94516=ORIENTED_EDGE('',*,*,#89583,.F.); +#94517=EDGE_LOOP('',(#94512,#94513,#94515,#94516)); +#94518=FACE_OUTER_BOUND('',#94517,.F.); +#94520=CARTESIAN_POINT('',(-3.75E1,-2.47E0,-1.78E1)); +#94521=DIRECTION('',(-7.071067811866E-1,0.E0,7.071067811865E-1)); +#94522=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#94523=AXIS2_PLACEMENT_3D('',#94520,#94521,#94522); +#94524=PLANE('',#94523); +#94525=ORIENTED_EDGE('',*,*,#94514,.T.); +#94526=ORIENTED_EDGE('',*,*,#94453,.F.); +#94528=ORIENTED_EDGE('',*,*,#94527,.T.); +#94529=ORIENTED_EDGE('',*,*,#94283,.T.); +#94530=EDGE_LOOP('',(#94525,#94526,#94528,#94529)); +#94531=FACE_OUTER_BOUND('',#94530,.F.); +#94533=CARTESIAN_POINT('',(-3.765E1,-2.87E0,-1.765E1)); +#94534=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#94535=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#94536=AXIS2_PLACEMENT_3D('',#94533,#94534,#94535); +#94537=PLANE('',#94536); +#94538=ORIENTED_EDGE('',*,*,#94502,.F.); +#94540=ORIENTED_EDGE('',*,*,#94539,.F.); +#94541=ORIENTED_EDGE('',*,*,#94527,.F.); +#94542=ORIENTED_EDGE('',*,*,#94451,.T.); +#94543=EDGE_LOOP('',(#94538,#94540,#94541,#94542)); +#94544=FACE_OUTER_BOUND('',#94543,.F.); +#94546=CARTESIAN_POINT('',(-3.655E1,-3.257488663526E0,-1.895E1)); +#94547=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#94548=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#94549=AXIS2_PLACEMENT_3D('',#94546,#94547,#94548); +#94550=PLANE('',#94549); +#94551=ORIENTED_EDGE('',*,*,#94285,.T.); +#94552=ORIENTED_EDGE('',*,*,#94539,.T.); +#94553=ORIENTED_EDGE('',*,*,#89635,.T.); +#94554=ORIENTED_EDGE('',*,*,#72205,.F.); +#94555=EDGE_LOOP('',(#94551,#94552,#94553,#94554)); +#94556=FACE_OUTER_BOUND('',#94555,.F.); +#94558=CARTESIAN_POINT('',(-3.56E1,6.33E0,-1.78E1)); +#94559=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#94560=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#94561=AXIS2_PLACEMENT_3D('',#94558,#94559,#94560); +#94562=PLANE('',#94561); +#94563=ORIENTED_EDGE('',*,*,#94311,.F.); +#94565=ORIENTED_EDGE('',*,*,#94564,.T.); +#94566=ORIENTED_EDGE('',*,*,#89622,.T.); +#94568=ORIENTED_EDGE('',*,*,#94567,.T.); +#94569=EDGE_LOOP('',(#94563,#94565,#94566,#94568)); +#94570=FACE_OUTER_BOUND('',#94569,.F.); +#94572=CARTESIAN_POINT('',(-3.765E1,7.03E0,-1.795E1)); +#94573=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#94574=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#94575=AXIS2_PLACEMENT_3D('',#94572,#94573,#94574); +#94576=PLANE('',#94575); +#94577=ORIENTED_EDGE('',*,*,#94564,.F.); +#94578=ORIENTED_EDGE('',*,*,#94316,.F.); +#94579=ORIENTED_EDGE('',*,*,#94301,.F.); +#94580=ORIENTED_EDGE('',*,*,#67050,.T.); +#94581=EDGE_LOOP('',(#94577,#94578,#94579,#94580)); +#94582=FACE_OUTER_BOUND('',#94581,.F.); +#94584=CARTESIAN_POINT('',(-3.765E1,5.93E0,-1.765E1)); +#94585=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#94586=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#94587=AXIS2_PLACEMENT_3D('',#94584,#94585,#94586); +#94588=PLANE('',#94587); +#94589=ORIENTED_EDGE('',*,*,#94567,.F.); +#94590=ORIENTED_EDGE('',*,*,#94255,.F.); +#94591=ORIENTED_EDGE('',*,*,#94298,.F.); +#94592=ORIENTED_EDGE('',*,*,#94313,.T.); +#94593=EDGE_LOOP('',(#94589,#94590,#94591,#94592)); +#94594=FACE_OUTER_BOUND('',#94593,.F.); +#94596=CARTESIAN_POINT('',(-3.99E1,6.33E0,-1.78E1)); +#94597=DIRECTION('',(7.071067811866E-1,0.E0,7.071067811865E-1)); +#94598=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#94599=AXIS2_PLACEMENT_3D('',#94596,#94597,#94598); +#94600=PLANE('',#94599); +#94601=ORIENTED_EDGE('',*,*,#89292,.F.); +#94603=ORIENTED_EDGE('',*,*,#94602,.T.); +#94604=ORIENTED_EDGE('',*,*,#89204,.T.); +#94606=ORIENTED_EDGE('',*,*,#94605,.T.); +#94607=EDGE_LOOP('',(#94601,#94603,#94604,#94606)); +#94608=FACE_OUTER_BOUND('',#94607,.F.); +#94610=CARTESIAN_POINT('',(-4.195E1,7.03E0,-1.795E1)); +#94611=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811866E-1)); +#94612=DIRECTION('',(0.E0,-7.071067811866E-1,7.071067811865E-1)); +#94613=AXIS2_PLACEMENT_3D('',#94610,#94611,#94612); +#94614=PLANE('',#94613); +#94615=ORIENTED_EDGE('',*,*,#94602,.F.); +#94616=ORIENTED_EDGE('',*,*,#89297,.F.); +#94617=ORIENTED_EDGE('',*,*,#89282,.F.); +#94619=ORIENTED_EDGE('',*,*,#94618,.T.); +#94620=EDGE_LOOP('',(#94615,#94616,#94617,#94619)); +#94621=FACE_OUTER_BOUND('',#94620,.F.); +#94623=CARTESIAN_POINT('',(-4.195E1,7.204977327052E0,-1.995E1)); +#94624=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#94625=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#94626=AXIS2_PLACEMENT_3D('',#94623,#94624,#94625); +#94627=PLANE('',#94626); +#94628=ORIENTED_EDGE('',*,*,#66662,.T.); +#94629=ORIENTED_EDGE('',*,*,#89130,.F.); +#94630=ORIENTED_EDGE('',*,*,#89206,.T.); +#94631=ORIENTED_EDGE('',*,*,#94618,.F.); +#94632=ORIENTED_EDGE('',*,*,#89252,.F.); +#94633=ORIENTED_EDGE('',*,*,#66337,.T.); +#94634=EDGE_LOOP('',(#94628,#94629,#94630,#94631,#94632,#94633)); +#94635=FACE_OUTER_BOUND('',#94634,.F.); +#94637=CARTESIAN_POINT('',(-4.195E1,5.93E0,-1.765E1)); +#94638=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#94639=DIRECTION('',(0.E0,-7.071067811866E-1,-7.071067811865E-1)); +#94640=AXIS2_PLACEMENT_3D('',#94637,#94638,#94639); +#94641=PLANE('',#94640); +#94642=ORIENTED_EDGE('',*,*,#94605,.F.); +#94643=ORIENTED_EDGE('',*,*,#89235,.F.); +#94644=ORIENTED_EDGE('',*,*,#89279,.F.); +#94645=ORIENTED_EDGE('',*,*,#89294,.T.); +#94646=EDGE_LOOP('',(#94642,#94643,#94644,#94645)); +#94647=FACE_OUTER_BOUND('',#94646,.F.); +#94649=CARTESIAN_POINT('',(-3.98E1,9.63E0,-1.765E1)); +#94650=DIRECTION('',(0.E0,-1.E0,0.E0)); +#94651=DIRECTION('',(0.E0,0.E0,-1.E0)); +#94652=AXIS2_PLACEMENT_3D('',#94649,#94650,#94651); +#94653=PLANE('',#94652); +#94655=ORIENTED_EDGE('',*,*,#94654,.F.); +#94656=ORIENTED_EDGE('',*,*,#67004,.T.); +#94657=ORIENTED_EDGE('',*,*,#89133,.F.); +#94658=ORIENTED_EDGE('',*,*,#66658,.F.); +#94659=EDGE_LOOP('',(#94655,#94656,#94657,#94658)); +#94660=FACE_OUTER_BOUND('',#94659,.F.); +#94662=CARTESIAN_POINT('',(-3.87E1,9.78E0,-1.78E1)); +#94663=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#94664=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#94665=AXIS2_PLACEMENT_3D('',#94662,#94663,#94664); +#94666=PLANE('',#94665); +#94667=ORIENTED_EDGE('',*,*,#94654,.T.); +#94668=ORIENTED_EDGE('',*,*,#66701,.F.); +#94669=ORIENTED_EDGE('',*,*,#66744,.F.); +#94670=ORIENTED_EDGE('',*,*,#66731,.F.); +#94671=EDGE_LOOP('',(#94667,#94668,#94669,#94670)); +#94672=FACE_OUTER_BOUND('',#94671,.F.); +#94674=CARTESIAN_POINT('',(-3.92E1,8.303670850563E0,-1.909153440069E1)); +#94675=DIRECTION('',(-1.E0,0.E0,0.E0)); +#94676=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#94677=AXIS2_PLACEMENT_3D('',#94674,#94675,#94676); +#94678=PLANE('',#94677); +#94679=ORIENTED_EDGE('',*,*,#89142,.F.); +#94680=ORIENTED_EDGE('',*,*,#89117,.T.); +#94681=ORIENTED_EDGE('',*,*,#88652,.T.); +#94683=ORIENTED_EDGE('',*,*,#94682,.F.); +#94684=EDGE_LOOP('',(#94679,#94680,#94681,#94683)); +#94685=FACE_OUTER_BOUND('',#94684,.F.); +#94687=CARTESIAN_POINT('',(-3.92E1,8.136354669210E0,-1.920869045214E1)); +#94688=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#94689=DIRECTION('',(1.E0,0.E0,0.E0)); +#94690=AXIS2_PLACEMENT_3D('',#94687,#94688,#94689); +#94691=PLANE('',#94690); +#94692=ORIENTED_EDGE('',*,*,#89144,.F.); +#94693=ORIENTED_EDGE('',*,*,#94682,.T.); +#94694=ORIENTED_EDGE('',*,*,#88650,.T.); +#94696=ORIENTED_EDGE('',*,*,#94695,.F.); +#94697=EDGE_LOOP('',(#94692,#94693,#94694,#94696)); +#94698=FACE_OUTER_BOUND('',#94697,.F.); +#94700=CARTESIAN_POINT('',(-3.88E1,8.136354669210E0,-1.920869045214E1)); +#94701=DIRECTION('',(-1.E0,0.E0,0.E0)); +#94702=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#94703=AXIS2_PLACEMENT_3D('',#94700,#94701,#94702); +#94704=PLANE('',#94703); +#94705=ORIENTED_EDGE('',*,*,#89146,.F.); +#94706=ORIENTED_EDGE('',*,*,#94695,.T.); +#94707=ORIENTED_EDGE('',*,*,#88648,.T.); +#94709=ORIENTED_EDGE('',*,*,#94708,.F.); +#94710=EDGE_LOOP('',(#94705,#94706,#94707,#94709)); +#94711=FACE_OUTER_BOUND('',#94710,.F.); +#94713=CARTESIAN_POINT('',(-3.88E1,7.745950298135E0,-1.948205453572E1)); +#94714=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#94715=DIRECTION('',(1.E0,0.E0,0.E0)); +#94716=AXIS2_PLACEMENT_3D('',#94713,#94714,#94715); +#94717=PLANE('',#94716); +#94718=ORIENTED_EDGE('',*,*,#89148,.F.); +#94719=ORIENTED_EDGE('',*,*,#94708,.T.); +#94720=ORIENTED_EDGE('',*,*,#88646,.T.); +#94722=ORIENTED_EDGE('',*,*,#94721,.F.); +#94723=EDGE_LOOP('',(#94718,#94719,#94720,#94722)); +#94724=FACE_OUTER_BOUND('',#94723,.F.); +#94726=CARTESIAN_POINT('',(-3.87E1,7.745950298135E0,-1.948205453572E1)); +#94727=DIRECTION('',(1.E0,0.E0,0.E0)); +#94728=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#94729=AXIS2_PLACEMENT_3D('',#94726,#94727,#94728); +#94730=PLANE('',#94729); +#94731=ORIENTED_EDGE('',*,*,#89150,.F.); +#94732=ORIENTED_EDGE('',*,*,#94721,.T.); +#94733=ORIENTED_EDGE('',*,*,#88644,.T.); +#94735=ORIENTED_EDGE('',*,*,#94734,.F.); +#94736=EDGE_LOOP('',(#94731,#94732,#94733,#94735)); +#94737=FACE_OUTER_BOUND('',#94736,.F.); +#94739=CARTESIAN_POINT('',(-3.87E1,8.136354669210E0,-1.920869045214E1)); +#94740=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#94741=DIRECTION('',(1.E0,0.E0,0.E0)); +#94742=AXIS2_PLACEMENT_3D('',#94739,#94740,#94741); +#94743=PLANE('',#94742); +#94744=ORIENTED_EDGE('',*,*,#89152,.F.); +#94745=ORIENTED_EDGE('',*,*,#94734,.T.); +#94746=ORIENTED_EDGE('',*,*,#88642,.T.); +#94748=ORIENTED_EDGE('',*,*,#94747,.F.); +#94749=EDGE_LOOP('',(#94744,#94745,#94746,#94748)); +#94750=FACE_OUTER_BOUND('',#94749,.F.); +#94752=CARTESIAN_POINT('',(-3.853333334923E1,8.136354669210E0, +-1.920869045214E1)); +#94753=DIRECTION('',(1.E0,0.E0,0.E0)); +#94754=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#94755=AXIS2_PLACEMENT_3D('',#94752,#94753,#94754); +#94756=PLANE('',#94755); +#94757=ORIENTED_EDGE('',*,*,#89154,.F.); +#94758=ORIENTED_EDGE('',*,*,#94747,.T.); +#94759=ORIENTED_EDGE('',*,*,#88640,.T.); +#94761=ORIENTED_EDGE('',*,*,#94760,.F.); +#94762=EDGE_LOOP('',(#94757,#94758,#94759,#94761)); +#94763=FACE_OUTER_BOUND('',#94762,.F.); +#94765=CARTESIAN_POINT('',(-3.853333334923E1,8.303670850563E0, +-1.909153440069E1)); +#94766=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#94767=DIRECTION('',(-1.E0,0.E0,0.E0)); +#94768=AXIS2_PLACEMENT_3D('',#94765,#94766,#94767); +#94769=PLANE('',#94768); +#94770=ORIENTED_EDGE('',*,*,#89156,.F.); +#94771=ORIENTED_EDGE('',*,*,#94760,.T.); +#94772=ORIENTED_EDGE('',*,*,#88638,.T.); +#94774=ORIENTED_EDGE('',*,*,#94773,.F.); +#94775=EDGE_LOOP('',(#94770,#94771,#94772,#94774)); +#94776=FACE_OUTER_BOUND('',#94775,.F.); +#94778=CARTESIAN_POINT('',(-3.87E1,8.303670850563E0,-1.909153440069E1)); +#94779=DIRECTION('',(1.E0,0.E0,0.E0)); +#94780=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#94781=AXIS2_PLACEMENT_3D('',#94778,#94779,#94780); +#94782=PLANE('',#94781); +#94783=ORIENTED_EDGE('',*,*,#89158,.F.); +#94784=ORIENTED_EDGE('',*,*,#94773,.T.); +#94785=ORIENTED_EDGE('',*,*,#88636,.T.); +#94787=ORIENTED_EDGE('',*,*,#94786,.F.); +#94788=EDGE_LOOP('',(#94783,#94784,#94785,#94787)); +#94789=FACE_OUTER_BOUND('',#94788,.F.); +#94791=CARTESIAN_POINT('',(-3.87E1,9.056593568998E0,-1.856433223755E1)); +#94792=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#94793=DIRECTION('',(-1.E0,0.E0,0.E0)); +#94794=AXIS2_PLACEMENT_3D('',#94791,#94792,#94793); +#94795=PLANE('',#94794); +#94796=ORIENTED_EDGE('',*,*,#89160,.F.); +#94797=ORIENTED_EDGE('',*,*,#94786,.T.); +#94798=ORIENTED_EDGE('',*,*,#88634,.T.); +#94799=ORIENTED_EDGE('',*,*,#89114,.F.); +#94800=EDGE_LOOP('',(#94796,#94797,#94798,#94799)); +#94801=FACE_OUTER_BOUND('',#94800,.F.); +#94803=CARTESIAN_POINT('',(-3.908333333731E1,8.303670850563E0, +-1.909153440069E1)); +#94804=DIRECTION('',(9.159728336572E-1,-3.286768014392E-1,-2.301419740024E-1)); +#94805=DIRECTION('',(4.012402871124E-1,7.503210192035E-1,5.253804337235E-1)); +#94806=AXIS2_PLACEMENT_3D('',#94803,#94804,#94805); +#94807=PLANE('',#94806); +#94808=ORIENTED_EDGE('',*,*,#89186,.F.); +#94810=ORIENTED_EDGE('',*,*,#94809,.T.); +#94811=ORIENTED_EDGE('',*,*,#88656,.T.); +#94813=ORIENTED_EDGE('',*,*,#94812,.F.); +#94814=EDGE_LOOP('',(#94808,#94810,#94811,#94813)); +#94815=FACE_OUTER_BOUND('',#94814,.F.); +#94817=CARTESIAN_POINT('',(-3.88E1,8.303670850563E0,-1.909153440069E1)); +#94818=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#94819=DIRECTION('',(-1.E0,0.E0,0.E0)); +#94820=AXIS2_PLACEMENT_3D('',#94817,#94818,#94819); +#94821=PLANE('',#94820); +#94822=ORIENTED_EDGE('',*,*,#89190,.F.); +#94824=ORIENTED_EDGE('',*,*,#94823,.T.); +#94825=ORIENTED_EDGE('',*,*,#88658,.T.); +#94826=ORIENTED_EDGE('',*,*,#94809,.F.); +#94827=EDGE_LOOP('',(#94822,#94824,#94825,#94826)); +#94828=FACE_OUTER_BOUND('',#94827,.F.); +#94830=CARTESIAN_POINT('',(-3.88E1,8.833505379275E0,-1.872054026968E1)); +#94831=DIRECTION('',(-1.E0,0.E0,0.E0)); +#94832=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#94833=AXIS2_PLACEMENT_3D('',#94830,#94831,#94832); +#94834=PLANE('',#94833); +#94835=ORIENTED_EDGE('',*,*,#89188,.F.); +#94836=ORIENTED_EDGE('',*,*,#94812,.T.); +#94837=ORIENTED_EDGE('',*,*,#88660,.T.); +#94838=ORIENTED_EDGE('',*,*,#94823,.F.); +#94839=EDGE_LOOP('',(#94835,#94836,#94837,#94838)); +#94840=FACE_OUTER_BOUND('',#94839,.F.); +#94842=CARTESIAN_POINT('',(-3.82E1,7.745950298135E0,-1.948205453572E1)); +#94843=DIRECTION('',(1.E0,0.E0,0.E0)); +#94844=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#94845=AXIS2_PLACEMENT_3D('',#94842,#94843,#94844); +#94846=PLANE('',#94845); +#94847=ORIENTED_EDGE('',*,*,#89164,.F.); +#94849=ORIENTED_EDGE('',*,*,#94848,.T.); +#94850=ORIENTED_EDGE('',*,*,#88670,.T.); +#94852=ORIENTED_EDGE('',*,*,#94851,.F.); +#94853=EDGE_LOOP('',(#94847,#94849,#94850,#94852)); +#94854=FACE_OUTER_BOUND('',#94853,.F.); +#94856=CARTESIAN_POINT('',(-3.83E1,7.745950298135E0,-1.948205453572E1)); +#94857=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#94858=DIRECTION('',(1.E0,0.E0,0.E0)); +#94859=AXIS2_PLACEMENT_3D('',#94856,#94857,#94858); +#94860=PLANE('',#94859); +#94861=ORIENTED_EDGE('',*,*,#89176,.F.); +#94863=ORIENTED_EDGE('',*,*,#94862,.T.); +#94864=ORIENTED_EDGE('',*,*,#88672,.T.); +#94865=ORIENTED_EDGE('',*,*,#94848,.F.); +#94866=EDGE_LOOP('',(#94861,#94863,#94864,#94865)); +#94867=FACE_OUTER_BOUND('',#94866,.F.); +#94869=CARTESIAN_POINT('',(-3.83E1,8.833505379275E0,-1.872054026968E1)); +#94870=DIRECTION('',(-1.E0,0.E0,0.E0)); +#94871=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#94872=AXIS2_PLACEMENT_3D('',#94869,#94870,#94871); +#94873=PLANE('',#94872); +#94874=ORIENTED_EDGE('',*,*,#89174,.F.); +#94876=ORIENTED_EDGE('',*,*,#94875,.T.); +#94877=ORIENTED_EDGE('',*,*,#88674,.T.); +#94878=ORIENTED_EDGE('',*,*,#94862,.F.); +#94879=EDGE_LOOP('',(#94874,#94876,#94877,#94878)); +#94880=FACE_OUTER_BOUND('',#94879,.F.); +#94882=CARTESIAN_POINT('',(-3.84E1,8.638303135147E0,-1.885722235250E1)); +#94883=DIRECTION('',(9.220996766630E-1,-3.169728774801E-1,-2.219467982196E-1)); +#94884=DIRECTION('',(3.869524341543E-1,7.553398351767E-1,5.288946465008E-1)); +#94885=AXIS2_PLACEMENT_3D('',#94882,#94883,#94884); +#94886=PLANE('',#94885); +#94887=ORIENTED_EDGE('',*,*,#89172,.F.); +#94889=ORIENTED_EDGE('',*,*,#94888,.T.); +#94890=ORIENTED_EDGE('',*,*,#88676,.T.); +#94891=ORIENTED_EDGE('',*,*,#94875,.F.); +#94892=EDGE_LOOP('',(#94887,#94889,#94890,#94891)); +#94893=FACE_OUTER_BOUND('',#94892,.F.); +#94895=CARTESIAN_POINT('',(-3.84E1,8.861391402990E0,-1.870101426567E1)); +#94896=DIRECTION('',(-1.E0,0.E0,0.E0)); +#94897=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#94898=AXIS2_PLACEMENT_3D('',#94895,#94896,#94897); +#94899=PLANE('',#94898); +#94900=ORIENTED_EDGE('',*,*,#89170,.F.); +#94902=ORIENTED_EDGE('',*,*,#94901,.T.); +#94903=ORIENTED_EDGE('',*,*,#88678,.T.); +#94904=ORIENTED_EDGE('',*,*,#94888,.F.); +#94905=EDGE_LOOP('',(#94900,#94902,#94903,#94904)); +#94906=FACE_OUTER_BOUND('',#94905,.F.); +#94908=CARTESIAN_POINT('',(-3.83E1,9.056593568998E0,-1.856433223755E1)); +#94909=DIRECTION('',(-9.220996214078E-1,3.169729853395E-1,2.219468737435E-1)); +#94910=DIRECTION('',(-3.869525658263E-1,-7.553397899143E-1,-5.288946148078E-1)); +#94911=AXIS2_PLACEMENT_3D('',#94908,#94909,#94910); +#94912=PLANE('',#94911); +#94913=ORIENTED_EDGE('',*,*,#89168,.F.); +#94915=ORIENTED_EDGE('',*,*,#94914,.T.); +#94916=ORIENTED_EDGE('',*,*,#88680,.T.); +#94917=ORIENTED_EDGE('',*,*,#94901,.F.); +#94918=EDGE_LOOP('',(#94913,#94915,#94916,#94917)); +#94919=FACE_OUTER_BOUND('',#94918,.F.); +#94921=CARTESIAN_POINT('',(-3.82E1,9.056593568998E0,-1.856433223755E1)); +#94922=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#94923=DIRECTION('',(-1.E0,0.E0,0.E0)); +#94924=AXIS2_PLACEMENT_3D('',#94921,#94922,#94923); +#94925=PLANE('',#94924); +#94926=ORIENTED_EDGE('',*,*,#89166,.F.); +#94927=ORIENTED_EDGE('',*,*,#94851,.T.); +#94928=ORIENTED_EDGE('',*,*,#88682,.T.); +#94929=ORIENTED_EDGE('',*,*,#94914,.F.); +#94930=EDGE_LOOP('',(#94926,#94927,#94928,#94929)); +#94931=FACE_OUTER_BOUND('',#94930,.F.); +#94933=CARTESIAN_POINT('',(8.049999976158E0,8.331556874278E0, +-1.907200839668E1)); +#94934=DIRECTION('',(8.373790907147E-1,-4.477670139529E-1,-3.135298385315E-1)); +#94935=DIRECTION('',(5.466225923193E-1,6.859407940038E-1,4.803009147270E-1)); +#94936=AXIS2_PLACEMENT_3D('',#94933,#94934,#94935); +#94937=PLANE('',#94936); +#94938=ORIENTED_EDGE('',*,*,#71166,.F.); +#94940=ORIENTED_EDGE('',*,*,#94939,.T.); +#94941=ORIENTED_EDGE('',*,*,#88692,.T.); +#94943=ORIENTED_EDGE('',*,*,#94942,.F.); +#94944=EDGE_LOOP('',(#94938,#94940,#94941,#94943)); +#94945=FACE_OUTER_BOUND('',#94944,.F.); +#94947=CARTESIAN_POINT('',(7.933333313465E0,8.331556874278E0, +-1.907200839668E1)); +#94948=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#94949=DIRECTION('',(1.E0,0.E0,0.E0)); +#94950=AXIS2_PLACEMENT_3D('',#94947,#94948,#94949); +#94951=PLANE('',#94950); +#94952=ORIENTED_EDGE('',*,*,#71244,.F.); +#94954=ORIENTED_EDGE('',*,*,#94953,.T.); +#94955=ORIENTED_EDGE('',*,*,#88694,.T.); +#94956=ORIENTED_EDGE('',*,*,#94939,.F.); +#94957=EDGE_LOOP('',(#94952,#94954,#94955,#94956)); +#94958=FACE_OUTER_BOUND('',#94957,.F.); +#94960=CARTESIAN_POINT('',(7.933333313465E0,9.056593568998E0, +-1.856433223755E1)); +#94961=DIRECTION('',(-1.E0,0.E0,0.E0)); +#94962=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#94963=AXIS2_PLACEMENT_3D('',#94960,#94961,#94962); +#94964=PLANE('',#94963); +#94965=ORIENTED_EDGE('',*,*,#71242,.F.); +#94967=ORIENTED_EDGE('',*,*,#94966,.T.); +#94968=ORIENTED_EDGE('',*,*,#88696,.T.); +#94969=ORIENTED_EDGE('',*,*,#94953,.F.); +#94970=EDGE_LOOP('',(#94965,#94967,#94968,#94969)); +#94971=FACE_OUTER_BOUND('',#94970,.F.); +#94973=CARTESIAN_POINT('',(8.499999964237E0,9.056593568998E0, +-1.856433223755E1)); +#94974=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#94975=DIRECTION('',(-1.E0,0.E0,0.E0)); +#94976=AXIS2_PLACEMENT_3D('',#94973,#94974,#94975); +#94977=PLANE('',#94976); +#94978=ORIENTED_EDGE('',*,*,#71240,.F.); +#94980=ORIENTED_EDGE('',*,*,#94979,.T.); +#94981=ORIENTED_EDGE('',*,*,#88698,.T.); +#94982=ORIENTED_EDGE('',*,*,#94966,.F.); +#94983=EDGE_LOOP('',(#94978,#94980,#94981,#94982)); +#94984=FACE_OUTER_BOUND('',#94983,.F.); +#94986=CARTESIAN_POINT('',(8.499999964237E0,8.917163450421E0, +-1.866196225764E1)); +#94987=DIRECTION('',(1.E0,0.E0,0.E0)); +#94988=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#94989=AXIS2_PLACEMENT_3D('',#94986,#94987,#94988); +#94990=PLANE('',#94989); +#94991=ORIENTED_EDGE('',*,*,#71238,.F.); +#94993=ORIENTED_EDGE('',*,*,#94992,.T.); +#94994=ORIENTED_EDGE('',*,*,#88700,.T.); +#94995=ORIENTED_EDGE('',*,*,#94979,.F.); +#94996=EDGE_LOOP('',(#94991,#94993,#94994,#94995)); +#94997=FACE_OUTER_BOUND('',#94996,.F.); +#94999=CARTESIAN_POINT('',(8.016666644812E0,8.917163450421E0, +-1.866196225764E1)); +#95000=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#95001=DIRECTION('',(1.E0,0.E0,0.E0)); +#95002=AXIS2_PLACEMENT_3D('',#94999,#95000,#95001); +#95003=PLANE('',#95002); +#95004=ORIENTED_EDGE('',*,*,#71236,.F.); +#95006=ORIENTED_EDGE('',*,*,#95005,.T.); +#95007=ORIENTED_EDGE('',*,*,#88702,.T.); +#95008=ORIENTED_EDGE('',*,*,#94992,.F.); +#95009=EDGE_LOOP('',(#95004,#95006,#95007,#95008)); +#95010=FACE_OUTER_BOUND('',#95009,.F.); +#95012=CARTESIAN_POINT('',(8.016666644812E0,8.470986992855E0, +-1.897437837660E1)); +#95013=DIRECTION('',(1.E0,0.E0,0.E0)); +#95014=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#95015=AXIS2_PLACEMENT_3D('',#95012,#95013,#95014); +#95016=PLANE('',#95015); +#95017=ORIENTED_EDGE('',*,*,#71234,.F.); +#95019=ORIENTED_EDGE('',*,*,#95018,.T.); +#95020=ORIENTED_EDGE('',*,*,#88704,.T.); +#95021=ORIENTED_EDGE('',*,*,#95005,.F.); +#95022=EDGE_LOOP('',(#95017,#95019,#95020,#95021)); +#95023=FACE_OUTER_BOUND('',#95022,.F.); +#95025=CARTESIAN_POINT('',(8.099999976158E0,8.554645064001E0, +-1.891580036455E1)); +#95026=DIRECTION('',(-7.747956326088E-1,5.178775674236E-1,3.626217765797E-1)); +#95027=DIRECTION('',(-6.322117744003E-1,-6.346754263577E-1,-4.444045178521E-1)); +#95028=AXIS2_PLACEMENT_3D('',#95025,#95026,#95027); +#95029=PLANE('',#95028); +#95030=ORIENTED_EDGE('',*,*,#71232,.F.); +#95032=ORIENTED_EDGE('',*,*,#95031,.T.); +#95033=ORIENTED_EDGE('',*,*,#88706,.T.); +#95034=ORIENTED_EDGE('',*,*,#95018,.F.); +#95035=EDGE_LOOP('',(#95030,#95032,#95033,#95034)); +#95036=FACE_OUTER_BOUND('',#95035,.F.); +#95038=CARTESIAN_POINT('',(8.183333313465E0,8.582531087716E0, +-1.889627436053E1)); +#95039=DIRECTION('',(-3.781725790206E-1,7.583178107423E-1,5.309798474405E-1)); +#95040=DIRECTION('',(-9.257351135594E-1,-3.097808411987E-1,-2.169108802003E-1)); +#95041=AXIS2_PLACEMENT_3D('',#95038,#95039,#95040); +#95042=PLANE('',#95041); +#95043=ORIENTED_EDGE('',*,*,#71230,.F.); +#95045=ORIENTED_EDGE('',*,*,#95044,.T.); +#95046=ORIENTED_EDGE('',*,*,#88708,.T.); +#95047=ORIENTED_EDGE('',*,*,#95031,.F.); +#95048=EDGE_LOOP('',(#95043,#95045,#95046,#95047)); +#95049=FACE_OUTER_BOUND('',#95048,.F.); +#95051=CARTESIAN_POINT('',(8.283333313465E0,8.582531087716E0, +-1.889627436053E1)); +#95052=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#95053=DIRECTION('',(-1.E0,0.E0,0.E0)); +#95054=AXIS2_PLACEMENT_3D('',#95051,#95052,#95053); +#95055=PLANE('',#95054); +#95056=ORIENTED_EDGE('',*,*,#71228,.F.); +#95058=ORIENTED_EDGE('',*,*,#95057,.T.); +#95059=ORIENTED_EDGE('',*,*,#88710,.T.); +#95060=ORIENTED_EDGE('',*,*,#95044,.F.); +#95061=EDGE_LOOP('',(#95056,#95058,#95059,#95060)); +#95062=FACE_OUTER_BOUND('',#95061,.F.); +#95064=CARTESIAN_POINT('',(8.366666662693E0,8.554645064001E0, +-1.891580036455E1)); +#95065=DIRECTION('',(3.781725326594E-1,7.583178262563E-1,5.309798583034E-1)); +#95066=DIRECTION('',(-9.257351324985E-1,3.097808032219E-1,2.169108536086E-1)); +#95067=AXIS2_PLACEMENT_3D('',#95064,#95065,#95066); +#95068=PLANE('',#95067); +#95069=ORIENTED_EDGE('',*,*,#71226,.F.); +#95071=ORIENTED_EDGE('',*,*,#95070,.T.); +#95072=ORIENTED_EDGE('',*,*,#88712,.T.); +#95073=ORIENTED_EDGE('',*,*,#95057,.F.); +#95074=EDGE_LOOP('',(#95069,#95071,#95072,#95073)); +#95075=FACE_OUTER_BOUND('',#95074,.F.); +#95077=CARTESIAN_POINT('',(8.449999964237E0,8.470986992855E0, +-1.897437837660E1)); +#95078=DIRECTION('',(7.747957433588E-1,5.178774562420E-1,3.626216987295E-1)); +#95079=DIRECTION('',(-6.322116386726E-1,6.346755170788E-1,4.444045813757E-1)); +#95080=AXIS2_PLACEMENT_3D('',#95077,#95078,#95079); +#95081=PLANE('',#95080); +#95082=ORIENTED_EDGE('',*,*,#71224,.F.); +#95084=ORIENTED_EDGE('',*,*,#95083,.T.); +#95085=ORIENTED_EDGE('',*,*,#88714,.T.); +#95086=ORIENTED_EDGE('',*,*,#95070,.F.); +#95087=EDGE_LOOP('',(#95082,#95084,#95085,#95086)); +#95088=FACE_OUTER_BOUND('',#95087,.F.); +#95090=CARTESIAN_POINT('',(8.499999964237E0,8.359442897993E0, +-1.905248239266E1)); +#95091=DIRECTION('',(9.387181799843E-1,2.823499325559E-1,1.977035511886E-1)); +#95092=DIRECTION('',(-3.446856228027E-1,7.689529161454E-1,5.384266284134E-1)); +#95093=AXIS2_PLACEMENT_3D('',#95090,#95091,#95092); +#95094=PLANE('',#95093); +#95095=ORIENTED_EDGE('',*,*,#71222,.F.); +#95097=ORIENTED_EDGE('',*,*,#95096,.T.); +#95098=ORIENTED_EDGE('',*,*,#88716,.T.); +#95099=ORIENTED_EDGE('',*,*,#95083,.F.); +#95100=EDGE_LOOP('',(#95095,#95097,#95098,#95099)); +#95101=FACE_OUTER_BOUND('',#95100,.F.); +#95103=CARTESIAN_POINT('',(8.533333313465E0,8.220012740356E0, +-1.915011244009E1)); +#95104=DIRECTION('',(9.813591273639E-1,1.574270070736E-1,1.102315770707E-1)); +#95105=DIRECTION('',(-1.921828898202E-1,8.038823353618E-1,5.628844710539E-1)); +#95106=AXIS2_PLACEMENT_3D('',#95103,#95104,#95105); +#95107=PLANE('',#95106); +#95108=ORIENTED_EDGE('',*,*,#71220,.F.); +#95110=ORIENTED_EDGE('',*,*,#95109,.T.); +#95111=ORIENTED_EDGE('',*,*,#88718,.T.); +#95112=ORIENTED_EDGE('',*,*,#95096,.F.); +#95113=EDGE_LOOP('',(#95108,#95110,#95111,#95112)); +#95114=FACE_OUTER_BOUND('',#95113,.F.); +#95116=CARTESIAN_POINT('',(8.533333313465E0,8.108468645495E0, +-1.922821645616E1)); +#95117=DIRECTION('',(1.E0,0.E0,0.E0)); +#95118=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#95119=AXIS2_PLACEMENT_3D('',#95116,#95117,#95118); +#95120=PLANE('',#95119); +#95121=ORIENTED_EDGE('',*,*,#71218,.F.); +#95123=ORIENTED_EDGE('',*,*,#95122,.T.); +#95124=ORIENTED_EDGE('',*,*,#88720,.T.); +#95125=ORIENTED_EDGE('',*,*,#95109,.F.); +#95126=EDGE_LOOP('',(#95121,#95123,#95124,#95125)); +#95127=FACE_OUTER_BOUND('',#95126,.F.); +#95129=CARTESIAN_POINT('',(8.499999964237E0,7.969038507388E0, +-1.932584648991E1)); +#95130=DIRECTION('',(9.813591222869E-1,-1.574270283101E-1,-1.102315919407E-1)); +#95131=DIRECTION('',(1.921829157452E-1,8.038823312029E-1,5.628844681419E-1)); +#95132=AXIS2_PLACEMENT_3D('',#95129,#95130,#95131); +#95133=PLANE('',#95132); +#95134=ORIENTED_EDGE('',*,*,#71216,.F.); +#95136=ORIENTED_EDGE('',*,*,#95135,.T.); +#95137=ORIENTED_EDGE('',*,*,#88722,.T.); +#95138=ORIENTED_EDGE('',*,*,#95122,.F.); +#95139=EDGE_LOOP('',(#95134,#95136,#95137,#95138)); +#95140=FACE_OUTER_BOUND('',#95139,.F.); +#95142=CARTESIAN_POINT('',(8.449999964237E0,7.857494402762E0, +-1.940395051281E1)); +#95143=DIRECTION('',(9.387181897479E-1,-2.823499107744E-1,-1.977035359371E-1)); +#95144=DIRECTION('',(3.446855962125E-1,7.689529241433E-1,5.384266340135E-1)); +#95145=AXIS2_PLACEMENT_3D('',#95142,#95143,#95144); +#95146=PLANE('',#95145); +#95147=ORIENTED_EDGE('',*,*,#71214,.F.); +#95149=ORIENTED_EDGE('',*,*,#95148,.T.); +#95150=ORIENTED_EDGE('',*,*,#88724,.T.); +#95151=ORIENTED_EDGE('',*,*,#95135,.F.); +#95152=EDGE_LOOP('',(#95147,#95149,#95150,#95151)); +#95153=FACE_OUTER_BOUND('',#95152,.F.); +#95155=CARTESIAN_POINT('',(8.366666662693E0,7.773836324292E0, +-1.946252852999E1)); +#95156=DIRECTION('',(7.747957704695E-1,-5.178774290256E-1,-3.626216796724E-1)); +#95157=DIRECTION('',(6.322116054476E-1,6.346755392865E-1,4.444045969257E-1)); +#95158=AXIS2_PLACEMENT_3D('',#95155,#95156,#95157); +#95159=PLANE('',#95158); +#95160=ORIENTED_EDGE('',*,*,#71212,.F.); +#95162=ORIENTED_EDGE('',*,*,#95161,.T.); +#95163=ORIENTED_EDGE('',*,*,#88726,.T.); +#95164=ORIENTED_EDGE('',*,*,#95148,.F.); +#95165=EDGE_LOOP('',(#95160,#95162,#95163,#95164)); +#95166=FACE_OUTER_BOUND('',#95165,.F.); +#95168=CARTESIAN_POINT('',(8.283333313465E0,7.745950298135E0, +-1.948205453572E1)); +#95169=DIRECTION('',(3.781725610314E-1,-7.583178167621E-1,-5.309798516555E-1)); +#95170=DIRECTION('',(9.257351209082E-1,3.097808264629E-1,2.169108698822E-1)); +#95171=AXIS2_PLACEMENT_3D('',#95168,#95169,#95170); +#95172=PLANE('',#95171); +#95173=ORIENTED_EDGE('',*,*,#71210,.F.); +#95175=ORIENTED_EDGE('',*,*,#95174,.T.); +#95176=ORIENTED_EDGE('',*,*,#88728,.T.); +#95177=ORIENTED_EDGE('',*,*,#95161,.F.); +#95178=EDGE_LOOP('',(#95173,#95175,#95176,#95177)); +#95179=FACE_OUTER_BOUND('',#95178,.F.); +#95181=CARTESIAN_POINT('',(8.183333313465E0,7.745950298135E0, +-1.948205453572E1)); +#95182=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#95183=DIRECTION('',(1.E0,0.E0,0.E0)); +#95184=AXIS2_PLACEMENT_3D('',#95181,#95182,#95183); +#95185=PLANE('',#95184); +#95186=ORIENTED_EDGE('',*,*,#71208,.F.); +#95188=ORIENTED_EDGE('',*,*,#95187,.T.); +#95189=ORIENTED_EDGE('',*,*,#88730,.T.); +#95190=ORIENTED_EDGE('',*,*,#95174,.F.); +#95191=EDGE_LOOP('',(#95186,#95188,#95189,#95190)); +#95192=FACE_OUTER_BOUND('',#95191,.F.); +#95194=CARTESIAN_POINT('',(8.099999976158E0,7.773836324292E0, +-1.946252852999E1)); +#95195=DIRECTION('',(-3.781726073927E-1,-7.583178012481E-1,-5.309798407925E-1)); +#95196=DIRECTION('',(9.257351019691E-1,-3.097808644398E-1,-2.169108964739E-1)); +#95197=AXIS2_PLACEMENT_3D('',#95194,#95195,#95196); +#95198=PLANE('',#95197); +#95199=ORIENTED_EDGE('',*,*,#71206,.F.); +#95201=ORIENTED_EDGE('',*,*,#95200,.T.); +#95202=ORIENTED_EDGE('',*,*,#88732,.T.); +#95203=ORIENTED_EDGE('',*,*,#95187,.F.); +#95204=EDGE_LOOP('',(#95199,#95201,#95202,#95203)); +#95205=FACE_OUTER_BOUND('',#95204,.F.); +#95207=CARTESIAN_POINT('',(8.016666644812E0,7.857494402762E0, +-1.940395051281E1)); +#95208=DIRECTION('',(-7.747956597194E-1,-5.178775402073E-1,-3.626217575226E-1)); +#95209=DIRECTION('',(6.322117411753E-1,-6.346754485654E-1,-4.444045334021E-1)); +#95210=AXIS2_PLACEMENT_3D('',#95207,#95208,#95209); +#95211=PLANE('',#95210); +#95212=ORIENTED_EDGE('',*,*,#71204,.F.); +#95214=ORIENTED_EDGE('',*,*,#95213,.T.); +#95215=ORIENTED_EDGE('',*,*,#88734,.T.); +#95216=ORIENTED_EDGE('',*,*,#95200,.F.); +#95217=EDGE_LOOP('',(#95212,#95214,#95215,#95216)); +#95218=FACE_OUTER_BOUND('',#95217,.F.); +#95220=CARTESIAN_POINT('',(7.966666647792E0,7.969038507388E0, +-1.932584648991E1)); +#95221=DIRECTION('',(-9.387181963955E-1,-2.823498959446E-1,-1.977035255531E-1)); +#95222=DIRECTION('',(3.446855781086E-1,-7.689529295886E-1,-5.384266378264E-1)); +#95223=AXIS2_PLACEMENT_3D('',#95220,#95221,#95222); +#95224=PLANE('',#95223); +#95225=ORIENTED_EDGE('',*,*,#71202,.F.); +#95227=ORIENTED_EDGE('',*,*,#95226,.T.); +#95228=ORIENTED_EDGE('',*,*,#88736,.T.); +#95229=ORIENTED_EDGE('',*,*,#95213,.F.); +#95230=EDGE_LOOP('',(#95225,#95227,#95228,#95229)); +#95231=FACE_OUTER_BOUND('',#95230,.F.); +#95233=CARTESIAN_POINT('',(7.933333313465E0,8.080582621780E0, +-1.924774246017E1)); +#95234=DIRECTION('',(-9.713211618432E-1,-1.947708627496E-1,-1.363800263209E-1)); +#95235=DIRECTION('',(2.377713198758E-1,-7.956597153851E-1,-5.571269305624E-1)); +#95236=AXIS2_PLACEMENT_3D('',#95233,#95234,#95235); +#95237=PLANE('',#95236); +#95238=ORIENTED_EDGE('',*,*,#71200,.F.); +#95240=ORIENTED_EDGE('',*,*,#95239,.T.); +#95241=ORIENTED_EDGE('',*,*,#88738,.T.); +#95242=ORIENTED_EDGE('',*,*,#95226,.F.); +#95243=EDGE_LOOP('',(#95238,#95240,#95241,#95242)); +#95244=FACE_OUTER_BOUND('',#95243,.F.); +#95246=CARTESIAN_POINT('',(8.033333313465E0,8.080582621780E0, +-1.924774246017E1)); +#95247=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#95248=DIRECTION('',(-1.E0,0.E0,0.E0)); +#95249=AXIS2_PLACEMENT_3D('',#95246,#95247,#95248); +#95250=PLANE('',#95249); +#95251=ORIENTED_EDGE('',*,*,#71198,.F.); +#95253=ORIENTED_EDGE('',*,*,#95252,.T.); +#95254=ORIENTED_EDGE('',*,*,#88740,.T.); +#95255=ORIENTED_EDGE('',*,*,#95239,.F.); +#95256=EDGE_LOOP('',(#95251,#95253,#95254,#95255)); +#95257=FACE_OUTER_BOUND('',#95256,.F.); +#95259=CARTESIAN_POINT('',(8.066666650772E0,7.969038507388E0, +-1.932584648991E1)); +#95260=DIRECTION('',(9.713211569336E-1,1.947708791790E-1,1.363800378248E-1)); +#95261=DIRECTION('',(-2.377713399324E-1,7.956597113633E-1,5.571269277463E-1)); +#95262=AXIS2_PLACEMENT_3D('',#95259,#95260,#95261); +#95263=PLANE('',#95262); +#95264=ORIENTED_EDGE('',*,*,#71196,.F.); +#95266=ORIENTED_EDGE('',*,*,#95265,.T.); +#95267=ORIENTED_EDGE('',*,*,#88742,.T.); +#95268=ORIENTED_EDGE('',*,*,#95252,.F.); +#95269=EDGE_LOOP('',(#95264,#95266,#95267,#95268)); +#95270=FACE_OUTER_BOUND('',#95269,.F.); +#95272=CARTESIAN_POINT('',(8.116666650772E0,7.913266459958E0, +-1.936489849794E1)); +#95273=DIRECTION('',(8.060043958311E-1,4.848639168924E-1,3.395053696139E-1)); +#95274=DIRECTION('',(-5.919095487496E-1,6.602401485510E-1,4.623051290441E-1)); +#95275=AXIS2_PLACEMENT_3D('',#95272,#95273,#95274); +#95276=PLANE('',#95275); +#95277=ORIENTED_EDGE('',*,*,#71194,.F.); +#95279=ORIENTED_EDGE('',*,*,#95278,.T.); +#95280=ORIENTED_EDGE('',*,*,#88744,.T.); +#95281=ORIENTED_EDGE('',*,*,#95265,.F.); +#95282=EDGE_LOOP('',(#95277,#95279,#95280,#95281)); +#95283=FACE_OUTER_BOUND('',#95282,.F.); +#95285=CARTESIAN_POINT('',(8.183333313465E0,7.885380436242E0, +-1.938442450196E1)); +#95286=DIRECTION('',(4.547771350481E-1,7.295411323972E-1,5.108302003386E-1)); +#95287=DIRECTION('',(-8.906052758868E-1,3.725316198706E-1,2.608494484548E-1)); +#95288=AXIS2_PLACEMENT_3D('',#95285,#95286,#95287); +#95289=PLANE('',#95288); +#95290=ORIENTED_EDGE('',*,*,#71192,.F.); +#95292=ORIENTED_EDGE('',*,*,#95291,.T.); +#95293=ORIENTED_EDGE('',*,*,#88746,.T.); +#95294=ORIENTED_EDGE('',*,*,#95278,.F.); +#95295=EDGE_LOOP('',(#95290,#95292,#95293,#95294)); +#95296=FACE_OUTER_BOUND('',#95295,.F.); +#95298=CARTESIAN_POINT('',(8.283333313465E0,7.885380436242E0, +-1.938442450196E1)); +#95299=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#95300=DIRECTION('',(-1.E0,0.E0,0.E0)); +#95301=AXIS2_PLACEMENT_3D('',#95298,#95299,#95300); +#95302=PLANE('',#95301); +#95303=ORIENTED_EDGE('',*,*,#71190,.F.); +#95305=ORIENTED_EDGE('',*,*,#95304,.T.); +#95306=ORIENTED_EDGE('',*,*,#88748,.T.); +#95307=ORIENTED_EDGE('',*,*,#95291,.F.); +#95308=EDGE_LOOP('',(#95303,#95305,#95306,#95307)); +#95309=FACE_OUTER_BOUND('',#95308,.F.); +#95311=CARTESIAN_POINT('',(8.349999964237E0,7.913266459958E0, +-1.936489849794E1)); +#95312=DIRECTION('',(-4.547771995498E-1,7.295411054168E-1,5.108301814467E-1)); +#95313=DIRECTION('',(-8.906052429498E-1,-3.725316727072E-1,-2.608494854515E-1)); +#95314=AXIS2_PLACEMENT_3D('',#95311,#95312,#95313); +#95315=PLANE('',#95314); +#95316=ORIENTED_EDGE('',*,*,#71188,.F.); +#95318=ORIENTED_EDGE('',*,*,#95317,.T.); +#95319=ORIENTED_EDGE('',*,*,#88750,.T.); +#95320=ORIENTED_EDGE('',*,*,#95304,.F.); +#95321=EDGE_LOOP('',(#95316,#95318,#95319,#95320)); +#95322=FACE_OUTER_BOUND('',#95321,.F.); +#95324=CARTESIAN_POINT('',(8.399999964237E0,7.969038507388E0, +-1.932584648991E1)); +#95325=DIRECTION('',(-8.060043958311E-1,4.848639168924E-1,3.395053696140E-1)); +#95326=DIRECTION('',(-5.919095487496E-1,-6.602401485509E-1,-4.623051290441E-1)); +#95327=AXIS2_PLACEMENT_3D('',#95324,#95325,#95326); +#95328=PLANE('',#95327); +#95329=ORIENTED_EDGE('',*,*,#71186,.F.); +#95331=ORIENTED_EDGE('',*,*,#95330,.T.); +#95332=ORIENTED_EDGE('',*,*,#88752,.T.); +#95333=ORIENTED_EDGE('',*,*,#95317,.F.); +#95334=EDGE_LOOP('',(#95329,#95331,#95332,#95333)); +#95335=FACE_OUTER_BOUND('',#95334,.F.); +#95337=CARTESIAN_POINT('',(8.433333313465E0,8.052696598064E0, +-1.926726846419E1)); +#95338=DIRECTION('',(-9.506451698354E-1,2.541666054262E-1,1.779693730806E-1)); +#95339=DIRECTION('',(-3.102801332161E-1,-7.787229342641E-1,-5.452676687485E-1)); +#95340=AXIS2_PLACEMENT_3D('',#95337,#95338,#95339); +#95341=PLANE('',#95340); +#95342=ORIENTED_EDGE('',*,*,#71184,.F.); +#95344=ORIENTED_EDGE('',*,*,#95343,.T.); +#95345=ORIENTED_EDGE('',*,*,#88754,.T.); +#95346=ORIENTED_EDGE('',*,*,#95330,.F.); +#95347=EDGE_LOOP('',(#95342,#95344,#95345,#95346)); +#95348=FACE_OUTER_BOUND('',#95347,.F.); +#95350=CARTESIAN_POINT('',(8.449999964237E0,8.136354669210E0, +-1.920869045214E1)); +#95351=DIRECTION('',(-9.869440215384E-1,1.319356156111E-1,9.238231260922E-2)); +#95352=DIRECTION('',(-1.610636468906E-1,-8.084572128419E-1,-5.660878347519E-1)); +#95353=AXIS2_PLACEMENT_3D('',#95350,#95351,#95352); +#95354=PLANE('',#95353); +#95355=ORIENTED_EDGE('',*,*,#71182,.F.); +#95357=ORIENTED_EDGE('',*,*,#95356,.T.); +#95358=ORIENTED_EDGE('',*,*,#88756,.T.); +#95359=ORIENTED_EDGE('',*,*,#95343,.F.); +#95360=EDGE_LOOP('',(#95355,#95357,#95358,#95359)); +#95361=FACE_OUTER_BOUND('',#95360,.F.); +#95363=CARTESIAN_POINT('',(8.449999964237E0,8.192126716641E0, +-1.916963844411E1)); +#95364=DIRECTION('',(-1.E0,0.E0,0.E0)); +#95365=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#95366=AXIS2_PLACEMENT_3D('',#95363,#95364,#95365); +#95367=PLANE('',#95366); +#95368=ORIENTED_EDGE('',*,*,#71180,.F.); +#95370=ORIENTED_EDGE('',*,*,#95369,.T.); +#95371=ORIENTED_EDGE('',*,*,#88758,.T.); +#95372=ORIENTED_EDGE('',*,*,#95356,.F.); +#95373=EDGE_LOOP('',(#95368,#95370,#95371,#95372)); +#95374=FACE_OUTER_BOUND('',#95373,.F.); +#95376=CARTESIAN_POINT('',(8.433333313465E0,8.275784826847E0, +-1.911106040471E1)); +#95377=DIRECTION('',(-9.869440334924E-1,-1.319355556080E-1,-9.238227059460E-2)); +#95378=DIRECTION('',(1.610635736404E-1,-8.084572226341E-1,-5.660878416085E-1)); +#95379=AXIS2_PLACEMENT_3D('',#95376,#95377,#95378); +#95380=PLANE('',#95379); +#95381=ORIENTED_EDGE('',*,*,#71178,.F.); +#95383=ORIENTED_EDGE('',*,*,#95382,.T.); +#95384=ORIENTED_EDGE('',*,*,#88760,.T.); +#95385=ORIENTED_EDGE('',*,*,#95369,.F.); +#95386=EDGE_LOOP('',(#95381,#95383,#95384,#95385)); +#95387=FACE_OUTER_BOUND('',#95386,.F.); +#95389=CARTESIAN_POINT('',(8.399999964237E0,8.359442897993E0, +-1.905248239266E1)); +#95390=DIRECTION('',(-9.506451484694E-1,-2.541666590493E-1,-1.779694106279E-1)); +#95391=DIRECTION('',(3.102801986778E-1,-7.787229167621E-1,-5.452676564935E-1)); +#95392=AXIS2_PLACEMENT_3D('',#95389,#95390,#95391); +#95393=PLANE('',#95392); +#95394=ORIENTED_EDGE('',*,*,#71176,.F.); +#95396=ORIENTED_EDGE('',*,*,#95395,.T.); +#95397=ORIENTED_EDGE('',*,*,#88762,.T.); +#95398=ORIENTED_EDGE('',*,*,#95382,.F.); +#95399=EDGE_LOOP('',(#95394,#95396,#95397,#95398)); +#95400=FACE_OUTER_BOUND('',#95399,.F.); +#95402=CARTESIAN_POINT('',(8.349999964237E0,8.415214945424E0, +-1.901343038463E1)); +#95403=DIRECTION('',(-8.060043958311E-1,-4.848639168924E-1,-3.395053696140E-1)); +#95404=DIRECTION('',(5.919095487496E-1,-6.602401485509E-1,-4.623051290441E-1)); +#95405=AXIS2_PLACEMENT_3D('',#95402,#95403,#95404); +#95406=PLANE('',#95405); +#95407=ORIENTED_EDGE('',*,*,#71174,.F.); +#95409=ORIENTED_EDGE('',*,*,#95408,.T.); +#95410=ORIENTED_EDGE('',*,*,#88764,.T.); +#95411=ORIENTED_EDGE('',*,*,#95395,.F.); +#95412=EDGE_LOOP('',(#95407,#95409,#95410,#95411)); +#95413=FACE_OUTER_BOUND('',#95412,.F.); +#95415=CARTESIAN_POINT('',(8.283333313465E0,8.443100969140E0, +-1.899390438061E1)); +#95416=DIRECTION('',(-4.547771995498E-1,-7.295411054168E-1,-5.108301814467E-1)); +#95417=DIRECTION('',(8.906052429498E-1,-3.725316727072E-1,-2.608494854515E-1)); +#95418=AXIS2_PLACEMENT_3D('',#95415,#95416,#95417); +#95419=PLANE('',#95418); +#95420=ORIENTED_EDGE('',*,*,#71172,.F.); +#95422=ORIENTED_EDGE('',*,*,#95421,.T.); +#95423=ORIENTED_EDGE('',*,*,#88766,.T.); +#95424=ORIENTED_EDGE('',*,*,#95408,.F.); +#95425=EDGE_LOOP('',(#95420,#95422,#95423,#95424)); +#95426=FACE_OUTER_BOUND('',#95425,.F.); +#95428=CARTESIAN_POINT('',(8.183333313465E0,8.443100969140E0, +-1.899390438061E1)); +#95429=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#95430=DIRECTION('',(1.E0,0.E0,0.E0)); +#95431=AXIS2_PLACEMENT_3D('',#95428,#95429,#95430); +#95432=PLANE('',#95431); +#95433=ORIENTED_EDGE('',*,*,#71170,.F.); +#95435=ORIENTED_EDGE('',*,*,#95434,.T.); +#95436=ORIENTED_EDGE('',*,*,#88768,.T.); +#95437=ORIENTED_EDGE('',*,*,#95421,.F.); +#95438=EDGE_LOOP('',(#95433,#95435,#95436,#95437)); +#95439=FACE_OUTER_BOUND('',#95438,.F.); +#95441=CARTESIAN_POINT('',(8.116666650772E0,8.415214945424E0, +-1.901343038463E1)); +#95442=DIRECTION('',(4.547771350481E-1,-7.295411323972E-1,-5.108302003386E-1)); +#95443=DIRECTION('',(8.906052758868E-1,3.725316198706E-1,2.608494484549E-1)); +#95444=AXIS2_PLACEMENT_3D('',#95441,#95442,#95443); +#95445=PLANE('',#95444); +#95446=ORIENTED_EDGE('',*,*,#71168,.F.); +#95447=ORIENTED_EDGE('',*,*,#94942,.T.); +#95448=ORIENTED_EDGE('',*,*,#88770,.T.); +#95449=ORIENTED_EDGE('',*,*,#95434,.F.); +#95450=EDGE_LOOP('',(#95446,#95447,#95448,#95449)); +#95451=FACE_OUTER_BOUND('',#95450,.F.); +#95453=CARTESIAN_POINT('',(8.666666662693E0,7.885380436242E0, +-1.938442450196E1)); +#95454=DIRECTION('',(-1.E0,0.E0,0.E0)); +#95455=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#95456=AXIS2_PLACEMENT_3D('',#95453,#95454,#95455); +#95457=PLANE('',#95456); +#95458=ORIENTED_EDGE('',*,*,#71248,.F.); +#95460=ORIENTED_EDGE('',*,*,#95459,.T.); +#95461=ORIENTED_EDGE('',*,*,#88780,.T.); +#95463=ORIENTED_EDGE('',*,*,#95462,.F.); +#95464=EDGE_LOOP('',(#95458,#95460,#95461,#95463)); +#95465=FACE_OUTER_BOUND('',#95464,.F.); +#95467=CARTESIAN_POINT('',(9.133333313465E0,8.582531087716E0, +-1.889627436053E1)); +#95468=DIRECTION('',(-8.768322825630E-1,3.938453283927E-1,2.757734678293E-1)); +#95469=DIRECTION('',(-4.807963688043E-1,-7.182589567601E-1,-5.029303359100E-1)); +#95470=AXIS2_PLACEMENT_3D('',#95467,#95468,#95469); +#95471=PLANE('',#95470); +#95472=ORIENTED_EDGE('',*,*,#71302,.F.); +#95474=ORIENTED_EDGE('',*,*,#95473,.T.); +#95475=ORIENTED_EDGE('',*,*,#88782,.T.); +#95476=ORIENTED_EDGE('',*,*,#95459,.F.); +#95477=EDGE_LOOP('',(#95472,#95474,#95475,#95476)); +#95478=FACE_OUTER_BOUND('',#95477,.F.); +#95480=CARTESIAN_POINT('',(9.166666615009E0,8.694075182578E0, +-1.881817034447E1)); +#95481=DIRECTION('',(-9.713212062349E-1,1.947707142006E-1,1.363799223058E-1)); +#95482=DIRECTION('',(-2.377711385310E-1,-7.956597517486E-1,-5.571269560244E-1)); +#95483=AXIS2_PLACEMENT_3D('',#95480,#95481,#95482); +#95484=PLANE('',#95483); +#95485=ORIENTED_EDGE('',*,*,#71300,.F.); +#95487=ORIENTED_EDGE('',*,*,#95486,.T.); +#95488=ORIENTED_EDGE('',*,*,#88784,.T.); +#95489=ORIENTED_EDGE('',*,*,#95473,.F.); +#95490=EDGE_LOOP('',(#95485,#95487,#95488,#95489)); +#95491=FACE_OUTER_BOUND('',#95490,.F.); +#95493=CARTESIAN_POINT('',(9.166666615009E0,8.749847308129E0, +-1.877911828173E1)); +#95494=DIRECTION('',(-1.E0,0.E0,0.E0)); +#95495=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#95496=AXIS2_PLACEMENT_3D('',#95493,#95494,#95495); +#95497=PLANE('',#95496); +#95498=ORIENTED_EDGE('',*,*,#71298,.F.); +#95500=ORIENTED_EDGE('',*,*,#95499,.T.); +#95501=ORIENTED_EDGE('',*,*,#88786,.T.); +#95502=ORIENTED_EDGE('',*,*,#95486,.F.); +#95503=EDGE_LOOP('',(#95498,#95500,#95501,#95502)); +#95504=FACE_OUTER_BOUND('',#95503,.F.); +#95506=CARTESIAN_POINT('',(9.133333313465E0,8.833505379275E0, +-1.872054026968E1)); +#95507=DIRECTION('',(-9.506452793929E-1,-2.541663304652E-1,-1.779691805508E-1)); +#95508=DIRECTION('',(3.102797975506E-1,-7.787230240084E-1,-5.452677315881E-1)); +#95509=AXIS2_PLACEMENT_3D('',#95506,#95507,#95508); +#95510=PLANE('',#95509); +#95511=ORIENTED_EDGE('',*,*,#71296,.F.); +#95513=ORIENTED_EDGE('',*,*,#95512,.T.); +#95514=ORIENTED_EDGE('',*,*,#88788,.T.); +#95515=ORIENTED_EDGE('',*,*,#95499,.F.); +#95516=EDGE_LOOP('',(#95511,#95513,#95514,#95515)); +#95517=FACE_OUTER_BOUND('',#95516,.F.); +#95519=CARTESIAN_POINT('',(9.083333313465E0,8.889277426706E0, +-1.868148826165E1)); +#95520=DIRECTION('',(-8.060043958311E-1,-4.848639168924E-1,-3.395053696140E-1)); +#95521=DIRECTION('',(5.919095487496E-1,-6.602401485509E-1,-4.623051290441E-1)); +#95522=AXIS2_PLACEMENT_3D('',#95519,#95520,#95521); +#95523=PLANE('',#95522); +#95524=ORIENTED_EDGE('',*,*,#71294,.F.); +#95526=ORIENTED_EDGE('',*,*,#95525,.T.); +#95527=ORIENTED_EDGE('',*,*,#88790,.T.); +#95528=ORIENTED_EDGE('',*,*,#95512,.F.); +#95529=EDGE_LOOP('',(#95524,#95526,#95527,#95528)); +#95530=FACE_OUTER_BOUND('',#95529,.F.); +#95532=CARTESIAN_POINT('',(9.000000011921E0,8.917163450421E0, +-1.866196225764E1)); +#95533=DIRECTION('',(-3.781727181043E-1,-7.583177642004E-1,-5.309798148515E-1)); +#95534=DIRECTION('',(9.257350567423E-1,-3.097809551294E-1,-2.169109599754E-1)); +#95535=AXIS2_PLACEMENT_3D('',#95532,#95533,#95534); +#95536=PLANE('',#95535); +#95537=ORIENTED_EDGE('',*,*,#71292,.F.); +#95539=ORIENTED_EDGE('',*,*,#95538,.T.); +#95540=ORIENTED_EDGE('',*,*,#88792,.T.); +#95541=ORIENTED_EDGE('',*,*,#95525,.F.); +#95542=EDGE_LOOP('',(#95537,#95539,#95540,#95541)); +#95543=FACE_OUTER_BOUND('',#95542,.F.); +#95545=CARTESIAN_POINT('',(8.933333313465E0,8.917163450421E0, +-1.866196225764E1)); +#95546=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#95547=DIRECTION('',(1.E0,0.E0,0.E0)); +#95548=AXIS2_PLACEMENT_3D('',#95545,#95546,#95547); +#95549=PLANE('',#95548); +#95550=ORIENTED_EDGE('',*,*,#71290,.F.); +#95552=ORIENTED_EDGE('',*,*,#95551,.T.); +#95553=ORIENTED_EDGE('',*,*,#88794,.T.); +#95554=ORIENTED_EDGE('',*,*,#95538,.F.); +#95555=EDGE_LOOP('',(#95550,#95552,#95553,#95554)); +#95556=FACE_OUTER_BOUND('',#95555,.F.); +#95558=CARTESIAN_POINT('',(8.850000011921E0,8.889277426706E0, +-1.868148826165E1)); +#95559=DIRECTION('',(3.781727181043E-1,-7.583177642004E-1,-5.309798148515E-1)); +#95560=DIRECTION('',(9.257350567423E-1,3.097809551294E-1,2.169109599754E-1)); +#95561=AXIS2_PLACEMENT_3D('',#95558,#95559,#95560); +#95562=PLANE('',#95561); +#95563=ORIENTED_EDGE('',*,*,#71288,.F.); +#95565=ORIENTED_EDGE('',*,*,#95564,.T.); +#95566=ORIENTED_EDGE('',*,*,#88796,.T.); +#95567=ORIENTED_EDGE('',*,*,#95551,.F.); +#95568=EDGE_LOOP('',(#95563,#95565,#95566,#95567)); +#95569=FACE_OUTER_BOUND('',#95568,.F.); +#95571=CARTESIAN_POINT('',(8.800000011921E0,8.833505379275E0, +-1.872054026968E1)); +#95572=DIRECTION('',(8.060043958311E-1,-4.848639168924E-1,-3.395053696140E-1)); +#95573=DIRECTION('',(5.919095487496E-1,6.602401485509E-1,4.623051290441E-1)); +#95574=AXIS2_PLACEMENT_3D('',#95571,#95572,#95573); +#95575=PLANE('',#95574); +#95576=ORIENTED_EDGE('',*,*,#71286,.F.); +#95578=ORIENTED_EDGE('',*,*,#95577,.T.); +#95579=ORIENTED_EDGE('',*,*,#88798,.T.); +#95580=ORIENTED_EDGE('',*,*,#95564,.F.); +#95581=EDGE_LOOP('',(#95576,#95578,#95579,#95580)); +#95582=FACE_OUTER_BOUND('',#95581,.F.); +#95584=CARTESIAN_POINT('',(8.766666615009E0,8.749847308129E0, +-1.877911828173E1)); +#95585=DIRECTION('',(9.506450175458E-1,-2.541669876334E-1,-1.779696407050E-1)); +#95586=DIRECTION('',(3.102805998049E-1,7.787228095158E-1,5.452675813988E-1)); +#95587=AXIS2_PLACEMENT_3D('',#95584,#95585,#95586); +#95588=PLANE('',#95587); +#95589=ORIENTED_EDGE('',*,*,#71284,.F.); +#95591=ORIENTED_EDGE('',*,*,#95590,.T.); +#95592=ORIENTED_EDGE('',*,*,#88800,.T.); +#95593=ORIENTED_EDGE('',*,*,#95577,.F.); +#95594=EDGE_LOOP('',(#95589,#95591,#95592,#95593)); +#95595=FACE_OUTER_BOUND('',#95594,.F.); +#95597=CARTESIAN_POINT('',(8.683333313465E0,8.749847308129E0, +-1.877911828173E1)); +#95598=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#95599=DIRECTION('',(1.E0,0.E0,0.E0)); +#95600=AXIS2_PLACEMENT_3D('',#95597,#95598,#95599); +#95601=PLANE('',#95600); +#95602=ORIENTED_EDGE('',*,*,#71282,.F.); +#95604=ORIENTED_EDGE('',*,*,#95603,.T.); +#95605=ORIENTED_EDGE('',*,*,#88802,.T.); +#95606=ORIENTED_EDGE('',*,*,#95590,.F.); +#95607=EDGE_LOOP('',(#95602,#95604,#95605,#95606)); +#95608=FACE_OUTER_BOUND('',#95607,.F.); +#95610=CARTESIAN_POINT('',(8.699999964237E0,8.861391402990E0, +-1.870101426567E1)); +#95611=DIRECTION('',(-9.925927636394E-1,9.951805862130E-2,6.968329483463E-2)); +#95612=DIRECTION('',(-1.214891170878E-1,-8.130843914816E-1,-5.693278201161E-1)); +#95613=AXIS2_PLACEMENT_3D('',#95610,#95611,#95612); +#95614=PLANE('',#95613); +#95615=ORIENTED_EDGE('',*,*,#71280,.F.); +#95617=ORIENTED_EDGE('',*,*,#95616,.T.); +#95618=ORIENTED_EDGE('',*,*,#88804,.T.); +#95619=ORIENTED_EDGE('',*,*,#95603,.F.); +#95620=EDGE_LOOP('',(#95615,#95617,#95618,#95619)); +#95621=FACE_OUTER_BOUND('',#95620,.F.); +#95623=CARTESIAN_POINT('',(8.766666615009E0,8.972935497852E0, +-1.862291024960E1)); +#95624=DIRECTION('',(-8.981381385730E-1,3.601921397337E-1,2.522092514454E-1)); +#95625=DIRECTION('',(-4.397134112585E-1,-7.357116922660E-1,-5.151508728737E-1)); +#95626=AXIS2_PLACEMENT_3D('',#95623,#95624,#95625); +#95627=PLANE('',#95626); +#95628=ORIENTED_EDGE('',*,*,#71278,.F.); +#95630=ORIENTED_EDGE('',*,*,#95629,.T.); +#95631=ORIENTED_EDGE('',*,*,#88806,.T.); +#95632=ORIENTED_EDGE('',*,*,#95616,.F.); +#95633=EDGE_LOOP('',(#95628,#95630,#95631,#95632)); +#95634=FACE_OUTER_BOUND('',#95633,.F.); +#95636=CARTESIAN_POINT('',(8.833333313465E0,9.028707545282E0, +-1.858385824157E1)); +#95637=DIRECTION('',(-7.145102180353E-1,5.730992534924E-1,4.012884174377E-1)); +#95638=DIRECTION('',(-6.996250055016E-1,-5.852925057690E-1,-4.098262245971E-1)); +#95639=AXIS2_PLACEMENT_3D('',#95636,#95637,#95638); +#95640=PLANE('',#95639); +#95641=ORIENTED_EDGE('',*,*,#71276,.F.); +#95643=ORIENTED_EDGE('',*,*,#95642,.T.); +#95644=ORIENTED_EDGE('',*,*,#88808,.T.); +#95645=ORIENTED_EDGE('',*,*,#95629,.F.); +#95646=EDGE_LOOP('',(#95641,#95643,#95644,#95645)); +#95647=FACE_OUTER_BOUND('',#95646,.F.); +#95649=CARTESIAN_POINT('',(8.950000011921E0,9.056593568998E0, +-1.856433223755E1)); +#95650=DIRECTION('',(-2.801119418023E-1,7.863592116540E-1,5.506146477408E-1)); +#95651=DIRECTION('',(-9.599673432257E-1,-2.294542697571E-1,-1.606656093583E-1)); +#95652=AXIS2_PLACEMENT_3D('',#95649,#95650,#95651); +#95653=PLANE('',#95652); +#95654=ORIENTED_EDGE('',*,*,#71274,.F.); +#95656=ORIENTED_EDGE('',*,*,#95655,.T.); +#95657=ORIENTED_EDGE('',*,*,#88810,.T.); +#95658=ORIENTED_EDGE('',*,*,#95642,.F.); +#95659=EDGE_LOOP('',(#95654,#95656,#95657,#95658)); +#95660=FACE_OUTER_BOUND('',#95659,.F.); +#95662=CARTESIAN_POINT('',(9.016666615009E0,9.056593568998E0, +-1.856433223755E1)); +#95663=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#95664=DIRECTION('',(-1.E0,0.E0,0.E0)); +#95665=AXIS2_PLACEMENT_3D('',#95662,#95663,#95664); +#95666=PLANE('',#95665); +#95667=ORIENTED_EDGE('',*,*,#71272,.F.); +#95669=ORIENTED_EDGE('',*,*,#95668,.T.); +#95670=ORIENTED_EDGE('',*,*,#88812,.T.); +#95671=ORIENTED_EDGE('',*,*,#95655,.F.); +#95672=EDGE_LOOP('',(#95667,#95669,#95670,#95671)); +#95673=FACE_OUTER_BOUND('',#95672,.F.); +#95675=CARTESIAN_POINT('',(9.116666615009E0,9.028707545282E0, +-1.858385824157E1)); +#95676=DIRECTION('',(3.222637633772E-1,7.754502153673E-1,5.429760863065E-1)); +#95677=DIRECTION('',(-9.466499177700E-1,2.639830205707E-1,1.848429009630E-1)); +#95678=AXIS2_PLACEMENT_3D('',#95675,#95676,#95677); +#95679=PLANE('',#95678); +#95680=ORIENTED_EDGE('',*,*,#71270,.F.); +#95682=ORIENTED_EDGE('',*,*,#95681,.T.); +#95683=ORIENTED_EDGE('',*,*,#88814,.T.); +#95684=ORIENTED_EDGE('',*,*,#95668,.F.); +#95685=EDGE_LOOP('',(#95680,#95682,#95683,#95684)); +#95686=FACE_OUTER_BOUND('',#95685,.F.); +#95688=CARTESIAN_POINT('',(9.183333313465E0,8.972935497852E0, +-1.862291024960E1)); +#95689=DIRECTION('',(7.145102180353E-1,5.730992534924E-1,4.012884174377E-1)); +#95690=DIRECTION('',(-6.996250055017E-1,5.852925057690E-1,4.098262245971E-1)); +#95691=AXIS2_PLACEMENT_3D('',#95688,#95689,#95690); +#95692=PLANE('',#95691); +#95693=ORIENTED_EDGE('',*,*,#71268,.F.); +#95695=ORIENTED_EDGE('',*,*,#95694,.T.); +#95696=ORIENTED_EDGE('',*,*,#88816,.T.); +#95697=ORIENTED_EDGE('',*,*,#95681,.F.); +#95698=EDGE_LOOP('',(#95693,#95695,#95696,#95697)); +#95699=FACE_OUTER_BOUND('',#95698,.F.); +#95701=CARTESIAN_POINT('',(9.250000011921E0,8.861391402990E0, +-1.870101426567E1)); +#95702=DIRECTION('',(8.981380143666E-1,3.601923475510E-1,2.522093969607E-1)); +#95703=DIRECTION('',(-4.397136649566E-1,7.357115905221E-1,5.151508016318E-1)); +#95704=AXIS2_PLACEMENT_3D('',#95701,#95702,#95703); +#95705=PLANE('',#95704); +#95706=ORIENTED_EDGE('',*,*,#71266,.F.); +#95708=ORIENTED_EDGE('',*,*,#95707,.T.); +#95709=ORIENTED_EDGE('',*,*,#88818,.T.); +#95710=ORIENTED_EDGE('',*,*,#95694,.F.); +#95711=EDGE_LOOP('',(#95706,#95708,#95709,#95710)); +#95712=FACE_OUTER_BOUND('',#95711,.F.); +#95714=CARTESIAN_POINT('',(9.266666615009E0,8.749847308129E0, +-1.877911828173E1)); +#95715=DIRECTION('',(9.925928055541E-1,9.951777809999E-2,6.968309841149E-2)); +#95716=DIRECTION('',(-1.214887746345E-1,8.130844258162E-1,5.693278441574E-1)); +#95717=AXIS2_PLACEMENT_3D('',#95714,#95715,#95716); +#95718=PLANE('',#95717); +#95719=ORIENTED_EDGE('',*,*,#71264,.F.); +#95721=ORIENTED_EDGE('',*,*,#95720,.T.); +#95722=ORIENTED_EDGE('',*,*,#88820,.T.); +#95723=ORIENTED_EDGE('',*,*,#95707,.F.); +#95724=EDGE_LOOP('',(#95719,#95721,#95722,#95723)); +#95725=FACE_OUTER_BOUND('',#95724,.F.); +#95727=CARTESIAN_POINT('',(9.266666615009E0,8.694075182578E0, +-1.881817034447E1)); +#95728=DIRECTION('',(1.E0,0.E0,0.E0)); +#95729=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#95730=AXIS2_PLACEMENT_3D('',#95727,#95728,#95729); +#95731=PLANE('',#95730); +#95732=ORIENTED_EDGE('',*,*,#71262,.F.); +#95734=ORIENTED_EDGE('',*,*,#95733,.T.); +#95735=ORIENTED_EDGE('',*,*,#88822,.T.); +#95736=ORIENTED_EDGE('',*,*,#95720,.F.); +#95737=EDGE_LOOP('',(#95732,#95734,#95735,#95736)); +#95738=FACE_OUTER_BOUND('',#95737,.F.); +#95740=CARTESIAN_POINT('',(9.250000011921E0,8.582531087716E0, +-1.889627436053E1)); +#95741=DIRECTION('',(9.925928055541E-1,-9.951777809999E-2,-6.968309841150E-2)); +#95742=DIRECTION('',(1.214887746345E-1,8.130844258162E-1,5.693278441574E-1)); +#95743=AXIS2_PLACEMENT_3D('',#95740,#95741,#95742); +#95744=PLANE('',#95743); +#95745=ORIENTED_EDGE('',*,*,#71260,.F.); +#95747=ORIENTED_EDGE('',*,*,#95746,.T.); +#95748=ORIENTED_EDGE('',*,*,#88824,.T.); +#95749=ORIENTED_EDGE('',*,*,#95733,.F.); +#95750=EDGE_LOOP('',(#95745,#95747,#95748,#95749)); +#95751=FACE_OUTER_BOUND('',#95750,.F.); +#95753=CARTESIAN_POINT('',(9.216666615009E0,8.498873016570E0, +-1.895485237258E1)); +#95754=DIRECTION('',(9.506450175458E-1,-2.541669876334E-1,-1.779696407050E-1)); +#95755=DIRECTION('',(3.102805998050E-1,7.787228095158E-1,5.452675813988E-1)); +#95756=AXIS2_PLACEMENT_3D('',#95753,#95754,#95755); +#95757=PLANE('',#95756); +#95758=ORIENTED_EDGE('',*,*,#71258,.F.); +#95760=ORIENTED_EDGE('',*,*,#95759,.T.); +#95761=ORIENTED_EDGE('',*,*,#88826,.T.); +#95762=ORIENTED_EDGE('',*,*,#95746,.F.); +#95763=EDGE_LOOP('',(#95758,#95760,#95761,#95762)); +#95764=FACE_OUTER_BOUND('',#95763,.F.); +#95766=CARTESIAN_POINT('',(8.800000011921E0,7.885380436242E0, +-1.938442450196E1)); +#95767=DIRECTION('',(8.738643881073E-1,-3.982469491187E-1,-2.788555158419E-1)); +#95768=DIRECTION('',(4.861697555359E-1,7.158277999495E-1,5.012280215847E-1)); +#95769=AXIS2_PLACEMENT_3D('',#95766,#95767,#95768); +#95770=PLANE('',#95769); +#95771=ORIENTED_EDGE('',*,*,#71256,.F.); +#95773=ORIENTED_EDGE('',*,*,#95772,.T.); +#95774=ORIENTED_EDGE('',*,*,#88828,.T.); +#95775=ORIENTED_EDGE('',*,*,#95759,.F.); +#95776=EDGE_LOOP('',(#95771,#95773,#95774,#95775)); +#95777=FACE_OUTER_BOUND('',#95776,.F.); +#95779=CARTESIAN_POINT('',(9.266666615009E0,7.885380436242E0, +-1.938442450196E1)); +#95780=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#95781=DIRECTION('',(-1.E0,0.E0,0.E0)); +#95782=AXIS2_PLACEMENT_3D('',#95779,#95780,#95781); +#95783=PLANE('',#95782); +#95784=ORIENTED_EDGE('',*,*,#71254,.F.); +#95786=ORIENTED_EDGE('',*,*,#95785,.T.); +#95787=ORIENTED_EDGE('',*,*,#88830,.T.); +#95788=ORIENTED_EDGE('',*,*,#95772,.F.); +#95789=EDGE_LOOP('',(#95784,#95786,#95787,#95788)); +#95790=FACE_OUTER_BOUND('',#95789,.F.); +#95792=CARTESIAN_POINT('',(9.266666615009E0,7.745950298135E0, +-1.948205453572E1)); +#95793=DIRECTION('',(1.E0,0.E0,0.E0)); +#95794=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#95795=AXIS2_PLACEMENT_3D('',#95792,#95793,#95794); +#95796=PLANE('',#95795); +#95797=ORIENTED_EDGE('',*,*,#71252,.F.); +#95799=ORIENTED_EDGE('',*,*,#95798,.T.); +#95800=ORIENTED_EDGE('',*,*,#88832,.T.); +#95801=ORIENTED_EDGE('',*,*,#95785,.F.); +#95802=EDGE_LOOP('',(#95797,#95799,#95800,#95801)); +#95803=FACE_OUTER_BOUND('',#95802,.F.); +#95805=CARTESIAN_POINT('',(8.666666662693E0,7.745950298135E0, +-1.948205453572E1)); +#95806=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#95807=DIRECTION('',(1.E0,0.E0,0.E0)); +#95808=AXIS2_PLACEMENT_3D('',#95805,#95806,#95807); +#95809=PLANE('',#95808); +#95810=ORIENTED_EDGE('',*,*,#71250,.F.); +#95811=ORIENTED_EDGE('',*,*,#95462,.T.); +#95812=ORIENTED_EDGE('',*,*,#88834,.T.); +#95813=ORIENTED_EDGE('',*,*,#95798,.F.); +#95814=EDGE_LOOP('',(#95810,#95811,#95812,#95813)); +#95815=FACE_OUTER_BOUND('',#95814,.F.); +#95817=CARTESIAN_POINT('',(2.630000001192E1,8.917163450421E0, +-1.866196225764E1)); +#95818=DIRECTION('',(1.E0,0.E0,0.E0)); +#95819=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#95820=AXIS2_PLACEMENT_3D('',#95817,#95818,#95819); +#95821=PLANE('',#95820); +#95822=ORIENTED_EDGE('',*,*,#82826,.F.); +#95824=ORIENTED_EDGE('',*,*,#95823,.T.); +#95825=ORIENTED_EDGE('',*,*,#88844,.T.); +#95827=ORIENTED_EDGE('',*,*,#95826,.F.); +#95828=EDGE_LOOP('',(#95822,#95824,#95825,#95827)); +#95829=FACE_OUTER_BOUND('',#95828,.F.); +#95831=CARTESIAN_POINT('',(2.596666668653E1,7.745950298135E0, +-1.948205453572E1)); +#95832=DIRECTION('',(9.738839536273E-1,-1.859854814241E-1,-1.302284360908E-1)); +#95833=DIRECTION('',(2.270463496012E-1,7.977590315141E-1,5.585968875410E-1)); +#95834=AXIS2_PLACEMENT_3D('',#95831,#95832,#95833); +#95835=PLANE('',#95834); +#95836=ORIENTED_EDGE('',*,*,#82838,.F.); +#95838=ORIENTED_EDGE('',*,*,#95837,.T.); +#95839=ORIENTED_EDGE('',*,*,#88846,.T.); +#95840=ORIENTED_EDGE('',*,*,#95823,.F.); +#95841=EDGE_LOOP('',(#95836,#95838,#95839,#95840)); +#95842=FACE_OUTER_BOUND('',#95841,.F.); +#95844=CARTESIAN_POINT('',(2.586666667461E1,7.745950298135E0, +-1.948205453572E1)); +#95845=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#95846=DIRECTION('',(1.E0,0.E0,0.E0)); +#95847=AXIS2_PLACEMENT_3D('',#95844,#95845,#95846); +#95848=PLANE('',#95847); +#95849=ORIENTED_EDGE('',*,*,#82836,.F.); +#95851=ORIENTED_EDGE('',*,*,#95850,.T.); +#95852=ORIENTED_EDGE('',*,*,#88848,.T.); +#95853=ORIENTED_EDGE('',*,*,#95837,.F.); +#95854=EDGE_LOOP('',(#95849,#95851,#95852,#95853)); +#95855=FACE_OUTER_BOUND('',#95854,.F.); +#95857=CARTESIAN_POINT('',(2.620000001192E1,8.917163450421E0, +-1.866196225764E1)); +#95858=DIRECTION('',(-9.738839518319E-1,1.859854877326E-1,1.302284405080E-1)); +#95859=DIRECTION('',(-2.270463573024E-1,-7.977590300433E-1,-5.585968865112E-1)); +#95860=AXIS2_PLACEMENT_3D('',#95857,#95858,#95859); +#95861=PLANE('',#95860); +#95862=ORIENTED_EDGE('',*,*,#82834,.F.); +#95864=ORIENTED_EDGE('',*,*,#95863,.T.); +#95865=ORIENTED_EDGE('',*,*,#88850,.T.); +#95866=ORIENTED_EDGE('',*,*,#95850,.F.); +#95867=EDGE_LOOP('',(#95862,#95864,#95865,#95866)); +#95868=FACE_OUTER_BOUND('',#95867,.F.); +#95870=CARTESIAN_POINT('',(2.570000001192E1,8.917163450421E0, +-1.866196225764E1)); +#95871=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#95872=DIRECTION('',(1.E0,0.E0,0.E0)); +#95873=AXIS2_PLACEMENT_3D('',#95870,#95871,#95872); +#95874=PLANE('',#95873); +#95875=ORIENTED_EDGE('',*,*,#82832,.F.); +#95877=ORIENTED_EDGE('',*,*,#95876,.T.); +#95878=ORIENTED_EDGE('',*,*,#88852,.T.); +#95879=ORIENTED_EDGE('',*,*,#95863,.F.); +#95880=EDGE_LOOP('',(#95875,#95877,#95878,#95879)); +#95881=FACE_OUTER_BOUND('',#95880,.F.); +#95883=CARTESIAN_POINT('',(2.570000001192E1,9.056593568998E0, +-1.856433223755E1)); +#95884=DIRECTION('',(-1.E0,0.E0,0.E0)); +#95885=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#95886=AXIS2_PLACEMENT_3D('',#95883,#95884,#95885); +#95887=PLANE('',#95886); +#95888=ORIENTED_EDGE('',*,*,#82830,.F.); +#95890=ORIENTED_EDGE('',*,*,#95889,.T.); +#95891=ORIENTED_EDGE('',*,*,#88854,.T.); +#95892=ORIENTED_EDGE('',*,*,#95876,.F.); +#95893=EDGE_LOOP('',(#95888,#95890,#95891,#95892)); +#95894=FACE_OUTER_BOUND('',#95893,.F.); +#95896=CARTESIAN_POINT('',(2.630000001192E1,9.056593568998E0, +-1.856433223755E1)); +#95897=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#95898=DIRECTION('',(-1.E0,0.E0,0.E0)); +#95899=AXIS2_PLACEMENT_3D('',#95896,#95897,#95898); +#95900=PLANE('',#95899); +#95901=ORIENTED_EDGE('',*,*,#82828,.F.); +#95902=ORIENTED_EDGE('',*,*,#95826,.T.); +#95903=ORIENTED_EDGE('',*,*,#88856,.T.); +#95904=ORIENTED_EDGE('',*,*,#95889,.F.); +#95905=EDGE_LOOP('',(#95901,#95902,#95903,#95904)); +#95906=FACE_OUTER_BOUND('',#95905,.F.); +#95908=CARTESIAN_POINT('',(2.683333331347E1,9.056593568998E0, +-1.856433223755E1)); +#95909=DIRECTION('',(-9.169350404902E-1,3.268715679942E-1,2.288779359360E-1)); +#95910=DIRECTION('',(-3.990365039960E-1,-7.511092128977E-1,-5.259323328897E-1)); +#95911=AXIS2_PLACEMENT_3D('',#95908,#95909,#95910); +#95912=PLANE('',#95911); +#95913=ORIENTED_EDGE('',*,*,#82842,.F.); +#95915=ORIENTED_EDGE('',*,*,#95914,.T.); +#95916=ORIENTED_EDGE('',*,*,#88866,.T.); +#95918=ORIENTED_EDGE('',*,*,#95917,.F.); +#95919=EDGE_LOOP('',(#95913,#95915,#95916,#95918)); +#95920=FACE_OUTER_BOUND('',#95919,.F.); +#95922=CARTESIAN_POINT('',(2.693333331347E1,9.056593568998E0, +-1.856433223755E1)); +#95923=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#95924=DIRECTION('',(-1.E0,0.E0,0.E0)); +#95925=AXIS2_PLACEMENT_3D('',#95922,#95923,#95924); +#95926=PLANE('',#95925); +#95927=ORIENTED_EDGE('',*,*,#82862,.F.); +#95929=ORIENTED_EDGE('',*,*,#95928,.T.); +#95930=ORIENTED_EDGE('',*,*,#88868,.T.); +#95931=ORIENTED_EDGE('',*,*,#95914,.F.); +#95932=EDGE_LOOP('',(#95927,#95929,#95930,#95931)); +#95933=FACE_OUTER_BOUND('',#95932,.F.); +#95935=CARTESIAN_POINT('',(2.693333331347E1,8.303670850563E0, +-1.909153440069E1)); +#95936=DIRECTION('',(1.E0,0.E0,0.E0)); +#95937=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#95938=AXIS2_PLACEMENT_3D('',#95935,#95936,#95937); +#95939=PLANE('',#95938); +#95940=ORIENTED_EDGE('',*,*,#82860,.F.); +#95942=ORIENTED_EDGE('',*,*,#95941,.T.); +#95943=ORIENTED_EDGE('',*,*,#88870,.T.); +#95944=ORIENTED_EDGE('',*,*,#95928,.F.); +#95945=EDGE_LOOP('',(#95940,#95942,#95943,#95944)); +#95946=FACE_OUTER_BOUND('',#95945,.F.); +#95948=CARTESIAN_POINT('',(2.710000001192E1,8.303670850563E0, +-1.909153440069E1)); +#95949=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#95950=DIRECTION('',(-1.E0,0.E0,0.E0)); +#95951=AXIS2_PLACEMENT_3D('',#95948,#95949,#95950); +#95952=PLANE('',#95951); +#95953=ORIENTED_EDGE('',*,*,#82858,.F.); +#95955=ORIENTED_EDGE('',*,*,#95954,.T.); +#95956=ORIENTED_EDGE('',*,*,#88872,.T.); +#95957=ORIENTED_EDGE('',*,*,#95941,.F.); +#95958=EDGE_LOOP('',(#95953,#95955,#95956,#95957)); +#95959=FACE_OUTER_BOUND('',#95958,.F.); +#95961=CARTESIAN_POINT('',(2.710000001192E1,8.136354669210E0, +-1.920869045214E1)); +#95962=DIRECTION('',(1.E0,0.E0,0.E0)); +#95963=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#95964=AXIS2_PLACEMENT_3D('',#95961,#95962,#95963); +#95965=PLANE('',#95964); +#95966=ORIENTED_EDGE('',*,*,#82856,.F.); +#95968=ORIENTED_EDGE('',*,*,#95967,.T.); +#95969=ORIENTED_EDGE('',*,*,#88874,.T.); +#95970=ORIENTED_EDGE('',*,*,#95954,.F.); +#95971=EDGE_LOOP('',(#95966,#95968,#95969,#95970)); +#95972=FACE_OUTER_BOUND('',#95971,.F.); +#95974=CARTESIAN_POINT('',(2.693333331347E1,8.136354669210E0, +-1.920869045214E1)); +#95975=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#95976=DIRECTION('',(1.E0,0.E0,0.E0)); +#95977=AXIS2_PLACEMENT_3D('',#95974,#95975,#95976); +#95978=PLANE('',#95977); +#95979=ORIENTED_EDGE('',*,*,#82854,.F.); +#95981=ORIENTED_EDGE('',*,*,#95980,.T.); +#95982=ORIENTED_EDGE('',*,*,#88876,.T.); +#95983=ORIENTED_EDGE('',*,*,#95967,.F.); +#95984=EDGE_LOOP('',(#95979,#95981,#95982,#95983)); +#95985=FACE_OUTER_BOUND('',#95984,.F.); +#95987=CARTESIAN_POINT('',(2.693333331347E1,7.745950298135E0, +-1.948205453572E1)); +#95988=DIRECTION('',(1.E0,0.E0,0.E0)); +#95989=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#95990=AXIS2_PLACEMENT_3D('',#95987,#95988,#95989); +#95991=PLANE('',#95990); +#95992=ORIENTED_EDGE('',*,*,#82852,.F.); +#95994=ORIENTED_EDGE('',*,*,#95993,.T.); +#95995=ORIENTED_EDGE('',*,*,#88878,.T.); +#95996=ORIENTED_EDGE('',*,*,#95980,.F.); +#95997=EDGE_LOOP('',(#95992,#95994,#95995,#95996)); +#95998=FACE_OUTER_BOUND('',#95997,.F.); +#96000=CARTESIAN_POINT('',(2.683333331347E1,7.745950298135E0, +-1.948205453572E1)); +#96001=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#96002=DIRECTION('',(1.E0,0.E0,0.E0)); +#96003=AXIS2_PLACEMENT_3D('',#96000,#96001,#96002); +#96004=PLANE('',#96003); +#96005=ORIENTED_EDGE('',*,*,#82850,.F.); +#96007=ORIENTED_EDGE('',*,*,#96006,.T.); +#96008=ORIENTED_EDGE('',*,*,#88880,.T.); +#96009=ORIENTED_EDGE('',*,*,#95993,.F.); +#96010=EDGE_LOOP('',(#96005,#96007,#96008,#96009)); +#96011=FACE_OUTER_BOUND('',#96010,.F.); +#96013=CARTESIAN_POINT('',(2.683333331347E1,8.136354669210E0, +-1.920869045214E1)); +#96014=DIRECTION('',(-1.E0,0.E0,0.E0)); +#96015=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#96016=AXIS2_PLACEMENT_3D('',#96013,#96014,#96015); +#96017=PLANE('',#96016); +#96018=ORIENTED_EDGE('',*,*,#82848,.F.); +#96020=ORIENTED_EDGE('',*,*,#96019,.T.); +#96021=ORIENTED_EDGE('',*,*,#88882,.T.); +#96022=ORIENTED_EDGE('',*,*,#96006,.F.); +#96023=EDGE_LOOP('',(#96018,#96020,#96021,#96022)); +#96024=FACE_OUTER_BOUND('',#96023,.F.); +#96026=CARTESIAN_POINT('',(2.643333336115E1,8.136354669210E0, +-1.920869045214E1)); +#96027=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#96028=DIRECTION('',(1.E0,0.E0,0.E0)); +#96029=AXIS2_PLACEMENT_3D('',#96026,#96027,#96028); +#96030=PLANE('',#96029); +#96031=ORIENTED_EDGE('',*,*,#82846,.F.); +#96033=ORIENTED_EDGE('',*,*,#96032,.T.); +#96034=ORIENTED_EDGE('',*,*,#88884,.T.); +#96035=ORIENTED_EDGE('',*,*,#96019,.F.); +#96036=EDGE_LOOP('',(#96031,#96033,#96034,#96035)); +#96037=FACE_OUTER_BOUND('',#96036,.F.); +#96039=CARTESIAN_POINT('',(2.643333336115E1,8.303670850563E0, +-1.909153440069E1)); +#96040=DIRECTION('',(-1.E0,0.E0,0.E0)); +#96041=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#96042=AXIS2_PLACEMENT_3D('',#96039,#96040,#96041); +#96043=PLANE('',#96042); +#96044=ORIENTED_EDGE('',*,*,#82844,.F.); +#96045=ORIENTED_EDGE('',*,*,#95917,.T.); +#96046=ORIENTED_EDGE('',*,*,#88886,.T.); +#96047=ORIENTED_EDGE('',*,*,#96032,.F.); +#96048=EDGE_LOOP('',(#96044,#96045,#96046,#96047)); +#96049=FACE_OUTER_BOUND('',#96048,.F.); +#96051=CARTESIAN_POINT('',(2.655000001192E1,8.303670850563E0, +-1.909153440069E1)); +#96052=DIRECTION('',(9.159728522706E-1,-3.286767666321E-1,-2.301419496302E-1)); +#96053=DIRECTION('',(4.012402446207E-1,7.503210344507E-1,5.253804443997E-1)); +#96054=AXIS2_PLACEMENT_3D('',#96051,#96052,#96053); +#96055=PLANE('',#96054); +#96056=ORIENTED_EDGE('',*,*,#82872,.F.); +#96058=ORIENTED_EDGE('',*,*,#96057,.T.); +#96059=ORIENTED_EDGE('',*,*,#88890,.T.); +#96061=ORIENTED_EDGE('',*,*,#96060,.F.); +#96062=EDGE_LOOP('',(#96056,#96058,#96059,#96061)); +#96063=FACE_OUTER_BOUND('',#96062,.F.); +#96065=CARTESIAN_POINT('',(2.683333331347E1,8.303670850563E0, +-1.909153440069E1)); +#96066=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#96067=DIRECTION('',(-1.E0,0.E0,0.E0)); +#96068=AXIS2_PLACEMENT_3D('',#96065,#96066,#96067); +#96069=PLANE('',#96068); +#96070=ORIENTED_EDGE('',*,*,#82876,.F.); +#96072=ORIENTED_EDGE('',*,*,#96071,.T.); +#96073=ORIENTED_EDGE('',*,*,#88892,.T.); +#96074=ORIENTED_EDGE('',*,*,#96057,.F.); +#96075=EDGE_LOOP('',(#96070,#96072,#96073,#96074)); +#96076=FACE_OUTER_BOUND('',#96075,.F.); +#96078=CARTESIAN_POINT('',(2.683333331347E1,8.833505379275E0, +-1.872054026968E1)); +#96079=DIRECTION('',(-1.E0,0.E0,0.E0)); +#96080=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#96081=AXIS2_PLACEMENT_3D('',#96078,#96079,#96080); +#96082=PLANE('',#96081); +#96083=ORIENTED_EDGE('',*,*,#82874,.F.); +#96084=ORIENTED_EDGE('',*,*,#96060,.T.); +#96085=ORIENTED_EDGE('',*,*,#88894,.T.); +#96086=ORIENTED_EDGE('',*,*,#96071,.F.); +#96087=EDGE_LOOP('',(#96083,#96084,#96085,#96086)); +#96088=FACE_OUTER_BOUND('',#96087,.F.); +#96090=CARTESIAN_POINT('',(5.179999998808E1,7.885380436242E0, +-1.938442450196E1)); +#96091=DIRECTION('',(4.547770705465E-1,7.295411593776E-1,5.108302192305E-1)); +#96092=DIRECTION('',(-8.906053088238E-1,3.725315670339E-1,2.608494114582E-1)); +#96093=AXIS2_PLACEMENT_3D('',#96090,#96091,#96092); +#96094=PLANE('',#96093); +#96095=ORIENTED_EDGE('',*,*,#85519,.F.); +#96097=ORIENTED_EDGE('',*,*,#96096,.T.); +#96098=ORIENTED_EDGE('',*,*,#88940,.T.); +#96100=ORIENTED_EDGE('',*,*,#96099,.F.); +#96101=EDGE_LOOP('',(#96095,#96097,#96098,#96100)); +#96102=FACE_OUTER_BOUND('',#96101,.F.); +#96104=CARTESIAN_POINT('',(5.186666663885E1,7.885380436242E0, +-1.938442450196E1)); +#96105=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#96106=DIRECTION('',(-1.E0,0.E0,0.E0)); +#96107=AXIS2_PLACEMENT_3D('',#96104,#96105,#96106); +#96108=PLANE('',#96107); +#96109=ORIENTED_EDGE('',*,*,#85549,.F.); +#96111=ORIENTED_EDGE('',*,*,#96110,.T.); +#96112=ORIENTED_EDGE('',*,*,#88942,.T.); +#96113=ORIENTED_EDGE('',*,*,#96096,.F.); +#96114=EDGE_LOOP('',(#96109,#96111,#96112,#96113)); +#96115=FACE_OUTER_BOUND('',#96114,.F.); +#96117=CARTESIAN_POINT('',(5.193333331347E1,7.913266459958E0, +-1.936489849794E1)); +#96118=DIRECTION('',(-4.547770705465E-1,7.295411593776E-1,5.108302192305E-1)); +#96119=DIRECTION('',(-8.906053088238E-1,-3.725315670339E-1,-2.608494114582E-1)); +#96120=AXIS2_PLACEMENT_3D('',#96117,#96118,#96119); +#96121=PLANE('',#96120); +#96122=ORIENTED_EDGE('',*,*,#85547,.F.); +#96124=ORIENTED_EDGE('',*,*,#96123,.T.); +#96125=ORIENTED_EDGE('',*,*,#88944,.T.); +#96126=ORIENTED_EDGE('',*,*,#96110,.F.); +#96127=EDGE_LOOP('',(#96122,#96124,#96125,#96126)); +#96128=FACE_OUTER_BOUND('',#96127,.F.); +#96130=CARTESIAN_POINT('',(5.199999996424E1,7.969038507388E0, +-1.932584648991E1)); +#96131=DIRECTION('',(-7.145104681852E-1,5.730990442218E-1,4.012882709049E-1)); +#96132=DIRECTION('',(-6.996247500295E-1,-5.852927106798E-1,-4.098263680772E-1)); +#96133=AXIS2_PLACEMENT_3D('',#96130,#96131,#96132); +#96134=PLANE('',#96133); +#96135=ORIENTED_EDGE('',*,*,#85545,.F.); +#96137=ORIENTED_EDGE('',*,*,#96136,.T.); +#96138=ORIENTED_EDGE('',*,*,#88946,.T.); +#96139=ORIENTED_EDGE('',*,*,#96123,.F.); +#96140=EDGE_LOOP('',(#96135,#96137,#96138,#96139)); +#96141=FACE_OUTER_BOUND('',#96140,.F.); +#96143=CARTESIAN_POINT('',(5.204999996424E1,8.080582621780E0, +-1.924774246017E1)); +#96144=DIRECTION('',(-9.387181995115E-1,2.823498889930E-1,1.977035206856E-1)); +#96145=DIRECTION('',(-3.446855696223E-1,-7.689529321411E-1,-5.384266396137E-1)); +#96146=AXIS2_PLACEMENT_3D('',#96143,#96144,#96145); +#96147=PLANE('',#96146); +#96148=ORIENTED_EDGE('',*,*,#85543,.F.); +#96150=ORIENTED_EDGE('',*,*,#96149,.T.); +#96151=ORIENTED_EDGE('',*,*,#88948,.T.); +#96152=ORIENTED_EDGE('',*,*,#96136,.F.); +#96153=EDGE_LOOP('',(#96148,#96150,#96151,#96152)); +#96154=FACE_OUTER_BOUND('',#96153,.F.); +#96156=CARTESIAN_POINT('',(5.204999996424E1,8.164240692926E0, +-1.918916444812E1)); +#96157=DIRECTION('',(-1.E0,0.E0,0.E0)); +#96158=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#96159=AXIS2_PLACEMENT_3D('',#96156,#96157,#96158); +#96160=PLANE('',#96159); +#96161=ORIENTED_EDGE('',*,*,#85541,.F.); +#96163=ORIENTED_EDGE('',*,*,#96162,.T.); +#96164=ORIENTED_EDGE('',*,*,#88950,.T.); +#96165=ORIENTED_EDGE('',*,*,#96149,.F.); +#96166=EDGE_LOOP('',(#96161,#96163,#96164,#96165)); +#96167=FACE_OUTER_BOUND('',#96166,.F.); +#96169=CARTESIAN_POINT('',(5.199999996424E1,8.275784826847E0, +-1.911106040471E1)); +#96170=DIRECTION('',(-9.387182190387E-1,-2.823498454302E-1,-1.977034901826E-1)); +#96171=DIRECTION('',(3.446855164419E-1,-7.689529481369E-1,-5.384266508140E-1)); +#96172=AXIS2_PLACEMENT_3D('',#96169,#96170,#96171); +#96173=PLANE('',#96172); +#96174=ORIENTED_EDGE('',*,*,#85539,.F.); +#96176=ORIENTED_EDGE('',*,*,#96175,.T.); +#96177=ORIENTED_EDGE('',*,*,#88952,.T.); +#96178=ORIENTED_EDGE('',*,*,#96162,.F.); +#96179=EDGE_LOOP('',(#96174,#96176,#96177,#96178)); +#96180=FACE_OUTER_BOUND('',#96179,.F.); +#96182=CARTESIAN_POINT('',(5.193333331347E1,8.331556874278E0, +-1.907200839668E1)); +#96183=DIRECTION('',(-7.145104681852E-1,-5.730990442218E-1,-4.012882709049E-1)); +#96184=DIRECTION('',(6.996247500295E-1,-5.852927106798E-1,-4.098263680772E-1)); +#96185=AXIS2_PLACEMENT_3D('',#96182,#96183,#96184); +#96186=PLANE('',#96185); +#96187=ORIENTED_EDGE('',*,*,#85537,.F.); +#96189=ORIENTED_EDGE('',*,*,#96188,.T.); +#96190=ORIENTED_EDGE('',*,*,#88954,.T.); +#96191=ORIENTED_EDGE('',*,*,#96175,.F.); +#96192=EDGE_LOOP('',(#96187,#96189,#96190,#96191)); +#96193=FACE_OUTER_BOUND('',#96192,.F.); +#96195=CARTESIAN_POINT('',(5.186666663885E1,8.359442897993E0, +-1.905248239266E1)); +#96196=DIRECTION('',(-4.547770705465E-1,-7.295411593776E-1,-5.108302192305E-1)); +#96197=DIRECTION('',(8.906053088238E-1,-3.725315670339E-1,-2.608494114582E-1)); +#96198=AXIS2_PLACEMENT_3D('',#96195,#96196,#96197); +#96199=PLANE('',#96198); +#96200=ORIENTED_EDGE('',*,*,#85535,.F.); +#96202=ORIENTED_EDGE('',*,*,#96201,.T.); +#96203=ORIENTED_EDGE('',*,*,#88956,.T.); +#96204=ORIENTED_EDGE('',*,*,#96188,.F.); +#96205=EDGE_LOOP('',(#96200,#96202,#96203,#96204)); +#96206=FACE_OUTER_BOUND('',#96205,.F.); +#96208=CARTESIAN_POINT('',(5.179999998808E1,8.359442897993E0, +-1.905248239266E1)); +#96209=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#96210=DIRECTION('',(1.E0,0.E0,0.E0)); +#96211=AXIS2_PLACEMENT_3D('',#96208,#96209,#96210); +#96212=PLANE('',#96211); +#96213=ORIENTED_EDGE('',*,*,#85533,.F.); +#96215=ORIENTED_EDGE('',*,*,#96214,.T.); +#96216=ORIENTED_EDGE('',*,*,#88958,.T.); +#96217=ORIENTED_EDGE('',*,*,#96201,.F.); +#96218=EDGE_LOOP('',(#96213,#96215,#96216,#96217)); +#96219=FACE_OUTER_BOUND('',#96218,.F.); +#96221=CARTESIAN_POINT('',(5.173333331347E1,8.331556874278E0, +-1.907200839668E1)); +#96222=DIRECTION('',(4.547770705465E-1,-7.295411593776E-1,-5.108302192305E-1)); +#96223=DIRECTION('',(8.906053088238E-1,3.725315670339E-1,2.608494114582E-1)); +#96224=AXIS2_PLACEMENT_3D('',#96221,#96222,#96223); +#96225=PLANE('',#96224); +#96226=ORIENTED_EDGE('',*,*,#85531,.F.); +#96228=ORIENTED_EDGE('',*,*,#96227,.T.); +#96229=ORIENTED_EDGE('',*,*,#88960,.T.); +#96230=ORIENTED_EDGE('',*,*,#96214,.F.); +#96231=EDGE_LOOP('',(#96226,#96228,#96229,#96230)); +#96232=FACE_OUTER_BOUND('',#96231,.F.); +#96234=CARTESIAN_POINT('',(5.166666665077E1,8.275784826847E0, +-1.911106040471E1)); +#96235=DIRECTION('',(7.145104056477E-1,-5.730990965395E-1,-4.012883075381E-1)); +#96236=DIRECTION('',(6.996248138976E-1,5.852926594520E-1,4.098263322071E-1)); +#96237=AXIS2_PLACEMENT_3D('',#96234,#96235,#96236); +#96238=PLANE('',#96237); +#96239=ORIENTED_EDGE('',*,*,#85529,.F.); +#96241=ORIENTED_EDGE('',*,*,#96240,.T.); +#96242=ORIENTED_EDGE('',*,*,#88962,.T.); +#96243=ORIENTED_EDGE('',*,*,#96227,.F.); +#96244=EDGE_LOOP('',(#96239,#96241,#96242,#96243)); +#96245=FACE_OUTER_BOUND('',#96244,.F.); +#96247=CARTESIAN_POINT('',(5.161666664481E1,8.164240692926E0, +-1.918916444812E1)); +#96248=DIRECTION('',(9.387182057436E-1,-2.823498750900E-1,-1.977035109506E-1)); +#96249=DIRECTION('',(3.446855526499E-1,7.689529372461E-1,5.384266431882E-1)); +#96250=AXIS2_PLACEMENT_3D('',#96247,#96248,#96249); +#96251=PLANE('',#96250); +#96252=ORIENTED_EDGE('',*,*,#85527,.F.); +#96254=ORIENTED_EDGE('',*,*,#96253,.T.); +#96255=ORIENTED_EDGE('',*,*,#88964,.T.); +#96256=ORIENTED_EDGE('',*,*,#96240,.F.); +#96257=EDGE_LOOP('',(#96252,#96254,#96255,#96256)); +#96258=FACE_OUTER_BOUND('',#96257,.F.); +#96260=CARTESIAN_POINT('',(5.161666664481E1,8.080582621780E0, +-1.924774246017E1)); +#96261=DIRECTION('',(1.E0,0.E0,0.E0)); +#96262=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#96263=AXIS2_PLACEMENT_3D('',#96260,#96261,#96262); +#96264=PLANE('',#96263); +#96265=ORIENTED_EDGE('',*,*,#85525,.F.); +#96267=ORIENTED_EDGE('',*,*,#96266,.T.); +#96268=ORIENTED_EDGE('',*,*,#88966,.T.); +#96269=ORIENTED_EDGE('',*,*,#96253,.F.); +#96270=EDGE_LOOP('',(#96265,#96267,#96268,#96269)); +#96271=FACE_OUTER_BOUND('',#96270,.F.); +#96273=CARTESIAN_POINT('',(5.166666665077E1,7.969038507388E0, +-1.932584648991E1)); +#96274=DIRECTION('',(9.387181862164E-1,2.823499186529E-1,1.977035414536E-1)); +#96275=DIRECTION('',(-3.446856058303E-1,7.689529212504E-1,5.384266319879E-1)); +#96276=AXIS2_PLACEMENT_3D('',#96273,#96274,#96275); +#96277=PLANE('',#96276); +#96278=ORIENTED_EDGE('',*,*,#85523,.F.); +#96280=ORIENTED_EDGE('',*,*,#96279,.T.); +#96281=ORIENTED_EDGE('',*,*,#88968,.T.); +#96282=ORIENTED_EDGE('',*,*,#96266,.F.); +#96283=EDGE_LOOP('',(#96278,#96280,#96281,#96282)); +#96284=FACE_OUTER_BOUND('',#96283,.F.); +#96286=CARTESIAN_POINT('',(5.173333331347E1,7.913266459958E0, +-1.936489849794E1)); +#96287=DIRECTION('',(7.145104056477E-1,5.730990965395E-1,4.012883075381E-1)); +#96288=DIRECTION('',(-6.996248138976E-1,5.852926594520E-1,4.098263322071E-1)); +#96289=AXIS2_PLACEMENT_3D('',#96286,#96287,#96288); +#96290=PLANE('',#96289); +#96291=ORIENTED_EDGE('',*,*,#85521,.F.); +#96292=ORIENTED_EDGE('',*,*,#96099,.T.); +#96293=ORIENTED_EDGE('',*,*,#88970,.T.); +#96294=ORIENTED_EDGE('',*,*,#96279,.F.); +#96295=EDGE_LOOP('',(#96291,#96292,#96293,#96294)); +#96296=FACE_OUTER_BOUND('',#96295,.F.); +#96298=CARTESIAN_POINT('',(5.186666663885E1,8.917163450421E0, +-1.866196225764E1)); +#96299=DIRECTION('',(-4.547770705465E-1,-7.295411593776E-1,-5.108302192305E-1)); +#96300=DIRECTION('',(8.906053088238E-1,-3.725315670339E-1,-2.608494114582E-1)); +#96301=AXIS2_PLACEMENT_3D('',#96298,#96299,#96300); +#96302=PLANE('',#96301); +#96303=ORIENTED_EDGE('',*,*,#85559,.F.); +#96305=ORIENTED_EDGE('',*,*,#96304,.T.); +#96306=ORIENTED_EDGE('',*,*,#88974,.T.); +#96308=ORIENTED_EDGE('',*,*,#96307,.F.); +#96309=EDGE_LOOP('',(#96303,#96305,#96306,#96308)); +#96310=FACE_OUTER_BOUND('',#96309,.F.); +#96312=CARTESIAN_POINT('',(5.179999998808E1,8.917163450421E0, +-1.866196225764E1)); +#96313=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#96314=DIRECTION('',(1.E0,0.E0,0.E0)); +#96315=AXIS2_PLACEMENT_3D('',#96312,#96313,#96314); +#96316=PLANE('',#96315); +#96317=ORIENTED_EDGE('',*,*,#85589,.F.); +#96319=ORIENTED_EDGE('',*,*,#96318,.T.); +#96320=ORIENTED_EDGE('',*,*,#88976,.T.); +#96321=ORIENTED_EDGE('',*,*,#96304,.F.); +#96322=EDGE_LOOP('',(#96317,#96319,#96320,#96321)); +#96323=FACE_OUTER_BOUND('',#96322,.F.); +#96325=CARTESIAN_POINT('',(5.173333331347E1,8.889277426706E0, +-1.868148826165E1)); +#96326=DIRECTION('',(4.547770705465E-1,-7.295411593776E-1,-5.108302192305E-1)); +#96327=DIRECTION('',(8.906053088238E-1,3.725315670339E-1,2.608494114582E-1)); +#96328=AXIS2_PLACEMENT_3D('',#96325,#96326,#96327); +#96329=PLANE('',#96328); +#96330=ORIENTED_EDGE('',*,*,#85587,.F.); +#96332=ORIENTED_EDGE('',*,*,#96331,.T.); +#96333=ORIENTED_EDGE('',*,*,#88978,.T.); +#96334=ORIENTED_EDGE('',*,*,#96318,.F.); +#96335=EDGE_LOOP('',(#96330,#96332,#96333,#96334)); +#96336=FACE_OUTER_BOUND('',#96335,.F.); +#96338=CARTESIAN_POINT('',(5.166666665077E1,8.805619355560E0, +-1.874006627370E1)); +#96339=DIRECTION('',(8.373791354550E-1,-4.477669578096E-1,-3.135297992195E-1)); +#96340=DIRECTION('',(5.466225237810E-1,6.859408306529E-1,4.803009403890E-1)); +#96341=AXIS2_PLACEMENT_3D('',#96338,#96339,#96340); +#96342=PLANE('',#96341); +#96343=ORIENTED_EDGE('',*,*,#85585,.F.); +#96345=ORIENTED_EDGE('',*,*,#96344,.T.); +#96346=ORIENTED_EDGE('',*,*,#88980,.T.); +#96347=ORIENTED_EDGE('',*,*,#96331,.F.); +#96348=EDGE_LOOP('',(#96343,#96345,#96346,#96347)); +#96349=FACE_OUTER_BOUND('',#96348,.F.); +#96351=CARTESIAN_POINT('',(5.163333331347E1,8.721961206293E0, +-1.879864434045E1)); +#96352=DIRECTION('',(9.506452666641E-1,-2.541663624111E-1,-1.779692029196E-1)); +#96353=DIRECTION('',(3.102798365494E-1,7.787230135816E-1,5.452677242872E-1)); +#96354=AXIS2_PLACEMENT_3D('',#96351,#96352,#96353); +#96355=PLANE('',#96354); +#96356=ORIENTED_EDGE('',*,*,#85583,.F.); +#96358=ORIENTED_EDGE('',*,*,#96357,.T.); +#96359=ORIENTED_EDGE('',*,*,#88982,.T.); +#96360=ORIENTED_EDGE('',*,*,#96344,.F.); +#96361=EDGE_LOOP('',(#96356,#96358,#96359,#96360)); +#96362=FACE_OUTER_BOUND('',#96361,.F.); +#96364=CARTESIAN_POINT('',(5.163333331347E1,8.666189158862E0, +-1.883769634848E1)); +#96365=DIRECTION('',(1.E0,0.E0,0.E0)); +#96366=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#96367=AXIS2_PLACEMENT_3D('',#96364,#96365,#96366); +#96368=PLANE('',#96367); +#96369=ORIENTED_EDGE('',*,*,#85581,.F.); +#96371=ORIENTED_EDGE('',*,*,#96370,.T.); +#96372=ORIENTED_EDGE('',*,*,#88984,.T.); +#96373=ORIENTED_EDGE('',*,*,#96357,.F.); +#96374=EDGE_LOOP('',(#96369,#96371,#96372,#96373)); +#96375=FACE_OUTER_BOUND('',#96374,.F.); +#96377=CARTESIAN_POINT('',(5.166666665077E1,8.582531087716E0, +-1.889627436053E1)); +#96378=DIRECTION('',(9.506451812003E-1,2.541665769034E-1,1.779693531087E-1)); +#96379=DIRECTION('',(-3.102800983961E-1,7.787229435737E-1,5.452676752671E-1)); +#96380=AXIS2_PLACEMENT_3D('',#96377,#96378,#96379); +#96381=PLANE('',#96380); +#96382=ORIENTED_EDGE('',*,*,#85579,.F.); +#96384=ORIENTED_EDGE('',*,*,#96383,.T.); +#96385=ORIENTED_EDGE('',*,*,#88986,.T.); +#96386=ORIENTED_EDGE('',*,*,#96370,.F.); +#96387=EDGE_LOOP('',(#96382,#96384,#96385,#96386)); +#96388=FACE_OUTER_BOUND('',#96387,.F.); +#96390=CARTESIAN_POINT('',(5.173333331347E1,8.498873016570E0, +-1.895485237258E1)); +#96391=DIRECTION('',(8.373791354550E-1,4.477669578096E-1,3.135297992195E-1)); +#96392=DIRECTION('',(-5.466225237810E-1,6.859408306529E-1,4.803009403890E-1)); +#96393=AXIS2_PLACEMENT_3D('',#96390,#96391,#96392); +#96394=PLANE('',#96393); +#96395=ORIENTED_EDGE('',*,*,#85577,.F.); +#96397=ORIENTED_EDGE('',*,*,#96396,.T.); +#96398=ORIENTED_EDGE('',*,*,#88988,.T.); +#96399=ORIENTED_EDGE('',*,*,#96383,.F.); +#96400=EDGE_LOOP('',(#96395,#96397,#96398,#96399)); +#96401=FACE_OUTER_BOUND('',#96400,.F.); +#96403=CARTESIAN_POINT('',(5.179999998808E1,8.470986992855E0, +-1.897437837660E1)); +#96404=DIRECTION('',(4.547770705465E-1,7.295411593776E-1,5.108302192305E-1)); +#96405=DIRECTION('',(-8.906053088238E-1,3.725315670339E-1,2.608494114582E-1)); +#96406=AXIS2_PLACEMENT_3D('',#96403,#96404,#96405); +#96407=PLANE('',#96406); +#96408=ORIENTED_EDGE('',*,*,#85575,.F.); +#96410=ORIENTED_EDGE('',*,*,#96409,.T.); +#96411=ORIENTED_EDGE('',*,*,#88990,.T.); +#96412=ORIENTED_EDGE('',*,*,#96396,.F.); +#96413=EDGE_LOOP('',(#96408,#96410,#96411,#96412)); +#96414=FACE_OUTER_BOUND('',#96413,.F.); +#96416=CARTESIAN_POINT('',(5.186666663885E1,8.470986992855E0, +-1.897437837660E1)); +#96417=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#96418=DIRECTION('',(-1.E0,0.E0,0.E0)); +#96419=AXIS2_PLACEMENT_3D('',#96416,#96417,#96418); +#96420=PLANE('',#96419); +#96421=ORIENTED_EDGE('',*,*,#85573,.F.); +#96423=ORIENTED_EDGE('',*,*,#96422,.T.); +#96424=ORIENTED_EDGE('',*,*,#88992,.T.); +#96425=ORIENTED_EDGE('',*,*,#96409,.F.); +#96426=EDGE_LOOP('',(#96421,#96423,#96424,#96425)); +#96427=FACE_OUTER_BOUND('',#96426,.F.); +#96429=CARTESIAN_POINT('',(5.193333331347E1,8.498873016570E0, +-1.895485237258E1)); +#96430=DIRECTION('',(-4.547770705465E-1,7.295411593776E-1,5.108302192305E-1)); +#96431=DIRECTION('',(-8.906053088238E-1,-3.725315670339E-1,-2.608494114582E-1)); +#96432=AXIS2_PLACEMENT_3D('',#96429,#96430,#96431); +#96433=PLANE('',#96432); +#96434=ORIENTED_EDGE('',*,*,#85571,.F.); +#96436=ORIENTED_EDGE('',*,*,#96435,.T.); +#96437=ORIENTED_EDGE('',*,*,#88994,.T.); +#96438=ORIENTED_EDGE('',*,*,#96422,.F.); +#96439=EDGE_LOOP('',(#96434,#96436,#96437,#96438)); +#96440=FACE_OUTER_BOUND('',#96439,.F.); +#96442=CARTESIAN_POINT('',(5.199999996424E1,8.582531087716E0, +-1.889627436053E1)); +#96443=DIRECTION('',(-8.373791801952E-1,4.477669016663E-1,3.135297599076E-1)); +#96444=DIRECTION('',(-5.466224552427E-1,-6.859408673020E-1,-4.803009660509E-1)); +#96445=AXIS2_PLACEMENT_3D('',#96442,#96443,#96444); +#96446=PLANE('',#96445); +#96447=ORIENTED_EDGE('',*,*,#85569,.F.); +#96449=ORIENTED_EDGE('',*,*,#96448,.T.); +#96450=ORIENTED_EDGE('',*,*,#88996,.T.); +#96451=ORIENTED_EDGE('',*,*,#96435,.F.); +#96452=EDGE_LOOP('',(#96447,#96449,#96450,#96451)); +#96453=FACE_OUTER_BOUND('',#96452,.F.); +#96455=CARTESIAN_POINT('',(5.203333331347E1,8.666189158862E0, +-1.883769634848E1)); +#96456=DIRECTION('',(-9.506451484694E-1,2.541666590493E-1,1.779694106279E-1)); +#96457=DIRECTION('',(-3.102801986778E-1,-7.787229167621E-1,-5.452676564935E-1)); +#96458=AXIS2_PLACEMENT_3D('',#96455,#96456,#96457); +#96459=PLANE('',#96458); +#96460=ORIENTED_EDGE('',*,*,#85567,.F.); +#96462=ORIENTED_EDGE('',*,*,#96461,.T.); +#96463=ORIENTED_EDGE('',*,*,#88998,.T.); +#96464=ORIENTED_EDGE('',*,*,#96448,.F.); +#96465=EDGE_LOOP('',(#96460,#96462,#96463,#96464)); +#96466=FACE_OUTER_BOUND('',#96465,.F.); +#96468=CARTESIAN_POINT('',(5.203333331347E1,8.721961206293E0, +-1.879864434045E1)); +#96469=DIRECTION('',(-1.E0,0.E0,0.E0)); +#96470=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#96471=AXIS2_PLACEMENT_3D('',#96468,#96469,#96470); +#96472=PLANE('',#96471); +#96473=ORIENTED_EDGE('',*,*,#85565,.F.); +#96475=ORIENTED_EDGE('',*,*,#96474,.T.); +#96476=ORIENTED_EDGE('',*,*,#89000,.T.); +#96477=ORIENTED_EDGE('',*,*,#96461,.F.); +#96478=EDGE_LOOP('',(#96473,#96475,#96476,#96477)); +#96479=FACE_OUTER_BOUND('',#96478,.F.); +#96481=CARTESIAN_POINT('',(5.199999996424E1,8.805619355560E0, +-1.874006627370E1)); +#96482=DIRECTION('',(-9.506452339333E-1,-2.541664445570E-1,-1.779692604388E-1)); +#96483=DIRECTION('',(3.102799368310E-1,-7.787229867701E-1,-5.452677055136E-1)); +#96484=AXIS2_PLACEMENT_3D('',#96481,#96482,#96483); +#96485=PLANE('',#96484); +#96486=ORIENTED_EDGE('',*,*,#85563,.F.); +#96488=ORIENTED_EDGE('',*,*,#96487,.T.); +#96489=ORIENTED_EDGE('',*,*,#89002,.T.); +#96490=ORIENTED_EDGE('',*,*,#96474,.F.); +#96491=EDGE_LOOP('',(#96486,#96488,#96489,#96490)); +#96492=FACE_OUTER_BOUND('',#96491,.F.); +#96494=CARTESIAN_POINT('',(5.193333331347E1,8.889277426706E0, +-1.868148826165E1)); +#96495=DIRECTION('',(-8.373791801952E-1,-4.477669016663E-1,-3.135297599076E-1)); +#96496=DIRECTION('',(5.466224552427E-1,-6.859408673020E-1,-4.803009660509E-1)); +#96497=AXIS2_PLACEMENT_3D('',#96494,#96495,#96496); +#96498=PLANE('',#96497); +#96499=ORIENTED_EDGE('',*,*,#85561,.F.); +#96500=ORIENTED_EDGE('',*,*,#96307,.T.); +#96501=ORIENTED_EDGE('',*,*,#89004,.T.); +#96502=ORIENTED_EDGE('',*,*,#96487,.F.); +#96503=EDGE_LOOP('',(#96499,#96500,#96501,#96502)); +#96504=FACE_OUTER_BOUND('',#96503,.F.); +#96506=CARTESIAN_POINT('',(5.226666666269E1,8.526759040286E0, +-1.893532636856E1)); +#96507=DIRECTION('',(-1.E0,0.E0,0.E0)); +#96508=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#96509=AXIS2_PLACEMENT_3D('',#96506,#96507,#96508); +#96510=PLANE('',#96509); +#96511=ORIENTED_EDGE('',*,*,#85459,.F.); +#96513=ORIENTED_EDGE('',*,*,#96512,.T.); +#96514=ORIENTED_EDGE('',*,*,#89014,.T.); +#96516=ORIENTED_EDGE('',*,*,#96515,.F.); +#96517=EDGE_LOOP('',(#96511,#96513,#96514,#96516)); +#96518=FACE_OUTER_BOUND('',#96517,.F.); +#96520=CARTESIAN_POINT('',(5.228333331347E1,8.666189158862E0, +-1.883769634848E1)); +#96521=DIRECTION('',(-9.952403692627E-1,7.982680755997E-2,5.589533240471E-2)); +#96522=DIRECTION('',(-9.745053817116E-2,-8.152531830405E-1,-5.708464243144E-1)); +#96523=AXIS2_PLACEMENT_3D('',#96520,#96521,#96522); +#96524=PLANE('',#96523); +#96525=ORIENTED_EDGE('',*,*,#85509,.F.); +#96527=ORIENTED_EDGE('',*,*,#96526,.T.); +#96528=ORIENTED_EDGE('',*,*,#89016,.T.); +#96529=ORIENTED_EDGE('',*,*,#96512,.F.); +#96530=EDGE_LOOP('',(#96525,#96527,#96528,#96529)); +#96531=FACE_OUTER_BOUND('',#96530,.F.); +#96533=CARTESIAN_POINT('',(5.229999996424E1,8.749847308129E0, +-1.877911828173E1)); +#96534=DIRECTION('',(-9.869440454464E-1,1.319354956049E-1,9.238222858002E-2)); +#96535=DIRECTION('',(-1.610635003902E-1,-8.084572324263E-1,-5.660878484650E-1)); +#96536=AXIS2_PLACEMENT_3D('',#96533,#96534,#96535); +#96537=PLANE('',#96536); +#96538=ORIENTED_EDGE('',*,*,#85507,.F.); +#96540=ORIENTED_EDGE('',*,*,#96539,.T.); +#96541=ORIENTED_EDGE('',*,*,#89018,.T.); +#96542=ORIENTED_EDGE('',*,*,#96526,.F.); +#96543=EDGE_LOOP('',(#96538,#96540,#96541,#96542)); +#96544=FACE_OUTER_BOUND('',#96543,.F.); +#96546=CARTESIAN_POINT('',(5.233333331347E1,8.861391402990E0, +-1.870101426567E1)); +#96547=DIRECTION('',(-9.713211276800E-1,1.947709770706E-1,1.363801063693E-1)); +#96548=DIRECTION('',(-2.377714594361E-1,-7.956596874002E-1,-5.571269109672E-1)); +#96549=AXIS2_PLACEMENT_3D('',#96546,#96547,#96548); +#96550=PLANE('',#96549); +#96551=ORIENTED_EDGE('',*,*,#85505,.F.); +#96553=ORIENTED_EDGE('',*,*,#96552,.T.); +#96554=ORIENTED_EDGE('',*,*,#89020,.T.); +#96555=ORIENTED_EDGE('',*,*,#96539,.F.); +#96556=EDGE_LOOP('',(#96551,#96553,#96554,#96555)); +#96557=FACE_OUTER_BOUND('',#96556,.F.); +#96559=CARTESIAN_POINT('',(5.238333331347E1,8.945049474136E0, +-1.864243625362E1)); +#96560=DIRECTION('',(-8.981380971709E-1,3.601922090061E-1,2.522092999505E-1)); +#96561=DIRECTION('',(-4.397134958245E-1,-7.357116583514E-1,-5.151508491264E-1)); +#96562=AXIS2_PLACEMENT_3D('',#96559,#96560,#96561); +#96563=PLANE('',#96562); +#96564=ORIENTED_EDGE('',*,*,#85503,.F.); +#96566=ORIENTED_EDGE('',*,*,#96565,.T.); +#96567=ORIENTED_EDGE('',*,*,#89022,.T.); +#96568=ORIENTED_EDGE('',*,*,#96552,.F.); +#96569=EDGE_LOOP('',(#96564,#96566,#96567,#96568)); +#96570=FACE_OUTER_BOUND('',#96569,.F.); +#96572=CARTESIAN_POINT('',(5.246666661501E1,9.028707545282E0, +-1.858385824157E1)); +#96573=DIRECTION('',(-7.747957433587E-1,5.178774562420E-1,3.626216987295E-1)); +#96574=DIRECTION('',(-6.322116386727E-1,-6.346755170787E-1,-4.444045813757E-1)); +#96575=AXIS2_PLACEMENT_3D('',#96572,#96573,#96574); +#96576=PLANE('',#96575); +#96577=ORIENTED_EDGE('',*,*,#85501,.F.); +#96579=ORIENTED_EDGE('',*,*,#96578,.T.); +#96580=ORIENTED_EDGE('',*,*,#89024,.T.); +#96581=ORIENTED_EDGE('',*,*,#96565,.F.); +#96582=EDGE_LOOP('',(#96577,#96579,#96580,#96581)); +#96583=FACE_OUTER_BOUND('',#96582,.F.); +#96585=CARTESIAN_POINT('',(5.256666661501E1,9.056593568998E0, +-1.856433223755E1)); +#96586=DIRECTION('',(-3.222637633772E-1,7.754502153673E-1,5.429760863065E-1)); +#96587=DIRECTION('',(-9.466499177700E-1,-2.639830205707E-1,-1.848429009630E-1)); +#96588=AXIS2_PLACEMENT_3D('',#96585,#96586,#96587); +#96589=PLANE('',#96588); +#96590=ORIENTED_EDGE('',*,*,#85499,.F.); +#96592=ORIENTED_EDGE('',*,*,#96591,.T.); +#96593=ORIENTED_EDGE('',*,*,#89026,.T.); +#96594=ORIENTED_EDGE('',*,*,#96578,.F.); +#96595=EDGE_LOOP('',(#96590,#96592,#96593,#96594)); +#96596=FACE_OUTER_BOUND('',#96595,.F.); +#96598=CARTESIAN_POINT('',(5.266666661501E1,9.028707545282E0, +-1.858385824157E1)); +#96599=DIRECTION('',(3.222637633772E-1,7.754502153673E-1,5.429760863065E-1)); +#96600=DIRECTION('',(-9.466499177700E-1,2.639830205707E-1,1.848429009630E-1)); +#96601=AXIS2_PLACEMENT_3D('',#96598,#96599,#96600); +#96602=PLANE('',#96601); +#96603=ORIENTED_EDGE('',*,*,#85497,.F.); +#96605=ORIENTED_EDGE('',*,*,#96604,.T.); +#96606=ORIENTED_EDGE('',*,*,#89028,.T.); +#96607=ORIENTED_EDGE('',*,*,#96591,.F.); +#96608=EDGE_LOOP('',(#96603,#96605,#96606,#96607)); +#96609=FACE_OUTER_BOUND('',#96608,.F.); +#96611=CARTESIAN_POINT('',(5.275000001192E1,8.945049474136E0, +-1.864243625362E1)); +#96612=DIRECTION('',(7.747953889588E-1,5.178778120229E-1,3.626219478500E-1)); +#96613=DIRECTION('',(-6.322120730009E-1,6.346752267713E-1,4.444043781002E-1)); +#96614=AXIS2_PLACEMENT_3D('',#96611,#96612,#96613); +#96615=PLANE('',#96614); +#96616=ORIENTED_EDGE('',*,*,#85495,.F.); +#96618=ORIENTED_EDGE('',*,*,#96617,.T.); +#96619=ORIENTED_EDGE('',*,*,#89030,.T.); +#96620=ORIENTED_EDGE('',*,*,#96604,.F.); +#96621=EDGE_LOOP('',(#96616,#96618,#96619,#96620)); +#96622=FACE_OUTER_BOUND('',#96621,.F.); +#96624=CARTESIAN_POINT('',(5.280000001192E1,8.861391402990E0, +-1.870101426567E1)); +#96625=DIRECTION('',(8.981380971709E-1,3.601922090061E-1,2.522092999505E-1)); +#96626=DIRECTION('',(-4.397134958245E-1,7.357116583514E-1,5.151508491264E-1)); +#96627=AXIS2_PLACEMENT_3D('',#96624,#96625,#96626); +#96628=PLANE('',#96627); +#96629=ORIENTED_EDGE('',*,*,#85493,.F.); +#96631=ORIENTED_EDGE('',*,*,#96630,.T.); +#96632=ORIENTED_EDGE('',*,*,#89032,.T.); +#96633=ORIENTED_EDGE('',*,*,#96617,.F.); +#96634=EDGE_LOOP('',(#96629,#96631,#96632,#96633)); +#96635=FACE_OUTER_BOUND('',#96634,.F.); +#96637=CARTESIAN_POINT('',(5.283333331347E1,8.749847308129E0, +-1.877911828173E1)); +#96638=DIRECTION('',(9.713212062349E-1,1.947707142006E-1,1.363799223058E-1)); +#96639=DIRECTION('',(-2.377711385310E-1,7.956597517486E-1,5.571269560244E-1)); +#96640=AXIS2_PLACEMENT_3D('',#96637,#96638,#96639); +#96641=PLANE('',#96640); +#96642=ORIENTED_EDGE('',*,*,#85491,.F.); +#96644=ORIENTED_EDGE('',*,*,#96643,.T.); +#96645=ORIENTED_EDGE('',*,*,#89034,.T.); +#96646=ORIENTED_EDGE('',*,*,#96630,.F.); +#96647=EDGE_LOOP('',(#96642,#96644,#96645,#96646)); +#96648=FACE_OUTER_BOUND('',#96647,.F.); +#96650=CARTESIAN_POINT('',(5.285000001192E1,8.666189158862E0, +-1.883769634848E1)); +#96651=DIRECTION('',(9.869439721961E-1,1.319358632836E-1,9.238248603137E-2)); +#96652=DIRECTION('',(-1.610639492429E-1,8.084571724232E-1,5.660878064504E-1)); +#96653=AXIS2_PLACEMENT_3D('',#96650,#96651,#96652); +#96654=PLANE('',#96653); +#96655=ORIENTED_EDGE('',*,*,#85489,.F.); +#96657=ORIENTED_EDGE('',*,*,#96656,.T.); +#96658=ORIENTED_EDGE('',*,*,#89036,.T.); +#96659=ORIENTED_EDGE('',*,*,#96643,.F.); +#96660=EDGE_LOOP('',(#96655,#96657,#96658,#96659)); +#96661=FACE_OUTER_BOUND('',#96660,.F.); +#96663=CARTESIAN_POINT('',(5.286666661501E1,8.526759040286E0, +-1.893532636856E1)); +#96664=DIRECTION('',(9.952403963034E-1,7.982658134233E-2,5.589517400541E-2)); +#96665=DIRECTION('',(-9.745026201041E-2,8.152532051909E-1,5.708464398243E-1)); +#96666=AXIS2_PLACEMENT_3D('',#96663,#96664,#96665); +#96667=PLANE('',#96666); +#96668=ORIENTED_EDGE('',*,*,#85487,.F.); +#96670=ORIENTED_EDGE('',*,*,#96669,.T.); +#96671=ORIENTED_EDGE('',*,*,#89038,.T.); +#96672=ORIENTED_EDGE('',*,*,#96656,.F.); +#96673=EDGE_LOOP('',(#96668,#96670,#96671,#96672)); +#96674=FACE_OUTER_BOUND('',#96673,.F.); +#96676=CARTESIAN_POINT('',(5.286666661501E1,8.275784826847E0, +-1.911106040471E1)); +#96677=DIRECTION('',(1.E0,0.E0,0.E0)); +#96678=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#96679=AXIS2_PLACEMENT_3D('',#96676,#96677,#96678); +#96680=PLANE('',#96679); +#96681=ORIENTED_EDGE('',*,*,#85485,.F.); +#96683=ORIENTED_EDGE('',*,*,#96682,.T.); +#96684=ORIENTED_EDGE('',*,*,#89040,.T.); +#96685=ORIENTED_EDGE('',*,*,#96669,.F.); +#96686=EDGE_LOOP('',(#96681,#96683,#96684,#96685)); +#96687=FACE_OUTER_BOUND('',#96686,.F.); +#96689=CARTESIAN_POINT('',(5.285000001192E1,8.136354669210E0, +-1.920869045214E1)); +#96690=DIRECTION('',(9.952403989511E-1,-7.982655919193E-2,-5.589515849553E-2)); +#96691=DIRECTION('',(9.745023496977E-2,8.152532073598E-1,5.708464413430E-1)); +#96692=AXIS2_PLACEMENT_3D('',#96689,#96690,#96691); +#96693=PLANE('',#96692); +#96694=ORIENTED_EDGE('',*,*,#85483,.F.); +#96696=ORIENTED_EDGE('',*,*,#96695,.T.); +#96697=ORIENTED_EDGE('',*,*,#89042,.T.); +#96698=ORIENTED_EDGE('',*,*,#96682,.F.); +#96699=EDGE_LOOP('',(#96694,#96696,#96697,#96698)); +#96700=FACE_OUTER_BOUND('',#96699,.F.); +#96702=CARTESIAN_POINT('',(5.283333331347E1,8.052696598064E0, +-1.926726846419E1)); +#96703=DIRECTION('',(9.869439482880E-1,-1.319359832900E-1,-9.238257006079E-2)); +#96704=DIRECTION('',(1.610640957437E-1,8.084571528387E-1,5.660877927372E-1)); +#96705=AXIS2_PLACEMENT_3D('',#96702,#96703,#96704); +#96706=PLANE('',#96705); +#96707=ORIENTED_EDGE('',*,*,#85481,.F.); +#96709=ORIENTED_EDGE('',*,*,#96708,.T.); +#96710=ORIENTED_EDGE('',*,*,#89044,.T.); +#96711=ORIENTED_EDGE('',*,*,#96695,.F.); +#96712=EDGE_LOOP('',(#96707,#96709,#96710,#96711)); +#96713=FACE_OUTER_BOUND('',#96712,.F.); +#96715=CARTESIAN_POINT('',(5.280000001192E1,7.941152483673E0, +-1.934537249393E1)); +#96716=DIRECTION('',(9.713212158497E-1,-1.947706820264E-1,-1.363798997772E-1)); +#96717=DIRECTION('',(2.377710992536E-1,7.956597596245E-1,5.571269615392E-1)); +#96718=AXIS2_PLACEMENT_3D('',#96715,#96716,#96717); +#96719=PLANE('',#96718); +#96720=ORIENTED_EDGE('',*,*,#85479,.F.); +#96722=ORIENTED_EDGE('',*,*,#96721,.T.); +#96723=ORIENTED_EDGE('',*,*,#89046,.T.); +#96724=ORIENTED_EDGE('',*,*,#96708,.F.); +#96725=EDGE_LOOP('',(#96720,#96722,#96723,#96724)); +#96726=FACE_OUTER_BOUND('',#96725,.F.); +#96728=CARTESIAN_POINT('',(5.275000001192E1,7.857494402762E0, +-1.940395051281E1)); +#96729=DIRECTION('',(8.981381174407E-1,-3.601921750915E-1,-2.522092762032E-1)); +#96730=DIRECTION('',(4.397134544224E-1,7.357116749554E-1,5.151508607527E-1)); +#96731=AXIS2_PLACEMENT_3D('',#96728,#96729,#96730); +#96732=PLANE('',#96731); +#96733=ORIENTED_EDGE('',*,*,#85477,.F.); +#96735=ORIENTED_EDGE('',*,*,#96734,.T.); +#96736=ORIENTED_EDGE('',*,*,#89048,.T.); +#96737=ORIENTED_EDGE('',*,*,#96721,.F.); +#96738=EDGE_LOOP('',(#96733,#96735,#96736,#96737)); +#96739=FACE_OUTER_BOUND('',#96738,.F.); +#96741=CARTESIAN_POINT('',(5.266666661501E1,7.773836324292E0, +-1.946252852999E1)); +#96742=DIRECTION('',(7.747954160695E-1,-5.178777848066E-1,-3.626219287929E-1)); +#96743=DIRECTION('',(6.322120397760E-1,6.346752489791E-1,4.444043936503E-1)); +#96744=AXIS2_PLACEMENT_3D('',#96741,#96742,#96743); +#96745=PLANE('',#96744); +#96746=ORIENTED_EDGE('',*,*,#85475,.F.); +#96748=ORIENTED_EDGE('',*,*,#96747,.T.); +#96749=ORIENTED_EDGE('',*,*,#89050,.T.); +#96750=ORIENTED_EDGE('',*,*,#96734,.F.); +#96751=EDGE_LOOP('',(#96746,#96748,#96749,#96750)); +#96752=FACE_OUTER_BOUND('',#96751,.F.); +#96754=CARTESIAN_POINT('',(5.256666661501E1,7.745950298135E0, +-1.948205453572E1)); +#96755=DIRECTION('',(3.222637886596E-1,-7.754502083170E-1,-5.429760813699E-1)); +#96756=DIRECTION('',(9.466499091632E-1,2.639830412808E-1,1.848429154644E-1)); +#96757=AXIS2_PLACEMENT_3D('',#96754,#96755,#96756); +#96758=PLANE('',#96757); +#96759=ORIENTED_EDGE('',*,*,#85473,.F.); +#96761=ORIENTED_EDGE('',*,*,#96760,.T.); +#96762=ORIENTED_EDGE('',*,*,#89052,.T.); +#96763=ORIENTED_EDGE('',*,*,#96747,.F.); +#96764=EDGE_LOOP('',(#96759,#96761,#96762,#96763)); +#96765=FACE_OUTER_BOUND('',#96764,.F.); +#96767=CARTESIAN_POINT('',(5.246666661501E1,7.773836324292E0, +-1.946252852999E1)); +#96768=DIRECTION('',(-3.222637886596E-1,-7.754502083170E-1,-5.429760813699E-1)); +#96769=DIRECTION('',(9.466499091632E-1,-2.639830412808E-1,-1.848429154644E-1)); +#96770=AXIS2_PLACEMENT_3D('',#96767,#96768,#96769); +#96771=PLANE('',#96770); +#96772=ORIENTED_EDGE('',*,*,#85471,.F.); +#96774=ORIENTED_EDGE('',*,*,#96773,.T.); +#96775=ORIENTED_EDGE('',*,*,#89054,.T.); +#96776=ORIENTED_EDGE('',*,*,#96760,.F.); +#96777=EDGE_LOOP('',(#96772,#96774,#96775,#96776)); +#96778=FACE_OUTER_BOUND('',#96777,.F.); +#96780=CARTESIAN_POINT('',(5.238333331347E1,7.857494402762E0, +-1.940395051281E1)); +#96781=DIRECTION('',(-7.747957704694E-1,-5.178774290257E-1,-3.626216796724E-1)); +#96782=DIRECTION('',(6.322116054477E-1,-6.346755392865E-1,-4.444045969257E-1)); +#96783=AXIS2_PLACEMENT_3D('',#96780,#96781,#96782); +#96784=PLANE('',#96783); +#96785=ORIENTED_EDGE('',*,*,#85469,.F.); +#96787=ORIENTED_EDGE('',*,*,#96786,.T.); +#96788=ORIENTED_EDGE('',*,*,#89056,.T.); +#96789=ORIENTED_EDGE('',*,*,#96773,.F.); +#96790=EDGE_LOOP('',(#96785,#96787,#96788,#96789)); +#96791=FACE_OUTER_BOUND('',#96790,.F.); +#96793=CARTESIAN_POINT('',(5.233333331347E1,7.941152483673E0, +-1.934537249393E1)); +#96794=DIRECTION('',(-8.981381174407E-1,-3.601921750915E-1,-2.522092762032E-1)); +#96795=DIRECTION('',(4.397134544224E-1,-7.357116749554E-1,-5.151508607527E-1)); +#96796=AXIS2_PLACEMENT_3D('',#96793,#96794,#96795); +#96797=PLANE('',#96796); +#96798=ORIENTED_EDGE('',*,*,#85467,.F.); +#96800=ORIENTED_EDGE('',*,*,#96799,.T.); +#96801=ORIENTED_EDGE('',*,*,#89058,.T.); +#96802=ORIENTED_EDGE('',*,*,#96786,.F.); +#96803=EDGE_LOOP('',(#96798,#96800,#96801,#96802)); +#96804=FACE_OUTER_BOUND('',#96803,.F.); +#96806=CARTESIAN_POINT('',(5.229999996424E1,8.052696598064E0, +-1.926726846419E1)); +#96807=DIRECTION('',(-9.713211372948E-1,-1.947709448964E-1,-1.363800838407E-1)); +#96808=DIRECTION('',(2.377714201586E-1,-7.956596952762E-1,-5.571269164820E-1)); +#96809=AXIS2_PLACEMENT_3D('',#96806,#96807,#96808); +#96810=PLANE('',#96809); +#96811=ORIENTED_EDGE('',*,*,#85465,.F.); +#96813=ORIENTED_EDGE('',*,*,#96812,.T.); +#96814=ORIENTED_EDGE('',*,*,#89060,.T.); +#96815=ORIENTED_EDGE('',*,*,#96799,.F.); +#96816=EDGE_LOOP('',(#96811,#96813,#96814,#96815)); +#96817=FACE_OUTER_BOUND('',#96816,.F.); +#96819=CARTESIAN_POINT('',(5.228333331347E1,8.136354669210E0, +-1.920869045214E1)); +#96820=DIRECTION('',(-9.869440215384E-1,-1.319356156111E-1,-9.238231260922E-2)); +#96821=DIRECTION('',(1.610636468906E-1,-8.084572128419E-1,-5.660878347519E-1)); +#96822=AXIS2_PLACEMENT_3D('',#96819,#96820,#96821); +#96823=PLANE('',#96822); +#96824=ORIENTED_EDGE('',*,*,#85463,.F.); +#96826=ORIENTED_EDGE('',*,*,#96825,.T.); +#96827=ORIENTED_EDGE('',*,*,#89062,.T.); +#96828=ORIENTED_EDGE('',*,*,#96812,.F.); +#96829=EDGE_LOOP('',(#96824,#96826,#96827,#96828)); +#96830=FACE_OUTER_BOUND('',#96829,.F.); +#96832=CARTESIAN_POINT('',(5.226666666269E1,8.275784826847E0, +-1.911106040471E1)); +#96833=DIRECTION('',(-9.952403719104E-1,-7.982678540952E-2,-5.589531689479E-2)); +#96834=DIRECTION('',(9.745051113045E-2,-8.152531852094E-1,-5.708464258331E-1)); +#96835=AXIS2_PLACEMENT_3D('',#96832,#96833,#96834); +#96836=PLANE('',#96835); +#96837=ORIENTED_EDGE('',*,*,#85461,.F.); +#96838=ORIENTED_EDGE('',*,*,#96515,.T.); +#96839=ORIENTED_EDGE('',*,*,#89064,.T.); +#96840=ORIENTED_EDGE('',*,*,#96825,.F.); +#96841=EDGE_LOOP('',(#96837,#96838,#96839,#96840)); +#96842=FACE_OUTER_BOUND('',#96841,.F.); +#96844=CARTESIAN_POINT('',(5.235000001192E1,8.498873016570E0, +-1.895485237258E1)); +#96845=DIRECTION('',(9.966875032160E-1,-6.661887615617E-2,-4.664703927161E-2)); +#96846=DIRECTION('',(8.132663114330E-2,8.164386057767E-1,5.716764662502E-1)); +#96847=AXIS2_PLACEMENT_3D('',#96844,#96845,#96846); +#96848=PLANE('',#96847); +#96849=ORIENTED_EDGE('',*,*,#85599,.F.); +#96851=ORIENTED_EDGE('',*,*,#96850,.T.); +#96852=ORIENTED_EDGE('',*,*,#89068,.T.); +#96854=ORIENTED_EDGE('',*,*,#96853,.F.); +#96855=EDGE_LOOP('',(#96849,#96851,#96852,#96854)); +#96856=FACE_OUTER_BOUND('',#96855,.F.); +#96858=CARTESIAN_POINT('',(5.235000001192E1,8.303670850563E0, +-1.909153440069E1)); +#96859=DIRECTION('',(1.E0,0.E0,0.E0)); +#96860=DIRECTION('',(0.E0,8.191520442890E-1,5.735764363510E-1)); +#96861=AXIS2_PLACEMENT_3D('',#96858,#96859,#96860); +#96862=PLANE('',#96861); +#96863=ORIENTED_EDGE('',*,*,#85633,.F.); +#96865=ORIENTED_EDGE('',*,*,#96864,.T.); +#96866=ORIENTED_EDGE('',*,*,#89070,.T.); +#96867=ORIENTED_EDGE('',*,*,#96850,.F.); +#96868=EDGE_LOOP('',(#96863,#96865,#96866,#96867)); +#96869=FACE_OUTER_BOUND('',#96868,.F.); +#96871=CARTESIAN_POINT('',(5.236666661501E1,8.136354669210E0, +-1.920869045214E1)); +#96872=DIRECTION('',(9.966875047549E-1,6.661886070676E-2,4.664702845382E-2)); +#96873=DIRECTION('',(-8.132661228305E-2,8.164386070373E-1,5.716764671329E-1)); +#96874=AXIS2_PLACEMENT_3D('',#96871,#96872,#96873); +#96875=PLANE('',#96874); +#96876=ORIENTED_EDGE('',*,*,#85631,.F.); +#96878=ORIENTED_EDGE('',*,*,#96877,.T.); +#96879=ORIENTED_EDGE('',*,*,#89072,.T.); +#96880=ORIENTED_EDGE('',*,*,#96864,.F.); +#96881=EDGE_LOOP('',(#96876,#96878,#96879,#96880)); +#96882=FACE_OUTER_BOUND('',#96881,.F.); +#96884=CARTESIAN_POINT('',(5.243333331347E1,7.969038507388E0, +-1.932584648991E1)); +#96885=DIRECTION('',(9.506451591524E-1,2.541666322378E-1,1.779693918543E-1)); +#96886=DIRECTION('',(-3.102801659470E-1,7.787229255131E-1,5.452676626210E-1)); +#96887=AXIS2_PLACEMENT_3D('',#96884,#96885,#96886); +#96888=PLANE('',#96887); +#96889=ORIENTED_EDGE('',*,*,#85629,.F.); +#96891=ORIENTED_EDGE('',*,*,#96890,.T.); +#96892=ORIENTED_EDGE('',*,*,#89074,.T.); +#96893=ORIENTED_EDGE('',*,*,#96877,.F.); +#96894=EDGE_LOOP('',(#96889,#96891,#96892,#96893)); +#96895=FACE_OUTER_BOUND('',#96894,.F.); +#96897=CARTESIAN_POINT('',(5.248333331347E1,7.913266459958E0, +-1.936489849794E1)); +#96898=DIRECTION('',(8.060043958311E-1,4.848639168924E-1,3.395053696139E-1)); +#96899=DIRECTION('',(-5.919095487496E-1,6.602401485510E-1,4.623051290441E-1)); +#96900=AXIS2_PLACEMENT_3D('',#96897,#96898,#96899); +#96901=PLANE('',#96900); +#96902=ORIENTED_EDGE('',*,*,#85627,.F.); +#96904=ORIENTED_EDGE('',*,*,#96903,.T.); +#96905=ORIENTED_EDGE('',*,*,#89076,.T.); +#96906=ORIENTED_EDGE('',*,*,#96890,.F.); +#96907=EDGE_LOOP('',(#96902,#96904,#96905,#96906)); +#96908=FACE_OUTER_BOUND('',#96907,.F.); +#96910=CARTESIAN_POINT('',(5.256666661501E1,7.885380436242E0, +-1.938442450196E1)); +#96911=DIRECTION('',(3.781727181043E-1,7.583177642004E-1,5.309798148515E-1)); +#96912=DIRECTION('',(-9.257350567423E-1,3.097809551294E-1,2.169109599754E-1)); +#96913=AXIS2_PLACEMENT_3D('',#96910,#96911,#96912); +#96914=PLANE('',#96913); +#96915=ORIENTED_EDGE('',*,*,#85625,.F.); +#96917=ORIENTED_EDGE('',*,*,#96916,.T.); +#96918=ORIENTED_EDGE('',*,*,#89078,.T.); +#96919=ORIENTED_EDGE('',*,*,#96903,.F.); +#96920=EDGE_LOOP('',(#96915,#96917,#96918,#96919)); +#96921=FACE_OUTER_BOUND('',#96920,.F.); +#96923=CARTESIAN_POINT('',(5.265000001192E1,7.913266459958E0, +-1.936489849794E1)); +#96924=DIRECTION('',(-3.781723472146E-1,7.583178883121E-1,5.309799017554E-1)); +#96925=DIRECTION('',(-9.257352082546E-1,-3.097806513144E-1,-2.169107472418E-1)); +#96926=AXIS2_PLACEMENT_3D('',#96923,#96924,#96925); +#96927=PLANE('',#96926); +#96928=ORIENTED_EDGE('',*,*,#85623,.F.); +#96930=ORIENTED_EDGE('',*,*,#96929,.T.); +#96931=ORIENTED_EDGE('',*,*,#89080,.T.); +#96932=ORIENTED_EDGE('',*,*,#96916,.F.); +#96933=EDGE_LOOP('',(#96928,#96930,#96931,#96932)); +#96934=FACE_OUTER_BOUND('',#96933,.F.); +#96936=CARTESIAN_POINT('',(5.270000001192E1,7.969038507388E0, +-1.932584648991E1)); +#96937=DIRECTION('',(-8.060043958311E-1,4.848639168924E-1,3.395053696139E-1)); +#96938=DIRECTION('',(-5.919095487496E-1,-6.602401485510E-1,-4.623051290441E-1)); +#96939=AXIS2_PLACEMENT_3D('',#96936,#96937,#96938); +#96940=PLANE('',#96939); +#96941=ORIENTED_EDGE('',*,*,#85621,.F.); +#96943=ORIENTED_EDGE('',*,*,#96942,.T.); +#96944=ORIENTED_EDGE('',*,*,#89082,.T.); +#96945=ORIENTED_EDGE('',*,*,#96929,.F.); +#96946=EDGE_LOOP('',(#96941,#96943,#96944,#96945)); +#96947=FACE_OUTER_BOUND('',#96946,.F.); +#96949=CARTESIAN_POINT('',(5.276666661501E1,8.136354669210E0, +-1.920869045214E1)); +#96950=DIRECTION('',(-9.506452900758E-1,2.541663036536E-1,1.779691617772E-1)); +#96951=DIRECTION('',(-3.102797648198E-1,-7.787230327593E-1,-5.452677377156E-1)); +#96952=AXIS2_PLACEMENT_3D('',#96949,#96950,#96951); +#96953=PLANE('',#96952); +#96954=ORIENTED_EDGE('',*,*,#85619,.F.); +#96956=ORIENTED_EDGE('',*,*,#96955,.T.); +#96957=ORIENTED_EDGE('',*,*,#89084,.T.); +#96958=ORIENTED_EDGE('',*,*,#96942,.F.); +#96959=EDGE_LOOP('',(#96954,#96956,#96957,#96958)); +#96960=FACE_OUTER_BOUND('',#96959,.F.); +#96962=CARTESIAN_POINT('',(5.278333331347E1,8.303670850563E0, +-1.909153440069E1)); +#96963=DIRECTION('',(-9.966874670343E-1,6.661923938310E-2,4.664729360584E-2)); +#96964=DIRECTION('',(-8.132707456151E-2,-8.164385761384E-1,-5.716764454973E-1)); +#96965=AXIS2_PLACEMENT_3D('',#96962,#96963,#96964); +#96966=PLANE('',#96965); +#96967=ORIENTED_EDGE('',*,*,#85617,.F.); +#96969=ORIENTED_EDGE('',*,*,#96968,.T.); +#96970=ORIENTED_EDGE('',*,*,#89086,.T.); +#96971=ORIENTED_EDGE('',*,*,#96955,.F.); +#96972=EDGE_LOOP('',(#96967,#96969,#96970,#96971)); +#96973=FACE_OUTER_BOUND('',#96972,.F.); +#96975=CARTESIAN_POINT('',(5.278333331347E1,8.498873016570E0, +-1.895485237258E1)); +#96976=DIRECTION('',(-1.E0,0.E0,0.E0)); +#96977=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#96978=AXIS2_PLACEMENT_3D('',#96975,#96976,#96977); +#96979=PLANE('',#96978); +#96980=ORIENTED_EDGE('',*,*,#85615,.F.); +#96982=ORIENTED_EDGE('',*,*,#96981,.T.); +#96983=ORIENTED_EDGE('',*,*,#89088,.T.); +#96984=ORIENTED_EDGE('',*,*,#96968,.F.); +#96985=EDGE_LOOP('',(#96980,#96982,#96983,#96984)); +#96986=FACE_OUTER_BOUND('',#96985,.F.); +#96988=CARTESIAN_POINT('',(5.276666661501E1,8.666189158862E0, +-1.883769634848E1)); +#96989=DIRECTION('',(-9.966874654954E-1,-6.661925483260E-2,-4.664730442370E-2)); +#96990=DIRECTION('',(8.132709342186E-2,-8.164385748777E-1,-5.716764446146E-1)); +#96991=AXIS2_PLACEMENT_3D('',#96988,#96989,#96990); +#96992=PLANE('',#96991); +#96993=ORIENTED_EDGE('',*,*,#85613,.F.); +#96995=ORIENTED_EDGE('',*,*,#96994,.T.); +#96996=ORIENTED_EDGE('',*,*,#89090,.T.); +#96997=ORIENTED_EDGE('',*,*,#96981,.F.); +#96998=EDGE_LOOP('',(#96993,#96995,#96996,#96997)); +#96999=FACE_OUTER_BOUND('',#96998,.F.); +#97001=CARTESIAN_POINT('',(5.270000001192E1,8.833505379275E0, +-1.872054026968E1)); +#97002=DIRECTION('',(-9.506453221247E-1,-2.541662232190E-1,-1.779691054563E-1)); +#97003=DIRECTION('',(3.102796666273E-1,-7.787230590123E-1,-5.452677560981E-1)); +#97004=AXIS2_PLACEMENT_3D('',#97001,#97002,#97003); +#97005=PLANE('',#97004); +#97006=ORIENTED_EDGE('',*,*,#85611,.F.); +#97008=ORIENTED_EDGE('',*,*,#97007,.T.); +#97009=ORIENTED_EDGE('',*,*,#89092,.T.); +#97010=ORIENTED_EDGE('',*,*,#96994,.F.); +#97011=EDGE_LOOP('',(#97006,#97008,#97009,#97010)); +#97012=FACE_OUTER_BOUND('',#97011,.F.); +#97014=CARTESIAN_POINT('',(5.265000001192E1,8.889277426706E0, +-1.868148826165E1)); +#97015=DIRECTION('',(-8.060043958311E-1,-4.848639168924E-1,-3.395053696139E-1)); +#97016=DIRECTION('',(5.919095487496E-1,-6.602401485510E-1,-4.623051290441E-1)); +#97017=AXIS2_PLACEMENT_3D('',#97014,#97015,#97016); +#97018=PLANE('',#97017); +#97019=ORIENTED_EDGE('',*,*,#85609,.F.); +#97021=ORIENTED_EDGE('',*,*,#97020,.T.); +#97022=ORIENTED_EDGE('',*,*,#89094,.T.); +#97023=ORIENTED_EDGE('',*,*,#97007,.F.); +#97024=EDGE_LOOP('',(#97019,#97021,#97022,#97023)); +#97025=FACE_OUTER_BOUND('',#97024,.F.); +#97027=CARTESIAN_POINT('',(5.256666661501E1,8.917163450421E0, +-1.866196225764E1)); +#97028=DIRECTION('',(-3.781723472146E-1,-7.583178883121E-1,-5.309799017554E-1)); +#97029=DIRECTION('',(9.257352082546E-1,-3.097806513144E-1,-2.169107472418E-1)); +#97030=AXIS2_PLACEMENT_3D('',#97027,#97028,#97029); +#97031=PLANE('',#97030); +#97032=ORIENTED_EDGE('',*,*,#85607,.F.); +#97034=ORIENTED_EDGE('',*,*,#97033,.T.); +#97035=ORIENTED_EDGE('',*,*,#89096,.T.); +#97036=ORIENTED_EDGE('',*,*,#97020,.F.); +#97037=EDGE_LOOP('',(#97032,#97034,#97035,#97036)); +#97038=FACE_OUTER_BOUND('',#97037,.F.); +#97040=CARTESIAN_POINT('',(5.248333331347E1,8.889277426706E0, +-1.868148826165E1)); +#97041=DIRECTION('',(3.781727181043E-1,-7.583177642004E-1,-5.309798148515E-1)); +#97042=DIRECTION('',(9.257350567423E-1,3.097809551294E-1,2.169109599754E-1)); +#97043=AXIS2_PLACEMENT_3D('',#97040,#97041,#97042); +#97044=PLANE('',#97043); +#97045=ORIENTED_EDGE('',*,*,#85605,.F.); +#97047=ORIENTED_EDGE('',*,*,#97046,.T.); +#97048=ORIENTED_EDGE('',*,*,#89098,.T.); +#97049=ORIENTED_EDGE('',*,*,#97033,.F.); +#97050=EDGE_LOOP('',(#97045,#97047,#97048,#97049)); +#97051=FACE_OUTER_BOUND('',#97050,.F.); +#97053=CARTESIAN_POINT('',(5.243333331347E1,8.833505379275E0, +-1.872054026968E1)); +#97054=DIRECTION('',(8.060043958311E-1,-4.848639168924E-1,-3.395053696139E-1)); +#97055=DIRECTION('',(5.919095487496E-1,6.602401485510E-1,4.623051290441E-1)); +#97056=AXIS2_PLACEMENT_3D('',#97053,#97054,#97055); +#97057=PLANE('',#97056); +#97058=ORIENTED_EDGE('',*,*,#85603,.F.); +#97060=ORIENTED_EDGE('',*,*,#97059,.T.); +#97061=ORIENTED_EDGE('',*,*,#89100,.T.); +#97062=ORIENTED_EDGE('',*,*,#97046,.F.); +#97063=EDGE_LOOP('',(#97058,#97060,#97061,#97062)); +#97064=FACE_OUTER_BOUND('',#97063,.F.); +#97066=CARTESIAN_POINT('',(5.236666661501E1,8.666189158862E0, +-1.883769634848E1)); +#97067=DIRECTION('',(9.506451912014E-1,-2.541665518032E-1,-1.779693355333E-1)); +#97068=DIRECTION('',(3.102800677544E-1,7.787229517661E-1,5.452676810035E-1)); +#97069=AXIS2_PLACEMENT_3D('',#97066,#97067,#97068); +#97070=PLANE('',#97069); +#97071=ORIENTED_EDGE('',*,*,#85601,.F.); +#97072=ORIENTED_EDGE('',*,*,#96853,.T.); +#97073=ORIENTED_EDGE('',*,*,#89102,.T.); +#97074=ORIENTED_EDGE('',*,*,#97059,.F.); +#97075=EDGE_LOOP('',(#97071,#97072,#97073,#97074)); +#97076=FACE_OUTER_BOUND('',#97075,.F.); +#97078=CARTESIAN_POINT('',(5.11E1,2.404977327052E0,-1.995E1)); +#97079=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#97080=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#97081=AXIS2_PLACEMENT_3D('',#97078,#97079,#97080); +#97082=PLANE('',#97081); +#97083=ORIENTED_EDGE('',*,*,#85256,.T.); +#97084=ORIENTED_EDGE('',*,*,#85013,.F.); +#97085=ORIENTED_EDGE('',*,*,#72923,.T.); +#97086=ORIENTED_EDGE('',*,*,#85327,.T.); +#97087=EDGE_LOOP('',(#97083,#97084,#97085,#97086)); +#97088=FACE_OUTER_BOUND('',#97087,.F.); +#97090=CARTESIAN_POINT('',(5.11E1,8.3E-1,-1.832E1)); +#97091=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#97092=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#97093=AXIS2_PLACEMENT_3D('',#97090,#97091,#97092); +#97094=PLANE('',#97093); +#97095=ORIENTED_EDGE('',*,*,#85010,.F.); +#97096=ORIENTED_EDGE('',*,*,#85297,.T.); +#97097=ORIENTED_EDGE('',*,*,#85330,.T.); +#97098=ORIENTED_EDGE('',*,*,#72919,.F.); +#97099=EDGE_LOOP('',(#97095,#97096,#97097,#97098)); +#97100=FACE_OUTER_BOUND('',#97099,.F.); +#97102=CARTESIAN_POINT('',(4.68E1,2.404977327052E0,-1.995E1)); +#97103=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#97104=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#97105=AXIS2_PLACEMENT_3D('',#97102,#97103,#97104); +#97106=PLANE('',#97105); +#97107=ORIENTED_EDGE('',*,*,#84840,.T.); +#97108=ORIENTED_EDGE('',*,*,#84597,.F.); +#97109=ORIENTED_EDGE('',*,*,#72913,.T.); +#97110=ORIENTED_EDGE('',*,*,#84913,.T.); +#97111=EDGE_LOOP('',(#97107,#97108,#97109,#97110)); +#97112=FACE_OUTER_BOUND('',#97111,.F.); +#97114=CARTESIAN_POINT('',(4.68E1,8.3E-1,-1.832E1)); +#97115=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#97116=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#97117=AXIS2_PLACEMENT_3D('',#97114,#97115,#97116); +#97118=PLANE('',#97117); +#97119=ORIENTED_EDGE('',*,*,#84594,.F.); +#97120=ORIENTED_EDGE('',*,*,#84881,.T.); +#97121=ORIENTED_EDGE('',*,*,#84916,.T.); +#97122=ORIENTED_EDGE('',*,*,#72909,.F.); +#97123=EDGE_LOOP('',(#97119,#97120,#97121,#97122)); +#97124=FACE_OUTER_BOUND('',#97123,.F.); +#97126=CARTESIAN_POINT('',(4.25E1,2.404977327052E0,-1.995E1)); +#97127=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#97128=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#97129=AXIS2_PLACEMENT_3D('',#97126,#97127,#97128); +#97130=PLANE('',#97129); +#97131=ORIENTED_EDGE('',*,*,#84424,.T.); +#97132=ORIENTED_EDGE('',*,*,#84181,.F.); +#97133=ORIENTED_EDGE('',*,*,#72903,.T.); +#97134=ORIENTED_EDGE('',*,*,#84497,.T.); +#97135=EDGE_LOOP('',(#97131,#97132,#97133,#97134)); +#97136=FACE_OUTER_BOUND('',#97135,.F.); +#97138=CARTESIAN_POINT('',(4.25E1,8.3E-1,-1.832E1)); +#97139=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#97140=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#97141=AXIS2_PLACEMENT_3D('',#97138,#97139,#97140); +#97142=PLANE('',#97141); +#97143=ORIENTED_EDGE('',*,*,#84178,.F.); +#97144=ORIENTED_EDGE('',*,*,#84465,.T.); +#97145=ORIENTED_EDGE('',*,*,#84500,.T.); +#97146=ORIENTED_EDGE('',*,*,#72899,.F.); +#97147=EDGE_LOOP('',(#97143,#97144,#97145,#97146)); +#97148=FACE_OUTER_BOUND('',#97147,.F.); +#97150=CARTESIAN_POINT('',(3.82E1,2.404977327052E0,-1.995E1)); +#97151=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#97152=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#97153=AXIS2_PLACEMENT_3D('',#97150,#97151,#97152); +#97154=PLANE('',#97153); +#97155=ORIENTED_EDGE('',*,*,#84008,.T.); +#97156=ORIENTED_EDGE('',*,*,#83765,.F.); +#97157=ORIENTED_EDGE('',*,*,#72893,.T.); +#97158=ORIENTED_EDGE('',*,*,#84081,.T.); +#97159=EDGE_LOOP('',(#97155,#97156,#97157,#97158)); +#97160=FACE_OUTER_BOUND('',#97159,.F.); +#97162=CARTESIAN_POINT('',(3.82E1,8.3E-1,-1.832E1)); +#97163=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#97164=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#97165=AXIS2_PLACEMENT_3D('',#97162,#97163,#97164); +#97166=PLANE('',#97165); +#97167=ORIENTED_EDGE('',*,*,#83762,.F.); +#97168=ORIENTED_EDGE('',*,*,#84049,.T.); +#97169=ORIENTED_EDGE('',*,*,#84084,.T.); +#97170=ORIENTED_EDGE('',*,*,#72889,.F.); +#97171=EDGE_LOOP('',(#97167,#97168,#97169,#97170)); +#97172=FACE_OUTER_BOUND('',#97171,.F.); +#97174=CARTESIAN_POINT('',(3.39E1,2.404977327052E0,-1.995E1)); +#97175=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#97176=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#97177=AXIS2_PLACEMENT_3D('',#97174,#97175,#97176); +#97178=PLANE('',#97177); +#97179=ORIENTED_EDGE('',*,*,#83592,.T.); +#97180=ORIENTED_EDGE('',*,*,#83349,.F.); +#97181=ORIENTED_EDGE('',*,*,#72883,.T.); +#97182=ORIENTED_EDGE('',*,*,#83665,.T.); +#97183=EDGE_LOOP('',(#97179,#97180,#97181,#97182)); +#97184=FACE_OUTER_BOUND('',#97183,.F.); +#97186=CARTESIAN_POINT('',(3.39E1,8.3E-1,-1.832E1)); +#97187=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#97188=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#97189=AXIS2_PLACEMENT_3D('',#97186,#97187,#97188); +#97190=PLANE('',#97189); +#97191=ORIENTED_EDGE('',*,*,#83346,.F.); +#97192=ORIENTED_EDGE('',*,*,#83633,.T.); +#97193=ORIENTED_EDGE('',*,*,#83668,.T.); +#97194=ORIENTED_EDGE('',*,*,#72879,.F.); +#97195=EDGE_LOOP('',(#97191,#97192,#97193,#97194)); +#97196=FACE_OUTER_BOUND('',#97195,.F.); +#97198=CARTESIAN_POINT('',(2.96E1,2.404977327052E0,-1.995E1)); +#97199=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#97200=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#97201=AXIS2_PLACEMENT_3D('',#97198,#97199,#97200); +#97202=PLANE('',#97201); +#97203=ORIENTED_EDGE('',*,*,#83176,.T.); +#97204=ORIENTED_EDGE('',*,*,#82933,.F.); +#97205=ORIENTED_EDGE('',*,*,#72873,.T.); +#97206=ORIENTED_EDGE('',*,*,#83249,.T.); +#97207=EDGE_LOOP('',(#97203,#97204,#97205,#97206)); +#97208=FACE_OUTER_BOUND('',#97207,.F.); +#97210=CARTESIAN_POINT('',(2.96E1,8.3E-1,-1.832E1)); +#97211=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#97212=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#97213=AXIS2_PLACEMENT_3D('',#97210,#97211,#97212); +#97214=PLANE('',#97213); +#97215=ORIENTED_EDGE('',*,*,#82930,.F.); +#97216=ORIENTED_EDGE('',*,*,#83217,.T.); +#97217=ORIENTED_EDGE('',*,*,#83252,.T.); +#97218=ORIENTED_EDGE('',*,*,#72869,.F.); +#97219=EDGE_LOOP('',(#97215,#97216,#97217,#97218)); +#97220=FACE_OUTER_BOUND('',#97219,.F.); +#97222=CARTESIAN_POINT('',(2.53E1,1.121514332974E1,-1.9E1)); +#97223=DIRECTION('',(0.E0,9.848077530122E-1,1.736481776669E-1)); +#97224=DIRECTION('',(0.E0,-1.736481776669E-1,9.848077530122E-1)); +#97225=AXIS2_PLACEMENT_3D('',#97222,#97223,#97224); +#97226=PLANE('',#97225); +#97227=ORIENTED_EDGE('',*,*,#82473,.T.); +#97228=ORIENTED_EDGE('',*,*,#82428,.F.); +#97229=ORIENTED_EDGE('',*,*,#65921,.T.); +#97230=ORIENTED_EDGE('',*,*,#82742,.T.); +#97231=EDGE_LOOP('',(#97227,#97228,#97229,#97230)); +#97232=FACE_OUTER_BOUND('',#97231,.F.); +#97234=CARTESIAN_POINT('',(2.53E1,2.404977327052E0,-1.995E1)); +#97235=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#97236=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#97237=AXIS2_PLACEMENT_3D('',#97234,#97235,#97236); +#97238=PLANE('',#97237); +#97239=ORIENTED_EDGE('',*,*,#82689,.T.); +#97240=ORIENTED_EDGE('',*,*,#82445,.F.); +#97241=ORIENTED_EDGE('',*,*,#72863,.T.); +#97242=ORIENTED_EDGE('',*,*,#82761,.T.); +#97243=EDGE_LOOP('',(#97239,#97240,#97241,#97242)); +#97244=FACE_OUTER_BOUND('',#97243,.F.); +#97246=CARTESIAN_POINT('',(2.53E1,8.3E-1,-1.832E1)); +#97247=DIRECTION('',(0.E0,-5.735764363510E-1,8.191520442890E-1)); +#97248=DIRECTION('',(0.E0,-8.191520442890E-1,-5.735764363510E-1)); +#97249=AXIS2_PLACEMENT_3D('',#97246,#97247,#97248); +#97250=PLANE('',#97249); +#97251=ORIENTED_EDGE('',*,*,#72859,.F.); +#97252=ORIENTED_EDGE('',*,*,#82442,.F.); +#97253=ORIENTED_EDGE('',*,*,#82729,.T.); +#97254=ORIENTED_EDGE('',*,*,#82764,.T.); +#97255=EDGE_LOOP('',(#97251,#97252,#97253,#97254)); +#97256=FACE_OUTER_BOUND('',#97255,.F.); +#97258=CARTESIAN_POINT('',(2.33E1,-2.47E0,-1.78E1)); +#97259=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#97260=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#97261=AXIS2_PLACEMENT_3D('',#97258,#97259,#97260); +#97262=PLANE('',#97261); +#97264=ORIENTED_EDGE('',*,*,#97263,.T.); +#97265=ORIENTED_EDGE('',*,*,#82123,.F.); +#97267=ORIENTED_EDGE('',*,*,#97266,.T.); +#97268=ORIENTED_EDGE('',*,*,#82363,.T.); +#97269=EDGE_LOOP('',(#97264,#97265,#97267,#97268)); +#97270=FACE_OUTER_BOUND('',#97269,.F.); +#97272=CARTESIAN_POINT('',(2.315E1,-1.77E0,-1.795E1)); +#97273=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#97274=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#97275=AXIS2_PLACEMENT_3D('',#97272,#97273,#97274); +#97276=PLANE('',#97275); +#97277=ORIENTED_EDGE('',*,*,#82107,.F.); +#97278=ORIENTED_EDGE('',*,*,#82125,.F.); +#97279=ORIENTED_EDGE('',*,*,#97263,.F.); +#97280=ORIENTED_EDGE('',*,*,#82061,.F.); +#97281=EDGE_LOOP('',(#97277,#97278,#97279,#97280)); +#97282=FACE_OUTER_BOUND('',#97281,.F.); +#97284=CARTESIAN_POINT('',(2.315E1,-2.87E0,-1.765E1)); +#97285=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#97286=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#97287=AXIS2_PLACEMENT_3D('',#97284,#97285,#97286); +#97288=PLANE('',#97287); +#97289=ORIENTED_EDGE('',*,*,#82110,.F.); +#97291=ORIENTED_EDGE('',*,*,#97290,.F.); +#97292=ORIENTED_EDGE('',*,*,#97266,.F.); +#97293=ORIENTED_EDGE('',*,*,#82121,.T.); +#97294=EDGE_LOOP('',(#97289,#97291,#97292,#97293)); +#97295=FACE_OUTER_BOUND('',#97294,.F.); +#97297=CARTESIAN_POINT('',(2.425E1,-3.257488663526E0,-1.895E1)); +#97298=DIRECTION('',(0.E0,-9.961946980917E-1,8.715574274766E-2)); +#97299=DIRECTION('',(0.E0,-8.715574274766E-2,-9.961946980917E-1)); +#97300=AXIS2_PLACEMENT_3D('',#97297,#97298,#97299); +#97301=PLANE('',#97300); +#97302=ORIENTED_EDGE('',*,*,#82078,.T.); +#97303=ORIENTED_EDGE('',*,*,#72791,.F.); +#97304=ORIENTED_EDGE('',*,*,#82365,.T.); +#97305=ORIENTED_EDGE('',*,*,#97290,.T.); +#97306=EDGE_LOOP('',(#97302,#97303,#97304,#97305)); +#97307=FACE_OUTER_BOUND('',#97306,.F.); +#97309=CARTESIAN_POINT('',(3.2E0,2.404977327052E0,-1.995E1)); +#97310=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#97311=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#97312=AXIS2_PLACEMENT_3D('',#97309,#97310,#97311); +#97313=PLANE('',#97312); +#97314=ORIENTED_EDGE('',*,*,#71034,.T.); +#97315=ORIENTED_EDGE('',*,*,#70776,.F.); +#97316=ORIENTED_EDGE('',*,*,#72130,.T.); +#97317=ORIENTED_EDGE('',*,*,#71105,.T.); +#97318=EDGE_LOOP('',(#97314,#97315,#97316,#97317)); +#97319=FACE_OUTER_BOUND('',#97318,.F.); +#97321=CARTESIAN_POINT('',(-1.1E0,2.404977327052E0,-1.995E1)); +#97322=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#97323=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#97324=AXIS2_PLACEMENT_3D('',#97321,#97322,#97323); +#97325=PLANE('',#97324); +#97326=ORIENTED_EDGE('',*,*,#70625,.T.); +#97327=ORIENTED_EDGE('',*,*,#70367,.F.); +#97328=ORIENTED_EDGE('',*,*,#72136,.T.); +#97329=ORIENTED_EDGE('',*,*,#70696,.T.); +#97330=EDGE_LOOP('',(#97326,#97327,#97328,#97329)); +#97331=FACE_OUTER_BOUND('',#97330,.F.); +#97333=CARTESIAN_POINT('',(-5.4E0,2.404977327052E0,-1.995E1)); +#97334=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#97335=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#97336=AXIS2_PLACEMENT_3D('',#97333,#97334,#97335); +#97337=PLANE('',#97336); +#97338=ORIENTED_EDGE('',*,*,#70216,.T.); +#97339=ORIENTED_EDGE('',*,*,#69958,.F.); +#97340=ORIENTED_EDGE('',*,*,#72142,.T.); +#97341=ORIENTED_EDGE('',*,*,#70287,.T.); +#97342=EDGE_LOOP('',(#97338,#97339,#97340,#97341)); +#97343=FACE_OUTER_BOUND('',#97342,.F.); +#97345=CARTESIAN_POINT('',(-9.7E0,2.404977327052E0,-1.995E1)); +#97346=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#97347=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#97348=AXIS2_PLACEMENT_3D('',#97345,#97346,#97347); +#97349=PLANE('',#97348); +#97350=ORIENTED_EDGE('',*,*,#69807,.T.); +#97351=ORIENTED_EDGE('',*,*,#69549,.F.); +#97352=ORIENTED_EDGE('',*,*,#72148,.T.); +#97353=ORIENTED_EDGE('',*,*,#69878,.T.); +#97354=EDGE_LOOP('',(#97350,#97351,#97352,#97353)); +#97355=FACE_OUTER_BOUND('',#97354,.F.); +#97357=CARTESIAN_POINT('',(-1.4E1,2.404977327052E0,-1.995E1)); +#97358=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#97359=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#97360=AXIS2_PLACEMENT_3D('',#97357,#97358,#97359); +#97361=PLANE('',#97360); +#97362=ORIENTED_EDGE('',*,*,#69398,.T.); +#97363=ORIENTED_EDGE('',*,*,#69140,.F.); +#97364=ORIENTED_EDGE('',*,*,#72154,.T.); +#97365=ORIENTED_EDGE('',*,*,#69469,.T.); +#97366=EDGE_LOOP('',(#97362,#97363,#97364,#97365)); +#97367=FACE_OUTER_BOUND('',#97366,.F.); +#97369=CARTESIAN_POINT('',(-1.83E1,2.404977327052E0,-1.995E1)); +#97370=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#97371=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#97372=AXIS2_PLACEMENT_3D('',#97369,#97370,#97371); +#97373=PLANE('',#97372); +#97374=ORIENTED_EDGE('',*,*,#68989,.T.); +#97375=ORIENTED_EDGE('',*,*,#68731,.F.); +#97376=ORIENTED_EDGE('',*,*,#72160,.T.); +#97377=ORIENTED_EDGE('',*,*,#69060,.T.); +#97378=EDGE_LOOP('',(#97374,#97375,#97376,#97377)); +#97379=FACE_OUTER_BOUND('',#97378,.F.); +#97381=CARTESIAN_POINT('',(-2.26E1,2.404977327052E0,-1.995E1)); +#97382=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#97383=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#97384=AXIS2_PLACEMENT_3D('',#97381,#97382,#97383); +#97385=PLANE('',#97384); +#97386=ORIENTED_EDGE('',*,*,#68580,.T.); +#97387=ORIENTED_EDGE('',*,*,#68322,.F.); +#97388=ORIENTED_EDGE('',*,*,#72166,.T.); +#97389=ORIENTED_EDGE('',*,*,#68651,.T.); +#97390=EDGE_LOOP('',(#97386,#97387,#97388,#97389)); +#97391=FACE_OUTER_BOUND('',#97390,.F.); +#97393=CARTESIAN_POINT('',(-2.69E1,2.404977327052E0,-1.995E1)); +#97394=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#97395=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#97396=AXIS2_PLACEMENT_3D('',#97393,#97394,#97395); +#97397=PLANE('',#97396); +#97398=ORIENTED_EDGE('',*,*,#68171,.T.); +#97399=ORIENTED_EDGE('',*,*,#67913,.F.); +#97400=ORIENTED_EDGE('',*,*,#72172,.T.); +#97401=ORIENTED_EDGE('',*,*,#68242,.T.); +#97402=EDGE_LOOP('',(#97398,#97399,#97400,#97401)); +#97403=FACE_OUTER_BOUND('',#97402,.F.); +#97405=CARTESIAN_POINT('',(-3.12E1,2.404977327052E0,-1.995E1)); +#97406=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#97407=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#97408=AXIS2_PLACEMENT_3D('',#97405,#97406,#97407); +#97409=PLANE('',#97408); +#97410=ORIENTED_EDGE('',*,*,#67762,.T.); +#97411=ORIENTED_EDGE('',*,*,#67504,.F.); +#97412=ORIENTED_EDGE('',*,*,#72178,.T.); +#97413=ORIENTED_EDGE('',*,*,#67833,.T.); +#97414=EDGE_LOOP('',(#97410,#97411,#97412,#97413)); +#97415=FACE_OUTER_BOUND('',#97414,.F.); +#97417=CARTESIAN_POINT('',(-3.55E1,2.404977327052E0,-1.995E1)); +#97418=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#97419=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#97420=AXIS2_PLACEMENT_3D('',#97417,#97418,#97419); +#97421=PLANE('',#97420); +#97422=ORIENTED_EDGE('',*,*,#67353,.T.); +#97423=ORIENTED_EDGE('',*,*,#67095,.F.); +#97424=ORIENTED_EDGE('',*,*,#72184,.T.); +#97425=ORIENTED_EDGE('',*,*,#67424,.T.); +#97426=EDGE_LOOP('',(#97422,#97423,#97424,#97425)); +#97427=FACE_OUTER_BOUND('',#97426,.F.); +#97429=CARTESIAN_POINT('',(-3.98E1,2.404977327052E0,-1.995E1)); +#97430=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#97431=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#97432=AXIS2_PLACEMENT_3D('',#97429,#97430,#97431); +#97433=PLANE('',#97432); +#97434=ORIENTED_EDGE('',*,*,#66944,.T.); +#97435=ORIENTED_EDGE('',*,*,#66686,.F.); +#97436=ORIENTED_EDGE('',*,*,#72190,.T.); +#97437=ORIENTED_EDGE('',*,*,#67015,.T.); +#97438=EDGE_LOOP('',(#97434,#97435,#97436,#97437)); +#97439=FACE_OUTER_BOUND('',#97438,.F.); +#97441=CARTESIAN_POINT('',(7.5E0,2.404977327052E0,-1.995E1)); +#97442=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274766E-2)); +#97443=DIRECTION('',(0.E0,-8.715574274766E-2,9.961946980917E-1)); +#97444=AXIS2_PLACEMENT_3D('',#97441,#97442,#97443); +#97445=PLANE('',#97444); +#97446=ORIENTED_EDGE('',*,*,#66238,.T.); +#97447=ORIENTED_EDGE('',*,*,#65980,.F.); +#97448=ORIENTED_EDGE('',*,*,#72292,.T.); +#97449=ORIENTED_EDGE('',*,*,#66308,.T.); +#97450=EDGE_LOOP('',(#97446,#97447,#97448,#97449)); +#97451=FACE_OUTER_BOUND('',#97450,.F.); +#97453=CARTESIAN_POINT('',(1.135E1,-3.42E0,-1.745E1)); +#97454=DIRECTION('',(1.E0,0.E0,0.E0)); +#97455=DIRECTION('',(0.E0,1.E0,0.E0)); +#97456=AXIS2_PLACEMENT_3D('',#97453,#97454,#97455); +#97457=PLANE('',#97456); +#97458=ORIENTED_EDGE('',*,*,#65859,.F.); +#97460=ORIENTED_EDGE('',*,*,#97459,.F.); +#97461=ORIENTED_EDGE('',*,*,#65091,.F.); +#97463=ORIENTED_EDGE('',*,*,#97462,.T.); +#97464=ORIENTED_EDGE('',*,*,#71423,.T.); +#97465=ORIENTED_EDGE('',*,*,#66520,.F.); +#97466=EDGE_LOOP('',(#97458,#97460,#97461,#97463,#97464,#97465)); +#97467=FACE_OUTER_BOUND('',#97466,.F.); +#97469=CARTESIAN_POINT('',(8.35E0,1.128E1,-1.745E1)); +#97470=DIRECTION('',(0.E0,0.E0,1.E0)); +#97471=DIRECTION('',(1.E0,0.E0,0.E0)); +#97472=AXIS2_PLACEMENT_3D('',#97469,#97470,#97471); +#97473=CYLINDRICAL_SURFACE('',#97472,3.E0); +#97474=ORIENTED_EDGE('',*,*,#65857,.T.); +#97475=ORIENTED_EDGE('',*,*,#65573,.T.); +#97476=ORIENTED_EDGE('',*,*,#65093,.F.); +#97477=ORIENTED_EDGE('',*,*,#97459,.T.); +#97478=EDGE_LOOP('',(#97474,#97475,#97476,#97477)); +#97479=FACE_OUTER_BOUND('',#97478,.F.); +#97481=CARTESIAN_POINT('',(8.35E0,-3.42E0,-1.745E1)); +#97482=DIRECTION('',(0.E0,0.E0,1.E0)); +#97483=DIRECTION('',(1.E0,0.E0,0.E0)); +#97484=AXIS2_PLACEMENT_3D('',#97481,#97482,#97483); +#97485=CYLINDRICAL_SURFACE('',#97484,3.E0); +#97486=ORIENTED_EDGE('',*,*,#64661,.T.); +#97487=ORIENTED_EDGE('',*,*,#71425,.T.); +#97488=ORIENTED_EDGE('',*,*,#97462,.F.); +#97489=ORIENTED_EDGE('',*,*,#65089,.F.); +#97490=EDGE_LOOP('',(#97486,#97487,#97488,#97489)); +#97491=FACE_OUTER_BOUND('',#97490,.F.); +#97493=CARTESIAN_POINT('',(-5.298454052579E1,-4.2E-1,-1.745E1)); +#97494=DIRECTION('',(0.E0,0.E0,1.E0)); +#97495=DIRECTION('',(1.E0,0.E0,0.E0)); +#97496=AXIS2_PLACEMENT_3D('',#97493,#97494,#97495); +#97497=CYLINDRICAL_SURFACE('',#97496,6.E0); +#97498=ORIENTED_EDGE('',*,*,#64657,.T.); +#97499=ORIENTED_EDGE('',*,*,#65148,.F.); +#97501=ORIENTED_EDGE('',*,*,#97500,.T.); +#97502=ORIENTED_EDGE('',*,*,#71428,.T.); +#97503=EDGE_LOOP('',(#97498,#97499,#97501,#97502)); +#97504=FACE_OUTER_BOUND('',#97503,.F.); +#97506=CARTESIAN_POINT('',(-5.300395079368E1,1.217942555948E1,-1.745E1)); +#97507=DIRECTION('',(-8.800632982911E-1,4.748563898706E-1,0.E0)); +#97508=DIRECTION('',(-4.748563898706E-1,-8.800632982911E-1,0.E0)); +#97509=AXIS2_PLACEMENT_3D('',#97506,#97507,#97508); +#97510=PLANE('',#97509); +#97511=ORIENTED_EDGE('',*,*,#65854,.T.); +#97512=ORIENTED_EDGE('',*,*,#66343,.T.); +#97513=ORIENTED_EDGE('',*,*,#71430,.T.); +#97514=ORIENTED_EDGE('',*,*,#97500,.F.); +#97515=ORIENTED_EDGE('',*,*,#65146,.F.); +#97517=ORIENTED_EDGE('',*,*,#97516,.T.); +#97518=ORIENTED_EDGE('',*,*,#65577,.T.); +#97519=EDGE_LOOP('',(#97511,#97512,#97513,#97514,#97515,#97517,#97518)); +#97520=FACE_OUTER_BOUND('',#97519,.F.); +#97522=CARTESIAN_POINT('',(-4.948369760051E1,1.028E1,-1.745E1)); +#97523=DIRECTION('',(0.E0,0.E0,1.E0)); +#97524=DIRECTION('',(1.E0,0.E0,0.E0)); +#97525=AXIS2_PLACEMENT_3D('',#97522,#97523,#97524); +#97526=CYLINDRICAL_SURFACE('',#97525,4.E0); +#97527=ORIENTED_EDGE('',*,*,#65579,.T.); +#97528=ORIENTED_EDGE('',*,*,#97516,.F.); +#97529=ORIENTED_EDGE('',*,*,#65144,.F.); +#97530=EDGE_LOOP('',(#97527,#97528,#97529)); +#97531=FACE_OUTER_BOUND('',#97530,.F.); +#97533=CARTESIAN_POINT('',(5.525E1,-3.42E0,-1.745E1)); +#97534=DIRECTION('',(1.E0,0.E0,0.E0)); +#97535=DIRECTION('',(0.E0,1.E0,0.E0)); +#97536=AXIS2_PLACEMENT_3D('',#97533,#97534,#97535); +#97537=PLANE('',#97536); +#97538=ORIENTED_EDGE('',*,*,#65933,.F.); +#97539=ORIENTED_EDGE('',*,*,#65752,.F.); +#97540=ORIENTED_EDGE('',*,*,#65115,.F.); +#97542=ORIENTED_EDGE('',*,*,#97541,.T.); +#97543=ORIENTED_EDGE('',*,*,#72303,.T.); +#97544=ORIENTED_EDGE('',*,*,#66644,.F.); +#97545=EDGE_LOOP('',(#97538,#97539,#97540,#97542,#97543,#97544)); +#97546=FACE_OUTER_BOUND('',#97545,.F.); +#97548=CARTESIAN_POINT('',(5.225E1,-3.42E0,-1.745E1)); +#97549=DIRECTION('',(0.E0,0.E0,1.E0)); +#97550=DIRECTION('',(1.E0,0.E0,0.E0)); +#97551=AXIS2_PLACEMENT_3D('',#97548,#97549,#97550); +#97552=CYLINDRICAL_SURFACE('',#97551,3.E0); +#97553=ORIENTED_EDGE('',*,*,#64616,.T.); +#97554=ORIENTED_EDGE('',*,*,#72305,.T.); +#97555=ORIENTED_EDGE('',*,*,#97541,.F.); +#97556=ORIENTED_EDGE('',*,*,#65113,.F.); +#97557=EDGE_LOOP('',(#97553,#97554,#97555,#97556)); +#97558=FACE_OUTER_BOUND('',#97557,.F.); +#97560=CARTESIAN_POINT('',(2.45E1,-3.42E0,-1.745E1)); +#97561=DIRECTION('',(0.E0,0.E0,1.E0)); +#97562=DIRECTION('',(1.E0,0.E0,0.E0)); +#97563=AXIS2_PLACEMENT_3D('',#97560,#97561,#97562); +#97564=CYLINDRICAL_SURFACE('',#97563,3.E0); +#97565=ORIENTED_EDGE('',*,*,#64612,.T.); +#97566=ORIENTED_EDGE('',*,*,#65051,.F.); +#97568=ORIENTED_EDGE('',*,*,#97567,.T.); +#97569=ORIENTED_EDGE('',*,*,#72308,.T.); +#97570=EDGE_LOOP('',(#97565,#97566,#97568,#97569)); +#97571=FACE_OUTER_BOUND('',#97570,.F.); +#97573=CARTESIAN_POINT('',(2.15E1,1.128E1,-1.745E1)); +#97574=DIRECTION('',(-1.E0,0.E0,0.E0)); +#97575=DIRECTION('',(0.E0,-1.E0,0.E0)); +#97576=AXIS2_PLACEMENT_3D('',#97573,#97574,#97575); +#97577=PLANE('',#97576); +#97578=ORIENTED_EDGE('',*,*,#65927,.T.); +#97579=ORIENTED_EDGE('',*,*,#66540,.T.); +#97580=ORIENTED_EDGE('',*,*,#72310,.T.); +#97581=ORIENTED_EDGE('',*,*,#97567,.F.); +#97582=ORIENTED_EDGE('',*,*,#65049,.F.); +#97584=ORIENTED_EDGE('',*,*,#97583,.T.); +#97585=EDGE_LOOP('',(#97578,#97579,#97580,#97581,#97582,#97584)); +#97586=FACE_OUTER_BOUND('',#97585,.F.); +#97588=CARTESIAN_POINT('',(2.45E1,1.128E1,-1.745E1)); +#97589=DIRECTION('',(0.E0,0.E0,1.E0)); +#97590=DIRECTION('',(1.E0,0.E0,0.E0)); +#97591=AXIS2_PLACEMENT_3D('',#97588,#97589,#97590); +#97592=CYLINDRICAL_SURFACE('',#97591,3.E0); +#97593=ORIENTED_EDGE('',*,*,#65929,.T.); +#97594=ORIENTED_EDGE('',*,*,#97583,.F.); +#97595=ORIENTED_EDGE('',*,*,#65047,.F.); +#97596=ORIENTED_EDGE('',*,*,#65549,.T.); +#97597=EDGE_LOOP('',(#97593,#97594,#97595,#97596)); +#97598=FACE_OUTER_BOUND('',#97597,.F.); +#97600=CARTESIAN_POINT('',(7.5E0,9.63E0,-1.765E1)); +#97601=DIRECTION('',(0.E0,-1.E0,0.E0)); +#97602=DIRECTION('',(0.E0,0.E0,-1.E0)); +#97603=AXIS2_PLACEMENT_3D('',#97600,#97601,#97602); +#97604=PLANE('',#97603); +#97606=ORIENTED_EDGE('',*,*,#97605,.F.); +#97607=ORIENTED_EDGE('',*,*,#66297,.T.); +#97608=ORIENTED_EDGE('',*,*,#71161,.F.); +#97609=ORIENTED_EDGE('',*,*,#65951,.F.); +#97610=EDGE_LOOP('',(#97606,#97607,#97608,#97609)); +#97611=FACE_OUTER_BOUND('',#97610,.F.); +#97613=CARTESIAN_POINT('',(8.6E0,9.78E0,-1.78E1)); +#97614=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811866E-1)); +#97615=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#97616=AXIS2_PLACEMENT_3D('',#97613,#97614,#97615); +#97617=PLANE('',#97616); +#97618=ORIENTED_EDGE('',*,*,#97605,.T.); +#97619=ORIENTED_EDGE('',*,*,#65995,.F.); +#97620=ORIENTED_EDGE('',*,*,#66038,.F.); +#97621=ORIENTED_EDGE('',*,*,#66025,.F.); +#97622=EDGE_LOOP('',(#97618,#97619,#97620,#97621)); +#97623=FACE_OUTER_BOUND('',#97622,.F.); +#97625=CARTESIAN_POINT('',(3.85E0,1.428E1,-6.95E0)); +#97626=DIRECTION('',(-1.E0,0.E0,0.E0)); +#97627=DIRECTION('',(0.E0,-1.E0,0.E0)); +#97628=AXIS2_PLACEMENT_3D('',#97625,#97626,#97627); +#97629=PLANE('',#97628); +#97631=ORIENTED_EDGE('',*,*,#97630,.T.); +#97633=ORIENTED_EDGE('',*,*,#97632,.T.); +#97634=ORIENTED_EDGE('',*,*,#65563,.F.); +#97636=ORIENTED_EDGE('',*,*,#97635,.T.); +#97637=EDGE_LOOP('',(#97631,#97633,#97634,#97636)); +#97638=FACE_OUTER_BOUND('',#97637,.F.); +#97640=CARTESIAN_POINT('',(-5.135E1,1.428E1,-6.95E0)); +#97641=DIRECTION('',(0.E0,7.577688023534E-1,-6.525231353599E-1)); +#97642=DIRECTION('',(0.E0,-6.525231353599E-1,-7.577688023534E-1)); +#97643=AXIS2_PLACEMENT_3D('',#97640,#97641,#97642); +#97644=PLANE('',#97643); +#97645=ORIENTED_EDGE('',*,*,#97630,.F.); +#97647=ORIENTED_EDGE('',*,*,#97646,.F.); +#97649=ORIENTED_EDGE('',*,*,#97648,.T.); +#97651=ORIENTED_EDGE('',*,*,#97650,.F.); +#97652=EDGE_LOOP('',(#97645,#97647,#97649,#97651)); +#97653=FACE_OUTER_BOUND('',#97652,.F.); +#97655=CARTESIAN_POINT('',(-5.135E1,1.428E1,-6.95E0)); +#97656=DIRECTION('',(0.E0,7.577688023534E-1,-6.525231353599E-1)); +#97657=DIRECTION('',(0.E0,-6.525231353599E-1,-7.577688023534E-1)); +#97658=AXIS2_PLACEMENT_3D('',#97655,#97656,#97657); +#97659=PLANE('',#97658); +#97661=ORIENTED_EDGE('',*,*,#97660,.T.); +#97663=ORIENTED_EDGE('',*,*,#97662,.F.); +#97665=ORIENTED_EDGE('',*,*,#97664,.F.); +#97667=ORIENTED_EDGE('',*,*,#97666,.F.); +#97668=EDGE_LOOP('',(#97661,#97663,#97665,#97667)); +#97669=FACE_OUTER_BOUND('',#97668,.F.); +#97671=CARTESIAN_POINT('',(8.55E0,1.428E1,0.E0)); +#97672=DIRECTION('',(0.E0,1.E0,0.E0)); +#97673=DIRECTION('',(-1.E0,0.E0,0.E0)); +#97674=AXIS2_PLACEMENT_3D('',#97671,#97672,#97673); +#97675=PLANE('',#97674); +#97676=ORIENTED_EDGE('',*,*,#97646,.T.); +#97677=ORIENTED_EDGE('',*,*,#97635,.F.); +#97678=ORIENTED_EDGE('',*,*,#65592,.T.); +#97680=ORIENTED_EDGE('',*,*,#97679,.T.); +#97681=ORIENTED_EDGE('',*,*,#97666,.T.); +#97683=ORIENTED_EDGE('',*,*,#97682,.F.); +#97684=ORIENTED_EDGE('',*,*,#65584,.T.); +#97686=ORIENTED_EDGE('',*,*,#97685,.F.); +#97687=ORIENTED_EDGE('',*,*,#64459,.T.); +#97688=ORIENTED_EDGE('',*,*,#65502,.T.); +#97689=ORIENTED_EDGE('',*,*,#65569,.T.); +#97691=ORIENTED_EDGE('',*,*,#97690,.T.); +#97692=EDGE_LOOP('',(#97676,#97677,#97678,#97680,#97681,#97683,#97684,#97686, +#97687,#97688,#97689,#97691)); +#97693=FACE_OUTER_BOUND('',#97692,.F.); +#97695=CARTESIAN_POINT('',(-3.895E1,1.273E1,-6.95E0)); +#97696=DIRECTION('',(1.E0,0.E0,0.E0)); +#97697=DIRECTION('',(0.E0,1.E0,0.E0)); +#97698=AXIS2_PLACEMENT_3D('',#97695,#97696,#97697); +#97699=PLANE('',#97698); +#97700=ORIENTED_EDGE('',*,*,#97660,.F.); +#97701=ORIENTED_EDGE('',*,*,#97679,.F.); +#97702=ORIENTED_EDGE('',*,*,#65590,.T.); +#97704=ORIENTED_EDGE('',*,*,#97703,.F.); +#97705=EDGE_LOOP('',(#97700,#97701,#97702,#97704)); +#97706=FACE_OUTER_BOUND('',#97705,.F.); +#97708=CARTESIAN_POINT('',(-4.045E1,1.273E1,-6.95E0)); +#97709=DIRECTION('',(0.E0,-1.E0,0.E0)); +#97710=DIRECTION('',(1.E0,0.E0,0.E0)); +#97711=AXIS2_PLACEMENT_3D('',#97708,#97709,#97710); +#97712=PLANE('',#97711); +#97713=ORIENTED_EDGE('',*,*,#97662,.T.); +#97714=ORIENTED_EDGE('',*,*,#97703,.T.); +#97715=ORIENTED_EDGE('',*,*,#65588,.F.); +#97717=ORIENTED_EDGE('',*,*,#97716,.F.); +#97718=EDGE_LOOP('',(#97713,#97714,#97715,#97717)); +#97719=FACE_OUTER_BOUND('',#97718,.F.); +#97721=CARTESIAN_POINT('',(-4.045E1,1.428E1,-6.95E0)); +#97722=DIRECTION('',(-1.E0,0.E0,0.E0)); +#97723=DIRECTION('',(0.E0,-1.E0,0.E0)); +#97724=AXIS2_PLACEMENT_3D('',#97721,#97722,#97723); +#97725=PLANE('',#97724); +#97726=ORIENTED_EDGE('',*,*,#97664,.T.); +#97727=ORIENTED_EDGE('',*,*,#97716,.T.); +#97728=ORIENTED_EDGE('',*,*,#65586,.F.); +#97729=ORIENTED_EDGE('',*,*,#97682,.T.); +#97730=EDGE_LOOP('',(#97726,#97727,#97728,#97729)); +#97731=FACE_OUTER_BOUND('',#97730,.F.); +#97733=CARTESIAN_POINT('',(-5.2128753E1,9.E0,0.E0)); +#97734=DIRECTION('',(0.E0,0.E0,1.E0)); +#97735=DIRECTION('',(1.E0,0.E0,0.E0)); +#97736=AXIS2_PLACEMENT_3D('',#97733,#97734,#97735); +#97737=CYLINDRICAL_SURFACE('',#97736,5.28E0); +#97738=ORIENTED_EDGE('',*,*,#97685,.T.); +#97739=ORIENTED_EDGE('',*,*,#65582,.T.); +#97740=ORIENTED_EDGE('',*,*,#65140,.T.); +#97742=ORIENTED_EDGE('',*,*,#97741,.F.); +#97743=ORIENTED_EDGE('',*,*,#64445,.T.); +#97744=EDGE_LOOP('',(#97738,#97739,#97740,#97742,#97743)); +#97745=FACE_OUTER_BOUND('',#97744,.F.); +#97747=CARTESIAN_POINT('',(-5.677548721498E1,1.150724173852E1,0.E0)); +#97748=DIRECTION('',(-8.800632982911E-1,4.748563898706E-1,0.E0)); +#97749=DIRECTION('',(-4.748563898706E-1,-8.800632982911E-1,0.E0)); +#97750=AXIS2_PLACEMENT_3D('',#97747,#97748,#97749); +#97751=PLANE('',#97750); +#97753=ORIENTED_EDGE('',*,*,#97752,.F.); +#97755=ORIENTED_EDGE('',*,*,#97754,.F.); +#97757=ORIENTED_EDGE('',*,*,#97756,.F.); +#97758=ORIENTED_EDGE('',*,*,#65155,.T.); +#97760=ORIENTED_EDGE('',*,*,#97759,.T.); +#97762=ORIENTED_EDGE('',*,*,#97761,.F.); +#97764=ORIENTED_EDGE('',*,*,#97763,.F.); +#97766=ORIENTED_EDGE('',*,*,#97765,.F.); +#97767=ORIENTED_EDGE('',*,*,#64431,.T.); +#97768=ORIENTED_EDGE('',*,*,#97741,.T.); +#97769=ORIENTED_EDGE('',*,*,#65138,.T.); +#97771=ORIENTED_EDGE('',*,*,#97770,.T.); +#97773=ORIENTED_EDGE('',*,*,#97772,.F.); +#97774=EDGE_LOOP('',(#97753,#97755,#97757,#97758,#97760,#97762,#97764,#97766, +#97767,#97768,#97769,#97771,#97773)); +#97775=FACE_OUTER_BOUND('',#97774,.F.); +#97777=CARTESIAN_POINT('',(0.E0,0.E0,-6.75E0)); +#97778=DIRECTION('',(0.E0,0.E0,1.E0)); +#97779=DIRECTION('',(1.E0,0.E0,0.E0)); +#97780=AXIS2_PLACEMENT_3D('',#97777,#97778,#97779); +#97781=PLANE('',#97780); +#97783=ORIENTED_EDGE('',*,*,#97782,.F.); +#97785=ORIENTED_EDGE('',*,*,#97784,.F.); +#97787=ORIENTED_EDGE('',*,*,#97786,.F.); +#97788=ORIENTED_EDGE('',*,*,#97752,.T.); +#97789=EDGE_LOOP('',(#97783,#97785,#97787,#97788)); +#97790=FACE_OUTER_BOUND('',#97789,.F.); +#97792=CARTESIAN_POINT('',(0.E0,0.E0,-6.75E0)); +#97793=DIRECTION('',(0.E0,0.E0,1.E0)); +#97794=DIRECTION('',(1.E0,0.E0,0.E0)); +#97795=AXIS2_PLACEMENT_3D('',#97792,#97793,#97794); +#97796=PLANE('',#97795); +#97797=ORIENTED_EDGE('',*,*,#65014,.F.); +#97798=ORIENTED_EDGE('',*,*,#64941,.F.); +#97799=ORIENTED_EDGE('',*,*,#64981,.F.); +#97800=ORIENTED_EDGE('',*,*,#64994,.F.); +#97801=EDGE_LOOP('',(#97797,#97798,#97799,#97800)); +#97802=FACE_OUTER_BOUND('',#97801,.F.); +#97804=CARTESIAN_POINT('',(-5.861742077777E1,6.83E0,-6.85E0)); +#97805=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#97806=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#97807=AXIS2_PLACEMENT_3D('',#97804,#97805,#97806); +#97808=PLANE('',#97807); +#97810=ORIENTED_EDGE('',*,*,#97809,.F.); +#97812=ORIENTED_EDGE('',*,*,#97811,.T.); +#97813=ORIENTED_EDGE('',*,*,#97782,.T.); +#97814=ORIENTED_EDGE('',*,*,#97772,.T.); +#97815=EDGE_LOOP('',(#97810,#97812,#97813,#97814)); +#97816=FACE_OUTER_BOUND('',#97815,.F.); +#97818=CARTESIAN_POINT('',(-5.788169456325E1,6.93E0,-1.765E1)); +#97819=DIRECTION('',(0.E0,1.E0,0.E0)); +#97820=DIRECTION('',(-1.E0,0.E0,0.E0)); +#97821=AXIS2_PLACEMENT_3D('',#97818,#97819,#97820); +#97822=PLANE('',#97821); +#97823=ORIENTED_EDGE('',*,*,#97809,.T.); +#97824=ORIENTED_EDGE('',*,*,#97770,.F.); +#97825=ORIENTED_EDGE('',*,*,#65136,.F.); +#97827=ORIENTED_EDGE('',*,*,#97826,.T.); +#97828=EDGE_LOOP('',(#97823,#97824,#97825,#97827)); +#97829=FACE_OUTER_BOUND('',#97828,.F.); +#97831=CARTESIAN_POINT('',(-5.885292186409E1,5.13E0,-1.765E1)); +#97832=DIRECTION('',(8.800632982911E-1,-4.748563898706E-1,0.E0)); +#97833=DIRECTION('',(4.748563898706E-1,8.800632982911E-1,0.E0)); +#97834=AXIS2_PLACEMENT_3D('',#97831,#97832,#97833); +#97835=PLANE('',#97834); +#97836=ORIENTED_EDGE('',*,*,#97811,.F.); +#97837=ORIENTED_EDGE('',*,*,#97826,.F.); +#97838=ORIENTED_EDGE('',*,*,#65134,.F.); +#97840=ORIENTED_EDGE('',*,*,#97839,.T.); +#97842=ORIENTED_EDGE('',*,*,#97841,.F.); +#97843=ORIENTED_EDGE('',*,*,#97784,.T.); +#97844=EDGE_LOOP('',(#97836,#97837,#97838,#97840,#97842,#97843)); +#97845=FACE_OUTER_BOUND('',#97844,.F.); +#97847=CARTESIAN_POINT('',(-6.021646014859E1,5.13E0,-1.765E1)); +#97848=DIRECTION('',(0.E0,-1.E0,0.E0)); +#97849=DIRECTION('',(1.E0,0.E0,0.E0)); +#97850=AXIS2_PLACEMENT_3D('',#97847,#97848,#97849); +#97851=PLANE('',#97850); +#97853=ORIENTED_EDGE('',*,*,#97852,.T.); +#97854=ORIENTED_EDGE('',*,*,#97839,.F.); +#97855=ORIENTED_EDGE('',*,*,#65132,.F.); +#97856=ORIENTED_EDGE('',*,*,#97756,.T.); +#97857=EDGE_LOOP('',(#97853,#97854,#97855,#97856)); +#97858=FACE_OUTER_BOUND('',#97857,.F.); +#97860=CARTESIAN_POINT('',(-5.948073393407E1,5.23E0,-6.85E0)); +#97861=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#97862=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#97863=AXIS2_PLACEMENT_3D('',#97860,#97861,#97862); +#97864=PLANE('',#97863); +#97865=ORIENTED_EDGE('',*,*,#97841,.T.); +#97866=ORIENTED_EDGE('',*,*,#97852,.F.); +#97867=ORIENTED_EDGE('',*,*,#97754,.T.); +#97868=ORIENTED_EDGE('',*,*,#97786,.T.); +#97869=EDGE_LOOP('',(#97865,#97866,#97867,#97868)); +#97870=FACE_OUTER_BOUND('',#97869,.F.); +#97872=CARTESIAN_POINT('',(-6.135E1,-4.856974705384E0,-1.765E1)); +#97873=DIRECTION('',(1.E0,0.E0,0.E0)); +#97874=DIRECTION('',(0.E0,1.E0,0.E0)); +#97875=AXIS2_PLACEMENT_3D('',#97872,#97873,#97874); +#97876=PLANE('',#97875); +#97878=ORIENTED_EDGE('',*,*,#97877,.T.); +#97879=ORIENTED_EDGE('',*,*,#97759,.F.); +#97880=ORIENTED_EDGE('',*,*,#65153,.F.); +#97882=ORIENTED_EDGE('',*,*,#97881,.T.); +#97883=EDGE_LOOP('',(#97878,#97879,#97880,#97882)); +#97884=FACE_OUTER_BOUND('',#97883,.F.); +#97886=CARTESIAN_POINT('',(-6.16E1,-1.085257183026E0,-9.2E0)); +#97887=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#97888=DIRECTION('',(0.E0,-1.E0,0.E0)); +#97889=AXIS2_PLACEMENT_3D('',#97886,#97887,#97888); +#97890=PLANE('',#97889); +#97892=ORIENTED_EDGE('',*,*,#97891,.T.); +#97894=ORIENTED_EDGE('',*,*,#97893,.T.); +#97895=ORIENTED_EDGE('',*,*,#97761,.T.); +#97896=ORIENTED_EDGE('',*,*,#97877,.F.); +#97897=EDGE_LOOP('',(#97892,#97894,#97895,#97896)); +#97898=FACE_OUTER_BOUND('',#97897,.F.); +#97900=CARTESIAN_POINT('',(-5.760000012806E1,-1.14E0,0.E0)); +#97901=DIRECTION('',(0.E0,0.E0,1.E0)); +#97902=DIRECTION('',(1.E0,0.E0,0.E0)); +#97903=AXIS2_PLACEMENT_3D('',#97900,#97901,#97902); +#97904=CYLINDRICAL_SURFACE('',#97903,5.28E0); +#97906=ORIENTED_EDGE('',*,*,#97905,.T.); +#97907=ORIENTED_EDGE('',*,*,#97891,.F.); +#97908=ORIENTED_EDGE('',*,*,#97881,.F.); +#97909=ORIENTED_EDGE('',*,*,#65151,.T.); +#97910=ORIENTED_EDGE('',*,*,#64653,.T.); +#97911=ORIENTED_EDGE('',*,*,#64534,.F.); +#97912=ORIENTED_EDGE('',*,*,#64416,.T.); +#97913=ORIENTED_EDGE('',*,*,#97765,.T.); +#97914=EDGE_LOOP('',(#97906,#97907,#97908,#97909,#97910,#97911,#97912,#97913)); +#97915=FACE_OUTER_BOUND('',#97914,.F.); +#97917=CARTESIAN_POINT('',(0.E0,0.E0,-8.95E0)); +#97918=DIRECTION('',(0.E0,0.E0,1.E0)); +#97919=DIRECTION('',(1.E0,0.E0,0.E0)); +#97920=AXIS2_PLACEMENT_3D('',#97917,#97918,#97919); +#97921=PLANE('',#97920); +#97922=ORIENTED_EDGE('',*,*,#97893,.F.); +#97923=ORIENTED_EDGE('',*,*,#97905,.F.); +#97924=ORIENTED_EDGE('',*,*,#97763,.T.); +#97925=EDGE_LOOP('',(#97922,#97923,#97924)); +#97926=FACE_OUTER_BOUND('',#97925,.F.); +#97928=CARTESIAN_POINT('',(5.35E0,1.273E1,-6.95E0)); +#97929=DIRECTION('',(1.E0,0.E0,0.E0)); +#97930=DIRECTION('',(0.E0,1.E0,0.E0)); +#97931=AXIS2_PLACEMENT_3D('',#97928,#97929,#97930); +#97932=PLANE('',#97931); +#97933=ORIENTED_EDGE('',*,*,#97648,.F.); +#97934=ORIENTED_EDGE('',*,*,#97690,.F.); +#97935=ORIENTED_EDGE('',*,*,#65567,.T.); +#97937=ORIENTED_EDGE('',*,*,#97936,.F.); +#97938=EDGE_LOOP('',(#97933,#97934,#97935,#97937)); +#97939=FACE_OUTER_BOUND('',#97938,.F.); +#97941=CARTESIAN_POINT('',(3.85E0,1.273E1,-6.95E0)); +#97942=DIRECTION('',(0.E0,-1.E0,0.E0)); +#97943=DIRECTION('',(1.E0,0.E0,0.E0)); +#97944=AXIS2_PLACEMENT_3D('',#97941,#97942,#97943); +#97945=PLANE('',#97944); +#97946=ORIENTED_EDGE('',*,*,#97650,.T.); +#97947=ORIENTED_EDGE('',*,*,#97936,.T.); +#97948=ORIENTED_EDGE('',*,*,#65565,.F.); +#97949=ORIENTED_EDGE('',*,*,#97632,.F.); +#97950=EDGE_LOOP('',(#97946,#97947,#97948,#97949)); +#97951=FACE_OUTER_BOUND('',#97950,.F.); +#97953=CARTESIAN_POINT('',(1.941814989803E1,-1.77E0,-6.85E0)); +#97954=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#97955=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#97956=AXIS2_PLACEMENT_3D('',#97953,#97954,#97955); +#97957=PLANE('',#97956); +#97959=ORIENTED_EDGE('',*,*,#97958,.T.); +#97961=ORIENTED_EDGE('',*,*,#97960,.F.); +#97963=ORIENTED_EDGE('',*,*,#97962,.T.); +#97964=ORIENTED_EDGE('',*,*,#65296,.T.); +#97965=EDGE_LOOP('',(#97959,#97961,#97963,#97964)); +#97966=FACE_OUTER_BOUND('',#97965,.F.); +#97968=CARTESIAN_POINT('',(2.02E1,-1.87E0,-6.75E0)); +#97969=DIRECTION('',(1.E0,0.E0,0.E0)); +#97970=DIRECTION('',(0.E0,1.E0,0.E0)); +#97971=AXIS2_PLACEMENT_3D('',#97968,#97969,#97970); +#97972=PLANE('',#97971); +#97973=ORIENTED_EDGE('',*,*,#97958,.F.); +#97974=ORIENTED_EDGE('',*,*,#65304,.T.); +#97976=ORIENTED_EDGE('',*,*,#97975,.F.); +#97978=ORIENTED_EDGE('',*,*,#97977,.T.); +#97979=ORIENTED_EDGE('',*,*,#65058,.F.); +#97981=ORIENTED_EDGE('',*,*,#97980,.F.); +#97982=EDGE_LOOP('',(#97973,#97974,#97976,#97978,#97979,#97981)); +#97983=FACE_OUTER_BOUND('',#97982,.F.); +#97985=CARTESIAN_POINT('',(1.935E1,9.3E-1,-6.85E0)); +#97986=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#97987=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#97988=AXIS2_PLACEMENT_3D('',#97985,#97986,#97987); +#97989=PLANE('',#97988); +#97991=ORIENTED_EDGE('',*,*,#97990,.F.); +#97992=ORIENTED_EDGE('',*,*,#97975,.T.); +#97993=ORIENTED_EDGE('',*,*,#65302,.T.); +#97995=ORIENTED_EDGE('',*,*,#97994,.T.); +#97996=EDGE_LOOP('',(#97991,#97992,#97993,#97995)); +#97997=FACE_OUTER_BOUND('',#97996,.F.); +#97999=CARTESIAN_POINT('',(2.02E1,1.03E0,-6.75E0)); +#98000=DIRECTION('',(0.E0,1.E0,0.E0)); +#98001=DIRECTION('',(-1.E0,0.E0,0.E0)); +#98002=AXIS2_PLACEMENT_3D('',#97999,#98000,#98001); +#98003=PLANE('',#98002); +#98004=ORIENTED_EDGE('',*,*,#97990,.T.); +#98006=ORIENTED_EDGE('',*,*,#98005,.F.); +#98007=ORIENTED_EDGE('',*,*,#65060,.T.); +#98008=ORIENTED_EDGE('',*,*,#97977,.F.); +#98009=EDGE_LOOP('',(#98004,#98006,#98007,#98008)); +#98010=FACE_OUTER_BOUND('',#98009,.F.); +#98012=CARTESIAN_POINT('',(1.85E1,8.48E0,0.E0)); +#98013=DIRECTION('',(-1.E0,0.E0,0.E0)); +#98014=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98015=AXIS2_PLACEMENT_3D('',#98012,#98013,#98014); +#98016=PLANE('',#98015); +#98017=ORIENTED_EDGE('',*,*,#65317,.T.); +#98018=ORIENTED_EDGE('',*,*,#65183,.F.); +#98019=ORIENTED_EDGE('',*,*,#65170,.F.); +#98020=ORIENTED_EDGE('',*,*,#65062,.T.); +#98021=ORIENTED_EDGE('',*,*,#98005,.T.); +#98022=ORIENTED_EDGE('',*,*,#97994,.F.); +#98023=ORIENTED_EDGE('',*,*,#65300,.T.); +#98025=ORIENTED_EDGE('',*,*,#98024,.F.); +#98026=ORIENTED_EDGE('',*,*,#64871,.T.); +#98027=ORIENTED_EDGE('',*,*,#65244,.T.); +#98028=EDGE_LOOP('',(#98017,#98018,#98019,#98020,#98021,#98022,#98023,#98025, +#98026,#98027)); +#98029=FACE_OUTER_BOUND('',#98028,.F.); +#98031=CARTESIAN_POINT('',(2.43E1,-6.2E-1,0.E0)); +#98032=DIRECTION('',(0.E0,0.E0,1.E0)); +#98033=DIRECTION('',(1.E0,0.E0,0.E0)); +#98034=AXIS2_PLACEMENT_3D('',#98031,#98032,#98033); +#98035=CYLINDRICAL_SURFACE('',#98034,5.8E0); +#98036=ORIENTED_EDGE('',*,*,#65298,.T.); +#98037=ORIENTED_EDGE('',*,*,#97962,.F.); +#98039=ORIENTED_EDGE('',*,*,#98038,.F.); +#98040=ORIENTED_EDGE('',*,*,#65054,.T.); +#98041=ORIENTED_EDGE('',*,*,#64608,.T.); +#98042=ORIENTED_EDGE('',*,*,#64737,.F.); +#98043=ORIENTED_EDGE('',*,*,#64857,.T.); +#98044=ORIENTED_EDGE('',*,*,#98024,.T.); +#98045=EDGE_LOOP('',(#98036,#98037,#98039,#98040,#98041,#98042,#98043,#98044)); +#98046=FACE_OUTER_BOUND('',#98045,.F.); +#98048=CARTESIAN_POINT('',(1.863629979607E1,-1.87E0,-6.75E0)); +#98049=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98050=DIRECTION('',(1.E0,0.E0,0.E0)); +#98051=AXIS2_PLACEMENT_3D('',#98048,#98049,#98050); +#98052=PLANE('',#98051); +#98053=ORIENTED_EDGE('',*,*,#97960,.T.); +#98054=ORIENTED_EDGE('',*,*,#97980,.T.); +#98055=ORIENTED_EDGE('',*,*,#65056,.F.); +#98056=ORIENTED_EDGE('',*,*,#98038,.T.); +#98057=EDGE_LOOP('',(#98053,#98054,#98055,#98056)); +#98058=FACE_OUTER_BOUND('',#98057,.F.); +#98060=CARTESIAN_POINT('',(5.35E0,-6.42E0,-6.95E0)); +#98061=DIRECTION('',(1.E0,0.E0,0.E0)); +#98062=DIRECTION('',(0.E0,1.E0,0.E0)); +#98063=AXIS2_PLACEMENT_3D('',#98060,#98061,#98062); +#98064=PLANE('',#98063); +#98065=ORIENTED_EDGE('',*,*,#64560,.F.); +#98067=ORIENTED_EDGE('',*,*,#98066,.T.); +#98068=ORIENTED_EDGE('',*,*,#64640,.F.); +#98069=ORIENTED_EDGE('',*,*,#64527,.T.); +#98070=EDGE_LOOP('',(#98065,#98067,#98068,#98069)); +#98071=FACE_OUTER_BOUND('',#98070,.F.); +#98073=CARTESIAN_POINT('',(5.35E0,-4.87E0,-6.95E0)); +#98074=DIRECTION('',(0.E0,1.E0,0.E0)); +#98075=DIRECTION('',(-1.E0,0.E0,0.E0)); +#98076=AXIS2_PLACEMENT_3D('',#98073,#98074,#98075); +#98077=PLANE('',#98076); +#98078=ORIENTED_EDGE('',*,*,#64558,.T.); +#98079=ORIENTED_EDGE('',*,*,#64590,.T.); +#98080=ORIENTED_EDGE('',*,*,#64642,.F.); +#98081=ORIENTED_EDGE('',*,*,#98066,.F.); +#98082=EDGE_LOOP('',(#98078,#98079,#98080,#98081)); +#98083=FACE_OUTER_BOUND('',#98082,.F.); +#98085=CARTESIAN_POINT('',(-4.985E1,-6.42E0,-6.95E0)); +#98086=DIRECTION('',(1.E0,0.E0,0.E0)); +#98087=DIRECTION('',(0.E0,1.E0,0.E0)); +#98088=AXIS2_PLACEMENT_3D('',#98085,#98086,#98087); +#98089=PLANE('',#98088); +#98090=ORIENTED_EDGE('',*,*,#64571,.F.); +#98092=ORIENTED_EDGE('',*,*,#98091,.T.); +#98093=ORIENTED_EDGE('',*,*,#64646,.F.); +#98094=ORIENTED_EDGE('',*,*,#64542,.T.); +#98095=EDGE_LOOP('',(#98090,#98092,#98093,#98094)); +#98096=FACE_OUTER_BOUND('',#98095,.F.); +#98098=CARTESIAN_POINT('',(-4.985E1,-4.87E0,-6.95E0)); +#98099=DIRECTION('',(0.E0,1.E0,0.E0)); +#98100=DIRECTION('',(-1.E0,0.E0,0.E0)); +#98101=AXIS2_PLACEMENT_3D('',#98098,#98099,#98100); +#98102=PLANE('',#98101); +#98103=ORIENTED_EDGE('',*,*,#64576,.T.); +#98105=ORIENTED_EDGE('',*,*,#98104,.T.); +#98106=ORIENTED_EDGE('',*,*,#64648,.F.); +#98107=ORIENTED_EDGE('',*,*,#98091,.F.); +#98108=EDGE_LOOP('',(#98103,#98105,#98106,#98107)); +#98109=FACE_OUTER_BOUND('',#98108,.F.); +#98111=CARTESIAN_POINT('',(-5.135E1,-4.87E0,-6.95E0)); +#98112=DIRECTION('',(-1.E0,0.E0,0.E0)); +#98113=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98114=AXIS2_PLACEMENT_3D('',#98111,#98112,#98113); +#98115=PLANE('',#98114); +#98116=ORIENTED_EDGE('',*,*,#64574,.T.); +#98117=ORIENTED_EDGE('',*,*,#64538,.F.); +#98118=ORIENTED_EDGE('',*,*,#64650,.T.); +#98119=ORIENTED_EDGE('',*,*,#98104,.F.); +#98120=EDGE_LOOP('',(#98116,#98117,#98118,#98119)); +#98121=FACE_OUTER_BOUND('',#98120,.F.); +#98123=CARTESIAN_POINT('',(0.E0,1.49E1,-1.745E1)); +#98124=DIRECTION('',(0.E0,1.E0,0.E0)); +#98125=DIRECTION('',(-1.E0,0.E0,0.E0)); +#98126=AXIS2_PLACEMENT_3D('',#98123,#98124,#98125); +#98127=PLANE('',#98126); +#98129=ORIENTED_EDGE('',*,*,#98128,.F.); +#98130=ORIENTED_EDGE('',*,*,#64237,.T.); +#98132=ORIENTED_EDGE('',*,*,#98131,.F.); +#98134=ORIENTED_EDGE('',*,*,#98133,.F.); +#98136=ORIENTED_EDGE('',*,*,#98135,.F.); +#98138=ORIENTED_EDGE('',*,*,#98137,.F.); +#98139=EDGE_LOOP('',(#98129,#98130,#98132,#98134,#98136,#98138)); +#98140=FACE_OUTER_BOUND('',#98139,.F.); +#98142=CARTESIAN_POINT('',(0.E0,1.49E1,-1.745E1)); +#98143=DIRECTION('',(0.E0,1.E0,0.E0)); +#98144=DIRECTION('',(-1.E0,0.E0,0.E0)); +#98145=AXIS2_PLACEMENT_3D('',#98142,#98143,#98144); +#98146=PLANE('',#98145); +#98148=ORIENTED_EDGE('',*,*,#98147,.F.); +#98149=ORIENTED_EDGE('',*,*,#64249,.T.); +#98151=ORIENTED_EDGE('',*,*,#98150,.F.); +#98153=ORIENTED_EDGE('',*,*,#98152,.F.); +#98155=ORIENTED_EDGE('',*,*,#98154,.F.); +#98157=ORIENTED_EDGE('',*,*,#98156,.F.); +#98158=EDGE_LOOP('',(#98148,#98149,#98151,#98153,#98155,#98157)); +#98159=FACE_OUTER_BOUND('',#98158,.F.); +#98161=CARTESIAN_POINT('',(0.E0,1.49E1,-1.745E1)); +#98162=DIRECTION('',(0.E0,1.E0,0.E0)); +#98163=DIRECTION('',(-1.E0,0.E0,0.E0)); +#98164=AXIS2_PLACEMENT_3D('',#98161,#98162,#98163); +#98165=PLANE('',#98164); +#98167=ORIENTED_EDGE('',*,*,#98166,.F.); +#98168=ORIENTED_EDGE('',*,*,#64363,.T.); +#98170=ORIENTED_EDGE('',*,*,#98169,.F.); +#98172=ORIENTED_EDGE('',*,*,#98171,.F.); +#98174=ORIENTED_EDGE('',*,*,#98173,.F.); +#98176=ORIENTED_EDGE('',*,*,#98175,.F.); +#98177=EDGE_LOOP('',(#98167,#98168,#98170,#98172,#98174,#98176)); +#98178=FACE_OUTER_BOUND('',#98177,.F.); +#98180=CARTESIAN_POINT('',(0.E0,1.49E1,-1.745E1)); +#98181=DIRECTION('',(0.E0,1.E0,0.E0)); +#98182=DIRECTION('',(-1.E0,0.E0,0.E0)); +#98183=AXIS2_PLACEMENT_3D('',#98180,#98181,#98182); +#98184=PLANE('',#98183); +#98186=ORIENTED_EDGE('',*,*,#98185,.F.); +#98187=ORIENTED_EDGE('',*,*,#64375,.T.); +#98189=ORIENTED_EDGE('',*,*,#98188,.F.); +#98191=ORIENTED_EDGE('',*,*,#98190,.F.); +#98193=ORIENTED_EDGE('',*,*,#98192,.F.); +#98195=ORIENTED_EDGE('',*,*,#98194,.F.); +#98196=EDGE_LOOP('',(#98186,#98187,#98189,#98191,#98193,#98195)); +#98197=FACE_OUTER_BOUND('',#98196,.F.); +#98199=CARTESIAN_POINT('',(-3.381730017776E1,1.52E1,7.5E-1)); +#98200=DIRECTION('',(6.849250969847E-1,-7.071067811865E-1,1.757202649683E-1)); +#98201=DIRECTION('',(1.222575296178E-1,-1.262169084247E-1,-9.844401396121E-1)); +#98202=AXIS2_PLACEMENT_3D('',#98199,#98200,#98201); +#98203=PLANE('',#98202); +#98205=ORIENTED_EDGE('',*,*,#98204,.F.); +#98207=ORIENTED_EDGE('',*,*,#98206,.F.); +#98208=ORIENTED_EDGE('',*,*,#64239,.T.); +#98209=ORIENTED_EDGE('',*,*,#98128,.T.); +#98211=ORIENTED_EDGE('',*,*,#98210,.F.); +#98212=EDGE_LOOP('',(#98205,#98207,#98208,#98209,#98211)); +#98213=FACE_OUTER_BOUND('',#98212,.F.); +#98215=CARTESIAN_POINT('',(-3.456695079848E1,1.633793044475E1, +8.551658855212E-1)); +#98216=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98217=DIRECTION('',(9.686303613654E-1,0.E0,2.485059819020E-1)); +#98218=AXIS2_PLACEMENT_3D('',#98215,#98216,#98217); +#98219=CYLINDRICAL_SURFACE('',#98218,1.E0); +#98220=ORIENTED_EDGE('',*,*,#98204,.T.); +#98222=ORIENTED_EDGE('',*,*,#98221,.T.); +#98224=ORIENTED_EDGE('',*,*,#98223,.F.); +#98226=ORIENTED_EDGE('',*,*,#98225,.T.); +#98228=ORIENTED_EDGE('',*,*,#98227,.T.); +#98230=ORIENTED_EDGE('',*,*,#98229,.T.); +#98231=EDGE_LOOP('',(#98220,#98222,#98224,#98226,#98228,#98230)); +#98232=FACE_OUTER_BOUND('',#98231,.F.); +#98234=CARTESIAN_POINT('',(-3.568836692402E1,1.52E1,2.349350914205E0)); +#98235=DIRECTION('',(3.653045718946E-1,-7.071067811865E-1,6.054358510634E-1)); +#98236=DIRECTION('',(-9.308880543615E-1,-2.774870069230E-1,2.375887018274E-1)); +#98237=AXIS2_PLACEMENT_3D('',#98234,#98235,#98236); +#98238=PLANE('',#98237); +#98239=ORIENTED_EDGE('',*,*,#98221,.F.); +#98240=ORIENTED_EDGE('',*,*,#98210,.T.); +#98241=ORIENTED_EDGE('',*,*,#98137,.T.); +#98243=ORIENTED_EDGE('',*,*,#98242,.T.); +#98245=ORIENTED_EDGE('',*,*,#98244,.F.); +#98246=EDGE_LOOP('',(#98239,#98240,#98241,#98243,#98245)); +#98247=FACE_OUTER_BOUND('',#98246,.F.); +#98249=CARTESIAN_POINT('',(-3.84E1,1.520000025150E1,2.E0)); +#98250=DIRECTION('',(0.E0,1.E0,0.E0)); +#98251=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98252=AXIS2_PLACEMENT_3D('',#98249,#98250,#98251); +#98253=CONICAL_SURFACE('',#98252,1.699999916114E0,4.499999434817E1); +#98254=ORIENTED_EDGE('',*,*,#98135,.T.); +#98256=ORIENTED_EDGE('',*,*,#98255,.T.); +#98258=ORIENTED_EDGE('',*,*,#98257,.F.); +#98259=ORIENTED_EDGE('',*,*,#98242,.F.); +#98260=EDGE_LOOP('',(#98254,#98256,#98258,#98259)); +#98261=FACE_OUTER_BOUND('',#98260,.F.); +#98263=CARTESIAN_POINT('',(-4.111163307598E1,1.52E1,2.349350914205E0)); +#98264=DIRECTION('',(-3.653045718946E-1,-7.071067811865E-1,6.054358510634E-1)); +#98265=DIRECTION('',(-9.308880543615E-1,2.774870069230E-1,-2.375887018274E-1)); +#98266=AXIS2_PLACEMENT_3D('',#98263,#98264,#98265); +#98267=PLANE('',#98266); +#98269=ORIENTED_EDGE('',*,*,#98268,.F.); +#98271=ORIENTED_EDGE('',*,*,#98270,.F.); +#98272=ORIENTED_EDGE('',*,*,#98255,.F.); +#98273=ORIENTED_EDGE('',*,*,#98133,.T.); +#98275=ORIENTED_EDGE('',*,*,#98274,.F.); +#98276=EDGE_LOOP('',(#98269,#98271,#98272,#98273,#98275)); +#98277=FACE_OUTER_BOUND('',#98276,.F.); +#98279=CARTESIAN_POINT('',(-4.223304920152E1,1.635489665517E1, +8.551658855212E-1)); +#98280=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98281=DIRECTION('',(-5.166186799703E-1,0.E0,8.562155917208E-1)); +#98282=AXIS2_PLACEMENT_3D('',#98279,#98280,#98281); +#98283=CYLINDRICAL_SURFACE('',#98282,1.E0); +#98284=ORIENTED_EDGE('',*,*,#98268,.T.); +#98286=ORIENTED_EDGE('',*,*,#98285,.T.); +#98288=ORIENTED_EDGE('',*,*,#98287,.F.); +#98290=ORIENTED_EDGE('',*,*,#98289,.T.); +#98292=ORIENTED_EDGE('',*,*,#98291,.T.); +#98294=ORIENTED_EDGE('',*,*,#98293,.T.); +#98295=EDGE_LOOP('',(#98284,#98286,#98288,#98290,#98292,#98294)); +#98296=FACE_OUTER_BOUND('',#98295,.F.); +#98298=CARTESIAN_POINT('',(-4.298269982224E1,1.52E1,7.5E-1)); +#98299=DIRECTION('',(-6.849250969847E-1,-7.071067811865E-1,1.757202649683E-1)); +#98300=DIRECTION('',(-1.222575296178E-1,-1.262169084247E-1,-9.844401396121E-1)); +#98301=AXIS2_PLACEMENT_3D('',#98298,#98299,#98300); +#98302=PLANE('',#98301); +#98303=ORIENTED_EDGE('',*,*,#98285,.F.); +#98304=ORIENTED_EDGE('',*,*,#98274,.T.); +#98305=ORIENTED_EDGE('',*,*,#98131,.T.); +#98306=ORIENTED_EDGE('',*,*,#64295,.T.); +#98308=ORIENTED_EDGE('',*,*,#98307,.F.); +#98309=EDGE_LOOP('',(#98303,#98304,#98305,#98306,#98308)); +#98310=FACE_OUTER_BOUND('',#98309,.F.); +#98312=CARTESIAN_POINT('',(-4.35E1,1.67E1,-5.912602819739E-2)); +#98313=DIRECTION('',(-9.686303613654E-1,0.E0,2.485059819020E-1)); +#98314=DIRECTION('',(2.485059819020E-1,0.E0,9.686303613654E-1)); +#98315=AXIS2_PLACEMENT_3D('',#98312,#98313,#98314); +#98316=PLANE('',#98315); +#98317=ORIENTED_EDGE('',*,*,#98287,.T.); +#98318=ORIENTED_EDGE('',*,*,#98307,.T.); +#98319=ORIENTED_EDGE('',*,*,#64293,.F.); +#98321=ORIENTED_EDGE('',*,*,#98320,.F.); +#98323=ORIENTED_EDGE('',*,*,#98322,.T.); +#98325=ORIENTED_EDGE('',*,*,#98324,.T.); +#98326=EDGE_LOOP('',(#98317,#98318,#98319,#98321,#98323,#98325)); +#98327=FACE_OUTER_BOUND('',#98326,.F.); +#98329=CARTESIAN_POINT('',(-4.780679199084E1,1.6E1,-2.E-1)); +#98330=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#98331=DIRECTION('',(-1.E0,0.E0,0.E0)); +#98332=AXIS2_PLACEMENT_3D('',#98329,#98330,#98331); +#98333=PLANE('',#98332); +#98334=ORIENTED_EDGE('',*,*,#64291,.F.); +#98336=ORIENTED_EDGE('',*,*,#98335,.F.); +#98337=ORIENTED_EDGE('',*,*,#63554,.T.); +#98339=ORIENTED_EDGE('',*,*,#98338,.T.); +#98340=ORIENTED_EDGE('',*,*,#98320,.T.); +#98341=EDGE_LOOP('',(#98334,#98336,#98337,#98339,#98340)); +#98342=FACE_OUTER_BOUND('',#98341,.F.); +#98344=CARTESIAN_POINT('',(-5.2128753E1,9.E0,-2.000017132944E-1)); +#98345=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98346=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98347=AXIS2_PLACEMENT_3D('',#98344,#98345,#98346); +#98348=CONICAL_SURFACE('',#98347,6.999998310326E0,4.499998607316E1); +#98350=ORIENTED_EDGE('',*,*,#98349,.T.); +#98351=ORIENTED_EDGE('',*,*,#98335,.T.); +#98352=ORIENTED_EDGE('',*,*,#64289,.F.); +#98354=ORIENTED_EDGE('',*,*,#98353,.F.); +#98355=EDGE_LOOP('',(#98350,#98351,#98352,#98354)); +#98356=FACE_OUTER_BOUND('',#98355,.F.); +#98358=CARTESIAN_POINT('',(-5.2128753E1,9.E0,-1.E0)); +#98359=DIRECTION('',(0.E0,0.E0,1.E0)); +#98360=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98361=AXIS2_PLACEMENT_3D('',#98358,#98359,#98360); +#98362=CYLINDRICAL_SURFACE('',#98361,7.2E0); +#98363=ORIENTED_EDGE('',*,*,#63904,.F.); +#98364=ORIENTED_EDGE('',*,*,#63536,.F.); +#98365=ORIENTED_EDGE('',*,*,#63556,.F.); +#98366=ORIENTED_EDGE('',*,*,#98349,.F.); +#98368=ORIENTED_EDGE('',*,*,#98367,.T.); +#98369=EDGE_LOOP('',(#98363,#98364,#98365,#98366,#98368)); +#98370=FACE_OUTER_BOUND('',#98369,.F.); +#98372=CARTESIAN_POINT('',(-5.846520874770E1,1.241896600707E1,0.E0)); +#98373=DIRECTION('',(-8.800632982911E-1,4.748563898706E-1,0.E0)); +#98374=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98375=AXIS2_PLACEMENT_3D('',#98372,#98373,#98374); +#98376=PLANE('',#98375); +#98378=ORIENTED_EDGE('',*,*,#98377,.F.); +#98380=ORIENTED_EDGE('',*,*,#98379,.T.); +#98381=ORIENTED_EDGE('',*,*,#63906,.F.); +#98382=ORIENTED_EDGE('',*,*,#98367,.F.); +#98383=EDGE_LOOP('',(#98378,#98380,#98381,#98382)); +#98384=FACE_OUTER_BOUND('',#98383,.F.); +#98386=CARTESIAN_POINT('',(-6.102481965207E1,7.253994729094E0,-2.E-1)); +#98387=DIRECTION('',(-6.222987260951E-1,3.357741733673E-1,7.071067811865E-1)); +#98388=DIRECTION('',(-2.218307770050E-1,-9.419425165580E-1,2.520622976049E-1)); +#98389=AXIS2_PLACEMENT_3D('',#98386,#98387,#98388); +#98390=PLANE('',#98389); +#98391=ORIENTED_EDGE('',*,*,#98377,.T.); +#98392=ORIENTED_EDGE('',*,*,#98353,.T.); +#98393=ORIENTED_EDGE('',*,*,#64287,.F.); +#98395=ORIENTED_EDGE('',*,*,#98394,.F.); +#98396=EDGE_LOOP('',(#98391,#98392,#98393,#98395)); +#98397=FACE_OUTER_BOUND('',#98396,.F.); +#98399=CARTESIAN_POINT('',(-5.760000012806E1,-1.14E0,-2.000001641131E-1)); +#98400=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98401=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98402=AXIS2_PLACEMENT_3D('',#98399,#98400,#98401); +#98403=CONICAL_SURFACE('',#98402,6.999999866428E0,4.499999878171E1); +#98405=ORIENTED_EDGE('',*,*,#98404,.T.); +#98406=ORIENTED_EDGE('',*,*,#98394,.T.); +#98407=ORIENTED_EDGE('',*,*,#64285,.F.); +#98409=ORIENTED_EDGE('',*,*,#98408,.F.); +#98410=EDGE_LOOP('',(#98405,#98406,#98407,#98409)); +#98411=FACE_OUTER_BOUND('',#98410,.F.); +#98413=CARTESIAN_POINT('',(-5.760000012806E1,-1.14E0,-1.E0)); +#98414=DIRECTION('',(0.E0,0.E0,1.E0)); +#98415=DIRECTION('',(8.800632982911E-1,-4.748563898706E-1,0.E0)); +#98416=AXIS2_PLACEMENT_3D('',#98413,#98414,#98415); +#98417=CYLINDRICAL_SURFACE('',#98416,7.2E0); +#98418=ORIENTED_EDGE('',*,*,#98404,.F.); +#98420=ORIENTED_EDGE('',*,*,#98419,.T.); +#98421=ORIENTED_EDGE('',*,*,#63908,.F.); +#98422=ORIENTED_EDGE('',*,*,#98379,.F.); +#98423=EDGE_LOOP('',(#98418,#98420,#98421,#98422)); +#98424=FACE_OUTER_BOUND('',#98423,.F.); +#98426=CARTESIAN_POINT('',(-5.760000012806E1,-8.34E0,0.E0)); +#98427=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98428=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98429=AXIS2_PLACEMENT_3D('',#98426,#98427,#98428); +#98430=PLANE('',#98429); +#98432=ORIENTED_EDGE('',*,*,#98431,.F.); +#98434=ORIENTED_EDGE('',*,*,#98433,.T.); +#98435=ORIENTED_EDGE('',*,*,#63910,.F.); +#98436=ORIENTED_EDGE('',*,*,#98419,.F.); +#98437=EDGE_LOOP('',(#98432,#98434,#98435,#98436)); +#98438=FACE_OUTER_BOUND('',#98437,.F.); +#98440=CARTESIAN_POINT('',(-5.760000012806E1,-8.34E0,0.E0)); +#98441=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98442=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98443=AXIS2_PLACEMENT_3D('',#98440,#98441,#98442); +#98444=PLANE('',#98443); +#98445=ORIENTED_EDGE('',*,*,#64223,.F.); +#98446=ORIENTED_EDGE('',*,*,#64020,.T.); +#98447=ORIENTED_EDGE('',*,*,#63869,.F.); +#98449=ORIENTED_EDGE('',*,*,#98448,.T.); +#98450=EDGE_LOOP('',(#98445,#98446,#98447,#98449)); +#98451=FACE_OUTER_BOUND('',#98450,.F.); +#98453=CARTESIAN_POINT('',(-5.760000012806E1,-8.34E0,0.E0)); +#98454=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98455=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98456=AXIS2_PLACEMENT_3D('',#98453,#98454,#98455); +#98457=PLANE('',#98456); +#98459=ORIENTED_EDGE('',*,*,#98458,.F.); +#98461=ORIENTED_EDGE('',*,*,#98460,.T.); +#98462=ORIENTED_EDGE('',*,*,#63881,.F.); +#98463=ORIENTED_EDGE('',*,*,#64014,.T.); +#98464=EDGE_LOOP('',(#98459,#98461,#98462,#98463)); +#98465=FACE_OUTER_BOUND('',#98464,.F.); +#98467=CARTESIAN_POINT('',(-5.054241555487E1,-8.14E0,-2.E-1)); +#98468=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#98469=DIRECTION('',(-1.E0,0.E0,0.E0)); +#98470=AXIS2_PLACEMENT_3D('',#98467,#98468,#98469); +#98471=PLANE('',#98470); +#98472=ORIENTED_EDGE('',*,*,#98431,.T.); +#98473=ORIENTED_EDGE('',*,*,#98408,.T.); +#98474=ORIENTED_EDGE('',*,*,#64283,.F.); +#98476=ORIENTED_EDGE('',*,*,#98475,.T.); +#98478=ORIENTED_EDGE('',*,*,#98477,.T.); +#98479=EDGE_LOOP('',(#98472,#98473,#98474,#98476,#98478)); +#98480=FACE_OUTER_BOUND('',#98479,.F.); +#98482=CARTESIAN_POINT('',(-4.31E1,-8.84E0,1.5E0)); +#98483=DIRECTION('',(-9.686303613654E-1,0.E0,2.485059819020E-1)); +#98484=DIRECTION('',(-2.485059819020E-1,0.E0,-9.686303613654E-1)); +#98485=AXIS2_PLACEMENT_3D('',#98482,#98483,#98484); +#98486=PLANE('',#98485); +#98488=ORIENTED_EDGE('',*,*,#98487,.F.); +#98490=ORIENTED_EDGE('',*,*,#98489,.T.); +#98492=ORIENTED_EDGE('',*,*,#98491,.F.); +#98493=ORIENTED_EDGE('',*,*,#98475,.F.); +#98494=ORIENTED_EDGE('',*,*,#64281,.T.); +#98496=ORIENTED_EDGE('',*,*,#98495,.T.); +#98497=EDGE_LOOP('',(#98488,#98490,#98492,#98493,#98494,#98496)); +#98498=FACE_OUTER_BOUND('',#98497,.F.); +#98500=CARTESIAN_POINT('',(-4.223304920152E1,-8.477930444753E0, +8.551658855212E-1)); +#98501=DIRECTION('',(0.E0,1.E0,0.E0)); +#98502=DIRECTION('',(-9.686303613654E-1,0.E0,2.485059819020E-1)); +#98503=AXIS2_PLACEMENT_3D('',#98500,#98501,#98502); +#98504=CYLINDRICAL_SURFACE('',#98503,1.E0); +#98506=ORIENTED_EDGE('',*,*,#98505,.T.); +#98508=ORIENTED_EDGE('',*,*,#98507,.T.); +#98510=ORIENTED_EDGE('',*,*,#98509,.F.); +#98512=ORIENTED_EDGE('',*,*,#98511,.T.); +#98514=ORIENTED_EDGE('',*,*,#98513,.T.); +#98515=ORIENTED_EDGE('',*,*,#98487,.T.); +#98516=EDGE_LOOP('',(#98506,#98508,#98510,#98512,#98514,#98515)); +#98517=FACE_OUTER_BOUND('',#98516,.F.); +#98519=CARTESIAN_POINT('',(-4.298269982224E1,-7.34E0,7.5E-1)); +#98520=DIRECTION('',(-6.849250969847E-1,7.071067811865E-1,1.757202649683E-1)); +#98521=DIRECTION('',(-1.222575296178E-1,1.262169084247E-1,-9.844401396121E-1)); +#98522=AXIS2_PLACEMENT_3D('',#98519,#98520,#98521); +#98523=PLANE('',#98522); +#98524=ORIENTED_EDGE('',*,*,#98505,.F.); +#98525=ORIENTED_EDGE('',*,*,#98495,.F.); +#98526=ORIENTED_EDGE('',*,*,#64279,.T.); +#98528=ORIENTED_EDGE('',*,*,#98527,.T.); +#98530=ORIENTED_EDGE('',*,*,#98529,.F.); +#98531=EDGE_LOOP('',(#98524,#98525,#98526,#98528,#98530)); +#98532=FACE_OUTER_BOUND('',#98531,.F.); +#98534=CARTESIAN_POINT('',(0.E0,-7.04E0,0.E0)); +#98535=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98536=DIRECTION('',(1.E0,0.E0,0.E0)); +#98537=AXIS2_PLACEMENT_3D('',#98534,#98535,#98536); +#98538=PLANE('',#98537); +#98540=ORIENTED_EDGE('',*,*,#98539,.F.); +#98541=ORIENTED_EDGE('',*,*,#64331,.T.); +#98543=ORIENTED_EDGE('',*,*,#98542,.F.); +#98545=ORIENTED_EDGE('',*,*,#98544,.F.); +#98547=ORIENTED_EDGE('',*,*,#98546,.F.); +#98549=ORIENTED_EDGE('',*,*,#98548,.F.); +#98550=EDGE_LOOP('',(#98540,#98541,#98543,#98545,#98547,#98549)); +#98551=FACE_OUTER_BOUND('',#98550,.F.); +#98553=CARTESIAN_POINT('',(0.E0,-7.04E0,0.E0)); +#98554=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98555=DIRECTION('',(1.E0,0.E0,0.E0)); +#98556=AXIS2_PLACEMENT_3D('',#98553,#98554,#98555); +#98557=PLANE('',#98556); +#98559=ORIENTED_EDGE('',*,*,#98558,.F.); +#98561=ORIENTED_EDGE('',*,*,#98560,.F.); +#98563=ORIENTED_EDGE('',*,*,#98562,.F.); +#98565=ORIENTED_EDGE('',*,*,#98564,.F.); +#98567=ORIENTED_EDGE('',*,*,#98566,.F.); +#98568=ORIENTED_EDGE('',*,*,#64343,.T.); +#98569=EDGE_LOOP('',(#98559,#98561,#98563,#98565,#98567,#98568)); +#98570=FACE_OUTER_BOUND('',#98569,.F.); +#98572=CARTESIAN_POINT('',(0.E0,-7.04E0,0.E0)); +#98573=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98574=DIRECTION('',(1.E0,0.E0,0.E0)); +#98575=AXIS2_PLACEMENT_3D('',#98572,#98573,#98574); +#98576=PLANE('',#98575); +#98577=ORIENTED_EDGE('',*,*,#64191,.F.); +#98578=ORIENTED_EDGE('',*,*,#64268,.T.); +#98580=ORIENTED_EDGE('',*,*,#98579,.F.); +#98581=ORIENTED_EDGE('',*,*,#64079,.F.); +#98583=ORIENTED_EDGE('',*,*,#98582,.F.); +#98585=ORIENTED_EDGE('',*,*,#98584,.F.); +#98586=EDGE_LOOP('',(#98577,#98578,#98580,#98581,#98583,#98585)); +#98587=FACE_OUTER_BOUND('',#98586,.F.); +#98589=CARTESIAN_POINT('',(0.E0,-7.04E0,0.E0)); +#98590=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98591=DIRECTION('',(1.E0,0.E0,0.E0)); +#98592=AXIS2_PLACEMENT_3D('',#98589,#98590,#98591); +#98593=PLANE('',#98592); +#98594=ORIENTED_EDGE('',*,*,#98527,.F.); +#98595=ORIENTED_EDGE('',*,*,#64277,.T.); +#98597=ORIENTED_EDGE('',*,*,#98596,.F.); +#98599=ORIENTED_EDGE('',*,*,#98598,.F.); +#98601=ORIENTED_EDGE('',*,*,#98600,.F.); +#98603=ORIENTED_EDGE('',*,*,#98602,.F.); +#98604=EDGE_LOOP('',(#98594,#98595,#98597,#98599,#98601,#98603)); +#98605=FACE_OUTER_BOUND('',#98604,.F.); +#98607=CARTESIAN_POINT('',(5.026730017776E1,-7.34E0,7.5E-1)); +#98608=DIRECTION('',(-6.849250969847E-1,7.071067811865E-1,1.757202649683E-1)); +#98609=DIRECTION('',(-1.222575296178E-1,1.262169084247E-1,-9.844401396121E-1)); +#98610=AXIS2_PLACEMENT_3D('',#98607,#98608,#98609); +#98611=PLANE('',#98610); +#98613=ORIENTED_EDGE('',*,*,#98612,.F.); +#98615=ORIENTED_EDGE('',*,*,#98614,.F.); +#98616=ORIENTED_EDGE('',*,*,#64333,.T.); +#98617=ORIENTED_EDGE('',*,*,#98539,.T.); +#98619=ORIENTED_EDGE('',*,*,#98618,.F.); +#98620=EDGE_LOOP('',(#98613,#98615,#98616,#98617,#98619)); +#98621=FACE_OUTER_BOUND('',#98620,.F.); +#98623=CARTESIAN_POINT('',(5.101695079848E1,-8.477930444753E0, +8.551658855212E-1)); +#98624=DIRECTION('',(0.E0,1.E0,0.E0)); +#98625=DIRECTION('',(-9.686303613654E-1,0.E0,2.485059819020E-1)); +#98626=AXIS2_PLACEMENT_3D('',#98623,#98624,#98625); +#98627=CYLINDRICAL_SURFACE('',#98626,1.E0); +#98628=ORIENTED_EDGE('',*,*,#98612,.T.); +#98630=ORIENTED_EDGE('',*,*,#98629,.T.); +#98632=ORIENTED_EDGE('',*,*,#98631,.F.); +#98634=ORIENTED_EDGE('',*,*,#98633,.T.); +#98636=ORIENTED_EDGE('',*,*,#98635,.T.); +#98638=ORIENTED_EDGE('',*,*,#98637,.T.); +#98639=EDGE_LOOP('',(#98628,#98630,#98632,#98634,#98636,#98638)); +#98640=FACE_OUTER_BOUND('',#98639,.F.); +#98642=CARTESIAN_POINT('',(5.213836692402E1,-7.34E0,2.349350914205E0)); +#98643=DIRECTION('',(-3.653045718946E-1,7.071067811866E-1,6.054358510634E-1)); +#98644=DIRECTION('',(-9.308880543615E-1,-2.774870069230E-1,-2.375887018274E-1)); +#98645=AXIS2_PLACEMENT_3D('',#98642,#98643,#98644); +#98646=PLANE('',#98645); +#98647=ORIENTED_EDGE('',*,*,#98629,.F.); +#98648=ORIENTED_EDGE('',*,*,#98618,.T.); +#98649=ORIENTED_EDGE('',*,*,#98548,.T.); +#98651=ORIENTED_EDGE('',*,*,#98650,.T.); +#98653=ORIENTED_EDGE('',*,*,#98652,.F.); +#98654=EDGE_LOOP('',(#98647,#98648,#98649,#98651,#98653)); +#98655=FACE_OUTER_BOUND('',#98654,.F.); +#98657=CARTESIAN_POINT('',(5.485E1,-7.340000251500E0,2.E0)); +#98658=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98659=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98660=AXIS2_PLACEMENT_3D('',#98657,#98658,#98659); +#98661=CONICAL_SURFACE('',#98660,1.699999916114E0,4.499999434817E1); +#98662=ORIENTED_EDGE('',*,*,#98546,.T.); +#98664=ORIENTED_EDGE('',*,*,#98663,.T.); +#98666=ORIENTED_EDGE('',*,*,#98665,.F.); +#98667=ORIENTED_EDGE('',*,*,#98650,.F.); +#98668=EDGE_LOOP('',(#98662,#98664,#98666,#98667)); +#98669=FACE_OUTER_BOUND('',#98668,.F.); +#98671=CARTESIAN_POINT('',(5.721163307598E1,-7.34E0,2.560531999597E0)); +#98672=DIRECTION('',(3.653045718946E-1,7.071067811865E-1,6.054358510634E-1)); +#98673=DIRECTION('',(-9.308880543615E-1,2.774870069230E-1,2.375887018274E-1)); +#98674=AXIS2_PLACEMENT_3D('',#98671,#98672,#98673); +#98675=PLANE('',#98674); +#98677=ORIENTED_EDGE('',*,*,#98676,.F.); +#98679=ORIENTED_EDGE('',*,*,#98678,.F.); +#98680=ORIENTED_EDGE('',*,*,#98663,.F.); +#98681=ORIENTED_EDGE('',*,*,#98544,.T.); +#98683=ORIENTED_EDGE('',*,*,#98682,.F.); +#98684=EDGE_LOOP('',(#98677,#98679,#98680,#98681,#98683)); +#98685=FACE_OUTER_BOUND('',#98684,.F.); +#98687=CARTESIAN_POINT('',(5.785E1,-8.394798455172E0,1.357806555700E0)); +#98688=DIRECTION('',(0.E0,1.E0,0.E0)); +#98689=DIRECTION('',(5.166186799703E-1,0.E0,8.562155917208E-1)); +#98690=AXIS2_PLACEMENT_3D('',#98687,#98688,#98689); +#98691=CYLINDRICAL_SURFACE('',#98690,1.E0); +#98692=ORIENTED_EDGE('',*,*,#98676,.T.); +#98694=ORIENTED_EDGE('',*,*,#98693,.T.); +#98696=ORIENTED_EDGE('',*,*,#98695,.F.); +#98698=ORIENTED_EDGE('',*,*,#98697,.T.); +#98700=ORIENTED_EDGE('',*,*,#98699,.T.); +#98702=ORIENTED_EDGE('',*,*,#98701,.T.); +#98703=EDGE_LOOP('',(#98692,#98694,#98696,#98698,#98700,#98702)); +#98704=FACE_OUTER_BOUND('',#98703,.F.); +#98706=CARTESIAN_POINT('',(5.855E1,-7.34E0,9.611810853925E-1)); +#98707=DIRECTION('',(7.071067811865E-1,7.071067811865E-1,0.E0)); +#98708=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98709=AXIS2_PLACEMENT_3D('',#98706,#98707,#98708); +#98710=PLANE('',#98709); +#98711=ORIENTED_EDGE('',*,*,#98693,.F.); +#98712=ORIENTED_EDGE('',*,*,#98682,.T.); +#98713=ORIENTED_EDGE('',*,*,#98542,.T.); +#98714=ORIENTED_EDGE('',*,*,#64329,.T.); +#98716=ORIENTED_EDGE('',*,*,#98715,.F.); +#98717=EDGE_LOOP('',(#98711,#98712,#98713,#98714,#98716)); +#98718=FACE_OUTER_BOUND('',#98717,.F.); +#98720=CARTESIAN_POINT('',(5.885E1,-8.84E0,0.E0)); +#98721=DIRECTION('',(1.E0,0.E0,0.E0)); +#98722=DIRECTION('',(0.E0,0.E0,1.E0)); +#98723=AXIS2_PLACEMENT_3D('',#98720,#98721,#98722); +#98724=PLANE('',#98723); +#98725=ORIENTED_EDGE('',*,*,#98695,.T.); +#98726=ORIENTED_EDGE('',*,*,#98715,.T.); +#98727=ORIENTED_EDGE('',*,*,#64327,.F.); +#98729=ORIENTED_EDGE('',*,*,#98728,.T.); +#98730=EDGE_LOOP('',(#98725,#98726,#98727,#98729)); +#98731=FACE_OUTER_BOUND('',#98730,.F.); +#98733=CARTESIAN_POINT('',(5.86E1,-8.59E0,9.611810853925E-1)); +#98734=DIRECTION('',(7.071067811866E-1,-7.071067811865E-1,0.E0)); +#98735=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98736=AXIS2_PLACEMENT_3D('',#98733,#98734,#98735); +#98737=PLANE('',#98736); +#98738=ORIENTED_EDGE('',*,*,#98697,.F.); +#98739=ORIENTED_EDGE('',*,*,#98728,.F.); +#98741=ORIENTED_EDGE('',*,*,#98740,.T.); +#98743=ORIENTED_EDGE('',*,*,#98742,.T.); +#98745=ORIENTED_EDGE('',*,*,#98744,.F.); +#98746=EDGE_LOOP('',(#98738,#98739,#98741,#98743,#98745)); +#98747=FACE_OUTER_BOUND('',#98746,.F.); +#98749=CARTESIAN_POINT('',(5.816396490356E1,-8.59E0,-1.188367270708E0)); +#98750=DIRECTION('',(6.665481905791E-1,-7.071067811865E-1,-2.360370937708E-1)); +#98751=DIRECTION('',(-1.619048744963E-1,1.717565755658E-1,-9.717440457056E-1)); +#98752=AXIS2_PLACEMENT_3D('',#98749,#98750,#98751); +#98753=PLANE('',#98752); +#98755=ORIENTED_EDGE('',*,*,#98754,.T.); +#98757=ORIENTED_EDGE('',*,*,#98756,.T.); +#98758=ORIENTED_EDGE('',*,*,#98740,.F.); +#98760=ORIENTED_EDGE('',*,*,#98759,.F.); +#98762=ORIENTED_EDGE('',*,*,#98761,.T.); +#98763=EDGE_LOOP('',(#98755,#98757,#98758,#98760,#98762)); +#98764=FACE_OUTER_BOUND('',#98763,.F.); +#98766=CARTESIAN_POINT('',(5.485E1,-8.639999778508E0,2.E0)); +#98767=DIRECTION('',(0.E0,1.E0,0.E0)); +#98768=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98769=AXIS2_PLACEMENT_3D('',#98766,#98767,#98768); +#98770=CONICAL_SURFACE('',#98769,5.299999796106E0,4.499999770068E1); +#98772=ORIENTED_EDGE('',*,*,#98771,.F.); +#98774=ORIENTED_EDGE('',*,*,#98773,.T.); +#98776=ORIENTED_EDGE('',*,*,#98775,.T.); +#98777=ORIENTED_EDGE('',*,*,#98754,.F.); +#98778=EDGE_LOOP('',(#98772,#98774,#98776,#98777)); +#98779=FACE_OUTER_BOUND('',#98778,.F.); +#98781=CARTESIAN_POINT('',(5.485E1,-8.84E0,2.E0)); +#98782=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98783=DIRECTION('',(1.E0,0.E0,0.E0)); +#98784=AXIS2_PLACEMENT_3D('',#98781,#98782,#98783); +#98785=CYLINDRICAL_SURFACE('',#98784,5.5E0); +#98787=ORIENTED_EDGE('',*,*,#98786,.F.); +#98789=ORIENTED_EDGE('',*,*,#98788,.F.); +#98791=ORIENTED_EDGE('',*,*,#98790,.T.); +#98792=ORIENTED_EDGE('',*,*,#98771,.T.); +#98793=ORIENTED_EDGE('',*,*,#98761,.F.); +#98795=ORIENTED_EDGE('',*,*,#98794,.F.); +#98797=ORIENTED_EDGE('',*,*,#98796,.F.); +#98799=ORIENTED_EDGE('',*,*,#98798,.T.); +#98800=EDGE_LOOP('',(#98787,#98789,#98791,#98792,#98793,#98795,#98797,#98799)); +#98801=FACE_OUTER_BOUND('',#98800,.F.); +#98803=CARTESIAN_POINT('',(2.365E1,-8.34E0,0.E0)); +#98804=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98805=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98806=AXIS2_PLACEMENT_3D('',#98803,#98804,#98805); +#98807=PLANE('',#98806); +#98809=ORIENTED_EDGE('',*,*,#98808,.F.); +#98811=ORIENTED_EDGE('',*,*,#98810,.T.); +#98813=ORIENTED_EDGE('',*,*,#98812,.F.); +#98815=ORIENTED_EDGE('',*,*,#98814,.F.); +#98816=EDGE_LOOP('',(#98809,#98811,#98813,#98815)); +#98817=FACE_OUTER_BOUND('',#98816,.F.); +#98819=CARTESIAN_POINT('',(2.365E1,-8.34E0,0.E0)); +#98820=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98821=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98822=AXIS2_PLACEMENT_3D('',#98819,#98820,#98821); +#98823=PLANE('',#98822); +#98825=ORIENTED_EDGE('',*,*,#98824,.F.); +#98826=ORIENTED_EDGE('',*,*,#98786,.T.); +#98828=ORIENTED_EDGE('',*,*,#98827,.F.); +#98830=ORIENTED_EDGE('',*,*,#98829,.T.); +#98831=EDGE_LOOP('',(#98825,#98826,#98828,#98830)); +#98832=FACE_OUTER_BOUND('',#98831,.F.); +#98834=CARTESIAN_POINT('',(2.365E1,-8.34E0,0.E0)); +#98835=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98836=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98837=AXIS2_PLACEMENT_3D('',#98834,#98835,#98836); +#98838=PLANE('',#98837); +#98840=ORIENTED_EDGE('',*,*,#98839,.F.); +#98842=ORIENTED_EDGE('',*,*,#98841,.F.); +#98844=ORIENTED_EDGE('',*,*,#98843,.F.); +#98845=ORIENTED_EDGE('',*,*,#98759,.T.); +#98846=ORIENTED_EDGE('',*,*,#64325,.T.); +#98847=EDGE_LOOP('',(#98840,#98842,#98844,#98845,#98846)); +#98848=FACE_OUTER_BOUND('',#98847,.F.); +#98850=CARTESIAN_POINT('',(2.555758450916E1,-8.14E0,-2.E-1)); +#98851=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#98852=DIRECTION('',(-1.E0,0.E0,0.E0)); +#98853=AXIS2_PLACEMENT_3D('',#98850,#98851,#98852); +#98854=PLANE('',#98853); +#98855=ORIENTED_EDGE('',*,*,#98808,.T.); +#98857=ORIENTED_EDGE('',*,*,#98856,.T.); +#98858=ORIENTED_EDGE('',*,*,#64349,.F.); +#98860=ORIENTED_EDGE('',*,*,#98859,.T.); +#98862=ORIENTED_EDGE('',*,*,#98861,.T.); +#98863=EDGE_LOOP('',(#98855,#98857,#98858,#98860,#98862)); +#98864=FACE_OUTER_BOUND('',#98863,.F.); +#98866=CARTESIAN_POINT('',(2.365E1,-1.64E0,-2.000005817265E-1)); +#98867=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98868=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98869=AXIS2_PLACEMENT_3D('',#98866,#98867,#98868); +#98870=CONICAL_SURFACE('',#98869,6.499999449864E0,4.499999501147E1); +#98872=ORIENTED_EDGE('',*,*,#98871,.T.); +#98874=ORIENTED_EDGE('',*,*,#98873,.T.); +#98875=ORIENTED_EDGE('',*,*,#64351,.F.); +#98876=ORIENTED_EDGE('',*,*,#98856,.F.); +#98877=EDGE_LOOP('',(#98872,#98874,#98875,#98876)); +#98878=FACE_OUTER_BOUND('',#98877,.F.); +#98880=CARTESIAN_POINT('',(2.365E1,-1.64E0,-1.E0)); +#98881=DIRECTION('',(0.E0,0.E0,1.E0)); +#98882=DIRECTION('',(1.E0,0.E0,0.E0)); +#98883=AXIS2_PLACEMENT_3D('',#98880,#98881,#98882); +#98884=CYLINDRICAL_SURFACE('',#98883,6.7E0); +#98885=ORIENTED_EDGE('',*,*,#98871,.F.); +#98886=ORIENTED_EDGE('',*,*,#98814,.T.); +#98888=ORIENTED_EDGE('',*,*,#98887,.F.); +#98890=ORIENTED_EDGE('',*,*,#98889,.F.); +#98891=EDGE_LOOP('',(#98885,#98886,#98888,#98890)); +#98892=FACE_OUTER_BOUND('',#98891,.F.); +#98894=CARTESIAN_POINT('',(5.975E1,1.62E1,-1.8E0)); +#98895=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98896=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98897=AXIS2_PLACEMENT_3D('',#98894,#98895,#98896); +#98898=PLANE('',#98897); +#98899=ORIENTED_EDGE('',*,*,#98812,.T.); +#98901=ORIENTED_EDGE('',*,*,#98900,.F.); +#98903=ORIENTED_EDGE('',*,*,#98902,.F.); +#98905=ORIENTED_EDGE('',*,*,#98904,.F.); +#98907=ORIENTED_EDGE('',*,*,#98906,.T.); +#98909=ORIENTED_EDGE('',*,*,#98908,.T.); +#98910=ORIENTED_EDGE('',*,*,#98887,.T.); +#98911=EDGE_LOOP('',(#98899,#98901,#98903,#98905,#98907,#98909,#98910)); +#98912=FACE_OUTER_BOUND('',#98911,.F.); +#98914=CARTESIAN_POINT('',(5.975E1,1.62E1,-1.8E0)); +#98915=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98916=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98917=AXIS2_PLACEMENT_3D('',#98914,#98915,#98916); +#98918=PLANE('',#98917); +#98919=ORIENTED_EDGE('',*,*,#98827,.T.); +#98920=ORIENTED_EDGE('',*,*,#98798,.F.); +#98922=ORIENTED_EDGE('',*,*,#98921,.F.); +#98924=ORIENTED_EDGE('',*,*,#98923,.T.); +#98925=EDGE_LOOP('',(#98919,#98920,#98922,#98924)); +#98926=FACE_OUTER_BOUND('',#98925,.F.); +#98928=CARTESIAN_POINT('',(5.975E1,1.62E1,-1.8E0)); +#98929=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98930=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98931=AXIS2_PLACEMENT_3D('',#98928,#98929,#98930); +#98932=PLANE('',#98931); +#98933=ORIENTED_EDGE('',*,*,#63747,.F.); +#98935=ORIENTED_EDGE('',*,*,#98934,.T.); +#98937=ORIENTED_EDGE('',*,*,#98936,.T.); +#98939=ORIENTED_EDGE('',*,*,#98938,.T.); +#98941=ORIENTED_EDGE('',*,*,#98940,.T.); +#98943=ORIENTED_EDGE('',*,*,#98942,.T.); +#98945=ORIENTED_EDGE('',*,*,#98944,.F.); +#98946=EDGE_LOOP('',(#98933,#98935,#98937,#98939,#98941,#98943,#98945)); +#98947=FACE_OUTER_BOUND('',#98946,.F.); +#98949=CARTESIAN_POINT('',(5.975E1,1.62E1,-1.8E0)); +#98950=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98951=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98952=AXIS2_PLACEMENT_3D('',#98949,#98950,#98951); +#98953=PLANE('',#98952); +#98955=ORIENTED_EDGE('',*,*,#98954,.T.); +#98957=ORIENTED_EDGE('',*,*,#98956,.F.); +#98959=ORIENTED_EDGE('',*,*,#98958,.T.); +#98960=ORIENTED_EDGE('',*,*,#63765,.F.); +#98961=ORIENTED_EDGE('',*,*,#63323,.T.); +#98962=EDGE_LOOP('',(#98955,#98957,#98959,#98960,#98961)); +#98963=FACE_OUTER_BOUND('',#98962,.F.); +#98965=CARTESIAN_POINT('',(5.975E1,1.62E1,-1.8E0)); +#98966=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98967=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98968=AXIS2_PLACEMENT_3D('',#98965,#98966,#98967); +#98969=PLANE('',#98968); +#98971=ORIENTED_EDGE('',*,*,#98970,.F.); +#98973=ORIENTED_EDGE('',*,*,#98972,.F.); +#98975=ORIENTED_EDGE('',*,*,#98974,.T.); +#98977=ORIENTED_EDGE('',*,*,#98976,.F.); +#98978=ORIENTED_EDGE('',*,*,#63731,.F.); +#98979=EDGE_LOOP('',(#98971,#98973,#98975,#98977,#98978)); +#98980=FACE_OUTER_BOUND('',#98979,.F.); +#98982=CARTESIAN_POINT('',(3.255E1,-8.84E0,2.E0)); +#98983=DIRECTION('',(0.E0,-1.E0,0.E0)); +#98984=DIRECTION('',(1.E0,0.E0,0.E0)); +#98985=AXIS2_PLACEMENT_3D('',#98982,#98983,#98984); +#98986=CYLINDRICAL_SURFACE('',#98985,5.5E0); +#98988=ORIENTED_EDGE('',*,*,#98987,.F.); +#98990=ORIENTED_EDGE('',*,*,#98989,.F.); +#98991=ORIENTED_EDGE('',*,*,#98829,.F.); +#98992=ORIENTED_EDGE('',*,*,#98923,.F.); +#98994=ORIENTED_EDGE('',*,*,#98993,.F.); +#98995=ORIENTED_EDGE('',*,*,#98900,.T.); +#98996=ORIENTED_EDGE('',*,*,#98810,.F.); +#98997=ORIENTED_EDGE('',*,*,#98861,.F.); +#98999=ORIENTED_EDGE('',*,*,#98998,.T.); +#99001=ORIENTED_EDGE('',*,*,#99000,.T.); +#99002=EDGE_LOOP('',(#98988,#98990,#98991,#98992,#98994,#98995,#98996,#98997, +#98999,#99001)); +#99003=FACE_OUTER_BOUND('',#99002,.F.); +#99005=CARTESIAN_POINT('',(3.765E1,-8.84E0,-5.912602819740E-2)); +#99006=DIRECTION('',(9.686303613654E-1,0.E0,2.485059819020E-1)); +#99007=DIRECTION('',(-2.485059819020E-1,0.E0,9.686303613654E-1)); +#99008=AXIS2_PLACEMENT_3D('',#99005,#99006,#99007); +#99009=PLANE('',#99008); +#99011=ORIENTED_EDGE('',*,*,#99010,.T.); +#99013=ORIENTED_EDGE('',*,*,#99012,.T.); +#99014=ORIENTED_EDGE('',*,*,#64339,.F.); +#99016=ORIENTED_EDGE('',*,*,#99015,.F.); +#99017=ORIENTED_EDGE('',*,*,#98987,.T.); +#99019=ORIENTED_EDGE('',*,*,#99018,.T.); +#99020=EDGE_LOOP('',(#99011,#99013,#99014,#99016,#99017,#99019)); +#99021=FACE_OUTER_BOUND('',#99020,.F.); +#99023=CARTESIAN_POINT('',(3.638304920152E1,-8.494896655172E0, +8.551658855212E-1)); +#99024=DIRECTION('',(0.E0,1.E0,0.E0)); +#99025=DIRECTION('',(5.166186799703E-1,0.E0,8.562155917208E-1)); +#99026=AXIS2_PLACEMENT_3D('',#99023,#99024,#99025); +#99027=CYLINDRICAL_SURFACE('',#99026,1.E0); +#99029=ORIENTED_EDGE('',*,*,#99028,.T.); +#99031=ORIENTED_EDGE('',*,*,#99030,.T.); +#99032=ORIENTED_EDGE('',*,*,#99010,.F.); +#99034=ORIENTED_EDGE('',*,*,#99033,.T.); +#99036=ORIENTED_EDGE('',*,*,#99035,.T.); +#99038=ORIENTED_EDGE('',*,*,#99037,.T.); +#99039=EDGE_LOOP('',(#99029,#99031,#99032,#99034,#99036,#99038)); +#99040=FACE_OUTER_BOUND('',#99039,.F.); +#99042=CARTESIAN_POINT('',(3.526163307598E1,-7.34E0,2.349350914205E0)); +#99043=DIRECTION('',(3.653045718946E-1,7.071067811865E-1,6.054358510634E-1)); +#99044=DIRECTION('',(-9.308880543615E-1,2.774870069230E-1,2.375887018274E-1)); +#99045=AXIS2_PLACEMENT_3D('',#99042,#99043,#99044); +#99046=PLANE('',#99045); +#99047=ORIENTED_EDGE('',*,*,#99028,.F.); +#99049=ORIENTED_EDGE('',*,*,#99048,.F.); +#99051=ORIENTED_EDGE('',*,*,#99050,.F.); +#99052=ORIENTED_EDGE('',*,*,#98560,.T.); +#99054=ORIENTED_EDGE('',*,*,#99053,.F.); +#99055=EDGE_LOOP('',(#99047,#99049,#99051,#99052,#99054)); +#99056=FACE_OUTER_BOUND('',#99055,.F.); +#99058=CARTESIAN_POINT('',(3.725E1,-8.84E0,1.5E0)); +#99059=DIRECTION('',(5.166186799703E-1,0.E0,8.562155917208E-1)); +#99060=DIRECTION('',(-8.562155917208E-1,0.E0,5.166186799703E-1)); +#99061=AXIS2_PLACEMENT_3D('',#99058,#99059,#99060); +#99062=PLANE('',#99061); +#99063=ORIENTED_EDGE('',*,*,#99037,.F.); +#99065=ORIENTED_EDGE('',*,*,#99064,.T.); +#99067=ORIENTED_EDGE('',*,*,#99066,.F.); +#99068=ORIENTED_EDGE('',*,*,#99048,.T.); +#99069=EDGE_LOOP('',(#99063,#99065,#99067,#99068)); +#99070=FACE_OUTER_BOUND('',#99069,.F.); +#99072=CARTESIAN_POINT('',(3.587309331275E1,-8.54E0,1.980411381419E0)); +#99073=DIRECTION('',(3.653045718946E-1,-7.071067811865E-1,6.054358510634E-1)); +#99074=DIRECTION('',(-9.308880543615E-1,-2.774870069230E-1,2.375887018274E-1)); +#99075=AXIS2_PLACEMENT_3D('',#99072,#99073,#99074); +#99076=PLANE('',#99075); +#99077=ORIENTED_EDGE('',*,*,#99035,.F.); +#99079=ORIENTED_EDGE('',*,*,#99078,.T.); +#99081=ORIENTED_EDGE('',*,*,#99080,.T.); +#99083=ORIENTED_EDGE('',*,*,#99082,.T.); +#99084=ORIENTED_EDGE('',*,*,#99064,.F.); +#99085=EDGE_LOOP('',(#99077,#99079,#99081,#99083,#99084)); +#99086=FACE_OUTER_BOUND('',#99085,.F.); +#99088=CARTESIAN_POINT('',(3.725627392773E1,-8.64E0,6.707357895209E-1)); +#99089=DIRECTION('',(6.849250969847E-1,-7.071067811865E-1,1.757202649683E-1)); +#99090=DIRECTION('',(1.222575296178E-1,-1.262169084247E-1,-9.844401396121E-1)); +#99091=AXIS2_PLACEMENT_3D('',#99088,#99089,#99090); +#99092=PLANE('',#99091); +#99093=ORIENTED_EDGE('',*,*,#99033,.F.); +#99094=ORIENTED_EDGE('',*,*,#99018,.F.); +#99096=ORIENTED_EDGE('',*,*,#99095,.T.); +#99098=ORIENTED_EDGE('',*,*,#99097,.T.); +#99099=ORIENTED_EDGE('',*,*,#99078,.F.); +#99100=EDGE_LOOP('',(#99093,#99094,#99096,#99098,#99099)); +#99101=FACE_OUTER_BOUND('',#99100,.F.); +#99103=CARTESIAN_POINT('',(3.255E1,-8.639999536513E0,2.E0)); +#99104=DIRECTION('',(0.E0,1.E0,0.E0)); +#99105=DIRECTION('',(0.E0,0.E0,-1.E0)); +#99106=AXIS2_PLACEMENT_3D('',#99103,#99104,#99105); +#99107=CONICAL_SURFACE('',#99106,5.299999573338E0,4.499999518851E1); +#99108=ORIENTED_EDGE('',*,*,#99000,.F.); +#99110=ORIENTED_EDGE('',*,*,#99109,.T.); +#99112=ORIENTED_EDGE('',*,*,#99111,.T.); +#99113=ORIENTED_EDGE('',*,*,#99095,.F.); +#99114=EDGE_LOOP('',(#99108,#99110,#99112,#99113)); +#99115=FACE_OUTER_BOUND('',#99114,.F.); +#99117=CARTESIAN_POINT('',(2.784372607227E1,-8.64E0,6.707357895209E-1)); +#99118=DIRECTION('',(-6.849250969847E-1,-7.071067811866E-1,1.757202649683E-1)); +#99119=DIRECTION('',(-1.222575296178E-1,-1.262169084247E-1,-9.844401396121E-1)); +#99120=AXIS2_PLACEMENT_3D('',#99117,#99118,#99119); +#99121=PLANE('',#99120); +#99123=ORIENTED_EDGE('',*,*,#99122,.F.); +#99125=ORIENTED_EDGE('',*,*,#99124,.T.); +#99127=ORIENTED_EDGE('',*,*,#99126,.T.); +#99128=ORIENTED_EDGE('',*,*,#99109,.F.); +#99130=ORIENTED_EDGE('',*,*,#99129,.F.); +#99131=EDGE_LOOP('',(#99123,#99125,#99127,#99128,#99130)); +#99132=FACE_OUTER_BOUND('',#99131,.F.); +#99134=CARTESIAN_POINT('',(2.871695079848E1,-8.477930444753E0, +8.551658855212E-1)); +#99135=DIRECTION('',(0.E0,1.E0,0.E0)); +#99136=DIRECTION('',(-9.686303613654E-1,0.E0,2.485059819020E-1)); +#99137=AXIS2_PLACEMENT_3D('',#99134,#99135,#99136); +#99138=CYLINDRICAL_SURFACE('',#99137,1.E0); +#99140=ORIENTED_EDGE('',*,*,#99139,.T.); +#99142=ORIENTED_EDGE('',*,*,#99141,.T.); +#99144=ORIENTED_EDGE('',*,*,#99143,.F.); +#99146=ORIENTED_EDGE('',*,*,#99145,.T.); +#99147=ORIENTED_EDGE('',*,*,#99122,.T.); +#99149=ORIENTED_EDGE('',*,*,#99148,.T.); +#99150=EDGE_LOOP('',(#99140,#99142,#99144,#99146,#99147,#99149)); +#99151=FACE_OUTER_BOUND('',#99150,.F.); +#99153=CARTESIAN_POINT('',(2.796730017776E1,-7.34E0,7.5E-1)); +#99154=DIRECTION('',(-6.849250969847E-1,7.071067811865E-1,1.757202649683E-1)); +#99155=DIRECTION('',(-1.222575296178E-1,1.262169084247E-1,-9.844401396121E-1)); +#99156=AXIS2_PLACEMENT_3D('',#99153,#99154,#99155); +#99157=PLANE('',#99156); +#99158=ORIENTED_EDGE('',*,*,#99139,.F.); +#99160=ORIENTED_EDGE('',*,*,#99159,.F.); +#99161=ORIENTED_EDGE('',*,*,#64345,.T.); +#99162=ORIENTED_EDGE('',*,*,#98566,.T.); +#99164=ORIENTED_EDGE('',*,*,#99163,.F.); +#99165=EDGE_LOOP('',(#99158,#99160,#99161,#99162,#99164)); +#99166=FACE_OUTER_BOUND('',#99165,.F.); +#99168=CARTESIAN_POINT('',(2.785E1,-8.84E0,1.5E0)); +#99169=DIRECTION('',(-9.686303613654E-1,0.E0,2.485059819020E-1)); +#99170=DIRECTION('',(-2.485059819020E-1,0.E0,-9.686303613654E-1)); +#99171=AXIS2_PLACEMENT_3D('',#99168,#99169,#99170); +#99172=PLANE('',#99171); +#99173=ORIENTED_EDGE('',*,*,#99148,.F.); +#99174=ORIENTED_EDGE('',*,*,#99129,.T.); +#99175=ORIENTED_EDGE('',*,*,#98998,.F.); +#99176=ORIENTED_EDGE('',*,*,#98859,.F.); +#99177=ORIENTED_EDGE('',*,*,#64347,.T.); +#99178=ORIENTED_EDGE('',*,*,#99159,.T.); +#99179=EDGE_LOOP('',(#99173,#99174,#99175,#99176,#99177,#99178)); +#99180=FACE_OUTER_BOUND('',#99179,.F.); +#99182=CARTESIAN_POINT('',(2.983836692402E1,-7.34E0,2.349350914205E0)); +#99183=DIRECTION('',(-3.653045718946E-1,7.071067811865E-1,6.054358510634E-1)); +#99184=DIRECTION('',(-9.308880543615E-1,-2.774870069230E-1,-2.375887018274E-1)); +#99185=AXIS2_PLACEMENT_3D('',#99182,#99183,#99184); +#99186=PLANE('',#99185); +#99187=ORIENTED_EDGE('',*,*,#99141,.F.); +#99188=ORIENTED_EDGE('',*,*,#99163,.T.); +#99189=ORIENTED_EDGE('',*,*,#98564,.T.); +#99191=ORIENTED_EDGE('',*,*,#99190,.T.); +#99193=ORIENTED_EDGE('',*,*,#99192,.F.); +#99194=EDGE_LOOP('',(#99187,#99188,#99189,#99191,#99193)); +#99195=FACE_OUTER_BOUND('',#99194,.F.); +#99197=CARTESIAN_POINT('',(3.255E1,-7.340000251500E0,2.E0)); +#99198=DIRECTION('',(0.E0,-1.E0,0.E0)); +#99199=DIRECTION('',(0.E0,0.E0,-1.E0)); +#99200=AXIS2_PLACEMENT_3D('',#99197,#99198,#99199); +#99201=CONICAL_SURFACE('',#99200,1.699999916114E0,4.499999434817E1); +#99202=ORIENTED_EDGE('',*,*,#98562,.T.); +#99203=ORIENTED_EDGE('',*,*,#99050,.T.); +#99205=ORIENTED_EDGE('',*,*,#99204,.F.); +#99206=ORIENTED_EDGE('',*,*,#99190,.F.); +#99207=EDGE_LOOP('',(#99202,#99203,#99205,#99206)); +#99208=FACE_OUTER_BOUND('',#99207,.F.); +#99210=CARTESIAN_POINT('',(3.255E1,-8.84E0,2.E0)); +#99211=DIRECTION('',(0.E0,-1.E0,0.E0)); +#99212=DIRECTION('',(1.E0,0.E0,0.E0)); +#99213=AXIS2_PLACEMENT_3D('',#99210,#99211,#99212); +#99214=CYLINDRICAL_SURFACE('',#99213,2.E0); +#99216=ORIENTED_EDGE('',*,*,#99215,.F.); +#99218=ORIENTED_EDGE('',*,*,#99217,.F.); +#99220=ORIENTED_EDGE('',*,*,#99219,.F.); +#99221=ORIENTED_EDGE('',*,*,#99204,.T.); +#99222=ORIENTED_EDGE('',*,*,#99066,.T.); +#99223=ORIENTED_EDGE('',*,*,#99082,.F.); +#99225=ORIENTED_EDGE('',*,*,#99224,.F.); +#99227=ORIENTED_EDGE('',*,*,#99226,.T.); +#99229=ORIENTED_EDGE('',*,*,#99228,.T.); +#99231=ORIENTED_EDGE('',*,*,#99230,.F.); +#99232=EDGE_LOOP('',(#99216,#99218,#99220,#99221,#99222,#99223,#99225,#99227, +#99229,#99231)); +#99233=FACE_OUTER_BOUND('',#99232,.F.); +#99235=CARTESIAN_POINT('',(0.E0,-8.84E0,0.E0)); +#99236=DIRECTION('',(0.E0,-1.E0,0.E0)); +#99237=DIRECTION('',(1.E0,0.E0,0.E0)); +#99238=AXIS2_PLACEMENT_3D('',#99235,#99236,#99237); +#99239=PLANE('',#99238); +#99241=ORIENTED_EDGE('',*,*,#99240,.F.); +#99243=ORIENTED_EDGE('',*,*,#99242,.T.); +#99245=ORIENTED_EDGE('',*,*,#99244,.T.); +#99247=ORIENTED_EDGE('',*,*,#99246,.T.); +#99249=ORIENTED_EDGE('',*,*,#99248,.F.); +#99250=ORIENTED_EDGE('',*,*,#98742,.F.); +#99251=ORIENTED_EDGE('',*,*,#98756,.F.); +#99252=ORIENTED_EDGE('',*,*,#98775,.F.); +#99254=ORIENTED_EDGE('',*,*,#99253,.F.); +#99255=EDGE_LOOP('',(#99241,#99243,#99245,#99247,#99249,#99250,#99251,#99252, +#99254)); +#99256=FACE_OUTER_BOUND('',#99255,.F.); +#99258=CARTESIAN_POINT('',(0.E0,-8.84E0,0.E0)); +#99259=DIRECTION('',(0.E0,-1.E0,0.E0)); +#99260=DIRECTION('',(1.E0,0.E0,0.E0)); +#99261=AXIS2_PLACEMENT_3D('',#99258,#99259,#99260); +#99262=PLANE('',#99261); +#99263=ORIENTED_EDGE('',*,*,#99080,.F.); +#99264=ORIENTED_EDGE('',*,*,#99097,.F.); +#99265=ORIENTED_EDGE('',*,*,#99111,.F.); +#99266=ORIENTED_EDGE('',*,*,#99126,.F.); +#99268=ORIENTED_EDGE('',*,*,#99267,.F.); +#99269=ORIENTED_EDGE('',*,*,#99215,.T.); +#99271=ORIENTED_EDGE('',*,*,#99270,.T.); +#99272=ORIENTED_EDGE('',*,*,#99224,.T.); +#99273=EDGE_LOOP('',(#99263,#99264,#99265,#99266,#99268,#99269,#99271,#99272)); +#99274=FACE_OUTER_BOUND('',#99273,.F.); +#99276=CARTESIAN_POINT('',(0.E0,-8.84E0,0.E0)); +#99277=DIRECTION('',(0.E0,-1.E0,0.E0)); +#99278=DIRECTION('',(1.E0,0.E0,0.E0)); +#99279=AXIS2_PLACEMENT_3D('',#99276,#99277,#99278); +#99280=PLANE('',#99279); +#99282=ORIENTED_EDGE('',*,*,#99281,.F.); +#99284=ORIENTED_EDGE('',*,*,#99283,.T.); +#99286=ORIENTED_EDGE('',*,*,#99285,.T.); +#99288=ORIENTED_EDGE('',*,*,#99287,.T.); +#99290=ORIENTED_EDGE('',*,*,#99289,.F.); +#99292=ORIENTED_EDGE('',*,*,#99291,.F.); +#99294=ORIENTED_EDGE('',*,*,#99293,.F.); +#99296=ORIENTED_EDGE('',*,*,#99295,.F.); +#99297=EDGE_LOOP('',(#99282,#99284,#99286,#99288,#99290,#99292,#99294,#99296)); +#99298=FACE_OUTER_BOUND('',#99297,.F.); +#99300=CARTESIAN_POINT('',(0.E0,-8.84E0,0.E0)); +#99301=DIRECTION('',(0.E0,-1.E0,0.E0)); +#99302=DIRECTION('',(1.E0,0.E0,0.E0)); +#99303=AXIS2_PLACEMENT_3D('',#99300,#99301,#99302); +#99304=PLANE('',#99303); +#99306=ORIENTED_EDGE('',*,*,#99305,.F.); +#99308=ORIENTED_EDGE('',*,*,#99307,.T.); +#99310=ORIENTED_EDGE('',*,*,#99309,.T.); +#99312=ORIENTED_EDGE('',*,*,#99311,.T.); +#99313=ORIENTED_EDGE('',*,*,#64108,.F.); +#99314=ORIENTED_EDGE('',*,*,#64125,.F.); +#99315=ORIENTED_EDGE('',*,*,#64140,.F.); +#99316=ORIENTED_EDGE('',*,*,#64154,.F.); +#99317=EDGE_LOOP('',(#99306,#99308,#99310,#99312,#99313,#99314,#99315,#99316)); +#99318=FACE_OUTER_BOUND('',#99317,.F.); +#99320=CARTESIAN_POINT('',(5.152690668725E1,-8.54E0,1.980411381419E0)); +#99321=DIRECTION('',(-3.653045718946E-1,-7.071067811865E-1,6.054358510634E-1)); +#99322=DIRECTION('',(-9.308880543615E-1,2.774870069230E-1,-2.375887018274E-1)); +#99323=AXIS2_PLACEMENT_3D('',#99320,#99321,#99322); +#99324=PLANE('',#99323); +#99325=ORIENTED_EDGE('',*,*,#98633,.F.); +#99327=ORIENTED_EDGE('',*,*,#99326,.F.); +#99329=ORIENTED_EDGE('',*,*,#99328,.T.); +#99330=ORIENTED_EDGE('',*,*,#99240,.T.); +#99332=ORIENTED_EDGE('',*,*,#99331,.F.); +#99333=EDGE_LOOP('',(#99325,#99327,#99329,#99330,#99332)); +#99334=FACE_OUTER_BOUND('',#99333,.F.); +#99336=CARTESIAN_POINT('',(5.381676264006E1,-8.84E0,3.712431183442E0)); +#99337=DIRECTION('',(-5.166186799703E-1,0.E0,8.562155917208E-1)); +#99338=DIRECTION('',(-8.562155917208E-1,0.E0,-5.166186799703E-1)); +#99339=AXIS2_PLACEMENT_3D('',#99336,#99337,#99338); +#99340=PLANE('',#99339); +#99341=ORIENTED_EDGE('',*,*,#98631,.T.); +#99342=ORIENTED_EDGE('',*,*,#98652,.T.); +#99344=ORIENTED_EDGE('',*,*,#99343,.F.); +#99345=ORIENTED_EDGE('',*,*,#99326,.T.); +#99346=EDGE_LOOP('',(#99341,#99342,#99344,#99345)); +#99347=FACE_OUTER_BOUND('',#99346,.F.); +#99349=CARTESIAN_POINT('',(5.485E1,-8.84E0,2.E0)); +#99350=DIRECTION('',(0.E0,-1.E0,0.E0)); +#99351=DIRECTION('',(1.E0,0.E0,0.E0)); +#99352=AXIS2_PLACEMENT_3D('',#99349,#99350,#99351); +#99353=CYLINDRICAL_SURFACE('',#99352,2.E0); +#99354=ORIENTED_EDGE('',*,*,#99242,.F.); +#99355=ORIENTED_EDGE('',*,*,#99328,.F.); +#99356=ORIENTED_EDGE('',*,*,#99343,.T.); +#99357=ORIENTED_EDGE('',*,*,#98665,.T.); +#99359=ORIENTED_EDGE('',*,*,#99358,.F.); +#99361=ORIENTED_EDGE('',*,*,#99360,.F.); +#99362=ORIENTED_EDGE('',*,*,#99246,.F.); +#99364=ORIENTED_EDGE('',*,*,#99363,.T.); +#99366=ORIENTED_EDGE('',*,*,#99365,.T.); +#99368=ORIENTED_EDGE('',*,*,#99367,.F.); +#99369=EDGE_LOOP('',(#99354,#99355,#99356,#99357,#99359,#99361,#99362,#99364, +#99366,#99368)); +#99370=FACE_OUTER_BOUND('',#99369,.F.); +#99372=CARTESIAN_POINT('',(5.885E1,-8.84E0,1.922362170785E0)); +#99373=DIRECTION('',(5.166186799703E-1,0.E0,8.562155917208E-1)); +#99374=DIRECTION('',(-8.562155917208E-1,0.E0,5.166186799703E-1)); +#99375=AXIS2_PLACEMENT_3D('',#99372,#99373,#99374); +#99376=PLANE('',#99375); +#99377=ORIENTED_EDGE('',*,*,#98701,.F.); +#99379=ORIENTED_EDGE('',*,*,#99378,.T.); +#99380=ORIENTED_EDGE('',*,*,#99358,.T.); +#99381=ORIENTED_EDGE('',*,*,#98678,.T.); +#99382=EDGE_LOOP('',(#99377,#99379,#99380,#99381)); +#99383=FACE_OUTER_BOUND('',#99382,.F.); +#99385=CARTESIAN_POINT('',(5.782309331275E1,-8.54E0,2.191592466811E0)); +#99386=DIRECTION('',(3.653045718946E-1,-7.071067811865E-1,6.054358510634E-1)); +#99387=DIRECTION('',(-9.308880543615E-1,-2.774870069230E-1,2.375887018274E-1)); +#99388=AXIS2_PLACEMENT_3D('',#99385,#99386,#99387); +#99389=PLANE('',#99388); +#99390=ORIENTED_EDGE('',*,*,#98699,.F.); +#99391=ORIENTED_EDGE('',*,*,#98744,.T.); +#99392=ORIENTED_EDGE('',*,*,#99248,.T.); +#99393=ORIENTED_EDGE('',*,*,#99360,.T.); +#99394=ORIENTED_EDGE('',*,*,#99378,.F.); +#99395=EDGE_LOOP('',(#99390,#99391,#99392,#99393,#99394)); +#99396=FACE_OUTER_BOUND('',#99395,.F.); +#99398=CARTESIAN_POINT('',(5.485E1,-8.84E0,2.E0)); +#99399=DIRECTION('',(0.E0,-1.E0,0.E0)); +#99400=DIRECTION('',(1.E0,0.E0,0.E0)); +#99401=AXIS2_PLACEMENT_3D('',#99398,#99399,#99400); +#99402=CYLINDRICAL_SURFACE('',#99401,2.E0); +#99403=ORIENTED_EDGE('',*,*,#99363,.F.); +#99404=ORIENTED_EDGE('',*,*,#99244,.F.); +#99405=ORIENTED_EDGE('',*,*,#99367,.T.); +#99407=ORIENTED_EDGE('',*,*,#99406,.T.); +#99408=EDGE_LOOP('',(#99403,#99404,#99405,#99407)); +#99409=FACE_OUTER_BOUND('',#99408,.F.); +#99411=CARTESIAN_POINT('',(5.485E1,-1.065999984226E1,2.E0)); +#99412=DIRECTION('',(0.E0,1.E0,0.E0)); +#99413=DIRECTION('',(0.E0,0.E0,-1.E0)); +#99414=AXIS2_PLACEMENT_3D('',#99411,#99412,#99413); +#99415=CONICAL_SURFACE('',#99414,1.850000049320E0,4.499999832106E1); +#99417=ORIENTED_EDGE('',*,*,#99416,.T.); +#99419=ORIENTED_EDGE('',*,*,#99418,.T.); +#99420=ORIENTED_EDGE('',*,*,#99406,.F.); +#99422=ORIENTED_EDGE('',*,*,#99421,.F.); +#99423=EDGE_LOOP('',(#99417,#99419,#99420,#99422)); +#99424=FACE_OUTER_BOUND('',#99423,.F.); +#99426=CARTESIAN_POINT('',(2.43E1,-1.081E1,0.E0)); +#99427=DIRECTION('',(0.E0,1.E0,0.E0)); +#99428=DIRECTION('',(-1.E0,0.E0,0.E0)); +#99429=AXIS2_PLACEMENT_3D('',#99426,#99427,#99428); +#99430=PLANE('',#99429); +#99432=ORIENTED_EDGE('',*,*,#99431,.F.); +#99434=ORIENTED_EDGE('',*,*,#99433,.F.); +#99435=EDGE_LOOP('',(#99432,#99434)); +#99436=FACE_OUTER_BOUND('',#99435,.F.); +#99438=CARTESIAN_POINT('',(2.43E1,-1.081E1,0.E0)); +#99439=DIRECTION('',(0.E0,1.E0,0.E0)); +#99440=DIRECTION('',(-1.E0,0.E0,0.E0)); +#99441=AXIS2_PLACEMENT_3D('',#99438,#99439,#99440); +#99442=PLANE('',#99441); +#99444=ORIENTED_EDGE('',*,*,#99443,.F.); +#99446=ORIENTED_EDGE('',*,*,#99445,.F.); +#99447=EDGE_LOOP('',(#99444,#99446)); +#99448=FACE_OUTER_BOUND('',#99447,.F.); +#99450=CARTESIAN_POINT('',(2.43E1,-1.081E1,0.E0)); +#99451=DIRECTION('',(0.E0,1.E0,0.E0)); +#99452=DIRECTION('',(-1.E0,0.E0,0.E0)); +#99453=AXIS2_PLACEMENT_3D('',#99450,#99451,#99452); +#99454=PLANE('',#99453); +#99456=ORIENTED_EDGE('',*,*,#99455,.F.); +#99458=ORIENTED_EDGE('',*,*,#99457,.F.); +#99459=EDGE_LOOP('',(#99456,#99458)); +#99460=FACE_OUTER_BOUND('',#99459,.F.); +#99462=CARTESIAN_POINT('',(2.43E1,-1.081E1,0.E0)); +#99463=DIRECTION('',(0.E0,1.E0,0.E0)); +#99464=DIRECTION('',(-1.E0,0.E0,0.E0)); +#99465=AXIS2_PLACEMENT_3D('',#99462,#99463,#99464); +#99466=PLANE('',#99465); +#99467=ORIENTED_EDGE('',*,*,#99416,.F.); +#99469=ORIENTED_EDGE('',*,*,#99468,.F.); +#99470=EDGE_LOOP('',(#99467,#99469)); +#99471=FACE_OUTER_BOUND('',#99470,.F.); +#99473=CARTESIAN_POINT('',(-3.84E1,-1.065999984226E1,2.E0)); +#99474=DIRECTION('',(0.E0,1.E0,0.E0)); +#99475=DIRECTION('',(0.E0,0.E0,-1.E0)); +#99476=AXIS2_PLACEMENT_3D('',#99473,#99474,#99475); +#99477=CONICAL_SURFACE('',#99476,1.850000049320E0,4.499999832105E1); +#99478=ORIENTED_EDGE('',*,*,#99431,.T.); +#99480=ORIENTED_EDGE('',*,*,#99479,.T.); +#99482=ORIENTED_EDGE('',*,*,#99481,.F.); +#99484=ORIENTED_EDGE('',*,*,#99483,.F.); +#99485=EDGE_LOOP('',(#99478,#99480,#99482,#99484)); +#99486=FACE_OUTER_BOUND('',#99485,.F.); +#99488=CARTESIAN_POINT('',(-3.84E1,-1.065999984226E1,2.E0)); +#99489=DIRECTION('',(0.E0,1.E0,0.E0)); +#99490=DIRECTION('',(0.E0,0.E0,-1.E0)); +#99491=AXIS2_PLACEMENT_3D('',#99488,#99489,#99490); +#99492=CONICAL_SURFACE('',#99491,1.850000049320E0,4.499999832105E1); +#99493=ORIENTED_EDGE('',*,*,#99433,.T.); +#99494=ORIENTED_EDGE('',*,*,#99483,.T.); +#99496=ORIENTED_EDGE('',*,*,#99495,.F.); +#99497=ORIENTED_EDGE('',*,*,#99479,.F.); +#99498=EDGE_LOOP('',(#99493,#99494,#99496,#99497)); +#99499=FACE_OUTER_BOUND('',#99498,.F.); +#99501=CARTESIAN_POINT('',(-3.84E1,-8.84E0,2.E0)); +#99502=DIRECTION('',(0.E0,-1.E0,0.E0)); +#99503=DIRECTION('',(1.E0,0.E0,0.E0)); +#99504=AXIS2_PLACEMENT_3D('',#99501,#99502,#99503); +#99505=CYLINDRICAL_SURFACE('',#99504,2.E0); +#99506=ORIENTED_EDGE('',*,*,#99283,.F.); +#99508=ORIENTED_EDGE('',*,*,#99507,.F.); +#99510=ORIENTED_EDGE('',*,*,#99509,.T.); +#99512=ORIENTED_EDGE('',*,*,#99511,.T.); +#99514=ORIENTED_EDGE('',*,*,#99513,.F.); +#99516=ORIENTED_EDGE('',*,*,#99515,.F.); +#99517=ORIENTED_EDGE('',*,*,#99287,.F.); +#99519=ORIENTED_EDGE('',*,*,#99518,.T.); +#99520=ORIENTED_EDGE('',*,*,#99495,.T.); +#99522=ORIENTED_EDGE('',*,*,#99521,.F.); +#99523=EDGE_LOOP('',(#99506,#99508,#99510,#99512,#99514,#99516,#99517,#99519, +#99520,#99522)); +#99524=FACE_OUTER_BOUND('',#99523,.F.); +#99526=CARTESIAN_POINT('',(-4.172309331275E1,-8.54E0,1.980411381419E0)); +#99527=DIRECTION('',(-3.653045718946E-1,-7.071067811865E-1,6.054358510634E-1)); +#99528=DIRECTION('',(-9.308880543615E-1,2.774870069230E-1,-2.375887018274E-1)); +#99529=AXIS2_PLACEMENT_3D('',#99526,#99527,#99528); +#99530=PLANE('',#99529); +#99531=ORIENTED_EDGE('',*,*,#98511,.F.); +#99533=ORIENTED_EDGE('',*,*,#99532,.F.); +#99534=ORIENTED_EDGE('',*,*,#99507,.T.); +#99535=ORIENTED_EDGE('',*,*,#99281,.T.); +#99537=ORIENTED_EDGE('',*,*,#99536,.F.); +#99538=EDGE_LOOP('',(#99531,#99533,#99534,#99535,#99537)); +#99539=FACE_OUTER_BOUND('',#99538,.F.); +#99541=CARTESIAN_POINT('',(-3.943323735994E1,-8.84E0,3.712431183442E0)); +#99542=DIRECTION('',(-5.166186799703E-1,0.E0,8.562155917208E-1)); +#99543=DIRECTION('',(-8.562155917208E-1,0.E0,-5.166186799703E-1)); +#99544=AXIS2_PLACEMENT_3D('',#99541,#99542,#99543); +#99545=PLANE('',#99544); +#99546=ORIENTED_EDGE('',*,*,#98509,.T.); +#99548=ORIENTED_EDGE('',*,*,#99547,.T.); +#99549=ORIENTED_EDGE('',*,*,#99509,.F.); +#99550=ORIENTED_EDGE('',*,*,#99532,.T.); +#99551=EDGE_LOOP('',(#99546,#99548,#99549,#99550)); +#99552=FACE_OUTER_BOUND('',#99551,.F.); +#99554=CARTESIAN_POINT('',(-4.111163307598E1,-7.34E0,2.349350914205E0)); +#99555=DIRECTION('',(-3.653045718946E-1,7.071067811865E-1,6.054358510634E-1)); +#99556=DIRECTION('',(-9.308880543615E-1,-2.774870069230E-1,-2.375887018274E-1)); +#99557=AXIS2_PLACEMENT_3D('',#99554,#99555,#99556); +#99558=PLANE('',#99557); +#99559=ORIENTED_EDGE('',*,*,#98507,.F.); +#99560=ORIENTED_EDGE('',*,*,#98529,.T.); +#99561=ORIENTED_EDGE('',*,*,#98602,.T.); +#99563=ORIENTED_EDGE('',*,*,#99562,.T.); +#99564=ORIENTED_EDGE('',*,*,#99547,.F.); +#99565=EDGE_LOOP('',(#99559,#99560,#99561,#99563,#99564)); +#99566=FACE_OUTER_BOUND('',#99565,.F.); +#99568=CARTESIAN_POINT('',(-3.84E1,-7.340000251500E0,2.E0)); +#99569=DIRECTION('',(0.E0,-1.E0,0.E0)); +#99570=DIRECTION('',(0.E0,0.E0,-1.E0)); +#99571=AXIS2_PLACEMENT_3D('',#99568,#99569,#99570); +#99572=CONICAL_SURFACE('',#99571,1.699999916114E0,4.499999434817E1); +#99573=ORIENTED_EDGE('',*,*,#98600,.T.); +#99575=ORIENTED_EDGE('',*,*,#99574,.T.); +#99576=ORIENTED_EDGE('',*,*,#99511,.F.); +#99577=ORIENTED_EDGE('',*,*,#99562,.F.); +#99578=EDGE_LOOP('',(#99573,#99575,#99576,#99577)); +#99579=FACE_OUTER_BOUND('',#99578,.F.); +#99581=CARTESIAN_POINT('',(-3.568836692402E1,-7.34E0,2.349350914205E0)); +#99582=DIRECTION('',(3.653045718946E-1,7.071067811865E-1,6.054358510634E-1)); +#99583=DIRECTION('',(-9.308880543615E-1,2.774870069230E-1,2.375887018274E-1)); +#99584=AXIS2_PLACEMENT_3D('',#99581,#99582,#99583); +#99585=PLANE('',#99584); +#99587=ORIENTED_EDGE('',*,*,#99586,.F.); +#99589=ORIENTED_EDGE('',*,*,#99588,.F.); +#99590=ORIENTED_EDGE('',*,*,#99574,.F.); +#99591=ORIENTED_EDGE('',*,*,#98598,.T.); +#99593=ORIENTED_EDGE('',*,*,#99592,.F.); +#99594=EDGE_LOOP('',(#99587,#99589,#99590,#99591,#99593)); +#99595=FACE_OUTER_BOUND('',#99594,.F.); +#99597=CARTESIAN_POINT('',(-3.456695079848E1,-8.494896655172E0, +8.551658855212E-1)); +#99598=DIRECTION('',(0.E0,1.E0,0.E0)); +#99599=DIRECTION('',(5.166186799703E-1,0.E0,8.562155917208E-1)); +#99600=AXIS2_PLACEMENT_3D('',#99597,#99598,#99599); +#99601=CYLINDRICAL_SURFACE('',#99600,1.E0); +#99602=ORIENTED_EDGE('',*,*,#99586,.T.); +#99604=ORIENTED_EDGE('',*,*,#99603,.T.); +#99606=ORIENTED_EDGE('',*,*,#99605,.F.); +#99608=ORIENTED_EDGE('',*,*,#99607,.T.); +#99610=ORIENTED_EDGE('',*,*,#99609,.T.); +#99612=ORIENTED_EDGE('',*,*,#99611,.T.); +#99613=EDGE_LOOP('',(#99602,#99604,#99606,#99608,#99610,#99612)); +#99614=FACE_OUTER_BOUND('',#99613,.F.); +#99616=CARTESIAN_POINT('',(-3.381730017776E1,-7.34E0,7.5E-1)); +#99617=DIRECTION('',(6.849250969847E-1,7.071067811865E-1,1.757202649683E-1)); +#99618=DIRECTION('',(1.222575296178E-1,1.262169084247E-1,-9.844401396121E-1)); +#99619=AXIS2_PLACEMENT_3D('',#99616,#99617,#99618); +#99620=PLANE('',#99619); +#99621=ORIENTED_EDGE('',*,*,#99603,.F.); +#99622=ORIENTED_EDGE('',*,*,#99592,.T.); +#99623=ORIENTED_EDGE('',*,*,#98596,.T.); +#99624=ORIENTED_EDGE('',*,*,#64275,.T.); +#99626=ORIENTED_EDGE('',*,*,#99625,.F.); +#99627=EDGE_LOOP('',(#99621,#99622,#99623,#99624,#99626)); +#99628=FACE_OUTER_BOUND('',#99627,.F.); +#99630=CARTESIAN_POINT('',(-3.33E1,-8.84E0,-5.912602819740E-2)); +#99631=DIRECTION('',(9.686303613654E-1,0.E0,2.485059819020E-1)); +#99632=DIRECTION('',(-2.485059819020E-1,0.E0,9.686303613654E-1)); +#99633=AXIS2_PLACEMENT_3D('',#99630,#99631,#99632); +#99634=PLANE('',#99633); +#99635=ORIENTED_EDGE('',*,*,#99605,.T.); +#99636=ORIENTED_EDGE('',*,*,#99625,.T.); +#99637=ORIENTED_EDGE('',*,*,#64273,.F.); +#99638=ORIENTED_EDGE('',*,*,#64227,.F.); +#99640=ORIENTED_EDGE('',*,*,#99639,.T.); +#99642=ORIENTED_EDGE('',*,*,#99641,.T.); +#99643=EDGE_LOOP('',(#99635,#99636,#99637,#99638,#99640,#99642)); +#99644=FACE_OUTER_BOUND('',#99643,.F.); +#99646=CARTESIAN_POINT('',(-3.84E1,-8.84E0,2.E0)); +#99647=DIRECTION('',(0.E0,-1.E0,0.E0)); +#99648=DIRECTION('',(1.E0,0.E0,0.E0)); +#99649=AXIS2_PLACEMENT_3D('',#99646,#99647,#99648); +#99650=CYLINDRICAL_SURFACE('',#99649,5.5E0); +#99651=ORIENTED_EDGE('',*,*,#99639,.F.); +#99652=ORIENTED_EDGE('',*,*,#64225,.F.); +#99653=ORIENTED_EDGE('',*,*,#98448,.F.); +#99654=ORIENTED_EDGE('',*,*,#63867,.F.); +#99656=ORIENTED_EDGE('',*,*,#99655,.F.); +#99657=ORIENTED_EDGE('',*,*,#63912,.T.); +#99658=ORIENTED_EDGE('',*,*,#98433,.F.); +#99659=ORIENTED_EDGE('',*,*,#98477,.F.); +#99660=ORIENTED_EDGE('',*,*,#98491,.T.); +#99662=ORIENTED_EDGE('',*,*,#99661,.T.); +#99663=EDGE_LOOP('',(#99651,#99652,#99653,#99654,#99656,#99657,#99658,#99659, +#99660,#99662)); +#99664=FACE_OUTER_BOUND('',#99663,.F.); +#99666=CARTESIAN_POINT('',(-5.760000012806E1,-7.64E0,-8.5E0)); +#99667=DIRECTION('',(0.E0,-1.E0,0.E0)); +#99668=DIRECTION('',(1.E0,0.E0,0.E0)); +#99669=AXIS2_PLACEMENT_3D('',#99666,#99667,#99668); +#99670=PLANE('',#99669); +#99671=ORIENTED_EDGE('',*,*,#64017,.T.); +#99672=ORIENTED_EDGE('',*,*,#63891,.T.); +#99674=ORIENTED_EDGE('',*,*,#99673,.F.); +#99676=ORIENTED_EDGE('',*,*,#99675,.F.); +#99678=ORIENTED_EDGE('',*,*,#99677,.T.); +#99679=ORIENTED_EDGE('',*,*,#63914,.T.); +#99680=ORIENTED_EDGE('',*,*,#99655,.T.); +#99681=ORIENTED_EDGE('',*,*,#63865,.T.); +#99682=EDGE_LOOP('',(#99671,#99672,#99674,#99676,#99678,#99679,#99680,#99681)); +#99683=FACE_OUTER_BOUND('',#99682,.F.); +#99685=CARTESIAN_POINT('',(8.680376934006E0,-1.14E0,-8.5E0)); +#99686=DIRECTION('',(0.E0,0.E0,1.E0)); +#99687=DIRECTION('',(1.E0,0.E0,0.E0)); +#99688=AXIS2_PLACEMENT_3D('',#99685,#99686,#99687); +#99689=CYLINDRICAL_SURFACE('',#99688,6.5E0); +#99690=ORIENTED_EDGE('',*,*,#99673,.T.); +#99691=ORIENTED_EDGE('',*,*,#63889,.T.); +#99693=ORIENTED_EDGE('',*,*,#99692,.F.); +#99695=ORIENTED_EDGE('',*,*,#99694,.F.); +#99696=EDGE_LOOP('',(#99690,#99691,#99693,#99695)); +#99697=FACE_OUTER_BOUND('',#99696,.F.); +#99699=CARTESIAN_POINT('',(1.518E1,-1.07E0,-8.5E0)); +#99700=DIRECTION('',(0.E0,-1.E0,0.E0)); +#99701=DIRECTION('',(1.E0,0.E0,0.E0)); +#99702=AXIS2_PLACEMENT_3D('',#99699,#99700,#99701); +#99703=PLANE('',#99702); +#99705=ORIENTED_EDGE('',*,*,#99704,.T.); +#99707=ORIENTED_EDGE('',*,*,#99706,.T.); +#99708=ORIENTED_EDGE('',*,*,#98906,.F.); +#99710=ORIENTED_EDGE('',*,*,#99709,.F.); +#99712=ORIENTED_EDGE('',*,*,#99711,.F.); +#99713=ORIENTED_EDGE('',*,*,#99692,.T.); +#99714=ORIENTED_EDGE('',*,*,#63887,.F.); +#99716=ORIENTED_EDGE('',*,*,#99715,.F.); +#99717=EDGE_LOOP('',(#99705,#99707,#99708,#99710,#99712,#99713,#99714,#99716)); +#99718=FACE_OUTER_BOUND('',#99717,.F.); +#99720=CARTESIAN_POINT('',(0.E0,0.E0,-4.E-1)); +#99721=DIRECTION('',(0.E0,0.E0,1.E0)); +#99722=DIRECTION('',(1.E0,0.E0,0.E0)); +#99723=AXIS2_PLACEMENT_3D('',#99720,#99721,#99722); +#99724=PLANE('',#99723); +#99725=ORIENTED_EDGE('',*,*,#99704,.F.); +#99727=ORIENTED_EDGE('',*,*,#99726,.F.); +#99729=ORIENTED_EDGE('',*,*,#99728,.T.); +#99731=ORIENTED_EDGE('',*,*,#99730,.F.); +#99732=EDGE_LOOP('',(#99725,#99727,#99729,#99731)); +#99733=FACE_OUTER_BOUND('',#99732,.F.); +#99735=CARTESIAN_POINT('',(1.5695E1,3.93E0,-2.E-1)); +#99736=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#99737=DIRECTION('',(0.E0,-1.E0,0.E0)); +#99738=AXIS2_PLACEMENT_3D('',#99735,#99736,#99737); +#99739=PLANE('',#99738); +#99741=ORIENTED_EDGE('',*,*,#99740,.T.); +#99742=ORIENTED_EDGE('',*,*,#99726,.T.); +#99744=ORIENTED_EDGE('',*,*,#99743,.T.); +#99746=ORIENTED_EDGE('',*,*,#99745,.T.); +#99747=ORIENTED_EDGE('',*,*,#64259,.F.); +#99749=ORIENTED_EDGE('',*,*,#99748,.F.); +#99750=EDGE_LOOP('',(#99741,#99742,#99744,#99746,#99747,#99749)); +#99751=FACE_OUTER_BOUND('',#99750,.F.); +#99753=CARTESIAN_POINT('',(1.5895E1,-1.64E0,0.E0)); +#99754=DIRECTION('',(1.E0,0.E0,0.E0)); +#99755=DIRECTION('',(0.E0,0.E0,-1.E0)); +#99756=AXIS2_PLACEMENT_3D('',#99753,#99754,#99755); +#99757=PLANE('',#99756); +#99758=ORIENTED_EDGE('',*,*,#99715,.T.); +#99759=ORIENTED_EDGE('',*,*,#63885,.F.); +#99761=ORIENTED_EDGE('',*,*,#99760,.F.); +#99762=ORIENTED_EDGE('',*,*,#99743,.F.); +#99763=EDGE_LOOP('',(#99758,#99759,#99761,#99762)); +#99764=FACE_OUTER_BOUND('',#99763,.F.); +#99766=CARTESIAN_POINT('',(1.5895E1,-1.64E0,0.E0)); +#99767=DIRECTION('',(1.E0,0.E0,0.E0)); +#99768=DIRECTION('',(0.E0,0.E0,-1.E0)); +#99769=AXIS2_PLACEMENT_3D('',#99766,#99767,#99768); +#99770=PLANE('',#99769); +#99771=ORIENTED_EDGE('',*,*,#99740,.F.); +#99773=ORIENTED_EDGE('',*,*,#99772,.T.); +#99774=ORIENTED_EDGE('',*,*,#63940,.F.); +#99776=ORIENTED_EDGE('',*,*,#99775,.F.); +#99777=EDGE_LOOP('',(#99771,#99773,#99774,#99776)); +#99778=FACE_OUTER_BOUND('',#99777,.F.); +#99780=CARTESIAN_POINT('',(9.195E0,-1.64E0,-1.E0)); +#99781=DIRECTION('',(0.E0,0.E0,1.E0)); +#99782=DIRECTION('',(0.E0,1.E0,0.E0)); +#99783=AXIS2_PLACEMENT_3D('',#99780,#99781,#99782); +#99784=CYLINDRICAL_SURFACE('',#99783,6.7E0); +#99786=ORIENTED_EDGE('',*,*,#99785,.F.); +#99787=ORIENTED_EDGE('',*,*,#99760,.T.); +#99788=ORIENTED_EDGE('',*,*,#63883,.F.); +#99789=ORIENTED_EDGE('',*,*,#98460,.F.); +#99790=EDGE_LOOP('',(#99786,#99787,#99788,#99789)); +#99791=FACE_OUTER_BOUND('',#99790,.F.); +#99793=CARTESIAN_POINT('',(9.195E0,-1.64E0,-2.000005817265E-1)); +#99794=DIRECTION('',(0.E0,0.E0,-1.E0)); +#99795=DIRECTION('',(0.E0,-1.E0,0.E0)); +#99796=AXIS2_PLACEMENT_3D('',#99793,#99794,#99795); +#99797=CONICAL_SURFACE('',#99796,6.499999449864E0,4.499999501147E1); +#99798=ORIENTED_EDGE('',*,*,#99785,.T.); +#99800=ORIENTED_EDGE('',*,*,#99799,.T.); +#99801=ORIENTED_EDGE('',*,*,#64261,.F.); +#99802=ORIENTED_EDGE('',*,*,#99745,.F.); +#99803=EDGE_LOOP('',(#99798,#99800,#99801,#99802)); +#99804=FACE_OUTER_BOUND('',#99803,.F.); +#99806=CARTESIAN_POINT('',(4.399154908419E-1,-8.14E0,-2.E-1)); +#99807=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#99808=DIRECTION('',(-1.E0,0.E0,0.E0)); +#99809=AXIS2_PLACEMENT_3D('',#99806,#99807,#99808); +#99810=PLANE('',#99809); +#99811=ORIENTED_EDGE('',*,*,#64263,.F.); +#99812=ORIENTED_EDGE('',*,*,#99799,.F.); +#99813=ORIENTED_EDGE('',*,*,#98458,.T.); +#99814=ORIENTED_EDGE('',*,*,#64012,.T.); +#99815=ORIENTED_EDGE('',*,*,#64042,.T.); +#99816=EDGE_LOOP('',(#99811,#99812,#99813,#99814,#99815)); +#99817=FACE_OUTER_BOUND('',#99816,.F.); +#99819=CARTESIAN_POINT('',(9.195E0,9.5E0,-1.E0)); +#99820=DIRECTION('',(0.E0,0.E0,1.E0)); +#99821=DIRECTION('',(-1.E0,0.E0,0.E0)); +#99822=AXIS2_PLACEMENT_3D('',#99819,#99820,#99821); +#99823=CYLINDRICAL_SURFACE('',#99822,6.7E0); +#99825=ORIENTED_EDGE('',*,*,#99824,.F.); +#99826=ORIENTED_EDGE('',*,*,#63571,.T.); +#99827=ORIENTED_EDGE('',*,*,#63942,.F.); +#99828=ORIENTED_EDGE('',*,*,#99772,.F.); +#99829=EDGE_LOOP('',(#99825,#99826,#99827,#99828)); +#99830=FACE_OUTER_BOUND('',#99829,.F.); +#99832=CARTESIAN_POINT('',(9.195E0,9.5E0,-2.000005817265E-1)); +#99833=DIRECTION('',(0.E0,0.E0,-1.E0)); +#99834=DIRECTION('',(0.E0,-1.E0,0.E0)); +#99835=AXIS2_PLACEMENT_3D('',#99832,#99833,#99834); +#99836=CONICAL_SURFACE('',#99835,6.499999449864E0,4.499999501147E1); +#99837=ORIENTED_EDGE('',*,*,#99824,.T.); +#99838=ORIENTED_EDGE('',*,*,#99748,.T.); +#99839=ORIENTED_EDGE('',*,*,#64257,.F.); +#99841=ORIENTED_EDGE('',*,*,#99840,.F.); +#99842=EDGE_LOOP('',(#99837,#99838,#99839,#99841)); +#99843=FACE_OUTER_BOUND('',#99842,.F.); +#99845=CARTESIAN_POINT('',(2.939915490842E0,1.6E1,-2.E-1)); +#99846=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#99847=DIRECTION('',(-1.E0,0.E0,0.E0)); +#99848=AXIS2_PLACEMENT_3D('',#99845,#99846,#99847); +#99849=PLANE('',#99848); +#99850=ORIENTED_EDGE('',*,*,#63573,.T.); +#99851=ORIENTED_EDGE('',*,*,#99840,.T.); +#99852=ORIENTED_EDGE('',*,*,#64255,.F.); +#99854=ORIENTED_EDGE('',*,*,#99853,.T.); +#99856=ORIENTED_EDGE('',*,*,#99855,.T.); +#99857=EDGE_LOOP('',(#99850,#99851,#99852,#99854,#99856)); +#99858=FACE_OUTER_BOUND('',#99857,.F.); +#99860=CARTESIAN_POINT('',(-3.7E0,1.67E1,1.5E0)); +#99861=DIRECTION('',(9.686303613654E-1,0.E0,2.485059819020E-1)); +#99862=DIRECTION('',(2.485059819020E-1,0.E0,-9.686303613654E-1)); +#99863=AXIS2_PLACEMENT_3D('',#99860,#99861,#99862); +#99864=PLANE('',#99863); +#99866=ORIENTED_EDGE('',*,*,#99865,.F.); +#99868=ORIENTED_EDGE('',*,*,#99867,.T.); +#99870=ORIENTED_EDGE('',*,*,#99869,.F.); +#99871=ORIENTED_EDGE('',*,*,#99853,.F.); +#99872=ORIENTED_EDGE('',*,*,#64253,.T.); +#99874=ORIENTED_EDGE('',*,*,#99873,.T.); +#99875=EDGE_LOOP('',(#99866,#99868,#99870,#99871,#99872,#99874)); +#99876=FACE_OUTER_BOUND('',#99875,.F.); +#99878=CARTESIAN_POINT('',(-4.566950798480E0,1.633793044475E1, +8.551658855212E-1)); +#99879=DIRECTION('',(0.E0,-1.E0,0.E0)); +#99880=DIRECTION('',(9.686303613654E-1,0.E0,2.485059819020E-1)); +#99881=AXIS2_PLACEMENT_3D('',#99878,#99879,#99880); +#99882=CYLINDRICAL_SURFACE('',#99881,1.E0); +#99884=ORIENTED_EDGE('',*,*,#99883,.T.); +#99886=ORIENTED_EDGE('',*,*,#99885,.T.); +#99888=ORIENTED_EDGE('',*,*,#99887,.F.); +#99890=ORIENTED_EDGE('',*,*,#99889,.T.); +#99892=ORIENTED_EDGE('',*,*,#99891,.T.); +#99893=ORIENTED_EDGE('',*,*,#99865,.T.); +#99894=EDGE_LOOP('',(#99884,#99886,#99888,#99890,#99892,#99893)); +#99895=FACE_OUTER_BOUND('',#99894,.F.); +#99897=CARTESIAN_POINT('',(-3.817300177762E0,1.52E1,7.5E-1)); +#99898=DIRECTION('',(6.849250969847E-1,-7.071067811865E-1,1.757202649683E-1)); +#99899=DIRECTION('',(1.222575296178E-1,-1.262169084247E-1,-9.844401396121E-1)); +#99900=AXIS2_PLACEMENT_3D('',#99897,#99898,#99899); +#99901=PLANE('',#99900); +#99902=ORIENTED_EDGE('',*,*,#99883,.F.); +#99903=ORIENTED_EDGE('',*,*,#99873,.F.); +#99904=ORIENTED_EDGE('',*,*,#64251,.T.); +#99905=ORIENTED_EDGE('',*,*,#98147,.T.); +#99907=ORIENTED_EDGE('',*,*,#99906,.F.); +#99908=EDGE_LOOP('',(#99902,#99903,#99904,#99905,#99907)); +#99909=FACE_OUTER_BOUND('',#99908,.F.); +#99911=CARTESIAN_POINT('',(-5.688366924021E0,1.52E1,2.349350914205E0)); +#99912=DIRECTION('',(3.653045718946E-1,-7.071067811865E-1,6.054358510634E-1)); +#99913=DIRECTION('',(-9.308880543615E-1,-2.774870069230E-1,2.375887018274E-1)); +#99914=AXIS2_PLACEMENT_3D('',#99911,#99912,#99913); +#99915=PLANE('',#99914); +#99916=ORIENTED_EDGE('',*,*,#99885,.F.); +#99917=ORIENTED_EDGE('',*,*,#99906,.T.); +#99918=ORIENTED_EDGE('',*,*,#98156,.T.); +#99920=ORIENTED_EDGE('',*,*,#99919,.T.); +#99922=ORIENTED_EDGE('',*,*,#99921,.F.); +#99923=EDGE_LOOP('',(#99916,#99917,#99918,#99920,#99922)); +#99924=FACE_OUTER_BOUND('',#99923,.F.); +#99926=CARTESIAN_POINT('',(-8.4E0,1.520000025150E1,2.E0)); +#99927=DIRECTION('',(0.E0,1.E0,0.E0)); +#99928=DIRECTION('',(0.E0,0.E0,-1.E0)); +#99929=AXIS2_PLACEMENT_3D('',#99926,#99927,#99928); +#99930=CONICAL_SURFACE('',#99929,1.699999916114E0,4.499999434817E1); +#99931=ORIENTED_EDGE('',*,*,#98154,.T.); +#99933=ORIENTED_EDGE('',*,*,#99932,.T.); +#99935=ORIENTED_EDGE('',*,*,#99934,.F.); +#99936=ORIENTED_EDGE('',*,*,#99919,.F.); +#99937=EDGE_LOOP('',(#99931,#99933,#99935,#99936)); +#99938=FACE_OUTER_BOUND('',#99937,.F.); +#99940=CARTESIAN_POINT('',(-1.111163307598E1,1.52E1,2.349350914205E0)); +#99941=DIRECTION('',(-3.653045718946E-1,-7.071067811865E-1,6.054358510634E-1)); +#99942=DIRECTION('',(-9.308880543615E-1,2.774870069230E-1,-2.375887018274E-1)); +#99943=AXIS2_PLACEMENT_3D('',#99940,#99941,#99942); +#99944=PLANE('',#99943); +#99946=ORIENTED_EDGE('',*,*,#99945,.F.); +#99948=ORIENTED_EDGE('',*,*,#99947,.F.); +#99949=ORIENTED_EDGE('',*,*,#99932,.F.); +#99950=ORIENTED_EDGE('',*,*,#98152,.T.); +#99952=ORIENTED_EDGE('',*,*,#99951,.F.); +#99953=EDGE_LOOP('',(#99946,#99948,#99949,#99950,#99952)); +#99954=FACE_OUTER_BOUND('',#99953,.F.); +#99956=CARTESIAN_POINT('',(-1.223304920152E1,1.635489665517E1, +8.551658855212E-1)); +#99957=DIRECTION('',(0.E0,-1.E0,0.E0)); +#99958=DIRECTION('',(-5.166186799703E-1,0.E0,8.562155917208E-1)); +#99959=AXIS2_PLACEMENT_3D('',#99956,#99957,#99958); +#99960=CYLINDRICAL_SURFACE('',#99959,1.E0); +#99961=ORIENTED_EDGE('',*,*,#99945,.T.); +#99963=ORIENTED_EDGE('',*,*,#99962,.T.); +#99965=ORIENTED_EDGE('',*,*,#99964,.F.); +#99967=ORIENTED_EDGE('',*,*,#99966,.T.); +#99969=ORIENTED_EDGE('',*,*,#99968,.T.); +#99971=ORIENTED_EDGE('',*,*,#99970,.T.); +#99972=EDGE_LOOP('',(#99961,#99963,#99965,#99967,#99969,#99971)); +#99973=FACE_OUTER_BOUND('',#99972,.F.); +#99975=CARTESIAN_POINT('',(-1.298269982224E1,1.52E1,7.5E-1)); +#99976=DIRECTION('',(-6.849250969847E-1,-7.071067811865E-1,1.757202649683E-1)); +#99977=DIRECTION('',(-1.222575296178E-1,-1.262169084247E-1,-9.844401396121E-1)); +#99978=AXIS2_PLACEMENT_3D('',#99975,#99976,#99977); +#99979=PLANE('',#99978); +#99980=ORIENTED_EDGE('',*,*,#99962,.F.); +#99981=ORIENTED_EDGE('',*,*,#99951,.T.); +#99982=ORIENTED_EDGE('',*,*,#98150,.T.); +#99983=ORIENTED_EDGE('',*,*,#64247,.T.); +#99985=ORIENTED_EDGE('',*,*,#99984,.F.); +#99986=EDGE_LOOP('',(#99980,#99981,#99982,#99983,#99985)); +#99987=FACE_OUTER_BOUND('',#99986,.F.); +#99989=CARTESIAN_POINT('',(-1.35E1,1.67E1,-5.912602819739E-2)); +#99990=DIRECTION('',(-9.686303613654E-1,0.E0,2.485059819020E-1)); +#99991=DIRECTION('',(2.485059819020E-1,0.E0,9.686303613654E-1)); +#99992=AXIS2_PLACEMENT_3D('',#99989,#99990,#99991); +#99993=PLANE('',#99992); +#99994=ORIENTED_EDGE('',*,*,#99964,.T.); +#99995=ORIENTED_EDGE('',*,*,#99984,.T.); +#99996=ORIENTED_EDGE('',*,*,#64245,.F.); +#99998=ORIENTED_EDGE('',*,*,#99997,.F.); +#100000=ORIENTED_EDGE('',*,*,#99999,.T.); +#100002=ORIENTED_EDGE('',*,*,#100001,.T.); +#100003=EDGE_LOOP('',(#99994,#99995,#99996,#99998,#100000,#100002)); +#100004=FACE_OUTER_BOUND('',#100003,.F.); +#100006=CARTESIAN_POINT('',(-2.34E1,1.6E1,-2.E-1)); +#100007=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#100008=DIRECTION('',(-1.E0,0.E0,0.E0)); +#100009=AXIS2_PLACEMENT_3D('',#100006,#100007,#100008); +#100010=PLANE('',#100009); +#100011=ORIENTED_EDGE('',*,*,#64243,.F.); +#100013=ORIENTED_EDGE('',*,*,#100012,.T.); +#100015=ORIENTED_EDGE('',*,*,#100014,.T.); +#100016=ORIENTED_EDGE('',*,*,#63597,.T.); +#100018=ORIENTED_EDGE('',*,*,#100017,.T.); +#100019=ORIENTED_EDGE('',*,*,#99997,.T.); +#100020=EDGE_LOOP('',(#100011,#100013,#100015,#100016,#100018,#100019)); +#100021=FACE_OUTER_BOUND('',#100020,.F.); +#100023=CARTESIAN_POINT('',(-3.37E1,1.67E1,1.5E0)); +#100024=DIRECTION('',(9.686303613654E-1,0.E0,2.485059819020E-1)); +#100025=DIRECTION('',(2.485059819020E-1,0.E0,-9.686303613654E-1)); +#100026=AXIS2_PLACEMENT_3D('',#100023,#100024,#100025); +#100027=PLANE('',#100026); +#100028=ORIENTED_EDGE('',*,*,#98229,.F.); +#100030=ORIENTED_EDGE('',*,*,#100029,.T.); +#100032=ORIENTED_EDGE('',*,*,#100031,.F.); +#100033=ORIENTED_EDGE('',*,*,#100012,.F.); +#100034=ORIENTED_EDGE('',*,*,#64241,.T.); +#100035=ORIENTED_EDGE('',*,*,#98206,.T.); +#100036=EDGE_LOOP('',(#100028,#100030,#100032,#100033,#100034,#100035)); +#100037=FACE_OUTER_BOUND('',#100036,.F.); +#100039=CARTESIAN_POINT('',(-3.369372607227E1,1.65E1,6.707357895209E-1)); +#100040=DIRECTION('',(6.849250969847E-1,7.071067811866E-1,1.757202649683E-1)); +#100041=DIRECTION('',(1.222575296178E-1,1.262169084247E-1,-9.844401396121E-1)); +#100042=AXIS2_PLACEMENT_3D('',#100039,#100040,#100041); +#100043=PLANE('',#100042); +#100044=ORIENTED_EDGE('',*,*,#98227,.F.); +#100046=ORIENTED_EDGE('',*,*,#100045,.T.); +#100048=ORIENTED_EDGE('',*,*,#100047,.T.); +#100050=ORIENTED_EDGE('',*,*,#100049,.F.); +#100051=ORIENTED_EDGE('',*,*,#100029,.F.); +#100052=EDGE_LOOP('',(#100044,#100046,#100048,#100050,#100051)); +#100053=FACE_OUTER_BOUND('',#100052,.F.); +#100055=CARTESIAN_POINT('',(-3.507690668725E1,1.64E1,1.980411381419E0)); +#100056=DIRECTION('',(3.653045718946E-1,7.071067811865E-1,6.054358510634E-1)); +#100057=DIRECTION('',(-9.308880543615E-1,2.774870069230E-1,2.375887018274E-1)); +#100058=AXIS2_PLACEMENT_3D('',#100055,#100056,#100057); +#100059=PLANE('',#100058); +#100060=ORIENTED_EDGE('',*,*,#98225,.F.); +#100062=ORIENTED_EDGE('',*,*,#100061,.F.); +#100064=ORIENTED_EDGE('',*,*,#100063,.T.); +#100066=ORIENTED_EDGE('',*,*,#100065,.T.); +#100067=ORIENTED_EDGE('',*,*,#100045,.F.); +#100068=EDGE_LOOP('',(#100060,#100062,#100064,#100066,#100067)); +#100069=FACE_OUTER_BOUND('',#100068,.F.); +#100071=CARTESIAN_POINT('',(-3.736676264006E1,1.67E1,3.712431183442E0)); +#100072=DIRECTION('',(5.166186799703E-1,0.E0,8.562155917208E-1)); +#100073=DIRECTION('',(8.562155917208E-1,0.E0,-5.166186799703E-1)); +#100074=AXIS2_PLACEMENT_3D('',#100071,#100072,#100073); +#100075=PLANE('',#100074); +#100076=ORIENTED_EDGE('',*,*,#98223,.T.); +#100077=ORIENTED_EDGE('',*,*,#98244,.T.); +#100079=ORIENTED_EDGE('',*,*,#100078,.F.); +#100080=ORIENTED_EDGE('',*,*,#100061,.T.); +#100081=EDGE_LOOP('',(#100076,#100077,#100079,#100080)); +#100082=FACE_OUTER_BOUND('',#100081,.F.); +#100084=CARTESIAN_POINT('',(-3.84E1,1.67E1,2.E0)); +#100085=DIRECTION('',(0.E0,1.E0,0.E0)); +#100086=DIRECTION('',(1.E0,0.E0,0.E0)); +#100087=AXIS2_PLACEMENT_3D('',#100084,#100085,#100086); +#100088=CYLINDRICAL_SURFACE('',#100087,2.E0); +#100090=ORIENTED_EDGE('',*,*,#100089,.F.); +#100091=ORIENTED_EDGE('',*,*,#100063,.F.); +#100092=ORIENTED_EDGE('',*,*,#100078,.T.); +#100093=ORIENTED_EDGE('',*,*,#98257,.T.); +#100095=ORIENTED_EDGE('',*,*,#100094,.F.); +#100097=ORIENTED_EDGE('',*,*,#100096,.F.); +#100099=ORIENTED_EDGE('',*,*,#100098,.F.); +#100101=ORIENTED_EDGE('',*,*,#100100,.T.); +#100103=ORIENTED_EDGE('',*,*,#100102,.T.); +#100105=ORIENTED_EDGE('',*,*,#100104,.F.); +#100106=EDGE_LOOP('',(#100090,#100091,#100092,#100093,#100095,#100097,#100099, +#100101,#100103,#100105)); +#100107=FACE_OUTER_BOUND('',#100106,.F.); +#100109=CARTESIAN_POINT('',(0.E0,1.67E1,-1.745E1)); +#100110=DIRECTION('',(0.E0,1.E0,0.E0)); +#100111=DIRECTION('',(-1.E0,0.E0,0.E0)); +#100112=AXIS2_PLACEMENT_3D('',#100109,#100110,#100111); +#100113=PLANE('',#100112); +#100115=ORIENTED_EDGE('',*,*,#100114,.F.); +#100117=ORIENTED_EDGE('',*,*,#100116,.F.); +#100119=ORIENTED_EDGE('',*,*,#100118,.F.); +#100121=ORIENTED_EDGE('',*,*,#100120,.F.); +#100123=ORIENTED_EDGE('',*,*,#100122,.F.); +#100125=ORIENTED_EDGE('',*,*,#100124,.T.); +#100127=ORIENTED_EDGE('',*,*,#100126,.T.); +#100129=ORIENTED_EDGE('',*,*,#100128,.T.); +#100130=EDGE_LOOP('',(#100115,#100117,#100119,#100121,#100123,#100125,#100127, +#100129)); +#100131=FACE_OUTER_BOUND('',#100130,.F.); +#100133=CARTESIAN_POINT('',(0.E0,1.67E1,-1.745E1)); +#100134=DIRECTION('',(0.E0,1.E0,0.E0)); +#100135=DIRECTION('',(-1.E0,0.E0,0.E0)); +#100136=AXIS2_PLACEMENT_3D('',#100133,#100134,#100135); +#100137=PLANE('',#100136); +#100139=ORIENTED_EDGE('',*,*,#100138,.F.); +#100141=ORIENTED_EDGE('',*,*,#100140,.F.); +#100143=ORIENTED_EDGE('',*,*,#100142,.F.); +#100145=ORIENTED_EDGE('',*,*,#100144,.F.); +#100147=ORIENTED_EDGE('',*,*,#100146,.F.); +#100149=ORIENTED_EDGE('',*,*,#100148,.F.); +#100151=ORIENTED_EDGE('',*,*,#100150,.T.); +#100153=ORIENTED_EDGE('',*,*,#100152,.T.); +#100155=ORIENTED_EDGE('',*,*,#100154,.T.); +#100156=EDGE_LOOP('',(#100139,#100141,#100143,#100145,#100147,#100149,#100151, +#100153,#100155)); +#100157=FACE_OUTER_BOUND('',#100156,.F.); +#100159=CARTESIAN_POINT('',(0.E0,1.67E1,-1.745E1)); +#100160=DIRECTION('',(0.E0,1.E0,0.E0)); +#100161=DIRECTION('',(-1.E0,0.E0,0.E0)); +#100162=AXIS2_PLACEMENT_3D('',#100159,#100160,#100161); +#100163=PLANE('',#100162); +#100165=ORIENTED_EDGE('',*,*,#100164,.F.); +#100167=ORIENTED_EDGE('',*,*,#100166,.F.); +#100169=ORIENTED_EDGE('',*,*,#100168,.F.); +#100170=ORIENTED_EDGE('',*,*,#100047,.F.); +#100171=ORIENTED_EDGE('',*,*,#100065,.F.); +#100172=ORIENTED_EDGE('',*,*,#100089,.T.); +#100174=ORIENTED_EDGE('',*,*,#100173,.T.); +#100175=ORIENTED_EDGE('',*,*,#100098,.T.); +#100176=EDGE_LOOP('',(#100165,#100167,#100169,#100170,#100171,#100172,#100174, +#100175)); +#100177=FACE_OUTER_BOUND('',#100176,.F.); +#100179=CARTESIAN_POINT('',(0.E0,1.67E1,-1.745E1)); +#100180=DIRECTION('',(0.E0,1.E0,0.E0)); +#100181=DIRECTION('',(-1.E0,0.E0,0.E0)); +#100182=AXIS2_PLACEMENT_3D('',#100179,#100180,#100181); +#100183=PLANE('',#100182); +#100185=ORIENTED_EDGE('',*,*,#100184,.F.); +#100187=ORIENTED_EDGE('',*,*,#100186,.F.); +#100189=ORIENTED_EDGE('',*,*,#100188,.F.); +#100191=ORIENTED_EDGE('',*,*,#100190,.F.); +#100193=ORIENTED_EDGE('',*,*,#100192,.F.); +#100195=ORIENTED_EDGE('',*,*,#100194,.T.); +#100197=ORIENTED_EDGE('',*,*,#100196,.T.); +#100199=ORIENTED_EDGE('',*,*,#100198,.T.); +#100200=EDGE_LOOP('',(#100185,#100187,#100189,#100191,#100193,#100195,#100197, +#100199)); +#100201=FACE_OUTER_BOUND('',#100200,.F.); +#100203=CARTESIAN_POINT('',(2.922690668725E1,1.64E1,1.980411381419E0)); +#100204=DIRECTION('',(-3.653045718946E-1,7.071067811865E-1,6.054358510634E-1)); +#100205=DIRECTION('',(-9.308880543615E-1,-2.774870069230E-1, +-2.375887018274E-1)); +#100206=AXIS2_PLACEMENT_3D('',#100203,#100204,#100205); +#100207=PLANE('',#100206); +#100209=ORIENTED_EDGE('',*,*,#100208,.F.); +#100211=ORIENTED_EDGE('',*,*,#100210,.T.); +#100212=ORIENTED_EDGE('',*,*,#100114,.T.); +#100214=ORIENTED_EDGE('',*,*,#100213,.T.); +#100216=ORIENTED_EDGE('',*,*,#100215,.F.); +#100217=EDGE_LOOP('',(#100209,#100211,#100212,#100214,#100216)); +#100218=FACE_OUTER_BOUND('',#100217,.F.); +#100220=CARTESIAN_POINT('',(2.871695079848E1,1.635489665517E1, +8.551658855212E-1)); +#100221=DIRECTION('',(0.E0,-1.E0,0.E0)); +#100222=DIRECTION('',(-5.166186799703E-1,0.E0,8.562155917208E-1)); +#100223=AXIS2_PLACEMENT_3D('',#100220,#100221,#100222); +#100224=CYLINDRICAL_SURFACE('',#100223,1.E0); +#100226=ORIENTED_EDGE('',*,*,#100225,.T.); +#100228=ORIENTED_EDGE('',*,*,#100227,.T.); +#100230=ORIENTED_EDGE('',*,*,#100229,.F.); +#100232=ORIENTED_EDGE('',*,*,#100231,.T.); +#100233=ORIENTED_EDGE('',*,*,#100208,.T.); +#100235=ORIENTED_EDGE('',*,*,#100234,.T.); +#100236=EDGE_LOOP('',(#100226,#100228,#100230,#100232,#100233,#100235)); +#100237=FACE_OUTER_BOUND('',#100236,.F.); +#100239=CARTESIAN_POINT('',(2.983836692402E1,1.52E1,2.349350914205E0)); +#100240=DIRECTION('',(-3.653045718946E-1,-7.071067811865E-1,6.054358510634E-1)); +#100241=DIRECTION('',(-9.308880543615E-1,2.774870069230E-1,-2.375887018274E-1)); +#100242=AXIS2_PLACEMENT_3D('',#100239,#100240,#100241); +#100243=PLANE('',#100242); +#100244=ORIENTED_EDGE('',*,*,#100225,.F.); +#100246=ORIENTED_EDGE('',*,*,#100245,.F.); +#100248=ORIENTED_EDGE('',*,*,#100247,.F.); +#100249=ORIENTED_EDGE('',*,*,#98171,.T.); +#100251=ORIENTED_EDGE('',*,*,#100250,.F.); +#100252=EDGE_LOOP('',(#100244,#100246,#100248,#100249,#100251)); +#100253=FACE_OUTER_BOUND('',#100252,.F.); +#100255=CARTESIAN_POINT('',(2.785E1,1.67E1,1.5E0)); +#100256=DIRECTION('',(-5.166186799703E-1,0.E0,8.562155917208E-1)); +#100257=DIRECTION('',(8.562155917208E-1,0.E0,5.166186799703E-1)); +#100258=AXIS2_PLACEMENT_3D('',#100255,#100256,#100257); +#100259=PLANE('',#100258); +#100260=ORIENTED_EDGE('',*,*,#100234,.F.); +#100261=ORIENTED_EDGE('',*,*,#100215,.T.); +#100263=ORIENTED_EDGE('',*,*,#100262,.T.); +#100264=ORIENTED_EDGE('',*,*,#100245,.T.); +#100265=EDGE_LOOP('',(#100260,#100261,#100263,#100264)); +#100266=FACE_OUTER_BOUND('',#100265,.F.); +#100268=CARTESIAN_POINT('',(3.255E1,1.67E1,2.E0)); +#100269=DIRECTION('',(0.E0,1.E0,0.E0)); +#100270=DIRECTION('',(1.E0,0.E0,0.E0)); +#100271=AXIS2_PLACEMENT_3D('',#100268,#100269,#100270); +#100272=CYLINDRICAL_SURFACE('',#100271,2.E0); +#100273=ORIENTED_EDGE('',*,*,#100124,.F.); +#100275=ORIENTED_EDGE('',*,*,#100274,.F.); +#100277=ORIENTED_EDGE('',*,*,#100276,.T.); +#100279=ORIENTED_EDGE('',*,*,#100278,.T.); +#100280=ORIENTED_EDGE('',*,*,#100262,.F.); +#100281=ORIENTED_EDGE('',*,*,#100213,.F.); +#100282=ORIENTED_EDGE('',*,*,#100128,.F.); +#100284=ORIENTED_EDGE('',*,*,#100283,.T.); +#100286=ORIENTED_EDGE('',*,*,#100285,.T.); +#100288=ORIENTED_EDGE('',*,*,#100287,.F.); +#100289=EDGE_LOOP('',(#100273,#100275,#100277,#100279,#100280,#100281,#100282, +#100284,#100286,#100288)); +#100290=FACE_OUTER_BOUND('',#100289,.F.); +#100292=CARTESIAN_POINT('',(3.587309331275E1,1.64E1,1.980411381419E0)); +#100293=DIRECTION('',(3.653045718946E-1,7.071067811865E-1,6.054358510634E-1)); +#100294=DIRECTION('',(-9.308880543615E-1,2.774870069230E-1,2.375887018274E-1)); +#100295=AXIS2_PLACEMENT_3D('',#100292,#100293,#100294); +#100296=PLANE('',#100295); +#100298=ORIENTED_EDGE('',*,*,#100297,.F.); +#100300=ORIENTED_EDGE('',*,*,#100299,.F.); +#100301=ORIENTED_EDGE('',*,*,#100274,.T.); +#100302=ORIENTED_EDGE('',*,*,#100122,.T.); +#100304=ORIENTED_EDGE('',*,*,#100303,.F.); +#100305=EDGE_LOOP('',(#100298,#100300,#100301,#100302,#100304)); +#100306=FACE_OUTER_BOUND('',#100305,.F.); +#100308=CARTESIAN_POINT('',(3.638304920152E1,1.633793044475E1, +8.551658855212E-1)); +#100309=DIRECTION('',(0.E0,-1.E0,0.E0)); +#100310=DIRECTION('',(9.686303613654E-1,0.E0,2.485059819020E-1)); +#100311=AXIS2_PLACEMENT_3D('',#100308,#100309,#100310); +#100312=CYLINDRICAL_SURFACE('',#100311,1.E0); +#100314=ORIENTED_EDGE('',*,*,#100313,.T.); +#100316=ORIENTED_EDGE('',*,*,#100315,.T.); +#100318=ORIENTED_EDGE('',*,*,#100317,.F.); +#100319=ORIENTED_EDGE('',*,*,#100297,.T.); +#100321=ORIENTED_EDGE('',*,*,#100320,.T.); +#100323=ORIENTED_EDGE('',*,*,#100322,.T.); +#100324=EDGE_LOOP('',(#100314,#100316,#100318,#100319,#100321,#100323)); +#100325=FACE_OUTER_BOUND('',#100324,.F.); +#100327=CARTESIAN_POINT('',(3.713269982224E1,1.52E1,7.5E-1)); +#100328=DIRECTION('',(6.849250969847E-1,-7.071067811865E-1,1.757202649683E-1)); +#100329=DIRECTION('',(1.222575296178E-1,-1.262169084247E-1,-9.844401396121E-1)); +#100330=AXIS2_PLACEMENT_3D('',#100327,#100328,#100329); +#100331=PLANE('',#100330); +#100332=ORIENTED_EDGE('',*,*,#100313,.F.); +#100334=ORIENTED_EDGE('',*,*,#100333,.F.); +#100335=ORIENTED_EDGE('',*,*,#64365,.T.); +#100336=ORIENTED_EDGE('',*,*,#98166,.T.); +#100338=ORIENTED_EDGE('',*,*,#100337,.F.); +#100339=EDGE_LOOP('',(#100332,#100334,#100335,#100336,#100338)); +#100340=FACE_OUTER_BOUND('',#100339,.F.); +#100342=CARTESIAN_POINT('',(3.725E1,1.67E1,1.5E0)); +#100343=DIRECTION('',(9.686303613654E-1,0.E0,2.485059819020E-1)); +#100344=DIRECTION('',(2.485059819020E-1,0.E0,-9.686303613654E-1)); +#100345=AXIS2_PLACEMENT_3D('',#100342,#100343,#100344); +#100346=PLANE('',#100345); +#100347=ORIENTED_EDGE('',*,*,#100322,.F.); +#100349=ORIENTED_EDGE('',*,*,#100348,.T.); +#100351=ORIENTED_EDGE('',*,*,#100350,.F.); +#100353=ORIENTED_EDGE('',*,*,#100352,.F.); +#100354=ORIENTED_EDGE('',*,*,#64367,.T.); +#100355=ORIENTED_EDGE('',*,*,#100333,.T.); +#100356=EDGE_LOOP('',(#100347,#100349,#100351,#100353,#100354,#100355)); +#100357=FACE_OUTER_BOUND('',#100356,.F.); +#100359=CARTESIAN_POINT('',(3.725627392773E1,1.65E1,6.707357895209E-1)); +#100360=DIRECTION('',(6.849250969847E-1,7.071067811866E-1,1.757202649683E-1)); +#100361=DIRECTION('',(1.222575296178E-1,1.262169084247E-1,-9.844401396121E-1)); +#100362=AXIS2_PLACEMENT_3D('',#100359,#100360,#100361); +#100363=PLANE('',#100362); +#100364=ORIENTED_EDGE('',*,*,#100320,.F.); +#100365=ORIENTED_EDGE('',*,*,#100303,.T.); +#100366=ORIENTED_EDGE('',*,*,#100120,.T.); +#100368=ORIENTED_EDGE('',*,*,#100367,.F.); +#100369=ORIENTED_EDGE('',*,*,#100348,.F.); +#100370=EDGE_LOOP('',(#100364,#100365,#100366,#100368,#100369)); +#100371=FACE_OUTER_BOUND('',#100370,.F.); +#100373=CARTESIAN_POINT('',(3.255E1,1.649999953651E1,2.E0)); +#100374=DIRECTION('',(0.E0,-1.E0,0.E0)); +#100375=DIRECTION('',(0.E0,0.E0,-1.E0)); +#100376=AXIS2_PLACEMENT_3D('',#100373,#100374,#100375); +#100377=CONICAL_SURFACE('',#100376,5.299999573338E0,4.499999518851E1); +#100379=ORIENTED_EDGE('',*,*,#100378,.F.); +#100380=ORIENTED_EDGE('',*,*,#100367,.T.); +#100381=ORIENTED_EDGE('',*,*,#100118,.T.); +#100383=ORIENTED_EDGE('',*,*,#100382,.F.); +#100384=EDGE_LOOP('',(#100379,#100380,#100381,#100383)); +#100385=FACE_OUTER_BOUND('',#100384,.F.); +#100387=CARTESIAN_POINT('',(3.255E1,1.67E1,2.E0)); +#100388=DIRECTION('',(0.E0,1.E0,0.E0)); +#100389=DIRECTION('',(-1.E0,0.E0,0.E0)); +#100390=AXIS2_PLACEMENT_3D('',#100387,#100388,#100389); +#100391=CYLINDRICAL_SURFACE('',#100390,5.5E0); +#100393=ORIENTED_EDGE('',*,*,#100392,.T.); +#100395=ORIENTED_EDGE('',*,*,#100394,.F.); +#100397=ORIENTED_EDGE('',*,*,#100396,.F.); +#100399=ORIENTED_EDGE('',*,*,#100398,.F.); +#100401=ORIENTED_EDGE('',*,*,#100400,.F.); +#100402=ORIENTED_EDGE('',*,*,#63733,.F.); +#100403=ORIENTED_EDGE('',*,*,#98976,.T.); +#100405=ORIENTED_EDGE('',*,*,#100404,.F.); +#100407=ORIENTED_EDGE('',*,*,#100406,.F.); +#100408=ORIENTED_EDGE('',*,*,#100350,.T.); +#100409=ORIENTED_EDGE('',*,*,#100378,.T.); +#100411=ORIENTED_EDGE('',*,*,#100410,.F.); +#100413=ORIENTED_EDGE('',*,*,#100412,.F.); +#100415=ORIENTED_EDGE('',*,*,#100414,.F.); +#100416=ORIENTED_EDGE('',*,*,#98934,.F.); +#100417=ORIENTED_EDGE('',*,*,#63745,.F.); +#100418=EDGE_LOOP('',(#100393,#100395,#100397,#100399,#100401,#100402,#100403, +#100405,#100407,#100408,#100409,#100411,#100413,#100415,#100416,#100417)); +#100419=FACE_OUTER_BOUND('',#100418,.F.); +#100421=CARTESIAN_POINT('',(3.185E1,1.55E1,-1.8E0)); +#100422=DIRECTION('',(-1.E0,0.E0,0.E0)); +#100423=DIRECTION('',(0.E0,1.E0,0.E0)); +#100424=AXIS2_PLACEMENT_3D('',#100421,#100422,#100423); +#100425=PLANE('',#100424); +#100427=ORIENTED_EDGE('',*,*,#100426,.T.); +#100428=ORIENTED_EDGE('',*,*,#100392,.F.); +#100429=ORIENTED_EDGE('',*,*,#63743,.F.); +#100430=ORIENTED_EDGE('',*,*,#63424,.T.); +#100432=ORIENTED_EDGE('',*,*,#100431,.F.); +#100433=EDGE_LOOP('',(#100427,#100428,#100429,#100430,#100432)); +#100434=FACE_OUTER_BOUND('',#100433,.F.); +#100436=CARTESIAN_POINT('',(3.215E1,1.59E1,-1.502617945354E1)); +#100437=DIRECTION('',(0.E0,0.E0,1.E0)); +#100438=DIRECTION('',(0.E0,1.E0,0.E0)); +#100439=AXIS2_PLACEMENT_3D('',#100436,#100437,#100438); +#100440=CYLINDRICAL_SURFACE('',#100439,3.E-1); +#100441=ORIENTED_EDGE('',*,*,#100426,.F.); +#100443=ORIENTED_EDGE('',*,*,#100442,.T.); +#100445=ORIENTED_EDGE('',*,*,#100444,.T.); +#100446=ORIENTED_EDGE('',*,*,#100394,.T.); +#100447=EDGE_LOOP('',(#100441,#100443,#100445,#100446)); +#100448=FACE_OUTER_BOUND('',#100447,.F.); +#100450=CARTESIAN_POINT('',(3.255E1,1.595E1,-1.453077E1)); +#100451=DIRECTION('',(0.E0,8.645071866842E-1,-5.026204573745E-1)); +#100452=DIRECTION('',(0.E0,5.026204573745E-1,8.645071866842E-1)); +#100453=AXIS2_PLACEMENT_3D('',#100450,#100451,#100452); +#100454=PLANE('',#100453); +#100456=ORIENTED_EDGE('',*,*,#100455,.F.); +#100458=ORIENTED_EDGE('',*,*,#100457,.F.); +#100459=ORIENTED_EDGE('',*,*,#100442,.F.); +#100460=ORIENTED_EDGE('',*,*,#100431,.T.); +#100461=ORIENTED_EDGE('',*,*,#63422,.T.); +#100463=ORIENTED_EDGE('',*,*,#100462,.T.); +#100464=EDGE_LOOP('',(#100456,#100458,#100459,#100460,#100461,#100463)); +#100465=FACE_OUTER_BOUND('',#100464,.F.); +#100467=CARTESIAN_POINT('',(3.295E1,1.59E1,-1.501468375225E1)); +#100468=DIRECTION('',(0.E0,0.E0,1.E0)); +#100469=DIRECTION('',(1.E0,0.E0,0.E0)); +#100470=AXIS2_PLACEMENT_3D('',#100467,#100468,#100469); +#100471=CYLINDRICAL_SURFACE('',#100470,3.E-1); +#100473=ORIENTED_EDGE('',*,*,#100472,.F.); +#100474=ORIENTED_EDGE('',*,*,#100455,.T.); +#100476=ORIENTED_EDGE('',*,*,#100475,.T.); +#100477=ORIENTED_EDGE('',*,*,#100398,.T.); +#100478=EDGE_LOOP('',(#100473,#100474,#100476,#100477)); +#100479=FACE_OUTER_BOUND('',#100478,.F.); +#100481=CARTESIAN_POINT('',(3.185E1,1.62E1,-1.8E0)); +#100482=DIRECTION('',(0.E0,1.E0,0.E0)); +#100483=DIRECTION('',(1.E0,0.E0,0.E0)); +#100484=AXIS2_PLACEMENT_3D('',#100481,#100482,#100483); +#100485=PLANE('',#100484); +#100486=ORIENTED_EDGE('',*,*,#100472,.T.); +#100487=ORIENTED_EDGE('',*,*,#100396,.T.); +#100488=ORIENTED_EDGE('',*,*,#100444,.F.); +#100489=ORIENTED_EDGE('',*,*,#100457,.T.); +#100490=EDGE_LOOP('',(#100486,#100487,#100488,#100489)); +#100491=FACE_OUTER_BOUND('',#100490,.F.); +#100493=CARTESIAN_POINT('',(3.325E1,1.62E1,-1.8E0)); +#100494=DIRECTION('',(1.E0,0.E0,0.E0)); +#100495=DIRECTION('',(0.E0,-1.E0,0.E0)); +#100496=AXIS2_PLACEMENT_3D('',#100493,#100494,#100495); +#100497=PLANE('',#100496); +#100498=ORIENTED_EDGE('',*,*,#100475,.F.); +#100499=ORIENTED_EDGE('',*,*,#100462,.F.); +#100500=ORIENTED_EDGE('',*,*,#63427,.T.); +#100501=ORIENTED_EDGE('',*,*,#63726,.T.); +#100502=ORIENTED_EDGE('',*,*,#100400,.T.); +#100503=EDGE_LOOP('',(#100498,#100499,#100500,#100501,#100502)); +#100504=FACE_OUTER_BOUND('',#100503,.F.); +#100506=CARTESIAN_POINT('',(5.975E1,1.62E1,0.E0)); +#100507=DIRECTION('',(0.E0,1.E0,0.E0)); +#100508=DIRECTION('',(0.E0,0.E0,-1.E0)); +#100509=AXIS2_PLACEMENT_3D('',#100506,#100507,#100508); +#100510=PLANE('',#100509); +#100512=ORIENTED_EDGE('',*,*,#100511,.F.); +#100514=ORIENTED_EDGE('',*,*,#100513,.T.); +#100515=ORIENTED_EDGE('',*,*,#98936,.F.); +#100516=ORIENTED_EDGE('',*,*,#100414,.T.); +#100517=EDGE_LOOP('',(#100512,#100514,#100515,#100516)); +#100518=FACE_OUTER_BOUND('',#100517,.F.); +#100520=CARTESIAN_POINT('',(5.975E1,1.62E1,0.E0)); +#100521=DIRECTION('',(0.E0,1.E0,0.E0)); +#100522=DIRECTION('',(0.E0,0.E0,-1.E0)); +#100523=AXIS2_PLACEMENT_3D('',#100520,#100521,#100522); +#100524=PLANE('',#100523); +#100526=ORIENTED_EDGE('',*,*,#100525,.F.); +#100527=ORIENTED_EDGE('',*,*,#64381,.T.); +#100529=ORIENTED_EDGE('',*,*,#100528,.T.); +#100531=ORIENTED_EDGE('',*,*,#100530,.F.); +#100533=ORIENTED_EDGE('',*,*,#100532,.F.); +#100534=EDGE_LOOP('',(#100526,#100527,#100529,#100531,#100533)); +#100535=FACE_OUTER_BOUND('',#100534,.F.); +#100537=CARTESIAN_POINT('',(5.975E1,1.62E1,0.E0)); +#100538=DIRECTION('',(0.E0,1.E0,0.E0)); +#100539=DIRECTION('',(0.E0,0.E0,-1.E0)); +#100540=AXIS2_PLACEMENT_3D('',#100537,#100538,#100539); +#100541=PLANE('',#100540); +#100543=ORIENTED_EDGE('',*,*,#100542,.T.); +#100544=ORIENTED_EDGE('',*,*,#98954,.F.); +#100545=ORIENTED_EDGE('',*,*,#63321,.T.); +#100547=ORIENTED_EDGE('',*,*,#100546,.F.); +#100548=ORIENTED_EDGE('',*,*,#100404,.T.); +#100549=ORIENTED_EDGE('',*,*,#98974,.F.); +#100551=ORIENTED_EDGE('',*,*,#100550,.F.); +#100553=ORIENTED_EDGE('',*,*,#100552,.T.); +#100554=EDGE_LOOP('',(#100543,#100544,#100545,#100547,#100548,#100549,#100551, +#100553)); +#100555=FACE_OUTER_BOUND('',#100554,.F.); +#100557=CARTESIAN_POINT('',(2.555758450916E1,1.6E1,-2.E-1)); +#100558=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#100559=DIRECTION('',(-1.E0,0.E0,0.E0)); +#100560=AXIS2_PLACEMENT_3D('',#100557,#100558,#100559); +#100561=PLANE('',#100560); +#100562=ORIENTED_EDGE('',*,*,#64357,.F.); +#100564=ORIENTED_EDGE('',*,*,#100563,.F.); +#100565=ORIENTED_EDGE('',*,*,#100511,.T.); +#100566=ORIENTED_EDGE('',*,*,#100412,.T.); +#100568=ORIENTED_EDGE('',*,*,#100567,.T.); +#100569=EDGE_LOOP('',(#100562,#100564,#100565,#100566,#100568)); +#100570=FACE_OUTER_BOUND('',#100569,.F.); +#100572=CARTESIAN_POINT('',(2.365E1,9.5E0,-2.000005817265E-1)); +#100573=DIRECTION('',(0.E0,0.E0,-1.E0)); +#100574=DIRECTION('',(0.E0,-1.E0,0.E0)); +#100575=AXIS2_PLACEMENT_3D('',#100572,#100573,#100574); +#100576=CONICAL_SURFACE('',#100575,6.499999449864E0,4.499999501147E1); +#100578=ORIENTED_EDGE('',*,*,#100577,.T.); +#100579=ORIENTED_EDGE('',*,*,#100563,.T.); +#100580=ORIENTED_EDGE('',*,*,#64355,.F.); +#100582=ORIENTED_EDGE('',*,*,#100581,.F.); +#100583=EDGE_LOOP('',(#100578,#100579,#100580,#100582)); +#100584=FACE_OUTER_BOUND('',#100583,.F.); +#100586=CARTESIAN_POINT('',(2.365E1,9.5E0,-1.E0)); +#100587=DIRECTION('',(0.E0,0.E0,1.E0)); +#100588=DIRECTION('',(0.E0,-1.E0,0.E0)); +#100589=AXIS2_PLACEMENT_3D('',#100586,#100587,#100588); +#100590=CYLINDRICAL_SURFACE('',#100589,6.7E0); +#100591=ORIENTED_EDGE('',*,*,#100577,.F.); +#100593=ORIENTED_EDGE('',*,*,#100592,.T.); +#100594=ORIENTED_EDGE('',*,*,#98938,.F.); +#100595=ORIENTED_EDGE('',*,*,#100513,.F.); +#100596=EDGE_LOOP('',(#100591,#100593,#100594,#100595)); +#100597=FACE_OUTER_BOUND('',#100596,.F.); +#100599=CARTESIAN_POINT('',(1.695E1,9.5E0,0.E0)); +#100600=DIRECTION('',(-1.E0,0.E0,0.E0)); +#100601=DIRECTION('',(0.E0,0.E0,-1.E0)); +#100602=AXIS2_PLACEMENT_3D('',#100599,#100600,#100601); +#100603=PLANE('',#100602); +#100605=ORIENTED_EDGE('',*,*,#100604,.T.); +#100606=ORIENTED_EDGE('',*,*,#98940,.F.); +#100607=ORIENTED_EDGE('',*,*,#100592,.F.); +#100609=ORIENTED_EDGE('',*,*,#100608,.F.); +#100610=EDGE_LOOP('',(#100605,#100606,#100607,#100609)); +#100611=FACE_OUTER_BOUND('',#100610,.F.); +#100613=CARTESIAN_POINT('',(1.695E1,9.5E0,0.E0)); +#100614=DIRECTION('',(-1.E0,0.E0,0.E0)); +#100615=DIRECTION('',(0.E0,0.E0,-1.E0)); +#100616=AXIS2_PLACEMENT_3D('',#100613,#100614,#100615); +#100617=PLANE('',#100616); +#100619=ORIENTED_EDGE('',*,*,#100618,.F.); +#100620=ORIENTED_EDGE('',*,*,#98889,.T.); +#100621=ORIENTED_EDGE('',*,*,#98908,.F.); +#100622=ORIENTED_EDGE('',*,*,#99706,.F.); +#100623=EDGE_LOOP('',(#100619,#100620,#100621,#100622)); +#100624=FACE_OUTER_BOUND('',#100623,.F.); +#100626=CARTESIAN_POINT('',(1.767E1,8.93E0,-8.5E0)); +#100627=DIRECTION('',(0.E0,1.E0,0.E0)); +#100628=DIRECTION('',(-1.E0,0.E0,0.E0)); +#100629=AXIS2_PLACEMENT_3D('',#100626,#100627,#100628); +#100630=PLANE('',#100629); +#100631=ORIENTED_EDGE('',*,*,#99728,.F.); +#100632=ORIENTED_EDGE('',*,*,#99775,.T.); +#100633=ORIENTED_EDGE('',*,*,#63938,.F.); +#100635=ORIENTED_EDGE('',*,*,#100634,.T.); +#100637=ORIENTED_EDGE('',*,*,#100636,.T.); +#100639=ORIENTED_EDGE('',*,*,#100638,.F.); +#100640=ORIENTED_EDGE('',*,*,#98942,.F.); +#100641=ORIENTED_EDGE('',*,*,#100604,.F.); +#100642=EDGE_LOOP('',(#100631,#100632,#100633,#100635,#100637,#100639,#100640, +#100641)); +#100643=FACE_OUTER_BOUND('',#100642,.F.); +#100645=CARTESIAN_POINT('',(8.680376934006E0,9.E0,-8.5E0)); +#100646=DIRECTION('',(0.E0,0.E0,1.E0)); +#100647=DIRECTION('',(1.E0,0.E0,0.E0)); +#100648=AXIS2_PLACEMENT_3D('',#100645,#100646,#100647); +#100649=CYLINDRICAL_SURFACE('',#100648,6.5E0); +#100650=ORIENTED_EDGE('',*,*,#63679,.T.); +#100651=ORIENTED_EDGE('',*,*,#63212,.F.); +#100653=ORIENTED_EDGE('',*,*,#100652,.T.); +#100654=ORIENTED_EDGE('',*,*,#100634,.F.); +#100655=ORIENTED_EDGE('',*,*,#63936,.T.); +#100656=EDGE_LOOP('',(#100650,#100651,#100653,#100654,#100655)); +#100657=FACE_OUTER_BOUND('',#100656,.F.); +#100659=CARTESIAN_POINT('',(0.E0,0.E0,-1.95E1)); +#100660=DIRECTION('',(0.E0,0.E0,1.E0)); +#100661=DIRECTION('',(1.E0,0.E0,0.E0)); +#100662=AXIS2_PLACEMENT_3D('',#100659,#100660,#100661); +#100663=PLANE('',#100662); +#100664=ORIENTED_EDGE('',*,*,#100652,.F.); +#100665=ORIENTED_EDGE('',*,*,#63210,.T.); +#100667=ORIENTED_EDGE('',*,*,#100666,.F.); +#100668=ORIENTED_EDGE('',*,*,#100636,.F.); +#100669=EDGE_LOOP('',(#100664,#100665,#100667,#100668)); +#100670=FACE_OUTER_BOUND('',#100669,.F.); +#100672=CARTESIAN_POINT('',(2.416962306599E1,9.E0,-8.5E0)); +#100673=DIRECTION('',(0.E0,0.E0,1.E0)); +#100674=DIRECTION('',(1.E0,0.E0,0.E0)); +#100675=AXIS2_PLACEMENT_3D('',#100672,#100673,#100674); +#100676=CYLINDRICAL_SURFACE('',#100675,6.5E0); +#100677=ORIENTED_EDGE('',*,*,#100638,.T.); +#100678=ORIENTED_EDGE('',*,*,#100666,.T.); +#100679=ORIENTED_EDGE('',*,*,#63208,.F.); +#100680=ORIENTED_EDGE('',*,*,#63749,.T.); +#100681=ORIENTED_EDGE('',*,*,#98944,.T.); +#100682=EDGE_LOOP('',(#100677,#100678,#100679,#100680,#100681)); +#100683=FACE_OUTER_BOUND('',#100682,.F.); +#100685=CARTESIAN_POINT('',(1.715E1,3.93E0,-2.E-1)); +#100686=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#100687=DIRECTION('',(0.E0,-1.E0,0.E0)); +#100688=AXIS2_PLACEMENT_3D('',#100685,#100686,#100687); +#100689=PLANE('',#100688); +#100690=ORIENTED_EDGE('',*,*,#100618,.T.); +#100691=ORIENTED_EDGE('',*,*,#99730,.T.); +#100692=ORIENTED_EDGE('',*,*,#100608,.T.); +#100693=ORIENTED_EDGE('',*,*,#100581,.T.); +#100694=ORIENTED_EDGE('',*,*,#64353,.F.); +#100695=ORIENTED_EDGE('',*,*,#98873,.F.); +#100696=EDGE_LOOP('',(#100690,#100691,#100692,#100693,#100694,#100695)); +#100697=FACE_OUTER_BOUND('',#100696,.F.); +#100699=CARTESIAN_POINT('',(2.745E1,1.67E1,-5.912602819739E-2)); +#100700=DIRECTION('',(-9.686303613654E-1,0.E0,2.485059819020E-1)); +#100701=DIRECTION('',(2.485059819020E-1,0.E0,9.686303613654E-1)); +#100702=AXIS2_PLACEMENT_3D('',#100699,#100700,#100701); +#100703=PLANE('',#100702); +#100704=ORIENTED_EDGE('',*,*,#100229,.T.); +#100706=ORIENTED_EDGE('',*,*,#100705,.T.); +#100707=ORIENTED_EDGE('',*,*,#64359,.F.); +#100708=ORIENTED_EDGE('',*,*,#100567,.F.); +#100709=ORIENTED_EDGE('',*,*,#100410,.T.); +#100711=ORIENTED_EDGE('',*,*,#100710,.T.); +#100712=EDGE_LOOP('',(#100704,#100706,#100707,#100708,#100709,#100711)); +#100713=FACE_OUTER_BOUND('',#100712,.F.); +#100715=CARTESIAN_POINT('',(2.796730017776E1,1.52E1,7.5E-1)); +#100716=DIRECTION('',(-6.849250969847E-1,-7.071067811866E-1,1.757202649683E-1)); +#100717=DIRECTION('',(-1.222575296178E-1,-1.262169084247E-1, +-9.844401396121E-1)); +#100718=AXIS2_PLACEMENT_3D('',#100715,#100716,#100717); +#100719=PLANE('',#100718); +#100720=ORIENTED_EDGE('',*,*,#100227,.F.); +#100721=ORIENTED_EDGE('',*,*,#100250,.T.); +#100722=ORIENTED_EDGE('',*,*,#98169,.T.); +#100723=ORIENTED_EDGE('',*,*,#64361,.T.); +#100724=ORIENTED_EDGE('',*,*,#100705,.F.); +#100725=EDGE_LOOP('',(#100720,#100721,#100722,#100723,#100724)); +#100726=FACE_OUTER_BOUND('',#100725,.F.); +#100728=CARTESIAN_POINT('',(2.784372607227E1,1.65E1,6.707357895209E-1)); +#100729=DIRECTION('',(-6.849250969847E-1,7.071067811865E-1,1.757202649683E-1)); +#100730=DIRECTION('',(-1.222575296178E-1,1.262169084247E-1,-9.844401396121E-1)); +#100731=AXIS2_PLACEMENT_3D('',#100728,#100729,#100730); +#100732=PLANE('',#100731); +#100733=ORIENTED_EDGE('',*,*,#100231,.F.); +#100734=ORIENTED_EDGE('',*,*,#100710,.F.); +#100735=ORIENTED_EDGE('',*,*,#100382,.T.); +#100736=ORIENTED_EDGE('',*,*,#100116,.T.); +#100737=ORIENTED_EDGE('',*,*,#100210,.F.); +#100738=EDGE_LOOP('',(#100733,#100734,#100735,#100736,#100737)); +#100739=FACE_OUTER_BOUND('',#100738,.F.); +#100741=CARTESIAN_POINT('',(5.895E1,1.54E1,5.271073803487E-2)); +#100742=DIRECTION('',(0.E0,0.E0,-1.E0)); +#100743=DIRECTION('',(0.E0,1.E0,0.E0)); +#100744=AXIS2_PLACEMENT_3D('',#100741,#100742,#100743); +#100745=CYLINDRICAL_SURFACE('',#100744,8.E-1); +#100747=ORIENTED_EDGE('',*,*,#100746,.T.); +#100749=ORIENTED_EDGE('',*,*,#100748,.F.); +#100751=ORIENTED_EDGE('',*,*,#100750,.T.); +#100752=ORIENTED_EDGE('',*,*,#64383,.T.); +#100753=ORIENTED_EDGE('',*,*,#100525,.T.); +#100754=EDGE_LOOP('',(#100747,#100749,#100751,#100752,#100753)); +#100755=FACE_OUTER_BOUND('',#100754,.F.); +#100757=CARTESIAN_POINT('',(0.E0,0.E0,-8.5E0)); +#100758=DIRECTION('',(0.E0,0.E0,-1.E0)); +#100759=DIRECTION('',(-1.E0,0.E0,0.E0)); +#100760=AXIS2_PLACEMENT_3D('',#100757,#100758,#100759); +#100761=PLANE('',#100760); +#100762=ORIENTED_EDGE('',*,*,#100746,.F.); +#100763=ORIENTED_EDGE('',*,*,#100532,.T.); +#100765=ORIENTED_EDGE('',*,*,#100764,.F.); +#100766=ORIENTED_EDGE('',*,*,#63776,.T.); +#100767=ORIENTED_EDGE('',*,*,#63258,.F.); +#100768=ORIENTED_EDGE('',*,*,#63162,.F.); +#100770=ORIENTED_EDGE('',*,*,#100769,.F.); +#100772=ORIENTED_EDGE('',*,*,#100771,.T.); +#100773=EDGE_LOOP('',(#100762,#100763,#100765,#100766,#100767,#100768,#100770, +#100772)); +#100774=FACE_OUTER_BOUND('',#100773,.F.); +#100776=CARTESIAN_POINT('',(5.795E1,0.E0,0.E0)); +#100777=DIRECTION('',(1.E0,0.E0,0.E0)); +#100778=DIRECTION('',(0.E0,1.E0,0.E0)); +#100779=AXIS2_PLACEMENT_3D('',#100776,#100777,#100778); +#100780=PLANE('',#100779); +#100781=ORIENTED_EDGE('',*,*,#100530,.T.); +#100782=ORIENTED_EDGE('',*,*,#63311,.F.); +#100783=ORIENTED_EDGE('',*,*,#63778,.T.); +#100784=ORIENTED_EDGE('',*,*,#100764,.T.); +#100785=EDGE_LOOP('',(#100781,#100782,#100783,#100784)); +#100786=FACE_OUTER_BOUND('',#100785,.F.); +#100788=CARTESIAN_POINT('',(5.795E1,0.E0,0.E0)); +#100789=DIRECTION('',(1.E0,0.E0,0.E0)); +#100790=DIRECTION('',(0.E0,1.E0,0.E0)); +#100791=AXIS2_PLACEMENT_3D('',#100788,#100789,#100790); +#100792=PLANE('',#100791); +#100793=ORIENTED_EDGE('',*,*,#98794,.T.); +#100794=ORIENTED_EDGE('',*,*,#98843,.T.); +#100796=ORIENTED_EDGE('',*,*,#100795,.F.); +#100798=ORIENTED_EDGE('',*,*,#100797,.T.); +#100799=EDGE_LOOP('',(#100793,#100794,#100796,#100798)); +#100800=FACE_OUTER_BOUND('',#100799,.F.); +#100802=CARTESIAN_POINT('',(0.E0,0.E0,-8.5E0)); +#100803=DIRECTION('',(0.E0,0.E0,-1.E0)); +#100804=DIRECTION('',(-1.E0,0.E0,0.E0)); +#100805=AXIS2_PLACEMENT_3D('',#100802,#100803,#100804); +#100806=PLANE('',#100805); +#100807=ORIENTED_EDGE('',*,*,#98841,.T.); +#100809=ORIENTED_EDGE('',*,*,#100808,.F.); +#100811=ORIENTED_EDGE('',*,*,#100810,.F.); +#100813=ORIENTED_EDGE('',*,*,#100812,.F.); +#100815=ORIENTED_EDGE('',*,*,#100814,.T.); +#100817=ORIENTED_EDGE('',*,*,#100816,.T.); +#100819=ORIENTED_EDGE('',*,*,#100818,.T.); +#100821=ORIENTED_EDGE('',*,*,#100820,.T.); +#100823=ORIENTED_EDGE('',*,*,#100822,.T.); +#100825=ORIENTED_EDGE('',*,*,#100824,.T.); +#100827=ORIENTED_EDGE('',*,*,#100826,.T.); +#100829=ORIENTED_EDGE('',*,*,#100828,.F.); +#100831=ORIENTED_EDGE('',*,*,#100830,.T.); +#100833=ORIENTED_EDGE('',*,*,#100832,.T.); +#100835=ORIENTED_EDGE('',*,*,#100834,.T.); +#100837=ORIENTED_EDGE('',*,*,#100836,.T.); +#100838=ORIENTED_EDGE('',*,*,#99675,.T.); +#100839=ORIENTED_EDGE('',*,*,#99694,.T.); +#100840=ORIENTED_EDGE('',*,*,#99711,.T.); +#100842=ORIENTED_EDGE('',*,*,#100841,.T.); +#100844=ORIENTED_EDGE('',*,*,#100843,.T.); +#100845=ORIENTED_EDGE('',*,*,#100795,.T.); +#100846=EDGE_LOOP('',(#100807,#100809,#100811,#100813,#100815,#100817,#100819, +#100821,#100823,#100825,#100827,#100829,#100831,#100833,#100835,#100837,#100838, +#100839,#100840,#100842,#100844,#100845)); +#100847=FACE_OUTER_BOUND('',#100846,.F.); +#100849=CARTESIAN_POINT('',(5.895E1,-7.54E0,-8.542555581148E0)); +#100850=DIRECTION('',(0.E0,0.E0,1.E0)); +#100851=DIRECTION('',(0.E0,-1.E0,0.E0)); +#100852=AXIS2_PLACEMENT_3D('',#100849,#100850,#100851); +#100853=CYLINDRICAL_SURFACE('',#100852,8.E-1); +#100854=ORIENTED_EDGE('',*,*,#64323,.T.); +#100856=ORIENTED_EDGE('',*,*,#100855,.T.); +#100858=ORIENTED_EDGE('',*,*,#100857,.F.); +#100859=ORIENTED_EDGE('',*,*,#100808,.T.); +#100860=ORIENTED_EDGE('',*,*,#98839,.T.); +#100861=EDGE_LOOP('',(#100854,#100856,#100858,#100859,#100860)); +#100862=FACE_OUTER_BOUND('',#100861,.F.); +#100864=CARTESIAN_POINT('',(5.955E1,3.93E0,-2.E-1)); +#100865=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#100866=DIRECTION('',(0.E0,-1.E0,0.E0)); +#100867=AXIS2_PLACEMENT_3D('',#100864,#100865,#100866); +#100868=PLANE('',#100867); +#100869=ORIENTED_EDGE('',*,*,#100855,.F.); +#100870=ORIENTED_EDGE('',*,*,#64385,.T.); +#100871=ORIENTED_EDGE('',*,*,#100750,.F.); +#100873=ORIENTED_EDGE('',*,*,#100872,.F.); +#100874=EDGE_LOOP('',(#100869,#100870,#100871,#100873)); +#100875=FACE_OUTER_BOUND('',#100874,.F.); +#100877=CARTESIAN_POINT('',(5.975E1,-7.64E0,-8.5E0)); +#100878=DIRECTION('',(1.E0,0.E0,0.E0)); +#100879=DIRECTION('',(0.E0,1.E0,0.E0)); +#100880=AXIS2_PLACEMENT_3D('',#100877,#100878,#100879); +#100881=PLANE('',#100880); +#100882=ORIENTED_EDGE('',*,*,#100857,.T.); +#100883=ORIENTED_EDGE('',*,*,#100872,.T.); +#100884=ORIENTED_EDGE('',*,*,#100748,.T.); +#100885=ORIENTED_EDGE('',*,*,#100771,.F.); +#100886=ORIENTED_EDGE('',*,*,#100810,.T.); +#100887=EDGE_LOOP('',(#100882,#100883,#100884,#100885,#100886)); +#100888=FACE_OUTER_BOUND('',#100887,.F.); +#100890=CARTESIAN_POINT('',(-6.134746569265E1,1.35E1,-8.5E0)); +#100891=DIRECTION('',(0.E0,1.E0,0.E0)); +#100892=DIRECTION('',(1.E0,0.E0,0.E0)); +#100893=AXIS2_PLACEMENT_3D('',#100890,#100891,#100892); +#100894=PLANE('',#100893); +#100895=ORIENTED_EDGE('',*,*,#63135,.T.); +#100897=ORIENTED_EDGE('',*,*,#100896,.T.); +#100898=ORIENTED_EDGE('',*,*,#100828,.T.); +#100900=ORIENTED_EDGE('',*,*,#100899,.T.); +#100901=EDGE_LOOP('',(#100895,#100897,#100898,#100900)); +#100902=FACE_OUTER_BOUND('',#100901,.F.); +#100904=CARTESIAN_POINT('',(-6.134746569265E1,1.35E1,-8.5E0)); +#100905=DIRECTION('',(0.E0,1.E0,0.E0)); +#100906=DIRECTION('',(1.E0,0.E0,0.E0)); +#100907=AXIS2_PLACEMENT_3D('',#100904,#100905,#100906); +#100908=PLANE('',#100907); +#100909=ORIENTED_EDGE('',*,*,#100812,.T.); +#100910=ORIENTED_EDGE('',*,*,#100769,.T.); +#100911=ORIENTED_EDGE('',*,*,#63160,.F.); +#100912=ORIENTED_EDGE('',*,*,#63119,.T.); +#100914=ORIENTED_EDGE('',*,*,#100913,.F.); +#100915=EDGE_LOOP('',(#100909,#100910,#100911,#100912,#100914)); +#100916=FACE_OUTER_BOUND('',#100915,.F.); +#100918=CARTESIAN_POINT('',(-5.181523E1,1.899999808472E0,-2.1E1)); +#100919=DIRECTION('',(0.E0,0.E0,-1.E0)); +#100920=DIRECTION('',(-1.E0,0.E0,0.E0)); +#100921=AXIS2_PLACEMENT_3D('',#100918,#100919,#100920); +#100922=CYLINDRICAL_SURFACE('',#100921,1.3E1); +#100924=ORIENTED_EDGE('',*,*,#100923,.T.); +#100926=ORIENTED_EDGE('',*,*,#100925,.F.); +#100927=ORIENTED_EDGE('',*,*,#63238,.T.); +#100929=ORIENTED_EDGE('',*,*,#100928,.T.); +#100930=ORIENTED_EDGE('',*,*,#100830,.F.); +#100931=ORIENTED_EDGE('',*,*,#100896,.F.); +#100932=ORIENTED_EDGE('',*,*,#63133,.T.); +#100933=EDGE_LOOP('',(#100924,#100926,#100927,#100929,#100930,#100931,#100932)); +#100934=FACE_OUTER_BOUND('',#100933,.F.); +#100936=CARTESIAN_POINT('',(-5.99E1,1.143344063784E1,5.731724303119E1)); +#100937=DIRECTION('',(0.E0,0.E0,-1.E0)); +#100938=DIRECTION('',(-1.E0,0.E0,0.E0)); +#100939=AXIS2_PLACEMENT_3D('',#100936,#100937,#100938); +#100940=CYLINDRICAL_SURFACE('',#100939,5.E-1); +#100942=ORIENTED_EDGE('',*,*,#100941,.T.); +#100944=ORIENTED_EDGE('',*,*,#100943,.F.); +#100945=ORIENTED_EDGE('',*,*,#100923,.F.); +#100946=ORIENTED_EDGE('',*,*,#63131,.T.); +#100948=ORIENTED_EDGE('',*,*,#100947,.T.); +#100949=EDGE_LOOP('',(#100942,#100944,#100945,#100946,#100948)); +#100950=FACE_OUTER_BOUND('',#100949,.F.); +#100952=CARTESIAN_POINT('',(6.04E1,1.201324893380E1,-2.1E1)); +#100953=DIRECTION('',(0.E0,0.E0,-1.E0)); +#100954=DIRECTION('',(0.E0,-1.E0,0.E0)); +#100955=AXIS2_PLACEMENT_3D('',#100952,#100953,#100954); +#100956=PLANE('',#100955); +#100957=ORIENTED_EDGE('',*,*,#100941,.F.); +#100959=ORIENTED_EDGE('',*,*,#100958,.T.); +#100961=ORIENTED_EDGE('',*,*,#100960,.F.); +#100963=ORIENTED_EDGE('',*,*,#100962,.F.); +#100965=ORIENTED_EDGE('',*,*,#100964,.F.); +#100966=EDGE_LOOP('',(#100957,#100959,#100961,#100963,#100965)); +#100967=FACE_OUTER_BOUND('',#100966,.F.); +#100969=CARTESIAN_POINT('',(-6.04E1,0.E0,0.E0)); +#100970=DIRECTION('',(-1.E0,0.E0,0.E0)); +#100971=DIRECTION('',(0.E0,0.E0,1.E0)); +#100972=AXIS2_PLACEMENT_3D('',#100969,#100970,#100971); +#100973=PLANE('',#100972); +#100974=ORIENTED_EDGE('',*,*,#100947,.F.); +#100975=ORIENTED_EDGE('',*,*,#63129,.T.); +#100976=ORIENTED_EDGE('',*,*,#62881,.T.); +#100978=ORIENTED_EDGE('',*,*,#100977,.F.); +#100979=ORIENTED_EDGE('',*,*,#100958,.F.); +#100980=EDGE_LOOP('',(#100974,#100975,#100976,#100978,#100979)); +#100981=FACE_OUTER_BOUND('',#100980,.F.); +#100983=CARTESIAN_POINT('',(6.04E1,9.3E0,-2.1E1)); +#100984=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#100985=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#100986=AXIS2_PLACEMENT_3D('',#100983,#100984,#100985); +#100987=PLANE('',#100986); +#100988=ORIENTED_EDGE('',*,*,#62879,.F.); +#100990=ORIENTED_EDGE('',*,*,#100989,.F.); +#100991=ORIENTED_EDGE('',*,*,#100960,.T.); +#100992=ORIENTED_EDGE('',*,*,#100977,.T.); +#100993=EDGE_LOOP('',(#100988,#100990,#100991,#100992)); +#100994=FACE_OUTER_BOUND('',#100993,.F.); +#100996=CARTESIAN_POINT('',(6.04E1,0.E0,0.E0)); +#100997=DIRECTION('',(-1.E0,0.E0,0.E0)); +#100998=DIRECTION('',(0.E0,0.E0,1.E0)); +#100999=AXIS2_PLACEMENT_3D('',#100996,#100997,#100998); +#101000=PLANE('',#100999); +#101001=ORIENTED_EDGE('',*,*,#63181,.F.); +#101003=ORIENTED_EDGE('',*,*,#101002,.F.); +#101004=ORIENTED_EDGE('',*,*,#100962,.T.); +#101005=ORIENTED_EDGE('',*,*,#100989,.T.); +#101006=ORIENTED_EDGE('',*,*,#62877,.T.); +#101007=ORIENTED_EDGE('',*,*,#63107,.F.); +#101008=EDGE_LOOP('',(#101001,#101003,#101004,#101005,#101006,#101007)); +#101009=FACE_OUTER_BOUND('',#101008,.F.); +#101011=CARTESIAN_POINT('',(-2.594980361520E2,1.172457379921E1,-2.05E1)); +#101012=DIRECTION('',(1.E0,0.E0,0.E0)); +#101013=DIRECTION('',(0.E0,0.E0,-1.E0)); +#101014=AXIS2_PLACEMENT_3D('',#101011,#101012,#101013); +#101015=CYLINDRICAL_SURFACE('',#101014,5.E-1); +#101016=ORIENTED_EDGE('',*,*,#100964,.T.); +#101017=ORIENTED_EDGE('',*,*,#101002,.T.); +#101018=ORIENTED_EDGE('',*,*,#63179,.F.); +#101019=ORIENTED_EDGE('',*,*,#63240,.F.); +#101020=ORIENTED_EDGE('',*,*,#100925,.T.); +#101021=ORIENTED_EDGE('',*,*,#100943,.T.); +#101022=EDGE_LOOP('',(#101016,#101017,#101018,#101019,#101020,#101021)); +#101023=FACE_OUTER_BOUND('',#101022,.F.); +#101025=CARTESIAN_POINT('',(-5.2128753E1,9.E0,-8.5E0)); +#101026=DIRECTION('',(0.E0,0.E0,1.E0)); +#101027=DIRECTION('',(1.E0,0.E0,0.E0)); +#101028=AXIS2_PLACEMENT_3D('',#101025,#101026,#101027); +#101029=CYLINDRICAL_SURFACE('',#101028,6.5E0); +#101030=ORIENTED_EDGE('',*,*,#63920,.T.); +#101032=ORIENTED_EDGE('',*,*,#101031,.F.); +#101033=ORIENTED_EDGE('',*,*,#100832,.F.); +#101034=ORIENTED_EDGE('',*,*,#100928,.F.); +#101035=ORIENTED_EDGE('',*,*,#63236,.T.); +#101037=ORIENTED_EDGE('',*,*,#101036,.F.); +#101038=EDGE_LOOP('',(#101030,#101032,#101033,#101034,#101035,#101037)); +#101039=FACE_OUTER_BOUND('',#101038,.F.); +#101041=CARTESIAN_POINT('',(-5.784916443889E1,1.208656653416E1,-8.5E0)); +#101042=DIRECTION('',(-8.800632982911E-1,4.748563898706E-1,0.E0)); +#101043=DIRECTION('',(-4.748563898706E-1,-8.800632982911E-1,0.E0)); +#101044=AXIS2_PLACEMENT_3D('',#101041,#101042,#101043); +#101045=PLANE('',#101044); +#101046=ORIENTED_EDGE('',*,*,#63918,.T.); +#101048=ORIENTED_EDGE('',*,*,#101047,.F.); +#101049=ORIENTED_EDGE('',*,*,#100834,.F.); +#101050=ORIENTED_EDGE('',*,*,#101031,.T.); +#101051=EDGE_LOOP('',(#101046,#101048,#101049,#101050)); +#101052=FACE_OUTER_BOUND('',#101051,.F.); +#101054=CARTESIAN_POINT('',(-5.760000012806E1,-1.14E0,-8.5E0)); +#101055=DIRECTION('',(0.E0,0.E0,1.E0)); +#101056=DIRECTION('',(1.E0,0.E0,0.E0)); +#101057=AXIS2_PLACEMENT_3D('',#101054,#101055,#101056); +#101058=CYLINDRICAL_SURFACE('',#101057,6.5E0); +#101059=ORIENTED_EDGE('',*,*,#63916,.T.); +#101060=ORIENTED_EDGE('',*,*,#99677,.F.); +#101061=ORIENTED_EDGE('',*,*,#100836,.F.); +#101062=ORIENTED_EDGE('',*,*,#101047,.T.); +#101063=EDGE_LOOP('',(#101059,#101060,#101061,#101062)); +#101064=FACE_OUTER_BOUND('',#101063,.F.); +#101066=CARTESIAN_POINT('',(-5.31E1,1.55E1,-2.1E1)); +#101067=DIRECTION('',(1.E0,0.E0,0.E0)); +#101068=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101069=AXIS2_PLACEMENT_3D('',#101066,#101067,#101068); +#101070=PLANE('',#101069); +#101071=ORIENTED_EDGE('',*,*,#63520,.T.); +#101072=ORIENTED_EDGE('',*,*,#63901,.T.); +#101073=ORIENTED_EDGE('',*,*,#101036,.T.); +#101074=ORIENTED_EDGE('',*,*,#63234,.F.); +#101075=ORIENTED_EDGE('',*,*,#63382,.T.); +#101076=ORIENTED_EDGE('',*,*,#63499,.F.); +#101077=EDGE_LOOP('',(#101071,#101072,#101073,#101074,#101075,#101076)); +#101078=FACE_OUTER_BOUND('',#101077,.F.); +#101080=CARTESIAN_POINT('',(-6.134746569265E1,9.5E0,-8.5E0)); +#101081=DIRECTION('',(0.E0,0.E0,-1.E0)); +#101082=DIRECTION('',(-1.E0,0.E0,0.E0)); +#101083=AXIS2_PLACEMENT_3D('',#101080,#101081,#101082); +#101084=CYLINDRICAL_SURFACE('',#101083,4.E0); +#101085=ORIENTED_EDGE('',*,*,#100826,.F.); +#101087=ORIENTED_EDGE('',*,*,#101086,.T.); +#101088=ORIENTED_EDGE('',*,*,#63137,.T.); +#101089=ORIENTED_EDGE('',*,*,#100899,.F.); +#101090=EDGE_LOOP('',(#101085,#101087,#101088,#101089)); +#101091=FACE_OUTER_BOUND('',#101090,.F.); +#101093=CARTESIAN_POINT('',(-6.929105257383E1,-1.232492932611E1,-8.5E0)); +#101094=DIRECTION('',(-9.845558689811E-1,1.750706738857E-1,0.E0)); +#101095=DIRECTION('',(1.750706738857E-1,9.845558689811E-1,0.E0)); +#101096=AXIS2_PLACEMENT_3D('',#101093,#101094,#101095); +#101097=PLANE('',#101096); +#101098=ORIENTED_EDGE('',*,*,#63139,.F.); +#101099=ORIENTED_EDGE('',*,*,#101086,.F.); +#101100=ORIENTED_EDGE('',*,*,#100824,.F.); +#101102=ORIENTED_EDGE('',*,*,#101101,.T.); +#101103=EDGE_LOOP('',(#101098,#101099,#101100,#101102)); +#101104=FACE_OUTER_BOUND('',#101103,.F.); +#101106=CARTESIAN_POINT('',(-6.830649670485E1,-1.25E1,-8.5E0)); +#101107=DIRECTION('',(0.E0,0.E0,-1.E0)); +#101108=DIRECTION('',(-1.E0,0.E0,0.E0)); +#101109=AXIS2_PLACEMENT_3D('',#101106,#101107,#101108); +#101110=CYLINDRICAL_SURFACE('',#101109,1.E0); +#101111=ORIENTED_EDGE('',*,*,#100822,.F.); +#101113=ORIENTED_EDGE('',*,*,#101112,.T.); +#101114=ORIENTED_EDGE('',*,*,#63141,.T.); +#101115=ORIENTED_EDGE('',*,*,#101101,.F.); +#101116=EDGE_LOOP('',(#101111,#101113,#101114,#101115)); +#101117=FACE_OUTER_BOUND('',#101116,.F.); +#101119=CARTESIAN_POINT('',(6.830649670485E1,-1.35E1,-8.5E0)); +#101120=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101121=DIRECTION('',(-1.E0,0.E0,0.E0)); +#101122=AXIS2_PLACEMENT_3D('',#101119,#101120,#101121); +#101123=PLANE('',#101122); +#101124=ORIENTED_EDGE('',*,*,#63111,.T.); +#101125=ORIENTED_EDGE('',*,*,#63030,.T.); +#101126=ORIENTED_EDGE('',*,*,#63045,.T.); +#101127=ORIENTED_EDGE('',*,*,#63074,.F.); +#101128=ORIENTED_EDGE('',*,*,#63143,.T.); +#101129=ORIENTED_EDGE('',*,*,#101112,.F.); +#101130=ORIENTED_EDGE('',*,*,#100820,.F.); +#101132=ORIENTED_EDGE('',*,*,#101131,.T.); +#101133=EDGE_LOOP('',(#101124,#101125,#101126,#101127,#101128,#101129,#101130, +#101132)); +#101134=FACE_OUTER_BOUND('',#101133,.F.); +#101136=CARTESIAN_POINT('',(6.830649670485E1,-1.25E1,-8.5E0)); +#101137=DIRECTION('',(0.E0,0.E0,-1.E0)); +#101138=DIRECTION('',(-1.E0,0.E0,0.E0)); +#101139=AXIS2_PLACEMENT_3D('',#101136,#101137,#101138); +#101140=CYLINDRICAL_SURFACE('',#101139,1.E0); +#101141=ORIENTED_EDGE('',*,*,#100818,.F.); +#101143=ORIENTED_EDGE('',*,*,#101142,.T.); +#101144=ORIENTED_EDGE('',*,*,#63113,.T.); +#101145=ORIENTED_EDGE('',*,*,#101131,.F.); +#101146=EDGE_LOOP('',(#101141,#101143,#101144,#101145)); +#101147=FACE_OUTER_BOUND('',#101146,.F.); +#101149=CARTESIAN_POINT('',(6.528568916857E1,1.020028269554E1,-8.5E0)); +#101150=DIRECTION('',(9.845558689811E-1,1.750706738857E-1,0.E0)); +#101151=DIRECTION('',(1.750706738857E-1,-9.845558689811E-1,0.E0)); +#101152=AXIS2_PLACEMENT_3D('',#101149,#101150,#101151); +#101153=PLANE('',#101152); +#101154=ORIENTED_EDGE('',*,*,#63115,.F.); +#101155=ORIENTED_EDGE('',*,*,#101142,.F.); +#101156=ORIENTED_EDGE('',*,*,#100816,.F.); +#101158=ORIENTED_EDGE('',*,*,#101157,.T.); +#101159=EDGE_LOOP('',(#101154,#101155,#101156,#101158)); +#101160=FACE_OUTER_BOUND('',#101159,.F.); +#101162=CARTESIAN_POINT('',(6.134746569265E1,9.5E0,-8.5E0)); +#101163=DIRECTION('',(0.E0,0.E0,-1.E0)); +#101164=DIRECTION('',(-1.E0,0.E0,0.E0)); +#101165=AXIS2_PLACEMENT_3D('',#101162,#101163,#101164); +#101166=CYLINDRICAL_SURFACE('',#101165,4.E0); +#101167=ORIENTED_EDGE('',*,*,#100814,.F.); +#101168=ORIENTED_EDGE('',*,*,#100913,.T.); +#101169=ORIENTED_EDGE('',*,*,#63117,.T.); +#101170=ORIENTED_EDGE('',*,*,#101157,.F.); +#101171=EDGE_LOOP('',(#101167,#101168,#101169,#101170)); +#101172=FACE_OUTER_BOUND('',#101171,.F.); +#101174=CARTESIAN_POINT('',(2.416962306599E1,-1.14E0,-8.5E0)); +#101175=DIRECTION('',(0.E0,0.E0,1.E0)); +#101176=DIRECTION('',(1.E0,0.E0,0.E0)); +#101177=AXIS2_PLACEMENT_3D('',#101174,#101175,#101176); +#101178=CYLINDRICAL_SURFACE('',#101177,6.5E0); +#101179=ORIENTED_EDGE('',*,*,#99709,.T.); +#101180=ORIENTED_EDGE('',*,*,#98904,.T.); +#101182=ORIENTED_EDGE('',*,*,#101181,.F.); +#101183=ORIENTED_EDGE('',*,*,#100841,.F.); +#101184=EDGE_LOOP('',(#101179,#101180,#101182,#101183)); +#101185=FACE_OUTER_BOUND('',#101184,.F.); +#101187=CARTESIAN_POINT('',(2.416962306599E1,-7.64E0,-8.5E0)); +#101188=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101189=DIRECTION('',(1.E0,0.E0,0.E0)); +#101190=AXIS2_PLACEMENT_3D('',#101187,#101188,#101189); +#101191=PLANE('',#101190); +#101192=ORIENTED_EDGE('',*,*,#98993,.T.); +#101193=ORIENTED_EDGE('',*,*,#98921,.T.); +#101194=ORIENTED_EDGE('',*,*,#98796,.T.); +#101195=ORIENTED_EDGE('',*,*,#100797,.F.); +#101196=ORIENTED_EDGE('',*,*,#100843,.F.); +#101197=ORIENTED_EDGE('',*,*,#101181,.T.); +#101198=ORIENTED_EDGE('',*,*,#98902,.T.); +#101199=EDGE_LOOP('',(#101192,#101193,#101194,#101195,#101196,#101197,#101198)); +#101200=FACE_OUTER_BOUND('',#101199,.F.); +#101202=CARTESIAN_POINT('',(5.816396490356E1,1.645E1,-1.188367270708E0)); +#101203=DIRECTION('',(6.665481905791E-1,7.071067811865E-1,-2.360370937708E-1)); +#101204=DIRECTION('',(-1.619048744963E-1,-1.717565755658E-1, +-9.717440457056E-1)); +#101205=AXIS2_PLACEMENT_3D('',#101202,#101203,#101204); +#101206=PLANE('',#101205); +#101208=ORIENTED_EDGE('',*,*,#101207,.F.); +#101209=ORIENTED_EDGE('',*,*,#63313,.T.); +#101210=ORIENTED_EDGE('',*,*,#100528,.F.); +#101212=ORIENTED_EDGE('',*,*,#101211,.T.); +#101213=ORIENTED_EDGE('',*,*,#100144,.T.); +#101214=EDGE_LOOP('',(#101208,#101209,#101210,#101212,#101213)); +#101215=FACE_OUTER_BOUND('',#101214,.F.); +#101217=CARTESIAN_POINT('',(5.485E1,1.649999978173E1,2.E0)); +#101218=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101219=DIRECTION('',(0.E0,0.E0,-1.E0)); +#101220=AXIS2_PLACEMENT_3D('',#101217,#101218,#101219); +#101221=CONICAL_SURFACE('',#101220,5.299999799077E0,4.499999773418E1); +#101222=ORIENTED_EDGE('',*,*,#63315,.F.); +#101223=ORIENTED_EDGE('',*,*,#101207,.T.); +#101224=ORIENTED_EDGE('',*,*,#100142,.T.); +#101226=ORIENTED_EDGE('',*,*,#101225,.F.); +#101227=EDGE_LOOP('',(#101222,#101223,#101224,#101226)); +#101228=FACE_OUTER_BOUND('',#101227,.F.); +#101230=CARTESIAN_POINT('',(5.014372607227E1,1.65E1,6.707357895209E-1)); +#101231=DIRECTION('',(-6.849250969847E-1,7.071067811866E-1,1.757202649683E-1)); +#101232=DIRECTION('',(-1.222575296178E-1,1.262169084247E-1,-9.844401396121E-1)); +#101233=AXIS2_PLACEMENT_3D('',#101230,#101231,#101232); +#101234=PLANE('',#101233); +#101236=ORIENTED_EDGE('',*,*,#101235,.F.); +#101238=ORIENTED_EDGE('',*,*,#101237,.F.); +#101239=ORIENTED_EDGE('',*,*,#101225,.T.); +#101240=ORIENTED_EDGE('',*,*,#100140,.T.); +#101242=ORIENTED_EDGE('',*,*,#101241,.F.); +#101243=EDGE_LOOP('',(#101236,#101238,#101239,#101240,#101242)); +#101244=FACE_OUTER_BOUND('',#101243,.F.); +#101246=CARTESIAN_POINT('',(5.101695079848E1,1.635489665517E1, +8.551658855212E-1)); +#101247=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101248=DIRECTION('',(-5.166186799703E-1,0.E0,8.562155917208E-1)); +#101249=AXIS2_PLACEMENT_3D('',#101246,#101247,#101248); +#101250=CYLINDRICAL_SURFACE('',#101249,1.E0); +#101252=ORIENTED_EDGE('',*,*,#101251,.T.); +#101254=ORIENTED_EDGE('',*,*,#101253,.T.); +#101256=ORIENTED_EDGE('',*,*,#101255,.F.); +#101257=ORIENTED_EDGE('',*,*,#101235,.T.); +#101259=ORIENTED_EDGE('',*,*,#101258,.T.); +#101261=ORIENTED_EDGE('',*,*,#101260,.T.); +#101262=EDGE_LOOP('',(#101252,#101254,#101256,#101257,#101259,#101261)); +#101263=FACE_OUTER_BOUND('',#101262,.F.); +#101265=CARTESIAN_POINT('',(5.213836692402E1,1.52E1,2.349350914205E0)); +#101266=DIRECTION('',(-3.653045718946E-1,-7.071067811865E-1,6.054358510634E-1)); +#101267=DIRECTION('',(-9.308880543615E-1,2.774870069230E-1,-2.375887018274E-1)); +#101268=AXIS2_PLACEMENT_3D('',#101265,#101266,#101267); +#101269=PLANE('',#101268); +#101270=ORIENTED_EDGE('',*,*,#101251,.F.); +#101272=ORIENTED_EDGE('',*,*,#101271,.F.); +#101274=ORIENTED_EDGE('',*,*,#101273,.F.); +#101275=ORIENTED_EDGE('',*,*,#98190,.T.); +#101277=ORIENTED_EDGE('',*,*,#101276,.F.); +#101278=EDGE_LOOP('',(#101270,#101272,#101274,#101275,#101277)); +#101279=FACE_OUTER_BOUND('',#101278,.F.); +#101281=CARTESIAN_POINT('',(5.015E1,1.67E1,1.5E0)); +#101282=DIRECTION('',(-5.166186799703E-1,0.E0,8.562155917208E-1)); +#101283=DIRECTION('',(8.562155917208E-1,0.E0,5.166186799703E-1)); +#101284=AXIS2_PLACEMENT_3D('',#101281,#101282,#101283); +#101285=PLANE('',#101284); +#101286=ORIENTED_EDGE('',*,*,#101260,.F.); +#101288=ORIENTED_EDGE('',*,*,#101287,.T.); +#101290=ORIENTED_EDGE('',*,*,#101289,.T.); +#101291=ORIENTED_EDGE('',*,*,#101271,.T.); +#101292=EDGE_LOOP('',(#101286,#101288,#101290,#101291)); +#101293=FACE_OUTER_BOUND('',#101292,.F.); +#101295=CARTESIAN_POINT('',(5.152690668725E1,1.64E1,1.980411381419E0)); +#101296=DIRECTION('',(-3.653045718946E-1,7.071067811866E-1,6.054358510634E-1)); +#101297=DIRECTION('',(-9.308880543615E-1,-2.774870069230E-1, +-2.375887018274E-1)); +#101298=AXIS2_PLACEMENT_3D('',#101295,#101296,#101297); +#101299=PLANE('',#101298); +#101300=ORIENTED_EDGE('',*,*,#101258,.F.); +#101301=ORIENTED_EDGE('',*,*,#101241,.T.); +#101302=ORIENTED_EDGE('',*,*,#100138,.T.); +#101304=ORIENTED_EDGE('',*,*,#101303,.T.); +#101305=ORIENTED_EDGE('',*,*,#101287,.F.); +#101306=EDGE_LOOP('',(#101300,#101301,#101302,#101304,#101305)); +#101307=FACE_OUTER_BOUND('',#101306,.F.); +#101309=CARTESIAN_POINT('',(5.485E1,1.67E1,2.E0)); +#101310=DIRECTION('',(0.E0,1.E0,0.E0)); +#101311=DIRECTION('',(1.E0,0.E0,0.E0)); +#101312=AXIS2_PLACEMENT_3D('',#101309,#101310,#101311); +#101313=CYLINDRICAL_SURFACE('',#101312,2.E0); +#101314=ORIENTED_EDGE('',*,*,#100150,.F.); +#101316=ORIENTED_EDGE('',*,*,#101315,.F.); +#101318=ORIENTED_EDGE('',*,*,#101317,.T.); +#101320=ORIENTED_EDGE('',*,*,#101319,.T.); +#101321=ORIENTED_EDGE('',*,*,#101289,.F.); +#101322=ORIENTED_EDGE('',*,*,#101303,.F.); +#101323=ORIENTED_EDGE('',*,*,#100154,.F.); +#101325=ORIENTED_EDGE('',*,*,#101324,.T.); +#101327=ORIENTED_EDGE('',*,*,#101326,.T.); +#101329=ORIENTED_EDGE('',*,*,#101328,.F.); +#101330=EDGE_LOOP('',(#101314,#101316,#101318,#101320,#101321,#101322,#101323, +#101325,#101327,#101329)); +#101331=FACE_OUTER_BOUND('',#101330,.F.); +#101333=CARTESIAN_POINT('',(5.782309331275E1,1.64E1,2.191592466811E0)); +#101334=DIRECTION('',(3.653045718946E-1,7.071067811865E-1,6.054358510634E-1)); +#101335=DIRECTION('',(-9.308880543615E-1,2.774870069230E-1,2.375887018274E-1)); +#101336=AXIS2_PLACEMENT_3D('',#101333,#101334,#101335); +#101337=PLANE('',#101336); +#101339=ORIENTED_EDGE('',*,*,#101338,.F.); +#101341=ORIENTED_EDGE('',*,*,#101340,.F.); +#101342=ORIENTED_EDGE('',*,*,#101315,.T.); +#101343=ORIENTED_EDGE('',*,*,#100148,.T.); +#101345=ORIENTED_EDGE('',*,*,#101344,.F.); +#101346=EDGE_LOOP('',(#101339,#101341,#101342,#101343,#101345)); +#101347=FACE_OUTER_BOUND('',#101346,.F.); +#101349=CARTESIAN_POINT('',(5.785E1,1.623778114475E1,1.357806555700E0)); +#101350=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101351=DIRECTION('',(1.E0,0.E0,0.E0)); +#101352=AXIS2_PLACEMENT_3D('',#101349,#101350,#101351); +#101353=CYLINDRICAL_SURFACE('',#101352,1.E0); +#101355=ORIENTED_EDGE('',*,*,#101354,.T.); +#101357=ORIENTED_EDGE('',*,*,#101356,.T.); +#101359=ORIENTED_EDGE('',*,*,#101358,.F.); +#101360=ORIENTED_EDGE('',*,*,#101338,.T.); +#101362=ORIENTED_EDGE('',*,*,#101361,.T.); +#101364=ORIENTED_EDGE('',*,*,#101363,.T.); +#101365=EDGE_LOOP('',(#101355,#101357,#101359,#101360,#101362,#101364)); +#101366=FACE_OUTER_BOUND('',#101365,.F.); +#101368=CARTESIAN_POINT('',(5.855E1,1.52E1,9.611810853925E-1)); +#101369=DIRECTION('',(7.071067811865E-1,-7.071067811865E-1,0.E0)); +#101370=DIRECTION('',(0.E0,0.E0,-1.E0)); +#101371=AXIS2_PLACEMENT_3D('',#101368,#101369,#101370); +#101372=PLANE('',#101371); +#101373=ORIENTED_EDGE('',*,*,#101354,.F.); +#101375=ORIENTED_EDGE('',*,*,#101374,.F.); +#101376=ORIENTED_EDGE('',*,*,#64377,.T.); +#101377=ORIENTED_EDGE('',*,*,#98185,.T.); +#101379=ORIENTED_EDGE('',*,*,#101378,.F.); +#101380=EDGE_LOOP('',(#101373,#101375,#101376,#101377,#101379)); +#101381=FACE_OUTER_BOUND('',#101380,.F.); +#101383=CARTESIAN_POINT('',(5.885E1,1.67E1,1.922362170785E0)); +#101384=DIRECTION('',(1.E0,0.E0,0.E0)); +#101385=DIRECTION('',(0.E0,0.E0,-1.E0)); +#101386=AXIS2_PLACEMENT_3D('',#101383,#101384,#101385); +#101387=PLANE('',#101386); +#101388=ORIENTED_EDGE('',*,*,#101363,.F.); +#101390=ORIENTED_EDGE('',*,*,#101389,.T.); +#101391=ORIENTED_EDGE('',*,*,#64379,.T.); +#101392=ORIENTED_EDGE('',*,*,#101374,.T.); +#101393=EDGE_LOOP('',(#101388,#101390,#101391,#101392)); +#101394=FACE_OUTER_BOUND('',#101393,.F.); +#101396=CARTESIAN_POINT('',(5.86E1,1.645E1,9.611810853925E-1)); +#101397=DIRECTION('',(7.071067811866E-1,7.071067811865E-1,0.E0)); +#101398=DIRECTION('',(0.E0,0.E0,-1.E0)); +#101399=AXIS2_PLACEMENT_3D('',#101396,#101397,#101398); +#101400=PLANE('',#101399); +#101401=ORIENTED_EDGE('',*,*,#101361,.F.); +#101402=ORIENTED_EDGE('',*,*,#101344,.T.); +#101403=ORIENTED_EDGE('',*,*,#100146,.T.); +#101404=ORIENTED_EDGE('',*,*,#101211,.F.); +#101405=ORIENTED_EDGE('',*,*,#101389,.F.); +#101406=EDGE_LOOP('',(#101401,#101402,#101403,#101404,#101405)); +#101407=FACE_OUTER_BOUND('',#101406,.F.); +#101409=CARTESIAN_POINT('',(5.721163307598E1,1.52E1,2.560531999597E0)); +#101410=DIRECTION('',(3.653045718946E-1,-7.071067811865E-1,6.054358510634E-1)); +#101411=DIRECTION('',(-9.308880543615E-1,-2.774870069230E-1,2.375887018274E-1)); +#101412=AXIS2_PLACEMENT_3D('',#101409,#101410,#101411); +#101413=PLANE('',#101412); +#101414=ORIENTED_EDGE('',*,*,#101356,.F.); +#101415=ORIENTED_EDGE('',*,*,#101378,.T.); +#101416=ORIENTED_EDGE('',*,*,#98194,.T.); +#101418=ORIENTED_EDGE('',*,*,#101417,.T.); +#101420=ORIENTED_EDGE('',*,*,#101419,.F.); +#101421=EDGE_LOOP('',(#101414,#101415,#101416,#101418,#101420)); +#101422=FACE_OUTER_BOUND('',#101421,.F.); +#101424=CARTESIAN_POINT('',(5.485E1,1.520000025150E1,2.E0)); +#101425=DIRECTION('',(0.E0,1.E0,0.E0)); +#101426=DIRECTION('',(0.E0,0.E0,-1.E0)); +#101427=AXIS2_PLACEMENT_3D('',#101424,#101425,#101426); +#101428=CONICAL_SURFACE('',#101427,1.699999916114E0,4.499999434817E1); +#101429=ORIENTED_EDGE('',*,*,#98192,.T.); +#101430=ORIENTED_EDGE('',*,*,#101273,.T.); +#101431=ORIENTED_EDGE('',*,*,#101319,.F.); +#101432=ORIENTED_EDGE('',*,*,#101417,.F.); +#101433=EDGE_LOOP('',(#101429,#101430,#101431,#101432)); +#101434=FACE_OUTER_BOUND('',#101433,.F.); +#101436=CARTESIAN_POINT('',(5.588323735994E1,1.67E1,3.712431183442E0)); +#101437=DIRECTION('',(5.166186799703E-1,0.E0,8.562155917208E-1)); +#101438=DIRECTION('',(8.562155917208E-1,0.E0,-5.166186799703E-1)); +#101439=AXIS2_PLACEMENT_3D('',#101436,#101437,#101438); +#101440=PLANE('',#101439); +#101441=ORIENTED_EDGE('',*,*,#101358,.T.); +#101442=ORIENTED_EDGE('',*,*,#101419,.T.); +#101443=ORIENTED_EDGE('',*,*,#101317,.F.); +#101444=ORIENTED_EDGE('',*,*,#101340,.T.); +#101445=EDGE_LOOP('',(#101441,#101442,#101443,#101444)); +#101446=FACE_OUTER_BOUND('',#101445,.F.); +#101448=CARTESIAN_POINT('',(5.485E1,1.67E1,2.E0)); +#101449=DIRECTION('',(0.E0,1.E0,0.E0)); +#101450=DIRECTION('',(-1.E0,0.E0,0.E0)); +#101451=AXIS2_PLACEMENT_3D('',#101448,#101449,#101450); +#101452=CYLINDRICAL_SURFACE('',#101451,2.E0); +#101453=ORIENTED_EDGE('',*,*,#101328,.T.); +#101455=ORIENTED_EDGE('',*,*,#101454,.T.); +#101456=ORIENTED_EDGE('',*,*,#101324,.F.); +#101457=ORIENTED_EDGE('',*,*,#100152,.F.); +#101458=EDGE_LOOP('',(#101453,#101455,#101456,#101457)); +#101459=FACE_OUTER_BOUND('',#101458,.F.); +#101461=CARTESIAN_POINT('',(5.485E1,1.851999984226E1,2.E0)); +#101462=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101463=DIRECTION('',(0.E0,0.E0,-1.E0)); +#101464=AXIS2_PLACEMENT_3D('',#101461,#101462,#101463); +#101465=CONICAL_SURFACE('',#101464,1.850000049320E0,4.499999832106E1); +#101467=ORIENTED_EDGE('',*,*,#101466,.T.); +#101469=ORIENTED_EDGE('',*,*,#101468,.T.); +#101470=ORIENTED_EDGE('',*,*,#101454,.F.); +#101472=ORIENTED_EDGE('',*,*,#101471,.F.); +#101473=EDGE_LOOP('',(#101467,#101469,#101470,#101472)); +#101474=FACE_OUTER_BOUND('',#101473,.F.); +#101476=CARTESIAN_POINT('',(5.245E1,1.867E1,0.E0)); +#101477=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101478=DIRECTION('',(1.E0,0.E0,0.E0)); +#101479=AXIS2_PLACEMENT_3D('',#101476,#101477,#101478); +#101480=PLANE('',#101479); +#101482=ORIENTED_EDGE('',*,*,#101481,.F.); +#101484=ORIENTED_EDGE('',*,*,#101483,.F.); +#101485=EDGE_LOOP('',(#101482,#101484)); +#101486=FACE_OUTER_BOUND('',#101485,.F.); +#101488=CARTESIAN_POINT('',(5.245E1,1.867E1,0.E0)); +#101489=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101490=DIRECTION('',(1.E0,0.E0,0.E0)); +#101491=AXIS2_PLACEMENT_3D('',#101488,#101489,#101490); +#101492=PLANE('',#101491); +#101494=ORIENTED_EDGE('',*,*,#101493,.F.); +#101496=ORIENTED_EDGE('',*,*,#101495,.F.); +#101497=EDGE_LOOP('',(#101494,#101496)); +#101498=FACE_OUTER_BOUND('',#101497,.F.); +#101500=CARTESIAN_POINT('',(5.245E1,1.867E1,0.E0)); +#101501=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101502=DIRECTION('',(1.E0,0.E0,0.E0)); +#101503=AXIS2_PLACEMENT_3D('',#101500,#101501,#101502); +#101504=PLANE('',#101503); +#101506=ORIENTED_EDGE('',*,*,#101505,.F.); +#101508=ORIENTED_EDGE('',*,*,#101507,.F.); +#101509=EDGE_LOOP('',(#101506,#101508)); +#101510=FACE_OUTER_BOUND('',#101509,.F.); +#101512=CARTESIAN_POINT('',(5.245E1,1.867E1,0.E0)); +#101513=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101514=DIRECTION('',(1.E0,0.E0,0.E0)); +#101515=AXIS2_PLACEMENT_3D('',#101512,#101513,#101514); +#101516=PLANE('',#101515); +#101517=ORIENTED_EDGE('',*,*,#101466,.F.); +#101519=ORIENTED_EDGE('',*,*,#101518,.F.); +#101520=EDGE_LOOP('',(#101517,#101519)); +#101521=FACE_OUTER_BOUND('',#101520,.F.); +#101523=CARTESIAN_POINT('',(-3.84E1,1.851999984226E1,2.E0)); +#101524=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101525=DIRECTION('',(0.E0,0.E0,-1.E0)); +#101526=AXIS2_PLACEMENT_3D('',#101523,#101524,#101525); +#101527=CONICAL_SURFACE('',#101526,1.850000049320E0,4.499999832106E1); +#101528=ORIENTED_EDGE('',*,*,#101481,.T.); +#101530=ORIENTED_EDGE('',*,*,#101529,.T.); +#101532=ORIENTED_EDGE('',*,*,#101531,.F.); +#101534=ORIENTED_EDGE('',*,*,#101533,.F.); +#101535=EDGE_LOOP('',(#101528,#101530,#101532,#101534)); +#101536=FACE_OUTER_BOUND('',#101535,.F.); +#101538=CARTESIAN_POINT('',(-3.84E1,1.851999984226E1,2.E0)); +#101539=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101540=DIRECTION('',(0.E0,0.E0,-1.E0)); +#101541=AXIS2_PLACEMENT_3D('',#101538,#101539,#101540); +#101542=CONICAL_SURFACE('',#101541,1.850000049320E0,4.499999832106E1); +#101543=ORIENTED_EDGE('',*,*,#101483,.T.); +#101544=ORIENTED_EDGE('',*,*,#101533,.T.); +#101545=ORIENTED_EDGE('',*,*,#100102,.F.); +#101546=ORIENTED_EDGE('',*,*,#101529,.F.); +#101547=EDGE_LOOP('',(#101543,#101544,#101545,#101546)); +#101548=FACE_OUTER_BOUND('',#101547,.F.); +#101550=CARTESIAN_POINT('',(-3.84E1,1.67E1,2.E0)); +#101551=DIRECTION('',(0.E0,1.E0,0.E0)); +#101552=DIRECTION('',(-1.E0,0.E0,0.E0)); +#101553=AXIS2_PLACEMENT_3D('',#101550,#101551,#101552); +#101554=CYLINDRICAL_SURFACE('',#101553,2.E0); +#101555=ORIENTED_EDGE('',*,*,#100104,.T.); +#101556=ORIENTED_EDGE('',*,*,#101531,.T.); +#101557=ORIENTED_EDGE('',*,*,#100100,.F.); +#101558=ORIENTED_EDGE('',*,*,#100173,.F.); +#101559=EDGE_LOOP('',(#101555,#101556,#101557,#101558)); +#101560=FACE_OUTER_BOUND('',#101559,.F.); +#101562=CARTESIAN_POINT('',(-8.4E0,1.851999984226E1,2.E0)); +#101563=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101564=DIRECTION('',(0.E0,0.E0,-1.E0)); +#101565=AXIS2_PLACEMENT_3D('',#101562,#101563,#101564); +#101566=CONICAL_SURFACE('',#101565,1.850000049320E0,4.499999832106E1); +#101567=ORIENTED_EDGE('',*,*,#101493,.T.); +#101569=ORIENTED_EDGE('',*,*,#101568,.T.); +#101571=ORIENTED_EDGE('',*,*,#101570,.F.); +#101573=ORIENTED_EDGE('',*,*,#101572,.F.); +#101574=EDGE_LOOP('',(#101567,#101569,#101571,#101573)); +#101575=FACE_OUTER_BOUND('',#101574,.F.); +#101577=CARTESIAN_POINT('',(-8.4E0,1.851999984226E1,2.E0)); +#101578=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101579=DIRECTION('',(0.E0,0.E0,-1.E0)); +#101580=AXIS2_PLACEMENT_3D('',#101577,#101578,#101579); +#101581=CONICAL_SURFACE('',#101580,1.850000049320E0,4.499999832105E1); +#101582=ORIENTED_EDGE('',*,*,#101495,.T.); +#101583=ORIENTED_EDGE('',*,*,#101572,.T.); +#101585=ORIENTED_EDGE('',*,*,#101584,.F.); +#101586=ORIENTED_EDGE('',*,*,#101568,.F.); +#101587=EDGE_LOOP('',(#101582,#101583,#101585,#101586)); +#101588=FACE_OUTER_BOUND('',#101587,.F.); +#101590=CARTESIAN_POINT('',(-8.4E0,1.67E1,2.E0)); +#101591=DIRECTION('',(0.E0,1.E0,0.E0)); +#101592=DIRECTION('',(1.E0,0.E0,0.E0)); +#101593=AXIS2_PLACEMENT_3D('',#101590,#101591,#101592); +#101594=CYLINDRICAL_SURFACE('',#101593,2.E0); +#101595=ORIENTED_EDGE('',*,*,#100194,.F.); +#101597=ORIENTED_EDGE('',*,*,#101596,.F.); +#101599=ORIENTED_EDGE('',*,*,#101598,.T.); +#101600=ORIENTED_EDGE('',*,*,#99934,.T.); +#101602=ORIENTED_EDGE('',*,*,#101601,.F.); +#101604=ORIENTED_EDGE('',*,*,#101603,.F.); +#101605=ORIENTED_EDGE('',*,*,#100198,.F.); +#101607=ORIENTED_EDGE('',*,*,#101606,.T.); +#101608=ORIENTED_EDGE('',*,*,#101584,.T.); +#101610=ORIENTED_EDGE('',*,*,#101609,.F.); +#101611=EDGE_LOOP('',(#101595,#101597,#101599,#101600,#101602,#101604,#101605, +#101607,#101608,#101610)); +#101612=FACE_OUTER_BOUND('',#101611,.F.); +#101614=CARTESIAN_POINT('',(-5.076906687253E0,1.64E1,1.980411381419E0)); +#101615=DIRECTION('',(3.653045718946E-1,7.071067811865E-1,6.054358510634E-1)); +#101616=DIRECTION('',(-9.308880543615E-1,2.774870069230E-1,2.375887018274E-1)); +#101617=AXIS2_PLACEMENT_3D('',#101614,#101615,#101616); +#101618=PLANE('',#101617); +#101619=ORIENTED_EDGE('',*,*,#99889,.F.); +#101621=ORIENTED_EDGE('',*,*,#101620,.F.); +#101622=ORIENTED_EDGE('',*,*,#101596,.T.); +#101623=ORIENTED_EDGE('',*,*,#100192,.T.); +#101625=ORIENTED_EDGE('',*,*,#101624,.F.); +#101626=EDGE_LOOP('',(#101619,#101621,#101622,#101623,#101625)); +#101627=FACE_OUTER_BOUND('',#101626,.F.); +#101629=CARTESIAN_POINT('',(-7.366762640059E0,1.67E1,3.712431183442E0)); +#101630=DIRECTION('',(5.166186799703E-1,0.E0,8.562155917208E-1)); +#101631=DIRECTION('',(8.562155917208E-1,0.E0,-5.166186799703E-1)); +#101632=AXIS2_PLACEMENT_3D('',#101629,#101630,#101631); +#101633=PLANE('',#101632); +#101634=ORIENTED_EDGE('',*,*,#99887,.T.); +#101635=ORIENTED_EDGE('',*,*,#99921,.T.); +#101636=ORIENTED_EDGE('',*,*,#101598,.F.); +#101637=ORIENTED_EDGE('',*,*,#101620,.T.); +#101638=EDGE_LOOP('',(#101634,#101635,#101636,#101637)); +#101639=FACE_OUTER_BOUND('',#101638,.F.); +#101641=CARTESIAN_POINT('',(-3.693726072273E0,1.65E1,6.707357895209E-1)); +#101642=DIRECTION('',(6.849250969847E-1,7.071067811865E-1,1.757202649683E-1)); +#101643=DIRECTION('',(1.222575296178E-1,1.262169084247E-1,-9.844401396121E-1)); +#101644=AXIS2_PLACEMENT_3D('',#101641,#101642,#101643); +#101645=PLANE('',#101644); +#101646=ORIENTED_EDGE('',*,*,#99891,.F.); +#101647=ORIENTED_EDGE('',*,*,#101624,.T.); +#101648=ORIENTED_EDGE('',*,*,#100190,.T.); +#101650=ORIENTED_EDGE('',*,*,#101649,.F.); +#101651=ORIENTED_EDGE('',*,*,#99867,.F.); +#101652=EDGE_LOOP('',(#101646,#101647,#101648,#101650,#101651)); +#101653=FACE_OUTER_BOUND('',#101652,.F.); +#101655=CARTESIAN_POINT('',(-8.4E0,1.649999953651E1,2.E0)); +#101656=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101657=DIRECTION('',(0.E0,0.E0,-1.E0)); +#101658=AXIS2_PLACEMENT_3D('',#101655,#101656,#101657); +#101659=CONICAL_SURFACE('',#101658,5.299999573338E0,4.499999518851E1); +#101660=ORIENTED_EDGE('',*,*,#101649,.T.); +#101661=ORIENTED_EDGE('',*,*,#100188,.T.); +#101663=ORIENTED_EDGE('',*,*,#101662,.F.); +#101665=ORIENTED_EDGE('',*,*,#101664,.F.); +#101666=EDGE_LOOP('',(#101660,#101661,#101663,#101665)); +#101667=FACE_OUTER_BOUND('',#101666,.F.); +#101669=CARTESIAN_POINT('',(-1.310627392773E1,1.65E1,6.707357895209E-1)); +#101670=DIRECTION('',(-6.849250969847E-1,7.071067811865E-1,1.757202649683E-1)); +#101671=DIRECTION('',(-1.222575296178E-1,1.262169084247E-1,-9.844401396121E-1)); +#101672=AXIS2_PLACEMENT_3D('',#101669,#101670,#101671); +#101673=PLANE('',#101672); +#101674=ORIENTED_EDGE('',*,*,#99966,.F.); +#101675=ORIENTED_EDGE('',*,*,#100001,.F.); +#101676=ORIENTED_EDGE('',*,*,#101662,.T.); +#101677=ORIENTED_EDGE('',*,*,#100186,.T.); +#101679=ORIENTED_EDGE('',*,*,#101678,.F.); +#101680=EDGE_LOOP('',(#101674,#101675,#101676,#101677,#101679)); +#101681=FACE_OUTER_BOUND('',#101680,.F.); +#101683=CARTESIAN_POINT('',(-1.172309331275E1,1.64E1,1.980411381419E0)); +#101684=DIRECTION('',(-3.653045718946E-1,7.071067811865E-1,6.054358510634E-1)); +#101685=DIRECTION('',(-9.308880543615E-1,-2.774870069230E-1, +-2.375887018274E-1)); +#101686=AXIS2_PLACEMENT_3D('',#101683,#101684,#101685); +#101687=PLANE('',#101686); +#101688=ORIENTED_EDGE('',*,*,#99968,.F.); +#101689=ORIENTED_EDGE('',*,*,#101678,.T.); +#101690=ORIENTED_EDGE('',*,*,#100184,.T.); +#101691=ORIENTED_EDGE('',*,*,#101603,.T.); +#101693=ORIENTED_EDGE('',*,*,#101692,.F.); +#101694=EDGE_LOOP('',(#101688,#101689,#101690,#101691,#101693)); +#101695=FACE_OUTER_BOUND('',#101694,.F.); +#101697=CARTESIAN_POINT('',(-1.31E1,1.67E1,1.5E0)); +#101698=DIRECTION('',(-5.166186799703E-1,0.E0,8.562155917208E-1)); +#101699=DIRECTION('',(8.562155917208E-1,0.E0,5.166186799703E-1)); +#101700=AXIS2_PLACEMENT_3D('',#101697,#101698,#101699); +#101701=PLANE('',#101700); +#101702=ORIENTED_EDGE('',*,*,#99970,.F.); +#101703=ORIENTED_EDGE('',*,*,#101692,.T.); +#101704=ORIENTED_EDGE('',*,*,#101601,.T.); +#101705=ORIENTED_EDGE('',*,*,#99947,.T.); +#101706=EDGE_LOOP('',(#101702,#101703,#101704,#101705)); +#101707=FACE_OUTER_BOUND('',#101706,.F.); +#101709=CARTESIAN_POINT('',(-8.4E0,1.67E1,2.E0)); +#101710=DIRECTION('',(0.E0,1.E0,0.E0)); +#101711=DIRECTION('',(-1.E0,0.E0,0.E0)); +#101712=AXIS2_PLACEMENT_3D('',#101709,#101710,#101711); +#101713=CYLINDRICAL_SURFACE('',#101712,5.5E0); +#101715=ORIENTED_EDGE('',*,*,#101714,.T.); +#101717=ORIENTED_EDGE('',*,*,#101716,.F.); +#101719=ORIENTED_EDGE('',*,*,#101718,.F.); +#101721=ORIENTED_EDGE('',*,*,#101720,.F.); +#101723=ORIENTED_EDGE('',*,*,#101722,.F.); +#101724=ORIENTED_EDGE('',*,*,#63698,.F.); +#101725=ORIENTED_EDGE('',*,*,#63957,.T.); +#101726=ORIENTED_EDGE('',*,*,#63575,.F.); +#101727=ORIENTED_EDGE('',*,*,#99855,.F.); +#101728=ORIENTED_EDGE('',*,*,#99869,.T.); +#101729=ORIENTED_EDGE('',*,*,#101664,.T.); +#101730=ORIENTED_EDGE('',*,*,#99999,.F.); +#101731=ORIENTED_EDGE('',*,*,#100017,.F.); +#101732=ORIENTED_EDGE('',*,*,#63595,.F.); +#101733=ORIENTED_EDGE('',*,*,#63972,.F.); +#101734=ORIENTED_EDGE('',*,*,#63649,.F.); +#101735=EDGE_LOOP('',(#101715,#101717,#101719,#101721,#101723,#101724,#101725, +#101726,#101727,#101728,#101729,#101730,#101731,#101732,#101733,#101734)); +#101736=FACE_OUTER_BOUND('',#101735,.F.); +#101738=CARTESIAN_POINT('',(-9.1E0,1.55E1,-1.8E0)); +#101739=DIRECTION('',(-1.E0,0.E0,0.E0)); +#101740=DIRECTION('',(0.E0,1.E0,0.E0)); +#101741=AXIS2_PLACEMENT_3D('',#101738,#101739,#101740); +#101742=PLANE('',#101741); +#101744=ORIENTED_EDGE('',*,*,#101743,.T.); +#101745=ORIENTED_EDGE('',*,*,#101714,.F.); +#101746=ORIENTED_EDGE('',*,*,#63647,.F.); +#101747=ORIENTED_EDGE('',*,*,#63454,.T.); +#101749=ORIENTED_EDGE('',*,*,#101748,.F.); +#101750=EDGE_LOOP('',(#101744,#101745,#101746,#101747,#101749)); +#101751=FACE_OUTER_BOUND('',#101750,.F.); +#101753=CARTESIAN_POINT('',(-8.8E0,1.59E1,-1.502617945354E1)); +#101754=DIRECTION('',(0.E0,0.E0,1.E0)); +#101755=DIRECTION('',(0.E0,1.E0,0.E0)); +#101756=AXIS2_PLACEMENT_3D('',#101753,#101754,#101755); +#101757=CYLINDRICAL_SURFACE('',#101756,3.E-1); +#101758=ORIENTED_EDGE('',*,*,#101743,.F.); +#101760=ORIENTED_EDGE('',*,*,#101759,.T.); +#101762=ORIENTED_EDGE('',*,*,#101761,.T.); +#101763=ORIENTED_EDGE('',*,*,#101716,.T.); +#101764=EDGE_LOOP('',(#101758,#101760,#101762,#101763)); +#101765=FACE_OUTER_BOUND('',#101764,.F.); +#101767=CARTESIAN_POINT('',(-8.4E0,1.595E1,-1.453077E1)); +#101768=DIRECTION('',(0.E0,8.645071866842E-1,-5.026204573745E-1)); +#101769=DIRECTION('',(0.E0,5.026204573745E-1,8.645071866842E-1)); +#101770=AXIS2_PLACEMENT_3D('',#101767,#101768,#101769); +#101771=PLANE('',#101770); +#101773=ORIENTED_EDGE('',*,*,#101772,.F.); +#101775=ORIENTED_EDGE('',*,*,#101774,.F.); +#101776=ORIENTED_EDGE('',*,*,#101759,.F.); +#101777=ORIENTED_EDGE('',*,*,#101748,.T.); +#101778=ORIENTED_EDGE('',*,*,#63452,.T.); +#101780=ORIENTED_EDGE('',*,*,#101779,.T.); +#101781=EDGE_LOOP('',(#101773,#101775,#101776,#101777,#101778,#101780)); +#101782=FACE_OUTER_BOUND('',#101781,.F.); +#101784=CARTESIAN_POINT('',(-8.E0,1.59E1,-1.501468375225E1)); +#101785=DIRECTION('',(0.E0,0.E0,1.E0)); +#101786=DIRECTION('',(1.E0,0.E0,0.E0)); +#101787=AXIS2_PLACEMENT_3D('',#101784,#101785,#101786); +#101788=CYLINDRICAL_SURFACE('',#101787,3.E-1); +#101790=ORIENTED_EDGE('',*,*,#101789,.F.); +#101791=ORIENTED_EDGE('',*,*,#101772,.T.); +#101793=ORIENTED_EDGE('',*,*,#101792,.T.); +#101794=ORIENTED_EDGE('',*,*,#101720,.T.); +#101795=EDGE_LOOP('',(#101790,#101791,#101793,#101794)); +#101796=FACE_OUTER_BOUND('',#101795,.F.); +#101798=CARTESIAN_POINT('',(-9.1E0,1.62E1,-1.8E0)); +#101799=DIRECTION('',(0.E0,1.E0,0.E0)); +#101800=DIRECTION('',(1.E0,0.E0,0.E0)); +#101801=AXIS2_PLACEMENT_3D('',#101798,#101799,#101800); +#101802=PLANE('',#101801); +#101803=ORIENTED_EDGE('',*,*,#101789,.T.); +#101804=ORIENTED_EDGE('',*,*,#101718,.T.); +#101805=ORIENTED_EDGE('',*,*,#101761,.F.); +#101806=ORIENTED_EDGE('',*,*,#101774,.T.); +#101807=EDGE_LOOP('',(#101803,#101804,#101805,#101806)); +#101808=FACE_OUTER_BOUND('',#101807,.F.); +#101810=CARTESIAN_POINT('',(-7.7E0,1.62E1,-1.8E0)); +#101811=DIRECTION('',(1.E0,0.E0,0.E0)); +#101812=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101813=AXIS2_PLACEMENT_3D('',#101810,#101811,#101812); +#101814=PLANE('',#101813); +#101815=ORIENTED_EDGE('',*,*,#101792,.F.); +#101816=ORIENTED_EDGE('',*,*,#101779,.F.); +#101817=ORIENTED_EDGE('',*,*,#63457,.T.); +#101818=ORIENTED_EDGE('',*,*,#63700,.T.); +#101819=ORIENTED_EDGE('',*,*,#101722,.T.); +#101820=EDGE_LOOP('',(#101815,#101816,#101817,#101818,#101819)); +#101821=FACE_OUTER_BOUND('',#101820,.F.); +#101823=CARTESIAN_POINT('',(-8.4E0,1.67E1,2.E0)); +#101824=DIRECTION('',(0.E0,1.E0,0.E0)); +#101825=DIRECTION('',(-1.E0,0.E0,0.E0)); +#101826=AXIS2_PLACEMENT_3D('',#101823,#101824,#101825); +#101827=CYLINDRICAL_SURFACE('',#101826,2.E0); +#101828=ORIENTED_EDGE('',*,*,#101609,.T.); +#101829=ORIENTED_EDGE('',*,*,#101570,.T.); +#101830=ORIENTED_EDGE('',*,*,#101606,.F.); +#101831=ORIENTED_EDGE('',*,*,#100196,.F.); +#101832=EDGE_LOOP('',(#101828,#101829,#101830,#101831)); +#101833=FACE_OUTER_BOUND('',#101832,.F.); +#101835=CARTESIAN_POINT('',(3.255E1,1.851999984226E1,2.E0)); +#101836=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101837=DIRECTION('',(0.E0,0.E0,-1.E0)); +#101838=AXIS2_PLACEMENT_3D('',#101835,#101836,#101837); +#101839=CONICAL_SURFACE('',#101838,1.850000049320E0,4.499999832106E1); +#101840=ORIENTED_EDGE('',*,*,#101505,.T.); +#101842=ORIENTED_EDGE('',*,*,#101841,.T.); +#101844=ORIENTED_EDGE('',*,*,#101843,.F.); +#101846=ORIENTED_EDGE('',*,*,#101845,.F.); +#101847=EDGE_LOOP('',(#101840,#101842,#101844,#101846)); +#101848=FACE_OUTER_BOUND('',#101847,.F.); +#101850=CARTESIAN_POINT('',(3.255E1,1.851999984226E1,2.E0)); +#101851=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101852=DIRECTION('',(0.E0,0.E0,-1.E0)); +#101853=AXIS2_PLACEMENT_3D('',#101850,#101851,#101852); +#101854=CONICAL_SURFACE('',#101853,1.850000049320E0,4.499999832105E1); +#101855=ORIENTED_EDGE('',*,*,#101507,.T.); +#101856=ORIENTED_EDGE('',*,*,#101845,.T.); +#101857=ORIENTED_EDGE('',*,*,#100285,.F.); +#101858=ORIENTED_EDGE('',*,*,#101841,.F.); +#101859=EDGE_LOOP('',(#101855,#101856,#101857,#101858)); +#101860=FACE_OUTER_BOUND('',#101859,.F.); +#101862=CARTESIAN_POINT('',(3.255E1,1.67E1,2.E0)); +#101863=DIRECTION('',(0.E0,1.E0,0.E0)); +#101864=DIRECTION('',(-1.E0,0.E0,0.E0)); +#101865=AXIS2_PLACEMENT_3D('',#101862,#101863,#101864); +#101866=CYLINDRICAL_SURFACE('',#101865,2.E0); +#101867=ORIENTED_EDGE('',*,*,#100287,.T.); +#101868=ORIENTED_EDGE('',*,*,#101843,.T.); +#101869=ORIENTED_EDGE('',*,*,#100283,.F.); +#101870=ORIENTED_EDGE('',*,*,#100126,.F.); +#101871=EDGE_LOOP('',(#101867,#101868,#101869,#101870)); +#101872=FACE_OUTER_BOUND('',#101871,.F.); +#101874=CARTESIAN_POINT('',(5.485E1,1.851999984226E1,2.E0)); +#101875=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101876=DIRECTION('',(0.E0,0.E0,-1.E0)); +#101877=AXIS2_PLACEMENT_3D('',#101874,#101875,#101876); +#101878=CONICAL_SURFACE('',#101877,1.850000049320E0,4.499999832105E1); +#101879=ORIENTED_EDGE('',*,*,#101518,.T.); +#101880=ORIENTED_EDGE('',*,*,#101471,.T.); +#101881=ORIENTED_EDGE('',*,*,#101326,.F.); +#101882=ORIENTED_EDGE('',*,*,#101468,.F.); +#101883=EDGE_LOOP('',(#101879,#101880,#101881,#101882)); +#101884=FACE_OUTER_BOUND('',#101883,.F.); +#101886=CARTESIAN_POINT('',(5.026730017776E1,1.52E1,7.5E-1)); +#101887=DIRECTION('',(-6.849250969847E-1,-7.071067811866E-1,1.757202649683E-1)); +#101888=DIRECTION('',(-1.222575296178E-1,-1.262169084247E-1, +-9.844401396121E-1)); +#101889=AXIS2_PLACEMENT_3D('',#101886,#101887,#101888); +#101890=PLANE('',#101889); +#101891=ORIENTED_EDGE('',*,*,#101253,.F.); +#101892=ORIENTED_EDGE('',*,*,#101276,.T.); +#101893=ORIENTED_EDGE('',*,*,#98188,.T.); +#101894=ORIENTED_EDGE('',*,*,#64373,.T.); +#101896=ORIENTED_EDGE('',*,*,#101895,.F.); +#101897=EDGE_LOOP('',(#101891,#101892,#101893,#101894,#101896)); +#101898=FACE_OUTER_BOUND('',#101897,.F.); +#101900=CARTESIAN_POINT('',(4.975E1,1.67E1,-5.912602819739E-2)); +#101901=DIRECTION('',(-9.686303613654E-1,0.E0,2.485059819020E-1)); +#101902=DIRECTION('',(2.485059819020E-1,0.E0,9.686303613654E-1)); +#101903=AXIS2_PLACEMENT_3D('',#101900,#101901,#101902); +#101904=PLANE('',#101903); +#101905=ORIENTED_EDGE('',*,*,#101255,.T.); +#101906=ORIENTED_EDGE('',*,*,#101895,.T.); +#101907=ORIENTED_EDGE('',*,*,#64371,.F.); +#101909=ORIENTED_EDGE('',*,*,#101908,.F.); +#101910=ORIENTED_EDGE('',*,*,#63317,.T.); +#101911=ORIENTED_EDGE('',*,*,#101237,.T.); +#101912=EDGE_LOOP('',(#101905,#101906,#101907,#101909,#101910,#101911)); +#101913=FACE_OUTER_BOUND('',#101912,.F.); +#101915=CARTESIAN_POINT('',(4.37E1,1.6E1,-2.E-1)); +#101916=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#101917=DIRECTION('',(-1.E0,0.E0,0.E0)); +#101918=AXIS2_PLACEMENT_3D('',#101915,#101916,#101917); +#101919=PLANE('',#101918); +#101920=ORIENTED_EDGE('',*,*,#64369,.F.); +#101921=ORIENTED_EDGE('',*,*,#100352,.T.); +#101922=ORIENTED_EDGE('',*,*,#100406,.T.); +#101923=ORIENTED_EDGE('',*,*,#100546,.T.); +#101924=ORIENTED_EDGE('',*,*,#63319,.T.); +#101925=ORIENTED_EDGE('',*,*,#101908,.T.); +#101926=EDGE_LOOP('',(#101920,#101921,#101922,#101923,#101924,#101925)); +#101927=FACE_OUTER_BOUND('',#101926,.F.); +#101929=CARTESIAN_POINT('',(4.41E1,1.59E1,-1.503548980973E1)); +#101930=DIRECTION('',(0.E0,0.E0,1.E0)); +#101931=DIRECTION('',(1.E0,0.E0,0.E0)); +#101932=AXIS2_PLACEMENT_3D('',#101929,#101930,#101931); +#101933=CYLINDRICAL_SURFACE('',#101932,3.E-1); +#101934=ORIENTED_EDGE('',*,*,#100542,.F.); +#101936=ORIENTED_EDGE('',*,*,#101935,.T.); +#101938=ORIENTED_EDGE('',*,*,#101937,.T.); +#101939=ORIENTED_EDGE('',*,*,#98956,.T.); +#101940=EDGE_LOOP('',(#101934,#101936,#101938,#101939)); +#101941=FACE_OUTER_BOUND('',#101940,.F.); +#101943=CARTESIAN_POINT('',(4.37E1,1.595E1,-1.453077E1)); +#101944=DIRECTION('',(0.E0,8.645071866842E-1,-5.026204573745E-1)); +#101945=DIRECTION('',(0.E0,5.026204573745E-1,8.645071866842E-1)); +#101946=AXIS2_PLACEMENT_3D('',#101943,#101944,#101945); +#101947=PLANE('',#101946); +#101948=ORIENTED_EDGE('',*,*,#101935,.F.); +#101949=ORIENTED_EDGE('',*,*,#100552,.F.); +#101951=ORIENTED_EDGE('',*,*,#101950,.F.); +#101953=ORIENTED_EDGE('',*,*,#101952,.T.); +#101954=ORIENTED_EDGE('',*,*,#63407,.T.); +#101956=ORIENTED_EDGE('',*,*,#101955,.T.); +#101957=EDGE_LOOP('',(#101948,#101949,#101951,#101953,#101954,#101956)); +#101958=FACE_OUTER_BOUND('',#101957,.F.); +#101960=CARTESIAN_POINT('',(4.33E1,1.59E1,-1.504177068197E1)); +#101961=DIRECTION('',(0.E0,0.E0,1.E0)); +#101962=DIRECTION('',(2.368475785867E-14,1.E0,0.E0)); +#101963=AXIS2_PLACEMENT_3D('',#101960,#101961,#101962); +#101964=CYLINDRICAL_SURFACE('',#101963,3.E-1); +#101966=ORIENTED_EDGE('',*,*,#101965,.F.); +#101967=ORIENTED_EDGE('',*,*,#101950,.T.); +#101968=ORIENTED_EDGE('',*,*,#100550,.T.); +#101969=ORIENTED_EDGE('',*,*,#98972,.T.); +#101970=EDGE_LOOP('',(#101966,#101967,#101968,#101969)); +#101971=FACE_OUTER_BOUND('',#101970,.F.); +#101973=CARTESIAN_POINT('',(4.3E1,1.55E1,-1.8E0)); +#101974=DIRECTION('',(-1.E0,0.E0,0.E0)); +#101975=DIRECTION('',(0.E0,1.E0,0.E0)); +#101976=AXIS2_PLACEMENT_3D('',#101973,#101974,#101975); +#101977=PLANE('',#101976); +#101978=ORIENTED_EDGE('',*,*,#101965,.T.); +#101979=ORIENTED_EDGE('',*,*,#98970,.T.); +#101980=ORIENTED_EDGE('',*,*,#63729,.F.); +#101981=ORIENTED_EDGE('',*,*,#63409,.T.); +#101982=ORIENTED_EDGE('',*,*,#101952,.F.); +#101983=EDGE_LOOP('',(#101978,#101979,#101980,#101981,#101982)); +#101984=FACE_OUTER_BOUND('',#101983,.F.); +#101986=CARTESIAN_POINT('',(4.44E1,1.62E1,-1.8E0)); +#101987=DIRECTION('',(1.E0,0.E0,0.E0)); +#101988=DIRECTION('',(0.E0,-1.E0,0.E0)); +#101989=AXIS2_PLACEMENT_3D('',#101986,#101987,#101988); +#101990=PLANE('',#101989); +#101991=ORIENTED_EDGE('',*,*,#101937,.F.); +#101992=ORIENTED_EDGE('',*,*,#101955,.F.); +#101993=ORIENTED_EDGE('',*,*,#63412,.T.); +#101994=ORIENTED_EDGE('',*,*,#63760,.T.); +#101995=ORIENTED_EDGE('',*,*,#98958,.F.); +#101996=EDGE_LOOP('',(#101991,#101992,#101993,#101994,#101995)); +#101997=FACE_OUTER_BOUND('',#101996,.F.); +#101999=CARTESIAN_POINT('',(3.526163307598E1,1.52E1,2.349350914205E0)); +#102000=DIRECTION('',(3.653045718946E-1,-7.071067811865E-1,6.054358510634E-1)); +#102001=DIRECTION('',(-9.308880543615E-1,-2.774870069230E-1,2.375887018274E-1)); +#102002=AXIS2_PLACEMENT_3D('',#101999,#102000,#102001); +#102003=PLANE('',#102002); +#102004=ORIENTED_EDGE('',*,*,#100315,.F.); +#102005=ORIENTED_EDGE('',*,*,#100337,.T.); +#102006=ORIENTED_EDGE('',*,*,#98175,.T.); +#102008=ORIENTED_EDGE('',*,*,#102007,.T.); +#102010=ORIENTED_EDGE('',*,*,#102009,.F.); +#102011=EDGE_LOOP('',(#102004,#102005,#102006,#102008,#102010)); +#102012=FACE_OUTER_BOUND('',#102011,.F.); +#102014=CARTESIAN_POINT('',(3.255E1,1.520000025150E1,2.E0)); +#102015=DIRECTION('',(0.E0,1.E0,0.E0)); +#102016=DIRECTION('',(0.E0,0.E0,-1.E0)); +#102017=AXIS2_PLACEMENT_3D('',#102014,#102015,#102016); +#102018=CONICAL_SURFACE('',#102017,1.699999916114E0,4.499999434817E1); +#102019=ORIENTED_EDGE('',*,*,#98173,.T.); +#102020=ORIENTED_EDGE('',*,*,#100247,.T.); +#102021=ORIENTED_EDGE('',*,*,#100278,.F.); +#102022=ORIENTED_EDGE('',*,*,#102007,.F.); +#102023=EDGE_LOOP('',(#102019,#102020,#102021,#102022)); +#102024=FACE_OUTER_BOUND('',#102023,.F.); +#102026=CARTESIAN_POINT('',(3.358323735994E1,1.67E1,3.712431183442E0)); +#102027=DIRECTION('',(5.166186799703E-1,0.E0,8.562155917208E-1)); +#102028=DIRECTION('',(8.562155917208E-1,0.E0,-5.166186799703E-1)); +#102029=AXIS2_PLACEMENT_3D('',#102026,#102027,#102028); +#102030=PLANE('',#102029); +#102031=ORIENTED_EDGE('',*,*,#100317,.T.); +#102032=ORIENTED_EDGE('',*,*,#102009,.T.); +#102033=ORIENTED_EDGE('',*,*,#100276,.F.); +#102034=ORIENTED_EDGE('',*,*,#100299,.T.); +#102035=EDGE_LOOP('',(#102031,#102032,#102033,#102034)); +#102036=FACE_OUTER_BOUND('',#102035,.F.); +#102038=CARTESIAN_POINT('',(-4.172309331275E1,1.64E1,1.980411381419E0)); +#102039=DIRECTION('',(-3.653045718946E-1,7.071067811865E-1,6.054358510634E-1)); +#102040=DIRECTION('',(-9.308880543615E-1,-2.774870069230E-1, +-2.375887018274E-1)); +#102041=AXIS2_PLACEMENT_3D('',#102038,#102039,#102040); +#102042=PLANE('',#102041); +#102043=ORIENTED_EDGE('',*,*,#98291,.F.); +#102045=ORIENTED_EDGE('',*,*,#102044,.T.); +#102046=ORIENTED_EDGE('',*,*,#100164,.T.); +#102047=ORIENTED_EDGE('',*,*,#100096,.T.); +#102049=ORIENTED_EDGE('',*,*,#102048,.F.); +#102050=EDGE_LOOP('',(#102043,#102045,#102046,#102047,#102049)); +#102051=FACE_OUTER_BOUND('',#102050,.F.); +#102053=CARTESIAN_POINT('',(-4.310627392773E1,1.65E1,6.707357895209E-1)); +#102054=DIRECTION('',(-6.849250969847E-1,7.071067811866E-1,1.757202649683E-1)); +#102055=DIRECTION('',(-1.222575296178E-1,1.262169084247E-1,-9.844401396121E-1)); +#102056=AXIS2_PLACEMENT_3D('',#102053,#102054,#102055); +#102057=PLANE('',#102056); +#102058=ORIENTED_EDGE('',*,*,#98289,.F.); +#102059=ORIENTED_EDGE('',*,*,#98324,.F.); +#102061=ORIENTED_EDGE('',*,*,#102060,.T.); +#102062=ORIENTED_EDGE('',*,*,#100166,.T.); +#102063=ORIENTED_EDGE('',*,*,#102044,.F.); +#102064=EDGE_LOOP('',(#102058,#102059,#102061,#102062,#102063)); +#102065=FACE_OUTER_BOUND('',#102064,.F.); +#102067=CARTESIAN_POINT('',(-3.84E1,1.649999953651E1,2.E0)); +#102068=DIRECTION('',(0.E0,-1.E0,0.E0)); +#102069=DIRECTION('',(0.E0,0.E0,-1.E0)); +#102070=AXIS2_PLACEMENT_3D('',#102067,#102068,#102069); +#102071=CONICAL_SURFACE('',#102070,5.299999573338E0,4.499999518851E1); +#102072=ORIENTED_EDGE('',*,*,#100049,.T.); +#102073=ORIENTED_EDGE('',*,*,#100168,.T.); +#102074=ORIENTED_EDGE('',*,*,#102060,.F.); +#102076=ORIENTED_EDGE('',*,*,#102075,.F.); +#102077=EDGE_LOOP('',(#102072,#102073,#102074,#102076)); +#102078=FACE_OUTER_BOUND('',#102077,.F.); +#102080=CARTESIAN_POINT('',(-3.84E1,1.67E1,2.E0)); +#102081=DIRECTION('',(0.E0,1.E0,0.E0)); +#102082=DIRECTION('',(-1.E0,0.E0,0.E0)); +#102083=AXIS2_PLACEMENT_3D('',#102080,#102081,#102082); +#102084=CYLINDRICAL_SURFACE('',#102083,5.5E0); +#102086=ORIENTED_EDGE('',*,*,#102085,.F.); +#102088=ORIENTED_EDGE('',*,*,#102087,.F.); +#102090=ORIENTED_EDGE('',*,*,#102089,.F.); +#102091=ORIENTED_EDGE('',*,*,#63665,.F.); +#102092=ORIENTED_EDGE('',*,*,#63985,.T.); +#102093=ORIENTED_EDGE('',*,*,#63599,.F.); +#102094=ORIENTED_EDGE('',*,*,#100014,.F.); +#102095=ORIENTED_EDGE('',*,*,#100031,.T.); +#102096=ORIENTED_EDGE('',*,*,#102075,.T.); +#102097=ORIENTED_EDGE('',*,*,#98322,.F.); +#102098=ORIENTED_EDGE('',*,*,#98338,.F.); +#102099=ORIENTED_EDGE('',*,*,#63552,.F.); +#102100=ORIENTED_EDGE('',*,*,#64000,.F.); +#102101=ORIENTED_EDGE('',*,*,#63712,.F.); +#102103=ORIENTED_EDGE('',*,*,#102102,.T.); +#102105=ORIENTED_EDGE('',*,*,#102104,.F.); +#102106=EDGE_LOOP('',(#102086,#102088,#102090,#102091,#102092,#102093,#102094, +#102095,#102096,#102097,#102098,#102099,#102100,#102101,#102103,#102105)); +#102107=FACE_OUTER_BOUND('',#102106,.F.); +#102109=CARTESIAN_POINT('',(-3.91E1,1.62E1,-1.8E0)); +#102110=DIRECTION('',(0.E0,1.E0,0.E0)); +#102111=DIRECTION('',(1.E0,0.E0,0.E0)); +#102112=AXIS2_PLACEMENT_3D('',#102109,#102110,#102111); +#102113=PLANE('',#102112); +#102115=ORIENTED_EDGE('',*,*,#102114,.T.); +#102116=ORIENTED_EDGE('',*,*,#102085,.T.); +#102118=ORIENTED_EDGE('',*,*,#102117,.F.); +#102120=ORIENTED_EDGE('',*,*,#102119,.T.); +#102121=EDGE_LOOP('',(#102115,#102116,#102118,#102120)); +#102122=FACE_OUTER_BOUND('',#102121,.F.); +#102124=CARTESIAN_POINT('',(-3.8E1,1.59E1,-1.501468375225E1)); +#102125=DIRECTION('',(0.E0,0.E0,1.E0)); +#102126=DIRECTION('',(1.E0,0.E0,0.E0)); +#102127=AXIS2_PLACEMENT_3D('',#102124,#102125,#102126); +#102128=CYLINDRICAL_SURFACE('',#102127,3.E-1); +#102129=ORIENTED_EDGE('',*,*,#102114,.F.); +#102131=ORIENTED_EDGE('',*,*,#102130,.T.); +#102133=ORIENTED_EDGE('',*,*,#102132,.T.); +#102134=ORIENTED_EDGE('',*,*,#102087,.T.); +#102135=EDGE_LOOP('',(#102129,#102131,#102133,#102134)); +#102136=FACE_OUTER_BOUND('',#102135,.F.); +#102138=CARTESIAN_POINT('',(-3.84E1,1.595E1,-1.453077E1)); +#102139=DIRECTION('',(0.E0,8.645071866842E-1,-5.026204573745E-1)); +#102140=DIRECTION('',(0.E0,5.026204573745E-1,8.645071866842E-1)); +#102141=AXIS2_PLACEMENT_3D('',#102138,#102139,#102140); +#102142=PLANE('',#102141); +#102143=ORIENTED_EDGE('',*,*,#102130,.F.); +#102144=ORIENTED_EDGE('',*,*,#102119,.F.); +#102146=ORIENTED_EDGE('',*,*,#102145,.F.); +#102148=ORIENTED_EDGE('',*,*,#102147,.T.); +#102149=ORIENTED_EDGE('',*,*,#63482,.T.); +#102151=ORIENTED_EDGE('',*,*,#102150,.T.); +#102152=EDGE_LOOP('',(#102143,#102144,#102146,#102148,#102149,#102151)); +#102153=FACE_OUTER_BOUND('',#102152,.F.); +#102155=CARTESIAN_POINT('',(-3.88E1,1.59E1,-1.502617945354E1)); +#102156=DIRECTION('',(0.E0,0.E0,1.E0)); +#102157=DIRECTION('',(0.E0,1.E0,0.E0)); +#102158=AXIS2_PLACEMENT_3D('',#102155,#102156,#102157); +#102159=CYLINDRICAL_SURFACE('',#102158,3.E-1); +#102161=ORIENTED_EDGE('',*,*,#102160,.F.); +#102162=ORIENTED_EDGE('',*,*,#102145,.T.); +#102163=ORIENTED_EDGE('',*,*,#102117,.T.); +#102164=ORIENTED_EDGE('',*,*,#102104,.T.); +#102165=EDGE_LOOP('',(#102161,#102162,#102163,#102164)); +#102166=FACE_OUTER_BOUND('',#102165,.F.); +#102168=CARTESIAN_POINT('',(-3.91E1,1.55E1,-1.8E0)); +#102169=DIRECTION('',(-1.E0,0.E0,0.E0)); +#102170=DIRECTION('',(0.E0,1.E0,0.E0)); +#102171=AXIS2_PLACEMENT_3D('',#102168,#102169,#102170); +#102172=PLANE('',#102171); +#102173=ORIENTED_EDGE('',*,*,#102160,.T.); +#102174=ORIENTED_EDGE('',*,*,#102102,.F.); +#102175=ORIENTED_EDGE('',*,*,#63710,.F.); +#102176=ORIENTED_EDGE('',*,*,#63484,.T.); +#102177=ORIENTED_EDGE('',*,*,#102147,.F.); +#102178=EDGE_LOOP('',(#102173,#102174,#102175,#102176,#102177)); +#102179=FACE_OUTER_BOUND('',#102178,.F.); +#102181=CARTESIAN_POINT('',(-3.77E1,1.62E1,-1.8E0)); +#102182=DIRECTION('',(1.E0,0.E0,0.E0)); +#102183=DIRECTION('',(0.E0,-1.E0,0.E0)); +#102184=AXIS2_PLACEMENT_3D('',#102181,#102182,#102183); +#102185=PLANE('',#102184); +#102186=ORIENTED_EDGE('',*,*,#102132,.F.); +#102187=ORIENTED_EDGE('',*,*,#102150,.F.); +#102188=ORIENTED_EDGE('',*,*,#63487,.T.); +#102189=ORIENTED_EDGE('',*,*,#63667,.T.); +#102190=ORIENTED_EDGE('',*,*,#102089,.T.); +#102191=EDGE_LOOP('',(#102186,#102187,#102188,#102189,#102190)); +#102192=FACE_OUTER_BOUND('',#102191,.F.); +#102194=CARTESIAN_POINT('',(-4.31E1,1.67E1,1.5E0)); +#102195=DIRECTION('',(-5.166186799703E-1,0.E0,8.562155917208E-1)); +#102196=DIRECTION('',(8.562155917208E-1,0.E0,5.166186799703E-1)); +#102197=AXIS2_PLACEMENT_3D('',#102194,#102195,#102196); +#102198=PLANE('',#102197); +#102199=ORIENTED_EDGE('',*,*,#98293,.F.); +#102200=ORIENTED_EDGE('',*,*,#102048,.T.); +#102201=ORIENTED_EDGE('',*,*,#100094,.T.); +#102202=ORIENTED_EDGE('',*,*,#98270,.T.); +#102203=EDGE_LOOP('',(#102199,#102200,#102201,#102202)); +#102204=FACE_OUTER_BOUND('',#102203,.F.); +#102206=CARTESIAN_POINT('',(-3.84E1,-8.639999536513E0,2.E0)); +#102207=DIRECTION('',(0.E0,1.E0,0.E0)); +#102208=DIRECTION('',(0.E0,0.E0,-1.E0)); +#102209=AXIS2_PLACEMENT_3D('',#102206,#102207,#102208); +#102210=CONICAL_SURFACE('',#102209,5.299999573338E0,4.499999518851E1); +#102212=ORIENTED_EDGE('',*,*,#102211,.T.); +#102213=ORIENTED_EDGE('',*,*,#99293,.T.); +#102215=ORIENTED_EDGE('',*,*,#102214,.F.); +#102216=ORIENTED_EDGE('',*,*,#99661,.F.); +#102217=EDGE_LOOP('',(#102212,#102213,#102215,#102216)); +#102218=FACE_OUTER_BOUND('',#102217,.F.); +#102220=CARTESIAN_POINT('',(-4.310627392773E1,-8.64E0,6.707357895209E-1)); +#102221=DIRECTION('',(-6.849250969847E-1,-7.071067811865E-1,1.757202649683E-1)); +#102222=DIRECTION('',(-1.222575296178E-1,-1.262169084247E-1, +-9.844401396121E-1)); +#102223=AXIS2_PLACEMENT_3D('',#102220,#102221,#102222); +#102224=PLANE('',#102223); +#102225=ORIENTED_EDGE('',*,*,#98513,.F.); +#102226=ORIENTED_EDGE('',*,*,#99536,.T.); +#102227=ORIENTED_EDGE('',*,*,#99295,.T.); +#102228=ORIENTED_EDGE('',*,*,#102211,.F.); +#102229=ORIENTED_EDGE('',*,*,#98489,.F.); +#102230=EDGE_LOOP('',(#102225,#102226,#102227,#102228,#102229)); +#102231=FACE_OUTER_BOUND('',#102230,.F.); +#102233=CARTESIAN_POINT('',(-3.369372607227E1,-8.64E0,6.707357895209E-1)); +#102234=DIRECTION('',(6.849250969847E-1,-7.071067811865E-1,1.757202649683E-1)); +#102235=DIRECTION('',(1.222575296178E-1,-1.262169084247E-1,-9.844401396121E-1)); +#102236=AXIS2_PLACEMENT_3D('',#102233,#102234,#102235); +#102237=PLANE('',#102236); +#102238=ORIENTED_EDGE('',*,*,#99607,.F.); +#102239=ORIENTED_EDGE('',*,*,#99641,.F.); +#102240=ORIENTED_EDGE('',*,*,#102214,.T.); +#102241=ORIENTED_EDGE('',*,*,#99291,.T.); +#102243=ORIENTED_EDGE('',*,*,#102242,.F.); +#102244=EDGE_LOOP('',(#102238,#102239,#102240,#102241,#102243)); +#102245=FACE_OUTER_BOUND('',#102244,.F.); +#102247=CARTESIAN_POINT('',(-3.507690668725E1,-8.54E0,1.980411381419E0)); +#102248=DIRECTION('',(3.653045718946E-1,-7.071067811865E-1,6.054358510634E-1)); +#102249=DIRECTION('',(-9.308880543615E-1,-2.774870069230E-1,2.375887018274E-1)); +#102250=AXIS2_PLACEMENT_3D('',#102247,#102248,#102249); +#102251=PLANE('',#102250); +#102252=ORIENTED_EDGE('',*,*,#99609,.F.); +#102253=ORIENTED_EDGE('',*,*,#102242,.T.); +#102254=ORIENTED_EDGE('',*,*,#99289,.T.); +#102255=ORIENTED_EDGE('',*,*,#99515,.T.); +#102257=ORIENTED_EDGE('',*,*,#102256,.F.); +#102258=EDGE_LOOP('',(#102252,#102253,#102254,#102255,#102257)); +#102259=FACE_OUTER_BOUND('',#102258,.F.); +#102261=CARTESIAN_POINT('',(-3.37E1,-8.84E0,1.5E0)); +#102262=DIRECTION('',(5.166186799703E-1,0.E0,8.562155917208E-1)); +#102263=DIRECTION('',(-8.562155917208E-1,0.E0,5.166186799703E-1)); +#102264=AXIS2_PLACEMENT_3D('',#102261,#102262,#102263); +#102265=PLANE('',#102264); +#102266=ORIENTED_EDGE('',*,*,#99611,.F.); +#102267=ORIENTED_EDGE('',*,*,#102256,.T.); +#102268=ORIENTED_EDGE('',*,*,#99513,.T.); +#102269=ORIENTED_EDGE('',*,*,#99588,.T.); +#102270=EDGE_LOOP('',(#102266,#102267,#102268,#102269)); +#102271=FACE_OUTER_BOUND('',#102270,.F.); +#102273=CARTESIAN_POINT('',(-3.84E1,-8.84E0,2.E0)); +#102274=DIRECTION('',(0.E0,-1.E0,0.E0)); +#102275=DIRECTION('',(1.E0,0.E0,0.E0)); +#102276=AXIS2_PLACEMENT_3D('',#102273,#102274,#102275); +#102277=CYLINDRICAL_SURFACE('',#102276,2.E0); +#102278=ORIENTED_EDGE('',*,*,#99518,.F.); +#102279=ORIENTED_EDGE('',*,*,#99285,.F.); +#102280=ORIENTED_EDGE('',*,*,#99521,.T.); +#102281=ORIENTED_EDGE('',*,*,#99481,.T.); +#102282=EDGE_LOOP('',(#102278,#102279,#102280,#102281)); +#102283=FACE_OUTER_BOUND('',#102282,.F.); +#102285=CARTESIAN_POINT('',(-1.34E1,-1.065999984226E1,2.E0)); +#102286=DIRECTION('',(0.E0,1.E0,0.E0)); +#102287=DIRECTION('',(0.E0,0.E0,-1.E0)); +#102288=AXIS2_PLACEMENT_3D('',#102285,#102286,#102287); +#102289=CONICAL_SURFACE('',#102288,1.850000049320E0,4.499999832106E1); +#102290=ORIENTED_EDGE('',*,*,#99443,.T.); +#102292=ORIENTED_EDGE('',*,*,#102291,.T.); +#102294=ORIENTED_EDGE('',*,*,#102293,.F.); +#102296=ORIENTED_EDGE('',*,*,#102295,.F.); +#102297=EDGE_LOOP('',(#102290,#102292,#102294,#102296)); +#102298=FACE_OUTER_BOUND('',#102297,.F.); +#102300=CARTESIAN_POINT('',(-1.34E1,-1.065999984226E1,2.E0)); +#102301=DIRECTION('',(0.E0,1.E0,0.E0)); +#102302=DIRECTION('',(0.E0,0.E0,-1.E0)); +#102303=AXIS2_PLACEMENT_3D('',#102300,#102301,#102302); +#102304=CONICAL_SURFACE('',#102303,1.850000049320E0,4.499999832106E1); +#102305=ORIENTED_EDGE('',*,*,#99445,.T.); +#102306=ORIENTED_EDGE('',*,*,#102295,.T.); +#102308=ORIENTED_EDGE('',*,*,#102307,.F.); +#102309=ORIENTED_EDGE('',*,*,#102291,.F.); +#102310=EDGE_LOOP('',(#102305,#102306,#102308,#102309)); +#102311=FACE_OUTER_BOUND('',#102310,.F.); +#102313=CARTESIAN_POINT('',(-1.34E1,-8.84E0,2.E0)); +#102314=DIRECTION('',(0.E0,-1.E0,0.E0)); +#102315=DIRECTION('',(1.E0,0.E0,0.E0)); +#102316=AXIS2_PLACEMENT_3D('',#102313,#102314,#102315); +#102317=CYLINDRICAL_SURFACE('',#102316,2.E0); +#102318=ORIENTED_EDGE('',*,*,#99307,.F.); +#102320=ORIENTED_EDGE('',*,*,#102319,.F.); +#102322=ORIENTED_EDGE('',*,*,#102321,.T.); +#102324=ORIENTED_EDGE('',*,*,#102323,.T.); +#102325=ORIENTED_EDGE('',*,*,#64094,.F.); +#102326=ORIENTED_EDGE('',*,*,#64110,.F.); +#102327=ORIENTED_EDGE('',*,*,#99311,.F.); +#102329=ORIENTED_EDGE('',*,*,#102328,.T.); +#102330=ORIENTED_EDGE('',*,*,#102307,.T.); +#102332=ORIENTED_EDGE('',*,*,#102331,.F.); +#102333=EDGE_LOOP('',(#102318,#102320,#102322,#102324,#102325,#102326,#102327, +#102329,#102330,#102332)); +#102334=FACE_OUTER_BOUND('',#102333,.F.); +#102336=CARTESIAN_POINT('',(-1.672309331275E1,-8.54E0,1.980411381419E0)); +#102337=DIRECTION('',(-3.653045718946E-1,-7.071067811865E-1,6.054358510634E-1)); +#102338=DIRECTION('',(-9.308880543615E-1,2.774870069230E-1,-2.375887018274E-1)); +#102339=AXIS2_PLACEMENT_3D('',#102336,#102337,#102338); +#102340=PLANE('',#102339); +#102341=ORIENTED_EDGE('',*,*,#64173,.F.); +#102343=ORIENTED_EDGE('',*,*,#102342,.F.); +#102344=ORIENTED_EDGE('',*,*,#102319,.T.); +#102345=ORIENTED_EDGE('',*,*,#99305,.T.); +#102346=ORIENTED_EDGE('',*,*,#64152,.F.); +#102347=EDGE_LOOP('',(#102341,#102343,#102344,#102345,#102346)); +#102348=FACE_OUTER_BOUND('',#102347,.F.); +#102350=CARTESIAN_POINT('',(-1.443323735994E1,-8.84E0,3.712431183442E0)); +#102351=DIRECTION('',(-5.166186799703E-1,0.E0,8.562155917208E-1)); +#102352=DIRECTION('',(-8.562155917208E-1,0.E0,-5.166186799703E-1)); +#102353=AXIS2_PLACEMENT_3D('',#102350,#102351,#102352); +#102354=PLANE('',#102353); +#102355=ORIENTED_EDGE('',*,*,#64171,.T.); +#102357=ORIENTED_EDGE('',*,*,#102356,.T.); +#102358=ORIENTED_EDGE('',*,*,#102321,.F.); +#102359=ORIENTED_EDGE('',*,*,#102342,.T.); +#102360=EDGE_LOOP('',(#102355,#102357,#102358,#102359)); +#102361=FACE_OUTER_BOUND('',#102360,.F.); +#102363=CARTESIAN_POINT('',(-1.611163307598E1,-7.34E0,2.349350914205E0)); +#102364=DIRECTION('',(-3.653045718946E-1,7.071067811865E-1,6.054358510634E-1)); +#102365=DIRECTION('',(-9.308880543615E-1,-2.774870069230E-1, +-2.375887018274E-1)); +#102366=AXIS2_PLACEMENT_3D('',#102363,#102364,#102365); +#102367=PLANE('',#102366); +#102368=ORIENTED_EDGE('',*,*,#64169,.F.); +#102369=ORIENTED_EDGE('',*,*,#64193,.T.); +#102370=ORIENTED_EDGE('',*,*,#98584,.T.); +#102372=ORIENTED_EDGE('',*,*,#102371,.T.); +#102373=ORIENTED_EDGE('',*,*,#102356,.F.); +#102374=EDGE_LOOP('',(#102368,#102369,#102370,#102372,#102373)); +#102375=FACE_OUTER_BOUND('',#102374,.F.); +#102377=CARTESIAN_POINT('',(-1.34E1,-7.340000251500E0,2.E0)); +#102378=DIRECTION('',(0.E0,-1.E0,0.E0)); +#102379=DIRECTION('',(0.E0,0.E0,-1.E0)); +#102380=AXIS2_PLACEMENT_3D('',#102377,#102378,#102379); +#102381=CONICAL_SURFACE('',#102380,1.699999916114E0,4.499999434817E1); +#102382=ORIENTED_EDGE('',*,*,#98582,.T.); +#102383=ORIENTED_EDGE('',*,*,#64077,.T.); +#102384=ORIENTED_EDGE('',*,*,#102323,.F.); +#102385=ORIENTED_EDGE('',*,*,#102371,.F.); +#102386=EDGE_LOOP('',(#102382,#102383,#102384,#102385)); +#102387=FACE_OUTER_BOUND('',#102386,.F.); +#102389=CARTESIAN_POINT('',(-1.34E1,-8.84E0,2.E0)); +#102390=DIRECTION('',(0.E0,-1.E0,0.E0)); +#102391=DIRECTION('',(1.E0,0.E0,0.E0)); +#102392=AXIS2_PLACEMENT_3D('',#102389,#102390,#102391); +#102393=CYLINDRICAL_SURFACE('',#102392,2.E0); +#102394=ORIENTED_EDGE('',*,*,#102328,.F.); +#102395=ORIENTED_EDGE('',*,*,#99309,.F.); +#102396=ORIENTED_EDGE('',*,*,#102331,.T.); +#102397=ORIENTED_EDGE('',*,*,#102293,.T.); +#102398=EDGE_LOOP('',(#102394,#102395,#102396,#102397)); +#102399=FACE_OUTER_BOUND('',#102398,.F.); +#102401=CARTESIAN_POINT('',(3.255E1,-1.065999984226E1,2.E0)); +#102402=DIRECTION('',(0.E0,1.E0,0.E0)); +#102403=DIRECTION('',(0.E0,0.E0,-1.E0)); +#102404=AXIS2_PLACEMENT_3D('',#102401,#102402,#102403); +#102405=CONICAL_SURFACE('',#102404,1.850000049320E0,4.499999832105E1); +#102406=ORIENTED_EDGE('',*,*,#99455,.T.); +#102408=ORIENTED_EDGE('',*,*,#102407,.T.); +#102410=ORIENTED_EDGE('',*,*,#102409,.F.); +#102412=ORIENTED_EDGE('',*,*,#102411,.F.); +#102413=EDGE_LOOP('',(#102406,#102408,#102410,#102412)); +#102414=FACE_OUTER_BOUND('',#102413,.F.); +#102416=CARTESIAN_POINT('',(3.255E1,-1.065999984226E1,2.E0)); +#102417=DIRECTION('',(0.E0,1.E0,0.E0)); +#102418=DIRECTION('',(0.E0,0.E0,-1.E0)); +#102419=AXIS2_PLACEMENT_3D('',#102416,#102417,#102418); +#102420=CONICAL_SURFACE('',#102419,1.850000049320E0,4.499999832106E1); +#102421=ORIENTED_EDGE('',*,*,#99457,.T.); +#102422=ORIENTED_EDGE('',*,*,#102411,.T.); +#102423=ORIENTED_EDGE('',*,*,#99228,.F.); +#102424=ORIENTED_EDGE('',*,*,#102407,.F.); +#102425=EDGE_LOOP('',(#102421,#102422,#102423,#102424)); +#102426=FACE_OUTER_BOUND('',#102425,.F.); +#102428=CARTESIAN_POINT('',(3.255E1,-8.84E0,2.E0)); +#102429=DIRECTION('',(0.E0,-1.E0,0.E0)); +#102430=DIRECTION('',(1.E0,0.E0,0.E0)); +#102431=AXIS2_PLACEMENT_3D('',#102428,#102429,#102430); +#102432=CYLINDRICAL_SURFACE('',#102431,2.E0); +#102433=ORIENTED_EDGE('',*,*,#99226,.F.); +#102434=ORIENTED_EDGE('',*,*,#99270,.F.); +#102435=ORIENTED_EDGE('',*,*,#99230,.T.); +#102436=ORIENTED_EDGE('',*,*,#102409,.T.); +#102437=EDGE_LOOP('',(#102433,#102434,#102435,#102436)); +#102438=FACE_OUTER_BOUND('',#102437,.F.); +#102440=CARTESIAN_POINT('',(5.485E1,-1.065999984226E1,2.E0)); +#102441=DIRECTION('',(0.E0,1.E0,0.E0)); +#102442=DIRECTION('',(0.E0,0.E0,-1.E0)); +#102443=AXIS2_PLACEMENT_3D('',#102440,#102441,#102442); +#102444=CONICAL_SURFACE('',#102443,1.850000049320E0,4.499999832106E1); +#102445=ORIENTED_EDGE('',*,*,#99468,.T.); +#102446=ORIENTED_EDGE('',*,*,#99421,.T.); +#102447=ORIENTED_EDGE('',*,*,#99365,.F.); +#102448=ORIENTED_EDGE('',*,*,#99418,.F.); +#102449=EDGE_LOOP('',(#102445,#102446,#102447,#102448)); +#102450=FACE_OUTER_BOUND('',#102449,.F.); +#102452=CARTESIAN_POINT('',(5.014372607227E1,-8.64E0,6.707357895209E-1)); +#102453=DIRECTION('',(-6.849250969847E-1,-7.071067811865E-1,1.757202649683E-1)); +#102454=DIRECTION('',(-1.222575296178E-1,-1.262169084247E-1, +-9.844401396121E-1)); +#102455=AXIS2_PLACEMENT_3D('',#102452,#102453,#102454); +#102456=PLANE('',#102455); +#102457=ORIENTED_EDGE('',*,*,#98635,.F.); +#102458=ORIENTED_EDGE('',*,*,#99331,.T.); +#102459=ORIENTED_EDGE('',*,*,#99253,.T.); +#102460=ORIENTED_EDGE('',*,*,#98773,.F.); +#102462=ORIENTED_EDGE('',*,*,#102461,.F.); +#102463=EDGE_LOOP('',(#102457,#102458,#102459,#102460,#102462)); +#102464=FACE_OUTER_BOUND('',#102463,.F.); +#102466=CARTESIAN_POINT('',(5.015E1,-8.84E0,1.5E0)); +#102467=DIRECTION('',(-9.686303613654E-1,0.E0,2.485059819020E-1)); +#102468=DIRECTION('',(-2.485059819020E-1,0.E0,-9.686303613654E-1)); +#102469=AXIS2_PLACEMENT_3D('',#102466,#102467,#102468); +#102470=PLANE('',#102469); +#102471=ORIENTED_EDGE('',*,*,#98637,.F.); +#102472=ORIENTED_EDGE('',*,*,#102461,.T.); +#102473=ORIENTED_EDGE('',*,*,#98790,.F.); +#102475=ORIENTED_EDGE('',*,*,#102474,.F.); +#102476=ORIENTED_EDGE('',*,*,#64335,.T.); +#102477=ORIENTED_EDGE('',*,*,#98614,.T.); +#102478=EDGE_LOOP('',(#102471,#102472,#102473,#102475,#102476,#102477)); +#102479=FACE_OUTER_BOUND('',#102478,.F.); +#102481=CARTESIAN_POINT('',(4.37E1,-8.14E0,-2.E-1)); +#102482=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#102483=DIRECTION('',(-1.E0,0.E0,0.E0)); +#102484=AXIS2_PLACEMENT_3D('',#102481,#102482,#102483); +#102485=PLANE('',#102484); +#102486=ORIENTED_EDGE('',*,*,#64337,.F.); +#102487=ORIENTED_EDGE('',*,*,#102474,.T.); +#102488=ORIENTED_EDGE('',*,*,#98788,.T.); +#102489=ORIENTED_EDGE('',*,*,#98824,.T.); +#102490=ORIENTED_EDGE('',*,*,#98989,.T.); +#102491=ORIENTED_EDGE('',*,*,#99015,.T.); +#102492=EDGE_LOOP('',(#102486,#102487,#102488,#102489,#102490,#102491)); +#102493=FACE_OUTER_BOUND('',#102492,.F.); +#102495=CARTESIAN_POINT('',(2.922690668725E1,-8.54E0,1.980411381419E0)); +#102496=DIRECTION('',(-3.653045718946E-1,-7.071067811865E-1,6.054358510634E-1)); +#102497=DIRECTION('',(-9.308880543615E-1,2.774870069230E-1,-2.375887018274E-1)); +#102498=AXIS2_PLACEMENT_3D('',#102495,#102496,#102497); +#102499=PLANE('',#102498); +#102500=ORIENTED_EDGE('',*,*,#99145,.F.); +#102502=ORIENTED_EDGE('',*,*,#102501,.F.); +#102503=ORIENTED_EDGE('',*,*,#99217,.T.); +#102504=ORIENTED_EDGE('',*,*,#99267,.T.); +#102505=ORIENTED_EDGE('',*,*,#99124,.F.); +#102506=EDGE_LOOP('',(#102500,#102502,#102503,#102504,#102505)); +#102507=FACE_OUTER_BOUND('',#102506,.F.); +#102509=CARTESIAN_POINT('',(3.151676264006E1,-8.84E0,3.712431183442E0)); +#102510=DIRECTION('',(-5.166186799703E-1,0.E0,8.562155917208E-1)); +#102511=DIRECTION('',(-8.562155917208E-1,0.E0,-5.166186799703E-1)); +#102512=AXIS2_PLACEMENT_3D('',#102509,#102510,#102511); +#102513=PLANE('',#102512); +#102514=ORIENTED_EDGE('',*,*,#99143,.T.); +#102515=ORIENTED_EDGE('',*,*,#99192,.T.); +#102516=ORIENTED_EDGE('',*,*,#99219,.T.); +#102517=ORIENTED_EDGE('',*,*,#102501,.T.); +#102518=EDGE_LOOP('',(#102514,#102515,#102516,#102517)); +#102519=FACE_OUTER_BOUND('',#102518,.F.); +#102521=CARTESIAN_POINT('',(3.713269982224E1,-7.34E0,7.5E-1)); +#102522=DIRECTION('',(6.849250969847E-1,7.071067811865E-1,1.757202649683E-1)); +#102523=DIRECTION('',(1.222575296178E-1,1.262169084247E-1,-9.844401396121E-1)); +#102524=AXIS2_PLACEMENT_3D('',#102521,#102522,#102523); +#102525=PLANE('',#102524); +#102526=ORIENTED_EDGE('',*,*,#99030,.F.); +#102527=ORIENTED_EDGE('',*,*,#99053,.T.); +#102528=ORIENTED_EDGE('',*,*,#98558,.T.); +#102529=ORIENTED_EDGE('',*,*,#64341,.T.); +#102530=ORIENTED_EDGE('',*,*,#99012,.F.); +#102531=EDGE_LOOP('',(#102526,#102527,#102528,#102529,#102530)); +#102532=FACE_OUTER_BOUND('',#102531,.F.); +#102534=CARTESIAN_POINT('',(-8.817300177762E0,-7.34E0,7.5E-1)); +#102535=DIRECTION('',(6.849250969847E-1,7.071067811865E-1,1.757202649683E-1)); +#102536=DIRECTION('',(1.222575296178E-1,1.262169084247E-1,-9.844401396121E-1)); +#102537=AXIS2_PLACEMENT_3D('',#102534,#102535,#102536); +#102538=PLANE('',#102537); +#102539=ORIENTED_EDGE('',*,*,#64057,.F.); +#102540=ORIENTED_EDGE('',*,*,#64081,.T.); +#102541=ORIENTED_EDGE('',*,*,#98579,.T.); +#102542=ORIENTED_EDGE('',*,*,#64266,.T.); +#102543=ORIENTED_EDGE('',*,*,#64038,.F.); +#102544=EDGE_LOOP('',(#102539,#102540,#102541,#102542,#102543)); +#102545=FACE_OUTER_BOUND('',#102544,.F.); +#102547=CARTESIAN_POINT('',(6.E0,1.59E1,-1.503500260973E1)); +#102548=DIRECTION('',(0.E0,0.E0,1.E0)); +#102549=DIRECTION('',(1.E0,0.E0,0.E0)); +#102550=AXIS2_PLACEMENT_3D('',#102547,#102548,#102549); +#102551=CYLINDRICAL_SURFACE('',#102550,3.E-1); +#102552=ORIENTED_EDGE('',*,*,#63567,.F.); +#102554=ORIENTED_EDGE('',*,*,#102553,.T.); +#102556=ORIENTED_EDGE('',*,*,#102555,.T.); +#102557=ORIENTED_EDGE('',*,*,#63931,.T.); +#102558=EDGE_LOOP('',(#102552,#102554,#102556,#102557)); +#102559=FACE_OUTER_BOUND('',#102558,.F.); +#102561=CARTESIAN_POINT('',(5.6E0,1.595E1,-1.453077E1)); +#102562=DIRECTION('',(0.E0,8.645071866842E-1,-5.026204573745E-1)); +#102563=DIRECTION('',(0.E0,5.026204573745E-1,8.645071866842E-1)); +#102564=AXIS2_PLACEMENT_3D('',#102561,#102562,#102563); +#102565=PLANE('',#102564); +#102566=ORIENTED_EDGE('',*,*,#102553,.F.); +#102567=ORIENTED_EDGE('',*,*,#63581,.F.); +#102569=ORIENTED_EDGE('',*,*,#102568,.F.); +#102571=ORIENTED_EDGE('',*,*,#102570,.T.); +#102572=ORIENTED_EDGE('',*,*,#63437,.T.); +#102574=ORIENTED_EDGE('',*,*,#102573,.T.); +#102575=EDGE_LOOP('',(#102566,#102567,#102569,#102571,#102572,#102574)); +#102576=FACE_OUTER_BOUND('',#102575,.F.); +#102578=CARTESIAN_POINT('',(5.2E0,1.59E1,-1.504139988197E1)); +#102579=DIRECTION('',(0.E0,0.E0,1.E0)); +#102580=DIRECTION('',(0.E0,1.E0,0.E0)); +#102581=AXIS2_PLACEMENT_3D('',#102578,#102579,#102580); +#102582=CYLINDRICAL_SURFACE('',#102581,3.E-1); +#102584=ORIENTED_EDGE('',*,*,#102583,.F.); +#102585=ORIENTED_EDGE('',*,*,#102568,.T.); +#102586=ORIENTED_EDGE('',*,*,#63579,.T.); +#102587=ORIENTED_EDGE('',*,*,#63954,.T.); +#102588=EDGE_LOOP('',(#102584,#102585,#102586,#102587)); +#102589=FACE_OUTER_BOUND('',#102588,.F.); +#102591=CARTESIAN_POINT('',(4.9E0,1.55E1,-1.8E0)); +#102592=DIRECTION('',(-1.E0,0.E0,0.E0)); +#102593=DIRECTION('',(0.E0,1.E0,0.E0)); +#102594=AXIS2_PLACEMENT_3D('',#102591,#102592,#102593); +#102595=PLANE('',#102594); +#102596=ORIENTED_EDGE('',*,*,#102583,.T.); +#102597=ORIENTED_EDGE('',*,*,#63952,.T.); +#102598=ORIENTED_EDGE('',*,*,#63694,.F.); +#102599=ORIENTED_EDGE('',*,*,#63439,.T.); +#102600=ORIENTED_EDGE('',*,*,#102570,.F.); +#102601=EDGE_LOOP('',(#102596,#102597,#102598,#102599,#102600)); +#102602=FACE_OUTER_BOUND('',#102601,.F.); +#102604=CARTESIAN_POINT('',(6.3E0,1.62E1,-1.8E0)); +#102605=DIRECTION('',(1.E0,0.E0,0.E0)); +#102606=DIRECTION('',(0.E0,-1.E0,0.E0)); +#102607=AXIS2_PLACEMENT_3D('',#102604,#102605,#102606); +#102608=PLANE('',#102607); +#102609=ORIENTED_EDGE('',*,*,#102555,.F.); +#102610=ORIENTED_EDGE('',*,*,#102573,.F.); +#102611=ORIENTED_EDGE('',*,*,#63442,.T.); +#102612=ORIENTED_EDGE('',*,*,#63683,.T.); +#102613=ORIENTED_EDGE('',*,*,#63933,.F.); +#102614=EDGE_LOOP('',(#102609,#102610,#102611,#102612,#102613)); +#102615=FACE_OUTER_BOUND('',#102614,.F.); +#102617=CARTESIAN_POINT('',(-6.45E1,-1.1E1,-1.205E1)); +#102618=DIRECTION('',(0.E0,0.E0,-1.E0)); +#102619=DIRECTION('',(1.E0,0.E0,0.E0)); +#102620=AXIS2_PLACEMENT_3D('',#102617,#102618,#102619); +#102621=PLANE('',#102620); +#102622=ORIENTED_EDGE('',*,*,#63091,.T.); +#102623=ORIENTED_EDGE('',*,*,#63078,.F.); +#102624=ORIENTED_EDGE('',*,*,#62726,.F.); +#102626=ORIENTED_EDGE('',*,*,#102625,.T.); +#102627=ORIENTED_EDGE('',*,*,#62845,.T.); +#102628=EDGE_LOOP('',(#102622,#102623,#102624,#102626,#102627)); +#102629=FACE_OUTER_BOUND('',#102628,.F.); +#102631=CARTESIAN_POINT('',(-6.45E1,-1.1E1,-1.405E1)); +#102632=DIRECTION('',(1.E0,0.E0,0.E0)); +#102633=DIRECTION('',(0.E0,0.E0,1.E0)); +#102634=AXIS2_PLACEMENT_3D('',#102631,#102632,#102633); +#102635=PLANE('',#102634); +#102636=ORIENTED_EDGE('',*,*,#62847,.T.); +#102637=ORIENTED_EDGE('',*,*,#102625,.F.); +#102638=ORIENTED_EDGE('',*,*,#62724,.F.); +#102640=ORIENTED_EDGE('',*,*,#102639,.T.); +#102641=ORIENTED_EDGE('',*,*,#62806,.T.); +#102642=EDGE_LOOP('',(#102636,#102637,#102638,#102640,#102641)); +#102643=FACE_OUTER_BOUND('',#102642,.F.); +#102645=CARTESIAN_POINT('',(-7.193891E1,-1.1E1,-1.405E1)); +#102646=DIRECTION('',(0.E0,0.E0,-1.E0)); +#102647=DIRECTION('',(1.E0,0.E0,0.E0)); +#102648=AXIS2_PLACEMENT_3D('',#102645,#102646,#102647); +#102649=PLANE('',#102648); +#102650=ORIENTED_EDGE('',*,*,#62722,.F.); +#102651=ORIENTED_EDGE('',*,*,#62808,.T.); +#102652=ORIENTED_EDGE('',*,*,#102639,.F.); +#102653=EDGE_LOOP('',(#102650,#102651,#102652)); +#102654=FACE_OUTER_BOUND('',#102653,.F.); +#102656=CARTESIAN_POINT('',(5.75E1,0.E0,0.E0)); +#102657=DIRECTION('',(-1.E0,0.E0,0.E0)); +#102658=DIRECTION('',(0.E0,0.E0,1.E0)); +#102659=AXIS2_PLACEMENT_3D('',#102656,#102657,#102658); +#102660=PLANE('',#102659); +#102661=ORIENTED_EDGE('',*,*,#62865,.T.); +#102663=ORIENTED_EDGE('',*,*,#102662,.T.); +#102665=ORIENTED_EDGE('',*,*,#102664,.T.); +#102667=ORIENTED_EDGE('',*,*,#102666,.T.); +#102668=ORIENTED_EDGE('',*,*,#62906,.T.); +#102669=EDGE_LOOP('',(#102661,#102663,#102665,#102667,#102668)); +#102670=FACE_OUTER_BOUND('',#102669,.F.); +#102672=CARTESIAN_POINT('',(5.75E1,8.1E0,-2.55E1)); +#102673=DIRECTION('',(0.E0,5.E-1,8.660254037844E-1)); +#102674=DIRECTION('',(0.E0,8.660254037844E-1,-5.E-1)); +#102675=AXIS2_PLACEMENT_3D('',#102672,#102673,#102674); +#102676=PLANE('',#102675); +#102677=ORIENTED_EDGE('',*,*,#62863,.T.); +#102679=ORIENTED_EDGE('',*,*,#102678,.T.); +#102681=ORIENTED_EDGE('',*,*,#102680,.F.); +#102682=ORIENTED_EDGE('',*,*,#102662,.F.); +#102683=EDGE_LOOP('',(#102677,#102679,#102681,#102682)); +#102684=FACE_OUTER_BOUND('',#102683,.F.); +#102686=CARTESIAN_POINT('',(-5.75E1,0.E0,0.E0)); +#102687=DIRECTION('',(-1.E0,0.E0,0.E0)); +#102688=DIRECTION('',(0.E0,0.E0,1.E0)); +#102689=AXIS2_PLACEMENT_3D('',#102686,#102687,#102688); +#102690=PLANE('',#102689); +#102691=ORIENTED_EDGE('',*,*,#62861,.F.); +#102692=ORIENTED_EDGE('',*,*,#62910,.F.); +#102694=ORIENTED_EDGE('',*,*,#102693,.F.); +#102696=ORIENTED_EDGE('',*,*,#102695,.F.); +#102697=ORIENTED_EDGE('',*,*,#102678,.F.); +#102698=EDGE_LOOP('',(#102691,#102692,#102694,#102696,#102697)); +#102699=FACE_OUTER_BOUND('',#102698,.F.); +#102701=CARTESIAN_POINT('',(5.75E1,9.7E0,-2.671243556530E1)); +#102702=DIRECTION('',(0.E0,1.E0,0.E0)); +#102703=DIRECTION('',(0.E0,0.E0,-1.E0)); +#102704=AXIS2_PLACEMENT_3D('',#102701,#102702,#102703); +#102705=PLANE('',#102704); +#102706=ORIENTED_EDGE('',*,*,#102666,.F.); +#102708=ORIENTED_EDGE('',*,*,#102707,.T.); +#102709=ORIENTED_EDGE('',*,*,#102693,.T.); +#102710=ORIENTED_EDGE('',*,*,#62908,.F.); +#102711=EDGE_LOOP('',(#102706,#102708,#102709,#102710)); +#102712=FACE_OUTER_BOUND('',#102711,.F.); +#102714=CARTESIAN_POINT('',(5.75E1,9.2E0,-2.671243556530E1)); +#102715=DIRECTION('',(-1.E0,0.E0,0.E0)); +#102716=DIRECTION('',(0.E0,0.E0,1.E0)); +#102717=AXIS2_PLACEMENT_3D('',#102714,#102715,#102716); +#102718=CYLINDRICAL_SURFACE('',#102717,5.E-1); +#102719=ORIENTED_EDGE('',*,*,#102664,.F.); +#102720=ORIENTED_EDGE('',*,*,#102680,.T.); +#102721=ORIENTED_EDGE('',*,*,#102695,.T.); +#102722=ORIENTED_EDGE('',*,*,#102707,.F.); +#102723=EDGE_LOOP('',(#102719,#102720,#102721,#102722)); +#102724=FACE_OUTER_BOUND('',#102723,.F.); +#102726=CARTESIAN_POINT('',(5.775E1,0.E0,0.E0)); +#102727=DIRECTION('',(1.E0,0.E0,0.E0)); +#102728=DIRECTION('',(0.E0,0.E0,-1.E0)); +#102729=AXIS2_PLACEMENT_3D('',#102726,#102727,#102728); +#102730=PLANE('',#102729); +#102732=ORIENTED_EDGE('',*,*,#102731,.F.); +#102733=ORIENTED_EDGE('',*,*,#60951,.T.); +#102735=ORIENTED_EDGE('',*,*,#102734,.T.); +#102736=ORIENTED_EDGE('',*,*,#60000,.F.); +#102737=EDGE_LOOP('',(#102732,#102733,#102735,#102736)); +#102738=FACE_OUTER_BOUND('',#102737,.F.); +#102740=CARTESIAN_POINT('',(5.775E1,0.E0,0.E0)); +#102741=DIRECTION('',(1.E0,0.E0,0.E0)); +#102742=DIRECTION('',(0.E0,0.E0,-1.E0)); +#102743=AXIS2_PLACEMENT_3D('',#102740,#102741,#102742); +#102744=PLANE('',#102743); +#102746=ORIENTED_EDGE('',*,*,#102745,.F.); +#102748=ORIENTED_EDGE('',*,*,#102747,.T.); +#102750=ORIENTED_EDGE('',*,*,#102749,.T.); +#102751=ORIENTED_EDGE('',*,*,#59986,.T.); +#102753=ORIENTED_EDGE('',*,*,#102752,.F.); +#102755=ORIENTED_EDGE('',*,*,#102754,.F.); +#102757=ORIENTED_EDGE('',*,*,#102756,.T.); +#102759=ORIENTED_EDGE('',*,*,#102758,.T.); +#102761=ORIENTED_EDGE('',*,*,#102760,.T.); +#102763=ORIENTED_EDGE('',*,*,#102762,.T.); +#102764=ORIENTED_EDGE('',*,*,#61339,.F.); +#102765=EDGE_LOOP('',(#102746,#102748,#102750,#102751,#102753,#102755,#102757, +#102759,#102761,#102763,#102764)); +#102766=FACE_OUTER_BOUND('',#102765,.F.); +#102768=CARTESIAN_POINT('',(5.775E1,0.E0,0.E0)); +#102769=DIRECTION('',(1.E0,0.E0,0.E0)); +#102770=DIRECTION('',(0.E0,0.E0,-1.E0)); +#102771=AXIS2_PLACEMENT_3D('',#102768,#102769,#102770); +#102772=PLANE('',#102771); +#102774=ORIENTED_EDGE('',*,*,#102773,.F.); +#102775=ORIENTED_EDGE('',*,*,#61331,.F.); +#102776=ORIENTED_EDGE('',*,*,#60965,.T.); +#102778=ORIENTED_EDGE('',*,*,#102777,.T.); +#102780=ORIENTED_EDGE('',*,*,#102779,.F.); +#102781=EDGE_LOOP('',(#102774,#102775,#102776,#102778,#102780)); +#102782=FACE_OUTER_BOUND('',#102781,.F.); +#102784=CARTESIAN_POINT('',(5.775E1,-1.6E0,-2.93E1)); +#102785=DIRECTION('',(0.E0,1.E0,0.E0)); +#102786=DIRECTION('',(1.E0,0.E0,0.E0)); +#102787=AXIS2_PLACEMENT_3D('',#102784,#102785,#102786); +#102788=PLANE('',#102787); +#102789=ORIENTED_EDGE('',*,*,#102731,.T.); +#102790=ORIENTED_EDGE('',*,*,#59998,.T.); +#102792=ORIENTED_EDGE('',*,*,#102791,.F.); +#102793=ORIENTED_EDGE('',*,*,#60953,.F.); +#102794=EDGE_LOOP('',(#102789,#102790,#102792,#102793)); +#102795=FACE_OUTER_BOUND('',#102794,.F.); +#102797=CARTESIAN_POINT('',(6.E1,-1.6E0,-2.93E1)); +#102798=DIRECTION('',(1.E0,0.E0,0.E0)); +#102799=DIRECTION('',(0.E0,-1.E0,0.E0)); +#102800=AXIS2_PLACEMENT_3D('',#102797,#102798,#102799); +#102801=PLANE('',#102800); +#102802=ORIENTED_EDGE('',*,*,#60955,.F.); +#102803=ORIENTED_EDGE('',*,*,#102791,.T.); +#102804=ORIENTED_EDGE('',*,*,#59996,.T.); +#102805=ORIENTED_EDGE('',*,*,#59691,.F.); +#102806=EDGE_LOOP('',(#102802,#102803,#102804,#102805)); +#102807=FACE_OUTER_BOUND('',#102806,.F.); +#102809=CARTESIAN_POINT('',(6.E1,-1.E-1,-2.93E1)); +#102810=DIRECTION('',(0.E0,-1.E0,0.E0)); +#102811=DIRECTION('',(-1.E0,0.E0,0.E0)); +#102812=AXIS2_PLACEMENT_3D('',#102809,#102810,#102811); +#102813=PLANE('',#102812); +#102814=ORIENTED_EDGE('',*,*,#102734,.F.); +#102815=ORIENTED_EDGE('',*,*,#60949,.F.); +#102817=ORIENTED_EDGE('',*,*,#102816,.T.); +#102818=ORIENTED_EDGE('',*,*,#60002,.T.); +#102819=EDGE_LOOP('',(#102814,#102815,#102817,#102818)); +#102820=FACE_OUTER_BOUND('',#102819,.F.); +#102822=CARTESIAN_POINT('',(6.E1,6.3E0,-2.93E1)); +#102823=DIRECTION('',(1.E0,0.E0,0.E0)); +#102824=DIRECTION('',(0.E0,-1.E0,0.E0)); +#102825=AXIS2_PLACEMENT_3D('',#102822,#102823,#102824); +#102826=PLANE('',#102825); +#102828=ORIENTED_EDGE('',*,*,#102827,.T.); +#102829=ORIENTED_EDGE('',*,*,#60004,.T.); +#102830=ORIENTED_EDGE('',*,*,#102816,.F.); +#102831=ORIENTED_EDGE('',*,*,#60947,.F.); +#102832=EDGE_LOOP('',(#102828,#102829,#102830,#102831)); +#102833=FACE_OUTER_BOUND('',#102832,.F.); +#102835=CARTESIAN_POINT('',(5.5E1,1.3E0,-2.933599383345E1)); +#102836=DIRECTION('',(0.E0,0.E0,1.E0)); +#102837=DIRECTION('',(1.E0,0.E0,0.E0)); +#102838=AXIS2_PLACEMENT_3D('',#102835,#102836,#102837); +#102839=CYLINDRICAL_SURFACE('',#102838,5.E0); +#102841=ORIENTED_EDGE('',*,*,#102840,.T.); +#102843=ORIENTED_EDGE('',*,*,#102842,.F.); +#102844=ORIENTED_EDGE('',*,*,#61005,.T.); +#102845=ORIENTED_EDGE('',*,*,#60482,.T.); +#102846=ORIENTED_EDGE('',*,*,#60006,.T.); +#102847=ORIENTED_EDGE('',*,*,#102827,.F.); +#102848=ORIENTED_EDGE('',*,*,#60945,.T.); +#102850=ORIENTED_EDGE('',*,*,#102849,.T.); +#102851=EDGE_LOOP('',(#102841,#102843,#102844,#102845,#102846,#102847,#102848, +#102850)); +#102852=FACE_OUTER_BOUND('',#102851,.F.); +#102854=CARTESIAN_POINT('',(5.5E1,1.3E0,-3.64E1)); +#102855=DIRECTION('',(0.E0,0.E0,1.E0)); +#102856=DIRECTION('',(-7.060552683733E-1,-7.081567326534E-1,0.E0)); +#102857=AXIS2_PLACEMENT_3D('',#102854,#102855,#102856); +#102858=TOROIDAL_SURFACE('',#102857,5.5E0,5.E-1); +#102859=ORIENTED_EDGE('',*,*,#102840,.F.); +#102861=ORIENTED_EDGE('',*,*,#102860,.T.); +#102862=ORIENTED_EDGE('',*,*,#60551,.T.); +#102864=ORIENTED_EDGE('',*,*,#102863,.T.); +#102865=EDGE_LOOP('',(#102859,#102861,#102862,#102864)); +#102866=FACE_OUTER_BOUND('',#102865,.F.); +#102868=CARTESIAN_POINT('',(2.594980361520E2,5.2E0,-3.64E1)); +#102869=DIRECTION('',(-1.E0,0.E0,0.E0)); +#102870=DIRECTION('',(0.E0,0.E0,-1.E0)); +#102871=AXIS2_PLACEMENT_3D('',#102868,#102869,#102870); +#102872=CYLINDRICAL_SURFACE('',#102871,5.E-1); +#102873=ORIENTED_EDGE('',*,*,#60553,.T.); +#102874=ORIENTED_EDGE('',*,*,#102860,.F.); +#102876=ORIENTED_EDGE('',*,*,#102875,.F.); +#102878=ORIENTED_EDGE('',*,*,#102877,.T.); +#102879=EDGE_LOOP('',(#102873,#102874,#102876,#102878)); +#102880=FACE_OUTER_BOUND('',#102879,.F.); +#102882=CARTESIAN_POINT('',(6.26E1,4.7E0,-2.36E1)); +#102883=DIRECTION('',(0.E0,-1.E0,0.E0)); +#102884=DIRECTION('',(-1.E0,0.E0,0.E0)); +#102885=AXIS2_PLACEMENT_3D('',#102882,#102883,#102884); +#102886=PLANE('',#102885); +#102887=ORIENTED_EDGE('',*,*,#102875,.T.); +#102888=ORIENTED_EDGE('',*,*,#102849,.F.); +#102889=ORIENTED_EDGE('',*,*,#60943,.F.); +#102891=ORIENTED_EDGE('',*,*,#102890,.T.); +#102892=EDGE_LOOP('',(#102887,#102888,#102889,#102891)); +#102893=FACE_OUTER_BOUND('',#102892,.F.); +#102895=CARTESIAN_POINT('',(6.26E1,7.7E0,-2.36E1)); +#102896=DIRECTION('',(1.E0,0.E0,0.E0)); +#102897=DIRECTION('',(0.E0,-1.E0,0.E0)); +#102898=AXIS2_PLACEMENT_3D('',#102895,#102896,#102897); +#102899=PLANE('',#102898); +#102901=ORIENTED_EDGE('',*,*,#102900,.T.); +#102902=ORIENTED_EDGE('',*,*,#102890,.F.); +#102903=ORIENTED_EDGE('',*,*,#60941,.F.); +#102905=ORIENTED_EDGE('',*,*,#102904,.F.); +#102906=EDGE_LOOP('',(#102901,#102902,#102903,#102905)); +#102907=FACE_OUTER_BOUND('',#102906,.F.); +#102909=CARTESIAN_POINT('',(6.21E1,6.777661457445E1,-3.64E1)); +#102910=DIRECTION('',(0.E0,-1.E0,0.E0)); +#102911=DIRECTION('',(0.E0,0.E0,-1.E0)); +#102912=AXIS2_PLACEMENT_3D('',#102909,#102910,#102911); +#102913=CYLINDRICAL_SURFACE('',#102912,5.E-1); +#102914=ORIENTED_EDGE('',*,*,#102900,.F.); +#102916=ORIENTED_EDGE('',*,*,#102915,.T.); +#102917=ORIENTED_EDGE('',*,*,#60555,.T.); +#102918=ORIENTED_EDGE('',*,*,#102877,.F.); +#102919=EDGE_LOOP('',(#102914,#102916,#102917,#102918)); +#102920=FACE_OUTER_BOUND('',#102919,.F.); +#102922=CARTESIAN_POINT('',(6.21E1,7.2E0,-3.64E1)); +#102923=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#102924=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#102925=AXIS2_PLACEMENT_3D('',#102922,#102923,#102924); +#102926=SPHERICAL_SURFACE('',#102925,5.E-1); +#102928=ORIENTED_EDGE('',*,*,#102927,.F.); +#102930=ORIENTED_EDGE('',*,*,#102929,.F.); +#102931=ORIENTED_EDGE('',*,*,#102915,.F.); +#102932=EDGE_LOOP('',(#102928,#102930,#102931)); +#102933=FACE_OUTER_BOUND('',#102932,.F.); +#102935=CARTESIAN_POINT('',(6.21E1,7.2E0,-9.021724171534E1)); +#102936=DIRECTION('',(0.E0,0.E0,1.E0)); +#102937=DIRECTION('',(1.E0,0.E0,0.E0)); +#102938=AXIS2_PLACEMENT_3D('',#102935,#102936,#102937); +#102939=CYLINDRICAL_SURFACE('',#102938,5.E-1); +#102940=ORIENTED_EDGE('',*,*,#60616,.F.); +#102941=ORIENTED_EDGE('',*,*,#102927,.T.); +#102942=ORIENTED_EDGE('',*,*,#102904,.T.); +#102943=ORIENTED_EDGE('',*,*,#60939,.T.); +#102944=EDGE_LOOP('',(#102940,#102941,#102942,#102943)); +#102945=FACE_OUTER_BOUND('',#102944,.F.); +#102947=CARTESIAN_POINT('',(-2.594980361520E2,7.2E0,-3.64E1)); +#102948=DIRECTION('',(1.E0,0.E0,0.E0)); +#102949=DIRECTION('',(0.E0,0.E0,-1.E0)); +#102950=AXIS2_PLACEMENT_3D('',#102947,#102948,#102949); +#102951=CYLINDRICAL_SURFACE('',#102950,5.E-1); +#102952=ORIENTED_EDGE('',*,*,#60614,.F.); +#102954=ORIENTED_EDGE('',*,*,#102953,.T.); +#102955=ORIENTED_EDGE('',*,*,#60547,.T.); +#102956=ORIENTED_EDGE('',*,*,#102929,.T.); +#102957=EDGE_LOOP('',(#102952,#102954,#102955,#102956)); +#102958=FACE_OUTER_BOUND('',#102957,.F.); +#102960=CARTESIAN_POINT('',(5.575E1,7.2E0,-3.64E1)); +#102961=DIRECTION('',(-7.071067811865E-1,-7.071067811865E-1,0.E0)); +#102962=DIRECTION('',(-7.071067811865E-1,7.071067811865E-1, +-2.009718347115E-14)); +#102963=AXIS2_PLACEMENT_3D('',#102960,#102961,#102962); +#102964=SPHERICAL_SURFACE('',#102963,5.E-1); +#102966=ORIENTED_EDGE('',*,*,#102965,.F.); +#102967=ORIENTED_EDGE('',*,*,#102953,.F.); +#102969=ORIENTED_EDGE('',*,*,#102968,.F.); +#102970=EDGE_LOOP('',(#102966,#102967,#102969)); +#102971=FACE_OUTER_BOUND('',#102970,.F.); +#102973=CARTESIAN_POINT('',(5.575E1,-6.810661345687E1,-3.64E1)); +#102974=DIRECTION('',(0.E0,1.E0,0.E0)); +#102975=DIRECTION('',(0.E0,0.E0,-1.E0)); +#102976=AXIS2_PLACEMENT_3D('',#102973,#102974,#102975); +#102977=CYLINDRICAL_SURFACE('',#102976,5.E-1); +#102979=ORIENTED_EDGE('',*,*,#102978,.F.); +#102980=ORIENTED_EDGE('',*,*,#102863,.F.); +#102981=ORIENTED_EDGE('',*,*,#60549,.T.); +#102982=ORIENTED_EDGE('',*,*,#102965,.T.); +#102983=EDGE_LOOP('',(#102979,#102980,#102981,#102982)); +#102984=FACE_OUTER_BOUND('',#102983,.F.); +#102986=CARTESIAN_POINT('',(5.525E1,6.293746088860E0,-2.36E1)); +#102987=DIRECTION('',(-1.E0,0.E0,0.E0)); +#102988=DIRECTION('',(0.E0,1.E0,0.E0)); +#102989=AXIS2_PLACEMENT_3D('',#102986,#102987,#102988); +#102990=PLANE('',#102989); +#102992=ORIENTED_EDGE('',*,*,#102991,.T.); +#102993=ORIENTED_EDGE('',*,*,#60997,.T.); +#102994=ORIENTED_EDGE('',*,*,#102842,.T.); +#102995=ORIENTED_EDGE('',*,*,#102978,.T.); +#102996=EDGE_LOOP('',(#102992,#102993,#102994,#102995)); +#102997=FACE_OUTER_BOUND('',#102996,.F.); +#102999=CARTESIAN_POINT('',(5.575E1,7.2E0,-9.021724171534E1)); +#103000=DIRECTION('',(0.E0,0.E0,1.E0)); +#103001=DIRECTION('',(0.E0,1.E0,0.E0)); +#103002=AXIS2_PLACEMENT_3D('',#102999,#103000,#103001); +#103003=CYLINDRICAL_SURFACE('',#103002,5.E-1); +#103004=ORIENTED_EDGE('',*,*,#102991,.F.); +#103005=ORIENTED_EDGE('',*,*,#102968,.T.); +#103006=ORIENTED_EDGE('',*,*,#60612,.T.); +#103007=ORIENTED_EDGE('',*,*,#60999,.T.); +#103008=EDGE_LOOP('',(#103004,#103005,#103006,#103007)); +#103009=FACE_OUTER_BOUND('',#103008,.F.); +#103011=CARTESIAN_POINT('',(5.89E1,-1.3E1,-2.511132486541E1)); +#103012=DIRECTION('',(0.E0,-5.E-1,-8.660254037844E-1)); +#103013=DIRECTION('',(0.E0,8.660254037844E-1,-5.E-1)); +#103014=AXIS2_PLACEMENT_3D('',#103011,#103012,#103013); +#103015=PLANE('',#103014); +#103016=ORIENTED_EDGE('',*,*,#102745,.T.); +#103017=ORIENTED_EDGE('',*,*,#61337,.T.); +#103019=ORIENTED_EDGE('',*,*,#103018,.F.); +#103021=ORIENTED_EDGE('',*,*,#103020,.F.); +#103022=EDGE_LOOP('',(#103016,#103017,#103019,#103021)); +#103023=FACE_OUTER_BOUND('',#103022,.F.); +#103025=CARTESIAN_POINT('',(6.033867513459E1,-1.3E1,-2.68E1)); +#103026=DIRECTION('',(-8.660254037844E-1,-5.E-1,0.E0)); +#103027=DIRECTION('',(-5.E-1,8.660254037844E-1,0.E0)); +#103028=AXIS2_PLACEMENT_3D('',#103025,#103026,#103027); +#103029=PLANE('',#103028); +#103031=ORIENTED_EDGE('',*,*,#103030,.F.); +#103032=ORIENTED_EDGE('',*,*,#103018,.T.); +#103033=ORIENTED_EDGE('',*,*,#61335,.T.); +#103035=ORIENTED_EDGE('',*,*,#103034,.F.); +#103036=EDGE_LOOP('',(#103031,#103032,#103033,#103035)); +#103037=FACE_OUTER_BOUND('',#103036,.F.); +#103039=CARTESIAN_POINT('',(6.005E1,-6.E0,-2.93E1)); +#103040=DIRECTION('',(1.E0,0.E0,0.E0)); +#103041=DIRECTION('',(0.E0,-1.E0,0.E0)); +#103042=AXIS2_PLACEMENT_3D('',#103039,#103040,#103041); +#103043=PLANE('',#103042); +#103045=ORIENTED_EDGE('',*,*,#103044,.F.); +#103046=ORIENTED_EDGE('',*,*,#60961,.F.); +#103048=ORIENTED_EDGE('',*,*,#103047,.T.); +#103049=ORIENTED_EDGE('',*,*,#59990,.T.); +#103050=EDGE_LOOP('',(#103045,#103046,#103048,#103049)); +#103051=FACE_OUTER_BOUND('',#103050,.F.); +#103053=CARTESIAN_POINT('',(6.005E1,-6.E0,-2.93E1)); +#103054=DIRECTION('',(1.E0,0.E0,0.E0)); +#103055=DIRECTION('',(0.E0,-1.E0,0.E0)); +#103056=AXIS2_PLACEMENT_3D('',#103053,#103054,#103055); +#103057=PLANE('',#103056); +#103058=ORIENTED_EDGE('',*,*,#103030,.T.); +#103060=ORIENTED_EDGE('',*,*,#103059,.T.); +#103062=ORIENTED_EDGE('',*,*,#103061,.F.); +#103064=ORIENTED_EDGE('',*,*,#103063,.F.); +#103065=EDGE_LOOP('',(#103058,#103060,#103062,#103064)); +#103066=FACE_OUTER_BOUND('',#103065,.F.); +#103068=CARTESIAN_POINT('',(6.005E1,-6.2E0,-2.93E1)); +#103069=DIRECTION('',(0.E0,-1.E0,0.E0)); +#103070=DIRECTION('',(-1.E0,0.E0,0.E0)); +#103071=AXIS2_PLACEMENT_3D('',#103068,#103069,#103070); +#103072=PLANE('',#103071); +#103074=ORIENTED_EDGE('',*,*,#103073,.F.); +#103076=ORIENTED_EDGE('',*,*,#103075,.T.); +#103078=ORIENTED_EDGE('',*,*,#103077,.F.); +#103079=ORIENTED_EDGE('',*,*,#102777,.F.); +#103080=ORIENTED_EDGE('',*,*,#60963,.F.); +#103081=ORIENTED_EDGE('',*,*,#103044,.T.); +#103082=ORIENTED_EDGE('',*,*,#59988,.T.); +#103083=ORIENTED_EDGE('',*,*,#102749,.F.); +#103084=EDGE_LOOP('',(#103074,#103076,#103078,#103079,#103080,#103081,#103082, +#103083)); +#103085=FACE_OUTER_BOUND('',#103084,.F.); +#103087=CARTESIAN_POINT('',(6.005E1,-1.35E1,-2.54E1)); +#103088=DIRECTION('',(0.E0,0.E0,1.E0)); +#103089=DIRECTION('',(-1.E0,0.E0,0.E0)); +#103090=AXIS2_PLACEMENT_3D('',#103087,#103088,#103089); +#103091=PLANE('',#103090); +#103092=ORIENTED_EDGE('',*,*,#103020,.T.); +#103093=ORIENTED_EDGE('',*,*,#103063,.T.); +#103095=ORIENTED_EDGE('',*,*,#103094,.T.); +#103097=ORIENTED_EDGE('',*,*,#103096,.F.); +#103098=ORIENTED_EDGE('',*,*,#103073,.T.); +#103099=ORIENTED_EDGE('',*,*,#102747,.F.); +#103100=EDGE_LOOP('',(#103092,#103093,#103095,#103097,#103098,#103099)); +#103101=FACE_OUTER_BOUND('',#103100,.F.); +#103103=CARTESIAN_POINT('',(6.005E1,-8.4E0,-2.54E1)); +#103104=DIRECTION('',(-8.532818336520E-1,-5.214500094540E-1,0.E0)); +#103105=DIRECTION('',(-5.214500094540E-1,8.532818336520E-1,0.E0)); +#103106=AXIS2_PLACEMENT_3D('',#103103,#103104,#103105); +#103107=PLANE('',#103106); +#103108=ORIENTED_EDGE('',*,*,#103061,.T.); +#103110=ORIENTED_EDGE('',*,*,#103109,.T.); +#103112=ORIENTED_EDGE('',*,*,#103111,.F.); +#103113=ORIENTED_EDGE('',*,*,#103094,.F.); +#103114=EDGE_LOOP('',(#103108,#103110,#103112,#103113)); +#103115=FACE_OUTER_BOUND('',#103114,.F.); +#103117=CARTESIAN_POINT('',(5.775E1,-1.35E1,-2.82E1)); +#103118=DIRECTION('',(0.E0,0.E0,-1.E0)); +#103119=DIRECTION('',(1.E0,0.E0,0.E0)); +#103120=AXIS2_PLACEMENT_3D('',#103117,#103118,#103119); +#103121=PLANE('',#103120); +#103123=ORIENTED_EDGE('',*,*,#103122,.T.); +#103124=ORIENTED_EDGE('',*,*,#102779,.T.); +#103125=ORIENTED_EDGE('',*,*,#103077,.T.); +#103127=ORIENTED_EDGE('',*,*,#103126,.T.); +#103128=ORIENTED_EDGE('',*,*,#103109,.F.); +#103129=ORIENTED_EDGE('',*,*,#103059,.F.); +#103130=EDGE_LOOP('',(#103123,#103124,#103125,#103127,#103128,#103129)); +#103131=FACE_OUTER_BOUND('',#103130,.F.); +#103133=CARTESIAN_POINT('',(5.89E1,-1.3E1,-2.848867513459E1)); +#103134=DIRECTION('',(0.E0,-5.E-1,8.660254037844E-1)); +#103135=DIRECTION('',(0.E0,8.660254037844E-1,5.E-1)); +#103136=AXIS2_PLACEMENT_3D('',#103133,#103134,#103135); +#103137=PLANE('',#103136); +#103138=ORIENTED_EDGE('',*,*,#102773,.T.); +#103139=ORIENTED_EDGE('',*,*,#103122,.F.); +#103140=ORIENTED_EDGE('',*,*,#103034,.T.); +#103141=ORIENTED_EDGE('',*,*,#61333,.T.); +#103142=EDGE_LOOP('',(#103138,#103139,#103140,#103141)); +#103143=FACE_OUTER_BOUND('',#103142,.F.); +#103145=CARTESIAN_POINT('',(5.895E1,-6.6E0,-2.54E1)); +#103146=DIRECTION('',(-1.E0,0.E0,0.E0)); +#103147=DIRECTION('',(0.E0,1.E0,0.E0)); +#103148=AXIS2_PLACEMENT_3D('',#103145,#103146,#103147); +#103149=PLANE('',#103148); +#103150=ORIENTED_EDGE('',*,*,#103096,.T.); +#103151=ORIENTED_EDGE('',*,*,#103111,.T.); +#103152=ORIENTED_EDGE('',*,*,#103126,.F.); +#103153=ORIENTED_EDGE('',*,*,#103075,.F.); +#103154=EDGE_LOOP('',(#103150,#103151,#103152,#103153)); +#103155=FACE_OUTER_BOUND('',#103154,.F.); +#103157=CARTESIAN_POINT('',(5.895E1,-4.2E0,-2.93E1)); +#103158=DIRECTION('',(8.532818336520E-1,5.214500094540E-1,0.E0)); +#103159=DIRECTION('',(5.214500094540E-1,-8.532818336520E-1,0.E0)); +#103160=AXIS2_PLACEMENT_3D('',#103157,#103158,#103159); +#103161=PLANE('',#103160); +#103162=ORIENTED_EDGE('',*,*,#60959,.F.); +#103163=ORIENTED_EDGE('',*,*,#59714,.T.); +#103164=ORIENTED_EDGE('',*,*,#59992,.T.); +#103165=ORIENTED_EDGE('',*,*,#103047,.F.); +#103166=EDGE_LOOP('',(#103162,#103163,#103164,#103165)); +#103167=FACE_OUTER_BOUND('',#103166,.F.); +#103169=CARTESIAN_POINT('',(-5.775E1,-3.8E0,-2.26E1)); +#103170=DIRECTION('',(1.E0,0.E0,0.E0)); +#103171=DIRECTION('',(0.E0,0.E0,-1.E0)); +#103172=AXIS2_PLACEMENT_3D('',#103169,#103170,#103171); +#103173=CYLINDRICAL_SURFACE('',#103172,1.E0); +#103174=ORIENTED_EDGE('',*,*,#61248,.F.); +#103176=ORIENTED_EDGE('',*,*,#103175,.F.); +#103177=ORIENTED_EDGE('',*,*,#102752,.T.); +#103178=ORIENTED_EDGE('',*,*,#59984,.T.); +#103179=EDGE_LOOP('',(#103174,#103176,#103177,#103178)); +#103180=FACE_OUTER_BOUND('',#103179,.F.); +#103182=CARTESIAN_POINT('',(-5.775E1,-4.8E0,-2.26E1)); +#103183=DIRECTION('',(0.E0,1.E0,0.E0)); +#103184=DIRECTION('',(0.E0,0.E0,1.E0)); +#103185=AXIS2_PLACEMENT_3D('',#103182,#103183,#103184); +#103186=PLANE('',#103185); +#103187=ORIENTED_EDGE('',*,*,#61246,.F.); +#103189=ORIENTED_EDGE('',*,*,#103188,.T.); +#103190=ORIENTED_EDGE('',*,*,#102754,.T.); +#103191=ORIENTED_EDGE('',*,*,#103175,.T.); +#103192=EDGE_LOOP('',(#103187,#103189,#103190,#103191)); +#103193=FACE_OUTER_BOUND('',#103192,.F.); +#103195=CARTESIAN_POINT('',(-5.775E1,-7.7E0,-2.237705265805E1)); +#103196=DIRECTION('',(1.E0,0.E0,0.E0)); +#103197=DIRECTION('',(0.E0,0.E0,-1.E0)); +#103198=AXIS2_PLACEMENT_3D('',#103195,#103196,#103197); +#103199=CYLINDRICAL_SURFACE('',#103198,2.9E0); +#103200=ORIENTED_EDGE('',*,*,#61244,.T.); +#103202=ORIENTED_EDGE('',*,*,#103201,.T.); +#103203=ORIENTED_EDGE('',*,*,#102756,.F.); +#103204=ORIENTED_EDGE('',*,*,#103188,.F.); +#103205=EDGE_LOOP('',(#103200,#103202,#103203,#103204)); +#103206=FACE_OUTER_BOUND('',#103205,.F.); +#103208=CARTESIAN_POINT('',(-5.775E1,-5.188526329025E0,-2.092705265805E1)); +#103209=DIRECTION('',(0.E0,8.660254037844E-1,5.E-1)); +#103210=DIRECTION('',(0.E0,-5.E-1,8.660254037844E-1)); +#103211=AXIS2_PLACEMENT_3D('',#103208,#103209,#103210); +#103212=PLANE('',#103211); +#103213=ORIENTED_EDGE('',*,*,#61242,.T.); +#103215=ORIENTED_EDGE('',*,*,#103214,.T.); +#103216=ORIENTED_EDGE('',*,*,#102758,.F.); +#103217=ORIENTED_EDGE('',*,*,#103201,.F.); +#103218=EDGE_LOOP('',(#103213,#103215,#103216,#103217)); +#103219=FACE_OUTER_BOUND('',#103218,.F.); +#103221=CARTESIAN_POINT('',(-5.775E1,-7.109401076758E0,-2.16E1)); +#103222=DIRECTION('',(1.E0,0.E0,0.E0)); +#103223=DIRECTION('',(0.E0,0.E0,-1.E0)); +#103224=AXIS2_PLACEMENT_3D('',#103221,#103222,#103223); +#103225=CYLINDRICAL_SURFACE('',#103224,2.E0); +#103226=ORIENTED_EDGE('',*,*,#61240,.T.); +#103228=ORIENTED_EDGE('',*,*,#103227,.T.); +#103229=ORIENTED_EDGE('',*,*,#102760,.F.); +#103230=ORIENTED_EDGE('',*,*,#103214,.F.); +#103231=EDGE_LOOP('',(#103226,#103228,#103229,#103230)); +#103232=FACE_OUTER_BOUND('',#103231,.F.); +#103234=CARTESIAN_POINT('',(-5.775E1,-7.109401076758E0,-1.96E1)); +#103235=DIRECTION('',(0.E0,0.E0,1.E0)); +#103236=DIRECTION('',(0.E0,-1.E0,0.E0)); +#103237=AXIS2_PLACEMENT_3D('',#103234,#103235,#103236); +#103238=PLANE('',#103237); +#103239=ORIENTED_EDGE('',*,*,#61341,.T.); +#103240=ORIENTED_EDGE('',*,*,#102762,.F.); +#103241=ORIENTED_EDGE('',*,*,#103227,.F.); +#103242=ORIENTED_EDGE('',*,*,#61238,.T.); +#103243=EDGE_LOOP('',(#103239,#103240,#103241,#103242)); +#103244=FACE_OUTER_BOUND('',#103243,.F.); +#103246=CARTESIAN_POINT('',(-5.89E1,-1.3E1,-2.511132486541E1)); +#103247=DIRECTION('',(0.E0,-5.E-1,-8.660254037844E-1)); +#103248=DIRECTION('',(0.E0,8.660254037844E-1,-5.E-1)); +#103249=AXIS2_PLACEMENT_3D('',#103246,#103247,#103248); +#103250=PLANE('',#103249); +#103251=ORIENTED_EDGE('',*,*,#61234,.T.); +#103253=ORIENTED_EDGE('',*,*,#103252,.F.); +#103255=ORIENTED_EDGE('',*,*,#103254,.T.); +#103256=ORIENTED_EDGE('',*,*,#61344,.T.); +#103257=EDGE_LOOP('',(#103251,#103253,#103255,#103256)); +#103258=FACE_OUTER_BOUND('',#103257,.F.); +#103260=CARTESIAN_POINT('',(-5.775E1,-1.35E1,-2.54E1)); +#103261=DIRECTION('',(0.E0,0.E0,1.E0)); +#103262=DIRECTION('',(-1.E0,0.E0,0.E0)); +#103263=AXIS2_PLACEMENT_3D('',#103260,#103261,#103262); +#103264=PLANE('',#103263); +#103265=ORIENTED_EDGE('',*,*,#103252,.T.); +#103266=ORIENTED_EDGE('',*,*,#61253,.T.); +#103268=ORIENTED_EDGE('',*,*,#103267,.T.); +#103270=ORIENTED_EDGE('',*,*,#103269,.T.); +#103272=ORIENTED_EDGE('',*,*,#103271,.T.); +#103274=ORIENTED_EDGE('',*,*,#103273,.F.); +#103275=EDGE_LOOP('',(#103265,#103266,#103268,#103270,#103272,#103274)); +#103276=FACE_OUTER_BOUND('',#103275,.F.); +#103278=CARTESIAN_POINT('',(-5.775E1,-6.2E0,-2.93E1)); +#103279=DIRECTION('',(0.E0,-1.E0,0.E0)); +#103280=DIRECTION('',(-1.E0,0.E0,0.E0)); +#103281=AXIS2_PLACEMENT_3D('',#103278,#103279,#103280); +#103282=PLANE('',#103281); +#103284=ORIENTED_EDGE('',*,*,#103283,.T.); +#103285=ORIENTED_EDGE('',*,*,#60891,.F.); +#103286=ORIENTED_EDGE('',*,*,#61221,.T.); +#103288=ORIENTED_EDGE('',*,*,#103287,.T.); +#103290=ORIENTED_EDGE('',*,*,#103289,.F.); +#103291=ORIENTED_EDGE('',*,*,#103267,.F.); +#103292=ORIENTED_EDGE('',*,*,#61251,.T.); +#103293=ORIENTED_EDGE('',*,*,#59980,.T.); +#103294=EDGE_LOOP('',(#103284,#103285,#103286,#103288,#103290,#103291,#103292, +#103293)); +#103295=FACE_OUTER_BOUND('',#103294,.F.); +#103297=CARTESIAN_POINT('',(-6.005E1,-6.2E0,-2.93E1)); +#103298=DIRECTION('',(-1.E0,0.E0,0.E0)); +#103299=DIRECTION('',(0.E0,1.E0,0.E0)); +#103300=AXIS2_PLACEMENT_3D('',#103297,#103298,#103299); +#103301=PLANE('',#103300); +#103302=ORIENTED_EDGE('',*,*,#103283,.F.); +#103303=ORIENTED_EDGE('',*,*,#59978,.T.); +#103305=ORIENTED_EDGE('',*,*,#103304,.F.); +#103306=ORIENTED_EDGE('',*,*,#60893,.F.); +#103307=EDGE_LOOP('',(#103302,#103303,#103305,#103306)); +#103308=FACE_OUTER_BOUND('',#103307,.F.); +#103310=CARTESIAN_POINT('',(-6.005E1,-6.2E0,-2.93E1)); +#103311=DIRECTION('',(-1.E0,0.E0,0.E0)); +#103312=DIRECTION('',(0.E0,1.E0,0.E0)); +#103313=AXIS2_PLACEMENT_3D('',#103310,#103311,#103312); +#103314=PLANE('',#103313); +#103316=ORIENTED_EDGE('',*,*,#103315,.T.); +#103317=ORIENTED_EDGE('',*,*,#103273,.T.); +#103319=ORIENTED_EDGE('',*,*,#103318,.T.); +#103321=ORIENTED_EDGE('',*,*,#103320,.F.); +#103322=EDGE_LOOP('',(#103316,#103317,#103319,#103321)); +#103323=FACE_OUTER_BOUND('',#103322,.F.); +#103325=CARTESIAN_POINT('',(-6.005E1,-6.E0,-2.93E1)); +#103326=DIRECTION('',(-8.532818336520E-1,5.214500094540E-1,0.E0)); +#103327=DIRECTION('',(5.214500094540E-1,8.532818336520E-1,0.E0)); +#103328=AXIS2_PLACEMENT_3D('',#103325,#103326,#103327); +#103329=PLANE('',#103328); +#103330=ORIENTED_EDGE('',*,*,#60895,.F.); +#103331=ORIENTED_EDGE('',*,*,#103304,.T.); +#103332=ORIENTED_EDGE('',*,*,#59976,.T.); +#103333=ORIENTED_EDGE('',*,*,#59839,.F.); +#103334=EDGE_LOOP('',(#103330,#103331,#103332,#103333)); +#103335=FACE_OUTER_BOUND('',#103334,.F.); +#103337=CARTESIAN_POINT('',(-6.033867513459E1,-1.3E1,-2.68E1)); +#103338=DIRECTION('',(8.660254037844E-1,-5.E-1,0.E0)); +#103339=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#103340=AXIS2_PLACEMENT_3D('',#103337,#103338,#103339); +#103341=PLANE('',#103340); +#103342=ORIENTED_EDGE('',*,*,#103315,.F.); +#103343=ORIENTED_EDGE('',*,*,#61291,.T.); +#103344=ORIENTED_EDGE('',*,*,#61346,.T.); +#103345=ORIENTED_EDGE('',*,*,#103254,.F.); +#103346=EDGE_LOOP('',(#103342,#103343,#103344,#103345)); +#103347=FACE_OUTER_BOUND('',#103346,.F.); +#103349=CARTESIAN_POINT('',(-5.895E1,-6.6E0,-2.54E1)); +#103350=DIRECTION('',(8.532818336520E-1,-5.214500094540E-1,0.E0)); +#103351=DIRECTION('',(-5.214500094540E-1,-8.532818336520E-1,0.E0)); +#103352=AXIS2_PLACEMENT_3D('',#103349,#103350,#103351); +#103353=PLANE('',#103352); +#103354=ORIENTED_EDGE('',*,*,#103318,.F.); +#103355=ORIENTED_EDGE('',*,*,#103271,.F.); +#103357=ORIENTED_EDGE('',*,*,#103356,.T.); +#103359=ORIENTED_EDGE('',*,*,#103358,.T.); +#103360=EDGE_LOOP('',(#103354,#103355,#103357,#103359)); +#103361=FACE_OUTER_BOUND('',#103360,.F.); +#103363=CARTESIAN_POINT('',(-5.895E1,-6.2E0,-2.54E1)); +#103364=DIRECTION('',(1.E0,0.E0,0.E0)); +#103365=DIRECTION('',(0.E0,-1.E0,0.E0)); +#103366=AXIS2_PLACEMENT_3D('',#103363,#103364,#103365); +#103367=PLANE('',#103366); +#103369=ORIENTED_EDGE('',*,*,#103368,.T.); +#103370=ORIENTED_EDGE('',*,*,#103356,.F.); +#103371=ORIENTED_EDGE('',*,*,#103269,.F.); +#103372=ORIENTED_EDGE('',*,*,#103289,.T.); +#103373=EDGE_LOOP('',(#103369,#103370,#103371,#103372)); +#103374=FACE_OUTER_BOUND('',#103373,.F.); +#103376=CARTESIAN_POINT('',(-6.005E1,-1.35E1,-2.82E1)); +#103377=DIRECTION('',(0.E0,0.E0,-1.E0)); +#103378=DIRECTION('',(1.E0,0.E0,0.E0)); +#103379=AXIS2_PLACEMENT_3D('',#103376,#103377,#103378); +#103380=PLANE('',#103379); +#103381=ORIENTED_EDGE('',*,*,#61293,.T.); +#103382=ORIENTED_EDGE('',*,*,#103320,.T.); +#103383=ORIENTED_EDGE('',*,*,#103358,.F.); +#103384=ORIENTED_EDGE('',*,*,#103368,.F.); +#103385=ORIENTED_EDGE('',*,*,#103287,.F.); +#103386=ORIENTED_EDGE('',*,*,#61219,.F.); +#103387=EDGE_LOOP('',(#103381,#103382,#103383,#103384,#103385,#103386)); +#103388=FACE_OUTER_BOUND('',#103387,.F.); +#103390=CARTESIAN_POINT('',(1.895E1,7.7E0,-2.36E1)); +#103391=DIRECTION('',(1.E0,0.E0,0.E0)); +#103392=DIRECTION('',(0.E0,-1.E0,0.E0)); +#103393=AXIS2_PLACEMENT_3D('',#103390,#103391,#103392); +#103394=PLANE('',#103393); +#103395=ORIENTED_EDGE('',*,*,#60499,.F.); +#103396=ORIENTED_EDGE('',*,*,#60486,.F.); +#103397=ORIENTED_EDGE('',*,*,#61002,.F.); +#103398=ORIENTED_EDGE('',*,*,#60608,.T.); +#103400=ORIENTED_EDGE('',*,*,#103399,.F.); +#103401=ORIENTED_EDGE('',*,*,#60566,.T.); +#103402=EDGE_LOOP('',(#103395,#103396,#103397,#103398,#103400,#103401)); +#103403=FACE_OUTER_BOUND('',#103402,.F.); +#103405=CARTESIAN_POINT('',(-2.594980361520E2,7.2E0,-3.64E1)); +#103406=DIRECTION('',(1.E0,0.E0,0.E0)); +#103407=DIRECTION('',(0.E0,0.E0,-1.E0)); +#103408=AXIS2_PLACEMENT_3D('',#103405,#103406,#103407); +#103409=CYLINDRICAL_SURFACE('',#103408,5.E-1); +#103410=ORIENTED_EDGE('',*,*,#103399,.T.); +#103411=ORIENTED_EDGE('',*,*,#60606,.F.); +#103412=ORIENTED_EDGE('',*,*,#60514,.T.); +#103413=ORIENTED_EDGE('',*,*,#60568,.T.); +#103414=EDGE_LOOP('',(#103410,#103411,#103412,#103413)); +#103415=FACE_OUTER_BOUND('',#103414,.F.); +#103417=CARTESIAN_POINT('',(-4.195E1,3.5E0,-2.36E1)); +#103418=DIRECTION('',(-1.E0,0.E0,0.E0)); +#103419=DIRECTION('',(0.E0,1.E0,0.E0)); +#103420=AXIS2_PLACEMENT_3D('',#103417,#103418,#103419); +#103421=PLANE('',#103420); +#103422=ORIENTED_EDGE('',*,*,#60462,.F.); +#103423=ORIENTED_EDGE('',*,*,#59958,.T.); +#103425=ORIENTED_EDGE('',*,*,#103424,.T.); +#103427=ORIENTED_EDGE('',*,*,#103426,.T.); +#103428=EDGE_LOOP('',(#103422,#103423,#103425,#103427)); +#103429=FACE_OUTER_BOUND('',#103428,.F.); +#103431=CARTESIAN_POINT('',(9.7E0,3.5E0,-2.36E1)); +#103432=DIRECTION('',(0.E0,-1.E0,0.E0)); +#103433=DIRECTION('',(-1.E0,0.E0,0.E0)); +#103434=AXIS2_PLACEMENT_3D('',#103431,#103432,#103433); +#103435=PLANE('',#103434); +#103436=ORIENTED_EDGE('',*,*,#59956,.F.); +#103438=ORIENTED_EDGE('',*,*,#103437,.T.); +#103440=ORIENTED_EDGE('',*,*,#103439,.T.); +#103441=ORIENTED_EDGE('',*,*,#103424,.F.); +#103442=EDGE_LOOP('',(#103436,#103438,#103440,#103441)); +#103443=FACE_OUTER_BOUND('',#103442,.F.); +#103445=CARTESIAN_POINT('',(9.7E0,6.3E0,-2.36E1)); +#103446=DIRECTION('',(1.E0,0.E0,0.E0)); +#103447=DIRECTION('',(0.E0,-1.E0,0.E0)); +#103448=AXIS2_PLACEMENT_3D('',#103445,#103446,#103447); +#103449=PLANE('',#103448); +#103450=ORIENTED_EDGE('',*,*,#60466,.T.); +#103452=ORIENTED_EDGE('',*,*,#103451,.T.); +#103453=ORIENTED_EDGE('',*,*,#103437,.F.); +#103454=ORIENTED_EDGE('',*,*,#59954,.F.); +#103455=EDGE_LOOP('',(#103450,#103452,#103453,#103454)); +#103456=FACE_OUTER_BOUND('',#103455,.F.); +#103458=CARTESIAN_POINT('',(-5.775E1,0.E0,-2.584E1)); +#103459=DIRECTION('',(0.E0,0.E0,-1.E0)); +#103460=DIRECTION('',(-1.E0,0.E0,0.E0)); +#103461=AXIS2_PLACEMENT_3D('',#103458,#103459,#103460); +#103462=PLANE('',#103461); +#103463=ORIENTED_EDGE('',*,*,#60478,.T.); +#103465=ORIENTED_EDGE('',*,*,#103464,.F.); +#103467=ORIENTED_EDGE('',*,*,#103466,.F.); +#103468=ORIENTED_EDGE('',*,*,#60440,.F.); +#103469=EDGE_LOOP('',(#103463,#103465,#103467,#103468)); +#103470=FACE_OUTER_BOUND('',#103469,.F.); +#103472=ORIENTED_EDGE('',*,*,#103471,.T.); +#103474=ORIENTED_EDGE('',*,*,#103473,.T.); +#103476=ORIENTED_EDGE('',*,*,#103475,.F.); +#103478=ORIENTED_EDGE('',*,*,#103477,.F.); +#103479=EDGE_LOOP('',(#103472,#103474,#103476,#103478)); +#103480=FACE_BOUND('',#103479,.F.); +#103482=ORIENTED_EDGE('',*,*,#103481,.T.); +#103484=ORIENTED_EDGE('',*,*,#103483,.T.); +#103486=ORIENTED_EDGE('',*,*,#103485,.F.); +#103488=ORIENTED_EDGE('',*,*,#103487,.F.); +#103489=EDGE_LOOP('',(#103482,#103484,#103486,#103488)); +#103490=FACE_BOUND('',#103489,.F.); +#103492=ORIENTED_EDGE('',*,*,#103491,.T.); +#103494=ORIENTED_EDGE('',*,*,#103493,.T.); +#103496=ORIENTED_EDGE('',*,*,#103495,.F.); +#103498=ORIENTED_EDGE('',*,*,#103497,.F.); +#103499=EDGE_LOOP('',(#103492,#103494,#103496,#103498)); +#103500=FACE_BOUND('',#103499,.F.); +#103502=ORIENTED_EDGE('',*,*,#103501,.T.); +#103504=ORIENTED_EDGE('',*,*,#103503,.T.); +#103506=ORIENTED_EDGE('',*,*,#103505,.F.); +#103508=ORIENTED_EDGE('',*,*,#103507,.F.); +#103509=EDGE_LOOP('',(#103502,#103504,#103506,#103508)); +#103510=FACE_BOUND('',#103509,.F.); +#103512=ORIENTED_EDGE('',*,*,#103511,.T.); +#103514=ORIENTED_EDGE('',*,*,#103513,.T.); +#103516=ORIENTED_EDGE('',*,*,#103515,.F.); +#103518=ORIENTED_EDGE('',*,*,#103517,.F.); +#103519=EDGE_LOOP('',(#103512,#103514,#103516,#103518)); +#103520=FACE_BOUND('',#103519,.F.); +#103522=ORIENTED_EDGE('',*,*,#103521,.T.); +#103524=ORIENTED_EDGE('',*,*,#103523,.T.); +#103526=ORIENTED_EDGE('',*,*,#103525,.F.); +#103528=ORIENTED_EDGE('',*,*,#103527,.F.); +#103529=EDGE_LOOP('',(#103522,#103524,#103526,#103528)); +#103530=FACE_BOUND('',#103529,.F.); +#103532=ORIENTED_EDGE('',*,*,#103531,.T.); +#103534=ORIENTED_EDGE('',*,*,#103533,.T.); +#103536=ORIENTED_EDGE('',*,*,#103535,.F.); +#103538=ORIENTED_EDGE('',*,*,#103537,.F.); +#103539=EDGE_LOOP('',(#103532,#103534,#103536,#103538)); +#103540=FACE_BOUND('',#103539,.F.); +#103542=ORIENTED_EDGE('',*,*,#103541,.T.); +#103544=ORIENTED_EDGE('',*,*,#103543,.T.); +#103546=ORIENTED_EDGE('',*,*,#103545,.F.); +#103548=ORIENTED_EDGE('',*,*,#103547,.F.); +#103549=EDGE_LOOP('',(#103542,#103544,#103546,#103548)); +#103550=FACE_BOUND('',#103549,.F.); +#103552=ORIENTED_EDGE('',*,*,#103551,.T.); +#103554=ORIENTED_EDGE('',*,*,#103553,.T.); +#103556=ORIENTED_EDGE('',*,*,#103555,.F.); +#103558=ORIENTED_EDGE('',*,*,#103557,.F.); +#103559=EDGE_LOOP('',(#103552,#103554,#103556,#103558)); +#103560=FACE_BOUND('',#103559,.F.); +#103562=ORIENTED_EDGE('',*,*,#103561,.T.); +#103564=ORIENTED_EDGE('',*,*,#103563,.T.); +#103566=ORIENTED_EDGE('',*,*,#103565,.F.); +#103568=ORIENTED_EDGE('',*,*,#103567,.F.); +#103569=EDGE_LOOP('',(#103562,#103564,#103566,#103568)); +#103570=FACE_BOUND('',#103569,.F.); +#103572=ORIENTED_EDGE('',*,*,#103571,.T.); +#103574=ORIENTED_EDGE('',*,*,#103573,.T.); +#103576=ORIENTED_EDGE('',*,*,#103575,.F.); +#103578=ORIENTED_EDGE('',*,*,#103577,.F.); +#103579=EDGE_LOOP('',(#103572,#103574,#103576,#103578)); +#103580=FACE_BOUND('',#103579,.F.); +#103582=ORIENTED_EDGE('',*,*,#103581,.T.); +#103584=ORIENTED_EDGE('',*,*,#103583,.T.); +#103586=ORIENTED_EDGE('',*,*,#103585,.F.); +#103588=ORIENTED_EDGE('',*,*,#103587,.F.); +#103589=EDGE_LOOP('',(#103582,#103584,#103586,#103588)); +#103590=FACE_BOUND('',#103589,.F.); +#103592=ORIENTED_EDGE('',*,*,#103591,.T.); +#103594=ORIENTED_EDGE('',*,*,#103593,.T.); +#103596=ORIENTED_EDGE('',*,*,#103595,.F.); +#103598=ORIENTED_EDGE('',*,*,#103597,.F.); +#103599=EDGE_LOOP('',(#103592,#103594,#103596,#103598)); +#103600=FACE_BOUND('',#103599,.F.); +#103602=ORIENTED_EDGE('',*,*,#103601,.T.); +#103604=ORIENTED_EDGE('',*,*,#103603,.T.); +#103606=ORIENTED_EDGE('',*,*,#103605,.F.); +#103608=ORIENTED_EDGE('',*,*,#103607,.F.); +#103609=EDGE_LOOP('',(#103602,#103604,#103606,#103608)); +#103610=FACE_BOUND('',#103609,.F.); +#103612=CARTESIAN_POINT('',(-5.775E1,0.E0,-2.584E1)); +#103613=DIRECTION('',(0.E0,0.E0,-1.E0)); +#103614=DIRECTION('',(-1.E0,0.E0,0.E0)); +#103615=AXIS2_PLACEMENT_3D('',#103612,#103613,#103614); +#103616=PLANE('',#103615); +#103617=ORIENTED_EDGE('',*,*,#60464,.T.); +#103618=ORIENTED_EDGE('',*,*,#103426,.F.); +#103619=ORIENTED_EDGE('',*,*,#103439,.F.); +#103620=ORIENTED_EDGE('',*,*,#103451,.F.); +#103621=EDGE_LOOP('',(#103617,#103618,#103619,#103620)); +#103622=FACE_OUTER_BOUND('',#103621,.F.); +#103624=ORIENTED_EDGE('',*,*,#103623,.T.); +#103626=ORIENTED_EDGE('',*,*,#103625,.T.); +#103628=ORIENTED_EDGE('',*,*,#103627,.F.); +#103630=ORIENTED_EDGE('',*,*,#103629,.F.); +#103631=EDGE_LOOP('',(#103624,#103626,#103628,#103630)); +#103632=FACE_BOUND('',#103631,.F.); +#103634=ORIENTED_EDGE('',*,*,#103633,.T.); +#103636=ORIENTED_EDGE('',*,*,#103635,.T.); +#103638=ORIENTED_EDGE('',*,*,#103637,.F.); +#103640=ORIENTED_EDGE('',*,*,#103639,.F.); +#103641=EDGE_LOOP('',(#103634,#103636,#103638,#103640)); +#103642=FACE_BOUND('',#103641,.F.); +#103644=ORIENTED_EDGE('',*,*,#103643,.T.); +#103646=ORIENTED_EDGE('',*,*,#103645,.T.); +#103648=ORIENTED_EDGE('',*,*,#103647,.F.); +#103650=ORIENTED_EDGE('',*,*,#103649,.F.); +#103651=EDGE_LOOP('',(#103644,#103646,#103648,#103650)); +#103652=FACE_BOUND('',#103651,.F.); +#103654=ORIENTED_EDGE('',*,*,#103653,.T.); +#103656=ORIENTED_EDGE('',*,*,#103655,.T.); +#103658=ORIENTED_EDGE('',*,*,#103657,.F.); +#103660=ORIENTED_EDGE('',*,*,#103659,.F.); +#103661=EDGE_LOOP('',(#103654,#103656,#103658,#103660)); +#103662=FACE_BOUND('',#103661,.F.); +#103664=ORIENTED_EDGE('',*,*,#103663,.T.); +#103666=ORIENTED_EDGE('',*,*,#103665,.T.); +#103668=ORIENTED_EDGE('',*,*,#103667,.F.); +#103670=ORIENTED_EDGE('',*,*,#103669,.F.); +#103671=EDGE_LOOP('',(#103664,#103666,#103668,#103670)); +#103672=FACE_BOUND('',#103671,.F.); +#103674=ORIENTED_EDGE('',*,*,#103673,.T.); +#103676=ORIENTED_EDGE('',*,*,#103675,.T.); +#103678=ORIENTED_EDGE('',*,*,#103677,.F.); +#103680=ORIENTED_EDGE('',*,*,#103679,.F.); +#103681=EDGE_LOOP('',(#103674,#103676,#103678,#103680)); +#103682=FACE_BOUND('',#103681,.F.); +#103684=ORIENTED_EDGE('',*,*,#103683,.T.); +#103686=ORIENTED_EDGE('',*,*,#103685,.T.); +#103688=ORIENTED_EDGE('',*,*,#103687,.F.); +#103690=ORIENTED_EDGE('',*,*,#103689,.F.); +#103691=EDGE_LOOP('',(#103684,#103686,#103688,#103690)); +#103692=FACE_BOUND('',#103691,.F.); +#103694=ORIENTED_EDGE('',*,*,#103693,.T.); +#103696=ORIENTED_EDGE('',*,*,#103695,.T.); +#103698=ORIENTED_EDGE('',*,*,#103697,.F.); +#103700=ORIENTED_EDGE('',*,*,#103699,.F.); +#103701=EDGE_LOOP('',(#103694,#103696,#103698,#103700)); +#103702=FACE_BOUND('',#103701,.F.); +#103704=ORIENTED_EDGE('',*,*,#103703,.T.); +#103706=ORIENTED_EDGE('',*,*,#103705,.T.); +#103708=ORIENTED_EDGE('',*,*,#103707,.F.); +#103710=ORIENTED_EDGE('',*,*,#103709,.F.); +#103711=EDGE_LOOP('',(#103704,#103706,#103708,#103710)); +#103712=FACE_BOUND('',#103711,.F.); +#103714=ORIENTED_EDGE('',*,*,#103713,.T.); +#103716=ORIENTED_EDGE('',*,*,#103715,.T.); +#103718=ORIENTED_EDGE('',*,*,#103717,.F.); +#103720=ORIENTED_EDGE('',*,*,#103719,.F.); +#103721=EDGE_LOOP('',(#103714,#103716,#103718,#103720)); +#103722=FACE_BOUND('',#103721,.F.); +#103724=ORIENTED_EDGE('',*,*,#103723,.T.); +#103726=ORIENTED_EDGE('',*,*,#103725,.T.); +#103728=ORIENTED_EDGE('',*,*,#103727,.F.); +#103730=ORIENTED_EDGE('',*,*,#103729,.F.); +#103731=EDGE_LOOP('',(#103724,#103726,#103728,#103730)); +#103732=FACE_BOUND('',#103731,.F.); +#103734=ORIENTED_EDGE('',*,*,#103733,.T.); +#103736=ORIENTED_EDGE('',*,*,#103735,.T.); +#103738=ORIENTED_EDGE('',*,*,#103737,.F.); +#103740=ORIENTED_EDGE('',*,*,#103739,.F.); +#103741=EDGE_LOOP('',(#103734,#103736,#103738,#103740)); +#103742=FACE_BOUND('',#103741,.F.); +#103744=ORIENTED_EDGE('',*,*,#103743,.T.); +#103746=ORIENTED_EDGE('',*,*,#103745,.T.); +#103748=ORIENTED_EDGE('',*,*,#103747,.F.); +#103750=ORIENTED_EDGE('',*,*,#103749,.F.); +#103751=EDGE_LOOP('',(#103744,#103746,#103748,#103750)); +#103752=FACE_BOUND('',#103751,.F.); +#103754=ORIENTED_EDGE('',*,*,#103753,.T.); +#103756=ORIENTED_EDGE('',*,*,#103755,.T.); +#103758=ORIENTED_EDGE('',*,*,#103757,.F.); +#103760=ORIENTED_EDGE('',*,*,#103759,.F.); +#103761=EDGE_LOOP('',(#103754,#103756,#103758,#103760)); +#103762=FACE_BOUND('',#103761,.F.); +#103764=ORIENTED_EDGE('',*,*,#103763,.T.); +#103766=ORIENTED_EDGE('',*,*,#103765,.T.); +#103768=ORIENTED_EDGE('',*,*,#103767,.F.); +#103770=ORIENTED_EDGE('',*,*,#103769,.F.); +#103771=EDGE_LOOP('',(#103764,#103766,#103768,#103770)); +#103772=FACE_BOUND('',#103771,.F.); +#103774=ORIENTED_EDGE('',*,*,#103773,.T.); +#103776=ORIENTED_EDGE('',*,*,#103775,.T.); +#103778=ORIENTED_EDGE('',*,*,#103777,.F.); +#103780=ORIENTED_EDGE('',*,*,#103779,.F.); +#103781=EDGE_LOOP('',(#103774,#103776,#103778,#103780)); +#103782=FACE_BOUND('',#103781,.F.); +#103784=ORIENTED_EDGE('',*,*,#103783,.T.); +#103786=ORIENTED_EDGE('',*,*,#103785,.T.); +#103788=ORIENTED_EDGE('',*,*,#103787,.F.); +#103790=ORIENTED_EDGE('',*,*,#103789,.F.); +#103791=EDGE_LOOP('',(#103784,#103786,#103788,#103790)); +#103792=FACE_BOUND('',#103791,.F.); +#103794=ORIENTED_EDGE('',*,*,#103793,.T.); +#103796=ORIENTED_EDGE('',*,*,#103795,.T.); +#103798=ORIENTED_EDGE('',*,*,#103797,.F.); +#103800=ORIENTED_EDGE('',*,*,#103799,.F.); +#103801=EDGE_LOOP('',(#103794,#103796,#103798,#103800)); +#103802=FACE_BOUND('',#103801,.F.); +#103804=ORIENTED_EDGE('',*,*,#103803,.T.); +#103806=ORIENTED_EDGE('',*,*,#103805,.T.); +#103808=ORIENTED_EDGE('',*,*,#103807,.F.); +#103810=ORIENTED_EDGE('',*,*,#103809,.F.); +#103811=EDGE_LOOP('',(#103804,#103806,#103808,#103810)); +#103812=FACE_BOUND('',#103811,.F.); +#103814=ORIENTED_EDGE('',*,*,#103813,.T.); +#103816=ORIENTED_EDGE('',*,*,#103815,.T.); +#103818=ORIENTED_EDGE('',*,*,#103817,.F.); +#103820=ORIENTED_EDGE('',*,*,#103819,.F.); +#103821=EDGE_LOOP('',(#103814,#103816,#103818,#103820)); +#103822=FACE_BOUND('',#103821,.F.); +#103824=ORIENTED_EDGE('',*,*,#103823,.T.); +#103826=ORIENTED_EDGE('',*,*,#103825,.T.); +#103828=ORIENTED_EDGE('',*,*,#103827,.F.); +#103830=ORIENTED_EDGE('',*,*,#103829,.F.); +#103831=EDGE_LOOP('',(#103824,#103826,#103828,#103830)); +#103832=FACE_BOUND('',#103831,.F.); +#103834=ORIENTED_EDGE('',*,*,#103833,.T.); +#103836=ORIENTED_EDGE('',*,*,#103835,.T.); +#103838=ORIENTED_EDGE('',*,*,#103837,.F.); +#103840=ORIENTED_EDGE('',*,*,#103839,.F.); +#103841=EDGE_LOOP('',(#103834,#103836,#103838,#103840)); +#103842=FACE_BOUND('',#103841,.F.); +#103844=ORIENTED_EDGE('',*,*,#103843,.T.); +#103846=ORIENTED_EDGE('',*,*,#103845,.T.); +#103848=ORIENTED_EDGE('',*,*,#103847,.F.); +#103850=ORIENTED_EDGE('',*,*,#103849,.F.); +#103851=EDGE_LOOP('',(#103844,#103846,#103848,#103850)); +#103852=FACE_BOUND('',#103851,.F.); +#103854=ORIENTED_EDGE('',*,*,#103853,.T.); +#103856=ORIENTED_EDGE('',*,*,#103855,.T.); +#103858=ORIENTED_EDGE('',*,*,#103857,.F.); +#103860=ORIENTED_EDGE('',*,*,#103859,.F.); +#103861=EDGE_LOOP('',(#103854,#103856,#103858,#103860)); +#103862=FACE_BOUND('',#103861,.F.); +#103864=CARTESIAN_POINT('',(2.315E1,3.5E0,-2.36E1)); +#103865=DIRECTION('',(-1.E0,0.E0,0.E0)); +#103866=DIRECTION('',(0.E0,1.E0,0.E0)); +#103867=AXIS2_PLACEMENT_3D('',#103864,#103865,#103866); +#103868=PLANE('',#103867); +#103869=ORIENTED_EDGE('',*,*,#60476,.F.); +#103870=ORIENTED_EDGE('',*,*,#59942,.T.); +#103872=ORIENTED_EDGE('',*,*,#103871,.T.); +#103873=ORIENTED_EDGE('',*,*,#103464,.T.); +#103874=EDGE_LOOP('',(#103869,#103870,#103872,#103873)); +#103875=FACE_OUTER_BOUND('',#103874,.F.); +#103877=CARTESIAN_POINT('',(5.33E1,3.5E0,-2.36E1)); +#103878=DIRECTION('',(0.E0,-1.E0,0.E0)); +#103879=DIRECTION('',(-1.E0,0.E0,0.E0)); +#103880=AXIS2_PLACEMENT_3D('',#103877,#103878,#103879); +#103881=PLANE('',#103880); +#103882=ORIENTED_EDGE('',*,*,#59940,.F.); +#103883=ORIENTED_EDGE('',*,*,#60442,.T.); +#103884=ORIENTED_EDGE('',*,*,#103466,.T.); +#103885=ORIENTED_EDGE('',*,*,#103871,.F.); +#103886=EDGE_LOOP('',(#103882,#103883,#103884,#103885)); +#103887=FACE_OUTER_BOUND('',#103886,.F.); +#103889=CARTESIAN_POINT('',(-4.13E1,6.33E0,0.E0)); +#103890=DIRECTION('',(1.E0,0.E0,0.E0)); +#103891=DIRECTION('',(0.E0,0.E0,-1.E0)); +#103892=AXIS2_PLACEMENT_3D('',#103889,#103890,#103891); +#103893=PLANE('',#103892); +#103895=ORIENTED_EDGE('',*,*,#103894,.F.); +#103897=ORIENTED_EDGE('',*,*,#103896,.T.); +#103899=ORIENTED_EDGE('',*,*,#103898,.T.); +#103901=ORIENTED_EDGE('',*,*,#103900,.T.); +#103902=ORIENTED_EDGE('',*,*,#103623,.F.); +#103904=ORIENTED_EDGE('',*,*,#103903,.T.); +#103906=ORIENTED_EDGE('',*,*,#103905,.T.); +#103908=ORIENTED_EDGE('',*,*,#103907,.T.); +#103909=EDGE_LOOP('',(#103895,#103897,#103899,#103901,#103902,#103904,#103906, +#103908)); +#103910=FACE_OUTER_BOUND('',#103909,.F.); +#103912=CARTESIAN_POINT('',(-4.13E1,6.33E0,0.E0)); +#103913=DIRECTION('',(1.E0,0.E0,0.E0)); +#103914=DIRECTION('',(0.E0,0.E0,-1.E0)); +#103915=AXIS2_PLACEMENT_3D('',#103912,#103913,#103914); +#103916=PLANE('',#103915); +#103918=ORIENTED_EDGE('',*,*,#103917,.F.); +#103920=ORIENTED_EDGE('',*,*,#103919,.T.); +#103922=ORIENTED_EDGE('',*,*,#103921,.T.); +#103924=ORIENTED_EDGE('',*,*,#103923,.T.); +#103925=ORIENTED_EDGE('',*,*,#60052,.F.); +#103927=ORIENTED_EDGE('',*,*,#103926,.T.); +#103929=ORIENTED_EDGE('',*,*,#103928,.T.); +#103931=ORIENTED_EDGE('',*,*,#103930,.T.); +#103932=EDGE_LOOP('',(#103918,#103920,#103922,#103924,#103925,#103927,#103929, +#103931)); +#103933=FACE_OUTER_BOUND('',#103932,.F.); +#103935=CARTESIAN_POINT('',(-4.13E1,-1.094019237886E1,-3.0895E1)); +#103936=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#103937=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#103938=AXIS2_PLACEMENT_3D('',#103935,#103936,#103937); +#103939=PLANE('',#103938); +#103940=ORIENTED_EDGE('',*,*,#103894,.T.); +#103942=ORIENTED_EDGE('',*,*,#103941,.T.); +#103943=ORIENTED_EDGE('',*,*,#58856,.F.); +#103945=ORIENTED_EDGE('',*,*,#103944,.F.); +#103946=EDGE_LOOP('',(#103940,#103942,#103943,#103945)); +#103947=FACE_OUTER_BOUND('',#103946,.F.); +#103949=CARTESIAN_POINT('',(-4.13E1,-1.094019237886E1,-3.0895E1)); +#103950=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#103951=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#103952=AXIS2_PLACEMENT_3D('',#103949,#103950,#103951); +#103953=PLANE('',#103952); +#103954=ORIENTED_EDGE('',*,*,#103917,.T.); +#103956=ORIENTED_EDGE('',*,*,#103955,.T.); +#103957=ORIENTED_EDGE('',*,*,#58866,.F.); +#103959=ORIENTED_EDGE('',*,*,#103958,.F.); +#103960=EDGE_LOOP('',(#103954,#103956,#103957,#103959)); +#103961=FACE_OUTER_BOUND('',#103960,.F.); +#103963=CARTESIAN_POINT('',(-4.13E1,3.815E0,-3.0265E1)); +#103964=DIRECTION('',(0.E0,0.E0,1.E0)); +#103965=DIRECTION('',(0.E0,-1.E0,0.E0)); +#103966=AXIS2_PLACEMENT_3D('',#103963,#103964,#103965); +#103967=PLANE('',#103966); +#103969=ORIENTED_EDGE('',*,*,#103968,.T.); +#103970=ORIENTED_EDGE('',*,*,#57790,.T.); +#103972=ORIENTED_EDGE('',*,*,#103971,.F.); +#103974=ORIENTED_EDGE('',*,*,#103973,.F.); +#103975=EDGE_LOOP('',(#103969,#103970,#103972,#103974)); +#103976=FACE_OUTER_BOUND('',#103975,.F.); +#103978=CARTESIAN_POINT('',(-4.13E1,3.815E0,-3.0265E1)); +#103979=DIRECTION('',(0.E0,0.E0,1.E0)); +#103980=DIRECTION('',(0.E0,-1.E0,0.E0)); +#103981=AXIS2_PLACEMENT_3D('',#103978,#103979,#103980); +#103982=PLANE('',#103981); +#103983=ORIENTED_EDGE('',*,*,#103941,.F.); +#103984=ORIENTED_EDGE('',*,*,#103907,.F.); +#103986=ORIENTED_EDGE('',*,*,#103985,.T.); +#103988=ORIENTED_EDGE('',*,*,#103987,.T.); +#103990=ORIENTED_EDGE('',*,*,#103989,.F.); +#103991=ORIENTED_EDGE('',*,*,#58850,.F.); +#103992=EDGE_LOOP('',(#103983,#103984,#103986,#103988,#103990,#103991)); +#103993=FACE_OUTER_BOUND('',#103992,.F.); +#103995=CARTESIAN_POINT('',(-4.115E1,-1.35E1,-3.0895E1)); +#103996=DIRECTION('',(1.E0,0.E0,0.E0)); +#103997=DIRECTION('',(0.E0,-1.E0,0.E0)); +#103998=AXIS2_PLACEMENT_3D('',#103995,#103996,#103997); +#103999=PLANE('',#103998); +#104000=ORIENTED_EDGE('',*,*,#103968,.F.); +#104002=ORIENTED_EDGE('',*,*,#104001,.T.); +#104004=ORIENTED_EDGE('',*,*,#104003,.T.); +#104005=ORIENTED_EDGE('',*,*,#57792,.T.); +#104006=EDGE_LOOP('',(#104000,#104002,#104004,#104005)); +#104007=FACE_OUTER_BOUND('',#104006,.F.); +#104009=CARTESIAN_POINT('',(-4.115E1,-1.35E1,-3.0895E1)); +#104010=DIRECTION('',(1.E0,0.E0,0.E0)); +#104011=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104012=AXIS2_PLACEMENT_3D('',#104009,#104010,#104011); +#104013=PLANE('',#104012); +#104015=ORIENTED_EDGE('',*,*,#104014,.F.); +#104017=ORIENTED_EDGE('',*,*,#104016,.T.); +#104019=ORIENTED_EDGE('',*,*,#104018,.T.); +#104020=ORIENTED_EDGE('',*,*,#57802,.T.); +#104021=EDGE_LOOP('',(#104015,#104017,#104019,#104020)); +#104022=FACE_OUTER_BOUND('',#104021,.F.); +#104024=CARTESIAN_POINT('',(-4.13E1,-1.72E1,-3.0265E1)); +#104025=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104026=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104027=AXIS2_PLACEMENT_3D('',#104024,#104025,#104026); +#104028=PLANE('',#104027); +#104029=ORIENTED_EDGE('',*,*,#104001,.F.); +#104030=ORIENTED_EDGE('',*,*,#103973,.T.); +#104032=ORIENTED_EDGE('',*,*,#104031,.T.); +#104034=ORIENTED_EDGE('',*,*,#104033,.F.); +#104035=EDGE_LOOP('',(#104029,#104030,#104032,#104034)); +#104036=FACE_OUTER_BOUND('',#104035,.F.); +#104038=CARTESIAN_POINT('',(-4.055E1,-1.72E1,-3.0895E1)); +#104039=DIRECTION('',(-1.E0,0.E0,0.E0)); +#104040=DIRECTION('',(0.E0,1.E0,0.E0)); +#104041=AXIS2_PLACEMENT_3D('',#104038,#104039,#104040); +#104042=PLANE('',#104041); +#104043=ORIENTED_EDGE('',*,*,#103971,.T.); +#104044=ORIENTED_EDGE('',*,*,#57788,.F.); +#104046=ORIENTED_EDGE('',*,*,#104045,.F.); +#104047=ORIENTED_EDGE('',*,*,#104031,.F.); +#104048=EDGE_LOOP('',(#104043,#104044,#104046,#104047)); +#104049=FACE_OUTER_BOUND('',#104048,.F.); +#104051=CARTESIAN_POINT('',(-4.055E1,-1.72E1,-3.0895E1)); +#104052=DIRECTION('',(-1.E0,0.E0,0.E0)); +#104053=DIRECTION('',(0.E0,1.E0,0.E0)); +#104054=AXIS2_PLACEMENT_3D('',#104051,#104052,#104053); +#104055=PLANE('',#104054); +#104057=ORIENTED_EDGE('',*,*,#104056,.T.); +#104058=ORIENTED_EDGE('',*,*,#57798,.F.); +#104060=ORIENTED_EDGE('',*,*,#104059,.F.); +#104062=ORIENTED_EDGE('',*,*,#104061,.F.); +#104063=EDGE_LOOP('',(#104057,#104058,#104060,#104062)); +#104064=FACE_OUTER_BOUND('',#104063,.F.); +#104066=CARTESIAN_POINT('',(-4.13E1,-1.72E1,-3.0895E1)); +#104067=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104068=DIRECTION('',(0.E0,1.E0,0.E0)); +#104069=AXIS2_PLACEMENT_3D('',#104066,#104067,#104068); +#104070=PLANE('',#104069); +#104071=ORIENTED_EDGE('',*,*,#104003,.F.); +#104072=ORIENTED_EDGE('',*,*,#104033,.T.); +#104073=ORIENTED_EDGE('',*,*,#104045,.T.); +#104074=ORIENTED_EDGE('',*,*,#57786,.F.); +#104075=EDGE_LOOP('',(#104071,#104072,#104073,#104074)); +#104076=FACE_OUTER_BOUND('',#104075,.F.); +#104078=CARTESIAN_POINT('',(-4.13E1,-1.72E1,-3.0895E1)); +#104079=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104080=DIRECTION('',(0.E0,1.E0,0.E0)); +#104081=AXIS2_PLACEMENT_3D('',#104078,#104079,#104080); +#104082=PLANE('',#104081); +#104083=ORIENTED_EDGE('',*,*,#103944,.T.); +#104084=ORIENTED_EDGE('',*,*,#58854,.T.); +#104086=ORIENTED_EDGE('',*,*,#104085,.T.); +#104088=ORIENTED_EDGE('',*,*,#104087,.T.); +#104090=ORIENTED_EDGE('',*,*,#104089,.F.); +#104091=ORIENTED_EDGE('',*,*,#103896,.F.); +#104092=EDGE_LOOP('',(#104083,#104084,#104086,#104088,#104090,#104091)); +#104093=FACE_OUTER_BOUND('',#104092,.F.); +#104095=CARTESIAN_POINT('',(-4.055E1,-1.12E1,-3.0895E1)); +#104096=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#104097=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#104098=AXIS2_PLACEMENT_3D('',#104095,#104096,#104097); +#104099=PLANE('',#104098); +#104101=ORIENTED_EDGE('',*,*,#104100,.F.); +#104102=ORIENTED_EDGE('',*,*,#104085,.F.); +#104103=ORIENTED_EDGE('',*,*,#58852,.T.); +#104104=ORIENTED_EDGE('',*,*,#103989,.T.); +#104105=EDGE_LOOP('',(#104101,#104102,#104103,#104104)); +#104106=FACE_OUTER_BOUND('',#104105,.F.); +#104108=CARTESIAN_POINT('',(-4.055E1,-1.12E1,-3.0895E1)); +#104109=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#104110=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#104111=AXIS2_PLACEMENT_3D('',#104108,#104109,#104110); +#104112=PLANE('',#104111); +#104114=ORIENTED_EDGE('',*,*,#104113,.F.); +#104116=ORIENTED_EDGE('',*,*,#104115,.F.); +#104117=ORIENTED_EDGE('',*,*,#58862,.T.); +#104119=ORIENTED_EDGE('',*,*,#104118,.T.); +#104120=EDGE_LOOP('',(#104114,#104116,#104117,#104119)); +#104121=FACE_OUTER_BOUND('',#104120,.F.); +#104123=CARTESIAN_POINT('',(-4.04E1,6.33E0,0.E0)); +#104124=DIRECTION('',(1.E0,0.E0,0.E0)); +#104125=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104126=AXIS2_PLACEMENT_3D('',#104123,#104124,#104125); +#104127=PLANE('',#104126); +#104128=ORIENTED_EDGE('',*,*,#104100,.T.); +#104129=ORIENTED_EDGE('',*,*,#103987,.F.); +#104131=ORIENTED_EDGE('',*,*,#104130,.F.); +#104133=ORIENTED_EDGE('',*,*,#104132,.F.); +#104134=ORIENTED_EDGE('',*,*,#103627,.T.); +#104136=ORIENTED_EDGE('',*,*,#104135,.F.); +#104138=ORIENTED_EDGE('',*,*,#104137,.F.); +#104139=ORIENTED_EDGE('',*,*,#104087,.F.); +#104140=EDGE_LOOP('',(#104128,#104129,#104131,#104133,#104134,#104136,#104138, +#104139)); +#104141=FACE_OUTER_BOUND('',#104140,.F.); +#104143=CARTESIAN_POINT('',(-4.04E1,6.33E0,0.E0)); +#104144=DIRECTION('',(1.E0,0.E0,0.E0)); +#104145=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104146=AXIS2_PLACEMENT_3D('',#104143,#104144,#104145); +#104147=PLANE('',#104146); +#104148=ORIENTED_EDGE('',*,*,#104113,.T.); +#104150=ORIENTED_EDGE('',*,*,#104149,.F.); +#104152=ORIENTED_EDGE('',*,*,#104151,.F.); +#104154=ORIENTED_EDGE('',*,*,#104153,.F.); +#104155=ORIENTED_EDGE('',*,*,#60056,.T.); +#104157=ORIENTED_EDGE('',*,*,#104156,.F.); +#104159=ORIENTED_EDGE('',*,*,#104158,.F.); +#104161=ORIENTED_EDGE('',*,*,#104160,.F.); +#104162=EDGE_LOOP('',(#104148,#104150,#104152,#104154,#104155,#104157,#104159, +#104161)); +#104163=FACE_OUTER_BOUND('',#104162,.F.); +#104165=CARTESIAN_POINT('',(-4.13E1,3.815E0,-2.9265E1)); +#104166=DIRECTION('',(1.E0,0.E0,0.E0)); +#104167=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104168=AXIS2_PLACEMENT_3D('',#104165,#104166,#104167); +#104169=CYLINDRICAL_SURFACE('',#104168,1.E0); +#104170=ORIENTED_EDGE('',*,*,#103905,.F.); +#104172=ORIENTED_EDGE('',*,*,#104171,.T.); +#104173=ORIENTED_EDGE('',*,*,#104130,.T.); +#104174=ORIENTED_EDGE('',*,*,#103985,.F.); +#104175=EDGE_LOOP('',(#104170,#104172,#104173,#104174)); +#104176=FACE_OUTER_BOUND('',#104175,.F.); +#104178=CARTESIAN_POINT('',(-4.13E1,4.815E0,-2.36E1)); +#104179=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104180=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104181=AXIS2_PLACEMENT_3D('',#104178,#104179,#104180); +#104182=PLANE('',#104181); +#104183=ORIENTED_EDGE('',*,*,#103629,.T.); +#104184=ORIENTED_EDGE('',*,*,#104132,.T.); +#104185=ORIENTED_EDGE('',*,*,#104171,.F.); +#104186=ORIENTED_EDGE('',*,*,#103903,.F.); +#104187=EDGE_LOOP('',(#104183,#104184,#104185,#104186)); +#104188=FACE_OUTER_BOUND('',#104187,.F.); +#104190=CARTESIAN_POINT('',(-4.13E1,5.445E0,-2.9265E1)); +#104191=DIRECTION('',(0.E0,1.E0,0.E0)); +#104192=DIRECTION('',(0.E0,0.E0,1.E0)); +#104193=AXIS2_PLACEMENT_3D('',#104190,#104191,#104192); +#104194=PLANE('',#104193); +#104195=ORIENTED_EDGE('',*,*,#103625,.F.); +#104196=ORIENTED_EDGE('',*,*,#103900,.F.); +#104198=ORIENTED_EDGE('',*,*,#104197,.T.); +#104199=ORIENTED_EDGE('',*,*,#104135,.T.); +#104200=EDGE_LOOP('',(#104195,#104196,#104198,#104199)); +#104201=FACE_OUTER_BOUND('',#104200,.F.); +#104203=CARTESIAN_POINT('',(-4.13E1,3.815E0,-2.9265E1)); +#104204=DIRECTION('',(1.E0,0.E0,0.E0)); +#104205=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104206=AXIS2_PLACEMENT_3D('',#104203,#104204,#104205); +#104207=CYLINDRICAL_SURFACE('',#104206,1.63E0); +#104208=ORIENTED_EDGE('',*,*,#103898,.F.); +#104209=ORIENTED_EDGE('',*,*,#104089,.T.); +#104210=ORIENTED_EDGE('',*,*,#104137,.T.); +#104211=ORIENTED_EDGE('',*,*,#104197,.F.); +#104212=EDGE_LOOP('',(#104208,#104209,#104210,#104211)); +#104213=FACE_OUTER_BOUND('',#104212,.F.); +#104215=CARTESIAN_POINT('',(-4.13E1,-3.285E0,-2.5185E1)); +#104216=DIRECTION('',(0.E0,0.E0,1.E0)); +#104217=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104218=AXIS2_PLACEMENT_3D('',#104215,#104216,#104217); +#104219=PLANE('',#104218); +#104220=ORIENTED_EDGE('',*,*,#104014,.T.); +#104221=ORIENTED_EDGE('',*,*,#57800,.T.); +#104222=ORIENTED_EDGE('',*,*,#104056,.F.); +#104224=ORIENTED_EDGE('',*,*,#104223,.F.); +#104225=EDGE_LOOP('',(#104220,#104221,#104222,#104224)); +#104226=FACE_OUTER_BOUND('',#104225,.F.); +#104228=CARTESIAN_POINT('',(-4.13E1,-3.285E0,-2.5185E1)); +#104229=DIRECTION('',(0.E0,0.E0,1.E0)); +#104230=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104231=AXIS2_PLACEMENT_3D('',#104228,#104229,#104230); +#104232=PLANE('',#104231); +#104233=ORIENTED_EDGE('',*,*,#103955,.F.); +#104234=ORIENTED_EDGE('',*,*,#103930,.F.); +#104236=ORIENTED_EDGE('',*,*,#104235,.T.); +#104237=ORIENTED_EDGE('',*,*,#104149,.T.); +#104238=ORIENTED_EDGE('',*,*,#104118,.F.); +#104239=ORIENTED_EDGE('',*,*,#58860,.F.); +#104240=EDGE_LOOP('',(#104233,#104234,#104236,#104237,#104238,#104239)); +#104241=FACE_OUTER_BOUND('',#104240,.F.); +#104243=CARTESIAN_POINT('',(-4.13E1,-1.72E1,-2.5185E1)); +#104244=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104245=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104246=AXIS2_PLACEMENT_3D('',#104243,#104244,#104245); +#104247=PLANE('',#104246); +#104248=ORIENTED_EDGE('',*,*,#104016,.F.); +#104249=ORIENTED_EDGE('',*,*,#104223,.T.); +#104250=ORIENTED_EDGE('',*,*,#104061,.T.); +#104252=ORIENTED_EDGE('',*,*,#104251,.F.); +#104253=EDGE_LOOP('',(#104248,#104249,#104250,#104252)); +#104254=FACE_OUTER_BOUND('',#104253,.F.); +#104256=CARTESIAN_POINT('',(-4.13E1,-1.72E1,-2.5815E1)); +#104257=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104258=DIRECTION('',(0.E0,1.E0,0.E0)); +#104259=AXIS2_PLACEMENT_3D('',#104256,#104257,#104258); +#104260=PLANE('',#104259); +#104261=ORIENTED_EDGE('',*,*,#104018,.F.); +#104262=ORIENTED_EDGE('',*,*,#104251,.T.); +#104263=ORIENTED_EDGE('',*,*,#104059,.T.); +#104264=ORIENTED_EDGE('',*,*,#57796,.F.); +#104265=EDGE_LOOP('',(#104261,#104262,#104263,#104264)); +#104266=FACE_OUTER_BOUND('',#104265,.F.); +#104268=CARTESIAN_POINT('',(-4.13E1,-1.72E1,-2.5815E1)); +#104269=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104270=DIRECTION('',(0.E0,1.E0,0.E0)); +#104271=AXIS2_PLACEMENT_3D('',#104268,#104269,#104270); +#104272=PLANE('',#104271); +#104273=ORIENTED_EDGE('',*,*,#103958,.T.); +#104274=ORIENTED_EDGE('',*,*,#58864,.T.); +#104275=ORIENTED_EDGE('',*,*,#104115,.T.); +#104276=ORIENTED_EDGE('',*,*,#104160,.T.); +#104278=ORIENTED_EDGE('',*,*,#104277,.F.); +#104279=ORIENTED_EDGE('',*,*,#103919,.F.); +#104280=EDGE_LOOP('',(#104273,#104274,#104275,#104276,#104278,#104279)); +#104281=FACE_OUTER_BOUND('',#104280,.F.); +#104283=CARTESIAN_POINT('',(-4.13E1,-3.285E0,-2.4685E1)); +#104284=DIRECTION('',(1.E0,0.E0,0.E0)); +#104285=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104286=AXIS2_PLACEMENT_3D('',#104283,#104284,#104285); +#104287=CYLINDRICAL_SURFACE('',#104286,1.13E0); +#104288=ORIENTED_EDGE('',*,*,#103921,.F.); +#104289=ORIENTED_EDGE('',*,*,#104277,.T.); +#104290=ORIENTED_EDGE('',*,*,#104158,.T.); +#104292=ORIENTED_EDGE('',*,*,#104291,.F.); +#104293=EDGE_LOOP('',(#104288,#104289,#104290,#104292)); +#104294=FACE_OUTER_BOUND('',#104293,.F.); +#104296=CARTESIAN_POINT('',(-4.13E1,-2.155E0,-2.4685E1)); +#104297=DIRECTION('',(0.E0,1.E0,0.E0)); +#104298=DIRECTION('',(0.E0,0.E0,1.E0)); +#104299=AXIS2_PLACEMENT_3D('',#104296,#104297,#104298); +#104300=PLANE('',#104299); +#104301=ORIENTED_EDGE('',*,*,#60054,.F.); +#104302=ORIENTED_EDGE('',*,*,#103923,.F.); +#104303=ORIENTED_EDGE('',*,*,#104291,.T.); +#104304=ORIENTED_EDGE('',*,*,#104156,.T.); +#104305=EDGE_LOOP('',(#104301,#104302,#104303,#104304)); +#104306=FACE_OUTER_BOUND('',#104305,.F.); +#104308=CARTESIAN_POINT('',(-4.13E1,-3.285E0,-2.4685E1)); +#104309=DIRECTION('',(1.E0,0.E0,0.E0)); +#104310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104311=AXIS2_PLACEMENT_3D('',#104308,#104309,#104310); +#104312=CYLINDRICAL_SURFACE('',#104311,5.E-1); +#104313=ORIENTED_EDGE('',*,*,#103928,.F.); +#104315=ORIENTED_EDGE('',*,*,#104314,.T.); +#104316=ORIENTED_EDGE('',*,*,#104151,.T.); +#104317=ORIENTED_EDGE('',*,*,#104235,.F.); +#104318=EDGE_LOOP('',(#104313,#104315,#104316,#104317)); +#104319=FACE_OUTER_BOUND('',#104318,.F.); +#104321=CARTESIAN_POINT('',(-4.13E1,-2.785E0,-2.36E1)); +#104322=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104323=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104324=AXIS2_PLACEMENT_3D('',#104321,#104322,#104323); +#104325=PLANE('',#104324); +#104326=ORIENTED_EDGE('',*,*,#60058,.T.); +#104327=ORIENTED_EDGE('',*,*,#104153,.T.); +#104328=ORIENTED_EDGE('',*,*,#104314,.F.); +#104329=ORIENTED_EDGE('',*,*,#103926,.F.); +#104330=EDGE_LOOP('',(#104326,#104327,#104328,#104329)); +#104331=FACE_OUTER_BOUND('',#104330,.F.); +#104333=CARTESIAN_POINT('',(-3.915E1,1.033E1,0.E0)); +#104334=DIRECTION('',(1.E0,0.E0,0.E0)); +#104335=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104336=AXIS2_PLACEMENT_3D('',#104333,#104334,#104335); +#104337=PLANE('',#104336); +#104339=ORIENTED_EDGE('',*,*,#104338,.F.); +#104341=ORIENTED_EDGE('',*,*,#104340,.T.); +#104343=ORIENTED_EDGE('',*,*,#104342,.T.); +#104345=ORIENTED_EDGE('',*,*,#104344,.T.); +#104346=ORIENTED_EDGE('',*,*,#103633,.F.); +#104348=ORIENTED_EDGE('',*,*,#104347,.T.); +#104350=ORIENTED_EDGE('',*,*,#104349,.T.); +#104352=ORIENTED_EDGE('',*,*,#104351,.T.); +#104353=EDGE_LOOP('',(#104339,#104341,#104343,#104345,#104346,#104348,#104350, +#104352)); +#104354=FACE_OUTER_BOUND('',#104353,.F.); +#104356=CARTESIAN_POINT('',(-3.915E1,1.033E1,0.E0)); +#104357=DIRECTION('',(1.E0,0.E0,0.E0)); +#104358=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104359=AXIS2_PLACEMENT_3D('',#104356,#104357,#104358); +#104360=PLANE('',#104359); +#104362=ORIENTED_EDGE('',*,*,#104361,.F.); +#104364=ORIENTED_EDGE('',*,*,#104363,.T.); +#104366=ORIENTED_EDGE('',*,*,#104365,.T.); +#104368=ORIENTED_EDGE('',*,*,#104367,.T.); +#104369=ORIENTED_EDGE('',*,*,#60062,.F.); +#104371=ORIENTED_EDGE('',*,*,#104370,.T.); +#104373=ORIENTED_EDGE('',*,*,#104372,.T.); +#104375=ORIENTED_EDGE('',*,*,#104374,.T.); +#104376=EDGE_LOOP('',(#104362,#104364,#104366,#104368,#104369,#104371,#104373, +#104375)); +#104377=FACE_OUTER_BOUND('',#104376,.F.); +#104379=CARTESIAN_POINT('',(-3.915E1,-1.094019237886E1,-3.0895E1)); +#104380=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#104381=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#104382=AXIS2_PLACEMENT_3D('',#104379,#104380,#104381); +#104383=PLANE('',#104382); +#104384=ORIENTED_EDGE('',*,*,#104338,.T.); +#104386=ORIENTED_EDGE('',*,*,#104385,.T.); +#104387=ORIENTED_EDGE('',*,*,#58886,.F.); +#104389=ORIENTED_EDGE('',*,*,#104388,.F.); +#104390=EDGE_LOOP('',(#104384,#104386,#104387,#104389)); +#104391=FACE_OUTER_BOUND('',#104390,.F.); +#104393=CARTESIAN_POINT('',(-3.915E1,-1.094019237886E1,-3.0895E1)); +#104394=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#104395=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#104396=AXIS2_PLACEMENT_3D('',#104393,#104394,#104395); +#104397=PLANE('',#104396); +#104398=ORIENTED_EDGE('',*,*,#104361,.T.); +#104400=ORIENTED_EDGE('',*,*,#104399,.T.); +#104401=ORIENTED_EDGE('',*,*,#58876,.F.); +#104403=ORIENTED_EDGE('',*,*,#104402,.F.); +#104404=EDGE_LOOP('',(#104398,#104400,#104401,#104403)); +#104405=FACE_OUTER_BOUND('',#104404,.F.); +#104407=CARTESIAN_POINT('',(-3.915E1,3.815E0,-2.7725E1)); +#104408=DIRECTION('',(0.E0,0.E0,1.E0)); +#104409=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104410=AXIS2_PLACEMENT_3D('',#104407,#104408,#104409); +#104411=PLANE('',#104410); +#104413=ORIENTED_EDGE('',*,*,#104412,.F.); +#104415=ORIENTED_EDGE('',*,*,#104414,.F.); +#104417=ORIENTED_EDGE('',*,*,#104416,.T.); +#104418=ORIENTED_EDGE('',*,*,#57820,.T.); +#104419=EDGE_LOOP('',(#104413,#104415,#104417,#104418)); +#104420=FACE_OUTER_BOUND('',#104419,.F.); +#104422=CARTESIAN_POINT('',(-3.915E1,3.815E0,-2.7725E1)); +#104423=DIRECTION('',(0.E0,0.E0,1.E0)); +#104424=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104425=AXIS2_PLACEMENT_3D('',#104422,#104423,#104424); +#104426=PLANE('',#104425); +#104428=ORIENTED_EDGE('',*,*,#104427,.F.); +#104429=ORIENTED_EDGE('',*,*,#58880,.F.); +#104430=ORIENTED_EDGE('',*,*,#104385,.F.); +#104431=ORIENTED_EDGE('',*,*,#104351,.F.); +#104433=ORIENTED_EDGE('',*,*,#104432,.T.); +#104435=ORIENTED_EDGE('',*,*,#104434,.T.); +#104436=EDGE_LOOP('',(#104428,#104429,#104430,#104431,#104433,#104435)); +#104437=FACE_OUTER_BOUND('',#104436,.F.); +#104439=CARTESIAN_POINT('',(-3.84E1,-1.72E1,-3.0895E1)); +#104440=DIRECTION('',(-1.E0,0.E0,0.E0)); +#104441=DIRECTION('',(0.E0,1.E0,0.E0)); +#104442=AXIS2_PLACEMENT_3D('',#104439,#104440,#104441); +#104443=PLANE('',#104442); +#104445=ORIENTED_EDGE('',*,*,#104444,.T.); +#104446=ORIENTED_EDGE('',*,*,#57808,.F.); +#104448=ORIENTED_EDGE('',*,*,#104447,.F.); +#104450=ORIENTED_EDGE('',*,*,#104449,.F.); +#104451=EDGE_LOOP('',(#104445,#104446,#104448,#104450)); +#104452=FACE_OUTER_BOUND('',#104451,.F.); +#104454=CARTESIAN_POINT('',(-3.84E1,-1.72E1,-3.0895E1)); +#104455=DIRECTION('',(-1.E0,0.E0,0.E0)); +#104456=DIRECTION('',(0.E0,1.E0,0.E0)); +#104457=AXIS2_PLACEMENT_3D('',#104454,#104455,#104456); +#104458=PLANE('',#104457); +#104459=ORIENTED_EDGE('',*,*,#104412,.T.); +#104460=ORIENTED_EDGE('',*,*,#57818,.F.); +#104462=ORIENTED_EDGE('',*,*,#104461,.F.); +#104464=ORIENTED_EDGE('',*,*,#104463,.F.); +#104465=EDGE_LOOP('',(#104459,#104460,#104462,#104464)); +#104466=FACE_OUTER_BOUND('',#104465,.F.); +#104468=CARTESIAN_POINT('',(-3.915E1,-3.285E0,-2.5185E1)); +#104469=DIRECTION('',(0.E0,0.E0,1.E0)); +#104470=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104471=AXIS2_PLACEMENT_3D('',#104468,#104469,#104470); +#104472=PLANE('',#104471); +#104473=ORIENTED_EDGE('',*,*,#104444,.F.); +#104475=ORIENTED_EDGE('',*,*,#104474,.F.); +#104477=ORIENTED_EDGE('',*,*,#104476,.T.); +#104478=ORIENTED_EDGE('',*,*,#57810,.T.); +#104479=EDGE_LOOP('',(#104473,#104475,#104477,#104478)); +#104480=FACE_OUTER_BOUND('',#104479,.F.); +#104482=CARTESIAN_POINT('',(-3.915E1,-3.285E0,-2.5185E1)); +#104483=DIRECTION('',(0.E0,0.E0,1.E0)); +#104484=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104485=AXIS2_PLACEMENT_3D('',#104482,#104483,#104484); +#104486=PLANE('',#104485); +#104488=ORIENTED_EDGE('',*,*,#104487,.F.); +#104489=ORIENTED_EDGE('',*,*,#58870,.F.); +#104490=ORIENTED_EDGE('',*,*,#104399,.F.); +#104491=ORIENTED_EDGE('',*,*,#104374,.F.); +#104493=ORIENTED_EDGE('',*,*,#104492,.T.); +#104495=ORIENTED_EDGE('',*,*,#104494,.T.); +#104496=EDGE_LOOP('',(#104488,#104489,#104490,#104491,#104493,#104495)); +#104497=FACE_OUTER_BOUND('',#104496,.F.); +#104499=CARTESIAN_POINT('',(-3.915E1,-1.72E1,-2.5185E1)); +#104500=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104501=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104502=AXIS2_PLACEMENT_3D('',#104499,#104500,#104501); +#104503=PLANE('',#104502); +#104504=ORIENTED_EDGE('',*,*,#104449,.T.); +#104506=ORIENTED_EDGE('',*,*,#104505,.F.); +#104508=ORIENTED_EDGE('',*,*,#104507,.F.); +#104509=ORIENTED_EDGE('',*,*,#104474,.T.); +#104510=EDGE_LOOP('',(#104504,#104506,#104508,#104509)); +#104511=FACE_OUTER_BOUND('',#104510,.F.); +#104513=CARTESIAN_POINT('',(-3.915E1,-1.72E1,-2.5815E1)); +#104514=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104515=DIRECTION('',(0.E0,1.E0,0.E0)); +#104516=AXIS2_PLACEMENT_3D('',#104513,#104514,#104515); +#104517=PLANE('',#104516); +#104518=ORIENTED_EDGE('',*,*,#104447,.T.); +#104519=ORIENTED_EDGE('',*,*,#57806,.F.); +#104521=ORIENTED_EDGE('',*,*,#104520,.F.); +#104522=ORIENTED_EDGE('',*,*,#104505,.T.); +#104523=EDGE_LOOP('',(#104518,#104519,#104521,#104522)); +#104524=FACE_OUTER_BOUND('',#104523,.F.); +#104526=CARTESIAN_POINT('',(-3.915E1,-1.72E1,-2.5815E1)); +#104527=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104528=DIRECTION('',(0.E0,1.E0,0.E0)); +#104529=AXIS2_PLACEMENT_3D('',#104526,#104527,#104528); +#104530=PLANE('',#104529); +#104532=ORIENTED_EDGE('',*,*,#104531,.T.); +#104534=ORIENTED_EDGE('',*,*,#104533,.T.); +#104536=ORIENTED_EDGE('',*,*,#104535,.F.); +#104537=ORIENTED_EDGE('',*,*,#104363,.F.); +#104538=ORIENTED_EDGE('',*,*,#104402,.T.); +#104539=ORIENTED_EDGE('',*,*,#58874,.T.); +#104540=EDGE_LOOP('',(#104532,#104534,#104536,#104537,#104538,#104539)); +#104541=FACE_OUTER_BOUND('',#104540,.F.); +#104543=CARTESIAN_POINT('',(-3.9E1,-1.35E1,-3.0895E1)); +#104544=DIRECTION('',(1.E0,0.E0,0.E0)); +#104545=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104546=AXIS2_PLACEMENT_3D('',#104543,#104544,#104545); +#104547=PLANE('',#104546); +#104548=ORIENTED_EDGE('',*,*,#104476,.F.); +#104549=ORIENTED_EDGE('',*,*,#104507,.T.); +#104550=ORIENTED_EDGE('',*,*,#104520,.T.); +#104551=ORIENTED_EDGE('',*,*,#57812,.T.); +#104552=EDGE_LOOP('',(#104548,#104549,#104550,#104551)); +#104553=FACE_OUTER_BOUND('',#104552,.F.); +#104555=CARTESIAN_POINT('',(-3.9E1,-1.35E1,-3.0895E1)); +#104556=DIRECTION('',(1.E0,0.E0,0.E0)); +#104557=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104558=AXIS2_PLACEMENT_3D('',#104555,#104556,#104557); +#104559=PLANE('',#104558); +#104560=ORIENTED_EDGE('',*,*,#104416,.F.); +#104562=ORIENTED_EDGE('',*,*,#104561,.T.); +#104564=ORIENTED_EDGE('',*,*,#104563,.T.); +#104565=ORIENTED_EDGE('',*,*,#57822,.T.); +#104566=EDGE_LOOP('',(#104560,#104562,#104564,#104565)); +#104567=FACE_OUTER_BOUND('',#104566,.F.); +#104569=CARTESIAN_POINT('',(-3.915E1,-1.72E1,-2.7725E1)); +#104570=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104571=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104572=AXIS2_PLACEMENT_3D('',#104569,#104570,#104571); +#104573=PLANE('',#104572); +#104574=ORIENTED_EDGE('',*,*,#104463,.T.); +#104576=ORIENTED_EDGE('',*,*,#104575,.F.); +#104577=ORIENTED_EDGE('',*,*,#104561,.F.); +#104578=ORIENTED_EDGE('',*,*,#104414,.T.); +#104579=EDGE_LOOP('',(#104574,#104576,#104577,#104578)); +#104580=FACE_OUTER_BOUND('',#104579,.F.); +#104582=CARTESIAN_POINT('',(-3.915E1,-1.72E1,-2.8355E1)); +#104583=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104584=DIRECTION('',(0.E0,1.E0,0.E0)); +#104585=AXIS2_PLACEMENT_3D('',#104582,#104583,#104584); +#104586=PLANE('',#104585); +#104587=ORIENTED_EDGE('',*,*,#104461,.T.); +#104588=ORIENTED_EDGE('',*,*,#57816,.F.); +#104589=ORIENTED_EDGE('',*,*,#104563,.F.); +#104590=ORIENTED_EDGE('',*,*,#104575,.T.); +#104591=EDGE_LOOP('',(#104587,#104588,#104589,#104590)); +#104592=FACE_OUTER_BOUND('',#104591,.F.); +#104594=CARTESIAN_POINT('',(-3.915E1,-1.72E1,-2.8355E1)); +#104595=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104596=DIRECTION('',(0.E0,1.E0,0.E0)); +#104597=AXIS2_PLACEMENT_3D('',#104594,#104595,#104596); +#104598=PLANE('',#104597); +#104600=ORIENTED_EDGE('',*,*,#104599,.T.); +#104602=ORIENTED_EDGE('',*,*,#104601,.T.); +#104604=ORIENTED_EDGE('',*,*,#104603,.F.); +#104605=ORIENTED_EDGE('',*,*,#104340,.F.); +#104606=ORIENTED_EDGE('',*,*,#104388,.T.); +#104607=ORIENTED_EDGE('',*,*,#58884,.T.); +#104608=EDGE_LOOP('',(#104600,#104602,#104604,#104605,#104606,#104607)); +#104609=FACE_OUTER_BOUND('',#104608,.F.); +#104611=CARTESIAN_POINT('',(-3.84E1,-1.12E1,-3.0895E1)); +#104612=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#104613=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#104614=AXIS2_PLACEMENT_3D('',#104611,#104612,#104613); +#104615=PLANE('',#104614); +#104617=ORIENTED_EDGE('',*,*,#104616,.F.); +#104618=ORIENTED_EDGE('',*,*,#104599,.F.); +#104619=ORIENTED_EDGE('',*,*,#58882,.T.); +#104620=ORIENTED_EDGE('',*,*,#104427,.T.); +#104621=EDGE_LOOP('',(#104617,#104618,#104619,#104620)); +#104622=FACE_OUTER_BOUND('',#104621,.F.); +#104624=CARTESIAN_POINT('',(-3.84E1,-1.12E1,-3.0895E1)); +#104625=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#104626=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#104627=AXIS2_PLACEMENT_3D('',#104624,#104625,#104626); +#104628=PLANE('',#104627); +#104630=ORIENTED_EDGE('',*,*,#104629,.F.); +#104631=ORIENTED_EDGE('',*,*,#104531,.F.); +#104632=ORIENTED_EDGE('',*,*,#58872,.T.); +#104633=ORIENTED_EDGE('',*,*,#104487,.T.); +#104634=EDGE_LOOP('',(#104630,#104631,#104632,#104633)); +#104635=FACE_OUTER_BOUND('',#104634,.F.); +#104637=CARTESIAN_POINT('',(-3.825E1,1.033E1,0.E0)); +#104638=DIRECTION('',(1.E0,0.E0,0.E0)); +#104639=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104640=AXIS2_PLACEMENT_3D('',#104637,#104638,#104639); +#104641=PLANE('',#104640); +#104642=ORIENTED_EDGE('',*,*,#104616,.T.); +#104643=ORIENTED_EDGE('',*,*,#104434,.F.); +#104645=ORIENTED_EDGE('',*,*,#104644,.F.); +#104647=ORIENTED_EDGE('',*,*,#104646,.F.); +#104648=ORIENTED_EDGE('',*,*,#103637,.T.); +#104650=ORIENTED_EDGE('',*,*,#104649,.F.); +#104652=ORIENTED_EDGE('',*,*,#104651,.F.); +#104653=ORIENTED_EDGE('',*,*,#104601,.F.); +#104654=EDGE_LOOP('',(#104642,#104643,#104645,#104647,#104648,#104650,#104652, +#104653)); +#104655=FACE_OUTER_BOUND('',#104654,.F.); +#104657=CARTESIAN_POINT('',(-3.825E1,1.033E1,0.E0)); +#104658=DIRECTION('',(1.E0,0.E0,0.E0)); +#104659=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104660=AXIS2_PLACEMENT_3D('',#104657,#104658,#104659); +#104661=PLANE('',#104660); +#104662=ORIENTED_EDGE('',*,*,#104629,.T.); +#104663=ORIENTED_EDGE('',*,*,#104494,.F.); +#104665=ORIENTED_EDGE('',*,*,#104664,.F.); +#104667=ORIENTED_EDGE('',*,*,#104666,.F.); +#104668=ORIENTED_EDGE('',*,*,#60066,.T.); +#104670=ORIENTED_EDGE('',*,*,#104669,.F.); +#104672=ORIENTED_EDGE('',*,*,#104671,.F.); +#104673=ORIENTED_EDGE('',*,*,#104533,.F.); +#104674=EDGE_LOOP('',(#104662,#104663,#104665,#104667,#104668,#104670,#104672, +#104673)); +#104675=FACE_OUTER_BOUND('',#104674,.F.); +#104677=CARTESIAN_POINT('',(-3.915E1,3.815E0,-2.6725E1)); +#104678=DIRECTION('',(1.E0,0.E0,0.E0)); +#104679=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104680=AXIS2_PLACEMENT_3D('',#104677,#104678,#104679); +#104681=CYLINDRICAL_SURFACE('',#104680,1.E0); +#104682=ORIENTED_EDGE('',*,*,#104349,.F.); +#104684=ORIENTED_EDGE('',*,*,#104683,.T.); +#104685=ORIENTED_EDGE('',*,*,#104644,.T.); +#104686=ORIENTED_EDGE('',*,*,#104432,.F.); +#104687=EDGE_LOOP('',(#104682,#104684,#104685,#104686)); +#104688=FACE_OUTER_BOUND('',#104687,.F.); +#104690=CARTESIAN_POINT('',(-3.915E1,4.815E0,-2.36E1)); +#104691=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104692=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104693=AXIS2_PLACEMENT_3D('',#104690,#104691,#104692); +#104694=PLANE('',#104693); +#104695=ORIENTED_EDGE('',*,*,#103639,.T.); +#104696=ORIENTED_EDGE('',*,*,#104646,.T.); +#104697=ORIENTED_EDGE('',*,*,#104683,.F.); +#104698=ORIENTED_EDGE('',*,*,#104347,.F.); +#104699=EDGE_LOOP('',(#104695,#104696,#104697,#104698)); +#104700=FACE_OUTER_BOUND('',#104699,.F.); +#104702=CARTESIAN_POINT('',(-3.915E1,5.445E0,-2.6725E1)); +#104703=DIRECTION('',(0.E0,1.E0,0.E0)); +#104704=DIRECTION('',(0.E0,0.E0,1.E0)); +#104705=AXIS2_PLACEMENT_3D('',#104702,#104703,#104704); +#104706=PLANE('',#104705); +#104707=ORIENTED_EDGE('',*,*,#103635,.F.); +#104708=ORIENTED_EDGE('',*,*,#104344,.F.); +#104710=ORIENTED_EDGE('',*,*,#104709,.T.); +#104711=ORIENTED_EDGE('',*,*,#104649,.T.); +#104712=EDGE_LOOP('',(#104707,#104708,#104710,#104711)); +#104713=FACE_OUTER_BOUND('',#104712,.F.); +#104715=CARTESIAN_POINT('',(-3.915E1,3.815E0,-2.6725E1)); +#104716=DIRECTION('',(1.E0,0.E0,0.E0)); +#104717=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104718=AXIS2_PLACEMENT_3D('',#104715,#104716,#104717); +#104719=CYLINDRICAL_SURFACE('',#104718,1.63E0); +#104720=ORIENTED_EDGE('',*,*,#104342,.F.); +#104721=ORIENTED_EDGE('',*,*,#104603,.T.); +#104722=ORIENTED_EDGE('',*,*,#104651,.T.); +#104723=ORIENTED_EDGE('',*,*,#104709,.F.); +#104724=EDGE_LOOP('',(#104720,#104721,#104722,#104723)); +#104725=FACE_OUTER_BOUND('',#104724,.F.); +#104727=CARTESIAN_POINT('',(-3.915E1,-3.285E0,-2.4685E1)); +#104728=DIRECTION('',(1.E0,0.E0,0.E0)); +#104729=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104730=AXIS2_PLACEMENT_3D('',#104727,#104728,#104729); +#104731=CYLINDRICAL_SURFACE('',#104730,5.E-1); +#104732=ORIENTED_EDGE('',*,*,#104372,.F.); +#104734=ORIENTED_EDGE('',*,*,#104733,.T.); +#104735=ORIENTED_EDGE('',*,*,#104664,.T.); +#104736=ORIENTED_EDGE('',*,*,#104492,.F.); +#104737=EDGE_LOOP('',(#104732,#104734,#104735,#104736)); +#104738=FACE_OUTER_BOUND('',#104737,.F.); +#104740=CARTESIAN_POINT('',(-3.915E1,-2.785E0,-2.36E1)); +#104741=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104742=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104743=AXIS2_PLACEMENT_3D('',#104740,#104741,#104742); +#104744=PLANE('',#104743); +#104745=ORIENTED_EDGE('',*,*,#60068,.T.); +#104746=ORIENTED_EDGE('',*,*,#104666,.T.); +#104747=ORIENTED_EDGE('',*,*,#104733,.F.); +#104748=ORIENTED_EDGE('',*,*,#104370,.F.); +#104749=EDGE_LOOP('',(#104745,#104746,#104747,#104748)); +#104750=FACE_OUTER_BOUND('',#104749,.F.); +#104752=CARTESIAN_POINT('',(-3.915E1,-2.155E0,-2.4685E1)); +#104753=DIRECTION('',(0.E0,1.E0,0.E0)); +#104754=DIRECTION('',(0.E0,0.E0,1.E0)); +#104755=AXIS2_PLACEMENT_3D('',#104752,#104753,#104754); +#104756=PLANE('',#104755); +#104757=ORIENTED_EDGE('',*,*,#60064,.F.); +#104758=ORIENTED_EDGE('',*,*,#104367,.F.); +#104760=ORIENTED_EDGE('',*,*,#104759,.T.); +#104761=ORIENTED_EDGE('',*,*,#104669,.T.); +#104762=EDGE_LOOP('',(#104757,#104758,#104760,#104761)); +#104763=FACE_OUTER_BOUND('',#104762,.F.); +#104765=CARTESIAN_POINT('',(-3.915E1,-3.285E0,-2.4685E1)); +#104766=DIRECTION('',(1.E0,0.E0,0.E0)); +#104767=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104768=AXIS2_PLACEMENT_3D('',#104765,#104766,#104767); +#104769=CYLINDRICAL_SURFACE('',#104768,1.13E0); +#104770=ORIENTED_EDGE('',*,*,#104365,.F.); +#104771=ORIENTED_EDGE('',*,*,#104535,.T.); +#104772=ORIENTED_EDGE('',*,*,#104671,.T.); +#104773=ORIENTED_EDGE('',*,*,#104759,.F.); +#104774=EDGE_LOOP('',(#104770,#104771,#104772,#104773)); +#104775=FACE_OUTER_BOUND('',#104774,.F.); +#104777=CARTESIAN_POINT('',(-3.7E1,6.33E0,0.E0)); +#104778=DIRECTION('',(1.E0,0.E0,0.E0)); +#104779=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104780=AXIS2_PLACEMENT_3D('',#104777,#104778,#104779); +#104781=PLANE('',#104780); +#104783=ORIENTED_EDGE('',*,*,#104782,.F.); +#104785=ORIENTED_EDGE('',*,*,#104784,.T.); +#104787=ORIENTED_EDGE('',*,*,#104786,.T.); +#104789=ORIENTED_EDGE('',*,*,#104788,.T.); +#104790=ORIENTED_EDGE('',*,*,#103643,.F.); +#104792=ORIENTED_EDGE('',*,*,#104791,.T.); +#104794=ORIENTED_EDGE('',*,*,#104793,.T.); +#104796=ORIENTED_EDGE('',*,*,#104795,.T.); +#104797=EDGE_LOOP('',(#104783,#104785,#104787,#104789,#104790,#104792,#104794, +#104796)); +#104798=FACE_OUTER_BOUND('',#104797,.F.); +#104800=CARTESIAN_POINT('',(-3.7E1,6.33E0,0.E0)); +#104801=DIRECTION('',(1.E0,0.E0,0.E0)); +#104802=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104803=AXIS2_PLACEMENT_3D('',#104800,#104801,#104802); +#104804=PLANE('',#104803); +#104806=ORIENTED_EDGE('',*,*,#104805,.F.); +#104808=ORIENTED_EDGE('',*,*,#104807,.T.); +#104810=ORIENTED_EDGE('',*,*,#104809,.T.); +#104812=ORIENTED_EDGE('',*,*,#104811,.T.); +#104813=ORIENTED_EDGE('',*,*,#60072,.F.); +#104815=ORIENTED_EDGE('',*,*,#104814,.T.); +#104817=ORIENTED_EDGE('',*,*,#104816,.T.); +#104819=ORIENTED_EDGE('',*,*,#104818,.T.); +#104820=EDGE_LOOP('',(#104806,#104808,#104810,#104812,#104813,#104815,#104817, +#104819)); +#104821=FACE_OUTER_BOUND('',#104820,.F.); +#104823=CARTESIAN_POINT('',(-3.7E1,-1.094019237886E1,-3.0895E1)); +#104824=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#104825=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#104826=AXIS2_PLACEMENT_3D('',#104823,#104824,#104825); +#104827=PLANE('',#104826); +#104828=ORIENTED_EDGE('',*,*,#58896,.F.); +#104830=ORIENTED_EDGE('',*,*,#104829,.F.); +#104831=ORIENTED_EDGE('',*,*,#104782,.T.); +#104833=ORIENTED_EDGE('',*,*,#104832,.T.); +#104834=EDGE_LOOP('',(#104828,#104830,#104831,#104833)); +#104835=FACE_OUTER_BOUND('',#104834,.F.); +#104837=CARTESIAN_POINT('',(-3.7E1,-1.094019237886E1,-3.0895E1)); +#104838=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#104839=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#104840=AXIS2_PLACEMENT_3D('',#104837,#104838,#104839); +#104841=PLANE('',#104840); +#104842=ORIENTED_EDGE('',*,*,#58906,.F.); +#104844=ORIENTED_EDGE('',*,*,#104843,.F.); +#104845=ORIENTED_EDGE('',*,*,#104805,.T.); +#104847=ORIENTED_EDGE('',*,*,#104846,.T.); +#104848=EDGE_LOOP('',(#104842,#104844,#104845,#104847)); +#104849=FACE_OUTER_BOUND('',#104848,.F.); +#104851=CARTESIAN_POINT('',(-3.7E1,-1.72E1,-3.0895E1)); +#104852=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104853=DIRECTION('',(0.E0,1.E0,0.E0)); +#104854=AXIS2_PLACEMENT_3D('',#104851,#104852,#104853); +#104855=PLANE('',#104854); +#104857=ORIENTED_EDGE('',*,*,#104856,.F.); +#104859=ORIENTED_EDGE('',*,*,#104858,.T.); +#104861=ORIENTED_EDGE('',*,*,#104860,.T.); +#104862=ORIENTED_EDGE('',*,*,#57826,.F.); +#104863=EDGE_LOOP('',(#104857,#104859,#104861,#104862)); +#104864=FACE_OUTER_BOUND('',#104863,.F.); +#104866=CARTESIAN_POINT('',(-3.7E1,-1.72E1,-3.0895E1)); +#104867=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104868=DIRECTION('',(0.E0,1.E0,0.E0)); +#104869=AXIS2_PLACEMENT_3D('',#104866,#104867,#104868); +#104870=PLANE('',#104869); +#104871=ORIENTED_EDGE('',*,*,#104829,.T.); +#104872=ORIENTED_EDGE('',*,*,#58894,.T.); +#104874=ORIENTED_EDGE('',*,*,#104873,.T.); +#104876=ORIENTED_EDGE('',*,*,#104875,.T.); +#104878=ORIENTED_EDGE('',*,*,#104877,.F.); +#104879=ORIENTED_EDGE('',*,*,#104784,.F.); +#104880=EDGE_LOOP('',(#104871,#104872,#104874,#104876,#104878,#104879)); +#104881=FACE_OUTER_BOUND('',#104880,.F.); +#104883=CARTESIAN_POINT('',(-3.685E1,-1.35E1,-3.0895E1)); +#104884=DIRECTION('',(1.E0,0.E0,0.E0)); +#104885=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104886=AXIS2_PLACEMENT_3D('',#104883,#104884,#104885); +#104887=PLANE('',#104886); +#104888=ORIENTED_EDGE('',*,*,#57832,.T.); +#104890=ORIENTED_EDGE('',*,*,#104889,.F.); +#104892=ORIENTED_EDGE('',*,*,#104891,.T.); +#104893=ORIENTED_EDGE('',*,*,#104856,.T.); +#104894=EDGE_LOOP('',(#104888,#104890,#104892,#104893)); +#104895=FACE_OUTER_BOUND('',#104894,.F.); +#104897=CARTESIAN_POINT('',(-3.685E1,-1.35E1,-3.0895E1)); +#104898=DIRECTION('',(1.E0,0.E0,0.E0)); +#104899=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104900=AXIS2_PLACEMENT_3D('',#104897,#104898,#104899); +#104901=PLANE('',#104900); +#104902=ORIENTED_EDGE('',*,*,#57842,.T.); +#104904=ORIENTED_EDGE('',*,*,#104903,.F.); +#104906=ORIENTED_EDGE('',*,*,#104905,.T.); +#104908=ORIENTED_EDGE('',*,*,#104907,.T.); +#104909=EDGE_LOOP('',(#104902,#104904,#104906,#104908)); +#104910=FACE_OUTER_BOUND('',#104909,.F.); +#104912=CARTESIAN_POINT('',(-3.7E1,3.815E0,-3.0265E1)); +#104913=DIRECTION('',(0.E0,0.E0,1.E0)); +#104914=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104915=AXIS2_PLACEMENT_3D('',#104912,#104913,#104914); +#104916=PLANE('',#104915); +#104917=ORIENTED_EDGE('',*,*,#104889,.T.); +#104918=ORIENTED_EDGE('',*,*,#57830,.T.); +#104920=ORIENTED_EDGE('',*,*,#104919,.F.); +#104922=ORIENTED_EDGE('',*,*,#104921,.F.); +#104923=EDGE_LOOP('',(#104917,#104918,#104920,#104922)); +#104924=FACE_OUTER_BOUND('',#104923,.F.); +#104926=CARTESIAN_POINT('',(-3.7E1,3.815E0,-3.0265E1)); +#104927=DIRECTION('',(0.E0,0.E0,1.E0)); +#104928=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104929=AXIS2_PLACEMENT_3D('',#104926,#104927,#104928); +#104930=PLANE('',#104929); +#104931=ORIENTED_EDGE('',*,*,#104832,.F.); +#104932=ORIENTED_EDGE('',*,*,#104795,.F.); +#104934=ORIENTED_EDGE('',*,*,#104933,.T.); +#104936=ORIENTED_EDGE('',*,*,#104935,.T.); +#104938=ORIENTED_EDGE('',*,*,#104937,.F.); +#104939=ORIENTED_EDGE('',*,*,#58890,.F.); +#104940=EDGE_LOOP('',(#104931,#104932,#104934,#104936,#104938,#104939)); +#104941=FACE_OUTER_BOUND('',#104940,.F.); +#104943=CARTESIAN_POINT('',(-3.625E1,-1.72E1,-3.0895E1)); +#104944=DIRECTION('',(-1.E0,0.E0,0.E0)); +#104945=DIRECTION('',(0.E0,1.E0,0.E0)); +#104946=AXIS2_PLACEMENT_3D('',#104943,#104944,#104945); +#104947=PLANE('',#104946); +#104948=ORIENTED_EDGE('',*,*,#57828,.F.); +#104949=ORIENTED_EDGE('',*,*,#104860,.F.); +#104951=ORIENTED_EDGE('',*,*,#104950,.F.); +#104952=ORIENTED_EDGE('',*,*,#104919,.T.); +#104953=EDGE_LOOP('',(#104948,#104949,#104951,#104952)); +#104954=FACE_OUTER_BOUND('',#104953,.F.); +#104956=CARTESIAN_POINT('',(-3.625E1,-1.72E1,-3.0895E1)); +#104957=DIRECTION('',(-1.E0,0.E0,0.E0)); +#104958=DIRECTION('',(0.E0,1.E0,0.E0)); +#104959=AXIS2_PLACEMENT_3D('',#104956,#104957,#104958); +#104960=PLANE('',#104959); +#104961=ORIENTED_EDGE('',*,*,#57838,.F.); +#104963=ORIENTED_EDGE('',*,*,#104962,.F.); +#104965=ORIENTED_EDGE('',*,*,#104964,.F.); +#104967=ORIENTED_EDGE('',*,*,#104966,.T.); +#104968=EDGE_LOOP('',(#104961,#104963,#104965,#104967)); +#104969=FACE_OUTER_BOUND('',#104968,.F.); +#104971=CARTESIAN_POINT('',(-3.7E1,-1.72E1,-3.0265E1)); +#104972=DIRECTION('',(0.E0,-1.E0,0.E0)); +#104973=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104974=AXIS2_PLACEMENT_3D('',#104971,#104972,#104973); +#104975=PLANE('',#104974); +#104976=ORIENTED_EDGE('',*,*,#104891,.F.); +#104977=ORIENTED_EDGE('',*,*,#104921,.T.); +#104978=ORIENTED_EDGE('',*,*,#104950,.T.); +#104979=ORIENTED_EDGE('',*,*,#104858,.F.); +#104980=EDGE_LOOP('',(#104976,#104977,#104978,#104979)); +#104981=FACE_OUTER_BOUND('',#104980,.F.); +#104983=CARTESIAN_POINT('',(-3.7E1,-1.72E1,-2.5815E1)); +#104984=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104985=DIRECTION('',(0.E0,1.E0,0.E0)); +#104986=AXIS2_PLACEMENT_3D('',#104983,#104984,#104985); +#104987=PLANE('',#104986); +#104988=ORIENTED_EDGE('',*,*,#104907,.F.); +#104990=ORIENTED_EDGE('',*,*,#104989,.T.); +#104991=ORIENTED_EDGE('',*,*,#104962,.T.); +#104992=ORIENTED_EDGE('',*,*,#57836,.F.); +#104993=EDGE_LOOP('',(#104988,#104990,#104991,#104992)); +#104994=FACE_OUTER_BOUND('',#104993,.F.); +#104996=CARTESIAN_POINT('',(-3.7E1,-1.72E1,-2.5815E1)); +#104997=DIRECTION('',(0.E0,0.E0,-1.E0)); +#104998=DIRECTION('',(0.E0,1.E0,0.E0)); +#104999=AXIS2_PLACEMENT_3D('',#104996,#104997,#104998); +#105000=PLANE('',#104999); +#105001=ORIENTED_EDGE('',*,*,#104843,.T.); +#105002=ORIENTED_EDGE('',*,*,#58904,.T.); +#105004=ORIENTED_EDGE('',*,*,#105003,.T.); +#105006=ORIENTED_EDGE('',*,*,#105005,.T.); +#105008=ORIENTED_EDGE('',*,*,#105007,.F.); +#105009=ORIENTED_EDGE('',*,*,#104807,.F.); +#105010=EDGE_LOOP('',(#105001,#105002,#105004,#105006,#105008,#105009)); +#105011=FACE_OUTER_BOUND('',#105010,.F.); +#105013=CARTESIAN_POINT('',(-3.7E1,-1.72E1,-2.5185E1)); +#105014=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105015=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105016=AXIS2_PLACEMENT_3D('',#105013,#105014,#105015); +#105017=PLANE('',#105016); +#105018=ORIENTED_EDGE('',*,*,#104905,.F.); +#105020=ORIENTED_EDGE('',*,*,#105019,.T.); +#105021=ORIENTED_EDGE('',*,*,#104964,.T.); +#105022=ORIENTED_EDGE('',*,*,#104989,.F.); +#105023=EDGE_LOOP('',(#105018,#105020,#105021,#105022)); +#105024=FACE_OUTER_BOUND('',#105023,.F.); +#105026=CARTESIAN_POINT('',(-3.7E1,-3.285E0,-2.5185E1)); +#105027=DIRECTION('',(0.E0,0.E0,1.E0)); +#105028=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105029=AXIS2_PLACEMENT_3D('',#105026,#105027,#105028); +#105030=PLANE('',#105029); +#105031=ORIENTED_EDGE('',*,*,#104903,.T.); +#105032=ORIENTED_EDGE('',*,*,#57840,.T.); +#105033=ORIENTED_EDGE('',*,*,#104966,.F.); +#105034=ORIENTED_EDGE('',*,*,#105019,.F.); +#105035=EDGE_LOOP('',(#105031,#105032,#105033,#105034)); +#105036=FACE_OUTER_BOUND('',#105035,.F.); +#105038=CARTESIAN_POINT('',(-3.7E1,-3.285E0,-2.5185E1)); +#105039=DIRECTION('',(0.E0,0.E0,1.E0)); +#105040=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105041=AXIS2_PLACEMENT_3D('',#105038,#105039,#105040); +#105042=PLANE('',#105041); +#105043=ORIENTED_EDGE('',*,*,#104846,.F.); +#105044=ORIENTED_EDGE('',*,*,#104818,.F.); +#105046=ORIENTED_EDGE('',*,*,#105045,.T.); +#105048=ORIENTED_EDGE('',*,*,#105047,.T.); +#105050=ORIENTED_EDGE('',*,*,#105049,.F.); +#105051=ORIENTED_EDGE('',*,*,#58900,.F.); +#105052=EDGE_LOOP('',(#105043,#105044,#105046,#105048,#105050,#105051)); +#105053=FACE_OUTER_BOUND('',#105052,.F.); +#105055=CARTESIAN_POINT('',(-3.7E1,-3.285E0,-2.4685E1)); +#105056=DIRECTION('',(1.E0,0.E0,0.E0)); +#105057=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105058=AXIS2_PLACEMENT_3D('',#105055,#105056,#105057); +#105059=CYLINDRICAL_SURFACE('',#105058,5.E-1); +#105060=ORIENTED_EDGE('',*,*,#104816,.F.); +#105062=ORIENTED_EDGE('',*,*,#105061,.T.); +#105064=ORIENTED_EDGE('',*,*,#105063,.T.); +#105065=ORIENTED_EDGE('',*,*,#105045,.F.); +#105066=EDGE_LOOP('',(#105060,#105062,#105064,#105065)); +#105067=FACE_OUTER_BOUND('',#105066,.F.); +#105069=CARTESIAN_POINT('',(-3.7E1,-2.785E0,-2.36E1)); +#105070=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105071=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105072=AXIS2_PLACEMENT_3D('',#105069,#105070,#105071); +#105073=PLANE('',#105072); +#105074=ORIENTED_EDGE('',*,*,#104814,.F.); +#105075=ORIENTED_EDGE('',*,*,#60078,.T.); +#105077=ORIENTED_EDGE('',*,*,#105076,.T.); +#105078=ORIENTED_EDGE('',*,*,#105061,.F.); +#105079=EDGE_LOOP('',(#105074,#105075,#105077,#105078)); +#105080=FACE_OUTER_BOUND('',#105079,.F.); +#105082=CARTESIAN_POINT('',(-3.61E1,6.33E0,0.E0)); +#105083=DIRECTION('',(1.E0,0.E0,0.E0)); +#105084=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105085=AXIS2_PLACEMENT_3D('',#105082,#105083,#105084); +#105086=PLANE('',#105085); +#105088=ORIENTED_EDGE('',*,*,#105087,.T.); +#105089=ORIENTED_EDGE('',*,*,#104935,.F.); +#105091=ORIENTED_EDGE('',*,*,#105090,.F.); +#105093=ORIENTED_EDGE('',*,*,#105092,.F.); +#105094=ORIENTED_EDGE('',*,*,#103647,.T.); +#105096=ORIENTED_EDGE('',*,*,#105095,.F.); +#105098=ORIENTED_EDGE('',*,*,#105097,.F.); +#105099=ORIENTED_EDGE('',*,*,#104875,.F.); +#105100=EDGE_LOOP('',(#105088,#105089,#105091,#105093,#105094,#105096,#105098, +#105099)); +#105101=FACE_OUTER_BOUND('',#105100,.F.); +#105103=CARTESIAN_POINT('',(-3.61E1,6.33E0,0.E0)); +#105104=DIRECTION('',(1.E0,0.E0,0.E0)); +#105105=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105106=AXIS2_PLACEMENT_3D('',#105103,#105104,#105105); +#105107=PLANE('',#105106); +#105109=ORIENTED_EDGE('',*,*,#105108,.T.); +#105110=ORIENTED_EDGE('',*,*,#105047,.F.); +#105111=ORIENTED_EDGE('',*,*,#105063,.F.); +#105112=ORIENTED_EDGE('',*,*,#105076,.F.); +#105113=ORIENTED_EDGE('',*,*,#60076,.T.); +#105115=ORIENTED_EDGE('',*,*,#105114,.F.); +#105117=ORIENTED_EDGE('',*,*,#105116,.F.); +#105118=ORIENTED_EDGE('',*,*,#105005,.F.); +#105119=EDGE_LOOP('',(#105109,#105110,#105111,#105112,#105113,#105115,#105117, +#105118)); +#105120=FACE_OUTER_BOUND('',#105119,.F.); +#105122=CARTESIAN_POINT('',(-3.625E1,-1.12E1,-3.0895E1)); +#105123=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#105124=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#105125=AXIS2_PLACEMENT_3D('',#105122,#105123,#105124); +#105126=PLANE('',#105125); +#105127=ORIENTED_EDGE('',*,*,#58892,.T.); +#105128=ORIENTED_EDGE('',*,*,#104937,.T.); +#105129=ORIENTED_EDGE('',*,*,#105087,.F.); +#105130=ORIENTED_EDGE('',*,*,#104873,.F.); +#105131=EDGE_LOOP('',(#105127,#105128,#105129,#105130)); +#105132=FACE_OUTER_BOUND('',#105131,.F.); +#105134=CARTESIAN_POINT('',(-3.625E1,-1.12E1,-3.0895E1)); +#105135=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#105136=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#105137=AXIS2_PLACEMENT_3D('',#105134,#105135,#105136); +#105138=PLANE('',#105137); +#105139=ORIENTED_EDGE('',*,*,#58902,.T.); +#105140=ORIENTED_EDGE('',*,*,#105049,.T.); +#105141=ORIENTED_EDGE('',*,*,#105108,.F.); +#105142=ORIENTED_EDGE('',*,*,#105003,.F.); +#105143=EDGE_LOOP('',(#105139,#105140,#105141,#105142)); +#105144=FACE_OUTER_BOUND('',#105143,.F.); +#105146=CARTESIAN_POINT('',(-3.7E1,3.815E0,-2.9265E1)); +#105147=DIRECTION('',(1.E0,0.E0,0.E0)); +#105148=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105149=AXIS2_PLACEMENT_3D('',#105146,#105147,#105148); +#105150=CYLINDRICAL_SURFACE('',#105149,1.E0); +#105151=ORIENTED_EDGE('',*,*,#104793,.F.); +#105153=ORIENTED_EDGE('',*,*,#105152,.T.); +#105154=ORIENTED_EDGE('',*,*,#105090,.T.); +#105155=ORIENTED_EDGE('',*,*,#104933,.F.); +#105156=EDGE_LOOP('',(#105151,#105153,#105154,#105155)); +#105157=FACE_OUTER_BOUND('',#105156,.F.); +#105159=CARTESIAN_POINT('',(-3.7E1,4.815E0,-2.36E1)); +#105160=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105161=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105162=AXIS2_PLACEMENT_3D('',#105159,#105160,#105161); +#105163=PLANE('',#105162); +#105164=ORIENTED_EDGE('',*,*,#104791,.F.); +#105165=ORIENTED_EDGE('',*,*,#103649,.T.); +#105166=ORIENTED_EDGE('',*,*,#105092,.T.); +#105167=ORIENTED_EDGE('',*,*,#105152,.F.); +#105168=EDGE_LOOP('',(#105164,#105165,#105166,#105167)); +#105169=FACE_OUTER_BOUND('',#105168,.F.); +#105171=CARTESIAN_POINT('',(-3.7E1,5.445E0,-2.9265E1)); +#105172=DIRECTION('',(0.E0,1.E0,0.E0)); +#105173=DIRECTION('',(0.E0,0.E0,1.E0)); +#105174=AXIS2_PLACEMENT_3D('',#105171,#105172,#105173); +#105175=PLANE('',#105174); +#105176=ORIENTED_EDGE('',*,*,#104788,.F.); +#105178=ORIENTED_EDGE('',*,*,#105177,.T.); +#105179=ORIENTED_EDGE('',*,*,#105095,.T.); +#105180=ORIENTED_EDGE('',*,*,#103645,.F.); +#105181=EDGE_LOOP('',(#105176,#105178,#105179,#105180)); +#105182=FACE_OUTER_BOUND('',#105181,.F.); +#105184=CARTESIAN_POINT('',(-3.7E1,3.815E0,-2.9265E1)); +#105185=DIRECTION('',(1.E0,0.E0,0.E0)); +#105186=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105187=AXIS2_PLACEMENT_3D('',#105184,#105185,#105186); +#105188=CYLINDRICAL_SURFACE('',#105187,1.63E0); +#105189=ORIENTED_EDGE('',*,*,#104786,.F.); +#105190=ORIENTED_EDGE('',*,*,#104877,.T.); +#105191=ORIENTED_EDGE('',*,*,#105097,.T.); +#105192=ORIENTED_EDGE('',*,*,#105177,.F.); +#105193=EDGE_LOOP('',(#105189,#105190,#105191,#105192)); +#105194=FACE_OUTER_BOUND('',#105193,.F.); +#105196=CARTESIAN_POINT('',(-3.7E1,-2.155E0,-2.4685E1)); +#105197=DIRECTION('',(0.E0,1.E0,0.E0)); +#105198=DIRECTION('',(0.E0,0.E0,1.E0)); +#105199=AXIS2_PLACEMENT_3D('',#105196,#105197,#105198); +#105200=PLANE('',#105199); +#105201=ORIENTED_EDGE('',*,*,#104811,.F.); +#105203=ORIENTED_EDGE('',*,*,#105202,.T.); +#105204=ORIENTED_EDGE('',*,*,#105114,.T.); +#105205=ORIENTED_EDGE('',*,*,#60074,.F.); +#105206=EDGE_LOOP('',(#105201,#105203,#105204,#105205)); +#105207=FACE_OUTER_BOUND('',#105206,.F.); +#105209=CARTESIAN_POINT('',(-3.7E1,-3.285E0,-2.4685E1)); +#105210=DIRECTION('',(1.E0,0.E0,0.E0)); +#105211=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105212=AXIS2_PLACEMENT_3D('',#105209,#105210,#105211); +#105213=CYLINDRICAL_SURFACE('',#105212,1.13E0); +#105214=ORIENTED_EDGE('',*,*,#104809,.F.); +#105215=ORIENTED_EDGE('',*,*,#105007,.T.); +#105216=ORIENTED_EDGE('',*,*,#105116,.T.); +#105217=ORIENTED_EDGE('',*,*,#105202,.F.); +#105218=EDGE_LOOP('',(#105214,#105215,#105216,#105217)); +#105219=FACE_OUTER_BOUND('',#105218,.F.); +#105221=CARTESIAN_POINT('',(-3.485E1,1.033E1,0.E0)); +#105222=DIRECTION('',(1.E0,0.E0,0.E0)); +#105223=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105224=AXIS2_PLACEMENT_3D('',#105221,#105222,#105223); +#105225=PLANE('',#105224); +#105227=ORIENTED_EDGE('',*,*,#105226,.F.); +#105229=ORIENTED_EDGE('',*,*,#105228,.T.); +#105231=ORIENTED_EDGE('',*,*,#105230,.T.); +#105233=ORIENTED_EDGE('',*,*,#105232,.T.); +#105234=ORIENTED_EDGE('',*,*,#103653,.F.); +#105236=ORIENTED_EDGE('',*,*,#105235,.T.); +#105238=ORIENTED_EDGE('',*,*,#105237,.T.); +#105240=ORIENTED_EDGE('',*,*,#105239,.T.); +#105241=EDGE_LOOP('',(#105227,#105229,#105231,#105233,#105234,#105236,#105238, +#105240)); +#105242=FACE_OUTER_BOUND('',#105241,.F.); +#105244=CARTESIAN_POINT('',(-3.485E1,1.033E1,0.E0)); +#105245=DIRECTION('',(1.E0,0.E0,0.E0)); +#105246=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105247=AXIS2_PLACEMENT_3D('',#105244,#105245,#105246); +#105248=PLANE('',#105247); +#105250=ORIENTED_EDGE('',*,*,#105249,.F.); +#105252=ORIENTED_EDGE('',*,*,#105251,.T.); +#105254=ORIENTED_EDGE('',*,*,#105253,.T.); +#105256=ORIENTED_EDGE('',*,*,#105255,.T.); +#105257=ORIENTED_EDGE('',*,*,#60082,.F.); +#105259=ORIENTED_EDGE('',*,*,#105258,.T.); +#105261=ORIENTED_EDGE('',*,*,#105260,.T.); +#105263=ORIENTED_EDGE('',*,*,#105262,.T.); +#105264=EDGE_LOOP('',(#105250,#105252,#105254,#105256,#105257,#105259,#105261, +#105263)); +#105265=FACE_OUTER_BOUND('',#105264,.F.); +#105267=CARTESIAN_POINT('',(-3.485E1,-1.094019237886E1,-3.0895E1)); +#105268=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#105269=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#105270=AXIS2_PLACEMENT_3D('',#105267,#105268,#105269); +#105271=PLANE('',#105270); +#105272=ORIENTED_EDGE('',*,*,#58926,.F.); +#105274=ORIENTED_EDGE('',*,*,#105273,.F.); +#105275=ORIENTED_EDGE('',*,*,#105226,.T.); +#105277=ORIENTED_EDGE('',*,*,#105276,.T.); +#105278=EDGE_LOOP('',(#105272,#105274,#105275,#105277)); +#105279=FACE_OUTER_BOUND('',#105278,.F.); +#105281=CARTESIAN_POINT('',(-3.485E1,-1.094019237886E1,-3.0895E1)); +#105282=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#105283=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#105284=AXIS2_PLACEMENT_3D('',#105281,#105282,#105283); +#105285=PLANE('',#105284); +#105286=ORIENTED_EDGE('',*,*,#58916,.F.); +#105288=ORIENTED_EDGE('',*,*,#105287,.F.); +#105289=ORIENTED_EDGE('',*,*,#105249,.T.); +#105291=ORIENTED_EDGE('',*,*,#105290,.T.); +#105292=EDGE_LOOP('',(#105286,#105288,#105289,#105291)); +#105293=FACE_OUTER_BOUND('',#105292,.F.); +#105295=CARTESIAN_POINT('',(-3.485E1,-1.72E1,-2.8355E1)); +#105296=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105297=DIRECTION('',(0.E0,1.E0,0.E0)); +#105298=AXIS2_PLACEMENT_3D('',#105295,#105296,#105297); +#105299=PLANE('',#105298); +#105301=ORIENTED_EDGE('',*,*,#105300,.T.); +#105302=ORIENTED_EDGE('',*,*,#57856,.F.); +#105304=ORIENTED_EDGE('',*,*,#105303,.F.); +#105306=ORIENTED_EDGE('',*,*,#105305,.T.); +#105307=EDGE_LOOP('',(#105301,#105302,#105304,#105306)); +#105308=FACE_OUTER_BOUND('',#105307,.F.); +#105310=CARTESIAN_POINT('',(-3.485E1,-1.72E1,-2.8355E1)); +#105311=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105312=DIRECTION('',(0.E0,1.E0,0.E0)); +#105313=AXIS2_PLACEMENT_3D('',#105310,#105311,#105312); +#105314=PLANE('',#105313); +#105316=ORIENTED_EDGE('',*,*,#105315,.T.); +#105318=ORIENTED_EDGE('',*,*,#105317,.T.); +#105320=ORIENTED_EDGE('',*,*,#105319,.F.); +#105321=ORIENTED_EDGE('',*,*,#105228,.F.); +#105322=ORIENTED_EDGE('',*,*,#105273,.T.); +#105323=ORIENTED_EDGE('',*,*,#58924,.T.); +#105324=EDGE_LOOP('',(#105316,#105318,#105320,#105321,#105322,#105323)); +#105325=FACE_OUTER_BOUND('',#105324,.F.); +#105327=CARTESIAN_POINT('',(-3.41E1,-1.72E1,-3.0895E1)); +#105328=DIRECTION('',(-1.E0,0.E0,0.E0)); +#105329=DIRECTION('',(0.E0,1.E0,0.E0)); +#105330=AXIS2_PLACEMENT_3D('',#105327,#105328,#105329); +#105331=PLANE('',#105330); +#105332=ORIENTED_EDGE('',*,*,#57858,.F.); +#105333=ORIENTED_EDGE('',*,*,#105300,.F.); +#105335=ORIENTED_EDGE('',*,*,#105334,.F.); +#105337=ORIENTED_EDGE('',*,*,#105336,.T.); +#105338=EDGE_LOOP('',(#105332,#105333,#105335,#105337)); +#105339=FACE_OUTER_BOUND('',#105338,.F.); +#105341=CARTESIAN_POINT('',(-3.41E1,-1.72E1,-3.0895E1)); +#105342=DIRECTION('',(-1.E0,0.E0,0.E0)); +#105343=DIRECTION('',(0.E0,1.E0,0.E0)); +#105344=AXIS2_PLACEMENT_3D('',#105341,#105342,#105343); +#105345=PLANE('',#105344); +#105346=ORIENTED_EDGE('',*,*,#57848,.F.); +#105348=ORIENTED_EDGE('',*,*,#105347,.F.); +#105350=ORIENTED_EDGE('',*,*,#105349,.F.); +#105352=ORIENTED_EDGE('',*,*,#105351,.T.); +#105353=EDGE_LOOP('',(#105346,#105348,#105350,#105352)); +#105354=FACE_OUTER_BOUND('',#105353,.F.); +#105356=CARTESIAN_POINT('',(-3.485E1,-1.72E1,-2.7725E1)); +#105357=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105358=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105359=AXIS2_PLACEMENT_3D('',#105356,#105357,#105358); +#105360=PLANE('',#105359); +#105361=ORIENTED_EDGE('',*,*,#105334,.T.); +#105362=ORIENTED_EDGE('',*,*,#105305,.F.); +#105364=ORIENTED_EDGE('',*,*,#105363,.F.); +#105366=ORIENTED_EDGE('',*,*,#105365,.T.); +#105367=EDGE_LOOP('',(#105361,#105362,#105364,#105366)); +#105368=FACE_OUTER_BOUND('',#105367,.F.); +#105370=CARTESIAN_POINT('',(-3.47E1,-1.35E1,-3.0895E1)); +#105371=DIRECTION('',(1.E0,0.E0,0.E0)); +#105372=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105373=AXIS2_PLACEMENT_3D('',#105370,#105371,#105372); +#105374=PLANE('',#105373); +#105375=ORIENTED_EDGE('',*,*,#57862,.T.); +#105377=ORIENTED_EDGE('',*,*,#105376,.F.); +#105378=ORIENTED_EDGE('',*,*,#105363,.T.); +#105379=ORIENTED_EDGE('',*,*,#105303,.T.); +#105380=EDGE_LOOP('',(#105375,#105377,#105378,#105379)); +#105381=FACE_OUTER_BOUND('',#105380,.F.); +#105383=CARTESIAN_POINT('',(-3.47E1,-1.35E1,-3.0895E1)); +#105384=DIRECTION('',(1.E0,0.E0,0.E0)); +#105385=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105386=AXIS2_PLACEMENT_3D('',#105383,#105384,#105385); +#105387=PLANE('',#105386); +#105388=ORIENTED_EDGE('',*,*,#57852,.T.); +#105390=ORIENTED_EDGE('',*,*,#105389,.F.); +#105392=ORIENTED_EDGE('',*,*,#105391,.T.); +#105394=ORIENTED_EDGE('',*,*,#105393,.T.); +#105395=EDGE_LOOP('',(#105388,#105390,#105392,#105394)); +#105396=FACE_OUTER_BOUND('',#105395,.F.); +#105398=CARTESIAN_POINT('',(-3.485E1,3.815E0,-2.7725E1)); +#105399=DIRECTION('',(0.E0,0.E0,1.E0)); +#105400=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105401=AXIS2_PLACEMENT_3D('',#105398,#105399,#105400); +#105402=PLANE('',#105401); +#105403=ORIENTED_EDGE('',*,*,#105336,.F.); +#105404=ORIENTED_EDGE('',*,*,#105365,.F.); +#105405=ORIENTED_EDGE('',*,*,#105376,.T.); +#105406=ORIENTED_EDGE('',*,*,#57860,.T.); +#105407=EDGE_LOOP('',(#105403,#105404,#105405,#105406)); +#105408=FACE_OUTER_BOUND('',#105407,.F.); +#105410=CARTESIAN_POINT('',(-3.485E1,3.815E0,-2.7725E1)); +#105411=DIRECTION('',(0.E0,0.E0,1.E0)); +#105412=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105413=AXIS2_PLACEMENT_3D('',#105410,#105411,#105412); +#105414=PLANE('',#105413); +#105416=ORIENTED_EDGE('',*,*,#105415,.F.); +#105417=ORIENTED_EDGE('',*,*,#58920,.F.); +#105418=ORIENTED_EDGE('',*,*,#105276,.F.); +#105419=ORIENTED_EDGE('',*,*,#105239,.F.); +#105421=ORIENTED_EDGE('',*,*,#105420,.T.); +#105423=ORIENTED_EDGE('',*,*,#105422,.T.); +#105424=EDGE_LOOP('',(#105416,#105417,#105418,#105419,#105421,#105423)); +#105425=FACE_OUTER_BOUND('',#105424,.F.); +#105427=CARTESIAN_POINT('',(-3.41E1,-1.12E1,-3.0895E1)); +#105428=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#105429=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#105430=AXIS2_PLACEMENT_3D('',#105427,#105428,#105429); +#105431=PLANE('',#105430); +#105432=ORIENTED_EDGE('',*,*,#58922,.T.); +#105433=ORIENTED_EDGE('',*,*,#105415,.T.); +#105435=ORIENTED_EDGE('',*,*,#105434,.F.); +#105436=ORIENTED_EDGE('',*,*,#105315,.F.); +#105437=EDGE_LOOP('',(#105432,#105433,#105435,#105436)); +#105438=FACE_OUTER_BOUND('',#105437,.F.); +#105440=CARTESIAN_POINT('',(-3.41E1,-1.12E1,-3.0895E1)); +#105441=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#105442=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#105443=AXIS2_PLACEMENT_3D('',#105440,#105441,#105442); +#105444=PLANE('',#105443); +#105445=ORIENTED_EDGE('',*,*,#58912,.T.); +#105447=ORIENTED_EDGE('',*,*,#105446,.T.); +#105449=ORIENTED_EDGE('',*,*,#105448,.F.); +#105451=ORIENTED_EDGE('',*,*,#105450,.F.); +#105452=EDGE_LOOP('',(#105445,#105447,#105449,#105451)); +#105453=FACE_OUTER_BOUND('',#105452,.F.); +#105455=CARTESIAN_POINT('',(-3.395E1,1.033E1,0.E0)); +#105456=DIRECTION('',(1.E0,0.E0,0.E0)); +#105457=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105458=AXIS2_PLACEMENT_3D('',#105455,#105456,#105457); +#105459=PLANE('',#105458); +#105460=ORIENTED_EDGE('',*,*,#105434,.T.); +#105461=ORIENTED_EDGE('',*,*,#105422,.F.); +#105463=ORIENTED_EDGE('',*,*,#105462,.F.); +#105465=ORIENTED_EDGE('',*,*,#105464,.F.); +#105466=ORIENTED_EDGE('',*,*,#103657,.T.); +#105468=ORIENTED_EDGE('',*,*,#105467,.F.); +#105470=ORIENTED_EDGE('',*,*,#105469,.F.); +#105471=ORIENTED_EDGE('',*,*,#105317,.F.); +#105472=EDGE_LOOP('',(#105460,#105461,#105463,#105465,#105466,#105468,#105470, +#105471)); +#105473=FACE_OUTER_BOUND('',#105472,.F.); +#105475=CARTESIAN_POINT('',(-3.395E1,1.033E1,0.E0)); +#105476=DIRECTION('',(1.E0,0.E0,0.E0)); +#105477=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105478=AXIS2_PLACEMENT_3D('',#105475,#105476,#105477); +#105479=PLANE('',#105478); +#105480=ORIENTED_EDGE('',*,*,#105448,.T.); +#105482=ORIENTED_EDGE('',*,*,#105481,.F.); +#105484=ORIENTED_EDGE('',*,*,#105483,.F.); +#105486=ORIENTED_EDGE('',*,*,#105485,.F.); +#105487=ORIENTED_EDGE('',*,*,#60086,.T.); +#105489=ORIENTED_EDGE('',*,*,#105488,.F.); +#105491=ORIENTED_EDGE('',*,*,#105490,.F.); +#105493=ORIENTED_EDGE('',*,*,#105492,.F.); +#105494=EDGE_LOOP('',(#105480,#105482,#105484,#105486,#105487,#105489,#105491, +#105493)); +#105495=FACE_OUTER_BOUND('',#105494,.F.); +#105497=CARTESIAN_POINT('',(-3.485E1,3.815E0,-2.6725E1)); +#105498=DIRECTION('',(1.E0,0.E0,0.E0)); +#105499=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105500=AXIS2_PLACEMENT_3D('',#105497,#105498,#105499); +#105501=CYLINDRICAL_SURFACE('',#105500,1.E0); +#105502=ORIENTED_EDGE('',*,*,#105237,.F.); +#105504=ORIENTED_EDGE('',*,*,#105503,.T.); +#105505=ORIENTED_EDGE('',*,*,#105462,.T.); +#105506=ORIENTED_EDGE('',*,*,#105420,.F.); +#105507=EDGE_LOOP('',(#105502,#105504,#105505,#105506)); +#105508=FACE_OUTER_BOUND('',#105507,.F.); +#105510=CARTESIAN_POINT('',(-3.485E1,4.815E0,-2.36E1)); +#105511=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105512=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105513=AXIS2_PLACEMENT_3D('',#105510,#105511,#105512); +#105514=PLANE('',#105513); +#105515=ORIENTED_EDGE('',*,*,#105235,.F.); +#105516=ORIENTED_EDGE('',*,*,#103659,.T.); +#105517=ORIENTED_EDGE('',*,*,#105464,.T.); +#105518=ORIENTED_EDGE('',*,*,#105503,.F.); +#105519=EDGE_LOOP('',(#105515,#105516,#105517,#105518)); +#105520=FACE_OUTER_BOUND('',#105519,.F.); +#105522=CARTESIAN_POINT('',(-3.485E1,5.445E0,-2.6725E1)); +#105523=DIRECTION('',(0.E0,1.E0,0.E0)); +#105524=DIRECTION('',(0.E0,0.E0,1.E0)); +#105525=AXIS2_PLACEMENT_3D('',#105522,#105523,#105524); +#105526=PLANE('',#105525); +#105527=ORIENTED_EDGE('',*,*,#105232,.F.); +#105529=ORIENTED_EDGE('',*,*,#105528,.T.); +#105530=ORIENTED_EDGE('',*,*,#105467,.T.); +#105531=ORIENTED_EDGE('',*,*,#103655,.F.); +#105532=EDGE_LOOP('',(#105527,#105529,#105530,#105531)); +#105533=FACE_OUTER_BOUND('',#105532,.F.); +#105535=CARTESIAN_POINT('',(-3.485E1,3.815E0,-2.6725E1)); +#105536=DIRECTION('',(1.E0,0.E0,0.E0)); +#105537=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105538=AXIS2_PLACEMENT_3D('',#105535,#105536,#105537); +#105539=CYLINDRICAL_SURFACE('',#105538,1.63E0); +#105540=ORIENTED_EDGE('',*,*,#105230,.F.); +#105541=ORIENTED_EDGE('',*,*,#105319,.T.); +#105542=ORIENTED_EDGE('',*,*,#105469,.T.); +#105543=ORIENTED_EDGE('',*,*,#105528,.F.); +#105544=EDGE_LOOP('',(#105540,#105541,#105542,#105543)); +#105545=FACE_OUTER_BOUND('',#105544,.F.); +#105547=CARTESIAN_POINT('',(-3.485E1,-3.285E0,-2.5185E1)); +#105548=DIRECTION('',(0.E0,0.E0,1.E0)); +#105549=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105550=AXIS2_PLACEMENT_3D('',#105547,#105548,#105549); +#105551=PLANE('',#105550); +#105552=ORIENTED_EDGE('',*,*,#105351,.F.); +#105554=ORIENTED_EDGE('',*,*,#105553,.F.); +#105555=ORIENTED_EDGE('',*,*,#105389,.T.); +#105556=ORIENTED_EDGE('',*,*,#57850,.T.); +#105557=EDGE_LOOP('',(#105552,#105554,#105555,#105556)); +#105558=FACE_OUTER_BOUND('',#105557,.F.); +#105560=CARTESIAN_POINT('',(-3.485E1,-3.285E0,-2.5185E1)); +#105561=DIRECTION('',(0.E0,0.E0,1.E0)); +#105562=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105563=AXIS2_PLACEMENT_3D('',#105560,#105561,#105562); +#105564=PLANE('',#105563); +#105565=ORIENTED_EDGE('',*,*,#105446,.F.); +#105566=ORIENTED_EDGE('',*,*,#58910,.F.); +#105567=ORIENTED_EDGE('',*,*,#105290,.F.); +#105568=ORIENTED_EDGE('',*,*,#105262,.F.); +#105570=ORIENTED_EDGE('',*,*,#105569,.T.); +#105571=ORIENTED_EDGE('',*,*,#105481,.T.); +#105572=EDGE_LOOP('',(#105565,#105566,#105567,#105568,#105570,#105571)); +#105573=FACE_OUTER_BOUND('',#105572,.F.); +#105575=CARTESIAN_POINT('',(-3.485E1,-1.72E1,-2.5185E1)); +#105576=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105577=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105578=AXIS2_PLACEMENT_3D('',#105575,#105576,#105577); +#105579=PLANE('',#105578); +#105580=ORIENTED_EDGE('',*,*,#105349,.T.); +#105582=ORIENTED_EDGE('',*,*,#105581,.F.); +#105583=ORIENTED_EDGE('',*,*,#105391,.F.); +#105584=ORIENTED_EDGE('',*,*,#105553,.T.); +#105585=EDGE_LOOP('',(#105580,#105582,#105583,#105584)); +#105586=FACE_OUTER_BOUND('',#105585,.F.); +#105588=CARTESIAN_POINT('',(-3.485E1,-1.72E1,-2.5815E1)); +#105589=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105590=DIRECTION('',(0.E0,1.E0,0.E0)); +#105591=AXIS2_PLACEMENT_3D('',#105588,#105589,#105590); +#105592=PLANE('',#105591); +#105593=ORIENTED_EDGE('',*,*,#105347,.T.); +#105594=ORIENTED_EDGE('',*,*,#57846,.F.); +#105595=ORIENTED_EDGE('',*,*,#105393,.F.); +#105596=ORIENTED_EDGE('',*,*,#105581,.T.); +#105597=EDGE_LOOP('',(#105593,#105594,#105595,#105596)); +#105598=FACE_OUTER_BOUND('',#105597,.F.); +#105600=CARTESIAN_POINT('',(-3.485E1,-1.72E1,-2.5815E1)); +#105601=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105602=DIRECTION('',(0.E0,1.E0,0.E0)); +#105603=AXIS2_PLACEMENT_3D('',#105600,#105601,#105602); +#105604=PLANE('',#105603); +#105605=ORIENTED_EDGE('',*,*,#105450,.T.); +#105606=ORIENTED_EDGE('',*,*,#105492,.T.); +#105608=ORIENTED_EDGE('',*,*,#105607,.F.); +#105609=ORIENTED_EDGE('',*,*,#105251,.F.); +#105610=ORIENTED_EDGE('',*,*,#105287,.T.); +#105611=ORIENTED_EDGE('',*,*,#58914,.T.); +#105612=EDGE_LOOP('',(#105605,#105606,#105608,#105609,#105610,#105611)); +#105613=FACE_OUTER_BOUND('',#105612,.F.); +#105615=CARTESIAN_POINT('',(-3.485E1,-3.285E0,-2.4685E1)); +#105616=DIRECTION('',(1.E0,0.E0,0.E0)); +#105617=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105618=AXIS2_PLACEMENT_3D('',#105615,#105616,#105617); +#105619=CYLINDRICAL_SURFACE('',#105618,1.13E0); +#105620=ORIENTED_EDGE('',*,*,#105253,.F.); +#105621=ORIENTED_EDGE('',*,*,#105607,.T.); +#105622=ORIENTED_EDGE('',*,*,#105490,.T.); +#105624=ORIENTED_EDGE('',*,*,#105623,.F.); +#105625=EDGE_LOOP('',(#105620,#105621,#105622,#105624)); +#105626=FACE_OUTER_BOUND('',#105625,.F.); +#105628=CARTESIAN_POINT('',(-3.485E1,-2.155E0,-2.4685E1)); +#105629=DIRECTION('',(0.E0,1.E0,0.E0)); +#105630=DIRECTION('',(0.E0,0.E0,1.E0)); +#105631=AXIS2_PLACEMENT_3D('',#105628,#105629,#105630); +#105632=PLANE('',#105631); +#105633=ORIENTED_EDGE('',*,*,#105255,.F.); +#105634=ORIENTED_EDGE('',*,*,#105623,.T.); +#105635=ORIENTED_EDGE('',*,*,#105488,.T.); +#105636=ORIENTED_EDGE('',*,*,#60084,.F.); +#105637=EDGE_LOOP('',(#105633,#105634,#105635,#105636)); +#105638=FACE_OUTER_BOUND('',#105637,.F.); +#105640=CARTESIAN_POINT('',(-3.485E1,-3.285E0,-2.4685E1)); +#105641=DIRECTION('',(1.E0,0.E0,0.E0)); +#105642=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105643=AXIS2_PLACEMENT_3D('',#105640,#105641,#105642); +#105644=CYLINDRICAL_SURFACE('',#105643,5.E-1); +#105645=ORIENTED_EDGE('',*,*,#105260,.F.); +#105647=ORIENTED_EDGE('',*,*,#105646,.T.); +#105648=ORIENTED_EDGE('',*,*,#105483,.T.); +#105649=ORIENTED_EDGE('',*,*,#105569,.F.); +#105650=EDGE_LOOP('',(#105645,#105647,#105648,#105649)); +#105651=FACE_OUTER_BOUND('',#105650,.F.); +#105653=CARTESIAN_POINT('',(-3.485E1,-2.785E0,-2.36E1)); +#105654=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105655=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105656=AXIS2_PLACEMENT_3D('',#105653,#105654,#105655); +#105657=PLANE('',#105656); +#105658=ORIENTED_EDGE('',*,*,#105258,.F.); +#105659=ORIENTED_EDGE('',*,*,#60088,.T.); +#105660=ORIENTED_EDGE('',*,*,#105485,.T.); +#105661=ORIENTED_EDGE('',*,*,#105646,.F.); +#105662=EDGE_LOOP('',(#105658,#105659,#105660,#105661)); +#105663=FACE_OUTER_BOUND('',#105662,.F.); +#105665=CARTESIAN_POINT('',(-3.27E1,6.33E0,0.E0)); +#105666=DIRECTION('',(1.E0,0.E0,0.E0)); +#105667=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105668=AXIS2_PLACEMENT_3D('',#105665,#105666,#105667); +#105669=PLANE('',#105668); +#105671=ORIENTED_EDGE('',*,*,#105670,.F.); +#105673=ORIENTED_EDGE('',*,*,#105672,.T.); +#105675=ORIENTED_EDGE('',*,*,#105674,.T.); +#105677=ORIENTED_EDGE('',*,*,#105676,.T.); +#105678=ORIENTED_EDGE('',*,*,#103663,.F.); +#105680=ORIENTED_EDGE('',*,*,#105679,.T.); +#105682=ORIENTED_EDGE('',*,*,#105681,.T.); +#105684=ORIENTED_EDGE('',*,*,#105683,.T.); +#105685=EDGE_LOOP('',(#105671,#105673,#105675,#105677,#105678,#105680,#105682, +#105684)); +#105686=FACE_OUTER_BOUND('',#105685,.F.); +#105688=CARTESIAN_POINT('',(-3.27E1,6.33E0,0.E0)); +#105689=DIRECTION('',(1.E0,0.E0,0.E0)); +#105690=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105691=AXIS2_PLACEMENT_3D('',#105688,#105689,#105690); +#105692=PLANE('',#105691); +#105694=ORIENTED_EDGE('',*,*,#105693,.F.); +#105696=ORIENTED_EDGE('',*,*,#105695,.T.); +#105698=ORIENTED_EDGE('',*,*,#105697,.T.); +#105700=ORIENTED_EDGE('',*,*,#105699,.T.); +#105701=ORIENTED_EDGE('',*,*,#60092,.F.); +#105703=ORIENTED_EDGE('',*,*,#105702,.T.); +#105705=ORIENTED_EDGE('',*,*,#105704,.T.); +#105707=ORIENTED_EDGE('',*,*,#105706,.T.); +#105708=EDGE_LOOP('',(#105694,#105696,#105698,#105700,#105701,#105703,#105705, +#105707)); +#105709=FACE_OUTER_BOUND('',#105708,.F.); +#105711=CARTESIAN_POINT('',(-3.27E1,-1.094019237886E1,-3.0895E1)); +#105712=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#105713=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#105714=AXIS2_PLACEMENT_3D('',#105711,#105712,#105713); +#105715=PLANE('',#105714); +#105716=ORIENTED_EDGE('',*,*,#58936,.F.); +#105718=ORIENTED_EDGE('',*,*,#105717,.F.); +#105719=ORIENTED_EDGE('',*,*,#105670,.T.); +#105721=ORIENTED_EDGE('',*,*,#105720,.T.); +#105722=EDGE_LOOP('',(#105716,#105718,#105719,#105721)); +#105723=FACE_OUTER_BOUND('',#105722,.F.); +#105725=CARTESIAN_POINT('',(-3.27E1,-1.094019237886E1,-3.0895E1)); +#105726=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#105727=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#105728=AXIS2_PLACEMENT_3D('',#105725,#105726,#105727); +#105729=PLANE('',#105728); +#105730=ORIENTED_EDGE('',*,*,#58946,.F.); +#105732=ORIENTED_EDGE('',*,*,#105731,.F.); +#105733=ORIENTED_EDGE('',*,*,#105693,.T.); +#105735=ORIENTED_EDGE('',*,*,#105734,.T.); +#105736=EDGE_LOOP('',(#105730,#105732,#105733,#105735)); +#105737=FACE_OUTER_BOUND('',#105736,.F.); +#105739=CARTESIAN_POINT('',(-3.27E1,-1.72E1,-3.0895E1)); +#105740=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105741=DIRECTION('',(0.E0,1.E0,0.E0)); +#105742=AXIS2_PLACEMENT_3D('',#105739,#105740,#105741); +#105743=PLANE('',#105742); +#105745=ORIENTED_EDGE('',*,*,#105744,.F.); +#105747=ORIENTED_EDGE('',*,*,#105746,.T.); +#105749=ORIENTED_EDGE('',*,*,#105748,.T.); +#105750=ORIENTED_EDGE('',*,*,#57866,.F.); +#105751=EDGE_LOOP('',(#105745,#105747,#105749,#105750)); +#105752=FACE_OUTER_BOUND('',#105751,.F.); +#105754=CARTESIAN_POINT('',(-3.27E1,-1.72E1,-3.0895E1)); +#105755=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105756=DIRECTION('',(0.E0,1.E0,0.E0)); +#105757=AXIS2_PLACEMENT_3D('',#105754,#105755,#105756); +#105758=PLANE('',#105757); +#105759=ORIENTED_EDGE('',*,*,#105717,.T.); +#105760=ORIENTED_EDGE('',*,*,#58934,.T.); +#105762=ORIENTED_EDGE('',*,*,#105761,.T.); +#105764=ORIENTED_EDGE('',*,*,#105763,.T.); +#105766=ORIENTED_EDGE('',*,*,#105765,.F.); +#105767=ORIENTED_EDGE('',*,*,#105672,.F.); +#105768=EDGE_LOOP('',(#105759,#105760,#105762,#105764,#105766,#105767)); +#105769=FACE_OUTER_BOUND('',#105768,.F.); +#105771=CARTESIAN_POINT('',(-3.255E1,-1.35E1,-3.0895E1)); +#105772=DIRECTION('',(1.E0,0.E0,0.E0)); +#105773=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105774=AXIS2_PLACEMENT_3D('',#105771,#105772,#105773); +#105775=PLANE('',#105774); +#105776=ORIENTED_EDGE('',*,*,#57872,.T.); +#105778=ORIENTED_EDGE('',*,*,#105777,.F.); +#105780=ORIENTED_EDGE('',*,*,#105779,.T.); +#105781=ORIENTED_EDGE('',*,*,#105744,.T.); +#105782=EDGE_LOOP('',(#105776,#105778,#105780,#105781)); +#105783=FACE_OUTER_BOUND('',#105782,.F.); +#105785=CARTESIAN_POINT('',(-3.255E1,-1.35E1,-3.0895E1)); +#105786=DIRECTION('',(1.E0,0.E0,0.E0)); +#105787=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105788=AXIS2_PLACEMENT_3D('',#105785,#105786,#105787); +#105789=PLANE('',#105788); +#105790=ORIENTED_EDGE('',*,*,#57882,.T.); +#105792=ORIENTED_EDGE('',*,*,#105791,.F.); +#105794=ORIENTED_EDGE('',*,*,#105793,.T.); +#105796=ORIENTED_EDGE('',*,*,#105795,.T.); +#105797=EDGE_LOOP('',(#105790,#105792,#105794,#105796)); +#105798=FACE_OUTER_BOUND('',#105797,.F.); +#105800=CARTESIAN_POINT('',(-3.27E1,3.815E0,-3.0265E1)); +#105801=DIRECTION('',(0.E0,0.E0,1.E0)); +#105802=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105803=AXIS2_PLACEMENT_3D('',#105800,#105801,#105802); +#105804=PLANE('',#105803); +#105805=ORIENTED_EDGE('',*,*,#105777,.T.); +#105806=ORIENTED_EDGE('',*,*,#57870,.T.); +#105808=ORIENTED_EDGE('',*,*,#105807,.F.); +#105810=ORIENTED_EDGE('',*,*,#105809,.F.); +#105811=EDGE_LOOP('',(#105805,#105806,#105808,#105810)); +#105812=FACE_OUTER_BOUND('',#105811,.F.); +#105814=CARTESIAN_POINT('',(-3.27E1,3.815E0,-3.0265E1)); +#105815=DIRECTION('',(0.E0,0.E0,1.E0)); +#105816=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105817=AXIS2_PLACEMENT_3D('',#105814,#105815,#105816); +#105818=PLANE('',#105817); +#105819=ORIENTED_EDGE('',*,*,#105720,.F.); +#105820=ORIENTED_EDGE('',*,*,#105683,.F.); +#105822=ORIENTED_EDGE('',*,*,#105821,.T.); +#105824=ORIENTED_EDGE('',*,*,#105823,.T.); +#105826=ORIENTED_EDGE('',*,*,#105825,.F.); +#105827=ORIENTED_EDGE('',*,*,#58930,.F.); +#105828=EDGE_LOOP('',(#105819,#105820,#105822,#105824,#105826,#105827)); +#105829=FACE_OUTER_BOUND('',#105828,.F.); +#105831=CARTESIAN_POINT('',(-3.195E1,-1.72E1,-3.0895E1)); +#105832=DIRECTION('',(-1.E0,0.E0,0.E0)); +#105833=DIRECTION('',(0.E0,1.E0,0.E0)); +#105834=AXIS2_PLACEMENT_3D('',#105831,#105832,#105833); +#105835=PLANE('',#105834); +#105836=ORIENTED_EDGE('',*,*,#57868,.F.); +#105837=ORIENTED_EDGE('',*,*,#105748,.F.); +#105839=ORIENTED_EDGE('',*,*,#105838,.F.); +#105840=ORIENTED_EDGE('',*,*,#105807,.T.); +#105841=EDGE_LOOP('',(#105836,#105837,#105839,#105840)); +#105842=FACE_OUTER_BOUND('',#105841,.F.); +#105844=CARTESIAN_POINT('',(-3.195E1,-1.72E1,-3.0895E1)); +#105845=DIRECTION('',(-1.E0,0.E0,0.E0)); +#105846=DIRECTION('',(0.E0,1.E0,0.E0)); +#105847=AXIS2_PLACEMENT_3D('',#105844,#105845,#105846); +#105848=PLANE('',#105847); +#105849=ORIENTED_EDGE('',*,*,#57878,.F.); +#105851=ORIENTED_EDGE('',*,*,#105850,.F.); +#105853=ORIENTED_EDGE('',*,*,#105852,.F.); +#105855=ORIENTED_EDGE('',*,*,#105854,.T.); +#105856=EDGE_LOOP('',(#105849,#105851,#105853,#105855)); +#105857=FACE_OUTER_BOUND('',#105856,.F.); +#105859=CARTESIAN_POINT('',(-3.27E1,-1.72E1,-3.0265E1)); +#105860=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105861=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105862=AXIS2_PLACEMENT_3D('',#105859,#105860,#105861); +#105863=PLANE('',#105862); +#105864=ORIENTED_EDGE('',*,*,#105779,.F.); +#105865=ORIENTED_EDGE('',*,*,#105809,.T.); +#105866=ORIENTED_EDGE('',*,*,#105838,.T.); +#105867=ORIENTED_EDGE('',*,*,#105746,.F.); +#105868=EDGE_LOOP('',(#105864,#105865,#105866,#105867)); +#105869=FACE_OUTER_BOUND('',#105868,.F.); +#105871=CARTESIAN_POINT('',(-3.27E1,-1.72E1,-2.5815E1)); +#105872=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105873=DIRECTION('',(0.E0,1.E0,0.E0)); +#105874=AXIS2_PLACEMENT_3D('',#105871,#105872,#105873); +#105875=PLANE('',#105874); +#105876=ORIENTED_EDGE('',*,*,#105795,.F.); +#105878=ORIENTED_EDGE('',*,*,#105877,.T.); +#105879=ORIENTED_EDGE('',*,*,#105850,.T.); +#105880=ORIENTED_EDGE('',*,*,#57876,.F.); +#105881=EDGE_LOOP('',(#105876,#105878,#105879,#105880)); +#105882=FACE_OUTER_BOUND('',#105881,.F.); +#105884=CARTESIAN_POINT('',(-3.27E1,-1.72E1,-2.5815E1)); +#105885=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105886=DIRECTION('',(0.E0,1.E0,0.E0)); +#105887=AXIS2_PLACEMENT_3D('',#105884,#105885,#105886); +#105888=PLANE('',#105887); +#105889=ORIENTED_EDGE('',*,*,#105731,.T.); +#105890=ORIENTED_EDGE('',*,*,#58944,.T.); +#105892=ORIENTED_EDGE('',*,*,#105891,.T.); +#105894=ORIENTED_EDGE('',*,*,#105893,.T.); +#105896=ORIENTED_EDGE('',*,*,#105895,.F.); +#105897=ORIENTED_EDGE('',*,*,#105695,.F.); +#105898=EDGE_LOOP('',(#105889,#105890,#105892,#105894,#105896,#105897)); +#105899=FACE_OUTER_BOUND('',#105898,.F.); +#105901=CARTESIAN_POINT('',(-3.27E1,-1.72E1,-2.5185E1)); +#105902=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105903=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105904=AXIS2_PLACEMENT_3D('',#105901,#105902,#105903); +#105905=PLANE('',#105904); +#105906=ORIENTED_EDGE('',*,*,#105793,.F.); +#105908=ORIENTED_EDGE('',*,*,#105907,.T.); +#105909=ORIENTED_EDGE('',*,*,#105852,.T.); +#105910=ORIENTED_EDGE('',*,*,#105877,.F.); +#105911=EDGE_LOOP('',(#105906,#105908,#105909,#105910)); +#105912=FACE_OUTER_BOUND('',#105911,.F.); +#105914=CARTESIAN_POINT('',(-3.27E1,-3.285E0,-2.5185E1)); +#105915=DIRECTION('',(0.E0,0.E0,1.E0)); +#105916=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105917=AXIS2_PLACEMENT_3D('',#105914,#105915,#105916); +#105918=PLANE('',#105917); +#105919=ORIENTED_EDGE('',*,*,#105791,.T.); +#105920=ORIENTED_EDGE('',*,*,#57880,.T.); +#105921=ORIENTED_EDGE('',*,*,#105854,.F.); +#105922=ORIENTED_EDGE('',*,*,#105907,.F.); +#105923=EDGE_LOOP('',(#105919,#105920,#105921,#105922)); +#105924=FACE_OUTER_BOUND('',#105923,.F.); +#105926=CARTESIAN_POINT('',(-3.27E1,-3.285E0,-2.5185E1)); +#105927=DIRECTION('',(0.E0,0.E0,1.E0)); +#105928=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105929=AXIS2_PLACEMENT_3D('',#105926,#105927,#105928); +#105930=PLANE('',#105929); +#105931=ORIENTED_EDGE('',*,*,#105734,.F.); +#105932=ORIENTED_EDGE('',*,*,#105706,.F.); +#105934=ORIENTED_EDGE('',*,*,#105933,.T.); +#105936=ORIENTED_EDGE('',*,*,#105935,.T.); +#105938=ORIENTED_EDGE('',*,*,#105937,.F.); +#105939=ORIENTED_EDGE('',*,*,#58940,.F.); +#105940=EDGE_LOOP('',(#105931,#105932,#105934,#105936,#105938,#105939)); +#105941=FACE_OUTER_BOUND('',#105940,.F.); +#105943=CARTESIAN_POINT('',(-3.27E1,-3.285E0,-2.4685E1)); +#105944=DIRECTION('',(1.E0,0.E0,0.E0)); +#105945=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105946=AXIS2_PLACEMENT_3D('',#105943,#105944,#105945); +#105947=CYLINDRICAL_SURFACE('',#105946,5.E-1); +#105948=ORIENTED_EDGE('',*,*,#105704,.F.); +#105950=ORIENTED_EDGE('',*,*,#105949,.T.); +#105952=ORIENTED_EDGE('',*,*,#105951,.T.); +#105953=ORIENTED_EDGE('',*,*,#105933,.F.); +#105954=EDGE_LOOP('',(#105948,#105950,#105952,#105953)); +#105955=FACE_OUTER_BOUND('',#105954,.F.); +#105957=CARTESIAN_POINT('',(-3.27E1,-2.785E0,-2.36E1)); +#105958=DIRECTION('',(0.E0,-1.E0,0.E0)); +#105959=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105960=AXIS2_PLACEMENT_3D('',#105957,#105958,#105959); +#105961=PLANE('',#105960); +#105962=ORIENTED_EDGE('',*,*,#105702,.F.); +#105963=ORIENTED_EDGE('',*,*,#60098,.T.); +#105965=ORIENTED_EDGE('',*,*,#105964,.T.); +#105966=ORIENTED_EDGE('',*,*,#105949,.F.); +#105967=EDGE_LOOP('',(#105962,#105963,#105965,#105966)); +#105968=FACE_OUTER_BOUND('',#105967,.F.); +#105970=CARTESIAN_POINT('',(-3.18E1,6.33E0,0.E0)); +#105971=DIRECTION('',(1.E0,0.E0,0.E0)); +#105972=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105973=AXIS2_PLACEMENT_3D('',#105970,#105971,#105972); +#105974=PLANE('',#105973); +#105976=ORIENTED_EDGE('',*,*,#105975,.T.); +#105977=ORIENTED_EDGE('',*,*,#105823,.F.); +#105979=ORIENTED_EDGE('',*,*,#105978,.F.); +#105981=ORIENTED_EDGE('',*,*,#105980,.F.); +#105982=ORIENTED_EDGE('',*,*,#103667,.T.); +#105984=ORIENTED_EDGE('',*,*,#105983,.F.); +#105986=ORIENTED_EDGE('',*,*,#105985,.F.); +#105987=ORIENTED_EDGE('',*,*,#105763,.F.); +#105988=EDGE_LOOP('',(#105976,#105977,#105979,#105981,#105982,#105984,#105986, +#105987)); +#105989=FACE_OUTER_BOUND('',#105988,.F.); +#105991=CARTESIAN_POINT('',(-3.18E1,6.33E0,0.E0)); +#105992=DIRECTION('',(1.E0,0.E0,0.E0)); +#105993=DIRECTION('',(0.E0,0.E0,-1.E0)); +#105994=AXIS2_PLACEMENT_3D('',#105991,#105992,#105993); +#105995=PLANE('',#105994); +#105997=ORIENTED_EDGE('',*,*,#105996,.T.); +#105998=ORIENTED_EDGE('',*,*,#105935,.F.); +#105999=ORIENTED_EDGE('',*,*,#105951,.F.); +#106000=ORIENTED_EDGE('',*,*,#105964,.F.); +#106001=ORIENTED_EDGE('',*,*,#60096,.T.); +#106003=ORIENTED_EDGE('',*,*,#106002,.F.); +#106005=ORIENTED_EDGE('',*,*,#106004,.F.); +#106006=ORIENTED_EDGE('',*,*,#105893,.F.); +#106007=EDGE_LOOP('',(#105997,#105998,#105999,#106000,#106001,#106003,#106005, +#106006)); +#106008=FACE_OUTER_BOUND('',#106007,.F.); +#106010=CARTESIAN_POINT('',(-3.195E1,-1.12E1,-3.0895E1)); +#106011=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#106012=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#106013=AXIS2_PLACEMENT_3D('',#106010,#106011,#106012); +#106014=PLANE('',#106013); +#106015=ORIENTED_EDGE('',*,*,#58932,.T.); +#106016=ORIENTED_EDGE('',*,*,#105825,.T.); +#106017=ORIENTED_EDGE('',*,*,#105975,.F.); +#106018=ORIENTED_EDGE('',*,*,#105761,.F.); +#106019=EDGE_LOOP('',(#106015,#106016,#106017,#106018)); +#106020=FACE_OUTER_BOUND('',#106019,.F.); +#106022=CARTESIAN_POINT('',(-3.195E1,-1.12E1,-3.0895E1)); +#106023=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#106024=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#106025=AXIS2_PLACEMENT_3D('',#106022,#106023,#106024); +#106026=PLANE('',#106025); +#106027=ORIENTED_EDGE('',*,*,#58942,.T.); +#106028=ORIENTED_EDGE('',*,*,#105937,.T.); +#106029=ORIENTED_EDGE('',*,*,#105996,.F.); +#106030=ORIENTED_EDGE('',*,*,#105891,.F.); +#106031=EDGE_LOOP('',(#106027,#106028,#106029,#106030)); +#106032=FACE_OUTER_BOUND('',#106031,.F.); +#106034=CARTESIAN_POINT('',(-3.27E1,3.815E0,-2.9265E1)); +#106035=DIRECTION('',(1.E0,0.E0,0.E0)); +#106036=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106037=AXIS2_PLACEMENT_3D('',#106034,#106035,#106036); +#106038=CYLINDRICAL_SURFACE('',#106037,1.E0); +#106039=ORIENTED_EDGE('',*,*,#105681,.F.); +#106041=ORIENTED_EDGE('',*,*,#106040,.T.); +#106042=ORIENTED_EDGE('',*,*,#105978,.T.); +#106043=ORIENTED_EDGE('',*,*,#105821,.F.); +#106044=EDGE_LOOP('',(#106039,#106041,#106042,#106043)); +#106045=FACE_OUTER_BOUND('',#106044,.F.); +#106047=CARTESIAN_POINT('',(-3.27E1,4.815E0,-2.36E1)); +#106048=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106049=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106050=AXIS2_PLACEMENT_3D('',#106047,#106048,#106049); +#106051=PLANE('',#106050); +#106052=ORIENTED_EDGE('',*,*,#105679,.F.); +#106053=ORIENTED_EDGE('',*,*,#103669,.T.); +#106054=ORIENTED_EDGE('',*,*,#105980,.T.); +#106055=ORIENTED_EDGE('',*,*,#106040,.F.); +#106056=EDGE_LOOP('',(#106052,#106053,#106054,#106055)); +#106057=FACE_OUTER_BOUND('',#106056,.F.); +#106059=CARTESIAN_POINT('',(-3.27E1,5.445E0,-2.9265E1)); +#106060=DIRECTION('',(0.E0,1.E0,0.E0)); +#106061=DIRECTION('',(0.E0,0.E0,1.E0)); +#106062=AXIS2_PLACEMENT_3D('',#106059,#106060,#106061); +#106063=PLANE('',#106062); +#106064=ORIENTED_EDGE('',*,*,#105676,.F.); +#106066=ORIENTED_EDGE('',*,*,#106065,.T.); +#106067=ORIENTED_EDGE('',*,*,#105983,.T.); +#106068=ORIENTED_EDGE('',*,*,#103665,.F.); +#106069=EDGE_LOOP('',(#106064,#106066,#106067,#106068)); +#106070=FACE_OUTER_BOUND('',#106069,.F.); +#106072=CARTESIAN_POINT('',(-3.27E1,3.815E0,-2.9265E1)); +#106073=DIRECTION('',(1.E0,0.E0,0.E0)); +#106074=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106075=AXIS2_PLACEMENT_3D('',#106072,#106073,#106074); +#106076=CYLINDRICAL_SURFACE('',#106075,1.63E0); +#106077=ORIENTED_EDGE('',*,*,#105674,.F.); +#106078=ORIENTED_EDGE('',*,*,#105765,.T.); +#106079=ORIENTED_EDGE('',*,*,#105985,.T.); +#106080=ORIENTED_EDGE('',*,*,#106065,.F.); +#106081=EDGE_LOOP('',(#106077,#106078,#106079,#106080)); +#106082=FACE_OUTER_BOUND('',#106081,.F.); +#106084=CARTESIAN_POINT('',(-3.27E1,-2.155E0,-2.4685E1)); +#106085=DIRECTION('',(0.E0,1.E0,0.E0)); +#106086=DIRECTION('',(0.E0,0.E0,1.E0)); +#106087=AXIS2_PLACEMENT_3D('',#106084,#106085,#106086); +#106088=PLANE('',#106087); +#106089=ORIENTED_EDGE('',*,*,#105699,.F.); +#106091=ORIENTED_EDGE('',*,*,#106090,.T.); +#106092=ORIENTED_EDGE('',*,*,#106002,.T.); +#106093=ORIENTED_EDGE('',*,*,#60094,.F.); +#106094=EDGE_LOOP('',(#106089,#106091,#106092,#106093)); +#106095=FACE_OUTER_BOUND('',#106094,.F.); +#106097=CARTESIAN_POINT('',(-3.27E1,-3.285E0,-2.4685E1)); +#106098=DIRECTION('',(1.E0,0.E0,0.E0)); +#106099=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106100=AXIS2_PLACEMENT_3D('',#106097,#106098,#106099); +#106101=CYLINDRICAL_SURFACE('',#106100,1.13E0); +#106102=ORIENTED_EDGE('',*,*,#105697,.F.); +#106103=ORIENTED_EDGE('',*,*,#105895,.T.); +#106104=ORIENTED_EDGE('',*,*,#106004,.T.); +#106105=ORIENTED_EDGE('',*,*,#106090,.F.); +#106106=EDGE_LOOP('',(#106102,#106103,#106104,#106105)); +#106107=FACE_OUTER_BOUND('',#106106,.F.); +#106109=CARTESIAN_POINT('',(-3.055E1,1.033E1,0.E0)); +#106110=DIRECTION('',(1.E0,0.E0,0.E0)); +#106111=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106112=AXIS2_PLACEMENT_3D('',#106109,#106110,#106111); +#106113=PLANE('',#106112); +#106115=ORIENTED_EDGE('',*,*,#106114,.F.); +#106117=ORIENTED_EDGE('',*,*,#106116,.T.); +#106119=ORIENTED_EDGE('',*,*,#106118,.T.); +#106121=ORIENTED_EDGE('',*,*,#106120,.T.); +#106122=ORIENTED_EDGE('',*,*,#103673,.F.); +#106124=ORIENTED_EDGE('',*,*,#106123,.T.); +#106126=ORIENTED_EDGE('',*,*,#106125,.T.); +#106128=ORIENTED_EDGE('',*,*,#106127,.T.); +#106129=EDGE_LOOP('',(#106115,#106117,#106119,#106121,#106122,#106124,#106126, +#106128)); +#106130=FACE_OUTER_BOUND('',#106129,.F.); +#106132=CARTESIAN_POINT('',(-3.055E1,1.033E1,0.E0)); +#106133=DIRECTION('',(1.E0,0.E0,0.E0)); +#106134=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106135=AXIS2_PLACEMENT_3D('',#106132,#106133,#106134); +#106136=PLANE('',#106135); +#106138=ORIENTED_EDGE('',*,*,#106137,.F.); +#106140=ORIENTED_EDGE('',*,*,#106139,.T.); +#106142=ORIENTED_EDGE('',*,*,#106141,.T.); +#106144=ORIENTED_EDGE('',*,*,#106143,.T.); +#106145=ORIENTED_EDGE('',*,*,#60102,.F.); +#106147=ORIENTED_EDGE('',*,*,#106146,.T.); +#106149=ORIENTED_EDGE('',*,*,#106148,.T.); +#106151=ORIENTED_EDGE('',*,*,#106150,.T.); +#106152=EDGE_LOOP('',(#106138,#106140,#106142,#106144,#106145,#106147,#106149, +#106151)); +#106153=FACE_OUTER_BOUND('',#106152,.F.); +#106155=CARTESIAN_POINT('',(-3.055E1,-1.094019237886E1,-3.0895E1)); +#106156=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#106157=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#106158=AXIS2_PLACEMENT_3D('',#106155,#106156,#106157); +#106159=PLANE('',#106158); +#106160=ORIENTED_EDGE('',*,*,#58966,.F.); +#106162=ORIENTED_EDGE('',*,*,#106161,.F.); +#106163=ORIENTED_EDGE('',*,*,#106114,.T.); +#106165=ORIENTED_EDGE('',*,*,#106164,.T.); +#106166=EDGE_LOOP('',(#106160,#106162,#106163,#106165)); +#106167=FACE_OUTER_BOUND('',#106166,.F.); +#106169=CARTESIAN_POINT('',(-3.055E1,-1.094019237886E1,-3.0895E1)); +#106170=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#106171=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#106172=AXIS2_PLACEMENT_3D('',#106169,#106170,#106171); +#106173=PLANE('',#106172); +#106174=ORIENTED_EDGE('',*,*,#58956,.F.); +#106176=ORIENTED_EDGE('',*,*,#106175,.F.); +#106177=ORIENTED_EDGE('',*,*,#106137,.T.); +#106179=ORIENTED_EDGE('',*,*,#106178,.T.); +#106180=EDGE_LOOP('',(#106174,#106176,#106177,#106179)); +#106181=FACE_OUTER_BOUND('',#106180,.F.); +#106183=CARTESIAN_POINT('',(-3.055E1,-1.72E1,-2.8355E1)); +#106184=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106185=DIRECTION('',(0.E0,1.E0,0.E0)); +#106186=AXIS2_PLACEMENT_3D('',#106183,#106184,#106185); +#106187=PLANE('',#106186); +#106189=ORIENTED_EDGE('',*,*,#106188,.T.); +#106190=ORIENTED_EDGE('',*,*,#57896,.F.); +#106192=ORIENTED_EDGE('',*,*,#106191,.F.); +#106194=ORIENTED_EDGE('',*,*,#106193,.T.); +#106195=EDGE_LOOP('',(#106189,#106190,#106192,#106194)); +#106196=FACE_OUTER_BOUND('',#106195,.F.); +#106198=CARTESIAN_POINT('',(-3.055E1,-1.72E1,-2.8355E1)); +#106199=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106200=DIRECTION('',(0.E0,1.E0,0.E0)); +#106201=AXIS2_PLACEMENT_3D('',#106198,#106199,#106200); +#106202=PLANE('',#106201); +#106204=ORIENTED_EDGE('',*,*,#106203,.T.); +#106206=ORIENTED_EDGE('',*,*,#106205,.T.); +#106208=ORIENTED_EDGE('',*,*,#106207,.F.); +#106209=ORIENTED_EDGE('',*,*,#106116,.F.); +#106210=ORIENTED_EDGE('',*,*,#106161,.T.); +#106211=ORIENTED_EDGE('',*,*,#58964,.T.); +#106212=EDGE_LOOP('',(#106204,#106206,#106208,#106209,#106210,#106211)); +#106213=FACE_OUTER_BOUND('',#106212,.F.); +#106215=CARTESIAN_POINT('',(-2.98E1,-1.72E1,-3.0895E1)); +#106216=DIRECTION('',(-1.E0,0.E0,0.E0)); +#106217=DIRECTION('',(0.E0,1.E0,0.E0)); +#106218=AXIS2_PLACEMENT_3D('',#106215,#106216,#106217); +#106219=PLANE('',#106218); +#106220=ORIENTED_EDGE('',*,*,#57898,.F.); +#106221=ORIENTED_EDGE('',*,*,#106188,.F.); +#106223=ORIENTED_EDGE('',*,*,#106222,.F.); +#106225=ORIENTED_EDGE('',*,*,#106224,.T.); +#106226=EDGE_LOOP('',(#106220,#106221,#106223,#106225)); +#106227=FACE_OUTER_BOUND('',#106226,.F.); +#106229=CARTESIAN_POINT('',(-2.98E1,-1.72E1,-3.0895E1)); +#106230=DIRECTION('',(-1.E0,0.E0,0.E0)); +#106231=DIRECTION('',(0.E0,1.E0,0.E0)); +#106232=AXIS2_PLACEMENT_3D('',#106229,#106230,#106231); +#106233=PLANE('',#106232); +#106234=ORIENTED_EDGE('',*,*,#57888,.F.); +#106236=ORIENTED_EDGE('',*,*,#106235,.F.); +#106238=ORIENTED_EDGE('',*,*,#106237,.F.); +#106240=ORIENTED_EDGE('',*,*,#106239,.T.); +#106241=EDGE_LOOP('',(#106234,#106236,#106238,#106240)); +#106242=FACE_OUTER_BOUND('',#106241,.F.); +#106244=CARTESIAN_POINT('',(-3.055E1,-1.72E1,-2.7725E1)); +#106245=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106246=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106247=AXIS2_PLACEMENT_3D('',#106244,#106245,#106246); +#106248=PLANE('',#106247); +#106249=ORIENTED_EDGE('',*,*,#106222,.T.); +#106250=ORIENTED_EDGE('',*,*,#106193,.F.); +#106252=ORIENTED_EDGE('',*,*,#106251,.F.); +#106254=ORIENTED_EDGE('',*,*,#106253,.T.); +#106255=EDGE_LOOP('',(#106249,#106250,#106252,#106254)); +#106256=FACE_OUTER_BOUND('',#106255,.F.); +#106258=CARTESIAN_POINT('',(-3.04E1,-1.35E1,-3.0895E1)); +#106259=DIRECTION('',(1.E0,0.E0,0.E0)); +#106260=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106261=AXIS2_PLACEMENT_3D('',#106258,#106259,#106260); +#106262=PLANE('',#106261); +#106263=ORIENTED_EDGE('',*,*,#57902,.T.); +#106265=ORIENTED_EDGE('',*,*,#106264,.F.); +#106266=ORIENTED_EDGE('',*,*,#106251,.T.); +#106267=ORIENTED_EDGE('',*,*,#106191,.T.); +#106268=EDGE_LOOP('',(#106263,#106265,#106266,#106267)); +#106269=FACE_OUTER_BOUND('',#106268,.F.); +#106271=CARTESIAN_POINT('',(-3.04E1,-1.35E1,-3.0895E1)); +#106272=DIRECTION('',(1.E0,0.E0,0.E0)); +#106273=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106274=AXIS2_PLACEMENT_3D('',#106271,#106272,#106273); +#106275=PLANE('',#106274); +#106276=ORIENTED_EDGE('',*,*,#57892,.T.); +#106278=ORIENTED_EDGE('',*,*,#106277,.F.); +#106280=ORIENTED_EDGE('',*,*,#106279,.T.); +#106282=ORIENTED_EDGE('',*,*,#106281,.T.); +#106283=EDGE_LOOP('',(#106276,#106278,#106280,#106282)); +#106284=FACE_OUTER_BOUND('',#106283,.F.); +#106286=CARTESIAN_POINT('',(-3.055E1,3.815E0,-2.7725E1)); +#106287=DIRECTION('',(0.E0,0.E0,1.E0)); +#106288=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106289=AXIS2_PLACEMENT_3D('',#106286,#106287,#106288); +#106290=PLANE('',#106289); +#106291=ORIENTED_EDGE('',*,*,#106224,.F.); +#106292=ORIENTED_EDGE('',*,*,#106253,.F.); +#106293=ORIENTED_EDGE('',*,*,#106264,.T.); +#106294=ORIENTED_EDGE('',*,*,#57900,.T.); +#106295=EDGE_LOOP('',(#106291,#106292,#106293,#106294)); +#106296=FACE_OUTER_BOUND('',#106295,.F.); +#106298=CARTESIAN_POINT('',(-3.055E1,3.815E0,-2.7725E1)); +#106299=DIRECTION('',(0.E0,0.E0,1.E0)); +#106300=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106301=AXIS2_PLACEMENT_3D('',#106298,#106299,#106300); +#106302=PLANE('',#106301); +#106304=ORIENTED_EDGE('',*,*,#106303,.F.); +#106305=ORIENTED_EDGE('',*,*,#58960,.F.); +#106306=ORIENTED_EDGE('',*,*,#106164,.F.); +#106307=ORIENTED_EDGE('',*,*,#106127,.F.); +#106309=ORIENTED_EDGE('',*,*,#106308,.T.); +#106311=ORIENTED_EDGE('',*,*,#106310,.T.); +#106312=EDGE_LOOP('',(#106304,#106305,#106306,#106307,#106309,#106311)); +#106313=FACE_OUTER_BOUND('',#106312,.F.); +#106315=CARTESIAN_POINT('',(-2.98E1,-1.12E1,-3.0895E1)); +#106316=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#106317=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#106318=AXIS2_PLACEMENT_3D('',#106315,#106316,#106317); +#106319=PLANE('',#106318); +#106320=ORIENTED_EDGE('',*,*,#58962,.T.); +#106321=ORIENTED_EDGE('',*,*,#106303,.T.); +#106323=ORIENTED_EDGE('',*,*,#106322,.F.); +#106324=ORIENTED_EDGE('',*,*,#106203,.F.); +#106325=EDGE_LOOP('',(#106320,#106321,#106323,#106324)); +#106326=FACE_OUTER_BOUND('',#106325,.F.); +#106328=CARTESIAN_POINT('',(-2.98E1,-1.12E1,-3.0895E1)); +#106329=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#106330=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#106331=AXIS2_PLACEMENT_3D('',#106328,#106329,#106330); +#106332=PLANE('',#106331); +#106333=ORIENTED_EDGE('',*,*,#58952,.T.); +#106335=ORIENTED_EDGE('',*,*,#106334,.T.); +#106337=ORIENTED_EDGE('',*,*,#106336,.F.); +#106339=ORIENTED_EDGE('',*,*,#106338,.F.); +#106340=EDGE_LOOP('',(#106333,#106335,#106337,#106339)); +#106341=FACE_OUTER_BOUND('',#106340,.F.); +#106343=CARTESIAN_POINT('',(-2.965E1,1.033E1,0.E0)); +#106344=DIRECTION('',(1.E0,0.E0,0.E0)); +#106345=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106346=AXIS2_PLACEMENT_3D('',#106343,#106344,#106345); +#106347=PLANE('',#106346); +#106348=ORIENTED_EDGE('',*,*,#106322,.T.); +#106349=ORIENTED_EDGE('',*,*,#106310,.F.); +#106351=ORIENTED_EDGE('',*,*,#106350,.F.); +#106353=ORIENTED_EDGE('',*,*,#106352,.F.); +#106354=ORIENTED_EDGE('',*,*,#103677,.T.); +#106356=ORIENTED_EDGE('',*,*,#106355,.F.); +#106358=ORIENTED_EDGE('',*,*,#106357,.F.); +#106359=ORIENTED_EDGE('',*,*,#106205,.F.); +#106360=EDGE_LOOP('',(#106348,#106349,#106351,#106353,#106354,#106356,#106358, +#106359)); +#106361=FACE_OUTER_BOUND('',#106360,.F.); +#106363=CARTESIAN_POINT('',(-2.965E1,1.033E1,0.E0)); +#106364=DIRECTION('',(1.E0,0.E0,0.E0)); +#106365=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106366=AXIS2_PLACEMENT_3D('',#106363,#106364,#106365); +#106367=PLANE('',#106366); +#106368=ORIENTED_EDGE('',*,*,#106336,.T.); +#106370=ORIENTED_EDGE('',*,*,#106369,.F.); +#106372=ORIENTED_EDGE('',*,*,#106371,.F.); +#106374=ORIENTED_EDGE('',*,*,#106373,.F.); +#106375=ORIENTED_EDGE('',*,*,#60106,.T.); +#106377=ORIENTED_EDGE('',*,*,#106376,.F.); +#106379=ORIENTED_EDGE('',*,*,#106378,.F.); +#106381=ORIENTED_EDGE('',*,*,#106380,.F.); +#106382=EDGE_LOOP('',(#106368,#106370,#106372,#106374,#106375,#106377,#106379, +#106381)); +#106383=FACE_OUTER_BOUND('',#106382,.F.); +#106385=CARTESIAN_POINT('',(-3.055E1,3.815E0,-2.6725E1)); +#106386=DIRECTION('',(1.E0,0.E0,0.E0)); +#106387=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106388=AXIS2_PLACEMENT_3D('',#106385,#106386,#106387); +#106389=CYLINDRICAL_SURFACE('',#106388,1.E0); +#106390=ORIENTED_EDGE('',*,*,#106125,.F.); +#106392=ORIENTED_EDGE('',*,*,#106391,.T.); +#106393=ORIENTED_EDGE('',*,*,#106350,.T.); +#106394=ORIENTED_EDGE('',*,*,#106308,.F.); +#106395=EDGE_LOOP('',(#106390,#106392,#106393,#106394)); +#106396=FACE_OUTER_BOUND('',#106395,.F.); +#106398=CARTESIAN_POINT('',(-3.055E1,4.815E0,-2.36E1)); +#106399=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106400=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106401=AXIS2_PLACEMENT_3D('',#106398,#106399,#106400); +#106402=PLANE('',#106401); +#106403=ORIENTED_EDGE('',*,*,#106123,.F.); +#106404=ORIENTED_EDGE('',*,*,#103679,.T.); +#106405=ORIENTED_EDGE('',*,*,#106352,.T.); +#106406=ORIENTED_EDGE('',*,*,#106391,.F.); +#106407=EDGE_LOOP('',(#106403,#106404,#106405,#106406)); +#106408=FACE_OUTER_BOUND('',#106407,.F.); +#106410=CARTESIAN_POINT('',(-3.055E1,5.445E0,-2.6725E1)); +#106411=DIRECTION('',(0.E0,1.E0,0.E0)); +#106412=DIRECTION('',(0.E0,0.E0,1.E0)); +#106413=AXIS2_PLACEMENT_3D('',#106410,#106411,#106412); +#106414=PLANE('',#106413); +#106415=ORIENTED_EDGE('',*,*,#106120,.F.); +#106417=ORIENTED_EDGE('',*,*,#106416,.T.); +#106418=ORIENTED_EDGE('',*,*,#106355,.T.); +#106419=ORIENTED_EDGE('',*,*,#103675,.F.); +#106420=EDGE_LOOP('',(#106415,#106417,#106418,#106419)); +#106421=FACE_OUTER_BOUND('',#106420,.F.); +#106423=CARTESIAN_POINT('',(-3.055E1,3.815E0,-2.6725E1)); +#106424=DIRECTION('',(1.E0,0.E0,0.E0)); +#106425=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106426=AXIS2_PLACEMENT_3D('',#106423,#106424,#106425); +#106427=CYLINDRICAL_SURFACE('',#106426,1.63E0); +#106428=ORIENTED_EDGE('',*,*,#106118,.F.); +#106429=ORIENTED_EDGE('',*,*,#106207,.T.); +#106430=ORIENTED_EDGE('',*,*,#106357,.T.); +#106431=ORIENTED_EDGE('',*,*,#106416,.F.); +#106432=EDGE_LOOP('',(#106428,#106429,#106430,#106431)); +#106433=FACE_OUTER_BOUND('',#106432,.F.); +#106435=CARTESIAN_POINT('',(-3.055E1,-3.285E0,-2.5185E1)); +#106436=DIRECTION('',(0.E0,0.E0,1.E0)); +#106437=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106438=AXIS2_PLACEMENT_3D('',#106435,#106436,#106437); +#106439=PLANE('',#106438); +#106440=ORIENTED_EDGE('',*,*,#106239,.F.); +#106442=ORIENTED_EDGE('',*,*,#106441,.F.); +#106443=ORIENTED_EDGE('',*,*,#106277,.T.); +#106444=ORIENTED_EDGE('',*,*,#57890,.T.); +#106445=EDGE_LOOP('',(#106440,#106442,#106443,#106444)); +#106446=FACE_OUTER_BOUND('',#106445,.F.); +#106448=CARTESIAN_POINT('',(-3.055E1,-3.285E0,-2.5185E1)); +#106449=DIRECTION('',(0.E0,0.E0,1.E0)); +#106450=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106451=AXIS2_PLACEMENT_3D('',#106448,#106449,#106450); +#106452=PLANE('',#106451); +#106453=ORIENTED_EDGE('',*,*,#106334,.F.); +#106454=ORIENTED_EDGE('',*,*,#58950,.F.); +#106455=ORIENTED_EDGE('',*,*,#106178,.F.); +#106456=ORIENTED_EDGE('',*,*,#106150,.F.); +#106458=ORIENTED_EDGE('',*,*,#106457,.T.); +#106459=ORIENTED_EDGE('',*,*,#106369,.T.); +#106460=EDGE_LOOP('',(#106453,#106454,#106455,#106456,#106458,#106459)); +#106461=FACE_OUTER_BOUND('',#106460,.F.); +#106463=CARTESIAN_POINT('',(-3.055E1,-1.72E1,-2.5185E1)); +#106464=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106465=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106466=AXIS2_PLACEMENT_3D('',#106463,#106464,#106465); +#106467=PLANE('',#106466); +#106468=ORIENTED_EDGE('',*,*,#106237,.T.); +#106470=ORIENTED_EDGE('',*,*,#106469,.F.); +#106471=ORIENTED_EDGE('',*,*,#106279,.F.); +#106472=ORIENTED_EDGE('',*,*,#106441,.T.); +#106473=EDGE_LOOP('',(#106468,#106470,#106471,#106472)); +#106474=FACE_OUTER_BOUND('',#106473,.F.); +#106476=CARTESIAN_POINT('',(-3.055E1,-1.72E1,-2.5815E1)); +#106477=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106478=DIRECTION('',(0.E0,1.E0,0.E0)); +#106479=AXIS2_PLACEMENT_3D('',#106476,#106477,#106478); +#106480=PLANE('',#106479); +#106481=ORIENTED_EDGE('',*,*,#106235,.T.); +#106482=ORIENTED_EDGE('',*,*,#57886,.F.); +#106483=ORIENTED_EDGE('',*,*,#106281,.F.); +#106484=ORIENTED_EDGE('',*,*,#106469,.T.); +#106485=EDGE_LOOP('',(#106481,#106482,#106483,#106484)); +#106486=FACE_OUTER_BOUND('',#106485,.F.); +#106488=CARTESIAN_POINT('',(-3.055E1,-1.72E1,-2.5815E1)); +#106489=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106490=DIRECTION('',(0.E0,1.E0,0.E0)); +#106491=AXIS2_PLACEMENT_3D('',#106488,#106489,#106490); +#106492=PLANE('',#106491); +#106493=ORIENTED_EDGE('',*,*,#106338,.T.); +#106494=ORIENTED_EDGE('',*,*,#106380,.T.); +#106496=ORIENTED_EDGE('',*,*,#106495,.F.); +#106497=ORIENTED_EDGE('',*,*,#106139,.F.); +#106498=ORIENTED_EDGE('',*,*,#106175,.T.); +#106499=ORIENTED_EDGE('',*,*,#58954,.T.); +#106500=EDGE_LOOP('',(#106493,#106494,#106496,#106497,#106498,#106499)); +#106501=FACE_OUTER_BOUND('',#106500,.F.); +#106503=CARTESIAN_POINT('',(-3.055E1,-3.285E0,-2.4685E1)); +#106504=DIRECTION('',(1.E0,0.E0,0.E0)); +#106505=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106506=AXIS2_PLACEMENT_3D('',#106503,#106504,#106505); +#106507=CYLINDRICAL_SURFACE('',#106506,1.13E0); +#106508=ORIENTED_EDGE('',*,*,#106141,.F.); +#106509=ORIENTED_EDGE('',*,*,#106495,.T.); +#106510=ORIENTED_EDGE('',*,*,#106378,.T.); +#106512=ORIENTED_EDGE('',*,*,#106511,.F.); +#106513=EDGE_LOOP('',(#106508,#106509,#106510,#106512)); +#106514=FACE_OUTER_BOUND('',#106513,.F.); +#106516=CARTESIAN_POINT('',(-3.055E1,-2.155E0,-2.4685E1)); +#106517=DIRECTION('',(0.E0,1.E0,0.E0)); +#106518=DIRECTION('',(0.E0,0.E0,1.E0)); +#106519=AXIS2_PLACEMENT_3D('',#106516,#106517,#106518); +#106520=PLANE('',#106519); +#106521=ORIENTED_EDGE('',*,*,#106143,.F.); +#106522=ORIENTED_EDGE('',*,*,#106511,.T.); +#106523=ORIENTED_EDGE('',*,*,#106376,.T.); +#106524=ORIENTED_EDGE('',*,*,#60104,.F.); +#106525=EDGE_LOOP('',(#106521,#106522,#106523,#106524)); +#106526=FACE_OUTER_BOUND('',#106525,.F.); +#106528=CARTESIAN_POINT('',(-3.055E1,-3.285E0,-2.4685E1)); +#106529=DIRECTION('',(1.E0,0.E0,0.E0)); +#106530=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106531=AXIS2_PLACEMENT_3D('',#106528,#106529,#106530); +#106532=CYLINDRICAL_SURFACE('',#106531,5.E-1); +#106533=ORIENTED_EDGE('',*,*,#106148,.F.); +#106535=ORIENTED_EDGE('',*,*,#106534,.T.); +#106536=ORIENTED_EDGE('',*,*,#106371,.T.); +#106537=ORIENTED_EDGE('',*,*,#106457,.F.); +#106538=EDGE_LOOP('',(#106533,#106535,#106536,#106537)); +#106539=FACE_OUTER_BOUND('',#106538,.F.); +#106541=CARTESIAN_POINT('',(-3.055E1,-2.785E0,-2.36E1)); +#106542=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106543=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106544=AXIS2_PLACEMENT_3D('',#106541,#106542,#106543); +#106545=PLANE('',#106544); +#106546=ORIENTED_EDGE('',*,*,#106146,.F.); +#106547=ORIENTED_EDGE('',*,*,#60108,.T.); +#106548=ORIENTED_EDGE('',*,*,#106373,.T.); +#106549=ORIENTED_EDGE('',*,*,#106534,.F.); +#106550=EDGE_LOOP('',(#106546,#106547,#106548,#106549)); +#106551=FACE_OUTER_BOUND('',#106550,.F.); +#106553=CARTESIAN_POINT('',(-2.84E1,6.33E0,0.E0)); +#106554=DIRECTION('',(1.E0,0.E0,0.E0)); +#106555=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106556=AXIS2_PLACEMENT_3D('',#106553,#106554,#106555); +#106557=PLANE('',#106556); +#106559=ORIENTED_EDGE('',*,*,#106558,.F.); +#106561=ORIENTED_EDGE('',*,*,#106560,.T.); +#106563=ORIENTED_EDGE('',*,*,#106562,.T.); +#106565=ORIENTED_EDGE('',*,*,#106564,.T.); +#106566=ORIENTED_EDGE('',*,*,#103683,.F.); +#106568=ORIENTED_EDGE('',*,*,#106567,.T.); +#106570=ORIENTED_EDGE('',*,*,#106569,.T.); +#106572=ORIENTED_EDGE('',*,*,#106571,.T.); +#106573=EDGE_LOOP('',(#106559,#106561,#106563,#106565,#106566,#106568,#106570, +#106572)); +#106574=FACE_OUTER_BOUND('',#106573,.F.); +#106576=CARTESIAN_POINT('',(-2.84E1,6.33E0,0.E0)); +#106577=DIRECTION('',(1.E0,0.E0,0.E0)); +#106578=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106579=AXIS2_PLACEMENT_3D('',#106576,#106577,#106578); +#106580=PLANE('',#106579); +#106582=ORIENTED_EDGE('',*,*,#106581,.F.); +#106584=ORIENTED_EDGE('',*,*,#106583,.T.); +#106586=ORIENTED_EDGE('',*,*,#106585,.T.); +#106588=ORIENTED_EDGE('',*,*,#106587,.T.); +#106589=ORIENTED_EDGE('',*,*,#60112,.F.); +#106591=ORIENTED_EDGE('',*,*,#106590,.T.); +#106593=ORIENTED_EDGE('',*,*,#106592,.T.); +#106595=ORIENTED_EDGE('',*,*,#106594,.T.); +#106596=EDGE_LOOP('',(#106582,#106584,#106586,#106588,#106589,#106591,#106593, +#106595)); +#106597=FACE_OUTER_BOUND('',#106596,.F.); +#106599=CARTESIAN_POINT('',(-2.84E1,-1.094019237886E1,-3.0895E1)); +#106600=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#106601=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#106602=AXIS2_PLACEMENT_3D('',#106599,#106600,#106601); +#106603=PLANE('',#106602); +#106604=ORIENTED_EDGE('',*,*,#58976,.F.); +#106606=ORIENTED_EDGE('',*,*,#106605,.F.); +#106607=ORIENTED_EDGE('',*,*,#106558,.T.); +#106609=ORIENTED_EDGE('',*,*,#106608,.T.); +#106610=EDGE_LOOP('',(#106604,#106606,#106607,#106609)); +#106611=FACE_OUTER_BOUND('',#106610,.F.); +#106613=CARTESIAN_POINT('',(-2.84E1,-1.094019237886E1,-3.0895E1)); +#106614=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#106615=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#106616=AXIS2_PLACEMENT_3D('',#106613,#106614,#106615); +#106617=PLANE('',#106616); +#106618=ORIENTED_EDGE('',*,*,#58986,.F.); +#106620=ORIENTED_EDGE('',*,*,#106619,.F.); +#106621=ORIENTED_EDGE('',*,*,#106581,.T.); +#106623=ORIENTED_EDGE('',*,*,#106622,.T.); +#106624=EDGE_LOOP('',(#106618,#106620,#106621,#106623)); +#106625=FACE_OUTER_BOUND('',#106624,.F.); +#106627=CARTESIAN_POINT('',(-2.84E1,-1.72E1,-3.0895E1)); +#106628=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106629=DIRECTION('',(0.E0,1.E0,0.E0)); +#106630=AXIS2_PLACEMENT_3D('',#106627,#106628,#106629); +#106631=PLANE('',#106630); +#106633=ORIENTED_EDGE('',*,*,#106632,.F.); +#106635=ORIENTED_EDGE('',*,*,#106634,.T.); +#106637=ORIENTED_EDGE('',*,*,#106636,.T.); +#106638=ORIENTED_EDGE('',*,*,#57906,.F.); +#106639=EDGE_LOOP('',(#106633,#106635,#106637,#106638)); +#106640=FACE_OUTER_BOUND('',#106639,.F.); +#106642=CARTESIAN_POINT('',(-2.84E1,-1.72E1,-3.0895E1)); +#106643=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106644=DIRECTION('',(0.E0,1.E0,0.E0)); +#106645=AXIS2_PLACEMENT_3D('',#106642,#106643,#106644); +#106646=PLANE('',#106645); +#106647=ORIENTED_EDGE('',*,*,#106605,.T.); +#106648=ORIENTED_EDGE('',*,*,#58974,.T.); +#106650=ORIENTED_EDGE('',*,*,#106649,.T.); +#106652=ORIENTED_EDGE('',*,*,#106651,.T.); +#106654=ORIENTED_EDGE('',*,*,#106653,.F.); +#106655=ORIENTED_EDGE('',*,*,#106560,.F.); +#106656=EDGE_LOOP('',(#106647,#106648,#106650,#106652,#106654,#106655)); +#106657=FACE_OUTER_BOUND('',#106656,.F.); +#106659=CARTESIAN_POINT('',(-2.825E1,-1.35E1,-3.0895E1)); +#106660=DIRECTION('',(1.E0,0.E0,0.E0)); +#106661=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106662=AXIS2_PLACEMENT_3D('',#106659,#106660,#106661); +#106663=PLANE('',#106662); +#106664=ORIENTED_EDGE('',*,*,#57912,.T.); +#106666=ORIENTED_EDGE('',*,*,#106665,.F.); +#106668=ORIENTED_EDGE('',*,*,#106667,.T.); +#106669=ORIENTED_EDGE('',*,*,#106632,.T.); +#106670=EDGE_LOOP('',(#106664,#106666,#106668,#106669)); +#106671=FACE_OUTER_BOUND('',#106670,.F.); +#106673=CARTESIAN_POINT('',(-2.825E1,-1.35E1,-3.0895E1)); +#106674=DIRECTION('',(1.E0,0.E0,0.E0)); +#106675=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106676=AXIS2_PLACEMENT_3D('',#106673,#106674,#106675); +#106677=PLANE('',#106676); +#106678=ORIENTED_EDGE('',*,*,#57922,.T.); +#106680=ORIENTED_EDGE('',*,*,#106679,.F.); +#106682=ORIENTED_EDGE('',*,*,#106681,.T.); +#106684=ORIENTED_EDGE('',*,*,#106683,.T.); +#106685=EDGE_LOOP('',(#106678,#106680,#106682,#106684)); +#106686=FACE_OUTER_BOUND('',#106685,.F.); +#106688=CARTESIAN_POINT('',(-2.84E1,3.815E0,-3.0265E1)); +#106689=DIRECTION('',(0.E0,0.E0,1.E0)); +#106690=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106691=AXIS2_PLACEMENT_3D('',#106688,#106689,#106690); +#106692=PLANE('',#106691); +#106693=ORIENTED_EDGE('',*,*,#106665,.T.); +#106694=ORIENTED_EDGE('',*,*,#57910,.T.); +#106696=ORIENTED_EDGE('',*,*,#106695,.F.); +#106698=ORIENTED_EDGE('',*,*,#106697,.F.); +#106699=EDGE_LOOP('',(#106693,#106694,#106696,#106698)); +#106700=FACE_OUTER_BOUND('',#106699,.F.); +#106702=CARTESIAN_POINT('',(-2.84E1,3.815E0,-3.0265E1)); +#106703=DIRECTION('',(0.E0,0.E0,1.E0)); +#106704=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106705=AXIS2_PLACEMENT_3D('',#106702,#106703,#106704); +#106706=PLANE('',#106705); +#106707=ORIENTED_EDGE('',*,*,#106608,.F.); +#106708=ORIENTED_EDGE('',*,*,#106571,.F.); +#106710=ORIENTED_EDGE('',*,*,#106709,.T.); +#106712=ORIENTED_EDGE('',*,*,#106711,.T.); +#106714=ORIENTED_EDGE('',*,*,#106713,.F.); +#106715=ORIENTED_EDGE('',*,*,#58970,.F.); +#106716=EDGE_LOOP('',(#106707,#106708,#106710,#106712,#106714,#106715)); +#106717=FACE_OUTER_BOUND('',#106716,.F.); +#106719=CARTESIAN_POINT('',(-2.765E1,-1.72E1,-3.0895E1)); +#106720=DIRECTION('',(-1.E0,0.E0,0.E0)); +#106721=DIRECTION('',(0.E0,1.E0,0.E0)); +#106722=AXIS2_PLACEMENT_3D('',#106719,#106720,#106721); +#106723=PLANE('',#106722); +#106724=ORIENTED_EDGE('',*,*,#57908,.F.); +#106725=ORIENTED_EDGE('',*,*,#106636,.F.); +#106727=ORIENTED_EDGE('',*,*,#106726,.F.); +#106728=ORIENTED_EDGE('',*,*,#106695,.T.); +#106729=EDGE_LOOP('',(#106724,#106725,#106727,#106728)); +#106730=FACE_OUTER_BOUND('',#106729,.F.); +#106732=CARTESIAN_POINT('',(-2.765E1,-1.72E1,-3.0895E1)); +#106733=DIRECTION('',(-1.E0,0.E0,0.E0)); +#106734=DIRECTION('',(0.E0,1.E0,0.E0)); +#106735=AXIS2_PLACEMENT_3D('',#106732,#106733,#106734); +#106736=PLANE('',#106735); +#106737=ORIENTED_EDGE('',*,*,#57918,.F.); +#106739=ORIENTED_EDGE('',*,*,#106738,.F.); +#106741=ORIENTED_EDGE('',*,*,#106740,.F.); +#106743=ORIENTED_EDGE('',*,*,#106742,.T.); +#106744=EDGE_LOOP('',(#106737,#106739,#106741,#106743)); +#106745=FACE_OUTER_BOUND('',#106744,.F.); +#106747=CARTESIAN_POINT('',(-2.84E1,-1.72E1,-3.0265E1)); +#106748=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106749=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106750=AXIS2_PLACEMENT_3D('',#106747,#106748,#106749); +#106751=PLANE('',#106750); +#106752=ORIENTED_EDGE('',*,*,#106667,.F.); +#106753=ORIENTED_EDGE('',*,*,#106697,.T.); +#106754=ORIENTED_EDGE('',*,*,#106726,.T.); +#106755=ORIENTED_EDGE('',*,*,#106634,.F.); +#106756=EDGE_LOOP('',(#106752,#106753,#106754,#106755)); +#106757=FACE_OUTER_BOUND('',#106756,.F.); +#106759=CARTESIAN_POINT('',(-2.84E1,-1.72E1,-2.5815E1)); +#106760=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106761=DIRECTION('',(0.E0,1.E0,0.E0)); +#106762=AXIS2_PLACEMENT_3D('',#106759,#106760,#106761); +#106763=PLANE('',#106762); +#106764=ORIENTED_EDGE('',*,*,#106683,.F.); +#106766=ORIENTED_EDGE('',*,*,#106765,.T.); +#106767=ORIENTED_EDGE('',*,*,#106738,.T.); +#106768=ORIENTED_EDGE('',*,*,#57916,.F.); +#106769=EDGE_LOOP('',(#106764,#106766,#106767,#106768)); +#106770=FACE_OUTER_BOUND('',#106769,.F.); +#106772=CARTESIAN_POINT('',(-2.84E1,-1.72E1,-2.5815E1)); +#106773=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106774=DIRECTION('',(0.E0,1.E0,0.E0)); +#106775=AXIS2_PLACEMENT_3D('',#106772,#106773,#106774); +#106776=PLANE('',#106775); +#106777=ORIENTED_EDGE('',*,*,#106619,.T.); +#106778=ORIENTED_EDGE('',*,*,#58984,.T.); +#106780=ORIENTED_EDGE('',*,*,#106779,.T.); +#106782=ORIENTED_EDGE('',*,*,#106781,.T.); +#106784=ORIENTED_EDGE('',*,*,#106783,.F.); +#106785=ORIENTED_EDGE('',*,*,#106583,.F.); +#106786=EDGE_LOOP('',(#106777,#106778,#106780,#106782,#106784,#106785)); +#106787=FACE_OUTER_BOUND('',#106786,.F.); +#106789=CARTESIAN_POINT('',(-2.84E1,-1.72E1,-2.5185E1)); +#106790=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106791=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106792=AXIS2_PLACEMENT_3D('',#106789,#106790,#106791); +#106793=PLANE('',#106792); +#106794=ORIENTED_EDGE('',*,*,#106681,.F.); +#106796=ORIENTED_EDGE('',*,*,#106795,.T.); +#106797=ORIENTED_EDGE('',*,*,#106740,.T.); +#106798=ORIENTED_EDGE('',*,*,#106765,.F.); +#106799=EDGE_LOOP('',(#106794,#106796,#106797,#106798)); +#106800=FACE_OUTER_BOUND('',#106799,.F.); +#106802=CARTESIAN_POINT('',(-2.84E1,-3.285E0,-2.5185E1)); +#106803=DIRECTION('',(0.E0,0.E0,1.E0)); +#106804=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106805=AXIS2_PLACEMENT_3D('',#106802,#106803,#106804); +#106806=PLANE('',#106805); +#106807=ORIENTED_EDGE('',*,*,#106679,.T.); +#106808=ORIENTED_EDGE('',*,*,#57920,.T.); +#106809=ORIENTED_EDGE('',*,*,#106742,.F.); +#106810=ORIENTED_EDGE('',*,*,#106795,.F.); +#106811=EDGE_LOOP('',(#106807,#106808,#106809,#106810)); +#106812=FACE_OUTER_BOUND('',#106811,.F.); +#106814=CARTESIAN_POINT('',(-2.84E1,-3.285E0,-2.5185E1)); +#106815=DIRECTION('',(0.E0,0.E0,1.E0)); +#106816=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106817=AXIS2_PLACEMENT_3D('',#106814,#106815,#106816); +#106818=PLANE('',#106817); +#106819=ORIENTED_EDGE('',*,*,#106622,.F.); +#106820=ORIENTED_EDGE('',*,*,#106594,.F.); +#106822=ORIENTED_EDGE('',*,*,#106821,.T.); +#106824=ORIENTED_EDGE('',*,*,#106823,.T.); +#106826=ORIENTED_EDGE('',*,*,#106825,.F.); +#106827=ORIENTED_EDGE('',*,*,#58980,.F.); +#106828=EDGE_LOOP('',(#106819,#106820,#106822,#106824,#106826,#106827)); +#106829=FACE_OUTER_BOUND('',#106828,.F.); +#106831=CARTESIAN_POINT('',(-2.84E1,-3.285E0,-2.4685E1)); +#106832=DIRECTION('',(1.E0,0.E0,0.E0)); +#106833=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106834=AXIS2_PLACEMENT_3D('',#106831,#106832,#106833); +#106835=CYLINDRICAL_SURFACE('',#106834,5.E-1); +#106836=ORIENTED_EDGE('',*,*,#106592,.F.); +#106838=ORIENTED_EDGE('',*,*,#106837,.T.); +#106840=ORIENTED_EDGE('',*,*,#106839,.T.); +#106841=ORIENTED_EDGE('',*,*,#106821,.F.); +#106842=EDGE_LOOP('',(#106836,#106838,#106840,#106841)); +#106843=FACE_OUTER_BOUND('',#106842,.F.); +#106845=CARTESIAN_POINT('',(-2.84E1,-2.785E0,-2.36E1)); +#106846=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106847=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106848=AXIS2_PLACEMENT_3D('',#106845,#106846,#106847); +#106849=PLANE('',#106848); +#106850=ORIENTED_EDGE('',*,*,#106590,.F.); +#106851=ORIENTED_EDGE('',*,*,#60118,.T.); +#106853=ORIENTED_EDGE('',*,*,#106852,.T.); +#106854=ORIENTED_EDGE('',*,*,#106837,.F.); +#106855=EDGE_LOOP('',(#106850,#106851,#106853,#106854)); +#106856=FACE_OUTER_BOUND('',#106855,.F.); +#106858=CARTESIAN_POINT('',(-2.75E1,6.33E0,0.E0)); +#106859=DIRECTION('',(1.E0,0.E0,0.E0)); +#106860=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106861=AXIS2_PLACEMENT_3D('',#106858,#106859,#106860); +#106862=PLANE('',#106861); +#106864=ORIENTED_EDGE('',*,*,#106863,.T.); +#106865=ORIENTED_EDGE('',*,*,#106711,.F.); +#106867=ORIENTED_EDGE('',*,*,#106866,.F.); +#106869=ORIENTED_EDGE('',*,*,#106868,.F.); +#106870=ORIENTED_EDGE('',*,*,#103687,.T.); +#106872=ORIENTED_EDGE('',*,*,#106871,.F.); +#106874=ORIENTED_EDGE('',*,*,#106873,.F.); +#106875=ORIENTED_EDGE('',*,*,#106651,.F.); +#106876=EDGE_LOOP('',(#106864,#106865,#106867,#106869,#106870,#106872,#106874, +#106875)); +#106877=FACE_OUTER_BOUND('',#106876,.F.); +#106879=CARTESIAN_POINT('',(-2.75E1,6.33E0,0.E0)); +#106880=DIRECTION('',(1.E0,0.E0,0.E0)); +#106881=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106882=AXIS2_PLACEMENT_3D('',#106879,#106880,#106881); +#106883=PLANE('',#106882); +#106885=ORIENTED_EDGE('',*,*,#106884,.T.); +#106886=ORIENTED_EDGE('',*,*,#106823,.F.); +#106887=ORIENTED_EDGE('',*,*,#106839,.F.); +#106888=ORIENTED_EDGE('',*,*,#106852,.F.); +#106889=ORIENTED_EDGE('',*,*,#60116,.T.); +#106891=ORIENTED_EDGE('',*,*,#106890,.F.); +#106893=ORIENTED_EDGE('',*,*,#106892,.F.); +#106894=ORIENTED_EDGE('',*,*,#106781,.F.); +#106895=EDGE_LOOP('',(#106885,#106886,#106887,#106888,#106889,#106891,#106893, +#106894)); +#106896=FACE_OUTER_BOUND('',#106895,.F.); +#106898=CARTESIAN_POINT('',(-2.765E1,-1.12E1,-3.0895E1)); +#106899=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#106900=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#106901=AXIS2_PLACEMENT_3D('',#106898,#106899,#106900); +#106902=PLANE('',#106901); +#106903=ORIENTED_EDGE('',*,*,#58972,.T.); +#106904=ORIENTED_EDGE('',*,*,#106713,.T.); +#106905=ORIENTED_EDGE('',*,*,#106863,.F.); +#106906=ORIENTED_EDGE('',*,*,#106649,.F.); +#106907=EDGE_LOOP('',(#106903,#106904,#106905,#106906)); +#106908=FACE_OUTER_BOUND('',#106907,.F.); +#106910=CARTESIAN_POINT('',(-2.765E1,-1.12E1,-3.0895E1)); +#106911=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#106912=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#106913=AXIS2_PLACEMENT_3D('',#106910,#106911,#106912); +#106914=PLANE('',#106913); +#106915=ORIENTED_EDGE('',*,*,#58982,.T.); +#106916=ORIENTED_EDGE('',*,*,#106825,.T.); +#106917=ORIENTED_EDGE('',*,*,#106884,.F.); +#106918=ORIENTED_EDGE('',*,*,#106779,.F.); +#106919=EDGE_LOOP('',(#106915,#106916,#106917,#106918)); +#106920=FACE_OUTER_BOUND('',#106919,.F.); +#106922=CARTESIAN_POINT('',(-2.84E1,3.815E0,-2.9265E1)); +#106923=DIRECTION('',(1.E0,0.E0,0.E0)); +#106924=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106925=AXIS2_PLACEMENT_3D('',#106922,#106923,#106924); +#106926=CYLINDRICAL_SURFACE('',#106925,1.E0); +#106927=ORIENTED_EDGE('',*,*,#106569,.F.); +#106929=ORIENTED_EDGE('',*,*,#106928,.T.); +#106930=ORIENTED_EDGE('',*,*,#106866,.T.); +#106931=ORIENTED_EDGE('',*,*,#106709,.F.); +#106932=EDGE_LOOP('',(#106927,#106929,#106930,#106931)); +#106933=FACE_OUTER_BOUND('',#106932,.F.); +#106935=CARTESIAN_POINT('',(-2.84E1,4.815E0,-2.36E1)); +#106936=DIRECTION('',(0.E0,-1.E0,0.E0)); +#106937=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106938=AXIS2_PLACEMENT_3D('',#106935,#106936,#106937); +#106939=PLANE('',#106938); +#106940=ORIENTED_EDGE('',*,*,#106567,.F.); +#106941=ORIENTED_EDGE('',*,*,#103689,.T.); +#106942=ORIENTED_EDGE('',*,*,#106868,.T.); +#106943=ORIENTED_EDGE('',*,*,#106928,.F.); +#106944=EDGE_LOOP('',(#106940,#106941,#106942,#106943)); +#106945=FACE_OUTER_BOUND('',#106944,.F.); +#106947=CARTESIAN_POINT('',(-2.84E1,5.445E0,-2.9265E1)); +#106948=DIRECTION('',(0.E0,1.E0,0.E0)); +#106949=DIRECTION('',(0.E0,0.E0,1.E0)); +#106950=AXIS2_PLACEMENT_3D('',#106947,#106948,#106949); +#106951=PLANE('',#106950); +#106952=ORIENTED_EDGE('',*,*,#106564,.F.); +#106954=ORIENTED_EDGE('',*,*,#106953,.T.); +#106955=ORIENTED_EDGE('',*,*,#106871,.T.); +#106956=ORIENTED_EDGE('',*,*,#103685,.F.); +#106957=EDGE_LOOP('',(#106952,#106954,#106955,#106956)); +#106958=FACE_OUTER_BOUND('',#106957,.F.); +#106960=CARTESIAN_POINT('',(-2.84E1,3.815E0,-2.9265E1)); +#106961=DIRECTION('',(1.E0,0.E0,0.E0)); +#106962=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106963=AXIS2_PLACEMENT_3D('',#106960,#106961,#106962); +#106964=CYLINDRICAL_SURFACE('',#106963,1.63E0); +#106965=ORIENTED_EDGE('',*,*,#106562,.F.); +#106966=ORIENTED_EDGE('',*,*,#106653,.T.); +#106967=ORIENTED_EDGE('',*,*,#106873,.T.); +#106968=ORIENTED_EDGE('',*,*,#106953,.F.); +#106969=EDGE_LOOP('',(#106965,#106966,#106967,#106968)); +#106970=FACE_OUTER_BOUND('',#106969,.F.); +#106972=CARTESIAN_POINT('',(-2.84E1,-2.155E0,-2.4685E1)); +#106973=DIRECTION('',(0.E0,1.E0,0.E0)); +#106974=DIRECTION('',(0.E0,0.E0,1.E0)); +#106975=AXIS2_PLACEMENT_3D('',#106972,#106973,#106974); +#106976=PLANE('',#106975); +#106977=ORIENTED_EDGE('',*,*,#106587,.F.); +#106979=ORIENTED_EDGE('',*,*,#106978,.T.); +#106980=ORIENTED_EDGE('',*,*,#106890,.T.); +#106981=ORIENTED_EDGE('',*,*,#60114,.F.); +#106982=EDGE_LOOP('',(#106977,#106979,#106980,#106981)); +#106983=FACE_OUTER_BOUND('',#106982,.F.); +#106985=CARTESIAN_POINT('',(-2.84E1,-3.285E0,-2.4685E1)); +#106986=DIRECTION('',(1.E0,0.E0,0.E0)); +#106987=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106988=AXIS2_PLACEMENT_3D('',#106985,#106986,#106987); +#106989=CYLINDRICAL_SURFACE('',#106988,1.13E0); +#106990=ORIENTED_EDGE('',*,*,#106585,.F.); +#106991=ORIENTED_EDGE('',*,*,#106783,.T.); +#106992=ORIENTED_EDGE('',*,*,#106892,.T.); +#106993=ORIENTED_EDGE('',*,*,#106978,.F.); +#106994=EDGE_LOOP('',(#106990,#106991,#106992,#106993)); +#106995=FACE_OUTER_BOUND('',#106994,.F.); +#106997=CARTESIAN_POINT('',(-2.625E1,1.033E1,0.E0)); +#106998=DIRECTION('',(1.E0,0.E0,0.E0)); +#106999=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107000=AXIS2_PLACEMENT_3D('',#106997,#106998,#106999); +#107001=PLANE('',#107000); +#107003=ORIENTED_EDGE('',*,*,#107002,.F.); +#107005=ORIENTED_EDGE('',*,*,#107004,.T.); +#107007=ORIENTED_EDGE('',*,*,#107006,.T.); +#107009=ORIENTED_EDGE('',*,*,#107008,.T.); +#107010=ORIENTED_EDGE('',*,*,#103693,.F.); +#107012=ORIENTED_EDGE('',*,*,#107011,.T.); +#107014=ORIENTED_EDGE('',*,*,#107013,.T.); +#107016=ORIENTED_EDGE('',*,*,#107015,.T.); +#107017=EDGE_LOOP('',(#107003,#107005,#107007,#107009,#107010,#107012,#107014, +#107016)); +#107018=FACE_OUTER_BOUND('',#107017,.F.); +#107020=CARTESIAN_POINT('',(-2.625E1,1.033E1,0.E0)); +#107021=DIRECTION('',(1.E0,0.E0,0.E0)); +#107022=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107023=AXIS2_PLACEMENT_3D('',#107020,#107021,#107022); +#107024=PLANE('',#107023); +#107026=ORIENTED_EDGE('',*,*,#107025,.F.); +#107028=ORIENTED_EDGE('',*,*,#107027,.T.); +#107030=ORIENTED_EDGE('',*,*,#107029,.T.); +#107032=ORIENTED_EDGE('',*,*,#107031,.T.); +#107033=ORIENTED_EDGE('',*,*,#60122,.F.); +#107035=ORIENTED_EDGE('',*,*,#107034,.T.); +#107037=ORIENTED_EDGE('',*,*,#107036,.T.); +#107039=ORIENTED_EDGE('',*,*,#107038,.T.); +#107040=EDGE_LOOP('',(#107026,#107028,#107030,#107032,#107033,#107035,#107037, +#107039)); +#107041=FACE_OUTER_BOUND('',#107040,.F.); +#107043=CARTESIAN_POINT('',(-2.625E1,-1.094019237886E1,-3.0895E1)); +#107044=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#107045=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#107046=AXIS2_PLACEMENT_3D('',#107043,#107044,#107045); +#107047=PLANE('',#107046); +#107048=ORIENTED_EDGE('',*,*,#59006,.F.); +#107050=ORIENTED_EDGE('',*,*,#107049,.F.); +#107051=ORIENTED_EDGE('',*,*,#107002,.T.); +#107053=ORIENTED_EDGE('',*,*,#107052,.T.); +#107054=EDGE_LOOP('',(#107048,#107050,#107051,#107053)); +#107055=FACE_OUTER_BOUND('',#107054,.F.); +#107057=CARTESIAN_POINT('',(-2.625E1,-1.094019237886E1,-3.0895E1)); +#107058=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#107059=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#107060=AXIS2_PLACEMENT_3D('',#107057,#107058,#107059); +#107061=PLANE('',#107060); +#107062=ORIENTED_EDGE('',*,*,#58996,.F.); +#107064=ORIENTED_EDGE('',*,*,#107063,.F.); +#107065=ORIENTED_EDGE('',*,*,#107025,.T.); +#107067=ORIENTED_EDGE('',*,*,#107066,.T.); +#107068=EDGE_LOOP('',(#107062,#107064,#107065,#107067)); +#107069=FACE_OUTER_BOUND('',#107068,.F.); +#107071=CARTESIAN_POINT('',(-2.625E1,-1.72E1,-2.8355E1)); +#107072=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107073=DIRECTION('',(0.E0,1.E0,0.E0)); +#107074=AXIS2_PLACEMENT_3D('',#107071,#107072,#107073); +#107075=PLANE('',#107074); +#107077=ORIENTED_EDGE('',*,*,#107076,.T.); +#107078=ORIENTED_EDGE('',*,*,#57936,.F.); +#107080=ORIENTED_EDGE('',*,*,#107079,.F.); +#107082=ORIENTED_EDGE('',*,*,#107081,.T.); +#107083=EDGE_LOOP('',(#107077,#107078,#107080,#107082)); +#107084=FACE_OUTER_BOUND('',#107083,.F.); +#107086=CARTESIAN_POINT('',(-2.625E1,-1.72E1,-2.8355E1)); +#107087=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107088=DIRECTION('',(0.E0,1.E0,0.E0)); +#107089=AXIS2_PLACEMENT_3D('',#107086,#107087,#107088); +#107090=PLANE('',#107089); +#107092=ORIENTED_EDGE('',*,*,#107091,.T.); +#107094=ORIENTED_EDGE('',*,*,#107093,.T.); +#107096=ORIENTED_EDGE('',*,*,#107095,.F.); +#107097=ORIENTED_EDGE('',*,*,#107004,.F.); +#107098=ORIENTED_EDGE('',*,*,#107049,.T.); +#107099=ORIENTED_EDGE('',*,*,#59004,.T.); +#107100=EDGE_LOOP('',(#107092,#107094,#107096,#107097,#107098,#107099)); +#107101=FACE_OUTER_BOUND('',#107100,.F.); +#107103=CARTESIAN_POINT('',(-2.55E1,-1.72E1,-3.0895E1)); +#107104=DIRECTION('',(-1.E0,0.E0,0.E0)); +#107105=DIRECTION('',(0.E0,1.E0,0.E0)); +#107106=AXIS2_PLACEMENT_3D('',#107103,#107104,#107105); +#107107=PLANE('',#107106); +#107108=ORIENTED_EDGE('',*,*,#57938,.F.); +#107109=ORIENTED_EDGE('',*,*,#107076,.F.); +#107111=ORIENTED_EDGE('',*,*,#107110,.F.); +#107113=ORIENTED_EDGE('',*,*,#107112,.T.); +#107114=EDGE_LOOP('',(#107108,#107109,#107111,#107113)); +#107115=FACE_OUTER_BOUND('',#107114,.F.); +#107117=CARTESIAN_POINT('',(-2.55E1,-1.72E1,-3.0895E1)); +#107118=DIRECTION('',(-1.E0,0.E0,0.E0)); +#107119=DIRECTION('',(0.E0,1.E0,0.E0)); +#107120=AXIS2_PLACEMENT_3D('',#107117,#107118,#107119); +#107121=PLANE('',#107120); +#107122=ORIENTED_EDGE('',*,*,#57928,.F.); +#107124=ORIENTED_EDGE('',*,*,#107123,.F.); +#107126=ORIENTED_EDGE('',*,*,#107125,.F.); +#107128=ORIENTED_EDGE('',*,*,#107127,.T.); +#107129=EDGE_LOOP('',(#107122,#107124,#107126,#107128)); +#107130=FACE_OUTER_BOUND('',#107129,.F.); +#107132=CARTESIAN_POINT('',(-2.625E1,-1.72E1,-2.7725E1)); +#107133=DIRECTION('',(0.E0,-1.E0,0.E0)); +#107134=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107135=AXIS2_PLACEMENT_3D('',#107132,#107133,#107134); +#107136=PLANE('',#107135); +#107137=ORIENTED_EDGE('',*,*,#107110,.T.); +#107138=ORIENTED_EDGE('',*,*,#107081,.F.); +#107140=ORIENTED_EDGE('',*,*,#107139,.F.); +#107142=ORIENTED_EDGE('',*,*,#107141,.T.); +#107143=EDGE_LOOP('',(#107137,#107138,#107140,#107142)); +#107144=FACE_OUTER_BOUND('',#107143,.F.); +#107146=CARTESIAN_POINT('',(-2.61E1,-1.35E1,-3.0895E1)); +#107147=DIRECTION('',(1.E0,0.E0,0.E0)); +#107148=DIRECTION('',(0.E0,-1.E0,0.E0)); +#107149=AXIS2_PLACEMENT_3D('',#107146,#107147,#107148); +#107150=PLANE('',#107149); +#107151=ORIENTED_EDGE('',*,*,#57942,.T.); +#107153=ORIENTED_EDGE('',*,*,#107152,.F.); +#107154=ORIENTED_EDGE('',*,*,#107139,.T.); +#107155=ORIENTED_EDGE('',*,*,#107079,.T.); +#107156=EDGE_LOOP('',(#107151,#107153,#107154,#107155)); +#107157=FACE_OUTER_BOUND('',#107156,.F.); +#107159=CARTESIAN_POINT('',(-2.61E1,-1.35E1,-3.0895E1)); +#107160=DIRECTION('',(1.E0,0.E0,0.E0)); +#107161=DIRECTION('',(0.E0,-1.E0,0.E0)); +#107162=AXIS2_PLACEMENT_3D('',#107159,#107160,#107161); +#107163=PLANE('',#107162); +#107164=ORIENTED_EDGE('',*,*,#57932,.T.); +#107166=ORIENTED_EDGE('',*,*,#107165,.F.); +#107168=ORIENTED_EDGE('',*,*,#107167,.T.); +#107170=ORIENTED_EDGE('',*,*,#107169,.T.); +#107171=EDGE_LOOP('',(#107164,#107166,#107168,#107170)); +#107172=FACE_OUTER_BOUND('',#107171,.F.); +#107174=CARTESIAN_POINT('',(-2.625E1,3.815E0,-2.7725E1)); +#107175=DIRECTION('',(0.E0,0.E0,1.E0)); +#107176=DIRECTION('',(0.E0,-1.E0,0.E0)); +#107177=AXIS2_PLACEMENT_3D('',#107174,#107175,#107176); +#107178=PLANE('',#107177); +#107179=ORIENTED_EDGE('',*,*,#107112,.F.); +#107180=ORIENTED_EDGE('',*,*,#107141,.F.); +#107181=ORIENTED_EDGE('',*,*,#107152,.T.); +#107182=ORIENTED_EDGE('',*,*,#57940,.T.); +#107183=EDGE_LOOP('',(#107179,#107180,#107181,#107182)); +#107184=FACE_OUTER_BOUND('',#107183,.F.); +#107186=CARTESIAN_POINT('',(-2.625E1,3.815E0,-2.7725E1)); +#107187=DIRECTION('',(0.E0,0.E0,1.E0)); +#107188=DIRECTION('',(0.E0,-1.E0,0.E0)); +#107189=AXIS2_PLACEMENT_3D('',#107186,#107187,#107188); +#107190=PLANE('',#107189); +#107192=ORIENTED_EDGE('',*,*,#107191,.F.); +#107193=ORIENTED_EDGE('',*,*,#59000,.F.); +#107194=ORIENTED_EDGE('',*,*,#107052,.F.); +#107195=ORIENTED_EDGE('',*,*,#107015,.F.); +#107197=ORIENTED_EDGE('',*,*,#107196,.T.); +#107199=ORIENTED_EDGE('',*,*,#107198,.T.); +#107200=EDGE_LOOP('',(#107192,#107193,#107194,#107195,#107197,#107199)); +#107201=FACE_OUTER_BOUND('',#107200,.F.); +#107203=CARTESIAN_POINT('',(-2.55E1,-1.12E1,-3.0895E1)); +#107204=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#107205=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#107206=AXIS2_PLACEMENT_3D('',#107203,#107204,#107205); +#107207=PLANE('',#107206); +#107208=ORIENTED_EDGE('',*,*,#59002,.T.); +#107209=ORIENTED_EDGE('',*,*,#107191,.T.); +#107211=ORIENTED_EDGE('',*,*,#107210,.F.); +#107212=ORIENTED_EDGE('',*,*,#107091,.F.); +#107213=EDGE_LOOP('',(#107208,#107209,#107211,#107212)); +#107214=FACE_OUTER_BOUND('',#107213,.F.); +#107216=CARTESIAN_POINT('',(-2.55E1,-1.12E1,-3.0895E1)); +#107217=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#107218=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#107219=AXIS2_PLACEMENT_3D('',#107216,#107217,#107218); +#107220=PLANE('',#107219); +#107221=ORIENTED_EDGE('',*,*,#58992,.T.); +#107223=ORIENTED_EDGE('',*,*,#107222,.T.); +#107225=ORIENTED_EDGE('',*,*,#107224,.F.); +#107227=ORIENTED_EDGE('',*,*,#107226,.F.); +#107228=EDGE_LOOP('',(#107221,#107223,#107225,#107227)); +#107229=FACE_OUTER_BOUND('',#107228,.F.); +#107231=CARTESIAN_POINT('',(-2.535E1,1.033E1,0.E0)); +#107232=DIRECTION('',(1.E0,0.E0,0.E0)); +#107233=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107234=AXIS2_PLACEMENT_3D('',#107231,#107232,#107233); +#107235=PLANE('',#107234); +#107236=ORIENTED_EDGE('',*,*,#107210,.T.); +#107237=ORIENTED_EDGE('',*,*,#107198,.F.); +#107239=ORIENTED_EDGE('',*,*,#107238,.F.); +#107241=ORIENTED_EDGE('',*,*,#107240,.F.); +#107242=ORIENTED_EDGE('',*,*,#103697,.T.); +#107244=ORIENTED_EDGE('',*,*,#107243,.F.); +#107246=ORIENTED_EDGE('',*,*,#107245,.F.); +#107247=ORIENTED_EDGE('',*,*,#107093,.F.); +#107248=EDGE_LOOP('',(#107236,#107237,#107239,#107241,#107242,#107244,#107246, +#107247)); +#107249=FACE_OUTER_BOUND('',#107248,.F.); +#107251=CARTESIAN_POINT('',(-2.535E1,1.033E1,0.E0)); +#107252=DIRECTION('',(1.E0,0.E0,0.E0)); +#107253=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107254=AXIS2_PLACEMENT_3D('',#107251,#107252,#107253); +#107255=PLANE('',#107254); +#107256=ORIENTED_EDGE('',*,*,#107224,.T.); +#107258=ORIENTED_EDGE('',*,*,#107257,.F.); +#107260=ORIENTED_EDGE('',*,*,#107259,.F.); +#107262=ORIENTED_EDGE('',*,*,#107261,.F.); +#107263=ORIENTED_EDGE('',*,*,#60126,.T.); +#107265=ORIENTED_EDGE('',*,*,#107264,.F.); +#107267=ORIENTED_EDGE('',*,*,#107266,.F.); +#107269=ORIENTED_EDGE('',*,*,#107268,.F.); +#107270=EDGE_LOOP('',(#107256,#107258,#107260,#107262,#107263,#107265,#107267, +#107269)); +#107271=FACE_OUTER_BOUND('',#107270,.F.); +#107273=CARTESIAN_POINT('',(-2.625E1,3.815E0,-2.6725E1)); +#107274=DIRECTION('',(1.E0,0.E0,0.E0)); +#107275=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107276=AXIS2_PLACEMENT_3D('',#107273,#107274,#107275); +#107277=CYLINDRICAL_SURFACE('',#107276,1.E0); +#107278=ORIENTED_EDGE('',*,*,#107013,.F.); +#107280=ORIENTED_EDGE('',*,*,#107279,.T.); +#107281=ORIENTED_EDGE('',*,*,#107238,.T.); +#107282=ORIENTED_EDGE('',*,*,#107196,.F.); +#107283=EDGE_LOOP('',(#107278,#107280,#107281,#107282)); +#107284=FACE_OUTER_BOUND('',#107283,.F.); +#107286=CARTESIAN_POINT('',(-2.625E1,4.815E0,-2.36E1)); +#107287=DIRECTION('',(0.E0,-1.E0,0.E0)); +#107288=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107289=AXIS2_PLACEMENT_3D('',#107286,#107287,#107288); +#107290=PLANE('',#107289); +#107291=ORIENTED_EDGE('',*,*,#107011,.F.); +#107292=ORIENTED_EDGE('',*,*,#103699,.T.); +#107293=ORIENTED_EDGE('',*,*,#107240,.T.); +#107294=ORIENTED_EDGE('',*,*,#107279,.F.); +#107295=EDGE_LOOP('',(#107291,#107292,#107293,#107294)); +#107296=FACE_OUTER_BOUND('',#107295,.F.); +#107298=CARTESIAN_POINT('',(-2.625E1,5.445E0,-2.6725E1)); +#107299=DIRECTION('',(0.E0,1.E0,0.E0)); +#107300=DIRECTION('',(0.E0,0.E0,1.E0)); +#107301=AXIS2_PLACEMENT_3D('',#107298,#107299,#107300); +#107302=PLANE('',#107301); +#107303=ORIENTED_EDGE('',*,*,#107008,.F.); +#107305=ORIENTED_EDGE('',*,*,#107304,.T.); +#107306=ORIENTED_EDGE('',*,*,#107243,.T.); +#107307=ORIENTED_EDGE('',*,*,#103695,.F.); +#107308=EDGE_LOOP('',(#107303,#107305,#107306,#107307)); +#107309=FACE_OUTER_BOUND('',#107308,.F.); +#107311=CARTESIAN_POINT('',(-2.625E1,3.815E0,-2.6725E1)); +#107312=DIRECTION('',(1.E0,0.E0,0.E0)); +#107313=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107314=AXIS2_PLACEMENT_3D('',#107311,#107312,#107313); +#107315=CYLINDRICAL_SURFACE('',#107314,1.63E0); +#107316=ORIENTED_EDGE('',*,*,#107006,.F.); +#107317=ORIENTED_EDGE('',*,*,#107095,.T.); +#107318=ORIENTED_EDGE('',*,*,#107245,.T.); +#107319=ORIENTED_EDGE('',*,*,#107304,.F.); +#107320=EDGE_LOOP('',(#107316,#107317,#107318,#107319)); +#107321=FACE_OUTER_BOUND('',#107320,.F.); +#107323=CARTESIAN_POINT('',(-2.625E1,-3.285E0,-2.5185E1)); +#107324=DIRECTION('',(0.E0,0.E0,1.E0)); +#107325=DIRECTION('',(0.E0,-1.E0,0.E0)); +#107326=AXIS2_PLACEMENT_3D('',#107323,#107324,#107325); +#107327=PLANE('',#107326); +#107328=ORIENTED_EDGE('',*,*,#107127,.F.); +#107330=ORIENTED_EDGE('',*,*,#107329,.F.); +#107331=ORIENTED_EDGE('',*,*,#107165,.T.); +#107332=ORIENTED_EDGE('',*,*,#57930,.T.); +#107333=EDGE_LOOP('',(#107328,#107330,#107331,#107332)); +#107334=FACE_OUTER_BOUND('',#107333,.F.); +#107336=CARTESIAN_POINT('',(-2.625E1,-3.285E0,-2.5185E1)); +#107337=DIRECTION('',(0.E0,0.E0,1.E0)); +#107338=DIRECTION('',(0.E0,-1.E0,0.E0)); +#107339=AXIS2_PLACEMENT_3D('',#107336,#107337,#107338); +#107340=PLANE('',#107339); +#107341=ORIENTED_EDGE('',*,*,#107222,.F.); +#107342=ORIENTED_EDGE('',*,*,#58990,.F.); +#107343=ORIENTED_EDGE('',*,*,#107066,.F.); +#107344=ORIENTED_EDGE('',*,*,#107038,.F.); +#107346=ORIENTED_EDGE('',*,*,#107345,.T.); +#107347=ORIENTED_EDGE('',*,*,#107257,.T.); +#107348=EDGE_LOOP('',(#107341,#107342,#107343,#107344,#107346,#107347)); +#107349=FACE_OUTER_BOUND('',#107348,.F.); +#107351=CARTESIAN_POINT('',(-2.625E1,-1.72E1,-2.5185E1)); +#107352=DIRECTION('',(0.E0,-1.E0,0.E0)); +#107353=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107354=AXIS2_PLACEMENT_3D('',#107351,#107352,#107353); +#107355=PLANE('',#107354); +#107356=ORIENTED_EDGE('',*,*,#107125,.T.); +#107358=ORIENTED_EDGE('',*,*,#107357,.F.); +#107359=ORIENTED_EDGE('',*,*,#107167,.F.); +#107360=ORIENTED_EDGE('',*,*,#107329,.T.); +#107361=EDGE_LOOP('',(#107356,#107358,#107359,#107360)); +#107362=FACE_OUTER_BOUND('',#107361,.F.); +#107364=CARTESIAN_POINT('',(-2.625E1,-1.72E1,-2.5815E1)); +#107365=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107366=DIRECTION('',(0.E0,1.E0,0.E0)); +#107367=AXIS2_PLACEMENT_3D('',#107364,#107365,#107366); +#107368=PLANE('',#107367); +#107369=ORIENTED_EDGE('',*,*,#107123,.T.); +#107370=ORIENTED_EDGE('',*,*,#57926,.F.); +#107371=ORIENTED_EDGE('',*,*,#107169,.F.); +#107372=ORIENTED_EDGE('',*,*,#107357,.T.); +#107373=EDGE_LOOP('',(#107369,#107370,#107371,#107372)); +#107374=FACE_OUTER_BOUND('',#107373,.F.); +#107376=CARTESIAN_POINT('',(-2.625E1,-1.72E1,-2.5815E1)); +#107377=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107378=DIRECTION('',(0.E0,1.E0,0.E0)); +#107379=AXIS2_PLACEMENT_3D('',#107376,#107377,#107378); +#107380=PLANE('',#107379); +#107381=ORIENTED_EDGE('',*,*,#107226,.T.); +#107382=ORIENTED_EDGE('',*,*,#107268,.T.); +#107384=ORIENTED_EDGE('',*,*,#107383,.F.); +#107385=ORIENTED_EDGE('',*,*,#107027,.F.); +#107386=ORIENTED_EDGE('',*,*,#107063,.T.); +#107387=ORIENTED_EDGE('',*,*,#58994,.T.); +#107388=EDGE_LOOP('',(#107381,#107382,#107384,#107385,#107386,#107387)); +#107389=FACE_OUTER_BOUND('',#107388,.F.); +#107391=CARTESIAN_POINT('',(-2.625E1,-3.285E0,-2.4685E1)); +#107392=DIRECTION('',(1.E0,0.E0,0.E0)); +#107393=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107394=AXIS2_PLACEMENT_3D('',#107391,#107392,#107393); +#107395=CYLINDRICAL_SURFACE('',#107394,1.13E0); +#107396=ORIENTED_EDGE('',*,*,#107029,.F.); +#107397=ORIENTED_EDGE('',*,*,#107383,.T.); +#107398=ORIENTED_EDGE('',*,*,#107266,.T.); +#107400=ORIENTED_EDGE('',*,*,#107399,.F.); +#107401=EDGE_LOOP('',(#107396,#107397,#107398,#107400)); +#107402=FACE_OUTER_BOUND('',#107401,.F.); +#107404=CARTESIAN_POINT('',(-2.625E1,-2.155E0,-2.4685E1)); +#107405=DIRECTION('',(0.E0,1.E0,0.E0)); +#107406=DIRECTION('',(0.E0,0.E0,1.E0)); +#107407=AXIS2_PLACEMENT_3D('',#107404,#107405,#107406); +#107408=PLANE('',#107407); +#107409=ORIENTED_EDGE('',*,*,#107031,.F.); +#107410=ORIENTED_EDGE('',*,*,#107399,.T.); +#107411=ORIENTED_EDGE('',*,*,#107264,.T.); +#107412=ORIENTED_EDGE('',*,*,#60124,.F.); +#107413=EDGE_LOOP('',(#107409,#107410,#107411,#107412)); +#107414=FACE_OUTER_BOUND('',#107413,.F.); +#107416=CARTESIAN_POINT('',(-2.625E1,-3.285E0,-2.4685E1)); +#107417=DIRECTION('',(1.E0,0.E0,0.E0)); +#107418=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107419=AXIS2_PLACEMENT_3D('',#107416,#107417,#107418); +#107420=CYLINDRICAL_SURFACE('',#107419,5.E-1); +#107421=ORIENTED_EDGE('',*,*,#107036,.F.); +#107423=ORIENTED_EDGE('',*,*,#107422,.T.); +#107424=ORIENTED_EDGE('',*,*,#107259,.T.); +#107425=ORIENTED_EDGE('',*,*,#107345,.F.); +#107426=EDGE_LOOP('',(#107421,#107423,#107424,#107425)); +#107427=FACE_OUTER_BOUND('',#107426,.F.); +#107429=CARTESIAN_POINT('',(-2.625E1,-2.785E0,-2.36E1)); +#107430=DIRECTION('',(0.E0,-1.E0,0.E0)); +#107431=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107432=AXIS2_PLACEMENT_3D('',#107429,#107430,#107431); +#107433=PLANE('',#107432); +#107434=ORIENTED_EDGE('',*,*,#107034,.F.); +#107435=ORIENTED_EDGE('',*,*,#60128,.T.); +#107436=ORIENTED_EDGE('',*,*,#107261,.T.); +#107437=ORIENTED_EDGE('',*,*,#107422,.F.); +#107438=EDGE_LOOP('',(#107434,#107435,#107436,#107437)); +#107439=FACE_OUTER_BOUND('',#107438,.F.); +#107441=CARTESIAN_POINT('',(-2.41E1,6.33E0,0.E0)); +#107442=DIRECTION('',(1.E0,0.E0,0.E0)); +#107443=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107444=AXIS2_PLACEMENT_3D('',#107441,#107442,#107443); +#107445=PLANE('',#107444); +#107447=ORIENTED_EDGE('',*,*,#107446,.F.); +#107449=ORIENTED_EDGE('',*,*,#107448,.T.); +#107451=ORIENTED_EDGE('',*,*,#107450,.T.); +#107453=ORIENTED_EDGE('',*,*,#107452,.T.); +#107454=ORIENTED_EDGE('',*,*,#103703,.F.); +#107456=ORIENTED_EDGE('',*,*,#107455,.T.); +#107458=ORIENTED_EDGE('',*,*,#107457,.T.); +#107460=ORIENTED_EDGE('',*,*,#107459,.T.); +#107461=EDGE_LOOP('',(#107447,#107449,#107451,#107453,#107454,#107456,#107458, +#107460)); +#107462=FACE_OUTER_BOUND('',#107461,.F.); +#107464=CARTESIAN_POINT('',(-2.41E1,6.33E0,0.E0)); +#107465=DIRECTION('',(1.E0,0.E0,0.E0)); +#107466=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107467=AXIS2_PLACEMENT_3D('',#107464,#107465,#107466); +#107468=PLANE('',#107467); +#107470=ORIENTED_EDGE('',*,*,#107469,.F.); +#107472=ORIENTED_EDGE('',*,*,#107471,.T.); +#107474=ORIENTED_EDGE('',*,*,#107473,.T.); +#107476=ORIENTED_EDGE('',*,*,#107475,.T.); +#107477=ORIENTED_EDGE('',*,*,#60132,.F.); +#107479=ORIENTED_EDGE('',*,*,#107478,.T.); +#107481=ORIENTED_EDGE('',*,*,#107480,.T.); +#107483=ORIENTED_EDGE('',*,*,#107482,.T.); +#107484=EDGE_LOOP('',(#107470,#107472,#107474,#107476,#107477,#107479,#107481, +#107483)); +#107485=FACE_OUTER_BOUND('',#107484,.F.); +#107487=CARTESIAN_POINT('',(-2.41E1,-1.094019237886E1,-3.0895E1)); +#107488=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#107489=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#107490=AXIS2_PLACEMENT_3D('',#107487,#107488,#107489); +#107491=PLANE('',#107490); +#107492=ORIENTED_EDGE('',*,*,#59016,.F.); +#107494=ORIENTED_EDGE('',*,*,#107493,.F.); +#107495=ORIENTED_EDGE('',*,*,#107446,.T.); +#107497=ORIENTED_EDGE('',*,*,#107496,.T.); +#107498=EDGE_LOOP('',(#107492,#107494,#107495,#107497)); +#107499=FACE_OUTER_BOUND('',#107498,.F.); +#107501=CARTESIAN_POINT('',(-2.41E1,-1.094019237886E1,-3.0895E1)); +#107502=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#107503=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#107504=AXIS2_PLACEMENT_3D('',#107501,#107502,#107503); +#107505=PLANE('',#107504); +#107506=ORIENTED_EDGE('',*,*,#59026,.F.); +#107508=ORIENTED_EDGE('',*,*,#107507,.F.); +#107509=ORIENTED_EDGE('',*,*,#107469,.T.); +#107511=ORIENTED_EDGE('',*,*,#107510,.T.); +#107512=EDGE_LOOP('',(#107506,#107508,#107509,#107511)); +#107513=FACE_OUTER_BOUND('',#107512,.F.); +#107515=CARTESIAN_POINT('',(-2.41E1,-1.72E1,-3.0895E1)); +#107516=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107517=DIRECTION('',(0.E0,1.E0,0.E0)); +#107518=AXIS2_PLACEMENT_3D('',#107515,#107516,#107517); +#107519=PLANE('',#107518); +#107521=ORIENTED_EDGE('',*,*,#107520,.F.); +#107523=ORIENTED_EDGE('',*,*,#107522,.T.); +#107525=ORIENTED_EDGE('',*,*,#107524,.T.); +#107526=ORIENTED_EDGE('',*,*,#57946,.F.); +#107527=EDGE_LOOP('',(#107521,#107523,#107525,#107526)); +#107528=FACE_OUTER_BOUND('',#107527,.F.); +#107530=CARTESIAN_POINT('',(-2.41E1,-1.72E1,-3.0895E1)); +#107531=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107532=DIRECTION('',(0.E0,1.E0,0.E0)); +#107533=AXIS2_PLACEMENT_3D('',#107530,#107531,#107532); +#107534=PLANE('',#107533); +#107535=ORIENTED_EDGE('',*,*,#107493,.T.); +#107536=ORIENTED_EDGE('',*,*,#59014,.T.); +#107538=ORIENTED_EDGE('',*,*,#107537,.T.); +#107540=ORIENTED_EDGE('',*,*,#107539,.T.); +#107542=ORIENTED_EDGE('',*,*,#107541,.F.); +#107543=ORIENTED_EDGE('',*,*,#107448,.F.); +#107544=EDGE_LOOP('',(#107535,#107536,#107538,#107540,#107542,#107543)); +#107545=FACE_OUTER_BOUND('',#107544,.F.); +#107547=CARTESIAN_POINT('',(-2.395E1,-1.35E1,-3.0895E1)); +#107548=DIRECTION('',(1.E0,0.E0,0.E0)); +#107549=DIRECTION('',(0.E0,-1.E0,0.E0)); +#107550=AXIS2_PLACEMENT_3D('',#107547,#107548,#107549); +#107551=PLANE('',#107550); +#107552=ORIENTED_EDGE('',*,*,#57952,.T.); +#107554=ORIENTED_EDGE('',*,*,#107553,.F.); +#107556=ORIENTED_EDGE('',*,*,#107555,.T.); +#107557=ORIENTED_EDGE('',*,*,#107520,.T.); +#107558=EDGE_LOOP('',(#107552,#107554,#107556,#107557)); +#107559=FACE_OUTER_BOUND('',#107558,.F.); +#107561=CARTESIAN_POINT('',(-2.395E1,-1.35E1,-3.0895E1)); +#107562=DIRECTION('',(1.E0,0.E0,0.E0)); +#107563=DIRECTION('',(0.E0,-1.E0,0.E0)); +#107564=AXIS2_PLACEMENT_3D('',#107561,#107562,#107563); +#107565=PLANE('',#107564); +#107566=ORIENTED_EDGE('',*,*,#57962,.T.); +#107568=ORIENTED_EDGE('',*,*,#107567,.F.); +#107570=ORIENTED_EDGE('',*,*,#107569,.T.); +#107572=ORIENTED_EDGE('',*,*,#107571,.T.); +#107573=EDGE_LOOP('',(#107566,#107568,#107570,#107572)); +#107574=FACE_OUTER_BOUND('',#107573,.F.); +#107576=CARTESIAN_POINT('',(-2.41E1,3.815E0,-3.0265E1)); +#107577=DIRECTION('',(0.E0,0.E0,1.E0)); +#107578=DIRECTION('',(0.E0,-1.E0,0.E0)); +#107579=AXIS2_PLACEMENT_3D('',#107576,#107577,#107578); +#107580=PLANE('',#107579); +#107581=ORIENTED_EDGE('',*,*,#107553,.T.); +#107582=ORIENTED_EDGE('',*,*,#57950,.T.); +#107584=ORIENTED_EDGE('',*,*,#107583,.F.); +#107586=ORIENTED_EDGE('',*,*,#107585,.F.); +#107587=EDGE_LOOP('',(#107581,#107582,#107584,#107586)); +#107588=FACE_OUTER_BOUND('',#107587,.F.); +#107590=CARTESIAN_POINT('',(-2.41E1,3.815E0,-3.0265E1)); +#107591=DIRECTION('',(0.E0,0.E0,1.E0)); +#107592=DIRECTION('',(0.E0,-1.E0,0.E0)); +#107593=AXIS2_PLACEMENT_3D('',#107590,#107591,#107592); +#107594=PLANE('',#107593); +#107595=ORIENTED_EDGE('',*,*,#107496,.F.); +#107596=ORIENTED_EDGE('',*,*,#107459,.F.); +#107598=ORIENTED_EDGE('',*,*,#107597,.T.); +#107600=ORIENTED_EDGE('',*,*,#107599,.T.); +#107602=ORIENTED_EDGE('',*,*,#107601,.F.); +#107603=ORIENTED_EDGE('',*,*,#59010,.F.); +#107604=EDGE_LOOP('',(#107595,#107596,#107598,#107600,#107602,#107603)); +#107605=FACE_OUTER_BOUND('',#107604,.F.); +#107607=CARTESIAN_POINT('',(-2.335E1,-1.72E1,-3.0895E1)); +#107608=DIRECTION('',(-1.E0,0.E0,0.E0)); +#107609=DIRECTION('',(0.E0,1.E0,0.E0)); +#107610=AXIS2_PLACEMENT_3D('',#107607,#107608,#107609); +#107611=PLANE('',#107610); +#107612=ORIENTED_EDGE('',*,*,#57948,.F.); +#107613=ORIENTED_EDGE('',*,*,#107524,.F.); +#107615=ORIENTED_EDGE('',*,*,#107614,.F.); +#107616=ORIENTED_EDGE('',*,*,#107583,.T.); +#107617=EDGE_LOOP('',(#107612,#107613,#107615,#107616)); +#107618=FACE_OUTER_BOUND('',#107617,.F.); +#107620=CARTESIAN_POINT('',(-2.335E1,-1.72E1,-3.0895E1)); +#107621=DIRECTION('',(-1.E0,0.E0,0.E0)); +#107622=DIRECTION('',(0.E0,1.E0,0.E0)); +#107623=AXIS2_PLACEMENT_3D('',#107620,#107621,#107622); +#107624=PLANE('',#107623); +#107625=ORIENTED_EDGE('',*,*,#57958,.F.); +#107627=ORIENTED_EDGE('',*,*,#107626,.F.); +#107629=ORIENTED_EDGE('',*,*,#107628,.F.); +#107631=ORIENTED_EDGE('',*,*,#107630,.T.); +#107632=EDGE_LOOP('',(#107625,#107627,#107629,#107631)); +#107633=FACE_OUTER_BOUND('',#107632,.F.); +#107635=CARTESIAN_POINT('',(-2.41E1,-1.72E1,-3.0265E1)); +#107636=DIRECTION('',(0.E0,-1.E0,0.E0)); +#107637=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107638=AXIS2_PLACEMENT_3D('',#107635,#107636,#107637); +#107639=PLANE('',#107638); +#107640=ORIENTED_EDGE('',*,*,#107555,.F.); +#107641=ORIENTED_EDGE('',*,*,#107585,.T.); +#107642=ORIENTED_EDGE('',*,*,#107614,.T.); +#107643=ORIENTED_EDGE('',*,*,#107522,.F.); +#107644=EDGE_LOOP('',(#107640,#107641,#107642,#107643)); +#107645=FACE_OUTER_BOUND('',#107644,.F.); +#107647=CARTESIAN_POINT('',(-2.41E1,-1.72E1,-2.5815E1)); +#107648=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107649=DIRECTION('',(0.E0,1.E0,0.E0)); +#107650=AXIS2_PLACEMENT_3D('',#107647,#107648,#107649); +#107651=PLANE('',#107650); +#107652=ORIENTED_EDGE('',*,*,#107571,.F.); +#107654=ORIENTED_EDGE('',*,*,#107653,.T.); +#107655=ORIENTED_EDGE('',*,*,#107626,.T.); +#107656=ORIENTED_EDGE('',*,*,#57956,.F.); +#107657=EDGE_LOOP('',(#107652,#107654,#107655,#107656)); +#107658=FACE_OUTER_BOUND('',#107657,.F.); +#107660=CARTESIAN_POINT('',(-2.41E1,-1.72E1,-2.5815E1)); +#107661=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107662=DIRECTION('',(0.E0,1.E0,0.E0)); +#107663=AXIS2_PLACEMENT_3D('',#107660,#107661,#107662); +#107664=PLANE('',#107663); +#107665=ORIENTED_EDGE('',*,*,#107507,.T.); +#107666=ORIENTED_EDGE('',*,*,#59024,.T.); +#107668=ORIENTED_EDGE('',*,*,#107667,.T.); +#107670=ORIENTED_EDGE('',*,*,#107669,.T.); +#107672=ORIENTED_EDGE('',*,*,#107671,.F.); +#107673=ORIENTED_EDGE('',*,*,#107471,.F.); +#107674=EDGE_LOOP('',(#107665,#107666,#107668,#107670,#107672,#107673)); +#107675=FACE_OUTER_BOUND('',#107674,.F.); +#107677=CARTESIAN_POINT('',(-2.41E1,-1.72E1,-2.5185E1)); +#107678=DIRECTION('',(0.E0,-1.E0,0.E0)); +#107679=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107680=AXIS2_PLACEMENT_3D('',#107677,#107678,#107679); +#107681=PLANE('',#107680); +#107682=ORIENTED_EDGE('',*,*,#107569,.F.); +#107684=ORIENTED_EDGE('',*,*,#107683,.T.); +#107685=ORIENTED_EDGE('',*,*,#107628,.T.); +#107686=ORIENTED_EDGE('',*,*,#107653,.F.); +#107687=EDGE_LOOP('',(#107682,#107684,#107685,#107686)); +#107688=FACE_OUTER_BOUND('',#107687,.F.); +#107690=CARTESIAN_POINT('',(-2.41E1,-3.285E0,-2.5185E1)); +#107691=DIRECTION('',(0.E0,0.E0,1.E0)); +#107692=DIRECTION('',(0.E0,-1.E0,0.E0)); +#107693=AXIS2_PLACEMENT_3D('',#107690,#107691,#107692); +#107694=PLANE('',#107693); +#107695=ORIENTED_EDGE('',*,*,#107567,.T.); +#107696=ORIENTED_EDGE('',*,*,#57960,.T.); +#107697=ORIENTED_EDGE('',*,*,#107630,.F.); +#107698=ORIENTED_EDGE('',*,*,#107683,.F.); +#107699=EDGE_LOOP('',(#107695,#107696,#107697,#107698)); +#107700=FACE_OUTER_BOUND('',#107699,.F.); +#107702=CARTESIAN_POINT('',(-2.41E1,-3.285E0,-2.5185E1)); +#107703=DIRECTION('',(0.E0,0.E0,1.E0)); +#107704=DIRECTION('',(0.E0,-1.E0,0.E0)); +#107705=AXIS2_PLACEMENT_3D('',#107702,#107703,#107704); +#107706=PLANE('',#107705); +#107707=ORIENTED_EDGE('',*,*,#107510,.F.); +#107708=ORIENTED_EDGE('',*,*,#107482,.F.); +#107710=ORIENTED_EDGE('',*,*,#107709,.T.); +#107712=ORIENTED_EDGE('',*,*,#107711,.T.); +#107714=ORIENTED_EDGE('',*,*,#107713,.F.); +#107715=ORIENTED_EDGE('',*,*,#59020,.F.); +#107716=EDGE_LOOP('',(#107707,#107708,#107710,#107712,#107714,#107715)); +#107717=FACE_OUTER_BOUND('',#107716,.F.); +#107719=CARTESIAN_POINT('',(-2.41E1,-3.285E0,-2.4685E1)); +#107720=DIRECTION('',(1.E0,0.E0,0.E0)); +#107721=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107722=AXIS2_PLACEMENT_3D('',#107719,#107720,#107721); +#107723=CYLINDRICAL_SURFACE('',#107722,5.E-1); +#107724=ORIENTED_EDGE('',*,*,#107480,.F.); +#107726=ORIENTED_EDGE('',*,*,#107725,.T.); +#107728=ORIENTED_EDGE('',*,*,#107727,.T.); +#107729=ORIENTED_EDGE('',*,*,#107709,.F.); +#107730=EDGE_LOOP('',(#107724,#107726,#107728,#107729)); +#107731=FACE_OUTER_BOUND('',#107730,.F.); +#107733=CARTESIAN_POINT('',(-2.41E1,-2.785E0,-2.36E1)); +#107734=DIRECTION('',(0.E0,-1.E0,0.E0)); +#107735=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107736=AXIS2_PLACEMENT_3D('',#107733,#107734,#107735); +#107737=PLANE('',#107736); +#107738=ORIENTED_EDGE('',*,*,#107478,.F.); +#107739=ORIENTED_EDGE('',*,*,#60138,.T.); +#107741=ORIENTED_EDGE('',*,*,#107740,.T.); +#107742=ORIENTED_EDGE('',*,*,#107725,.F.); +#107743=EDGE_LOOP('',(#107738,#107739,#107741,#107742)); +#107744=FACE_OUTER_BOUND('',#107743,.F.); +#107746=CARTESIAN_POINT('',(-2.32E1,6.33E0,0.E0)); +#107747=DIRECTION('',(1.E0,0.E0,0.E0)); +#107748=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107749=AXIS2_PLACEMENT_3D('',#107746,#107747,#107748); +#107750=PLANE('',#107749); +#107752=ORIENTED_EDGE('',*,*,#107751,.T.); +#107753=ORIENTED_EDGE('',*,*,#107599,.F.); +#107755=ORIENTED_EDGE('',*,*,#107754,.F.); +#107757=ORIENTED_EDGE('',*,*,#107756,.F.); +#107758=ORIENTED_EDGE('',*,*,#103707,.T.); +#107760=ORIENTED_EDGE('',*,*,#107759,.F.); +#107762=ORIENTED_EDGE('',*,*,#107761,.F.); +#107763=ORIENTED_EDGE('',*,*,#107539,.F.); +#107764=EDGE_LOOP('',(#107752,#107753,#107755,#107757,#107758,#107760,#107762, +#107763)); +#107765=FACE_OUTER_BOUND('',#107764,.F.); +#107767=CARTESIAN_POINT('',(-2.32E1,6.33E0,0.E0)); +#107768=DIRECTION('',(1.E0,0.E0,0.E0)); +#107769=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107770=AXIS2_PLACEMENT_3D('',#107767,#107768,#107769); +#107771=PLANE('',#107770); +#107773=ORIENTED_EDGE('',*,*,#107772,.T.); +#107774=ORIENTED_EDGE('',*,*,#107711,.F.); +#107775=ORIENTED_EDGE('',*,*,#107727,.F.); +#107776=ORIENTED_EDGE('',*,*,#107740,.F.); +#107777=ORIENTED_EDGE('',*,*,#60136,.T.); +#107779=ORIENTED_EDGE('',*,*,#107778,.F.); +#107781=ORIENTED_EDGE('',*,*,#107780,.F.); +#107782=ORIENTED_EDGE('',*,*,#107669,.F.); +#107783=EDGE_LOOP('',(#107773,#107774,#107775,#107776,#107777,#107779,#107781, +#107782)); +#107784=FACE_OUTER_BOUND('',#107783,.F.); +#107786=CARTESIAN_POINT('',(-2.335E1,-1.12E1,-3.0895E1)); +#107787=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#107788=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#107789=AXIS2_PLACEMENT_3D('',#107786,#107787,#107788); +#107790=PLANE('',#107789); +#107791=ORIENTED_EDGE('',*,*,#59012,.T.); +#107792=ORIENTED_EDGE('',*,*,#107601,.T.); +#107793=ORIENTED_EDGE('',*,*,#107751,.F.); +#107794=ORIENTED_EDGE('',*,*,#107537,.F.); +#107795=EDGE_LOOP('',(#107791,#107792,#107793,#107794)); +#107796=FACE_OUTER_BOUND('',#107795,.F.); +#107798=CARTESIAN_POINT('',(-2.335E1,-1.12E1,-3.0895E1)); +#107799=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#107800=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#107801=AXIS2_PLACEMENT_3D('',#107798,#107799,#107800); +#107802=PLANE('',#107801); +#107803=ORIENTED_EDGE('',*,*,#59022,.T.); +#107804=ORIENTED_EDGE('',*,*,#107713,.T.); +#107805=ORIENTED_EDGE('',*,*,#107772,.F.); +#107806=ORIENTED_EDGE('',*,*,#107667,.F.); +#107807=EDGE_LOOP('',(#107803,#107804,#107805,#107806)); +#107808=FACE_OUTER_BOUND('',#107807,.F.); +#107810=CARTESIAN_POINT('',(-2.41E1,3.815E0,-2.9265E1)); +#107811=DIRECTION('',(1.E0,0.E0,0.E0)); +#107812=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107813=AXIS2_PLACEMENT_3D('',#107810,#107811,#107812); +#107814=CYLINDRICAL_SURFACE('',#107813,1.E0); +#107815=ORIENTED_EDGE('',*,*,#107457,.F.); +#107817=ORIENTED_EDGE('',*,*,#107816,.T.); +#107818=ORIENTED_EDGE('',*,*,#107754,.T.); +#107819=ORIENTED_EDGE('',*,*,#107597,.F.); +#107820=EDGE_LOOP('',(#107815,#107817,#107818,#107819)); +#107821=FACE_OUTER_BOUND('',#107820,.F.); +#107823=CARTESIAN_POINT('',(-2.41E1,4.815E0,-2.36E1)); +#107824=DIRECTION('',(0.E0,-1.E0,0.E0)); +#107825=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107826=AXIS2_PLACEMENT_3D('',#107823,#107824,#107825); +#107827=PLANE('',#107826); +#107828=ORIENTED_EDGE('',*,*,#107455,.F.); +#107829=ORIENTED_EDGE('',*,*,#103709,.T.); +#107830=ORIENTED_EDGE('',*,*,#107756,.T.); +#107831=ORIENTED_EDGE('',*,*,#107816,.F.); +#107832=EDGE_LOOP('',(#107828,#107829,#107830,#107831)); +#107833=FACE_OUTER_BOUND('',#107832,.F.); +#107835=CARTESIAN_POINT('',(-2.41E1,5.445E0,-2.9265E1)); +#107836=DIRECTION('',(0.E0,1.E0,0.E0)); +#107837=DIRECTION('',(0.E0,0.E0,1.E0)); +#107838=AXIS2_PLACEMENT_3D('',#107835,#107836,#107837); +#107839=PLANE('',#107838); +#107840=ORIENTED_EDGE('',*,*,#107452,.F.); +#107842=ORIENTED_EDGE('',*,*,#107841,.T.); +#107843=ORIENTED_EDGE('',*,*,#107759,.T.); +#107844=ORIENTED_EDGE('',*,*,#103705,.F.); +#107845=EDGE_LOOP('',(#107840,#107842,#107843,#107844)); +#107846=FACE_OUTER_BOUND('',#107845,.F.); +#107848=CARTESIAN_POINT('',(-2.41E1,3.815E0,-2.9265E1)); +#107849=DIRECTION('',(1.E0,0.E0,0.E0)); +#107850=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107851=AXIS2_PLACEMENT_3D('',#107848,#107849,#107850); +#107852=CYLINDRICAL_SURFACE('',#107851,1.63E0); +#107853=ORIENTED_EDGE('',*,*,#107450,.F.); +#107854=ORIENTED_EDGE('',*,*,#107541,.T.); +#107855=ORIENTED_EDGE('',*,*,#107761,.T.); +#107856=ORIENTED_EDGE('',*,*,#107841,.F.); +#107857=EDGE_LOOP('',(#107853,#107854,#107855,#107856)); +#107858=FACE_OUTER_BOUND('',#107857,.F.); +#107860=CARTESIAN_POINT('',(-2.41E1,-2.155E0,-2.4685E1)); +#107861=DIRECTION('',(0.E0,1.E0,0.E0)); +#107862=DIRECTION('',(0.E0,0.E0,1.E0)); +#107863=AXIS2_PLACEMENT_3D('',#107860,#107861,#107862); +#107864=PLANE('',#107863); +#107865=ORIENTED_EDGE('',*,*,#107475,.F.); +#107867=ORIENTED_EDGE('',*,*,#107866,.T.); +#107868=ORIENTED_EDGE('',*,*,#107778,.T.); +#107869=ORIENTED_EDGE('',*,*,#60134,.F.); +#107870=EDGE_LOOP('',(#107865,#107867,#107868,#107869)); +#107871=FACE_OUTER_BOUND('',#107870,.F.); +#107873=CARTESIAN_POINT('',(-2.41E1,-3.285E0,-2.4685E1)); +#107874=DIRECTION('',(1.E0,0.E0,0.E0)); +#107875=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107876=AXIS2_PLACEMENT_3D('',#107873,#107874,#107875); +#107877=CYLINDRICAL_SURFACE('',#107876,1.13E0); +#107878=ORIENTED_EDGE('',*,*,#107473,.F.); +#107879=ORIENTED_EDGE('',*,*,#107671,.T.); +#107880=ORIENTED_EDGE('',*,*,#107780,.T.); +#107881=ORIENTED_EDGE('',*,*,#107866,.F.); +#107882=EDGE_LOOP('',(#107878,#107879,#107880,#107881)); +#107883=FACE_OUTER_BOUND('',#107882,.F.); +#107885=CARTESIAN_POINT('',(-2.195E1,1.033E1,0.E0)); +#107886=DIRECTION('',(1.E0,0.E0,0.E0)); +#107887=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107888=AXIS2_PLACEMENT_3D('',#107885,#107886,#107887); +#107889=PLANE('',#107888); +#107891=ORIENTED_EDGE('',*,*,#107890,.F.); +#107893=ORIENTED_EDGE('',*,*,#107892,.T.); +#107895=ORIENTED_EDGE('',*,*,#107894,.T.); +#107897=ORIENTED_EDGE('',*,*,#107896,.T.); +#107898=ORIENTED_EDGE('',*,*,#103713,.F.); +#107900=ORIENTED_EDGE('',*,*,#107899,.T.); +#107902=ORIENTED_EDGE('',*,*,#107901,.T.); +#107904=ORIENTED_EDGE('',*,*,#107903,.T.); +#107905=EDGE_LOOP('',(#107891,#107893,#107895,#107897,#107898,#107900,#107902, +#107904)); +#107906=FACE_OUTER_BOUND('',#107905,.F.); +#107908=CARTESIAN_POINT('',(-2.195E1,1.033E1,0.E0)); +#107909=DIRECTION('',(1.E0,0.E0,0.E0)); +#107910=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107911=AXIS2_PLACEMENT_3D('',#107908,#107909,#107910); +#107912=PLANE('',#107911); +#107914=ORIENTED_EDGE('',*,*,#107913,.F.); +#107916=ORIENTED_EDGE('',*,*,#107915,.T.); +#107918=ORIENTED_EDGE('',*,*,#107917,.T.); +#107920=ORIENTED_EDGE('',*,*,#107919,.T.); +#107921=ORIENTED_EDGE('',*,*,#60142,.F.); +#107923=ORIENTED_EDGE('',*,*,#107922,.T.); +#107925=ORIENTED_EDGE('',*,*,#107924,.T.); +#107927=ORIENTED_EDGE('',*,*,#107926,.T.); +#107928=EDGE_LOOP('',(#107914,#107916,#107918,#107920,#107921,#107923,#107925, +#107927)); +#107929=FACE_OUTER_BOUND('',#107928,.F.); +#107931=CARTESIAN_POINT('',(-2.195E1,-1.094019237886E1,-3.0895E1)); +#107932=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#107933=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#107934=AXIS2_PLACEMENT_3D('',#107931,#107932,#107933); +#107935=PLANE('',#107934); +#107936=ORIENTED_EDGE('',*,*,#59046,.F.); +#107938=ORIENTED_EDGE('',*,*,#107937,.F.); +#107939=ORIENTED_EDGE('',*,*,#107890,.T.); +#107941=ORIENTED_EDGE('',*,*,#107940,.T.); +#107942=EDGE_LOOP('',(#107936,#107938,#107939,#107941)); +#107943=FACE_OUTER_BOUND('',#107942,.F.); +#107945=CARTESIAN_POINT('',(-2.195E1,-1.094019237886E1,-3.0895E1)); +#107946=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#107947=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#107948=AXIS2_PLACEMENT_3D('',#107945,#107946,#107947); +#107949=PLANE('',#107948); +#107950=ORIENTED_EDGE('',*,*,#59036,.F.); +#107952=ORIENTED_EDGE('',*,*,#107951,.F.); +#107953=ORIENTED_EDGE('',*,*,#107913,.T.); +#107955=ORIENTED_EDGE('',*,*,#107954,.T.); +#107956=EDGE_LOOP('',(#107950,#107952,#107953,#107955)); +#107957=FACE_OUTER_BOUND('',#107956,.F.); +#107959=CARTESIAN_POINT('',(-2.195E1,-1.72E1,-2.8355E1)); +#107960=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107961=DIRECTION('',(0.E0,1.E0,0.E0)); +#107962=AXIS2_PLACEMENT_3D('',#107959,#107960,#107961); +#107963=PLANE('',#107962); +#107965=ORIENTED_EDGE('',*,*,#107964,.T.); +#107966=ORIENTED_EDGE('',*,*,#57976,.F.); +#107968=ORIENTED_EDGE('',*,*,#107967,.F.); +#107970=ORIENTED_EDGE('',*,*,#107969,.T.); +#107971=EDGE_LOOP('',(#107965,#107966,#107968,#107970)); +#107972=FACE_OUTER_BOUND('',#107971,.F.); +#107974=CARTESIAN_POINT('',(-2.195E1,-1.72E1,-2.8355E1)); +#107975=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107976=DIRECTION('',(0.E0,1.E0,0.E0)); +#107977=AXIS2_PLACEMENT_3D('',#107974,#107975,#107976); +#107978=PLANE('',#107977); +#107980=ORIENTED_EDGE('',*,*,#107979,.T.); +#107982=ORIENTED_EDGE('',*,*,#107981,.T.); +#107984=ORIENTED_EDGE('',*,*,#107983,.F.); +#107985=ORIENTED_EDGE('',*,*,#107892,.F.); +#107986=ORIENTED_EDGE('',*,*,#107937,.T.); +#107987=ORIENTED_EDGE('',*,*,#59044,.T.); +#107988=EDGE_LOOP('',(#107980,#107982,#107984,#107985,#107986,#107987)); +#107989=FACE_OUTER_BOUND('',#107988,.F.); +#107991=CARTESIAN_POINT('',(-2.12E1,-1.72E1,-3.0895E1)); +#107992=DIRECTION('',(-1.E0,0.E0,0.E0)); +#107993=DIRECTION('',(0.E0,1.E0,0.E0)); +#107994=AXIS2_PLACEMENT_3D('',#107991,#107992,#107993); +#107995=PLANE('',#107994); +#107996=ORIENTED_EDGE('',*,*,#57978,.F.); +#107997=ORIENTED_EDGE('',*,*,#107964,.F.); +#107999=ORIENTED_EDGE('',*,*,#107998,.F.); +#108001=ORIENTED_EDGE('',*,*,#108000,.T.); +#108002=EDGE_LOOP('',(#107996,#107997,#107999,#108001)); +#108003=FACE_OUTER_BOUND('',#108002,.F.); +#108005=CARTESIAN_POINT('',(-2.12E1,-1.72E1,-3.0895E1)); +#108006=DIRECTION('',(-1.E0,0.E0,0.E0)); +#108007=DIRECTION('',(0.E0,1.E0,0.E0)); +#108008=AXIS2_PLACEMENT_3D('',#108005,#108006,#108007); +#108009=PLANE('',#108008); +#108010=ORIENTED_EDGE('',*,*,#57968,.F.); +#108012=ORIENTED_EDGE('',*,*,#108011,.F.); +#108014=ORIENTED_EDGE('',*,*,#108013,.F.); +#108016=ORIENTED_EDGE('',*,*,#108015,.T.); +#108017=EDGE_LOOP('',(#108010,#108012,#108014,#108016)); +#108018=FACE_OUTER_BOUND('',#108017,.F.); +#108020=CARTESIAN_POINT('',(-2.195E1,-1.72E1,-2.7725E1)); +#108021=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108022=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108023=AXIS2_PLACEMENT_3D('',#108020,#108021,#108022); +#108024=PLANE('',#108023); +#108025=ORIENTED_EDGE('',*,*,#107998,.T.); +#108026=ORIENTED_EDGE('',*,*,#107969,.F.); +#108028=ORIENTED_EDGE('',*,*,#108027,.F.); +#108030=ORIENTED_EDGE('',*,*,#108029,.T.); +#108031=EDGE_LOOP('',(#108025,#108026,#108028,#108030)); +#108032=FACE_OUTER_BOUND('',#108031,.F.); +#108034=CARTESIAN_POINT('',(-2.18E1,-1.35E1,-3.0895E1)); +#108035=DIRECTION('',(1.E0,0.E0,0.E0)); +#108036=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108037=AXIS2_PLACEMENT_3D('',#108034,#108035,#108036); +#108038=PLANE('',#108037); +#108039=ORIENTED_EDGE('',*,*,#57982,.T.); +#108041=ORIENTED_EDGE('',*,*,#108040,.F.); +#108042=ORIENTED_EDGE('',*,*,#108027,.T.); +#108043=ORIENTED_EDGE('',*,*,#107967,.T.); +#108044=EDGE_LOOP('',(#108039,#108041,#108042,#108043)); +#108045=FACE_OUTER_BOUND('',#108044,.F.); +#108047=CARTESIAN_POINT('',(-2.18E1,-1.35E1,-3.0895E1)); +#108048=DIRECTION('',(1.E0,0.E0,0.E0)); +#108049=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108050=AXIS2_PLACEMENT_3D('',#108047,#108048,#108049); +#108051=PLANE('',#108050); +#108052=ORIENTED_EDGE('',*,*,#57972,.T.); +#108054=ORIENTED_EDGE('',*,*,#108053,.F.); +#108056=ORIENTED_EDGE('',*,*,#108055,.T.); +#108058=ORIENTED_EDGE('',*,*,#108057,.T.); +#108059=EDGE_LOOP('',(#108052,#108054,#108056,#108058)); +#108060=FACE_OUTER_BOUND('',#108059,.F.); +#108062=CARTESIAN_POINT('',(-2.195E1,3.815E0,-2.7725E1)); +#108063=DIRECTION('',(0.E0,0.E0,1.E0)); +#108064=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108065=AXIS2_PLACEMENT_3D('',#108062,#108063,#108064); +#108066=PLANE('',#108065); +#108067=ORIENTED_EDGE('',*,*,#108000,.F.); +#108068=ORIENTED_EDGE('',*,*,#108029,.F.); +#108069=ORIENTED_EDGE('',*,*,#108040,.T.); +#108070=ORIENTED_EDGE('',*,*,#57980,.T.); +#108071=EDGE_LOOP('',(#108067,#108068,#108069,#108070)); +#108072=FACE_OUTER_BOUND('',#108071,.F.); +#108074=CARTESIAN_POINT('',(-2.195E1,3.815E0,-2.7725E1)); +#108075=DIRECTION('',(0.E0,0.E0,1.E0)); +#108076=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108077=AXIS2_PLACEMENT_3D('',#108074,#108075,#108076); +#108078=PLANE('',#108077); +#108080=ORIENTED_EDGE('',*,*,#108079,.F.); +#108081=ORIENTED_EDGE('',*,*,#59040,.F.); +#108082=ORIENTED_EDGE('',*,*,#107940,.F.); +#108083=ORIENTED_EDGE('',*,*,#107903,.F.); +#108085=ORIENTED_EDGE('',*,*,#108084,.T.); +#108087=ORIENTED_EDGE('',*,*,#108086,.T.); +#108088=EDGE_LOOP('',(#108080,#108081,#108082,#108083,#108085,#108087)); +#108089=FACE_OUTER_BOUND('',#108088,.F.); +#108091=CARTESIAN_POINT('',(-2.12E1,-1.12E1,-3.0895E1)); +#108092=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#108093=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#108094=AXIS2_PLACEMENT_3D('',#108091,#108092,#108093); +#108095=PLANE('',#108094); +#108096=ORIENTED_EDGE('',*,*,#59042,.T.); +#108097=ORIENTED_EDGE('',*,*,#108079,.T.); +#108099=ORIENTED_EDGE('',*,*,#108098,.F.); +#108100=ORIENTED_EDGE('',*,*,#107979,.F.); +#108101=EDGE_LOOP('',(#108096,#108097,#108099,#108100)); +#108102=FACE_OUTER_BOUND('',#108101,.F.); +#108104=CARTESIAN_POINT('',(-2.12E1,-1.12E1,-3.0895E1)); +#108105=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#108106=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#108107=AXIS2_PLACEMENT_3D('',#108104,#108105,#108106); +#108108=PLANE('',#108107); +#108109=ORIENTED_EDGE('',*,*,#59032,.T.); +#108111=ORIENTED_EDGE('',*,*,#108110,.T.); +#108113=ORIENTED_EDGE('',*,*,#108112,.F.); +#108115=ORIENTED_EDGE('',*,*,#108114,.F.); +#108116=EDGE_LOOP('',(#108109,#108111,#108113,#108115)); +#108117=FACE_OUTER_BOUND('',#108116,.F.); +#108119=CARTESIAN_POINT('',(-2.105E1,1.033E1,0.E0)); +#108120=DIRECTION('',(1.E0,0.E0,0.E0)); +#108121=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108122=AXIS2_PLACEMENT_3D('',#108119,#108120,#108121); +#108123=PLANE('',#108122); +#108124=ORIENTED_EDGE('',*,*,#108098,.T.); +#108125=ORIENTED_EDGE('',*,*,#108086,.F.); +#108127=ORIENTED_EDGE('',*,*,#108126,.F.); +#108129=ORIENTED_EDGE('',*,*,#108128,.F.); +#108130=ORIENTED_EDGE('',*,*,#103717,.T.); +#108132=ORIENTED_EDGE('',*,*,#108131,.F.); +#108134=ORIENTED_EDGE('',*,*,#108133,.F.); +#108135=ORIENTED_EDGE('',*,*,#107981,.F.); +#108136=EDGE_LOOP('',(#108124,#108125,#108127,#108129,#108130,#108132,#108134, +#108135)); +#108137=FACE_OUTER_BOUND('',#108136,.F.); +#108139=CARTESIAN_POINT('',(-2.105E1,1.033E1,0.E0)); +#108140=DIRECTION('',(1.E0,0.E0,0.E0)); +#108141=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108142=AXIS2_PLACEMENT_3D('',#108139,#108140,#108141); +#108143=PLANE('',#108142); +#108144=ORIENTED_EDGE('',*,*,#108112,.T.); +#108146=ORIENTED_EDGE('',*,*,#108145,.F.); +#108148=ORIENTED_EDGE('',*,*,#108147,.F.); +#108150=ORIENTED_EDGE('',*,*,#108149,.F.); +#108151=ORIENTED_EDGE('',*,*,#60146,.T.); +#108153=ORIENTED_EDGE('',*,*,#108152,.F.); +#108155=ORIENTED_EDGE('',*,*,#108154,.F.); +#108157=ORIENTED_EDGE('',*,*,#108156,.F.); +#108158=EDGE_LOOP('',(#108144,#108146,#108148,#108150,#108151,#108153,#108155, +#108157)); +#108159=FACE_OUTER_BOUND('',#108158,.F.); +#108161=CARTESIAN_POINT('',(-2.195E1,3.815E0,-2.6725E1)); +#108162=DIRECTION('',(1.E0,0.E0,0.E0)); +#108163=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108164=AXIS2_PLACEMENT_3D('',#108161,#108162,#108163); +#108165=CYLINDRICAL_SURFACE('',#108164,1.E0); +#108166=ORIENTED_EDGE('',*,*,#107901,.F.); +#108168=ORIENTED_EDGE('',*,*,#108167,.T.); +#108169=ORIENTED_EDGE('',*,*,#108126,.T.); +#108170=ORIENTED_EDGE('',*,*,#108084,.F.); +#108171=EDGE_LOOP('',(#108166,#108168,#108169,#108170)); +#108172=FACE_OUTER_BOUND('',#108171,.F.); +#108174=CARTESIAN_POINT('',(-2.195E1,4.815E0,-2.36E1)); +#108175=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108176=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108177=AXIS2_PLACEMENT_3D('',#108174,#108175,#108176); +#108178=PLANE('',#108177); +#108179=ORIENTED_EDGE('',*,*,#107899,.F.); +#108180=ORIENTED_EDGE('',*,*,#103719,.T.); +#108181=ORIENTED_EDGE('',*,*,#108128,.T.); +#108182=ORIENTED_EDGE('',*,*,#108167,.F.); +#108183=EDGE_LOOP('',(#108179,#108180,#108181,#108182)); +#108184=FACE_OUTER_BOUND('',#108183,.F.); +#108186=CARTESIAN_POINT('',(-2.195E1,5.445E0,-2.6725E1)); +#108187=DIRECTION('',(0.E0,1.E0,0.E0)); +#108188=DIRECTION('',(0.E0,0.E0,1.E0)); +#108189=AXIS2_PLACEMENT_3D('',#108186,#108187,#108188); +#108190=PLANE('',#108189); +#108191=ORIENTED_EDGE('',*,*,#107896,.F.); +#108193=ORIENTED_EDGE('',*,*,#108192,.T.); +#108194=ORIENTED_EDGE('',*,*,#108131,.T.); +#108195=ORIENTED_EDGE('',*,*,#103715,.F.); +#108196=EDGE_LOOP('',(#108191,#108193,#108194,#108195)); +#108197=FACE_OUTER_BOUND('',#108196,.F.); +#108199=CARTESIAN_POINT('',(-2.195E1,3.815E0,-2.6725E1)); +#108200=DIRECTION('',(1.E0,0.E0,0.E0)); +#108201=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108202=AXIS2_PLACEMENT_3D('',#108199,#108200,#108201); +#108203=CYLINDRICAL_SURFACE('',#108202,1.63E0); +#108204=ORIENTED_EDGE('',*,*,#107894,.F.); +#108205=ORIENTED_EDGE('',*,*,#107983,.T.); +#108206=ORIENTED_EDGE('',*,*,#108133,.T.); +#108207=ORIENTED_EDGE('',*,*,#108192,.F.); +#108208=EDGE_LOOP('',(#108204,#108205,#108206,#108207)); +#108209=FACE_OUTER_BOUND('',#108208,.F.); +#108211=CARTESIAN_POINT('',(-2.195E1,-3.285E0,-2.5185E1)); +#108212=DIRECTION('',(0.E0,0.E0,1.E0)); +#108213=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108214=AXIS2_PLACEMENT_3D('',#108211,#108212,#108213); +#108215=PLANE('',#108214); +#108216=ORIENTED_EDGE('',*,*,#108015,.F.); +#108218=ORIENTED_EDGE('',*,*,#108217,.F.); +#108219=ORIENTED_EDGE('',*,*,#108053,.T.); +#108220=ORIENTED_EDGE('',*,*,#57970,.T.); +#108221=EDGE_LOOP('',(#108216,#108218,#108219,#108220)); +#108222=FACE_OUTER_BOUND('',#108221,.F.); +#108224=CARTESIAN_POINT('',(-2.195E1,-3.285E0,-2.5185E1)); +#108225=DIRECTION('',(0.E0,0.E0,1.E0)); +#108226=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108227=AXIS2_PLACEMENT_3D('',#108224,#108225,#108226); +#108228=PLANE('',#108227); +#108229=ORIENTED_EDGE('',*,*,#108110,.F.); +#108230=ORIENTED_EDGE('',*,*,#59030,.F.); +#108231=ORIENTED_EDGE('',*,*,#107954,.F.); +#108232=ORIENTED_EDGE('',*,*,#107926,.F.); +#108234=ORIENTED_EDGE('',*,*,#108233,.T.); +#108235=ORIENTED_EDGE('',*,*,#108145,.T.); +#108236=EDGE_LOOP('',(#108229,#108230,#108231,#108232,#108234,#108235)); +#108237=FACE_OUTER_BOUND('',#108236,.F.); +#108239=CARTESIAN_POINT('',(-2.195E1,-1.72E1,-2.5185E1)); +#108240=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108241=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108242=AXIS2_PLACEMENT_3D('',#108239,#108240,#108241); +#108243=PLANE('',#108242); +#108244=ORIENTED_EDGE('',*,*,#108013,.T.); +#108246=ORIENTED_EDGE('',*,*,#108245,.F.); +#108247=ORIENTED_EDGE('',*,*,#108055,.F.); +#108248=ORIENTED_EDGE('',*,*,#108217,.T.); +#108249=EDGE_LOOP('',(#108244,#108246,#108247,#108248)); +#108250=FACE_OUTER_BOUND('',#108249,.F.); +#108252=CARTESIAN_POINT('',(-2.195E1,-1.72E1,-2.5815E1)); +#108253=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108254=DIRECTION('',(0.E0,1.E0,0.E0)); +#108255=AXIS2_PLACEMENT_3D('',#108252,#108253,#108254); +#108256=PLANE('',#108255); +#108257=ORIENTED_EDGE('',*,*,#108011,.T.); +#108258=ORIENTED_EDGE('',*,*,#57966,.F.); +#108259=ORIENTED_EDGE('',*,*,#108057,.F.); +#108260=ORIENTED_EDGE('',*,*,#108245,.T.); +#108261=EDGE_LOOP('',(#108257,#108258,#108259,#108260)); +#108262=FACE_OUTER_BOUND('',#108261,.F.); +#108264=CARTESIAN_POINT('',(-2.195E1,-1.72E1,-2.5815E1)); +#108265=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108266=DIRECTION('',(0.E0,1.E0,0.E0)); +#108267=AXIS2_PLACEMENT_3D('',#108264,#108265,#108266); +#108268=PLANE('',#108267); +#108269=ORIENTED_EDGE('',*,*,#108114,.T.); +#108270=ORIENTED_EDGE('',*,*,#108156,.T.); +#108272=ORIENTED_EDGE('',*,*,#108271,.F.); +#108273=ORIENTED_EDGE('',*,*,#107915,.F.); +#108274=ORIENTED_EDGE('',*,*,#107951,.T.); +#108275=ORIENTED_EDGE('',*,*,#59034,.T.); +#108276=EDGE_LOOP('',(#108269,#108270,#108272,#108273,#108274,#108275)); +#108277=FACE_OUTER_BOUND('',#108276,.F.); +#108279=CARTESIAN_POINT('',(-2.195E1,-3.285E0,-2.4685E1)); +#108280=DIRECTION('',(1.E0,0.E0,0.E0)); +#108281=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108282=AXIS2_PLACEMENT_3D('',#108279,#108280,#108281); +#108283=CYLINDRICAL_SURFACE('',#108282,1.13E0); +#108284=ORIENTED_EDGE('',*,*,#107917,.F.); +#108285=ORIENTED_EDGE('',*,*,#108271,.T.); +#108286=ORIENTED_EDGE('',*,*,#108154,.T.); +#108288=ORIENTED_EDGE('',*,*,#108287,.F.); +#108289=EDGE_LOOP('',(#108284,#108285,#108286,#108288)); +#108290=FACE_OUTER_BOUND('',#108289,.F.); +#108292=CARTESIAN_POINT('',(-2.195E1,-2.155E0,-2.4685E1)); +#108293=DIRECTION('',(0.E0,1.E0,0.E0)); +#108294=DIRECTION('',(0.E0,0.E0,1.E0)); +#108295=AXIS2_PLACEMENT_3D('',#108292,#108293,#108294); +#108296=PLANE('',#108295); +#108297=ORIENTED_EDGE('',*,*,#107919,.F.); +#108298=ORIENTED_EDGE('',*,*,#108287,.T.); +#108299=ORIENTED_EDGE('',*,*,#108152,.T.); +#108300=ORIENTED_EDGE('',*,*,#60144,.F.); +#108301=EDGE_LOOP('',(#108297,#108298,#108299,#108300)); +#108302=FACE_OUTER_BOUND('',#108301,.F.); +#108304=CARTESIAN_POINT('',(-2.195E1,-3.285E0,-2.4685E1)); +#108305=DIRECTION('',(1.E0,0.E0,0.E0)); +#108306=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108307=AXIS2_PLACEMENT_3D('',#108304,#108305,#108306); +#108308=CYLINDRICAL_SURFACE('',#108307,5.E-1); +#108309=ORIENTED_EDGE('',*,*,#107924,.F.); +#108311=ORIENTED_EDGE('',*,*,#108310,.T.); +#108312=ORIENTED_EDGE('',*,*,#108147,.T.); +#108313=ORIENTED_EDGE('',*,*,#108233,.F.); +#108314=EDGE_LOOP('',(#108309,#108311,#108312,#108313)); +#108315=FACE_OUTER_BOUND('',#108314,.F.); +#108317=CARTESIAN_POINT('',(-2.195E1,-2.785E0,-2.36E1)); +#108318=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108319=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108320=AXIS2_PLACEMENT_3D('',#108317,#108318,#108319); +#108321=PLANE('',#108320); +#108322=ORIENTED_EDGE('',*,*,#107922,.F.); +#108323=ORIENTED_EDGE('',*,*,#60148,.T.); +#108324=ORIENTED_EDGE('',*,*,#108149,.T.); +#108325=ORIENTED_EDGE('',*,*,#108310,.F.); +#108326=EDGE_LOOP('',(#108322,#108323,#108324,#108325)); +#108327=FACE_OUTER_BOUND('',#108326,.F.); +#108329=CARTESIAN_POINT('',(-1.98E1,6.33E0,0.E0)); +#108330=DIRECTION('',(1.E0,0.E0,0.E0)); +#108331=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108332=AXIS2_PLACEMENT_3D('',#108329,#108330,#108331); +#108333=PLANE('',#108332); +#108335=ORIENTED_EDGE('',*,*,#108334,.F.); +#108337=ORIENTED_EDGE('',*,*,#108336,.T.); +#108339=ORIENTED_EDGE('',*,*,#108338,.T.); +#108341=ORIENTED_EDGE('',*,*,#108340,.T.); +#108342=ORIENTED_EDGE('',*,*,#103723,.F.); +#108344=ORIENTED_EDGE('',*,*,#108343,.T.); +#108346=ORIENTED_EDGE('',*,*,#108345,.T.); +#108348=ORIENTED_EDGE('',*,*,#108347,.T.); +#108349=EDGE_LOOP('',(#108335,#108337,#108339,#108341,#108342,#108344,#108346, +#108348)); +#108350=FACE_OUTER_BOUND('',#108349,.F.); +#108352=CARTESIAN_POINT('',(-1.98E1,6.33E0,0.E0)); +#108353=DIRECTION('',(1.E0,0.E0,0.E0)); +#108354=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108355=AXIS2_PLACEMENT_3D('',#108352,#108353,#108354); +#108356=PLANE('',#108355); +#108358=ORIENTED_EDGE('',*,*,#108357,.F.); +#108360=ORIENTED_EDGE('',*,*,#108359,.T.); +#108362=ORIENTED_EDGE('',*,*,#108361,.T.); +#108364=ORIENTED_EDGE('',*,*,#108363,.T.); +#108365=ORIENTED_EDGE('',*,*,#60152,.F.); +#108367=ORIENTED_EDGE('',*,*,#108366,.T.); +#108369=ORIENTED_EDGE('',*,*,#108368,.T.); +#108371=ORIENTED_EDGE('',*,*,#108370,.T.); +#108372=EDGE_LOOP('',(#108358,#108360,#108362,#108364,#108365,#108367,#108369, +#108371)); +#108373=FACE_OUTER_BOUND('',#108372,.F.); +#108375=CARTESIAN_POINT('',(-1.98E1,-1.094019237886E1,-3.0895E1)); +#108376=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#108377=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#108378=AXIS2_PLACEMENT_3D('',#108375,#108376,#108377); +#108379=PLANE('',#108378); +#108380=ORIENTED_EDGE('',*,*,#59056,.F.); +#108382=ORIENTED_EDGE('',*,*,#108381,.F.); +#108383=ORIENTED_EDGE('',*,*,#108334,.T.); +#108385=ORIENTED_EDGE('',*,*,#108384,.T.); +#108386=EDGE_LOOP('',(#108380,#108382,#108383,#108385)); +#108387=FACE_OUTER_BOUND('',#108386,.F.); +#108389=CARTESIAN_POINT('',(-1.98E1,-1.094019237886E1,-3.0895E1)); +#108390=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#108391=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#108392=AXIS2_PLACEMENT_3D('',#108389,#108390,#108391); +#108393=PLANE('',#108392); +#108394=ORIENTED_EDGE('',*,*,#59066,.F.); +#108396=ORIENTED_EDGE('',*,*,#108395,.F.); +#108397=ORIENTED_EDGE('',*,*,#108357,.T.); +#108399=ORIENTED_EDGE('',*,*,#108398,.T.); +#108400=EDGE_LOOP('',(#108394,#108396,#108397,#108399)); +#108401=FACE_OUTER_BOUND('',#108400,.F.); +#108403=CARTESIAN_POINT('',(-1.98E1,-1.72E1,-3.0895E1)); +#108404=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108405=DIRECTION('',(0.E0,1.E0,0.E0)); +#108406=AXIS2_PLACEMENT_3D('',#108403,#108404,#108405); +#108407=PLANE('',#108406); +#108409=ORIENTED_EDGE('',*,*,#108408,.F.); +#108411=ORIENTED_EDGE('',*,*,#108410,.T.); +#108413=ORIENTED_EDGE('',*,*,#108412,.T.); +#108414=ORIENTED_EDGE('',*,*,#57986,.F.); +#108415=EDGE_LOOP('',(#108409,#108411,#108413,#108414)); +#108416=FACE_OUTER_BOUND('',#108415,.F.); +#108418=CARTESIAN_POINT('',(-1.98E1,-1.72E1,-3.0895E1)); +#108419=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108420=DIRECTION('',(0.E0,1.E0,0.E0)); +#108421=AXIS2_PLACEMENT_3D('',#108418,#108419,#108420); +#108422=PLANE('',#108421); +#108423=ORIENTED_EDGE('',*,*,#108381,.T.); +#108424=ORIENTED_EDGE('',*,*,#59054,.T.); +#108426=ORIENTED_EDGE('',*,*,#108425,.T.); +#108428=ORIENTED_EDGE('',*,*,#108427,.T.); +#108430=ORIENTED_EDGE('',*,*,#108429,.F.); +#108431=ORIENTED_EDGE('',*,*,#108336,.F.); +#108432=EDGE_LOOP('',(#108423,#108424,#108426,#108428,#108430,#108431)); +#108433=FACE_OUTER_BOUND('',#108432,.F.); +#108435=CARTESIAN_POINT('',(-1.965E1,-1.35E1,-3.0895E1)); +#108436=DIRECTION('',(1.E0,0.E0,0.E0)); +#108437=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108438=AXIS2_PLACEMENT_3D('',#108435,#108436,#108437); +#108439=PLANE('',#108438); +#108440=ORIENTED_EDGE('',*,*,#57992,.T.); +#108442=ORIENTED_EDGE('',*,*,#108441,.F.); +#108444=ORIENTED_EDGE('',*,*,#108443,.T.); +#108445=ORIENTED_EDGE('',*,*,#108408,.T.); +#108446=EDGE_LOOP('',(#108440,#108442,#108444,#108445)); +#108447=FACE_OUTER_BOUND('',#108446,.F.); +#108449=CARTESIAN_POINT('',(-1.965E1,-1.35E1,-3.0895E1)); +#108450=DIRECTION('',(1.E0,0.E0,0.E0)); +#108451=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108452=AXIS2_PLACEMENT_3D('',#108449,#108450,#108451); +#108453=PLANE('',#108452); +#108454=ORIENTED_EDGE('',*,*,#58002,.T.); +#108456=ORIENTED_EDGE('',*,*,#108455,.F.); +#108458=ORIENTED_EDGE('',*,*,#108457,.T.); +#108460=ORIENTED_EDGE('',*,*,#108459,.T.); +#108461=EDGE_LOOP('',(#108454,#108456,#108458,#108460)); +#108462=FACE_OUTER_BOUND('',#108461,.F.); +#108464=CARTESIAN_POINT('',(-1.98E1,3.815E0,-3.0265E1)); +#108465=DIRECTION('',(0.E0,0.E0,1.E0)); +#108466=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108467=AXIS2_PLACEMENT_3D('',#108464,#108465,#108466); +#108468=PLANE('',#108467); +#108469=ORIENTED_EDGE('',*,*,#108441,.T.); +#108470=ORIENTED_EDGE('',*,*,#57990,.T.); +#108472=ORIENTED_EDGE('',*,*,#108471,.F.); +#108474=ORIENTED_EDGE('',*,*,#108473,.F.); +#108475=EDGE_LOOP('',(#108469,#108470,#108472,#108474)); +#108476=FACE_OUTER_BOUND('',#108475,.F.); +#108478=CARTESIAN_POINT('',(-1.98E1,3.815E0,-3.0265E1)); +#108479=DIRECTION('',(0.E0,0.E0,1.E0)); +#108480=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108481=AXIS2_PLACEMENT_3D('',#108478,#108479,#108480); +#108482=PLANE('',#108481); +#108483=ORIENTED_EDGE('',*,*,#108384,.F.); +#108484=ORIENTED_EDGE('',*,*,#108347,.F.); +#108486=ORIENTED_EDGE('',*,*,#108485,.T.); +#108488=ORIENTED_EDGE('',*,*,#108487,.T.); +#108490=ORIENTED_EDGE('',*,*,#108489,.F.); +#108491=ORIENTED_EDGE('',*,*,#59050,.F.); +#108492=EDGE_LOOP('',(#108483,#108484,#108486,#108488,#108490,#108491)); +#108493=FACE_OUTER_BOUND('',#108492,.F.); +#108495=CARTESIAN_POINT('',(-1.905E1,-1.72E1,-3.0895E1)); +#108496=DIRECTION('',(-1.E0,0.E0,0.E0)); +#108497=DIRECTION('',(0.E0,1.E0,0.E0)); +#108498=AXIS2_PLACEMENT_3D('',#108495,#108496,#108497); +#108499=PLANE('',#108498); +#108500=ORIENTED_EDGE('',*,*,#57988,.F.); +#108501=ORIENTED_EDGE('',*,*,#108412,.F.); +#108503=ORIENTED_EDGE('',*,*,#108502,.F.); +#108504=ORIENTED_EDGE('',*,*,#108471,.T.); +#108505=EDGE_LOOP('',(#108500,#108501,#108503,#108504)); +#108506=FACE_OUTER_BOUND('',#108505,.F.); +#108508=CARTESIAN_POINT('',(-1.905E1,-1.72E1,-3.0895E1)); +#108509=DIRECTION('',(-1.E0,0.E0,0.E0)); +#108510=DIRECTION('',(0.E0,1.E0,0.E0)); +#108511=AXIS2_PLACEMENT_3D('',#108508,#108509,#108510); +#108512=PLANE('',#108511); +#108513=ORIENTED_EDGE('',*,*,#57998,.F.); +#108515=ORIENTED_EDGE('',*,*,#108514,.F.); +#108517=ORIENTED_EDGE('',*,*,#108516,.F.); +#108519=ORIENTED_EDGE('',*,*,#108518,.T.); +#108520=EDGE_LOOP('',(#108513,#108515,#108517,#108519)); +#108521=FACE_OUTER_BOUND('',#108520,.F.); +#108523=CARTESIAN_POINT('',(-1.98E1,-1.72E1,-3.0265E1)); +#108524=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108525=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108526=AXIS2_PLACEMENT_3D('',#108523,#108524,#108525); +#108527=PLANE('',#108526); +#108528=ORIENTED_EDGE('',*,*,#108443,.F.); +#108529=ORIENTED_EDGE('',*,*,#108473,.T.); +#108530=ORIENTED_EDGE('',*,*,#108502,.T.); +#108531=ORIENTED_EDGE('',*,*,#108410,.F.); +#108532=EDGE_LOOP('',(#108528,#108529,#108530,#108531)); +#108533=FACE_OUTER_BOUND('',#108532,.F.); +#108535=CARTESIAN_POINT('',(-1.98E1,-1.72E1,-2.5815E1)); +#108536=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108537=DIRECTION('',(0.E0,1.E0,0.E0)); +#108538=AXIS2_PLACEMENT_3D('',#108535,#108536,#108537); +#108539=PLANE('',#108538); +#108540=ORIENTED_EDGE('',*,*,#108459,.F.); +#108542=ORIENTED_EDGE('',*,*,#108541,.T.); +#108543=ORIENTED_EDGE('',*,*,#108514,.T.); +#108544=ORIENTED_EDGE('',*,*,#57996,.F.); +#108545=EDGE_LOOP('',(#108540,#108542,#108543,#108544)); +#108546=FACE_OUTER_BOUND('',#108545,.F.); +#108548=CARTESIAN_POINT('',(-1.98E1,-1.72E1,-2.5815E1)); +#108549=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108550=DIRECTION('',(0.E0,1.E0,0.E0)); +#108551=AXIS2_PLACEMENT_3D('',#108548,#108549,#108550); +#108552=PLANE('',#108551); +#108553=ORIENTED_EDGE('',*,*,#108395,.T.); +#108554=ORIENTED_EDGE('',*,*,#59064,.T.); +#108556=ORIENTED_EDGE('',*,*,#108555,.T.); +#108558=ORIENTED_EDGE('',*,*,#108557,.T.); +#108560=ORIENTED_EDGE('',*,*,#108559,.F.); +#108561=ORIENTED_EDGE('',*,*,#108359,.F.); +#108562=EDGE_LOOP('',(#108553,#108554,#108556,#108558,#108560,#108561)); +#108563=FACE_OUTER_BOUND('',#108562,.F.); +#108565=CARTESIAN_POINT('',(-1.98E1,-1.72E1,-2.5185E1)); +#108566=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108567=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108568=AXIS2_PLACEMENT_3D('',#108565,#108566,#108567); +#108569=PLANE('',#108568); +#108570=ORIENTED_EDGE('',*,*,#108457,.F.); +#108572=ORIENTED_EDGE('',*,*,#108571,.T.); +#108573=ORIENTED_EDGE('',*,*,#108516,.T.); +#108574=ORIENTED_EDGE('',*,*,#108541,.F.); +#108575=EDGE_LOOP('',(#108570,#108572,#108573,#108574)); +#108576=FACE_OUTER_BOUND('',#108575,.F.); +#108578=CARTESIAN_POINT('',(-1.98E1,-3.285E0,-2.5185E1)); +#108579=DIRECTION('',(0.E0,0.E0,1.E0)); +#108580=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108581=AXIS2_PLACEMENT_3D('',#108578,#108579,#108580); +#108582=PLANE('',#108581); +#108583=ORIENTED_EDGE('',*,*,#108455,.T.); +#108584=ORIENTED_EDGE('',*,*,#58000,.T.); +#108585=ORIENTED_EDGE('',*,*,#108518,.F.); +#108586=ORIENTED_EDGE('',*,*,#108571,.F.); +#108587=EDGE_LOOP('',(#108583,#108584,#108585,#108586)); +#108588=FACE_OUTER_BOUND('',#108587,.F.); +#108590=CARTESIAN_POINT('',(-1.98E1,-3.285E0,-2.5185E1)); +#108591=DIRECTION('',(0.E0,0.E0,1.E0)); +#108592=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108593=AXIS2_PLACEMENT_3D('',#108590,#108591,#108592); +#108594=PLANE('',#108593); +#108595=ORIENTED_EDGE('',*,*,#108398,.F.); +#108596=ORIENTED_EDGE('',*,*,#108370,.F.); +#108598=ORIENTED_EDGE('',*,*,#108597,.T.); +#108600=ORIENTED_EDGE('',*,*,#108599,.T.); +#108602=ORIENTED_EDGE('',*,*,#108601,.F.); +#108603=ORIENTED_EDGE('',*,*,#59060,.F.); +#108604=EDGE_LOOP('',(#108595,#108596,#108598,#108600,#108602,#108603)); +#108605=FACE_OUTER_BOUND('',#108604,.F.); +#108607=CARTESIAN_POINT('',(-1.98E1,-3.285E0,-2.4685E1)); +#108608=DIRECTION('',(1.E0,0.E0,0.E0)); +#108609=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108610=AXIS2_PLACEMENT_3D('',#108607,#108608,#108609); +#108611=CYLINDRICAL_SURFACE('',#108610,5.E-1); +#108612=ORIENTED_EDGE('',*,*,#108368,.F.); +#108614=ORIENTED_EDGE('',*,*,#108613,.T.); +#108616=ORIENTED_EDGE('',*,*,#108615,.T.); +#108617=ORIENTED_EDGE('',*,*,#108597,.F.); +#108618=EDGE_LOOP('',(#108612,#108614,#108616,#108617)); +#108619=FACE_OUTER_BOUND('',#108618,.F.); +#108621=CARTESIAN_POINT('',(-1.98E1,-2.785E0,-2.36E1)); +#108622=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108623=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108624=AXIS2_PLACEMENT_3D('',#108621,#108622,#108623); +#108625=PLANE('',#108624); +#108626=ORIENTED_EDGE('',*,*,#108366,.F.); +#108627=ORIENTED_EDGE('',*,*,#60158,.T.); +#108629=ORIENTED_EDGE('',*,*,#108628,.T.); +#108630=ORIENTED_EDGE('',*,*,#108613,.F.); +#108631=EDGE_LOOP('',(#108626,#108627,#108629,#108630)); +#108632=FACE_OUTER_BOUND('',#108631,.F.); +#108634=CARTESIAN_POINT('',(-1.89E1,6.33E0,0.E0)); +#108635=DIRECTION('',(1.E0,0.E0,0.E0)); +#108636=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108637=AXIS2_PLACEMENT_3D('',#108634,#108635,#108636); +#108638=PLANE('',#108637); +#108640=ORIENTED_EDGE('',*,*,#108639,.T.); +#108641=ORIENTED_EDGE('',*,*,#108487,.F.); +#108643=ORIENTED_EDGE('',*,*,#108642,.F.); +#108645=ORIENTED_EDGE('',*,*,#108644,.F.); +#108646=ORIENTED_EDGE('',*,*,#103727,.T.); +#108648=ORIENTED_EDGE('',*,*,#108647,.F.); +#108650=ORIENTED_EDGE('',*,*,#108649,.F.); +#108651=ORIENTED_EDGE('',*,*,#108427,.F.); +#108652=EDGE_LOOP('',(#108640,#108641,#108643,#108645,#108646,#108648,#108650, +#108651)); +#108653=FACE_OUTER_BOUND('',#108652,.F.); +#108655=CARTESIAN_POINT('',(-1.89E1,6.33E0,0.E0)); +#108656=DIRECTION('',(1.E0,0.E0,0.E0)); +#108657=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108658=AXIS2_PLACEMENT_3D('',#108655,#108656,#108657); +#108659=PLANE('',#108658); +#108661=ORIENTED_EDGE('',*,*,#108660,.T.); +#108662=ORIENTED_EDGE('',*,*,#108599,.F.); +#108663=ORIENTED_EDGE('',*,*,#108615,.F.); +#108664=ORIENTED_EDGE('',*,*,#108628,.F.); +#108665=ORIENTED_EDGE('',*,*,#60156,.T.); +#108667=ORIENTED_EDGE('',*,*,#108666,.F.); +#108669=ORIENTED_EDGE('',*,*,#108668,.F.); +#108670=ORIENTED_EDGE('',*,*,#108557,.F.); +#108671=EDGE_LOOP('',(#108661,#108662,#108663,#108664,#108665,#108667,#108669, +#108670)); +#108672=FACE_OUTER_BOUND('',#108671,.F.); +#108674=CARTESIAN_POINT('',(-1.905E1,-1.12E1,-3.0895E1)); +#108675=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#108676=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#108677=AXIS2_PLACEMENT_3D('',#108674,#108675,#108676); +#108678=PLANE('',#108677); +#108679=ORIENTED_EDGE('',*,*,#59052,.T.); +#108680=ORIENTED_EDGE('',*,*,#108489,.T.); +#108681=ORIENTED_EDGE('',*,*,#108639,.F.); +#108682=ORIENTED_EDGE('',*,*,#108425,.F.); +#108683=EDGE_LOOP('',(#108679,#108680,#108681,#108682)); +#108684=FACE_OUTER_BOUND('',#108683,.F.); +#108686=CARTESIAN_POINT('',(-1.905E1,-1.12E1,-3.0895E1)); +#108687=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#108688=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#108689=AXIS2_PLACEMENT_3D('',#108686,#108687,#108688); +#108690=PLANE('',#108689); +#108691=ORIENTED_EDGE('',*,*,#59062,.T.); +#108692=ORIENTED_EDGE('',*,*,#108601,.T.); +#108693=ORIENTED_EDGE('',*,*,#108660,.F.); +#108694=ORIENTED_EDGE('',*,*,#108555,.F.); +#108695=EDGE_LOOP('',(#108691,#108692,#108693,#108694)); +#108696=FACE_OUTER_BOUND('',#108695,.F.); +#108698=CARTESIAN_POINT('',(-1.98E1,3.815E0,-2.9265E1)); +#108699=DIRECTION('',(1.E0,0.E0,0.E0)); +#108700=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108701=AXIS2_PLACEMENT_3D('',#108698,#108699,#108700); +#108702=CYLINDRICAL_SURFACE('',#108701,1.E0); +#108703=ORIENTED_EDGE('',*,*,#108345,.F.); +#108705=ORIENTED_EDGE('',*,*,#108704,.T.); +#108706=ORIENTED_EDGE('',*,*,#108642,.T.); +#108707=ORIENTED_EDGE('',*,*,#108485,.F.); +#108708=EDGE_LOOP('',(#108703,#108705,#108706,#108707)); +#108709=FACE_OUTER_BOUND('',#108708,.F.); +#108711=CARTESIAN_POINT('',(-1.98E1,4.815E0,-2.36E1)); +#108712=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108713=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108714=AXIS2_PLACEMENT_3D('',#108711,#108712,#108713); +#108715=PLANE('',#108714); +#108716=ORIENTED_EDGE('',*,*,#108343,.F.); +#108717=ORIENTED_EDGE('',*,*,#103729,.T.); +#108718=ORIENTED_EDGE('',*,*,#108644,.T.); +#108719=ORIENTED_EDGE('',*,*,#108704,.F.); +#108720=EDGE_LOOP('',(#108716,#108717,#108718,#108719)); +#108721=FACE_OUTER_BOUND('',#108720,.F.); +#108723=CARTESIAN_POINT('',(-1.98E1,5.445E0,-2.9265E1)); +#108724=DIRECTION('',(0.E0,1.E0,0.E0)); +#108725=DIRECTION('',(0.E0,0.E0,1.E0)); +#108726=AXIS2_PLACEMENT_3D('',#108723,#108724,#108725); +#108727=PLANE('',#108726); +#108728=ORIENTED_EDGE('',*,*,#108340,.F.); +#108730=ORIENTED_EDGE('',*,*,#108729,.T.); +#108731=ORIENTED_EDGE('',*,*,#108647,.T.); +#108732=ORIENTED_EDGE('',*,*,#103725,.F.); +#108733=EDGE_LOOP('',(#108728,#108730,#108731,#108732)); +#108734=FACE_OUTER_BOUND('',#108733,.F.); +#108736=CARTESIAN_POINT('',(-1.98E1,3.815E0,-2.9265E1)); +#108737=DIRECTION('',(1.E0,0.E0,0.E0)); +#108738=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108739=AXIS2_PLACEMENT_3D('',#108736,#108737,#108738); +#108740=CYLINDRICAL_SURFACE('',#108739,1.63E0); +#108741=ORIENTED_EDGE('',*,*,#108338,.F.); +#108742=ORIENTED_EDGE('',*,*,#108429,.T.); +#108743=ORIENTED_EDGE('',*,*,#108649,.T.); +#108744=ORIENTED_EDGE('',*,*,#108729,.F.); +#108745=EDGE_LOOP('',(#108741,#108742,#108743,#108744)); +#108746=FACE_OUTER_BOUND('',#108745,.F.); +#108748=CARTESIAN_POINT('',(-1.98E1,-2.155E0,-2.4685E1)); +#108749=DIRECTION('',(0.E0,1.E0,0.E0)); +#108750=DIRECTION('',(0.E0,0.E0,1.E0)); +#108751=AXIS2_PLACEMENT_3D('',#108748,#108749,#108750); +#108752=PLANE('',#108751); +#108753=ORIENTED_EDGE('',*,*,#108363,.F.); +#108755=ORIENTED_EDGE('',*,*,#108754,.T.); +#108756=ORIENTED_EDGE('',*,*,#108666,.T.); +#108757=ORIENTED_EDGE('',*,*,#60154,.F.); +#108758=EDGE_LOOP('',(#108753,#108755,#108756,#108757)); +#108759=FACE_OUTER_BOUND('',#108758,.F.); +#108761=CARTESIAN_POINT('',(-1.98E1,-3.285E0,-2.4685E1)); +#108762=DIRECTION('',(1.E0,0.E0,0.E0)); +#108763=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108764=AXIS2_PLACEMENT_3D('',#108761,#108762,#108763); +#108765=CYLINDRICAL_SURFACE('',#108764,1.13E0); +#108766=ORIENTED_EDGE('',*,*,#108361,.F.); +#108767=ORIENTED_EDGE('',*,*,#108559,.T.); +#108768=ORIENTED_EDGE('',*,*,#108668,.T.); +#108769=ORIENTED_EDGE('',*,*,#108754,.F.); +#108770=EDGE_LOOP('',(#108766,#108767,#108768,#108769)); +#108771=FACE_OUTER_BOUND('',#108770,.F.); +#108773=CARTESIAN_POINT('',(-1.765E1,1.033E1,0.E0)); +#108774=DIRECTION('',(1.E0,0.E0,0.E0)); +#108775=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108776=AXIS2_PLACEMENT_3D('',#108773,#108774,#108775); +#108777=PLANE('',#108776); +#108779=ORIENTED_EDGE('',*,*,#108778,.F.); +#108781=ORIENTED_EDGE('',*,*,#108780,.T.); +#108783=ORIENTED_EDGE('',*,*,#108782,.T.); +#108785=ORIENTED_EDGE('',*,*,#108784,.T.); +#108786=ORIENTED_EDGE('',*,*,#103733,.F.); +#108788=ORIENTED_EDGE('',*,*,#108787,.T.); +#108790=ORIENTED_EDGE('',*,*,#108789,.T.); +#108792=ORIENTED_EDGE('',*,*,#108791,.T.); +#108793=EDGE_LOOP('',(#108779,#108781,#108783,#108785,#108786,#108788,#108790, +#108792)); +#108794=FACE_OUTER_BOUND('',#108793,.F.); +#108796=CARTESIAN_POINT('',(-1.765E1,1.033E1,0.E0)); +#108797=DIRECTION('',(1.E0,0.E0,0.E0)); +#108798=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108799=AXIS2_PLACEMENT_3D('',#108796,#108797,#108798); +#108800=PLANE('',#108799); +#108802=ORIENTED_EDGE('',*,*,#108801,.F.); +#108804=ORIENTED_EDGE('',*,*,#108803,.T.); +#108806=ORIENTED_EDGE('',*,*,#108805,.T.); +#108808=ORIENTED_EDGE('',*,*,#108807,.T.); +#108809=ORIENTED_EDGE('',*,*,#60162,.F.); +#108811=ORIENTED_EDGE('',*,*,#108810,.T.); +#108813=ORIENTED_EDGE('',*,*,#108812,.T.); +#108815=ORIENTED_EDGE('',*,*,#108814,.T.); +#108816=EDGE_LOOP('',(#108802,#108804,#108806,#108808,#108809,#108811,#108813, +#108815)); +#108817=FACE_OUTER_BOUND('',#108816,.F.); +#108819=CARTESIAN_POINT('',(-1.765E1,-1.094019237886E1,-3.0895E1)); +#108820=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#108821=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#108822=AXIS2_PLACEMENT_3D('',#108819,#108820,#108821); +#108823=PLANE('',#108822); +#108824=ORIENTED_EDGE('',*,*,#59086,.F.); +#108826=ORIENTED_EDGE('',*,*,#108825,.F.); +#108827=ORIENTED_EDGE('',*,*,#108778,.T.); +#108829=ORIENTED_EDGE('',*,*,#108828,.T.); +#108830=EDGE_LOOP('',(#108824,#108826,#108827,#108829)); +#108831=FACE_OUTER_BOUND('',#108830,.F.); +#108833=CARTESIAN_POINT('',(-1.765E1,-1.094019237886E1,-3.0895E1)); +#108834=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#108835=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#108836=AXIS2_PLACEMENT_3D('',#108833,#108834,#108835); +#108837=PLANE('',#108836); +#108838=ORIENTED_EDGE('',*,*,#59076,.F.); +#108840=ORIENTED_EDGE('',*,*,#108839,.F.); +#108841=ORIENTED_EDGE('',*,*,#108801,.T.); +#108843=ORIENTED_EDGE('',*,*,#108842,.T.); +#108844=EDGE_LOOP('',(#108838,#108840,#108841,#108843)); +#108845=FACE_OUTER_BOUND('',#108844,.F.); +#108847=CARTESIAN_POINT('',(-1.765E1,-1.72E1,-2.8355E1)); +#108848=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108849=DIRECTION('',(0.E0,1.E0,0.E0)); +#108850=AXIS2_PLACEMENT_3D('',#108847,#108848,#108849); +#108851=PLANE('',#108850); +#108853=ORIENTED_EDGE('',*,*,#108852,.T.); +#108854=ORIENTED_EDGE('',*,*,#58016,.F.); +#108856=ORIENTED_EDGE('',*,*,#108855,.F.); +#108858=ORIENTED_EDGE('',*,*,#108857,.T.); +#108859=EDGE_LOOP('',(#108853,#108854,#108856,#108858)); +#108860=FACE_OUTER_BOUND('',#108859,.F.); +#108862=CARTESIAN_POINT('',(-1.765E1,-1.72E1,-2.8355E1)); +#108863=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108864=DIRECTION('',(0.E0,1.E0,0.E0)); +#108865=AXIS2_PLACEMENT_3D('',#108862,#108863,#108864); +#108866=PLANE('',#108865); +#108868=ORIENTED_EDGE('',*,*,#108867,.T.); +#108870=ORIENTED_EDGE('',*,*,#108869,.T.); +#108872=ORIENTED_EDGE('',*,*,#108871,.F.); +#108873=ORIENTED_EDGE('',*,*,#108780,.F.); +#108874=ORIENTED_EDGE('',*,*,#108825,.T.); +#108875=ORIENTED_EDGE('',*,*,#59084,.T.); +#108876=EDGE_LOOP('',(#108868,#108870,#108872,#108873,#108874,#108875)); +#108877=FACE_OUTER_BOUND('',#108876,.F.); +#108879=CARTESIAN_POINT('',(-1.69E1,-1.72E1,-3.0895E1)); +#108880=DIRECTION('',(-1.E0,0.E0,0.E0)); +#108881=DIRECTION('',(0.E0,1.E0,0.E0)); +#108882=AXIS2_PLACEMENT_3D('',#108879,#108880,#108881); +#108883=PLANE('',#108882); +#108884=ORIENTED_EDGE('',*,*,#58018,.F.); +#108885=ORIENTED_EDGE('',*,*,#108852,.F.); +#108887=ORIENTED_EDGE('',*,*,#108886,.F.); +#108889=ORIENTED_EDGE('',*,*,#108888,.T.); +#108890=EDGE_LOOP('',(#108884,#108885,#108887,#108889)); +#108891=FACE_OUTER_BOUND('',#108890,.F.); +#108893=CARTESIAN_POINT('',(-1.69E1,-1.72E1,-3.0895E1)); +#108894=DIRECTION('',(-1.E0,0.E0,0.E0)); +#108895=DIRECTION('',(0.E0,1.E0,0.E0)); +#108896=AXIS2_PLACEMENT_3D('',#108893,#108894,#108895); +#108897=PLANE('',#108896); +#108898=ORIENTED_EDGE('',*,*,#58008,.F.); +#108900=ORIENTED_EDGE('',*,*,#108899,.F.); +#108902=ORIENTED_EDGE('',*,*,#108901,.F.); +#108904=ORIENTED_EDGE('',*,*,#108903,.T.); +#108905=EDGE_LOOP('',(#108898,#108900,#108902,#108904)); +#108906=FACE_OUTER_BOUND('',#108905,.F.); +#108908=CARTESIAN_POINT('',(-1.765E1,-1.72E1,-2.7725E1)); +#108909=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108910=DIRECTION('',(0.E0,0.E0,-1.E0)); +#108911=AXIS2_PLACEMENT_3D('',#108908,#108909,#108910); +#108912=PLANE('',#108911); +#108913=ORIENTED_EDGE('',*,*,#108886,.T.); +#108914=ORIENTED_EDGE('',*,*,#108857,.F.); +#108916=ORIENTED_EDGE('',*,*,#108915,.F.); +#108918=ORIENTED_EDGE('',*,*,#108917,.T.); +#108919=EDGE_LOOP('',(#108913,#108914,#108916,#108918)); +#108920=FACE_OUTER_BOUND('',#108919,.F.); +#108922=CARTESIAN_POINT('',(-1.75E1,-1.35E1,-3.0895E1)); +#108923=DIRECTION('',(1.E0,0.E0,0.E0)); +#108924=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108925=AXIS2_PLACEMENT_3D('',#108922,#108923,#108924); +#108926=PLANE('',#108925); +#108927=ORIENTED_EDGE('',*,*,#58022,.T.); +#108929=ORIENTED_EDGE('',*,*,#108928,.F.); +#108930=ORIENTED_EDGE('',*,*,#108915,.T.); +#108931=ORIENTED_EDGE('',*,*,#108855,.T.); +#108932=EDGE_LOOP('',(#108927,#108929,#108930,#108931)); +#108933=FACE_OUTER_BOUND('',#108932,.F.); +#108935=CARTESIAN_POINT('',(-1.75E1,-1.35E1,-3.0895E1)); +#108936=DIRECTION('',(1.E0,0.E0,0.E0)); +#108937=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108938=AXIS2_PLACEMENT_3D('',#108935,#108936,#108937); +#108939=PLANE('',#108938); +#108940=ORIENTED_EDGE('',*,*,#58012,.T.); +#108942=ORIENTED_EDGE('',*,*,#108941,.F.); +#108944=ORIENTED_EDGE('',*,*,#108943,.T.); +#108946=ORIENTED_EDGE('',*,*,#108945,.T.); +#108947=EDGE_LOOP('',(#108940,#108942,#108944,#108946)); +#108948=FACE_OUTER_BOUND('',#108947,.F.); +#108950=CARTESIAN_POINT('',(-1.765E1,3.815E0,-2.7725E1)); +#108951=DIRECTION('',(0.E0,0.E0,1.E0)); +#108952=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108953=AXIS2_PLACEMENT_3D('',#108950,#108951,#108952); +#108954=PLANE('',#108953); +#108955=ORIENTED_EDGE('',*,*,#108888,.F.); +#108956=ORIENTED_EDGE('',*,*,#108917,.F.); +#108957=ORIENTED_EDGE('',*,*,#108928,.T.); +#108958=ORIENTED_EDGE('',*,*,#58020,.T.); +#108959=EDGE_LOOP('',(#108955,#108956,#108957,#108958)); +#108960=FACE_OUTER_BOUND('',#108959,.F.); +#108962=CARTESIAN_POINT('',(-1.765E1,3.815E0,-2.7725E1)); +#108963=DIRECTION('',(0.E0,0.E0,1.E0)); +#108964=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108965=AXIS2_PLACEMENT_3D('',#108962,#108963,#108964); +#108966=PLANE('',#108965); +#108968=ORIENTED_EDGE('',*,*,#108967,.F.); +#108969=ORIENTED_EDGE('',*,*,#59080,.F.); +#108970=ORIENTED_EDGE('',*,*,#108828,.F.); +#108971=ORIENTED_EDGE('',*,*,#108791,.F.); +#108973=ORIENTED_EDGE('',*,*,#108972,.T.); +#108975=ORIENTED_EDGE('',*,*,#108974,.T.); +#108976=EDGE_LOOP('',(#108968,#108969,#108970,#108971,#108973,#108975)); +#108977=FACE_OUTER_BOUND('',#108976,.F.); +#108979=CARTESIAN_POINT('',(-1.69E1,-1.12E1,-3.0895E1)); +#108980=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#108981=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#108982=AXIS2_PLACEMENT_3D('',#108979,#108980,#108981); +#108983=PLANE('',#108982); +#108984=ORIENTED_EDGE('',*,*,#59082,.T.); +#108985=ORIENTED_EDGE('',*,*,#108967,.T.); +#108987=ORIENTED_EDGE('',*,*,#108986,.F.); +#108988=ORIENTED_EDGE('',*,*,#108867,.F.); +#108989=EDGE_LOOP('',(#108984,#108985,#108987,#108988)); +#108990=FACE_OUTER_BOUND('',#108989,.F.); +#108992=CARTESIAN_POINT('',(-1.69E1,-1.12E1,-3.0895E1)); +#108993=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#108994=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#108995=AXIS2_PLACEMENT_3D('',#108992,#108993,#108994); +#108996=PLANE('',#108995); +#108997=ORIENTED_EDGE('',*,*,#59072,.T.); +#108999=ORIENTED_EDGE('',*,*,#108998,.T.); +#109001=ORIENTED_EDGE('',*,*,#109000,.F.); +#109003=ORIENTED_EDGE('',*,*,#109002,.F.); +#109004=EDGE_LOOP('',(#108997,#108999,#109001,#109003)); +#109005=FACE_OUTER_BOUND('',#109004,.F.); +#109007=CARTESIAN_POINT('',(-1.675E1,1.033E1,0.E0)); +#109008=DIRECTION('',(1.E0,0.E0,0.E0)); +#109009=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109010=AXIS2_PLACEMENT_3D('',#109007,#109008,#109009); +#109011=PLANE('',#109010); +#109012=ORIENTED_EDGE('',*,*,#108986,.T.); +#109013=ORIENTED_EDGE('',*,*,#108974,.F.); +#109015=ORIENTED_EDGE('',*,*,#109014,.F.); +#109017=ORIENTED_EDGE('',*,*,#109016,.F.); +#109018=ORIENTED_EDGE('',*,*,#103737,.T.); +#109020=ORIENTED_EDGE('',*,*,#109019,.F.); +#109022=ORIENTED_EDGE('',*,*,#109021,.F.); +#109023=ORIENTED_EDGE('',*,*,#108869,.F.); +#109024=EDGE_LOOP('',(#109012,#109013,#109015,#109017,#109018,#109020,#109022, +#109023)); +#109025=FACE_OUTER_BOUND('',#109024,.F.); +#109027=CARTESIAN_POINT('',(-1.675E1,1.033E1,0.E0)); +#109028=DIRECTION('',(1.E0,0.E0,0.E0)); +#109029=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109030=AXIS2_PLACEMENT_3D('',#109027,#109028,#109029); +#109031=PLANE('',#109030); +#109032=ORIENTED_EDGE('',*,*,#109000,.T.); +#109034=ORIENTED_EDGE('',*,*,#109033,.F.); +#109036=ORIENTED_EDGE('',*,*,#109035,.F.); +#109038=ORIENTED_EDGE('',*,*,#109037,.F.); +#109039=ORIENTED_EDGE('',*,*,#60166,.T.); +#109041=ORIENTED_EDGE('',*,*,#109040,.F.); +#109043=ORIENTED_EDGE('',*,*,#109042,.F.); +#109045=ORIENTED_EDGE('',*,*,#109044,.F.); +#109046=EDGE_LOOP('',(#109032,#109034,#109036,#109038,#109039,#109041,#109043, +#109045)); +#109047=FACE_OUTER_BOUND('',#109046,.F.); +#109049=CARTESIAN_POINT('',(-1.765E1,3.815E0,-2.6725E1)); +#109050=DIRECTION('',(1.E0,0.E0,0.E0)); +#109051=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109052=AXIS2_PLACEMENT_3D('',#109049,#109050,#109051); +#109053=CYLINDRICAL_SURFACE('',#109052,1.E0); +#109054=ORIENTED_EDGE('',*,*,#108789,.F.); +#109056=ORIENTED_EDGE('',*,*,#109055,.T.); +#109057=ORIENTED_EDGE('',*,*,#109014,.T.); +#109058=ORIENTED_EDGE('',*,*,#108972,.F.); +#109059=EDGE_LOOP('',(#109054,#109056,#109057,#109058)); +#109060=FACE_OUTER_BOUND('',#109059,.F.); +#109062=CARTESIAN_POINT('',(-1.765E1,4.815E0,-2.36E1)); +#109063=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109064=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109065=AXIS2_PLACEMENT_3D('',#109062,#109063,#109064); +#109066=PLANE('',#109065); +#109067=ORIENTED_EDGE('',*,*,#108787,.F.); +#109068=ORIENTED_EDGE('',*,*,#103739,.T.); +#109069=ORIENTED_EDGE('',*,*,#109016,.T.); +#109070=ORIENTED_EDGE('',*,*,#109055,.F.); +#109071=EDGE_LOOP('',(#109067,#109068,#109069,#109070)); +#109072=FACE_OUTER_BOUND('',#109071,.F.); +#109074=CARTESIAN_POINT('',(-1.765E1,5.445E0,-2.6725E1)); +#109075=DIRECTION('',(0.E0,1.E0,0.E0)); +#109076=DIRECTION('',(0.E0,0.E0,1.E0)); +#109077=AXIS2_PLACEMENT_3D('',#109074,#109075,#109076); +#109078=PLANE('',#109077); +#109079=ORIENTED_EDGE('',*,*,#108784,.F.); +#109081=ORIENTED_EDGE('',*,*,#109080,.T.); +#109082=ORIENTED_EDGE('',*,*,#109019,.T.); +#109083=ORIENTED_EDGE('',*,*,#103735,.F.); +#109084=EDGE_LOOP('',(#109079,#109081,#109082,#109083)); +#109085=FACE_OUTER_BOUND('',#109084,.F.); +#109087=CARTESIAN_POINT('',(-1.765E1,3.815E0,-2.6725E1)); +#109088=DIRECTION('',(1.E0,0.E0,0.E0)); +#109089=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109090=AXIS2_PLACEMENT_3D('',#109087,#109088,#109089); +#109091=CYLINDRICAL_SURFACE('',#109090,1.63E0); +#109092=ORIENTED_EDGE('',*,*,#108782,.F.); +#109093=ORIENTED_EDGE('',*,*,#108871,.T.); +#109094=ORIENTED_EDGE('',*,*,#109021,.T.); +#109095=ORIENTED_EDGE('',*,*,#109080,.F.); +#109096=EDGE_LOOP('',(#109092,#109093,#109094,#109095)); +#109097=FACE_OUTER_BOUND('',#109096,.F.); +#109099=CARTESIAN_POINT('',(-1.765E1,-3.285E0,-2.5185E1)); +#109100=DIRECTION('',(0.E0,0.E0,1.E0)); +#109101=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109102=AXIS2_PLACEMENT_3D('',#109099,#109100,#109101); +#109103=PLANE('',#109102); +#109104=ORIENTED_EDGE('',*,*,#108903,.F.); +#109106=ORIENTED_EDGE('',*,*,#109105,.F.); +#109107=ORIENTED_EDGE('',*,*,#108941,.T.); +#109108=ORIENTED_EDGE('',*,*,#58010,.T.); +#109109=EDGE_LOOP('',(#109104,#109106,#109107,#109108)); +#109110=FACE_OUTER_BOUND('',#109109,.F.); +#109112=CARTESIAN_POINT('',(-1.765E1,-3.285E0,-2.5185E1)); +#109113=DIRECTION('',(0.E0,0.E0,1.E0)); +#109114=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109115=AXIS2_PLACEMENT_3D('',#109112,#109113,#109114); +#109116=PLANE('',#109115); +#109117=ORIENTED_EDGE('',*,*,#108998,.F.); +#109118=ORIENTED_EDGE('',*,*,#59070,.F.); +#109119=ORIENTED_EDGE('',*,*,#108842,.F.); +#109120=ORIENTED_EDGE('',*,*,#108814,.F.); +#109122=ORIENTED_EDGE('',*,*,#109121,.T.); +#109123=ORIENTED_EDGE('',*,*,#109033,.T.); +#109124=EDGE_LOOP('',(#109117,#109118,#109119,#109120,#109122,#109123)); +#109125=FACE_OUTER_BOUND('',#109124,.F.); +#109127=CARTESIAN_POINT('',(-1.765E1,-1.72E1,-2.5185E1)); +#109128=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109129=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109130=AXIS2_PLACEMENT_3D('',#109127,#109128,#109129); +#109131=PLANE('',#109130); +#109132=ORIENTED_EDGE('',*,*,#108901,.T.); +#109134=ORIENTED_EDGE('',*,*,#109133,.F.); +#109135=ORIENTED_EDGE('',*,*,#108943,.F.); +#109136=ORIENTED_EDGE('',*,*,#109105,.T.); +#109137=EDGE_LOOP('',(#109132,#109134,#109135,#109136)); +#109138=FACE_OUTER_BOUND('',#109137,.F.); +#109140=CARTESIAN_POINT('',(-1.765E1,-1.72E1,-2.5815E1)); +#109141=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109142=DIRECTION('',(0.E0,1.E0,0.E0)); +#109143=AXIS2_PLACEMENT_3D('',#109140,#109141,#109142); +#109144=PLANE('',#109143); +#109145=ORIENTED_EDGE('',*,*,#108899,.T.); +#109146=ORIENTED_EDGE('',*,*,#58006,.F.); +#109147=ORIENTED_EDGE('',*,*,#108945,.F.); +#109148=ORIENTED_EDGE('',*,*,#109133,.T.); +#109149=EDGE_LOOP('',(#109145,#109146,#109147,#109148)); +#109150=FACE_OUTER_BOUND('',#109149,.F.); +#109152=CARTESIAN_POINT('',(-1.765E1,-1.72E1,-2.5815E1)); +#109153=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109154=DIRECTION('',(0.E0,1.E0,0.E0)); +#109155=AXIS2_PLACEMENT_3D('',#109152,#109153,#109154); +#109156=PLANE('',#109155); +#109157=ORIENTED_EDGE('',*,*,#109002,.T.); +#109158=ORIENTED_EDGE('',*,*,#109044,.T.); +#109160=ORIENTED_EDGE('',*,*,#109159,.F.); +#109161=ORIENTED_EDGE('',*,*,#108803,.F.); +#109162=ORIENTED_EDGE('',*,*,#108839,.T.); +#109163=ORIENTED_EDGE('',*,*,#59074,.T.); +#109164=EDGE_LOOP('',(#109157,#109158,#109160,#109161,#109162,#109163)); +#109165=FACE_OUTER_BOUND('',#109164,.F.); +#109167=CARTESIAN_POINT('',(-1.765E1,-3.285E0,-2.4685E1)); +#109168=DIRECTION('',(1.E0,0.E0,0.E0)); +#109169=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109170=AXIS2_PLACEMENT_3D('',#109167,#109168,#109169); +#109171=CYLINDRICAL_SURFACE('',#109170,1.13E0); +#109172=ORIENTED_EDGE('',*,*,#108805,.F.); +#109173=ORIENTED_EDGE('',*,*,#109159,.T.); +#109174=ORIENTED_EDGE('',*,*,#109042,.T.); +#109176=ORIENTED_EDGE('',*,*,#109175,.F.); +#109177=EDGE_LOOP('',(#109172,#109173,#109174,#109176)); +#109178=FACE_OUTER_BOUND('',#109177,.F.); +#109180=CARTESIAN_POINT('',(-1.765E1,-2.155E0,-2.4685E1)); +#109181=DIRECTION('',(0.E0,1.E0,0.E0)); +#109182=DIRECTION('',(0.E0,0.E0,1.E0)); +#109183=AXIS2_PLACEMENT_3D('',#109180,#109181,#109182); +#109184=PLANE('',#109183); +#109185=ORIENTED_EDGE('',*,*,#108807,.F.); +#109186=ORIENTED_EDGE('',*,*,#109175,.T.); +#109187=ORIENTED_EDGE('',*,*,#109040,.T.); +#109188=ORIENTED_EDGE('',*,*,#60164,.F.); +#109189=EDGE_LOOP('',(#109185,#109186,#109187,#109188)); +#109190=FACE_OUTER_BOUND('',#109189,.F.); +#109192=CARTESIAN_POINT('',(-1.765E1,-3.285E0,-2.4685E1)); +#109193=DIRECTION('',(1.E0,0.E0,0.E0)); +#109194=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109195=AXIS2_PLACEMENT_3D('',#109192,#109193,#109194); +#109196=CYLINDRICAL_SURFACE('',#109195,5.E-1); +#109197=ORIENTED_EDGE('',*,*,#108812,.F.); +#109199=ORIENTED_EDGE('',*,*,#109198,.T.); +#109200=ORIENTED_EDGE('',*,*,#109035,.T.); +#109201=ORIENTED_EDGE('',*,*,#109121,.F.); +#109202=EDGE_LOOP('',(#109197,#109199,#109200,#109201)); +#109203=FACE_OUTER_BOUND('',#109202,.F.); +#109205=CARTESIAN_POINT('',(-1.765E1,-2.785E0,-2.36E1)); +#109206=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109207=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109208=AXIS2_PLACEMENT_3D('',#109205,#109206,#109207); +#109209=PLANE('',#109208); +#109210=ORIENTED_EDGE('',*,*,#108810,.F.); +#109211=ORIENTED_EDGE('',*,*,#60168,.T.); +#109212=ORIENTED_EDGE('',*,*,#109037,.T.); +#109213=ORIENTED_EDGE('',*,*,#109198,.F.); +#109214=EDGE_LOOP('',(#109210,#109211,#109212,#109213)); +#109215=FACE_OUTER_BOUND('',#109214,.F.); +#109217=CARTESIAN_POINT('',(-1.55E1,6.33E0,0.E0)); +#109218=DIRECTION('',(1.E0,0.E0,0.E0)); +#109219=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109220=AXIS2_PLACEMENT_3D('',#109217,#109218,#109219); +#109221=PLANE('',#109220); +#109223=ORIENTED_EDGE('',*,*,#109222,.F.); +#109225=ORIENTED_EDGE('',*,*,#109224,.T.); +#109227=ORIENTED_EDGE('',*,*,#109226,.T.); +#109229=ORIENTED_EDGE('',*,*,#109228,.T.); +#109230=ORIENTED_EDGE('',*,*,#103743,.F.); +#109232=ORIENTED_EDGE('',*,*,#109231,.T.); +#109234=ORIENTED_EDGE('',*,*,#109233,.T.); +#109236=ORIENTED_EDGE('',*,*,#109235,.T.); +#109237=EDGE_LOOP('',(#109223,#109225,#109227,#109229,#109230,#109232,#109234, +#109236)); +#109238=FACE_OUTER_BOUND('',#109237,.F.); +#109240=CARTESIAN_POINT('',(-1.55E1,6.33E0,0.E0)); +#109241=DIRECTION('',(1.E0,0.E0,0.E0)); +#109242=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109243=AXIS2_PLACEMENT_3D('',#109240,#109241,#109242); +#109244=PLANE('',#109243); +#109246=ORIENTED_EDGE('',*,*,#109245,.F.); +#109248=ORIENTED_EDGE('',*,*,#109247,.T.); +#109250=ORIENTED_EDGE('',*,*,#109249,.T.); +#109252=ORIENTED_EDGE('',*,*,#109251,.T.); +#109253=ORIENTED_EDGE('',*,*,#60172,.F.); +#109255=ORIENTED_EDGE('',*,*,#109254,.T.); +#109257=ORIENTED_EDGE('',*,*,#109256,.T.); +#109259=ORIENTED_EDGE('',*,*,#109258,.T.); +#109260=EDGE_LOOP('',(#109246,#109248,#109250,#109252,#109253,#109255,#109257, +#109259)); +#109261=FACE_OUTER_BOUND('',#109260,.F.); +#109263=CARTESIAN_POINT('',(-1.55E1,-1.094019237886E1,-3.0895E1)); +#109264=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#109265=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#109266=AXIS2_PLACEMENT_3D('',#109263,#109264,#109265); +#109267=PLANE('',#109266); +#109268=ORIENTED_EDGE('',*,*,#59096,.F.); +#109270=ORIENTED_EDGE('',*,*,#109269,.F.); +#109271=ORIENTED_EDGE('',*,*,#109222,.T.); +#109273=ORIENTED_EDGE('',*,*,#109272,.T.); +#109274=EDGE_LOOP('',(#109268,#109270,#109271,#109273)); +#109275=FACE_OUTER_BOUND('',#109274,.F.); +#109277=CARTESIAN_POINT('',(-1.55E1,-1.094019237886E1,-3.0895E1)); +#109278=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#109279=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#109280=AXIS2_PLACEMENT_3D('',#109277,#109278,#109279); +#109281=PLANE('',#109280); +#109282=ORIENTED_EDGE('',*,*,#59106,.F.); +#109284=ORIENTED_EDGE('',*,*,#109283,.F.); +#109285=ORIENTED_EDGE('',*,*,#109245,.T.); +#109287=ORIENTED_EDGE('',*,*,#109286,.T.); +#109288=EDGE_LOOP('',(#109282,#109284,#109285,#109287)); +#109289=FACE_OUTER_BOUND('',#109288,.F.); +#109291=CARTESIAN_POINT('',(-1.55E1,-1.72E1,-3.0895E1)); +#109292=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109293=DIRECTION('',(0.E0,1.E0,0.E0)); +#109294=AXIS2_PLACEMENT_3D('',#109291,#109292,#109293); +#109295=PLANE('',#109294); +#109297=ORIENTED_EDGE('',*,*,#109296,.F.); +#109299=ORIENTED_EDGE('',*,*,#109298,.T.); +#109301=ORIENTED_EDGE('',*,*,#109300,.T.); +#109302=ORIENTED_EDGE('',*,*,#58026,.F.); +#109303=EDGE_LOOP('',(#109297,#109299,#109301,#109302)); +#109304=FACE_OUTER_BOUND('',#109303,.F.); +#109306=CARTESIAN_POINT('',(-1.55E1,-1.72E1,-3.0895E1)); +#109307=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109308=DIRECTION('',(0.E0,1.E0,0.E0)); +#109309=AXIS2_PLACEMENT_3D('',#109306,#109307,#109308); +#109310=PLANE('',#109309); +#109311=ORIENTED_EDGE('',*,*,#109269,.T.); +#109312=ORIENTED_EDGE('',*,*,#59094,.T.); +#109314=ORIENTED_EDGE('',*,*,#109313,.T.); +#109316=ORIENTED_EDGE('',*,*,#109315,.T.); +#109318=ORIENTED_EDGE('',*,*,#109317,.F.); +#109319=ORIENTED_EDGE('',*,*,#109224,.F.); +#109320=EDGE_LOOP('',(#109311,#109312,#109314,#109316,#109318,#109319)); +#109321=FACE_OUTER_BOUND('',#109320,.F.); +#109323=CARTESIAN_POINT('',(-1.535E1,-1.35E1,-3.0895E1)); +#109324=DIRECTION('',(1.E0,0.E0,0.E0)); +#109325=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109326=AXIS2_PLACEMENT_3D('',#109323,#109324,#109325); +#109327=PLANE('',#109326); +#109328=ORIENTED_EDGE('',*,*,#58032,.T.); +#109330=ORIENTED_EDGE('',*,*,#109329,.F.); +#109332=ORIENTED_EDGE('',*,*,#109331,.T.); +#109333=ORIENTED_EDGE('',*,*,#109296,.T.); +#109334=EDGE_LOOP('',(#109328,#109330,#109332,#109333)); +#109335=FACE_OUTER_BOUND('',#109334,.F.); +#109337=CARTESIAN_POINT('',(-1.535E1,-1.35E1,-3.0895E1)); +#109338=DIRECTION('',(1.E0,0.E0,0.E0)); +#109339=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109340=AXIS2_PLACEMENT_3D('',#109337,#109338,#109339); +#109341=PLANE('',#109340); +#109342=ORIENTED_EDGE('',*,*,#58042,.T.); +#109344=ORIENTED_EDGE('',*,*,#109343,.F.); +#109346=ORIENTED_EDGE('',*,*,#109345,.T.); +#109348=ORIENTED_EDGE('',*,*,#109347,.T.); +#109349=EDGE_LOOP('',(#109342,#109344,#109346,#109348)); +#109350=FACE_OUTER_BOUND('',#109349,.F.); +#109352=CARTESIAN_POINT('',(-1.55E1,3.815E0,-3.0265E1)); +#109353=DIRECTION('',(0.E0,0.E0,1.E0)); +#109354=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109355=AXIS2_PLACEMENT_3D('',#109352,#109353,#109354); +#109356=PLANE('',#109355); +#109357=ORIENTED_EDGE('',*,*,#109329,.T.); +#109358=ORIENTED_EDGE('',*,*,#58030,.T.); +#109360=ORIENTED_EDGE('',*,*,#109359,.F.); +#109362=ORIENTED_EDGE('',*,*,#109361,.F.); +#109363=EDGE_LOOP('',(#109357,#109358,#109360,#109362)); +#109364=FACE_OUTER_BOUND('',#109363,.F.); +#109366=CARTESIAN_POINT('',(-1.55E1,3.815E0,-3.0265E1)); +#109367=DIRECTION('',(0.E0,0.E0,1.E0)); +#109368=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109369=AXIS2_PLACEMENT_3D('',#109366,#109367,#109368); +#109370=PLANE('',#109369); +#109371=ORIENTED_EDGE('',*,*,#109272,.F.); +#109372=ORIENTED_EDGE('',*,*,#109235,.F.); +#109374=ORIENTED_EDGE('',*,*,#109373,.T.); +#109376=ORIENTED_EDGE('',*,*,#109375,.T.); +#109378=ORIENTED_EDGE('',*,*,#109377,.F.); +#109379=ORIENTED_EDGE('',*,*,#59090,.F.); +#109380=EDGE_LOOP('',(#109371,#109372,#109374,#109376,#109378,#109379)); +#109381=FACE_OUTER_BOUND('',#109380,.F.); +#109383=CARTESIAN_POINT('',(-1.475E1,-1.72E1,-3.0895E1)); +#109384=DIRECTION('',(-1.E0,0.E0,0.E0)); +#109385=DIRECTION('',(0.E0,1.E0,0.E0)); +#109386=AXIS2_PLACEMENT_3D('',#109383,#109384,#109385); +#109387=PLANE('',#109386); +#109388=ORIENTED_EDGE('',*,*,#58028,.F.); +#109389=ORIENTED_EDGE('',*,*,#109300,.F.); +#109391=ORIENTED_EDGE('',*,*,#109390,.F.); +#109392=ORIENTED_EDGE('',*,*,#109359,.T.); +#109393=EDGE_LOOP('',(#109388,#109389,#109391,#109392)); +#109394=FACE_OUTER_BOUND('',#109393,.F.); +#109396=CARTESIAN_POINT('',(-1.475E1,-1.72E1,-3.0895E1)); +#109397=DIRECTION('',(-1.E0,0.E0,0.E0)); +#109398=DIRECTION('',(0.E0,1.E0,0.E0)); +#109399=AXIS2_PLACEMENT_3D('',#109396,#109397,#109398); +#109400=PLANE('',#109399); +#109401=ORIENTED_EDGE('',*,*,#58038,.F.); +#109403=ORIENTED_EDGE('',*,*,#109402,.F.); +#109405=ORIENTED_EDGE('',*,*,#109404,.F.); +#109407=ORIENTED_EDGE('',*,*,#109406,.T.); +#109408=EDGE_LOOP('',(#109401,#109403,#109405,#109407)); +#109409=FACE_OUTER_BOUND('',#109408,.F.); +#109411=CARTESIAN_POINT('',(-1.55E1,-1.72E1,-3.0265E1)); +#109412=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109413=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109414=AXIS2_PLACEMENT_3D('',#109411,#109412,#109413); +#109415=PLANE('',#109414); +#109416=ORIENTED_EDGE('',*,*,#109331,.F.); +#109417=ORIENTED_EDGE('',*,*,#109361,.T.); +#109418=ORIENTED_EDGE('',*,*,#109390,.T.); +#109419=ORIENTED_EDGE('',*,*,#109298,.F.); +#109420=EDGE_LOOP('',(#109416,#109417,#109418,#109419)); +#109421=FACE_OUTER_BOUND('',#109420,.F.); +#109423=CARTESIAN_POINT('',(-1.55E1,-1.72E1,-2.5815E1)); +#109424=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109425=DIRECTION('',(0.E0,1.E0,0.E0)); +#109426=AXIS2_PLACEMENT_3D('',#109423,#109424,#109425); +#109427=PLANE('',#109426); +#109428=ORIENTED_EDGE('',*,*,#109347,.F.); +#109430=ORIENTED_EDGE('',*,*,#109429,.T.); +#109431=ORIENTED_EDGE('',*,*,#109402,.T.); +#109432=ORIENTED_EDGE('',*,*,#58036,.F.); +#109433=EDGE_LOOP('',(#109428,#109430,#109431,#109432)); +#109434=FACE_OUTER_BOUND('',#109433,.F.); +#109436=CARTESIAN_POINT('',(-1.55E1,-1.72E1,-2.5815E1)); +#109437=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109438=DIRECTION('',(0.E0,1.E0,0.E0)); +#109439=AXIS2_PLACEMENT_3D('',#109436,#109437,#109438); +#109440=PLANE('',#109439); +#109441=ORIENTED_EDGE('',*,*,#109283,.T.); +#109442=ORIENTED_EDGE('',*,*,#59104,.T.); +#109444=ORIENTED_EDGE('',*,*,#109443,.T.); +#109446=ORIENTED_EDGE('',*,*,#109445,.T.); +#109448=ORIENTED_EDGE('',*,*,#109447,.F.); +#109449=ORIENTED_EDGE('',*,*,#109247,.F.); +#109450=EDGE_LOOP('',(#109441,#109442,#109444,#109446,#109448,#109449)); +#109451=FACE_OUTER_BOUND('',#109450,.F.); +#109453=CARTESIAN_POINT('',(-1.55E1,-1.72E1,-2.5185E1)); +#109454=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109455=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109456=AXIS2_PLACEMENT_3D('',#109453,#109454,#109455); +#109457=PLANE('',#109456); +#109458=ORIENTED_EDGE('',*,*,#109345,.F.); +#109460=ORIENTED_EDGE('',*,*,#109459,.T.); +#109461=ORIENTED_EDGE('',*,*,#109404,.T.); +#109462=ORIENTED_EDGE('',*,*,#109429,.F.); +#109463=EDGE_LOOP('',(#109458,#109460,#109461,#109462)); +#109464=FACE_OUTER_BOUND('',#109463,.F.); +#109466=CARTESIAN_POINT('',(-1.55E1,-3.285E0,-2.5185E1)); +#109467=DIRECTION('',(0.E0,0.E0,1.E0)); +#109468=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109469=AXIS2_PLACEMENT_3D('',#109466,#109467,#109468); +#109470=PLANE('',#109469); +#109471=ORIENTED_EDGE('',*,*,#109343,.T.); +#109472=ORIENTED_EDGE('',*,*,#58040,.T.); +#109473=ORIENTED_EDGE('',*,*,#109406,.F.); +#109474=ORIENTED_EDGE('',*,*,#109459,.F.); +#109475=EDGE_LOOP('',(#109471,#109472,#109473,#109474)); +#109476=FACE_OUTER_BOUND('',#109475,.F.); +#109478=CARTESIAN_POINT('',(-1.55E1,-3.285E0,-2.5185E1)); +#109479=DIRECTION('',(0.E0,0.E0,1.E0)); +#109480=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109481=AXIS2_PLACEMENT_3D('',#109478,#109479,#109480); +#109482=PLANE('',#109481); +#109483=ORIENTED_EDGE('',*,*,#109286,.F.); +#109484=ORIENTED_EDGE('',*,*,#109258,.F.); +#109486=ORIENTED_EDGE('',*,*,#109485,.T.); +#109488=ORIENTED_EDGE('',*,*,#109487,.T.); +#109490=ORIENTED_EDGE('',*,*,#109489,.F.); +#109491=ORIENTED_EDGE('',*,*,#59100,.F.); +#109492=EDGE_LOOP('',(#109483,#109484,#109486,#109488,#109490,#109491)); +#109493=FACE_OUTER_BOUND('',#109492,.F.); +#109495=CARTESIAN_POINT('',(-1.55E1,-3.285E0,-2.4685E1)); +#109496=DIRECTION('',(1.E0,0.E0,0.E0)); +#109497=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109498=AXIS2_PLACEMENT_3D('',#109495,#109496,#109497); +#109499=CYLINDRICAL_SURFACE('',#109498,5.E-1); +#109500=ORIENTED_EDGE('',*,*,#109256,.F.); +#109502=ORIENTED_EDGE('',*,*,#109501,.T.); +#109504=ORIENTED_EDGE('',*,*,#109503,.T.); +#109505=ORIENTED_EDGE('',*,*,#109485,.F.); +#109506=EDGE_LOOP('',(#109500,#109502,#109504,#109505)); +#109507=FACE_OUTER_BOUND('',#109506,.F.); +#109509=CARTESIAN_POINT('',(-1.55E1,-2.785E0,-2.36E1)); +#109510=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109511=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109512=AXIS2_PLACEMENT_3D('',#109509,#109510,#109511); +#109513=PLANE('',#109512); +#109514=ORIENTED_EDGE('',*,*,#109254,.F.); +#109515=ORIENTED_EDGE('',*,*,#60178,.T.); +#109517=ORIENTED_EDGE('',*,*,#109516,.T.); +#109518=ORIENTED_EDGE('',*,*,#109501,.F.); +#109519=EDGE_LOOP('',(#109514,#109515,#109517,#109518)); +#109520=FACE_OUTER_BOUND('',#109519,.F.); +#109522=CARTESIAN_POINT('',(-1.46E1,6.33E0,0.E0)); +#109523=DIRECTION('',(1.E0,0.E0,0.E0)); +#109524=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109525=AXIS2_PLACEMENT_3D('',#109522,#109523,#109524); +#109526=PLANE('',#109525); +#109528=ORIENTED_EDGE('',*,*,#109527,.T.); +#109529=ORIENTED_EDGE('',*,*,#109375,.F.); +#109531=ORIENTED_EDGE('',*,*,#109530,.F.); +#109533=ORIENTED_EDGE('',*,*,#109532,.F.); +#109534=ORIENTED_EDGE('',*,*,#103747,.T.); +#109536=ORIENTED_EDGE('',*,*,#109535,.F.); +#109538=ORIENTED_EDGE('',*,*,#109537,.F.); +#109539=ORIENTED_EDGE('',*,*,#109315,.F.); +#109540=EDGE_LOOP('',(#109528,#109529,#109531,#109533,#109534,#109536,#109538, +#109539)); +#109541=FACE_OUTER_BOUND('',#109540,.F.); +#109543=CARTESIAN_POINT('',(-1.46E1,6.33E0,0.E0)); +#109544=DIRECTION('',(1.E0,0.E0,0.E0)); +#109545=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109546=AXIS2_PLACEMENT_3D('',#109543,#109544,#109545); +#109547=PLANE('',#109546); +#109549=ORIENTED_EDGE('',*,*,#109548,.T.); +#109550=ORIENTED_EDGE('',*,*,#109487,.F.); +#109551=ORIENTED_EDGE('',*,*,#109503,.F.); +#109552=ORIENTED_EDGE('',*,*,#109516,.F.); +#109553=ORIENTED_EDGE('',*,*,#60176,.T.); +#109555=ORIENTED_EDGE('',*,*,#109554,.F.); +#109557=ORIENTED_EDGE('',*,*,#109556,.F.); +#109558=ORIENTED_EDGE('',*,*,#109445,.F.); +#109559=EDGE_LOOP('',(#109549,#109550,#109551,#109552,#109553,#109555,#109557, +#109558)); +#109560=FACE_OUTER_BOUND('',#109559,.F.); +#109562=CARTESIAN_POINT('',(-1.475E1,-1.12E1,-3.0895E1)); +#109563=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#109564=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#109565=AXIS2_PLACEMENT_3D('',#109562,#109563,#109564); +#109566=PLANE('',#109565); +#109567=ORIENTED_EDGE('',*,*,#59092,.T.); +#109568=ORIENTED_EDGE('',*,*,#109377,.T.); +#109569=ORIENTED_EDGE('',*,*,#109527,.F.); +#109570=ORIENTED_EDGE('',*,*,#109313,.F.); +#109571=EDGE_LOOP('',(#109567,#109568,#109569,#109570)); +#109572=FACE_OUTER_BOUND('',#109571,.F.); +#109574=CARTESIAN_POINT('',(-1.475E1,-1.12E1,-3.0895E1)); +#109575=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#109576=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#109577=AXIS2_PLACEMENT_3D('',#109574,#109575,#109576); +#109578=PLANE('',#109577); +#109579=ORIENTED_EDGE('',*,*,#59102,.T.); +#109580=ORIENTED_EDGE('',*,*,#109489,.T.); +#109581=ORIENTED_EDGE('',*,*,#109548,.F.); +#109582=ORIENTED_EDGE('',*,*,#109443,.F.); +#109583=EDGE_LOOP('',(#109579,#109580,#109581,#109582)); +#109584=FACE_OUTER_BOUND('',#109583,.F.); +#109586=CARTESIAN_POINT('',(-1.55E1,3.815E0,-2.9265E1)); +#109587=DIRECTION('',(1.E0,0.E0,0.E0)); +#109588=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109589=AXIS2_PLACEMENT_3D('',#109586,#109587,#109588); +#109590=CYLINDRICAL_SURFACE('',#109589,1.E0); +#109591=ORIENTED_EDGE('',*,*,#109233,.F.); +#109593=ORIENTED_EDGE('',*,*,#109592,.T.); +#109594=ORIENTED_EDGE('',*,*,#109530,.T.); +#109595=ORIENTED_EDGE('',*,*,#109373,.F.); +#109596=EDGE_LOOP('',(#109591,#109593,#109594,#109595)); +#109597=FACE_OUTER_BOUND('',#109596,.F.); +#109599=CARTESIAN_POINT('',(-1.55E1,4.815E0,-2.36E1)); +#109600=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109601=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109602=AXIS2_PLACEMENT_3D('',#109599,#109600,#109601); +#109603=PLANE('',#109602); +#109604=ORIENTED_EDGE('',*,*,#109231,.F.); +#109605=ORIENTED_EDGE('',*,*,#103749,.T.); +#109606=ORIENTED_EDGE('',*,*,#109532,.T.); +#109607=ORIENTED_EDGE('',*,*,#109592,.F.); +#109608=EDGE_LOOP('',(#109604,#109605,#109606,#109607)); +#109609=FACE_OUTER_BOUND('',#109608,.F.); +#109611=CARTESIAN_POINT('',(-1.55E1,5.445E0,-2.9265E1)); +#109612=DIRECTION('',(0.E0,1.E0,0.E0)); +#109613=DIRECTION('',(0.E0,0.E0,1.E0)); +#109614=AXIS2_PLACEMENT_3D('',#109611,#109612,#109613); +#109615=PLANE('',#109614); +#109616=ORIENTED_EDGE('',*,*,#109228,.F.); +#109618=ORIENTED_EDGE('',*,*,#109617,.T.); +#109619=ORIENTED_EDGE('',*,*,#109535,.T.); +#109620=ORIENTED_EDGE('',*,*,#103745,.F.); +#109621=EDGE_LOOP('',(#109616,#109618,#109619,#109620)); +#109622=FACE_OUTER_BOUND('',#109621,.F.); +#109624=CARTESIAN_POINT('',(-1.55E1,3.815E0,-2.9265E1)); +#109625=DIRECTION('',(1.E0,0.E0,0.E0)); +#109626=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109627=AXIS2_PLACEMENT_3D('',#109624,#109625,#109626); +#109628=CYLINDRICAL_SURFACE('',#109627,1.63E0); +#109629=ORIENTED_EDGE('',*,*,#109226,.F.); +#109630=ORIENTED_EDGE('',*,*,#109317,.T.); +#109631=ORIENTED_EDGE('',*,*,#109537,.T.); +#109632=ORIENTED_EDGE('',*,*,#109617,.F.); +#109633=EDGE_LOOP('',(#109629,#109630,#109631,#109632)); +#109634=FACE_OUTER_BOUND('',#109633,.F.); +#109636=CARTESIAN_POINT('',(-1.55E1,-2.155E0,-2.4685E1)); +#109637=DIRECTION('',(0.E0,1.E0,0.E0)); +#109638=DIRECTION('',(0.E0,0.E0,1.E0)); +#109639=AXIS2_PLACEMENT_3D('',#109636,#109637,#109638); +#109640=PLANE('',#109639); +#109641=ORIENTED_EDGE('',*,*,#109251,.F.); +#109643=ORIENTED_EDGE('',*,*,#109642,.T.); +#109644=ORIENTED_EDGE('',*,*,#109554,.T.); +#109645=ORIENTED_EDGE('',*,*,#60174,.F.); +#109646=EDGE_LOOP('',(#109641,#109643,#109644,#109645)); +#109647=FACE_OUTER_BOUND('',#109646,.F.); +#109649=CARTESIAN_POINT('',(-1.55E1,-3.285E0,-2.4685E1)); +#109650=DIRECTION('',(1.E0,0.E0,0.E0)); +#109651=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109652=AXIS2_PLACEMENT_3D('',#109649,#109650,#109651); +#109653=CYLINDRICAL_SURFACE('',#109652,1.13E0); +#109654=ORIENTED_EDGE('',*,*,#109249,.F.); +#109655=ORIENTED_EDGE('',*,*,#109447,.T.); +#109656=ORIENTED_EDGE('',*,*,#109556,.T.); +#109657=ORIENTED_EDGE('',*,*,#109642,.F.); +#109658=EDGE_LOOP('',(#109654,#109655,#109656,#109657)); +#109659=FACE_OUTER_BOUND('',#109658,.F.); +#109661=CARTESIAN_POINT('',(-1.335E1,1.033E1,0.E0)); +#109662=DIRECTION('',(1.E0,0.E0,0.E0)); +#109663=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109664=AXIS2_PLACEMENT_3D('',#109661,#109662,#109663); +#109665=PLANE('',#109664); +#109667=ORIENTED_EDGE('',*,*,#109666,.F.); +#109669=ORIENTED_EDGE('',*,*,#109668,.T.); +#109671=ORIENTED_EDGE('',*,*,#109670,.T.); +#109673=ORIENTED_EDGE('',*,*,#109672,.T.); +#109674=ORIENTED_EDGE('',*,*,#103753,.F.); +#109676=ORIENTED_EDGE('',*,*,#109675,.T.); +#109678=ORIENTED_EDGE('',*,*,#109677,.T.); +#109680=ORIENTED_EDGE('',*,*,#109679,.T.); +#109681=EDGE_LOOP('',(#109667,#109669,#109671,#109673,#109674,#109676,#109678, +#109680)); +#109682=FACE_OUTER_BOUND('',#109681,.F.); +#109684=CARTESIAN_POINT('',(-1.335E1,1.033E1,0.E0)); +#109685=DIRECTION('',(1.E0,0.E0,0.E0)); +#109686=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109687=AXIS2_PLACEMENT_3D('',#109684,#109685,#109686); +#109688=PLANE('',#109687); +#109690=ORIENTED_EDGE('',*,*,#109689,.F.); +#109692=ORIENTED_EDGE('',*,*,#109691,.T.); +#109694=ORIENTED_EDGE('',*,*,#109693,.T.); +#109696=ORIENTED_EDGE('',*,*,#109695,.T.); +#109697=ORIENTED_EDGE('',*,*,#60182,.F.); +#109699=ORIENTED_EDGE('',*,*,#109698,.T.); +#109701=ORIENTED_EDGE('',*,*,#109700,.T.); +#109703=ORIENTED_EDGE('',*,*,#109702,.T.); +#109704=EDGE_LOOP('',(#109690,#109692,#109694,#109696,#109697,#109699,#109701, +#109703)); +#109705=FACE_OUTER_BOUND('',#109704,.F.); +#109707=CARTESIAN_POINT('',(-1.335E1,-1.094019237886E1,-3.0895E1)); +#109708=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#109709=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#109710=AXIS2_PLACEMENT_3D('',#109707,#109708,#109709); +#109711=PLANE('',#109710); +#109712=ORIENTED_EDGE('',*,*,#59126,.F.); +#109714=ORIENTED_EDGE('',*,*,#109713,.F.); +#109715=ORIENTED_EDGE('',*,*,#109666,.T.); +#109717=ORIENTED_EDGE('',*,*,#109716,.T.); +#109718=EDGE_LOOP('',(#109712,#109714,#109715,#109717)); +#109719=FACE_OUTER_BOUND('',#109718,.F.); +#109721=CARTESIAN_POINT('',(-1.335E1,-1.094019237886E1,-3.0895E1)); +#109722=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#109723=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#109724=AXIS2_PLACEMENT_3D('',#109721,#109722,#109723); +#109725=PLANE('',#109724); +#109726=ORIENTED_EDGE('',*,*,#59116,.F.); +#109728=ORIENTED_EDGE('',*,*,#109727,.F.); +#109729=ORIENTED_EDGE('',*,*,#109689,.T.); +#109731=ORIENTED_EDGE('',*,*,#109730,.T.); +#109732=EDGE_LOOP('',(#109726,#109728,#109729,#109731)); +#109733=FACE_OUTER_BOUND('',#109732,.F.); +#109735=CARTESIAN_POINT('',(-1.335E1,-1.72E1,-2.8355E1)); +#109736=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109737=DIRECTION('',(0.E0,1.E0,0.E0)); +#109738=AXIS2_PLACEMENT_3D('',#109735,#109736,#109737); +#109739=PLANE('',#109738); +#109741=ORIENTED_EDGE('',*,*,#109740,.T.); +#109742=ORIENTED_EDGE('',*,*,#58056,.F.); +#109744=ORIENTED_EDGE('',*,*,#109743,.F.); +#109746=ORIENTED_EDGE('',*,*,#109745,.T.); +#109747=EDGE_LOOP('',(#109741,#109742,#109744,#109746)); +#109748=FACE_OUTER_BOUND('',#109747,.F.); +#109750=CARTESIAN_POINT('',(-1.335E1,-1.72E1,-2.8355E1)); +#109751=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109752=DIRECTION('',(0.E0,1.E0,0.E0)); +#109753=AXIS2_PLACEMENT_3D('',#109750,#109751,#109752); +#109754=PLANE('',#109753); +#109756=ORIENTED_EDGE('',*,*,#109755,.T.); +#109758=ORIENTED_EDGE('',*,*,#109757,.T.); +#109760=ORIENTED_EDGE('',*,*,#109759,.F.); +#109761=ORIENTED_EDGE('',*,*,#109668,.F.); +#109762=ORIENTED_EDGE('',*,*,#109713,.T.); +#109763=ORIENTED_EDGE('',*,*,#59124,.T.); +#109764=EDGE_LOOP('',(#109756,#109758,#109760,#109761,#109762,#109763)); +#109765=FACE_OUTER_BOUND('',#109764,.F.); +#109767=CARTESIAN_POINT('',(-1.26E1,-1.72E1,-3.0895E1)); +#109768=DIRECTION('',(-1.E0,0.E0,0.E0)); +#109769=DIRECTION('',(0.E0,1.E0,0.E0)); +#109770=AXIS2_PLACEMENT_3D('',#109767,#109768,#109769); +#109771=PLANE('',#109770); +#109772=ORIENTED_EDGE('',*,*,#58058,.F.); +#109773=ORIENTED_EDGE('',*,*,#109740,.F.); +#109775=ORIENTED_EDGE('',*,*,#109774,.F.); +#109777=ORIENTED_EDGE('',*,*,#109776,.T.); +#109778=EDGE_LOOP('',(#109772,#109773,#109775,#109777)); +#109779=FACE_OUTER_BOUND('',#109778,.F.); +#109781=CARTESIAN_POINT('',(-1.26E1,-1.72E1,-3.0895E1)); +#109782=DIRECTION('',(-1.E0,0.E0,0.E0)); +#109783=DIRECTION('',(0.E0,1.E0,0.E0)); +#109784=AXIS2_PLACEMENT_3D('',#109781,#109782,#109783); +#109785=PLANE('',#109784); +#109786=ORIENTED_EDGE('',*,*,#58048,.F.); +#109788=ORIENTED_EDGE('',*,*,#109787,.F.); +#109790=ORIENTED_EDGE('',*,*,#109789,.F.); +#109792=ORIENTED_EDGE('',*,*,#109791,.T.); +#109793=EDGE_LOOP('',(#109786,#109788,#109790,#109792)); +#109794=FACE_OUTER_BOUND('',#109793,.F.); +#109796=CARTESIAN_POINT('',(-1.335E1,-1.72E1,-2.7725E1)); +#109797=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109798=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109799=AXIS2_PLACEMENT_3D('',#109796,#109797,#109798); +#109800=PLANE('',#109799); +#109801=ORIENTED_EDGE('',*,*,#109774,.T.); +#109802=ORIENTED_EDGE('',*,*,#109745,.F.); +#109804=ORIENTED_EDGE('',*,*,#109803,.F.); +#109806=ORIENTED_EDGE('',*,*,#109805,.T.); +#109807=EDGE_LOOP('',(#109801,#109802,#109804,#109806)); +#109808=FACE_OUTER_BOUND('',#109807,.F.); +#109810=CARTESIAN_POINT('',(-1.32E1,-1.35E1,-3.0895E1)); +#109811=DIRECTION('',(1.E0,0.E0,0.E0)); +#109812=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109813=AXIS2_PLACEMENT_3D('',#109810,#109811,#109812); +#109814=PLANE('',#109813); +#109815=ORIENTED_EDGE('',*,*,#58062,.T.); +#109817=ORIENTED_EDGE('',*,*,#109816,.F.); +#109818=ORIENTED_EDGE('',*,*,#109803,.T.); +#109819=ORIENTED_EDGE('',*,*,#109743,.T.); +#109820=EDGE_LOOP('',(#109815,#109817,#109818,#109819)); +#109821=FACE_OUTER_BOUND('',#109820,.F.); +#109823=CARTESIAN_POINT('',(-1.32E1,-1.35E1,-3.0895E1)); +#109824=DIRECTION('',(1.E0,0.E0,0.E0)); +#109825=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109826=AXIS2_PLACEMENT_3D('',#109823,#109824,#109825); +#109827=PLANE('',#109826); +#109828=ORIENTED_EDGE('',*,*,#58052,.T.); +#109830=ORIENTED_EDGE('',*,*,#109829,.F.); +#109832=ORIENTED_EDGE('',*,*,#109831,.T.); +#109834=ORIENTED_EDGE('',*,*,#109833,.T.); +#109835=EDGE_LOOP('',(#109828,#109830,#109832,#109834)); +#109836=FACE_OUTER_BOUND('',#109835,.F.); +#109838=CARTESIAN_POINT('',(-1.335E1,3.815E0,-2.7725E1)); +#109839=DIRECTION('',(0.E0,0.E0,1.E0)); +#109840=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109841=AXIS2_PLACEMENT_3D('',#109838,#109839,#109840); +#109842=PLANE('',#109841); +#109843=ORIENTED_EDGE('',*,*,#109776,.F.); +#109844=ORIENTED_EDGE('',*,*,#109805,.F.); +#109845=ORIENTED_EDGE('',*,*,#109816,.T.); +#109846=ORIENTED_EDGE('',*,*,#58060,.T.); +#109847=EDGE_LOOP('',(#109843,#109844,#109845,#109846)); +#109848=FACE_OUTER_BOUND('',#109847,.F.); +#109850=CARTESIAN_POINT('',(-1.335E1,3.815E0,-2.7725E1)); +#109851=DIRECTION('',(0.E0,0.E0,1.E0)); +#109852=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109853=AXIS2_PLACEMENT_3D('',#109850,#109851,#109852); +#109854=PLANE('',#109853); +#109856=ORIENTED_EDGE('',*,*,#109855,.F.); +#109857=ORIENTED_EDGE('',*,*,#59120,.F.); +#109858=ORIENTED_EDGE('',*,*,#109716,.F.); +#109859=ORIENTED_EDGE('',*,*,#109679,.F.); +#109861=ORIENTED_EDGE('',*,*,#109860,.T.); +#109863=ORIENTED_EDGE('',*,*,#109862,.T.); +#109864=EDGE_LOOP('',(#109856,#109857,#109858,#109859,#109861,#109863)); +#109865=FACE_OUTER_BOUND('',#109864,.F.); +#109867=CARTESIAN_POINT('',(-1.26E1,-1.12E1,-3.0895E1)); +#109868=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#109869=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#109870=AXIS2_PLACEMENT_3D('',#109867,#109868,#109869); +#109871=PLANE('',#109870); +#109872=ORIENTED_EDGE('',*,*,#59122,.T.); +#109873=ORIENTED_EDGE('',*,*,#109855,.T.); +#109875=ORIENTED_EDGE('',*,*,#109874,.F.); +#109876=ORIENTED_EDGE('',*,*,#109755,.F.); +#109877=EDGE_LOOP('',(#109872,#109873,#109875,#109876)); +#109878=FACE_OUTER_BOUND('',#109877,.F.); +#109880=CARTESIAN_POINT('',(-1.26E1,-1.12E1,-3.0895E1)); +#109881=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#109882=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#109883=AXIS2_PLACEMENT_3D('',#109880,#109881,#109882); +#109884=PLANE('',#109883); +#109885=ORIENTED_EDGE('',*,*,#59112,.T.); +#109887=ORIENTED_EDGE('',*,*,#109886,.T.); +#109889=ORIENTED_EDGE('',*,*,#109888,.F.); +#109891=ORIENTED_EDGE('',*,*,#109890,.F.); +#109892=EDGE_LOOP('',(#109885,#109887,#109889,#109891)); +#109893=FACE_OUTER_BOUND('',#109892,.F.); +#109895=CARTESIAN_POINT('',(-1.245E1,1.033E1,0.E0)); +#109896=DIRECTION('',(1.E0,0.E0,0.E0)); +#109897=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109898=AXIS2_PLACEMENT_3D('',#109895,#109896,#109897); +#109899=PLANE('',#109898); +#109900=ORIENTED_EDGE('',*,*,#109874,.T.); +#109901=ORIENTED_EDGE('',*,*,#109862,.F.); +#109903=ORIENTED_EDGE('',*,*,#109902,.F.); +#109905=ORIENTED_EDGE('',*,*,#109904,.F.); +#109906=ORIENTED_EDGE('',*,*,#103757,.T.); +#109908=ORIENTED_EDGE('',*,*,#109907,.F.); +#109910=ORIENTED_EDGE('',*,*,#109909,.F.); +#109911=ORIENTED_EDGE('',*,*,#109757,.F.); +#109912=EDGE_LOOP('',(#109900,#109901,#109903,#109905,#109906,#109908,#109910, +#109911)); +#109913=FACE_OUTER_BOUND('',#109912,.F.); +#109915=CARTESIAN_POINT('',(-1.245E1,1.033E1,0.E0)); +#109916=DIRECTION('',(1.E0,0.E0,0.E0)); +#109917=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109918=AXIS2_PLACEMENT_3D('',#109915,#109916,#109917); +#109919=PLANE('',#109918); +#109920=ORIENTED_EDGE('',*,*,#109888,.T.); +#109922=ORIENTED_EDGE('',*,*,#109921,.F.); +#109924=ORIENTED_EDGE('',*,*,#109923,.F.); +#109926=ORIENTED_EDGE('',*,*,#109925,.F.); +#109927=ORIENTED_EDGE('',*,*,#60186,.T.); +#109929=ORIENTED_EDGE('',*,*,#109928,.F.); +#109931=ORIENTED_EDGE('',*,*,#109930,.F.); +#109933=ORIENTED_EDGE('',*,*,#109932,.F.); +#109934=EDGE_LOOP('',(#109920,#109922,#109924,#109926,#109927,#109929,#109931, +#109933)); +#109935=FACE_OUTER_BOUND('',#109934,.F.); +#109937=CARTESIAN_POINT('',(-1.335E1,3.815E0,-2.6725E1)); +#109938=DIRECTION('',(1.E0,0.E0,0.E0)); +#109939=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109940=AXIS2_PLACEMENT_3D('',#109937,#109938,#109939); +#109941=CYLINDRICAL_SURFACE('',#109940,1.E0); +#109942=ORIENTED_EDGE('',*,*,#109677,.F.); +#109944=ORIENTED_EDGE('',*,*,#109943,.T.); +#109945=ORIENTED_EDGE('',*,*,#109902,.T.); +#109946=ORIENTED_EDGE('',*,*,#109860,.F.); +#109947=EDGE_LOOP('',(#109942,#109944,#109945,#109946)); +#109948=FACE_OUTER_BOUND('',#109947,.F.); +#109950=CARTESIAN_POINT('',(-1.335E1,4.815E0,-2.36E1)); +#109951=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109952=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109953=AXIS2_PLACEMENT_3D('',#109950,#109951,#109952); +#109954=PLANE('',#109953); +#109955=ORIENTED_EDGE('',*,*,#109675,.F.); +#109956=ORIENTED_EDGE('',*,*,#103759,.T.); +#109957=ORIENTED_EDGE('',*,*,#109904,.T.); +#109958=ORIENTED_EDGE('',*,*,#109943,.F.); +#109959=EDGE_LOOP('',(#109955,#109956,#109957,#109958)); +#109960=FACE_OUTER_BOUND('',#109959,.F.); +#109962=CARTESIAN_POINT('',(-1.335E1,5.445E0,-2.6725E1)); +#109963=DIRECTION('',(0.E0,1.E0,0.E0)); +#109964=DIRECTION('',(0.E0,0.E0,1.E0)); +#109965=AXIS2_PLACEMENT_3D('',#109962,#109963,#109964); +#109966=PLANE('',#109965); +#109967=ORIENTED_EDGE('',*,*,#109672,.F.); +#109969=ORIENTED_EDGE('',*,*,#109968,.T.); +#109970=ORIENTED_EDGE('',*,*,#109907,.T.); +#109971=ORIENTED_EDGE('',*,*,#103755,.F.); +#109972=EDGE_LOOP('',(#109967,#109969,#109970,#109971)); +#109973=FACE_OUTER_BOUND('',#109972,.F.); +#109975=CARTESIAN_POINT('',(-1.335E1,3.815E0,-2.6725E1)); +#109976=DIRECTION('',(1.E0,0.E0,0.E0)); +#109977=DIRECTION('',(0.E0,0.E0,-1.E0)); +#109978=AXIS2_PLACEMENT_3D('',#109975,#109976,#109977); +#109979=CYLINDRICAL_SURFACE('',#109978,1.63E0); +#109980=ORIENTED_EDGE('',*,*,#109670,.F.); +#109981=ORIENTED_EDGE('',*,*,#109759,.T.); +#109982=ORIENTED_EDGE('',*,*,#109909,.T.); +#109983=ORIENTED_EDGE('',*,*,#109968,.F.); +#109984=EDGE_LOOP('',(#109980,#109981,#109982,#109983)); +#109985=FACE_OUTER_BOUND('',#109984,.F.); +#109987=CARTESIAN_POINT('',(-1.335E1,-3.285E0,-2.5185E1)); +#109988=DIRECTION('',(0.E0,0.E0,1.E0)); +#109989=DIRECTION('',(0.E0,-1.E0,0.E0)); +#109990=AXIS2_PLACEMENT_3D('',#109987,#109988,#109989); +#109991=PLANE('',#109990); +#109992=ORIENTED_EDGE('',*,*,#109791,.F.); +#109994=ORIENTED_EDGE('',*,*,#109993,.F.); +#109995=ORIENTED_EDGE('',*,*,#109829,.T.); +#109996=ORIENTED_EDGE('',*,*,#58050,.T.); +#109997=EDGE_LOOP('',(#109992,#109994,#109995,#109996)); +#109998=FACE_OUTER_BOUND('',#109997,.F.); +#110000=CARTESIAN_POINT('',(-1.335E1,-3.285E0,-2.5185E1)); +#110001=DIRECTION('',(0.E0,0.E0,1.E0)); +#110002=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110003=AXIS2_PLACEMENT_3D('',#110000,#110001,#110002); +#110004=PLANE('',#110003); +#110005=ORIENTED_EDGE('',*,*,#109886,.F.); +#110006=ORIENTED_EDGE('',*,*,#59110,.F.); +#110007=ORIENTED_EDGE('',*,*,#109730,.F.); +#110008=ORIENTED_EDGE('',*,*,#109702,.F.); +#110010=ORIENTED_EDGE('',*,*,#110009,.T.); +#110011=ORIENTED_EDGE('',*,*,#109921,.T.); +#110012=EDGE_LOOP('',(#110005,#110006,#110007,#110008,#110010,#110011)); +#110013=FACE_OUTER_BOUND('',#110012,.F.); +#110015=CARTESIAN_POINT('',(-1.335E1,-1.72E1,-2.5185E1)); +#110016=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110017=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110018=AXIS2_PLACEMENT_3D('',#110015,#110016,#110017); +#110019=PLANE('',#110018); +#110020=ORIENTED_EDGE('',*,*,#109789,.T.); +#110022=ORIENTED_EDGE('',*,*,#110021,.F.); +#110023=ORIENTED_EDGE('',*,*,#109831,.F.); +#110024=ORIENTED_EDGE('',*,*,#109993,.T.); +#110025=EDGE_LOOP('',(#110020,#110022,#110023,#110024)); +#110026=FACE_OUTER_BOUND('',#110025,.F.); +#110028=CARTESIAN_POINT('',(-1.335E1,-1.72E1,-2.5815E1)); +#110029=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110030=DIRECTION('',(0.E0,1.E0,0.E0)); +#110031=AXIS2_PLACEMENT_3D('',#110028,#110029,#110030); +#110032=PLANE('',#110031); +#110033=ORIENTED_EDGE('',*,*,#109787,.T.); +#110034=ORIENTED_EDGE('',*,*,#58046,.F.); +#110035=ORIENTED_EDGE('',*,*,#109833,.F.); +#110036=ORIENTED_EDGE('',*,*,#110021,.T.); +#110037=EDGE_LOOP('',(#110033,#110034,#110035,#110036)); +#110038=FACE_OUTER_BOUND('',#110037,.F.); +#110040=CARTESIAN_POINT('',(-1.335E1,-1.72E1,-2.5815E1)); +#110041=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110042=DIRECTION('',(0.E0,1.E0,0.E0)); +#110043=AXIS2_PLACEMENT_3D('',#110040,#110041,#110042); +#110044=PLANE('',#110043); +#110045=ORIENTED_EDGE('',*,*,#109890,.T.); +#110046=ORIENTED_EDGE('',*,*,#109932,.T.); +#110048=ORIENTED_EDGE('',*,*,#110047,.F.); +#110049=ORIENTED_EDGE('',*,*,#109691,.F.); +#110050=ORIENTED_EDGE('',*,*,#109727,.T.); +#110051=ORIENTED_EDGE('',*,*,#59114,.T.); +#110052=EDGE_LOOP('',(#110045,#110046,#110048,#110049,#110050,#110051)); +#110053=FACE_OUTER_BOUND('',#110052,.F.); +#110055=CARTESIAN_POINT('',(-1.335E1,-3.285E0,-2.4685E1)); +#110056=DIRECTION('',(1.E0,0.E0,0.E0)); +#110057=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110058=AXIS2_PLACEMENT_3D('',#110055,#110056,#110057); +#110059=CYLINDRICAL_SURFACE('',#110058,1.13E0); +#110060=ORIENTED_EDGE('',*,*,#109693,.F.); +#110061=ORIENTED_EDGE('',*,*,#110047,.T.); +#110062=ORIENTED_EDGE('',*,*,#109930,.T.); +#110064=ORIENTED_EDGE('',*,*,#110063,.F.); +#110065=EDGE_LOOP('',(#110060,#110061,#110062,#110064)); +#110066=FACE_OUTER_BOUND('',#110065,.F.); +#110068=CARTESIAN_POINT('',(-1.335E1,-2.155E0,-2.4685E1)); +#110069=DIRECTION('',(0.E0,1.E0,0.E0)); +#110070=DIRECTION('',(0.E0,0.E0,1.E0)); +#110071=AXIS2_PLACEMENT_3D('',#110068,#110069,#110070); +#110072=PLANE('',#110071); +#110073=ORIENTED_EDGE('',*,*,#109695,.F.); +#110074=ORIENTED_EDGE('',*,*,#110063,.T.); +#110075=ORIENTED_EDGE('',*,*,#109928,.T.); +#110076=ORIENTED_EDGE('',*,*,#60184,.F.); +#110077=EDGE_LOOP('',(#110073,#110074,#110075,#110076)); +#110078=FACE_OUTER_BOUND('',#110077,.F.); +#110080=CARTESIAN_POINT('',(-1.335E1,-3.285E0,-2.4685E1)); +#110081=DIRECTION('',(1.E0,0.E0,0.E0)); +#110082=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110083=AXIS2_PLACEMENT_3D('',#110080,#110081,#110082); +#110084=CYLINDRICAL_SURFACE('',#110083,5.E-1); +#110085=ORIENTED_EDGE('',*,*,#109700,.F.); +#110087=ORIENTED_EDGE('',*,*,#110086,.T.); +#110088=ORIENTED_EDGE('',*,*,#109923,.T.); +#110089=ORIENTED_EDGE('',*,*,#110009,.F.); +#110090=EDGE_LOOP('',(#110085,#110087,#110088,#110089)); +#110091=FACE_OUTER_BOUND('',#110090,.F.); +#110093=CARTESIAN_POINT('',(-1.335E1,-2.785E0,-2.36E1)); +#110094=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110095=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110096=AXIS2_PLACEMENT_3D('',#110093,#110094,#110095); +#110097=PLANE('',#110096); +#110098=ORIENTED_EDGE('',*,*,#109698,.F.); +#110099=ORIENTED_EDGE('',*,*,#60188,.T.); +#110100=ORIENTED_EDGE('',*,*,#109925,.T.); +#110101=ORIENTED_EDGE('',*,*,#110086,.F.); +#110102=EDGE_LOOP('',(#110098,#110099,#110100,#110101)); +#110103=FACE_OUTER_BOUND('',#110102,.F.); +#110105=CARTESIAN_POINT('',(-1.12E1,6.33E0,0.E0)); +#110106=DIRECTION('',(1.E0,0.E0,0.E0)); +#110107=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110108=AXIS2_PLACEMENT_3D('',#110105,#110106,#110107); +#110109=PLANE('',#110108); +#110111=ORIENTED_EDGE('',*,*,#110110,.F.); +#110113=ORIENTED_EDGE('',*,*,#110112,.T.); +#110115=ORIENTED_EDGE('',*,*,#110114,.T.); +#110117=ORIENTED_EDGE('',*,*,#110116,.T.); +#110118=ORIENTED_EDGE('',*,*,#103763,.F.); +#110120=ORIENTED_EDGE('',*,*,#110119,.T.); +#110122=ORIENTED_EDGE('',*,*,#110121,.T.); +#110124=ORIENTED_EDGE('',*,*,#110123,.T.); +#110125=EDGE_LOOP('',(#110111,#110113,#110115,#110117,#110118,#110120,#110122, +#110124)); +#110126=FACE_OUTER_BOUND('',#110125,.F.); +#110128=CARTESIAN_POINT('',(-1.12E1,6.33E0,0.E0)); +#110129=DIRECTION('',(1.E0,0.E0,0.E0)); +#110130=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110131=AXIS2_PLACEMENT_3D('',#110128,#110129,#110130); +#110132=PLANE('',#110131); +#110134=ORIENTED_EDGE('',*,*,#110133,.F.); +#110136=ORIENTED_EDGE('',*,*,#110135,.T.); +#110138=ORIENTED_EDGE('',*,*,#110137,.T.); +#110140=ORIENTED_EDGE('',*,*,#110139,.T.); +#110141=ORIENTED_EDGE('',*,*,#60192,.F.); +#110143=ORIENTED_EDGE('',*,*,#110142,.T.); +#110145=ORIENTED_EDGE('',*,*,#110144,.T.); +#110147=ORIENTED_EDGE('',*,*,#110146,.T.); +#110148=EDGE_LOOP('',(#110134,#110136,#110138,#110140,#110141,#110143,#110145, +#110147)); +#110149=FACE_OUTER_BOUND('',#110148,.F.); +#110151=CARTESIAN_POINT('',(-1.12E1,-1.094019237886E1,-3.0895E1)); +#110152=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#110153=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#110154=AXIS2_PLACEMENT_3D('',#110151,#110152,#110153); +#110155=PLANE('',#110154); +#110156=ORIENTED_EDGE('',*,*,#59136,.F.); +#110158=ORIENTED_EDGE('',*,*,#110157,.F.); +#110159=ORIENTED_EDGE('',*,*,#110110,.T.); +#110161=ORIENTED_EDGE('',*,*,#110160,.T.); +#110162=EDGE_LOOP('',(#110156,#110158,#110159,#110161)); +#110163=FACE_OUTER_BOUND('',#110162,.F.); +#110165=CARTESIAN_POINT('',(-1.12E1,-1.094019237886E1,-3.0895E1)); +#110166=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#110167=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#110168=AXIS2_PLACEMENT_3D('',#110165,#110166,#110167); +#110169=PLANE('',#110168); +#110170=ORIENTED_EDGE('',*,*,#59146,.F.); +#110172=ORIENTED_EDGE('',*,*,#110171,.F.); +#110173=ORIENTED_EDGE('',*,*,#110133,.T.); +#110175=ORIENTED_EDGE('',*,*,#110174,.T.); +#110176=EDGE_LOOP('',(#110170,#110172,#110173,#110175)); +#110177=FACE_OUTER_BOUND('',#110176,.F.); +#110179=CARTESIAN_POINT('',(-1.12E1,-1.72E1,-3.0895E1)); +#110180=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110181=DIRECTION('',(0.E0,1.E0,0.E0)); +#110182=AXIS2_PLACEMENT_3D('',#110179,#110180,#110181); +#110183=PLANE('',#110182); +#110185=ORIENTED_EDGE('',*,*,#110184,.F.); +#110187=ORIENTED_EDGE('',*,*,#110186,.T.); +#110189=ORIENTED_EDGE('',*,*,#110188,.T.); +#110190=ORIENTED_EDGE('',*,*,#58066,.F.); +#110191=EDGE_LOOP('',(#110185,#110187,#110189,#110190)); +#110192=FACE_OUTER_BOUND('',#110191,.F.); +#110194=CARTESIAN_POINT('',(-1.12E1,-1.72E1,-3.0895E1)); +#110195=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110196=DIRECTION('',(0.E0,1.E0,0.E0)); +#110197=AXIS2_PLACEMENT_3D('',#110194,#110195,#110196); +#110198=PLANE('',#110197); +#110199=ORIENTED_EDGE('',*,*,#110157,.T.); +#110200=ORIENTED_EDGE('',*,*,#59134,.T.); +#110202=ORIENTED_EDGE('',*,*,#110201,.T.); +#110204=ORIENTED_EDGE('',*,*,#110203,.T.); +#110206=ORIENTED_EDGE('',*,*,#110205,.F.); +#110207=ORIENTED_EDGE('',*,*,#110112,.F.); +#110208=EDGE_LOOP('',(#110199,#110200,#110202,#110204,#110206,#110207)); +#110209=FACE_OUTER_BOUND('',#110208,.F.); +#110211=CARTESIAN_POINT('',(-1.105E1,-1.35E1,-3.0895E1)); +#110212=DIRECTION('',(1.E0,0.E0,0.E0)); +#110213=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110214=AXIS2_PLACEMENT_3D('',#110211,#110212,#110213); +#110215=PLANE('',#110214); +#110216=ORIENTED_EDGE('',*,*,#58072,.T.); +#110218=ORIENTED_EDGE('',*,*,#110217,.F.); +#110220=ORIENTED_EDGE('',*,*,#110219,.T.); +#110221=ORIENTED_EDGE('',*,*,#110184,.T.); +#110222=EDGE_LOOP('',(#110216,#110218,#110220,#110221)); +#110223=FACE_OUTER_BOUND('',#110222,.F.); +#110225=CARTESIAN_POINT('',(-1.105E1,-1.35E1,-3.0895E1)); +#110226=DIRECTION('',(1.E0,0.E0,0.E0)); +#110227=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110228=AXIS2_PLACEMENT_3D('',#110225,#110226,#110227); +#110229=PLANE('',#110228); +#110230=ORIENTED_EDGE('',*,*,#58082,.T.); +#110232=ORIENTED_EDGE('',*,*,#110231,.F.); +#110234=ORIENTED_EDGE('',*,*,#110233,.T.); +#110236=ORIENTED_EDGE('',*,*,#110235,.T.); +#110237=EDGE_LOOP('',(#110230,#110232,#110234,#110236)); +#110238=FACE_OUTER_BOUND('',#110237,.F.); +#110240=CARTESIAN_POINT('',(-1.12E1,3.815E0,-3.0265E1)); +#110241=DIRECTION('',(0.E0,0.E0,1.E0)); +#110242=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110243=AXIS2_PLACEMENT_3D('',#110240,#110241,#110242); +#110244=PLANE('',#110243); +#110245=ORIENTED_EDGE('',*,*,#110217,.T.); +#110246=ORIENTED_EDGE('',*,*,#58070,.T.); +#110248=ORIENTED_EDGE('',*,*,#110247,.F.); +#110250=ORIENTED_EDGE('',*,*,#110249,.F.); +#110251=EDGE_LOOP('',(#110245,#110246,#110248,#110250)); +#110252=FACE_OUTER_BOUND('',#110251,.F.); +#110254=CARTESIAN_POINT('',(-1.12E1,3.815E0,-3.0265E1)); +#110255=DIRECTION('',(0.E0,0.E0,1.E0)); +#110256=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110257=AXIS2_PLACEMENT_3D('',#110254,#110255,#110256); +#110258=PLANE('',#110257); +#110259=ORIENTED_EDGE('',*,*,#110160,.F.); +#110260=ORIENTED_EDGE('',*,*,#110123,.F.); +#110262=ORIENTED_EDGE('',*,*,#110261,.T.); +#110264=ORIENTED_EDGE('',*,*,#110263,.T.); +#110266=ORIENTED_EDGE('',*,*,#110265,.F.); +#110267=ORIENTED_EDGE('',*,*,#59130,.F.); +#110268=EDGE_LOOP('',(#110259,#110260,#110262,#110264,#110266,#110267)); +#110269=FACE_OUTER_BOUND('',#110268,.F.); +#110271=CARTESIAN_POINT('',(-1.045E1,-1.72E1,-3.0895E1)); +#110272=DIRECTION('',(-1.E0,0.E0,0.E0)); +#110273=DIRECTION('',(0.E0,1.E0,0.E0)); +#110274=AXIS2_PLACEMENT_3D('',#110271,#110272,#110273); +#110275=PLANE('',#110274); +#110276=ORIENTED_EDGE('',*,*,#58068,.F.); +#110277=ORIENTED_EDGE('',*,*,#110188,.F.); +#110279=ORIENTED_EDGE('',*,*,#110278,.F.); +#110280=ORIENTED_EDGE('',*,*,#110247,.T.); +#110281=EDGE_LOOP('',(#110276,#110277,#110279,#110280)); +#110282=FACE_OUTER_BOUND('',#110281,.F.); +#110284=CARTESIAN_POINT('',(-1.045E1,-1.72E1,-3.0895E1)); +#110285=DIRECTION('',(-1.E0,0.E0,0.E0)); +#110286=DIRECTION('',(0.E0,1.E0,0.E0)); +#110287=AXIS2_PLACEMENT_3D('',#110284,#110285,#110286); +#110288=PLANE('',#110287); +#110289=ORIENTED_EDGE('',*,*,#58078,.F.); +#110291=ORIENTED_EDGE('',*,*,#110290,.F.); +#110293=ORIENTED_EDGE('',*,*,#110292,.F.); +#110295=ORIENTED_EDGE('',*,*,#110294,.T.); +#110296=EDGE_LOOP('',(#110289,#110291,#110293,#110295)); +#110297=FACE_OUTER_BOUND('',#110296,.F.); +#110299=CARTESIAN_POINT('',(-1.12E1,-1.72E1,-3.0265E1)); +#110300=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110301=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110302=AXIS2_PLACEMENT_3D('',#110299,#110300,#110301); +#110303=PLANE('',#110302); +#110304=ORIENTED_EDGE('',*,*,#110219,.F.); +#110305=ORIENTED_EDGE('',*,*,#110249,.T.); +#110306=ORIENTED_EDGE('',*,*,#110278,.T.); +#110307=ORIENTED_EDGE('',*,*,#110186,.F.); +#110308=EDGE_LOOP('',(#110304,#110305,#110306,#110307)); +#110309=FACE_OUTER_BOUND('',#110308,.F.); +#110311=CARTESIAN_POINT('',(-1.12E1,-1.72E1,-2.5815E1)); +#110312=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110313=DIRECTION('',(0.E0,1.E0,0.E0)); +#110314=AXIS2_PLACEMENT_3D('',#110311,#110312,#110313); +#110315=PLANE('',#110314); +#110316=ORIENTED_EDGE('',*,*,#110235,.F.); +#110318=ORIENTED_EDGE('',*,*,#110317,.T.); +#110319=ORIENTED_EDGE('',*,*,#110290,.T.); +#110320=ORIENTED_EDGE('',*,*,#58076,.F.); +#110321=EDGE_LOOP('',(#110316,#110318,#110319,#110320)); +#110322=FACE_OUTER_BOUND('',#110321,.F.); +#110324=CARTESIAN_POINT('',(-1.12E1,-1.72E1,-2.5815E1)); +#110325=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110326=DIRECTION('',(0.E0,1.E0,0.E0)); +#110327=AXIS2_PLACEMENT_3D('',#110324,#110325,#110326); +#110328=PLANE('',#110327); +#110329=ORIENTED_EDGE('',*,*,#110171,.T.); +#110330=ORIENTED_EDGE('',*,*,#59144,.T.); +#110332=ORIENTED_EDGE('',*,*,#110331,.T.); +#110334=ORIENTED_EDGE('',*,*,#110333,.T.); +#110336=ORIENTED_EDGE('',*,*,#110335,.F.); +#110337=ORIENTED_EDGE('',*,*,#110135,.F.); +#110338=EDGE_LOOP('',(#110329,#110330,#110332,#110334,#110336,#110337)); +#110339=FACE_OUTER_BOUND('',#110338,.F.); +#110341=CARTESIAN_POINT('',(-1.12E1,-1.72E1,-2.5185E1)); +#110342=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110343=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110344=AXIS2_PLACEMENT_3D('',#110341,#110342,#110343); +#110345=PLANE('',#110344); +#110346=ORIENTED_EDGE('',*,*,#110233,.F.); +#110348=ORIENTED_EDGE('',*,*,#110347,.T.); +#110349=ORIENTED_EDGE('',*,*,#110292,.T.); +#110350=ORIENTED_EDGE('',*,*,#110317,.F.); +#110351=EDGE_LOOP('',(#110346,#110348,#110349,#110350)); +#110352=FACE_OUTER_BOUND('',#110351,.F.); +#110354=CARTESIAN_POINT('',(-1.12E1,-3.285E0,-2.5185E1)); +#110355=DIRECTION('',(0.E0,0.E0,1.E0)); +#110356=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110357=AXIS2_PLACEMENT_3D('',#110354,#110355,#110356); +#110358=PLANE('',#110357); +#110359=ORIENTED_EDGE('',*,*,#110231,.T.); +#110360=ORIENTED_EDGE('',*,*,#58080,.T.); +#110361=ORIENTED_EDGE('',*,*,#110294,.F.); +#110362=ORIENTED_EDGE('',*,*,#110347,.F.); +#110363=EDGE_LOOP('',(#110359,#110360,#110361,#110362)); +#110364=FACE_OUTER_BOUND('',#110363,.F.); +#110366=CARTESIAN_POINT('',(-1.12E1,-3.285E0,-2.5185E1)); +#110367=DIRECTION('',(0.E0,0.E0,1.E0)); +#110368=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110369=AXIS2_PLACEMENT_3D('',#110366,#110367,#110368); +#110370=PLANE('',#110369); +#110371=ORIENTED_EDGE('',*,*,#110174,.F.); +#110372=ORIENTED_EDGE('',*,*,#110146,.F.); +#110374=ORIENTED_EDGE('',*,*,#110373,.T.); +#110376=ORIENTED_EDGE('',*,*,#110375,.T.); +#110378=ORIENTED_EDGE('',*,*,#110377,.F.); +#110379=ORIENTED_EDGE('',*,*,#59140,.F.); +#110380=EDGE_LOOP('',(#110371,#110372,#110374,#110376,#110378,#110379)); +#110381=FACE_OUTER_BOUND('',#110380,.F.); +#110383=CARTESIAN_POINT('',(-1.12E1,-3.285E0,-2.4685E1)); +#110384=DIRECTION('',(1.E0,0.E0,0.E0)); +#110385=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110386=AXIS2_PLACEMENT_3D('',#110383,#110384,#110385); +#110387=CYLINDRICAL_SURFACE('',#110386,5.E-1); +#110388=ORIENTED_EDGE('',*,*,#110144,.F.); +#110390=ORIENTED_EDGE('',*,*,#110389,.T.); +#110392=ORIENTED_EDGE('',*,*,#110391,.T.); +#110393=ORIENTED_EDGE('',*,*,#110373,.F.); +#110394=EDGE_LOOP('',(#110388,#110390,#110392,#110393)); +#110395=FACE_OUTER_BOUND('',#110394,.F.); +#110397=CARTESIAN_POINT('',(-1.12E1,-2.785E0,-2.36E1)); +#110398=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110399=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110400=AXIS2_PLACEMENT_3D('',#110397,#110398,#110399); +#110401=PLANE('',#110400); +#110402=ORIENTED_EDGE('',*,*,#110142,.F.); +#110403=ORIENTED_EDGE('',*,*,#60198,.T.); +#110405=ORIENTED_EDGE('',*,*,#110404,.T.); +#110406=ORIENTED_EDGE('',*,*,#110389,.F.); +#110407=EDGE_LOOP('',(#110402,#110403,#110405,#110406)); +#110408=FACE_OUTER_BOUND('',#110407,.F.); +#110410=CARTESIAN_POINT('',(-1.03E1,6.33E0,0.E0)); +#110411=DIRECTION('',(1.E0,0.E0,0.E0)); +#110412=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110413=AXIS2_PLACEMENT_3D('',#110410,#110411,#110412); +#110414=PLANE('',#110413); +#110416=ORIENTED_EDGE('',*,*,#110415,.T.); +#110417=ORIENTED_EDGE('',*,*,#110263,.F.); +#110419=ORIENTED_EDGE('',*,*,#110418,.F.); +#110421=ORIENTED_EDGE('',*,*,#110420,.F.); +#110422=ORIENTED_EDGE('',*,*,#103767,.T.); +#110424=ORIENTED_EDGE('',*,*,#110423,.F.); +#110426=ORIENTED_EDGE('',*,*,#110425,.F.); +#110427=ORIENTED_EDGE('',*,*,#110203,.F.); +#110428=EDGE_LOOP('',(#110416,#110417,#110419,#110421,#110422,#110424,#110426, +#110427)); +#110429=FACE_OUTER_BOUND('',#110428,.F.); +#110431=CARTESIAN_POINT('',(-1.03E1,6.33E0,0.E0)); +#110432=DIRECTION('',(1.E0,0.E0,0.E0)); +#110433=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110434=AXIS2_PLACEMENT_3D('',#110431,#110432,#110433); +#110435=PLANE('',#110434); +#110437=ORIENTED_EDGE('',*,*,#110436,.T.); +#110438=ORIENTED_EDGE('',*,*,#110375,.F.); +#110439=ORIENTED_EDGE('',*,*,#110391,.F.); +#110440=ORIENTED_EDGE('',*,*,#110404,.F.); +#110441=ORIENTED_EDGE('',*,*,#60196,.T.); +#110443=ORIENTED_EDGE('',*,*,#110442,.F.); +#110445=ORIENTED_EDGE('',*,*,#110444,.F.); +#110446=ORIENTED_EDGE('',*,*,#110333,.F.); +#110447=EDGE_LOOP('',(#110437,#110438,#110439,#110440,#110441,#110443,#110445, +#110446)); +#110448=FACE_OUTER_BOUND('',#110447,.F.); +#110450=CARTESIAN_POINT('',(-1.045E1,-1.12E1,-3.0895E1)); +#110451=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#110452=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#110453=AXIS2_PLACEMENT_3D('',#110450,#110451,#110452); +#110454=PLANE('',#110453); +#110455=ORIENTED_EDGE('',*,*,#59132,.T.); +#110456=ORIENTED_EDGE('',*,*,#110265,.T.); +#110457=ORIENTED_EDGE('',*,*,#110415,.F.); +#110458=ORIENTED_EDGE('',*,*,#110201,.F.); +#110459=EDGE_LOOP('',(#110455,#110456,#110457,#110458)); +#110460=FACE_OUTER_BOUND('',#110459,.F.); +#110462=CARTESIAN_POINT('',(-1.045E1,-1.12E1,-3.0895E1)); +#110463=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#110464=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#110465=AXIS2_PLACEMENT_3D('',#110462,#110463,#110464); +#110466=PLANE('',#110465); +#110467=ORIENTED_EDGE('',*,*,#59142,.T.); +#110468=ORIENTED_EDGE('',*,*,#110377,.T.); +#110469=ORIENTED_EDGE('',*,*,#110436,.F.); +#110470=ORIENTED_EDGE('',*,*,#110331,.F.); +#110471=EDGE_LOOP('',(#110467,#110468,#110469,#110470)); +#110472=FACE_OUTER_BOUND('',#110471,.F.); +#110474=CARTESIAN_POINT('',(-1.12E1,3.815E0,-2.9265E1)); +#110475=DIRECTION('',(1.E0,0.E0,0.E0)); +#110476=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110477=AXIS2_PLACEMENT_3D('',#110474,#110475,#110476); +#110478=CYLINDRICAL_SURFACE('',#110477,1.E0); +#110479=ORIENTED_EDGE('',*,*,#110121,.F.); +#110481=ORIENTED_EDGE('',*,*,#110480,.T.); +#110482=ORIENTED_EDGE('',*,*,#110418,.T.); +#110483=ORIENTED_EDGE('',*,*,#110261,.F.); +#110484=EDGE_LOOP('',(#110479,#110481,#110482,#110483)); +#110485=FACE_OUTER_BOUND('',#110484,.F.); +#110487=CARTESIAN_POINT('',(-1.12E1,4.815E0,-2.36E1)); +#110488=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110489=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110490=AXIS2_PLACEMENT_3D('',#110487,#110488,#110489); +#110491=PLANE('',#110490); +#110492=ORIENTED_EDGE('',*,*,#110119,.F.); +#110493=ORIENTED_EDGE('',*,*,#103769,.T.); +#110494=ORIENTED_EDGE('',*,*,#110420,.T.); +#110495=ORIENTED_EDGE('',*,*,#110480,.F.); +#110496=EDGE_LOOP('',(#110492,#110493,#110494,#110495)); +#110497=FACE_OUTER_BOUND('',#110496,.F.); +#110499=CARTESIAN_POINT('',(-1.12E1,5.445E0,-2.9265E1)); +#110500=DIRECTION('',(0.E0,1.E0,0.E0)); +#110501=DIRECTION('',(0.E0,0.E0,1.E0)); +#110502=AXIS2_PLACEMENT_3D('',#110499,#110500,#110501); +#110503=PLANE('',#110502); +#110504=ORIENTED_EDGE('',*,*,#110116,.F.); +#110506=ORIENTED_EDGE('',*,*,#110505,.T.); +#110507=ORIENTED_EDGE('',*,*,#110423,.T.); +#110508=ORIENTED_EDGE('',*,*,#103765,.F.); +#110509=EDGE_LOOP('',(#110504,#110506,#110507,#110508)); +#110510=FACE_OUTER_BOUND('',#110509,.F.); +#110512=CARTESIAN_POINT('',(-1.12E1,3.815E0,-2.9265E1)); +#110513=DIRECTION('',(1.E0,0.E0,0.E0)); +#110514=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110515=AXIS2_PLACEMENT_3D('',#110512,#110513,#110514); +#110516=CYLINDRICAL_SURFACE('',#110515,1.63E0); +#110517=ORIENTED_EDGE('',*,*,#110114,.F.); +#110518=ORIENTED_EDGE('',*,*,#110205,.T.); +#110519=ORIENTED_EDGE('',*,*,#110425,.T.); +#110520=ORIENTED_EDGE('',*,*,#110505,.F.); +#110521=EDGE_LOOP('',(#110517,#110518,#110519,#110520)); +#110522=FACE_OUTER_BOUND('',#110521,.F.); +#110524=CARTESIAN_POINT('',(-1.12E1,-2.155E0,-2.4685E1)); +#110525=DIRECTION('',(0.E0,1.E0,0.E0)); +#110526=DIRECTION('',(0.E0,0.E0,1.E0)); +#110527=AXIS2_PLACEMENT_3D('',#110524,#110525,#110526); +#110528=PLANE('',#110527); +#110529=ORIENTED_EDGE('',*,*,#110139,.F.); +#110531=ORIENTED_EDGE('',*,*,#110530,.T.); +#110532=ORIENTED_EDGE('',*,*,#110442,.T.); +#110533=ORIENTED_EDGE('',*,*,#60194,.F.); +#110534=EDGE_LOOP('',(#110529,#110531,#110532,#110533)); +#110535=FACE_OUTER_BOUND('',#110534,.F.); +#110537=CARTESIAN_POINT('',(-1.12E1,-3.285E0,-2.4685E1)); +#110538=DIRECTION('',(1.E0,0.E0,0.E0)); +#110539=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110540=AXIS2_PLACEMENT_3D('',#110537,#110538,#110539); +#110541=CYLINDRICAL_SURFACE('',#110540,1.13E0); +#110542=ORIENTED_EDGE('',*,*,#110137,.F.); +#110543=ORIENTED_EDGE('',*,*,#110335,.T.); +#110544=ORIENTED_EDGE('',*,*,#110444,.T.); +#110545=ORIENTED_EDGE('',*,*,#110530,.F.); +#110546=EDGE_LOOP('',(#110542,#110543,#110544,#110545)); +#110547=FACE_OUTER_BOUND('',#110546,.F.); +#110549=CARTESIAN_POINT('',(-9.05E0,1.033E1,0.E0)); +#110550=DIRECTION('',(1.E0,0.E0,0.E0)); +#110551=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110552=AXIS2_PLACEMENT_3D('',#110549,#110550,#110551); +#110553=PLANE('',#110552); +#110555=ORIENTED_EDGE('',*,*,#110554,.F.); +#110557=ORIENTED_EDGE('',*,*,#110556,.T.); +#110559=ORIENTED_EDGE('',*,*,#110558,.T.); +#110561=ORIENTED_EDGE('',*,*,#110560,.T.); +#110562=ORIENTED_EDGE('',*,*,#103773,.F.); +#110564=ORIENTED_EDGE('',*,*,#110563,.T.); +#110566=ORIENTED_EDGE('',*,*,#110565,.T.); +#110568=ORIENTED_EDGE('',*,*,#110567,.T.); +#110569=EDGE_LOOP('',(#110555,#110557,#110559,#110561,#110562,#110564,#110566, +#110568)); +#110570=FACE_OUTER_BOUND('',#110569,.F.); +#110572=CARTESIAN_POINT('',(-9.05E0,1.033E1,0.E0)); +#110573=DIRECTION('',(1.E0,0.E0,0.E0)); +#110574=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110575=AXIS2_PLACEMENT_3D('',#110572,#110573,#110574); +#110576=PLANE('',#110575); +#110578=ORIENTED_EDGE('',*,*,#110577,.F.); +#110580=ORIENTED_EDGE('',*,*,#110579,.T.); +#110582=ORIENTED_EDGE('',*,*,#110581,.T.); +#110584=ORIENTED_EDGE('',*,*,#110583,.T.); +#110585=ORIENTED_EDGE('',*,*,#60202,.F.); +#110587=ORIENTED_EDGE('',*,*,#110586,.T.); +#110589=ORIENTED_EDGE('',*,*,#110588,.T.); +#110591=ORIENTED_EDGE('',*,*,#110590,.T.); +#110592=EDGE_LOOP('',(#110578,#110580,#110582,#110584,#110585,#110587,#110589, +#110591)); +#110593=FACE_OUTER_BOUND('',#110592,.F.); +#110595=CARTESIAN_POINT('',(-9.05E0,-1.094019237886E1,-3.0895E1)); +#110596=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#110597=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#110598=AXIS2_PLACEMENT_3D('',#110595,#110596,#110597); +#110599=PLANE('',#110598); +#110600=ORIENTED_EDGE('',*,*,#59166,.F.); +#110602=ORIENTED_EDGE('',*,*,#110601,.F.); +#110603=ORIENTED_EDGE('',*,*,#110554,.T.); +#110605=ORIENTED_EDGE('',*,*,#110604,.T.); +#110606=EDGE_LOOP('',(#110600,#110602,#110603,#110605)); +#110607=FACE_OUTER_BOUND('',#110606,.F.); +#110609=CARTESIAN_POINT('',(-9.05E0,-1.094019237886E1,-3.0895E1)); +#110610=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#110611=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#110612=AXIS2_PLACEMENT_3D('',#110609,#110610,#110611); +#110613=PLANE('',#110612); +#110614=ORIENTED_EDGE('',*,*,#59156,.F.); +#110616=ORIENTED_EDGE('',*,*,#110615,.F.); +#110617=ORIENTED_EDGE('',*,*,#110577,.T.); +#110619=ORIENTED_EDGE('',*,*,#110618,.T.); +#110620=EDGE_LOOP('',(#110614,#110616,#110617,#110619)); +#110621=FACE_OUTER_BOUND('',#110620,.F.); +#110623=CARTESIAN_POINT('',(-9.05E0,-1.72E1,-2.8355E1)); +#110624=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110625=DIRECTION('',(0.E0,1.E0,0.E0)); +#110626=AXIS2_PLACEMENT_3D('',#110623,#110624,#110625); +#110627=PLANE('',#110626); +#110629=ORIENTED_EDGE('',*,*,#110628,.T.); +#110630=ORIENTED_EDGE('',*,*,#58096,.F.); +#110632=ORIENTED_EDGE('',*,*,#110631,.F.); +#110634=ORIENTED_EDGE('',*,*,#110633,.T.); +#110635=EDGE_LOOP('',(#110629,#110630,#110632,#110634)); +#110636=FACE_OUTER_BOUND('',#110635,.F.); +#110638=CARTESIAN_POINT('',(-9.05E0,-1.72E1,-2.8355E1)); +#110639=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110640=DIRECTION('',(0.E0,1.E0,0.E0)); +#110641=AXIS2_PLACEMENT_3D('',#110638,#110639,#110640); +#110642=PLANE('',#110641); +#110644=ORIENTED_EDGE('',*,*,#110643,.T.); +#110646=ORIENTED_EDGE('',*,*,#110645,.T.); +#110648=ORIENTED_EDGE('',*,*,#110647,.F.); +#110649=ORIENTED_EDGE('',*,*,#110556,.F.); +#110650=ORIENTED_EDGE('',*,*,#110601,.T.); +#110651=ORIENTED_EDGE('',*,*,#59164,.T.); +#110652=EDGE_LOOP('',(#110644,#110646,#110648,#110649,#110650,#110651)); +#110653=FACE_OUTER_BOUND('',#110652,.F.); +#110655=CARTESIAN_POINT('',(-8.3E0,-1.72E1,-3.0895E1)); +#110656=DIRECTION('',(-1.E0,0.E0,0.E0)); +#110657=DIRECTION('',(0.E0,1.E0,0.E0)); +#110658=AXIS2_PLACEMENT_3D('',#110655,#110656,#110657); +#110659=PLANE('',#110658); +#110660=ORIENTED_EDGE('',*,*,#58098,.F.); +#110661=ORIENTED_EDGE('',*,*,#110628,.F.); +#110663=ORIENTED_EDGE('',*,*,#110662,.F.); +#110665=ORIENTED_EDGE('',*,*,#110664,.T.); +#110666=EDGE_LOOP('',(#110660,#110661,#110663,#110665)); +#110667=FACE_OUTER_BOUND('',#110666,.F.); +#110669=CARTESIAN_POINT('',(-8.3E0,-1.72E1,-3.0895E1)); +#110670=DIRECTION('',(-1.E0,0.E0,0.E0)); +#110671=DIRECTION('',(0.E0,1.E0,0.E0)); +#110672=AXIS2_PLACEMENT_3D('',#110669,#110670,#110671); +#110673=PLANE('',#110672); +#110674=ORIENTED_EDGE('',*,*,#58088,.F.); +#110676=ORIENTED_EDGE('',*,*,#110675,.F.); +#110678=ORIENTED_EDGE('',*,*,#110677,.F.); +#110680=ORIENTED_EDGE('',*,*,#110679,.T.); +#110681=EDGE_LOOP('',(#110674,#110676,#110678,#110680)); +#110682=FACE_OUTER_BOUND('',#110681,.F.); +#110684=CARTESIAN_POINT('',(-9.05E0,-1.72E1,-2.7725E1)); +#110685=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110686=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110687=AXIS2_PLACEMENT_3D('',#110684,#110685,#110686); +#110688=PLANE('',#110687); +#110689=ORIENTED_EDGE('',*,*,#110662,.T.); +#110690=ORIENTED_EDGE('',*,*,#110633,.F.); +#110692=ORIENTED_EDGE('',*,*,#110691,.F.); +#110694=ORIENTED_EDGE('',*,*,#110693,.T.); +#110695=EDGE_LOOP('',(#110689,#110690,#110692,#110694)); +#110696=FACE_OUTER_BOUND('',#110695,.F.); +#110698=CARTESIAN_POINT('',(-8.9E0,-1.35E1,-3.0895E1)); +#110699=DIRECTION('',(1.E0,0.E0,0.E0)); +#110700=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110701=AXIS2_PLACEMENT_3D('',#110698,#110699,#110700); +#110702=PLANE('',#110701); +#110703=ORIENTED_EDGE('',*,*,#58102,.T.); +#110705=ORIENTED_EDGE('',*,*,#110704,.F.); +#110706=ORIENTED_EDGE('',*,*,#110691,.T.); +#110707=ORIENTED_EDGE('',*,*,#110631,.T.); +#110708=EDGE_LOOP('',(#110703,#110705,#110706,#110707)); +#110709=FACE_OUTER_BOUND('',#110708,.F.); +#110711=CARTESIAN_POINT('',(-8.9E0,-1.35E1,-3.0895E1)); +#110712=DIRECTION('',(1.E0,0.E0,0.E0)); +#110713=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110714=AXIS2_PLACEMENT_3D('',#110711,#110712,#110713); +#110715=PLANE('',#110714); +#110716=ORIENTED_EDGE('',*,*,#58092,.T.); +#110718=ORIENTED_EDGE('',*,*,#110717,.F.); +#110720=ORIENTED_EDGE('',*,*,#110719,.T.); +#110722=ORIENTED_EDGE('',*,*,#110721,.T.); +#110723=EDGE_LOOP('',(#110716,#110718,#110720,#110722)); +#110724=FACE_OUTER_BOUND('',#110723,.F.); +#110726=CARTESIAN_POINT('',(-9.05E0,3.815E0,-2.7725E1)); +#110727=DIRECTION('',(0.E0,0.E0,1.E0)); +#110728=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110729=AXIS2_PLACEMENT_3D('',#110726,#110727,#110728); +#110730=PLANE('',#110729); +#110731=ORIENTED_EDGE('',*,*,#110664,.F.); +#110732=ORIENTED_EDGE('',*,*,#110693,.F.); +#110733=ORIENTED_EDGE('',*,*,#110704,.T.); +#110734=ORIENTED_EDGE('',*,*,#58100,.T.); +#110735=EDGE_LOOP('',(#110731,#110732,#110733,#110734)); +#110736=FACE_OUTER_BOUND('',#110735,.F.); +#110738=CARTESIAN_POINT('',(-9.05E0,3.815E0,-2.7725E1)); +#110739=DIRECTION('',(0.E0,0.E0,1.E0)); +#110740=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110741=AXIS2_PLACEMENT_3D('',#110738,#110739,#110740); +#110742=PLANE('',#110741); +#110744=ORIENTED_EDGE('',*,*,#110743,.F.); +#110745=ORIENTED_EDGE('',*,*,#59160,.F.); +#110746=ORIENTED_EDGE('',*,*,#110604,.F.); +#110747=ORIENTED_EDGE('',*,*,#110567,.F.); +#110749=ORIENTED_EDGE('',*,*,#110748,.T.); +#110751=ORIENTED_EDGE('',*,*,#110750,.T.); +#110752=EDGE_LOOP('',(#110744,#110745,#110746,#110747,#110749,#110751)); +#110753=FACE_OUTER_BOUND('',#110752,.F.); +#110755=CARTESIAN_POINT('',(-8.3E0,-1.12E1,-3.0895E1)); +#110756=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#110757=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#110758=AXIS2_PLACEMENT_3D('',#110755,#110756,#110757); +#110759=PLANE('',#110758); +#110760=ORIENTED_EDGE('',*,*,#59162,.T.); +#110761=ORIENTED_EDGE('',*,*,#110743,.T.); +#110763=ORIENTED_EDGE('',*,*,#110762,.F.); +#110764=ORIENTED_EDGE('',*,*,#110643,.F.); +#110765=EDGE_LOOP('',(#110760,#110761,#110763,#110764)); +#110766=FACE_OUTER_BOUND('',#110765,.F.); +#110768=CARTESIAN_POINT('',(-8.3E0,-1.12E1,-3.0895E1)); +#110769=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#110770=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#110771=AXIS2_PLACEMENT_3D('',#110768,#110769,#110770); +#110772=PLANE('',#110771); +#110773=ORIENTED_EDGE('',*,*,#59152,.T.); +#110775=ORIENTED_EDGE('',*,*,#110774,.T.); +#110777=ORIENTED_EDGE('',*,*,#110776,.F.); +#110779=ORIENTED_EDGE('',*,*,#110778,.F.); +#110780=EDGE_LOOP('',(#110773,#110775,#110777,#110779)); +#110781=FACE_OUTER_BOUND('',#110780,.F.); +#110783=CARTESIAN_POINT('',(-8.15E0,1.033E1,0.E0)); +#110784=DIRECTION('',(1.E0,0.E0,0.E0)); +#110785=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110786=AXIS2_PLACEMENT_3D('',#110783,#110784,#110785); +#110787=PLANE('',#110786); +#110788=ORIENTED_EDGE('',*,*,#110762,.T.); +#110789=ORIENTED_EDGE('',*,*,#110750,.F.); +#110791=ORIENTED_EDGE('',*,*,#110790,.F.); +#110793=ORIENTED_EDGE('',*,*,#110792,.F.); +#110794=ORIENTED_EDGE('',*,*,#103777,.T.); +#110796=ORIENTED_EDGE('',*,*,#110795,.F.); +#110798=ORIENTED_EDGE('',*,*,#110797,.F.); +#110799=ORIENTED_EDGE('',*,*,#110645,.F.); +#110800=EDGE_LOOP('',(#110788,#110789,#110791,#110793,#110794,#110796,#110798, +#110799)); +#110801=FACE_OUTER_BOUND('',#110800,.F.); +#110803=CARTESIAN_POINT('',(-8.15E0,1.033E1,0.E0)); +#110804=DIRECTION('',(1.E0,0.E0,0.E0)); +#110805=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110806=AXIS2_PLACEMENT_3D('',#110803,#110804,#110805); +#110807=PLANE('',#110806); +#110808=ORIENTED_EDGE('',*,*,#110776,.T.); +#110810=ORIENTED_EDGE('',*,*,#110809,.F.); +#110812=ORIENTED_EDGE('',*,*,#110811,.F.); +#110814=ORIENTED_EDGE('',*,*,#110813,.F.); +#110815=ORIENTED_EDGE('',*,*,#60206,.T.); +#110817=ORIENTED_EDGE('',*,*,#110816,.F.); +#110819=ORIENTED_EDGE('',*,*,#110818,.F.); +#110821=ORIENTED_EDGE('',*,*,#110820,.F.); +#110822=EDGE_LOOP('',(#110808,#110810,#110812,#110814,#110815,#110817,#110819, +#110821)); +#110823=FACE_OUTER_BOUND('',#110822,.F.); +#110825=CARTESIAN_POINT('',(-9.05E0,3.815E0,-2.6725E1)); +#110826=DIRECTION('',(1.E0,0.E0,0.E0)); +#110827=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110828=AXIS2_PLACEMENT_3D('',#110825,#110826,#110827); +#110829=CYLINDRICAL_SURFACE('',#110828,1.E0); +#110830=ORIENTED_EDGE('',*,*,#110565,.F.); +#110832=ORIENTED_EDGE('',*,*,#110831,.T.); +#110833=ORIENTED_EDGE('',*,*,#110790,.T.); +#110834=ORIENTED_EDGE('',*,*,#110748,.F.); +#110835=EDGE_LOOP('',(#110830,#110832,#110833,#110834)); +#110836=FACE_OUTER_BOUND('',#110835,.F.); +#110838=CARTESIAN_POINT('',(-9.05E0,4.815E0,-2.36E1)); +#110839=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110840=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110841=AXIS2_PLACEMENT_3D('',#110838,#110839,#110840); +#110842=PLANE('',#110841); +#110843=ORIENTED_EDGE('',*,*,#110563,.F.); +#110844=ORIENTED_EDGE('',*,*,#103779,.T.); +#110845=ORIENTED_EDGE('',*,*,#110792,.T.); +#110846=ORIENTED_EDGE('',*,*,#110831,.F.); +#110847=EDGE_LOOP('',(#110843,#110844,#110845,#110846)); +#110848=FACE_OUTER_BOUND('',#110847,.F.); +#110850=CARTESIAN_POINT('',(-9.05E0,5.445E0,-2.6725E1)); +#110851=DIRECTION('',(0.E0,1.E0,0.E0)); +#110852=DIRECTION('',(0.E0,0.E0,1.E0)); +#110853=AXIS2_PLACEMENT_3D('',#110850,#110851,#110852); +#110854=PLANE('',#110853); +#110855=ORIENTED_EDGE('',*,*,#110560,.F.); +#110857=ORIENTED_EDGE('',*,*,#110856,.T.); +#110858=ORIENTED_EDGE('',*,*,#110795,.T.); +#110859=ORIENTED_EDGE('',*,*,#103775,.F.); +#110860=EDGE_LOOP('',(#110855,#110857,#110858,#110859)); +#110861=FACE_OUTER_BOUND('',#110860,.F.); +#110863=CARTESIAN_POINT('',(-9.05E0,3.815E0,-2.6725E1)); +#110864=DIRECTION('',(1.E0,0.E0,0.E0)); +#110865=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110866=AXIS2_PLACEMENT_3D('',#110863,#110864,#110865); +#110867=CYLINDRICAL_SURFACE('',#110866,1.63E0); +#110868=ORIENTED_EDGE('',*,*,#110558,.F.); +#110869=ORIENTED_EDGE('',*,*,#110647,.T.); +#110870=ORIENTED_EDGE('',*,*,#110797,.T.); +#110871=ORIENTED_EDGE('',*,*,#110856,.F.); +#110872=EDGE_LOOP('',(#110868,#110869,#110870,#110871)); +#110873=FACE_OUTER_BOUND('',#110872,.F.); +#110875=CARTESIAN_POINT('',(-9.05E0,-3.285E0,-2.5185E1)); +#110876=DIRECTION('',(0.E0,0.E0,1.E0)); +#110877=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110878=AXIS2_PLACEMENT_3D('',#110875,#110876,#110877); +#110879=PLANE('',#110878); +#110880=ORIENTED_EDGE('',*,*,#110679,.F.); +#110882=ORIENTED_EDGE('',*,*,#110881,.F.); +#110883=ORIENTED_EDGE('',*,*,#110717,.T.); +#110884=ORIENTED_EDGE('',*,*,#58090,.T.); +#110885=EDGE_LOOP('',(#110880,#110882,#110883,#110884)); +#110886=FACE_OUTER_BOUND('',#110885,.F.); +#110888=CARTESIAN_POINT('',(-9.05E0,-3.285E0,-2.5185E1)); +#110889=DIRECTION('',(0.E0,0.E0,1.E0)); +#110890=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110891=AXIS2_PLACEMENT_3D('',#110888,#110889,#110890); +#110892=PLANE('',#110891); +#110893=ORIENTED_EDGE('',*,*,#110774,.F.); +#110894=ORIENTED_EDGE('',*,*,#59150,.F.); +#110895=ORIENTED_EDGE('',*,*,#110618,.F.); +#110896=ORIENTED_EDGE('',*,*,#110590,.F.); +#110898=ORIENTED_EDGE('',*,*,#110897,.T.); +#110899=ORIENTED_EDGE('',*,*,#110809,.T.); +#110900=EDGE_LOOP('',(#110893,#110894,#110895,#110896,#110898,#110899)); +#110901=FACE_OUTER_BOUND('',#110900,.F.); +#110903=CARTESIAN_POINT('',(-9.05E0,-1.72E1,-2.5185E1)); +#110904=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110905=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110906=AXIS2_PLACEMENT_3D('',#110903,#110904,#110905); +#110907=PLANE('',#110906); +#110908=ORIENTED_EDGE('',*,*,#110677,.T.); +#110910=ORIENTED_EDGE('',*,*,#110909,.F.); +#110911=ORIENTED_EDGE('',*,*,#110719,.F.); +#110912=ORIENTED_EDGE('',*,*,#110881,.T.); +#110913=EDGE_LOOP('',(#110908,#110910,#110911,#110912)); +#110914=FACE_OUTER_BOUND('',#110913,.F.); +#110916=CARTESIAN_POINT('',(-9.05E0,-1.72E1,-2.5815E1)); +#110917=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110918=DIRECTION('',(0.E0,1.E0,0.E0)); +#110919=AXIS2_PLACEMENT_3D('',#110916,#110917,#110918); +#110920=PLANE('',#110919); +#110921=ORIENTED_EDGE('',*,*,#110675,.T.); +#110922=ORIENTED_EDGE('',*,*,#58086,.F.); +#110923=ORIENTED_EDGE('',*,*,#110721,.F.); +#110924=ORIENTED_EDGE('',*,*,#110909,.T.); +#110925=EDGE_LOOP('',(#110921,#110922,#110923,#110924)); +#110926=FACE_OUTER_BOUND('',#110925,.F.); +#110928=CARTESIAN_POINT('',(-9.05E0,-1.72E1,-2.5815E1)); +#110929=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110930=DIRECTION('',(0.E0,1.E0,0.E0)); +#110931=AXIS2_PLACEMENT_3D('',#110928,#110929,#110930); +#110932=PLANE('',#110931); +#110933=ORIENTED_EDGE('',*,*,#110778,.T.); +#110934=ORIENTED_EDGE('',*,*,#110820,.T.); +#110936=ORIENTED_EDGE('',*,*,#110935,.F.); +#110937=ORIENTED_EDGE('',*,*,#110579,.F.); +#110938=ORIENTED_EDGE('',*,*,#110615,.T.); +#110939=ORIENTED_EDGE('',*,*,#59154,.T.); +#110940=EDGE_LOOP('',(#110933,#110934,#110936,#110937,#110938,#110939)); +#110941=FACE_OUTER_BOUND('',#110940,.F.); +#110943=CARTESIAN_POINT('',(-9.05E0,-3.285E0,-2.4685E1)); +#110944=DIRECTION('',(1.E0,0.E0,0.E0)); +#110945=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110946=AXIS2_PLACEMENT_3D('',#110943,#110944,#110945); +#110947=CYLINDRICAL_SURFACE('',#110946,1.13E0); +#110948=ORIENTED_EDGE('',*,*,#110581,.F.); +#110949=ORIENTED_EDGE('',*,*,#110935,.T.); +#110950=ORIENTED_EDGE('',*,*,#110818,.T.); +#110952=ORIENTED_EDGE('',*,*,#110951,.F.); +#110953=EDGE_LOOP('',(#110948,#110949,#110950,#110952)); +#110954=FACE_OUTER_BOUND('',#110953,.F.); +#110956=CARTESIAN_POINT('',(-9.05E0,-2.155E0,-2.4685E1)); +#110957=DIRECTION('',(0.E0,1.E0,0.E0)); +#110958=DIRECTION('',(0.E0,0.E0,1.E0)); +#110959=AXIS2_PLACEMENT_3D('',#110956,#110957,#110958); +#110960=PLANE('',#110959); +#110961=ORIENTED_EDGE('',*,*,#110583,.F.); +#110962=ORIENTED_EDGE('',*,*,#110951,.T.); +#110963=ORIENTED_EDGE('',*,*,#110816,.T.); +#110964=ORIENTED_EDGE('',*,*,#60204,.F.); +#110965=EDGE_LOOP('',(#110961,#110962,#110963,#110964)); +#110966=FACE_OUTER_BOUND('',#110965,.F.); +#110968=CARTESIAN_POINT('',(-9.05E0,-3.285E0,-2.4685E1)); +#110969=DIRECTION('',(1.E0,0.E0,0.E0)); +#110970=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110971=AXIS2_PLACEMENT_3D('',#110968,#110969,#110970); +#110972=CYLINDRICAL_SURFACE('',#110971,5.E-1); +#110973=ORIENTED_EDGE('',*,*,#110588,.F.); +#110975=ORIENTED_EDGE('',*,*,#110974,.T.); +#110976=ORIENTED_EDGE('',*,*,#110811,.T.); +#110977=ORIENTED_EDGE('',*,*,#110897,.F.); +#110978=EDGE_LOOP('',(#110973,#110975,#110976,#110977)); +#110979=FACE_OUTER_BOUND('',#110978,.F.); +#110981=CARTESIAN_POINT('',(-9.05E0,-2.785E0,-2.36E1)); +#110982=DIRECTION('',(0.E0,-1.E0,0.E0)); +#110983=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110984=AXIS2_PLACEMENT_3D('',#110981,#110982,#110983); +#110985=PLANE('',#110984); +#110986=ORIENTED_EDGE('',*,*,#110586,.F.); +#110987=ORIENTED_EDGE('',*,*,#60208,.T.); +#110988=ORIENTED_EDGE('',*,*,#110813,.T.); +#110989=ORIENTED_EDGE('',*,*,#110974,.F.); +#110990=EDGE_LOOP('',(#110986,#110987,#110988,#110989)); +#110991=FACE_OUTER_BOUND('',#110990,.F.); +#110993=CARTESIAN_POINT('',(-6.9E0,6.33E0,0.E0)); +#110994=DIRECTION('',(1.E0,0.E0,0.E0)); +#110995=DIRECTION('',(0.E0,0.E0,-1.E0)); +#110996=AXIS2_PLACEMENT_3D('',#110993,#110994,#110995); +#110997=PLANE('',#110996); +#110999=ORIENTED_EDGE('',*,*,#110998,.F.); +#111001=ORIENTED_EDGE('',*,*,#111000,.T.); +#111003=ORIENTED_EDGE('',*,*,#111002,.T.); +#111005=ORIENTED_EDGE('',*,*,#111004,.T.); +#111006=ORIENTED_EDGE('',*,*,#103783,.F.); +#111008=ORIENTED_EDGE('',*,*,#111007,.T.); +#111010=ORIENTED_EDGE('',*,*,#111009,.T.); +#111012=ORIENTED_EDGE('',*,*,#111011,.T.); +#111013=EDGE_LOOP('',(#110999,#111001,#111003,#111005,#111006,#111008,#111010, +#111012)); +#111014=FACE_OUTER_BOUND('',#111013,.F.); +#111016=CARTESIAN_POINT('',(-6.9E0,6.33E0,0.E0)); +#111017=DIRECTION('',(1.E0,0.E0,0.E0)); +#111018=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111019=AXIS2_PLACEMENT_3D('',#111016,#111017,#111018); +#111020=PLANE('',#111019); +#111022=ORIENTED_EDGE('',*,*,#111021,.F.); +#111024=ORIENTED_EDGE('',*,*,#111023,.T.); +#111026=ORIENTED_EDGE('',*,*,#111025,.T.); +#111028=ORIENTED_EDGE('',*,*,#111027,.T.); +#111029=ORIENTED_EDGE('',*,*,#60212,.F.); +#111031=ORIENTED_EDGE('',*,*,#111030,.T.); +#111033=ORIENTED_EDGE('',*,*,#111032,.T.); +#111035=ORIENTED_EDGE('',*,*,#111034,.T.); +#111036=EDGE_LOOP('',(#111022,#111024,#111026,#111028,#111029,#111031,#111033, +#111035)); +#111037=FACE_OUTER_BOUND('',#111036,.F.); +#111039=CARTESIAN_POINT('',(-6.9E0,-1.094019237886E1,-3.0895E1)); +#111040=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#111041=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#111042=AXIS2_PLACEMENT_3D('',#111039,#111040,#111041); +#111043=PLANE('',#111042); +#111044=ORIENTED_EDGE('',*,*,#59176,.F.); +#111046=ORIENTED_EDGE('',*,*,#111045,.F.); +#111047=ORIENTED_EDGE('',*,*,#110998,.T.); +#111049=ORIENTED_EDGE('',*,*,#111048,.T.); +#111050=EDGE_LOOP('',(#111044,#111046,#111047,#111049)); +#111051=FACE_OUTER_BOUND('',#111050,.F.); +#111053=CARTESIAN_POINT('',(-6.9E0,-1.094019237886E1,-3.0895E1)); +#111054=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#111055=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#111056=AXIS2_PLACEMENT_3D('',#111053,#111054,#111055); +#111057=PLANE('',#111056); +#111058=ORIENTED_EDGE('',*,*,#59186,.F.); +#111060=ORIENTED_EDGE('',*,*,#111059,.F.); +#111061=ORIENTED_EDGE('',*,*,#111021,.T.); +#111063=ORIENTED_EDGE('',*,*,#111062,.T.); +#111064=EDGE_LOOP('',(#111058,#111060,#111061,#111063)); +#111065=FACE_OUTER_BOUND('',#111064,.F.); +#111067=CARTESIAN_POINT('',(-6.9E0,-1.72E1,-3.0895E1)); +#111068=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111069=DIRECTION('',(0.E0,1.E0,0.E0)); +#111070=AXIS2_PLACEMENT_3D('',#111067,#111068,#111069); +#111071=PLANE('',#111070); +#111073=ORIENTED_EDGE('',*,*,#111072,.F.); +#111075=ORIENTED_EDGE('',*,*,#111074,.T.); +#111077=ORIENTED_EDGE('',*,*,#111076,.T.); +#111078=ORIENTED_EDGE('',*,*,#58106,.F.); +#111079=EDGE_LOOP('',(#111073,#111075,#111077,#111078)); +#111080=FACE_OUTER_BOUND('',#111079,.F.); +#111082=CARTESIAN_POINT('',(-6.9E0,-1.72E1,-3.0895E1)); +#111083=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111084=DIRECTION('',(0.E0,1.E0,0.E0)); +#111085=AXIS2_PLACEMENT_3D('',#111082,#111083,#111084); +#111086=PLANE('',#111085); +#111087=ORIENTED_EDGE('',*,*,#111045,.T.); +#111088=ORIENTED_EDGE('',*,*,#59174,.T.); +#111090=ORIENTED_EDGE('',*,*,#111089,.T.); +#111092=ORIENTED_EDGE('',*,*,#111091,.T.); +#111094=ORIENTED_EDGE('',*,*,#111093,.F.); +#111095=ORIENTED_EDGE('',*,*,#111000,.F.); +#111096=EDGE_LOOP('',(#111087,#111088,#111090,#111092,#111094,#111095)); +#111097=FACE_OUTER_BOUND('',#111096,.F.); +#111099=CARTESIAN_POINT('',(-6.75E0,-1.35E1,-3.0895E1)); +#111100=DIRECTION('',(1.E0,0.E0,0.E0)); +#111101=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111102=AXIS2_PLACEMENT_3D('',#111099,#111100,#111101); +#111103=PLANE('',#111102); +#111104=ORIENTED_EDGE('',*,*,#58112,.T.); +#111106=ORIENTED_EDGE('',*,*,#111105,.F.); +#111108=ORIENTED_EDGE('',*,*,#111107,.T.); +#111109=ORIENTED_EDGE('',*,*,#111072,.T.); +#111110=EDGE_LOOP('',(#111104,#111106,#111108,#111109)); +#111111=FACE_OUTER_BOUND('',#111110,.F.); +#111113=CARTESIAN_POINT('',(-6.75E0,-1.35E1,-3.0895E1)); +#111114=DIRECTION('',(1.E0,0.E0,0.E0)); +#111115=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111116=AXIS2_PLACEMENT_3D('',#111113,#111114,#111115); +#111117=PLANE('',#111116); +#111118=ORIENTED_EDGE('',*,*,#58122,.T.); +#111120=ORIENTED_EDGE('',*,*,#111119,.F.); +#111122=ORIENTED_EDGE('',*,*,#111121,.T.); +#111124=ORIENTED_EDGE('',*,*,#111123,.T.); +#111125=EDGE_LOOP('',(#111118,#111120,#111122,#111124)); +#111126=FACE_OUTER_BOUND('',#111125,.F.); +#111128=CARTESIAN_POINT('',(-6.9E0,3.815E0,-3.0265E1)); +#111129=DIRECTION('',(0.E0,0.E0,1.E0)); +#111130=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111131=AXIS2_PLACEMENT_3D('',#111128,#111129,#111130); +#111132=PLANE('',#111131); +#111133=ORIENTED_EDGE('',*,*,#111105,.T.); +#111134=ORIENTED_EDGE('',*,*,#58110,.T.); +#111136=ORIENTED_EDGE('',*,*,#111135,.F.); +#111138=ORIENTED_EDGE('',*,*,#111137,.F.); +#111139=EDGE_LOOP('',(#111133,#111134,#111136,#111138)); +#111140=FACE_OUTER_BOUND('',#111139,.F.); +#111142=CARTESIAN_POINT('',(-6.9E0,3.815E0,-3.0265E1)); +#111143=DIRECTION('',(0.E0,0.E0,1.E0)); +#111144=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111145=AXIS2_PLACEMENT_3D('',#111142,#111143,#111144); +#111146=PLANE('',#111145); +#111147=ORIENTED_EDGE('',*,*,#111048,.F.); +#111148=ORIENTED_EDGE('',*,*,#111011,.F.); +#111150=ORIENTED_EDGE('',*,*,#111149,.T.); +#111152=ORIENTED_EDGE('',*,*,#111151,.T.); +#111154=ORIENTED_EDGE('',*,*,#111153,.F.); +#111155=ORIENTED_EDGE('',*,*,#59170,.F.); +#111156=EDGE_LOOP('',(#111147,#111148,#111150,#111152,#111154,#111155)); +#111157=FACE_OUTER_BOUND('',#111156,.F.); +#111159=CARTESIAN_POINT('',(-6.15E0,-1.72E1,-3.0895E1)); +#111160=DIRECTION('',(-1.E0,0.E0,0.E0)); +#111161=DIRECTION('',(0.E0,1.E0,0.E0)); +#111162=AXIS2_PLACEMENT_3D('',#111159,#111160,#111161); +#111163=PLANE('',#111162); +#111164=ORIENTED_EDGE('',*,*,#58108,.F.); +#111165=ORIENTED_EDGE('',*,*,#111076,.F.); +#111167=ORIENTED_EDGE('',*,*,#111166,.F.); +#111168=ORIENTED_EDGE('',*,*,#111135,.T.); +#111169=EDGE_LOOP('',(#111164,#111165,#111167,#111168)); +#111170=FACE_OUTER_BOUND('',#111169,.F.); +#111172=CARTESIAN_POINT('',(-6.15E0,-1.72E1,-3.0895E1)); +#111173=DIRECTION('',(-1.E0,0.E0,0.E0)); +#111174=DIRECTION('',(0.E0,1.E0,0.E0)); +#111175=AXIS2_PLACEMENT_3D('',#111172,#111173,#111174); +#111176=PLANE('',#111175); +#111177=ORIENTED_EDGE('',*,*,#58118,.F.); +#111179=ORIENTED_EDGE('',*,*,#111178,.F.); +#111181=ORIENTED_EDGE('',*,*,#111180,.F.); +#111183=ORIENTED_EDGE('',*,*,#111182,.T.); +#111184=EDGE_LOOP('',(#111177,#111179,#111181,#111183)); +#111185=FACE_OUTER_BOUND('',#111184,.F.); +#111187=CARTESIAN_POINT('',(-6.9E0,-1.72E1,-3.0265E1)); +#111188=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111189=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111190=AXIS2_PLACEMENT_3D('',#111187,#111188,#111189); +#111191=PLANE('',#111190); +#111192=ORIENTED_EDGE('',*,*,#111107,.F.); +#111193=ORIENTED_EDGE('',*,*,#111137,.T.); +#111194=ORIENTED_EDGE('',*,*,#111166,.T.); +#111195=ORIENTED_EDGE('',*,*,#111074,.F.); +#111196=EDGE_LOOP('',(#111192,#111193,#111194,#111195)); +#111197=FACE_OUTER_BOUND('',#111196,.F.); +#111199=CARTESIAN_POINT('',(-6.9E0,-1.72E1,-2.5815E1)); +#111200=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111201=DIRECTION('',(0.E0,1.E0,0.E0)); +#111202=AXIS2_PLACEMENT_3D('',#111199,#111200,#111201); +#111203=PLANE('',#111202); +#111204=ORIENTED_EDGE('',*,*,#111123,.F.); +#111206=ORIENTED_EDGE('',*,*,#111205,.T.); +#111207=ORIENTED_EDGE('',*,*,#111178,.T.); +#111208=ORIENTED_EDGE('',*,*,#58116,.F.); +#111209=EDGE_LOOP('',(#111204,#111206,#111207,#111208)); +#111210=FACE_OUTER_BOUND('',#111209,.F.); +#111212=CARTESIAN_POINT('',(-6.9E0,-1.72E1,-2.5815E1)); +#111213=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111214=DIRECTION('',(0.E0,1.E0,0.E0)); +#111215=AXIS2_PLACEMENT_3D('',#111212,#111213,#111214); +#111216=PLANE('',#111215); +#111217=ORIENTED_EDGE('',*,*,#111059,.T.); +#111218=ORIENTED_EDGE('',*,*,#59184,.T.); +#111220=ORIENTED_EDGE('',*,*,#111219,.T.); +#111222=ORIENTED_EDGE('',*,*,#111221,.T.); +#111224=ORIENTED_EDGE('',*,*,#111223,.F.); +#111225=ORIENTED_EDGE('',*,*,#111023,.F.); +#111226=EDGE_LOOP('',(#111217,#111218,#111220,#111222,#111224,#111225)); +#111227=FACE_OUTER_BOUND('',#111226,.F.); +#111229=CARTESIAN_POINT('',(-6.9E0,-1.72E1,-2.5185E1)); +#111230=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111231=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111232=AXIS2_PLACEMENT_3D('',#111229,#111230,#111231); +#111233=PLANE('',#111232); +#111234=ORIENTED_EDGE('',*,*,#111121,.F.); +#111236=ORIENTED_EDGE('',*,*,#111235,.T.); +#111237=ORIENTED_EDGE('',*,*,#111180,.T.); +#111238=ORIENTED_EDGE('',*,*,#111205,.F.); +#111239=EDGE_LOOP('',(#111234,#111236,#111237,#111238)); +#111240=FACE_OUTER_BOUND('',#111239,.F.); +#111242=CARTESIAN_POINT('',(-6.9E0,-3.285E0,-2.5185E1)); +#111243=DIRECTION('',(0.E0,0.E0,1.E0)); +#111244=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111245=AXIS2_PLACEMENT_3D('',#111242,#111243,#111244); +#111246=PLANE('',#111245); +#111247=ORIENTED_EDGE('',*,*,#111119,.T.); +#111248=ORIENTED_EDGE('',*,*,#58120,.T.); +#111249=ORIENTED_EDGE('',*,*,#111182,.F.); +#111250=ORIENTED_EDGE('',*,*,#111235,.F.); +#111251=EDGE_LOOP('',(#111247,#111248,#111249,#111250)); +#111252=FACE_OUTER_BOUND('',#111251,.F.); +#111254=CARTESIAN_POINT('',(-6.9E0,-3.285E0,-2.5185E1)); +#111255=DIRECTION('',(0.E0,0.E0,1.E0)); +#111256=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111257=AXIS2_PLACEMENT_3D('',#111254,#111255,#111256); +#111258=PLANE('',#111257); +#111259=ORIENTED_EDGE('',*,*,#111062,.F.); +#111260=ORIENTED_EDGE('',*,*,#111034,.F.); +#111262=ORIENTED_EDGE('',*,*,#111261,.T.); +#111264=ORIENTED_EDGE('',*,*,#111263,.T.); +#111266=ORIENTED_EDGE('',*,*,#111265,.F.); +#111267=ORIENTED_EDGE('',*,*,#59180,.F.); +#111268=EDGE_LOOP('',(#111259,#111260,#111262,#111264,#111266,#111267)); +#111269=FACE_OUTER_BOUND('',#111268,.F.); +#111271=CARTESIAN_POINT('',(-6.9E0,-3.285E0,-2.4685E1)); +#111272=DIRECTION('',(1.E0,0.E0,0.E0)); +#111273=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111274=AXIS2_PLACEMENT_3D('',#111271,#111272,#111273); +#111275=CYLINDRICAL_SURFACE('',#111274,5.E-1); +#111276=ORIENTED_EDGE('',*,*,#111032,.F.); +#111278=ORIENTED_EDGE('',*,*,#111277,.T.); +#111280=ORIENTED_EDGE('',*,*,#111279,.T.); +#111281=ORIENTED_EDGE('',*,*,#111261,.F.); +#111282=EDGE_LOOP('',(#111276,#111278,#111280,#111281)); +#111283=FACE_OUTER_BOUND('',#111282,.F.); +#111285=CARTESIAN_POINT('',(-6.9E0,-2.785E0,-2.36E1)); +#111286=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111287=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111288=AXIS2_PLACEMENT_3D('',#111285,#111286,#111287); +#111289=PLANE('',#111288); +#111290=ORIENTED_EDGE('',*,*,#111030,.F.); +#111291=ORIENTED_EDGE('',*,*,#60218,.T.); +#111293=ORIENTED_EDGE('',*,*,#111292,.T.); +#111294=ORIENTED_EDGE('',*,*,#111277,.F.); +#111295=EDGE_LOOP('',(#111290,#111291,#111293,#111294)); +#111296=FACE_OUTER_BOUND('',#111295,.F.); +#111298=CARTESIAN_POINT('',(-6.E0,6.33E0,0.E0)); +#111299=DIRECTION('',(1.E0,0.E0,0.E0)); +#111300=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111301=AXIS2_PLACEMENT_3D('',#111298,#111299,#111300); +#111302=PLANE('',#111301); +#111304=ORIENTED_EDGE('',*,*,#111303,.T.); +#111305=ORIENTED_EDGE('',*,*,#111151,.F.); +#111307=ORIENTED_EDGE('',*,*,#111306,.F.); +#111309=ORIENTED_EDGE('',*,*,#111308,.F.); +#111310=ORIENTED_EDGE('',*,*,#103787,.T.); +#111312=ORIENTED_EDGE('',*,*,#111311,.F.); +#111314=ORIENTED_EDGE('',*,*,#111313,.F.); +#111315=ORIENTED_EDGE('',*,*,#111091,.F.); +#111316=EDGE_LOOP('',(#111304,#111305,#111307,#111309,#111310,#111312,#111314, +#111315)); +#111317=FACE_OUTER_BOUND('',#111316,.F.); +#111319=CARTESIAN_POINT('',(-6.E0,6.33E0,0.E0)); +#111320=DIRECTION('',(1.E0,0.E0,0.E0)); +#111321=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111322=AXIS2_PLACEMENT_3D('',#111319,#111320,#111321); +#111323=PLANE('',#111322); +#111325=ORIENTED_EDGE('',*,*,#111324,.T.); +#111326=ORIENTED_EDGE('',*,*,#111263,.F.); +#111327=ORIENTED_EDGE('',*,*,#111279,.F.); +#111328=ORIENTED_EDGE('',*,*,#111292,.F.); +#111329=ORIENTED_EDGE('',*,*,#60216,.T.); +#111331=ORIENTED_EDGE('',*,*,#111330,.F.); +#111333=ORIENTED_EDGE('',*,*,#111332,.F.); +#111334=ORIENTED_EDGE('',*,*,#111221,.F.); +#111335=EDGE_LOOP('',(#111325,#111326,#111327,#111328,#111329,#111331,#111333, +#111334)); +#111336=FACE_OUTER_BOUND('',#111335,.F.); +#111338=CARTESIAN_POINT('',(-6.15E0,-1.12E1,-3.0895E1)); +#111339=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#111340=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#111341=AXIS2_PLACEMENT_3D('',#111338,#111339,#111340); +#111342=PLANE('',#111341); +#111343=ORIENTED_EDGE('',*,*,#59172,.T.); +#111344=ORIENTED_EDGE('',*,*,#111153,.T.); +#111345=ORIENTED_EDGE('',*,*,#111303,.F.); +#111346=ORIENTED_EDGE('',*,*,#111089,.F.); +#111347=EDGE_LOOP('',(#111343,#111344,#111345,#111346)); +#111348=FACE_OUTER_BOUND('',#111347,.F.); +#111350=CARTESIAN_POINT('',(-6.15E0,-1.12E1,-3.0895E1)); +#111351=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#111352=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#111353=AXIS2_PLACEMENT_3D('',#111350,#111351,#111352); +#111354=PLANE('',#111353); +#111355=ORIENTED_EDGE('',*,*,#59182,.T.); +#111356=ORIENTED_EDGE('',*,*,#111265,.T.); +#111357=ORIENTED_EDGE('',*,*,#111324,.F.); +#111358=ORIENTED_EDGE('',*,*,#111219,.F.); +#111359=EDGE_LOOP('',(#111355,#111356,#111357,#111358)); +#111360=FACE_OUTER_BOUND('',#111359,.F.); +#111362=CARTESIAN_POINT('',(-6.9E0,3.815E0,-2.9265E1)); +#111363=DIRECTION('',(1.E0,0.E0,0.E0)); +#111364=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111365=AXIS2_PLACEMENT_3D('',#111362,#111363,#111364); +#111366=CYLINDRICAL_SURFACE('',#111365,1.E0); +#111367=ORIENTED_EDGE('',*,*,#111009,.F.); +#111369=ORIENTED_EDGE('',*,*,#111368,.T.); +#111370=ORIENTED_EDGE('',*,*,#111306,.T.); +#111371=ORIENTED_EDGE('',*,*,#111149,.F.); +#111372=EDGE_LOOP('',(#111367,#111369,#111370,#111371)); +#111373=FACE_OUTER_BOUND('',#111372,.F.); +#111375=CARTESIAN_POINT('',(-6.9E0,4.815E0,-2.36E1)); +#111376=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111377=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111378=AXIS2_PLACEMENT_3D('',#111375,#111376,#111377); +#111379=PLANE('',#111378); +#111380=ORIENTED_EDGE('',*,*,#111007,.F.); +#111381=ORIENTED_EDGE('',*,*,#103789,.T.); +#111382=ORIENTED_EDGE('',*,*,#111308,.T.); +#111383=ORIENTED_EDGE('',*,*,#111368,.F.); +#111384=EDGE_LOOP('',(#111380,#111381,#111382,#111383)); +#111385=FACE_OUTER_BOUND('',#111384,.F.); +#111387=CARTESIAN_POINT('',(-6.9E0,5.445E0,-2.9265E1)); +#111388=DIRECTION('',(0.E0,1.E0,0.E0)); +#111389=DIRECTION('',(0.E0,0.E0,1.E0)); +#111390=AXIS2_PLACEMENT_3D('',#111387,#111388,#111389); +#111391=PLANE('',#111390); +#111392=ORIENTED_EDGE('',*,*,#111004,.F.); +#111394=ORIENTED_EDGE('',*,*,#111393,.T.); +#111395=ORIENTED_EDGE('',*,*,#111311,.T.); +#111396=ORIENTED_EDGE('',*,*,#103785,.F.); +#111397=EDGE_LOOP('',(#111392,#111394,#111395,#111396)); +#111398=FACE_OUTER_BOUND('',#111397,.F.); +#111400=CARTESIAN_POINT('',(-6.9E0,3.815E0,-2.9265E1)); +#111401=DIRECTION('',(1.E0,0.E0,0.E0)); +#111402=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111403=AXIS2_PLACEMENT_3D('',#111400,#111401,#111402); +#111404=CYLINDRICAL_SURFACE('',#111403,1.63E0); +#111405=ORIENTED_EDGE('',*,*,#111002,.F.); +#111406=ORIENTED_EDGE('',*,*,#111093,.T.); +#111407=ORIENTED_EDGE('',*,*,#111313,.T.); +#111408=ORIENTED_EDGE('',*,*,#111393,.F.); +#111409=EDGE_LOOP('',(#111405,#111406,#111407,#111408)); +#111410=FACE_OUTER_BOUND('',#111409,.F.); +#111412=CARTESIAN_POINT('',(-6.9E0,-2.155E0,-2.4685E1)); +#111413=DIRECTION('',(0.E0,1.E0,0.E0)); +#111414=DIRECTION('',(0.E0,0.E0,1.E0)); +#111415=AXIS2_PLACEMENT_3D('',#111412,#111413,#111414); +#111416=PLANE('',#111415); +#111417=ORIENTED_EDGE('',*,*,#111027,.F.); +#111419=ORIENTED_EDGE('',*,*,#111418,.T.); +#111420=ORIENTED_EDGE('',*,*,#111330,.T.); +#111421=ORIENTED_EDGE('',*,*,#60214,.F.); +#111422=EDGE_LOOP('',(#111417,#111419,#111420,#111421)); +#111423=FACE_OUTER_BOUND('',#111422,.F.); +#111425=CARTESIAN_POINT('',(-6.9E0,-3.285E0,-2.4685E1)); +#111426=DIRECTION('',(1.E0,0.E0,0.E0)); +#111427=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111428=AXIS2_PLACEMENT_3D('',#111425,#111426,#111427); +#111429=CYLINDRICAL_SURFACE('',#111428,1.13E0); +#111430=ORIENTED_EDGE('',*,*,#111025,.F.); +#111431=ORIENTED_EDGE('',*,*,#111223,.T.); +#111432=ORIENTED_EDGE('',*,*,#111332,.T.); +#111433=ORIENTED_EDGE('',*,*,#111418,.F.); +#111434=EDGE_LOOP('',(#111430,#111431,#111432,#111433)); +#111435=FACE_OUTER_BOUND('',#111434,.F.); +#111437=CARTESIAN_POINT('',(-4.75E0,1.033E1,0.E0)); +#111438=DIRECTION('',(1.E0,0.E0,0.E0)); +#111439=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111440=AXIS2_PLACEMENT_3D('',#111437,#111438,#111439); +#111441=PLANE('',#111440); +#111443=ORIENTED_EDGE('',*,*,#111442,.F.); +#111445=ORIENTED_EDGE('',*,*,#111444,.T.); +#111447=ORIENTED_EDGE('',*,*,#111446,.T.); +#111449=ORIENTED_EDGE('',*,*,#111448,.T.); +#111450=ORIENTED_EDGE('',*,*,#103793,.F.); +#111452=ORIENTED_EDGE('',*,*,#111451,.T.); +#111454=ORIENTED_EDGE('',*,*,#111453,.T.); +#111456=ORIENTED_EDGE('',*,*,#111455,.T.); +#111457=EDGE_LOOP('',(#111443,#111445,#111447,#111449,#111450,#111452,#111454, +#111456)); +#111458=FACE_OUTER_BOUND('',#111457,.F.); +#111460=CARTESIAN_POINT('',(-4.75E0,1.033E1,0.E0)); +#111461=DIRECTION('',(1.E0,0.E0,0.E0)); +#111462=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111463=AXIS2_PLACEMENT_3D('',#111460,#111461,#111462); +#111464=PLANE('',#111463); +#111466=ORIENTED_EDGE('',*,*,#111465,.F.); +#111468=ORIENTED_EDGE('',*,*,#111467,.T.); +#111470=ORIENTED_EDGE('',*,*,#111469,.T.); +#111472=ORIENTED_EDGE('',*,*,#111471,.T.); +#111473=ORIENTED_EDGE('',*,*,#60222,.F.); +#111475=ORIENTED_EDGE('',*,*,#111474,.T.); +#111477=ORIENTED_EDGE('',*,*,#111476,.T.); +#111479=ORIENTED_EDGE('',*,*,#111478,.T.); +#111480=EDGE_LOOP('',(#111466,#111468,#111470,#111472,#111473,#111475,#111477, +#111479)); +#111481=FACE_OUTER_BOUND('',#111480,.F.); +#111483=CARTESIAN_POINT('',(-4.75E0,-1.094019237886E1,-3.0895E1)); +#111484=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#111485=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#111486=AXIS2_PLACEMENT_3D('',#111483,#111484,#111485); +#111487=PLANE('',#111486); +#111488=ORIENTED_EDGE('',*,*,#59206,.F.); +#111490=ORIENTED_EDGE('',*,*,#111489,.F.); +#111491=ORIENTED_EDGE('',*,*,#111442,.T.); +#111493=ORIENTED_EDGE('',*,*,#111492,.T.); +#111494=EDGE_LOOP('',(#111488,#111490,#111491,#111493)); +#111495=FACE_OUTER_BOUND('',#111494,.F.); +#111497=CARTESIAN_POINT('',(-4.75E0,-1.094019237886E1,-3.0895E1)); +#111498=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#111499=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#111500=AXIS2_PLACEMENT_3D('',#111497,#111498,#111499); +#111501=PLANE('',#111500); +#111502=ORIENTED_EDGE('',*,*,#59196,.F.); +#111504=ORIENTED_EDGE('',*,*,#111503,.F.); +#111505=ORIENTED_EDGE('',*,*,#111465,.T.); +#111507=ORIENTED_EDGE('',*,*,#111506,.T.); +#111508=EDGE_LOOP('',(#111502,#111504,#111505,#111507)); +#111509=FACE_OUTER_BOUND('',#111508,.F.); +#111511=CARTESIAN_POINT('',(-4.75E0,-1.72E1,-2.8355E1)); +#111512=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111513=DIRECTION('',(0.E0,1.E0,0.E0)); +#111514=AXIS2_PLACEMENT_3D('',#111511,#111512,#111513); +#111515=PLANE('',#111514); +#111517=ORIENTED_EDGE('',*,*,#111516,.T.); +#111518=ORIENTED_EDGE('',*,*,#58136,.F.); +#111520=ORIENTED_EDGE('',*,*,#111519,.F.); +#111522=ORIENTED_EDGE('',*,*,#111521,.T.); +#111523=EDGE_LOOP('',(#111517,#111518,#111520,#111522)); +#111524=FACE_OUTER_BOUND('',#111523,.F.); +#111526=CARTESIAN_POINT('',(-4.75E0,-1.72E1,-2.8355E1)); +#111527=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111528=DIRECTION('',(0.E0,1.E0,0.E0)); +#111529=AXIS2_PLACEMENT_3D('',#111526,#111527,#111528); +#111530=PLANE('',#111529); +#111532=ORIENTED_EDGE('',*,*,#111531,.T.); +#111534=ORIENTED_EDGE('',*,*,#111533,.T.); +#111536=ORIENTED_EDGE('',*,*,#111535,.F.); +#111537=ORIENTED_EDGE('',*,*,#111444,.F.); +#111538=ORIENTED_EDGE('',*,*,#111489,.T.); +#111539=ORIENTED_EDGE('',*,*,#59204,.T.); +#111540=EDGE_LOOP('',(#111532,#111534,#111536,#111537,#111538,#111539)); +#111541=FACE_OUTER_BOUND('',#111540,.F.); +#111543=CARTESIAN_POINT('',(-4.E0,-1.72E1,-3.0895E1)); +#111544=DIRECTION('',(-1.E0,0.E0,0.E0)); +#111545=DIRECTION('',(0.E0,1.E0,0.E0)); +#111546=AXIS2_PLACEMENT_3D('',#111543,#111544,#111545); +#111547=PLANE('',#111546); +#111548=ORIENTED_EDGE('',*,*,#58138,.F.); +#111549=ORIENTED_EDGE('',*,*,#111516,.F.); +#111551=ORIENTED_EDGE('',*,*,#111550,.F.); +#111553=ORIENTED_EDGE('',*,*,#111552,.T.); +#111554=EDGE_LOOP('',(#111548,#111549,#111551,#111553)); +#111555=FACE_OUTER_BOUND('',#111554,.F.); +#111557=CARTESIAN_POINT('',(-4.E0,-1.72E1,-3.0895E1)); +#111558=DIRECTION('',(-1.E0,0.E0,0.E0)); +#111559=DIRECTION('',(0.E0,1.E0,0.E0)); +#111560=AXIS2_PLACEMENT_3D('',#111557,#111558,#111559); +#111561=PLANE('',#111560); +#111562=ORIENTED_EDGE('',*,*,#58128,.F.); +#111564=ORIENTED_EDGE('',*,*,#111563,.F.); +#111566=ORIENTED_EDGE('',*,*,#111565,.F.); +#111568=ORIENTED_EDGE('',*,*,#111567,.T.); +#111569=EDGE_LOOP('',(#111562,#111564,#111566,#111568)); +#111570=FACE_OUTER_BOUND('',#111569,.F.); +#111572=CARTESIAN_POINT('',(-4.75E0,-1.72E1,-2.7725E1)); +#111573=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111574=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111575=AXIS2_PLACEMENT_3D('',#111572,#111573,#111574); +#111576=PLANE('',#111575); +#111577=ORIENTED_EDGE('',*,*,#111550,.T.); +#111578=ORIENTED_EDGE('',*,*,#111521,.F.); +#111580=ORIENTED_EDGE('',*,*,#111579,.F.); +#111582=ORIENTED_EDGE('',*,*,#111581,.T.); +#111583=EDGE_LOOP('',(#111577,#111578,#111580,#111582)); +#111584=FACE_OUTER_BOUND('',#111583,.F.); +#111586=CARTESIAN_POINT('',(-4.6E0,-1.35E1,-3.0895E1)); +#111587=DIRECTION('',(1.E0,0.E0,0.E0)); +#111588=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111589=AXIS2_PLACEMENT_3D('',#111586,#111587,#111588); +#111590=PLANE('',#111589); +#111591=ORIENTED_EDGE('',*,*,#58142,.T.); +#111593=ORIENTED_EDGE('',*,*,#111592,.F.); +#111594=ORIENTED_EDGE('',*,*,#111579,.T.); +#111595=ORIENTED_EDGE('',*,*,#111519,.T.); +#111596=EDGE_LOOP('',(#111591,#111593,#111594,#111595)); +#111597=FACE_OUTER_BOUND('',#111596,.F.); +#111599=CARTESIAN_POINT('',(-4.6E0,-1.35E1,-3.0895E1)); +#111600=DIRECTION('',(1.E0,0.E0,0.E0)); +#111601=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111602=AXIS2_PLACEMENT_3D('',#111599,#111600,#111601); +#111603=PLANE('',#111602); +#111604=ORIENTED_EDGE('',*,*,#58132,.T.); +#111606=ORIENTED_EDGE('',*,*,#111605,.F.); +#111608=ORIENTED_EDGE('',*,*,#111607,.T.); +#111610=ORIENTED_EDGE('',*,*,#111609,.T.); +#111611=EDGE_LOOP('',(#111604,#111606,#111608,#111610)); +#111612=FACE_OUTER_BOUND('',#111611,.F.); +#111614=CARTESIAN_POINT('',(-4.75E0,3.815E0,-2.7725E1)); +#111615=DIRECTION('',(0.E0,0.E0,1.E0)); +#111616=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111617=AXIS2_PLACEMENT_3D('',#111614,#111615,#111616); +#111618=PLANE('',#111617); +#111619=ORIENTED_EDGE('',*,*,#111552,.F.); +#111620=ORIENTED_EDGE('',*,*,#111581,.F.); +#111621=ORIENTED_EDGE('',*,*,#111592,.T.); +#111622=ORIENTED_EDGE('',*,*,#58140,.T.); +#111623=EDGE_LOOP('',(#111619,#111620,#111621,#111622)); +#111624=FACE_OUTER_BOUND('',#111623,.F.); +#111626=CARTESIAN_POINT('',(-4.75E0,3.815E0,-2.7725E1)); +#111627=DIRECTION('',(0.E0,0.E0,1.E0)); +#111628=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111629=AXIS2_PLACEMENT_3D('',#111626,#111627,#111628); +#111630=PLANE('',#111629); +#111632=ORIENTED_EDGE('',*,*,#111631,.F.); +#111633=ORIENTED_EDGE('',*,*,#59200,.F.); +#111634=ORIENTED_EDGE('',*,*,#111492,.F.); +#111635=ORIENTED_EDGE('',*,*,#111455,.F.); +#111637=ORIENTED_EDGE('',*,*,#111636,.T.); +#111639=ORIENTED_EDGE('',*,*,#111638,.T.); +#111640=EDGE_LOOP('',(#111632,#111633,#111634,#111635,#111637,#111639)); +#111641=FACE_OUTER_BOUND('',#111640,.F.); +#111643=CARTESIAN_POINT('',(-4.E0,-1.12E1,-3.0895E1)); +#111644=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#111645=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#111646=AXIS2_PLACEMENT_3D('',#111643,#111644,#111645); +#111647=PLANE('',#111646); +#111648=ORIENTED_EDGE('',*,*,#59202,.T.); +#111649=ORIENTED_EDGE('',*,*,#111631,.T.); +#111651=ORIENTED_EDGE('',*,*,#111650,.F.); +#111652=ORIENTED_EDGE('',*,*,#111531,.F.); +#111653=EDGE_LOOP('',(#111648,#111649,#111651,#111652)); +#111654=FACE_OUTER_BOUND('',#111653,.F.); +#111656=CARTESIAN_POINT('',(-4.E0,-1.12E1,-3.0895E1)); +#111657=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#111658=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#111659=AXIS2_PLACEMENT_3D('',#111656,#111657,#111658); +#111660=PLANE('',#111659); +#111661=ORIENTED_EDGE('',*,*,#59192,.T.); +#111663=ORIENTED_EDGE('',*,*,#111662,.T.); +#111665=ORIENTED_EDGE('',*,*,#111664,.F.); +#111667=ORIENTED_EDGE('',*,*,#111666,.F.); +#111668=EDGE_LOOP('',(#111661,#111663,#111665,#111667)); +#111669=FACE_OUTER_BOUND('',#111668,.F.); +#111671=CARTESIAN_POINT('',(-3.85E0,1.033E1,0.E0)); +#111672=DIRECTION('',(1.E0,0.E0,0.E0)); +#111673=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111674=AXIS2_PLACEMENT_3D('',#111671,#111672,#111673); +#111675=PLANE('',#111674); +#111676=ORIENTED_EDGE('',*,*,#111650,.T.); +#111677=ORIENTED_EDGE('',*,*,#111638,.F.); +#111679=ORIENTED_EDGE('',*,*,#111678,.F.); +#111681=ORIENTED_EDGE('',*,*,#111680,.F.); +#111682=ORIENTED_EDGE('',*,*,#103797,.T.); +#111684=ORIENTED_EDGE('',*,*,#111683,.F.); +#111686=ORIENTED_EDGE('',*,*,#111685,.F.); +#111687=ORIENTED_EDGE('',*,*,#111533,.F.); +#111688=EDGE_LOOP('',(#111676,#111677,#111679,#111681,#111682,#111684,#111686, +#111687)); +#111689=FACE_OUTER_BOUND('',#111688,.F.); +#111691=CARTESIAN_POINT('',(-3.85E0,1.033E1,0.E0)); +#111692=DIRECTION('',(1.E0,0.E0,0.E0)); +#111693=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111694=AXIS2_PLACEMENT_3D('',#111691,#111692,#111693); +#111695=PLANE('',#111694); +#111696=ORIENTED_EDGE('',*,*,#111664,.T.); +#111698=ORIENTED_EDGE('',*,*,#111697,.F.); +#111700=ORIENTED_EDGE('',*,*,#111699,.F.); +#111702=ORIENTED_EDGE('',*,*,#111701,.F.); +#111703=ORIENTED_EDGE('',*,*,#60226,.T.); +#111705=ORIENTED_EDGE('',*,*,#111704,.F.); +#111707=ORIENTED_EDGE('',*,*,#111706,.F.); +#111709=ORIENTED_EDGE('',*,*,#111708,.F.); +#111710=EDGE_LOOP('',(#111696,#111698,#111700,#111702,#111703,#111705,#111707, +#111709)); +#111711=FACE_OUTER_BOUND('',#111710,.F.); +#111713=CARTESIAN_POINT('',(-4.75E0,3.815E0,-2.6725E1)); +#111714=DIRECTION('',(1.E0,0.E0,0.E0)); +#111715=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111716=AXIS2_PLACEMENT_3D('',#111713,#111714,#111715); +#111717=CYLINDRICAL_SURFACE('',#111716,1.E0); +#111718=ORIENTED_EDGE('',*,*,#111453,.F.); +#111720=ORIENTED_EDGE('',*,*,#111719,.T.); +#111721=ORIENTED_EDGE('',*,*,#111678,.T.); +#111722=ORIENTED_EDGE('',*,*,#111636,.F.); +#111723=EDGE_LOOP('',(#111718,#111720,#111721,#111722)); +#111724=FACE_OUTER_BOUND('',#111723,.F.); +#111726=CARTESIAN_POINT('',(-4.75E0,4.815E0,-2.36E1)); +#111727=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111728=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111729=AXIS2_PLACEMENT_3D('',#111726,#111727,#111728); +#111730=PLANE('',#111729); +#111731=ORIENTED_EDGE('',*,*,#111451,.F.); +#111732=ORIENTED_EDGE('',*,*,#103799,.T.); +#111733=ORIENTED_EDGE('',*,*,#111680,.T.); +#111734=ORIENTED_EDGE('',*,*,#111719,.F.); +#111735=EDGE_LOOP('',(#111731,#111732,#111733,#111734)); +#111736=FACE_OUTER_BOUND('',#111735,.F.); +#111738=CARTESIAN_POINT('',(-4.75E0,5.445E0,-2.6725E1)); +#111739=DIRECTION('',(0.E0,1.E0,0.E0)); +#111740=DIRECTION('',(0.E0,0.E0,1.E0)); +#111741=AXIS2_PLACEMENT_3D('',#111738,#111739,#111740); +#111742=PLANE('',#111741); +#111743=ORIENTED_EDGE('',*,*,#111448,.F.); +#111745=ORIENTED_EDGE('',*,*,#111744,.T.); +#111746=ORIENTED_EDGE('',*,*,#111683,.T.); +#111747=ORIENTED_EDGE('',*,*,#103795,.F.); +#111748=EDGE_LOOP('',(#111743,#111745,#111746,#111747)); +#111749=FACE_OUTER_BOUND('',#111748,.F.); +#111751=CARTESIAN_POINT('',(-4.75E0,3.815E0,-2.6725E1)); +#111752=DIRECTION('',(1.E0,0.E0,0.E0)); +#111753=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111754=AXIS2_PLACEMENT_3D('',#111751,#111752,#111753); +#111755=CYLINDRICAL_SURFACE('',#111754,1.63E0); +#111756=ORIENTED_EDGE('',*,*,#111446,.F.); +#111757=ORIENTED_EDGE('',*,*,#111535,.T.); +#111758=ORIENTED_EDGE('',*,*,#111685,.T.); +#111759=ORIENTED_EDGE('',*,*,#111744,.F.); +#111760=EDGE_LOOP('',(#111756,#111757,#111758,#111759)); +#111761=FACE_OUTER_BOUND('',#111760,.F.); +#111763=CARTESIAN_POINT('',(-4.75E0,-3.285E0,-2.5185E1)); +#111764=DIRECTION('',(0.E0,0.E0,1.E0)); +#111765=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111766=AXIS2_PLACEMENT_3D('',#111763,#111764,#111765); +#111767=PLANE('',#111766); +#111768=ORIENTED_EDGE('',*,*,#111567,.F.); +#111770=ORIENTED_EDGE('',*,*,#111769,.F.); +#111771=ORIENTED_EDGE('',*,*,#111605,.T.); +#111772=ORIENTED_EDGE('',*,*,#58130,.T.); +#111773=EDGE_LOOP('',(#111768,#111770,#111771,#111772)); +#111774=FACE_OUTER_BOUND('',#111773,.F.); +#111776=CARTESIAN_POINT('',(-4.75E0,-3.285E0,-2.5185E1)); +#111777=DIRECTION('',(0.E0,0.E0,1.E0)); +#111778=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111779=AXIS2_PLACEMENT_3D('',#111776,#111777,#111778); +#111780=PLANE('',#111779); +#111781=ORIENTED_EDGE('',*,*,#111662,.F.); +#111782=ORIENTED_EDGE('',*,*,#59190,.F.); +#111783=ORIENTED_EDGE('',*,*,#111506,.F.); +#111784=ORIENTED_EDGE('',*,*,#111478,.F.); +#111786=ORIENTED_EDGE('',*,*,#111785,.T.); +#111787=ORIENTED_EDGE('',*,*,#111697,.T.); +#111788=EDGE_LOOP('',(#111781,#111782,#111783,#111784,#111786,#111787)); +#111789=FACE_OUTER_BOUND('',#111788,.F.); +#111791=CARTESIAN_POINT('',(-4.75E0,-1.72E1,-2.5185E1)); +#111792=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111793=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111794=AXIS2_PLACEMENT_3D('',#111791,#111792,#111793); +#111795=PLANE('',#111794); +#111796=ORIENTED_EDGE('',*,*,#111565,.T.); +#111798=ORIENTED_EDGE('',*,*,#111797,.F.); +#111799=ORIENTED_EDGE('',*,*,#111607,.F.); +#111800=ORIENTED_EDGE('',*,*,#111769,.T.); +#111801=EDGE_LOOP('',(#111796,#111798,#111799,#111800)); +#111802=FACE_OUTER_BOUND('',#111801,.F.); +#111804=CARTESIAN_POINT('',(-4.75E0,-1.72E1,-2.5815E1)); +#111805=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111806=DIRECTION('',(0.E0,1.E0,0.E0)); +#111807=AXIS2_PLACEMENT_3D('',#111804,#111805,#111806); +#111808=PLANE('',#111807); +#111809=ORIENTED_EDGE('',*,*,#111563,.T.); +#111810=ORIENTED_EDGE('',*,*,#58126,.F.); +#111811=ORIENTED_EDGE('',*,*,#111609,.F.); +#111812=ORIENTED_EDGE('',*,*,#111797,.T.); +#111813=EDGE_LOOP('',(#111809,#111810,#111811,#111812)); +#111814=FACE_OUTER_BOUND('',#111813,.F.); +#111816=CARTESIAN_POINT('',(-4.75E0,-1.72E1,-2.5815E1)); +#111817=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111818=DIRECTION('',(0.E0,1.E0,0.E0)); +#111819=AXIS2_PLACEMENT_3D('',#111816,#111817,#111818); +#111820=PLANE('',#111819); +#111821=ORIENTED_EDGE('',*,*,#111666,.T.); +#111822=ORIENTED_EDGE('',*,*,#111708,.T.); +#111824=ORIENTED_EDGE('',*,*,#111823,.F.); +#111825=ORIENTED_EDGE('',*,*,#111467,.F.); +#111826=ORIENTED_EDGE('',*,*,#111503,.T.); +#111827=ORIENTED_EDGE('',*,*,#59194,.T.); +#111828=EDGE_LOOP('',(#111821,#111822,#111824,#111825,#111826,#111827)); +#111829=FACE_OUTER_BOUND('',#111828,.F.); +#111831=CARTESIAN_POINT('',(-4.75E0,-3.285E0,-2.4685E1)); +#111832=DIRECTION('',(1.E0,0.E0,0.E0)); +#111833=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111834=AXIS2_PLACEMENT_3D('',#111831,#111832,#111833); +#111835=CYLINDRICAL_SURFACE('',#111834,1.13E0); +#111836=ORIENTED_EDGE('',*,*,#111469,.F.); +#111837=ORIENTED_EDGE('',*,*,#111823,.T.); +#111838=ORIENTED_EDGE('',*,*,#111706,.T.); +#111840=ORIENTED_EDGE('',*,*,#111839,.F.); +#111841=EDGE_LOOP('',(#111836,#111837,#111838,#111840)); +#111842=FACE_OUTER_BOUND('',#111841,.F.); +#111844=CARTESIAN_POINT('',(-4.75E0,-2.155E0,-2.4685E1)); +#111845=DIRECTION('',(0.E0,1.E0,0.E0)); +#111846=DIRECTION('',(0.E0,0.E0,1.E0)); +#111847=AXIS2_PLACEMENT_3D('',#111844,#111845,#111846); +#111848=PLANE('',#111847); +#111849=ORIENTED_EDGE('',*,*,#111471,.F.); +#111850=ORIENTED_EDGE('',*,*,#111839,.T.); +#111851=ORIENTED_EDGE('',*,*,#111704,.T.); +#111852=ORIENTED_EDGE('',*,*,#60224,.F.); +#111853=EDGE_LOOP('',(#111849,#111850,#111851,#111852)); +#111854=FACE_OUTER_BOUND('',#111853,.F.); +#111856=CARTESIAN_POINT('',(-4.75E0,-3.285E0,-2.4685E1)); +#111857=DIRECTION('',(1.E0,0.E0,0.E0)); +#111858=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111859=AXIS2_PLACEMENT_3D('',#111856,#111857,#111858); +#111860=CYLINDRICAL_SURFACE('',#111859,5.E-1); +#111861=ORIENTED_EDGE('',*,*,#111476,.F.); +#111863=ORIENTED_EDGE('',*,*,#111862,.T.); +#111864=ORIENTED_EDGE('',*,*,#111699,.T.); +#111865=ORIENTED_EDGE('',*,*,#111785,.F.); +#111866=EDGE_LOOP('',(#111861,#111863,#111864,#111865)); +#111867=FACE_OUTER_BOUND('',#111866,.F.); +#111869=CARTESIAN_POINT('',(-4.75E0,-2.785E0,-2.36E1)); +#111870=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111871=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111872=AXIS2_PLACEMENT_3D('',#111869,#111870,#111871); +#111873=PLANE('',#111872); +#111874=ORIENTED_EDGE('',*,*,#111474,.F.); +#111875=ORIENTED_EDGE('',*,*,#60228,.T.); +#111876=ORIENTED_EDGE('',*,*,#111701,.T.); +#111877=ORIENTED_EDGE('',*,*,#111862,.F.); +#111878=EDGE_LOOP('',(#111874,#111875,#111876,#111877)); +#111879=FACE_OUTER_BOUND('',#111878,.F.); +#111881=CARTESIAN_POINT('',(-2.6E0,6.33E0,0.E0)); +#111882=DIRECTION('',(1.E0,0.E0,0.E0)); +#111883=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111884=AXIS2_PLACEMENT_3D('',#111881,#111882,#111883); +#111885=PLANE('',#111884); +#111887=ORIENTED_EDGE('',*,*,#111886,.F.); +#111889=ORIENTED_EDGE('',*,*,#111888,.T.); +#111891=ORIENTED_EDGE('',*,*,#111890,.T.); +#111893=ORIENTED_EDGE('',*,*,#111892,.T.); +#111894=ORIENTED_EDGE('',*,*,#103803,.F.); +#111896=ORIENTED_EDGE('',*,*,#111895,.T.); +#111898=ORIENTED_EDGE('',*,*,#111897,.T.); +#111900=ORIENTED_EDGE('',*,*,#111899,.T.); +#111901=EDGE_LOOP('',(#111887,#111889,#111891,#111893,#111894,#111896,#111898, +#111900)); +#111902=FACE_OUTER_BOUND('',#111901,.F.); +#111904=CARTESIAN_POINT('',(-2.6E0,6.33E0,0.E0)); +#111905=DIRECTION('',(1.E0,0.E0,0.E0)); +#111906=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111907=AXIS2_PLACEMENT_3D('',#111904,#111905,#111906); +#111908=PLANE('',#111907); +#111910=ORIENTED_EDGE('',*,*,#111909,.F.); +#111912=ORIENTED_EDGE('',*,*,#111911,.T.); +#111914=ORIENTED_EDGE('',*,*,#111913,.T.); +#111916=ORIENTED_EDGE('',*,*,#111915,.T.); +#111917=ORIENTED_EDGE('',*,*,#60232,.F.); +#111919=ORIENTED_EDGE('',*,*,#111918,.T.); +#111921=ORIENTED_EDGE('',*,*,#111920,.T.); +#111923=ORIENTED_EDGE('',*,*,#111922,.T.); +#111924=EDGE_LOOP('',(#111910,#111912,#111914,#111916,#111917,#111919,#111921, +#111923)); +#111925=FACE_OUTER_BOUND('',#111924,.F.); +#111927=CARTESIAN_POINT('',(-2.6E0,-1.094019237886E1,-3.0895E1)); +#111928=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#111929=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#111930=AXIS2_PLACEMENT_3D('',#111927,#111928,#111929); +#111931=PLANE('',#111930); +#111932=ORIENTED_EDGE('',*,*,#59216,.F.); +#111934=ORIENTED_EDGE('',*,*,#111933,.F.); +#111935=ORIENTED_EDGE('',*,*,#111886,.T.); +#111937=ORIENTED_EDGE('',*,*,#111936,.T.); +#111938=EDGE_LOOP('',(#111932,#111934,#111935,#111937)); +#111939=FACE_OUTER_BOUND('',#111938,.F.); +#111941=CARTESIAN_POINT('',(-2.6E0,-1.094019237886E1,-3.0895E1)); +#111942=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#111943=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#111944=AXIS2_PLACEMENT_3D('',#111941,#111942,#111943); +#111945=PLANE('',#111944); +#111946=ORIENTED_EDGE('',*,*,#59226,.F.); +#111948=ORIENTED_EDGE('',*,*,#111947,.F.); +#111949=ORIENTED_EDGE('',*,*,#111909,.T.); +#111951=ORIENTED_EDGE('',*,*,#111950,.T.); +#111952=EDGE_LOOP('',(#111946,#111948,#111949,#111951)); +#111953=FACE_OUTER_BOUND('',#111952,.F.); +#111955=CARTESIAN_POINT('',(-2.6E0,-1.72E1,-3.0895E1)); +#111956=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111957=DIRECTION('',(0.E0,1.E0,0.E0)); +#111958=AXIS2_PLACEMENT_3D('',#111955,#111956,#111957); +#111959=PLANE('',#111958); +#111961=ORIENTED_EDGE('',*,*,#111960,.F.); +#111963=ORIENTED_EDGE('',*,*,#111962,.T.); +#111965=ORIENTED_EDGE('',*,*,#111964,.T.); +#111966=ORIENTED_EDGE('',*,*,#58146,.F.); +#111967=EDGE_LOOP('',(#111961,#111963,#111965,#111966)); +#111968=FACE_OUTER_BOUND('',#111967,.F.); +#111970=CARTESIAN_POINT('',(-2.6E0,-1.72E1,-3.0895E1)); +#111971=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111972=DIRECTION('',(0.E0,1.E0,0.E0)); +#111973=AXIS2_PLACEMENT_3D('',#111970,#111971,#111972); +#111974=PLANE('',#111973); +#111975=ORIENTED_EDGE('',*,*,#111933,.T.); +#111976=ORIENTED_EDGE('',*,*,#59214,.T.); +#111978=ORIENTED_EDGE('',*,*,#111977,.T.); +#111980=ORIENTED_EDGE('',*,*,#111979,.T.); +#111982=ORIENTED_EDGE('',*,*,#111981,.F.); +#111983=ORIENTED_EDGE('',*,*,#111888,.F.); +#111984=EDGE_LOOP('',(#111975,#111976,#111978,#111980,#111982,#111983)); +#111985=FACE_OUTER_BOUND('',#111984,.F.); +#111987=CARTESIAN_POINT('',(-2.45E0,-1.35E1,-3.0895E1)); +#111988=DIRECTION('',(1.E0,0.E0,0.E0)); +#111989=DIRECTION('',(0.E0,-1.E0,0.E0)); +#111990=AXIS2_PLACEMENT_3D('',#111987,#111988,#111989); +#111991=PLANE('',#111990); +#111992=ORIENTED_EDGE('',*,*,#58152,.T.); +#111994=ORIENTED_EDGE('',*,*,#111993,.F.); +#111996=ORIENTED_EDGE('',*,*,#111995,.T.); +#111997=ORIENTED_EDGE('',*,*,#111960,.T.); +#111998=EDGE_LOOP('',(#111992,#111994,#111996,#111997)); +#111999=FACE_OUTER_BOUND('',#111998,.F.); +#112001=CARTESIAN_POINT('',(-2.45E0,-1.35E1,-3.0895E1)); +#112002=DIRECTION('',(1.E0,0.E0,0.E0)); +#112003=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112004=AXIS2_PLACEMENT_3D('',#112001,#112002,#112003); +#112005=PLANE('',#112004); +#112006=ORIENTED_EDGE('',*,*,#58162,.T.); +#112008=ORIENTED_EDGE('',*,*,#112007,.F.); +#112010=ORIENTED_EDGE('',*,*,#112009,.T.); +#112012=ORIENTED_EDGE('',*,*,#112011,.T.); +#112013=EDGE_LOOP('',(#112006,#112008,#112010,#112012)); +#112014=FACE_OUTER_BOUND('',#112013,.F.); +#112016=CARTESIAN_POINT('',(-2.6E0,3.815E0,-3.0265E1)); +#112017=DIRECTION('',(0.E0,0.E0,1.E0)); +#112018=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112019=AXIS2_PLACEMENT_3D('',#112016,#112017,#112018); +#112020=PLANE('',#112019); +#112021=ORIENTED_EDGE('',*,*,#111993,.T.); +#112022=ORIENTED_EDGE('',*,*,#58150,.T.); +#112024=ORIENTED_EDGE('',*,*,#112023,.F.); +#112026=ORIENTED_EDGE('',*,*,#112025,.F.); +#112027=EDGE_LOOP('',(#112021,#112022,#112024,#112026)); +#112028=FACE_OUTER_BOUND('',#112027,.F.); +#112030=CARTESIAN_POINT('',(-2.6E0,3.815E0,-3.0265E1)); +#112031=DIRECTION('',(0.E0,0.E0,1.E0)); +#112032=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112033=AXIS2_PLACEMENT_3D('',#112030,#112031,#112032); +#112034=PLANE('',#112033); +#112035=ORIENTED_EDGE('',*,*,#111936,.F.); +#112036=ORIENTED_EDGE('',*,*,#111899,.F.); +#112038=ORIENTED_EDGE('',*,*,#112037,.T.); +#112040=ORIENTED_EDGE('',*,*,#112039,.T.); +#112042=ORIENTED_EDGE('',*,*,#112041,.F.); +#112043=ORIENTED_EDGE('',*,*,#59210,.F.); +#112044=EDGE_LOOP('',(#112035,#112036,#112038,#112040,#112042,#112043)); +#112045=FACE_OUTER_BOUND('',#112044,.F.); +#112047=CARTESIAN_POINT('',(-1.85E0,-1.72E1,-3.0895E1)); +#112048=DIRECTION('',(-1.E0,0.E0,0.E0)); +#112049=DIRECTION('',(0.E0,1.E0,0.E0)); +#112050=AXIS2_PLACEMENT_3D('',#112047,#112048,#112049); +#112051=PLANE('',#112050); +#112052=ORIENTED_EDGE('',*,*,#58148,.F.); +#112053=ORIENTED_EDGE('',*,*,#111964,.F.); +#112055=ORIENTED_EDGE('',*,*,#112054,.F.); +#112056=ORIENTED_EDGE('',*,*,#112023,.T.); +#112057=EDGE_LOOP('',(#112052,#112053,#112055,#112056)); +#112058=FACE_OUTER_BOUND('',#112057,.F.); +#112060=CARTESIAN_POINT('',(-1.85E0,-1.72E1,-3.0895E1)); +#112061=DIRECTION('',(-1.E0,0.E0,0.E0)); +#112062=DIRECTION('',(0.E0,1.E0,0.E0)); +#112063=AXIS2_PLACEMENT_3D('',#112060,#112061,#112062); +#112064=PLANE('',#112063); +#112065=ORIENTED_EDGE('',*,*,#58158,.F.); +#112067=ORIENTED_EDGE('',*,*,#112066,.F.); +#112069=ORIENTED_EDGE('',*,*,#112068,.F.); +#112071=ORIENTED_EDGE('',*,*,#112070,.T.); +#112072=EDGE_LOOP('',(#112065,#112067,#112069,#112071)); +#112073=FACE_OUTER_BOUND('',#112072,.F.); +#112075=CARTESIAN_POINT('',(-2.6E0,-1.72E1,-3.0265E1)); +#112076=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112077=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112078=AXIS2_PLACEMENT_3D('',#112075,#112076,#112077); +#112079=PLANE('',#112078); +#112080=ORIENTED_EDGE('',*,*,#111995,.F.); +#112081=ORIENTED_EDGE('',*,*,#112025,.T.); +#112082=ORIENTED_EDGE('',*,*,#112054,.T.); +#112083=ORIENTED_EDGE('',*,*,#111962,.F.); +#112084=EDGE_LOOP('',(#112080,#112081,#112082,#112083)); +#112085=FACE_OUTER_BOUND('',#112084,.F.); +#112087=CARTESIAN_POINT('',(-2.6E0,-1.72E1,-2.5815E1)); +#112088=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112089=DIRECTION('',(0.E0,1.E0,0.E0)); +#112090=AXIS2_PLACEMENT_3D('',#112087,#112088,#112089); +#112091=PLANE('',#112090); +#112092=ORIENTED_EDGE('',*,*,#112011,.F.); +#112094=ORIENTED_EDGE('',*,*,#112093,.T.); +#112095=ORIENTED_EDGE('',*,*,#112066,.T.); +#112096=ORIENTED_EDGE('',*,*,#58156,.F.); +#112097=EDGE_LOOP('',(#112092,#112094,#112095,#112096)); +#112098=FACE_OUTER_BOUND('',#112097,.F.); +#112100=CARTESIAN_POINT('',(-2.6E0,-1.72E1,-2.5815E1)); +#112101=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112102=DIRECTION('',(0.E0,1.E0,0.E0)); +#112103=AXIS2_PLACEMENT_3D('',#112100,#112101,#112102); +#112104=PLANE('',#112103); +#112105=ORIENTED_EDGE('',*,*,#111947,.T.); +#112106=ORIENTED_EDGE('',*,*,#59224,.T.); +#112108=ORIENTED_EDGE('',*,*,#112107,.T.); +#112110=ORIENTED_EDGE('',*,*,#112109,.T.); +#112112=ORIENTED_EDGE('',*,*,#112111,.F.); +#112113=ORIENTED_EDGE('',*,*,#111911,.F.); +#112114=EDGE_LOOP('',(#112105,#112106,#112108,#112110,#112112,#112113)); +#112115=FACE_OUTER_BOUND('',#112114,.F.); +#112117=CARTESIAN_POINT('',(-2.6E0,-1.72E1,-2.5185E1)); +#112118=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112119=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112120=AXIS2_PLACEMENT_3D('',#112117,#112118,#112119); +#112121=PLANE('',#112120); +#112122=ORIENTED_EDGE('',*,*,#112009,.F.); +#112124=ORIENTED_EDGE('',*,*,#112123,.T.); +#112125=ORIENTED_EDGE('',*,*,#112068,.T.); +#112126=ORIENTED_EDGE('',*,*,#112093,.F.); +#112127=EDGE_LOOP('',(#112122,#112124,#112125,#112126)); +#112128=FACE_OUTER_BOUND('',#112127,.F.); +#112130=CARTESIAN_POINT('',(-2.6E0,-3.285E0,-2.5185E1)); +#112131=DIRECTION('',(0.E0,0.E0,1.E0)); +#112132=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112133=AXIS2_PLACEMENT_3D('',#112130,#112131,#112132); +#112134=PLANE('',#112133); +#112135=ORIENTED_EDGE('',*,*,#112007,.T.); +#112136=ORIENTED_EDGE('',*,*,#58160,.T.); +#112137=ORIENTED_EDGE('',*,*,#112070,.F.); +#112138=ORIENTED_EDGE('',*,*,#112123,.F.); +#112139=EDGE_LOOP('',(#112135,#112136,#112137,#112138)); +#112140=FACE_OUTER_BOUND('',#112139,.F.); +#112142=CARTESIAN_POINT('',(-2.6E0,-3.285E0,-2.5185E1)); +#112143=DIRECTION('',(0.E0,0.E0,1.E0)); +#112144=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112145=AXIS2_PLACEMENT_3D('',#112142,#112143,#112144); +#112146=PLANE('',#112145); +#112147=ORIENTED_EDGE('',*,*,#111950,.F.); +#112148=ORIENTED_EDGE('',*,*,#111922,.F.); +#112150=ORIENTED_EDGE('',*,*,#112149,.T.); +#112152=ORIENTED_EDGE('',*,*,#112151,.T.); +#112154=ORIENTED_EDGE('',*,*,#112153,.F.); +#112155=ORIENTED_EDGE('',*,*,#59220,.F.); +#112156=EDGE_LOOP('',(#112147,#112148,#112150,#112152,#112154,#112155)); +#112157=FACE_OUTER_BOUND('',#112156,.F.); +#112159=CARTESIAN_POINT('',(-2.6E0,-3.285E0,-2.4685E1)); +#112160=DIRECTION('',(1.E0,0.E0,0.E0)); +#112161=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112162=AXIS2_PLACEMENT_3D('',#112159,#112160,#112161); +#112163=CYLINDRICAL_SURFACE('',#112162,5.E-1); +#112164=ORIENTED_EDGE('',*,*,#111920,.F.); +#112166=ORIENTED_EDGE('',*,*,#112165,.T.); +#112168=ORIENTED_EDGE('',*,*,#112167,.T.); +#112169=ORIENTED_EDGE('',*,*,#112149,.F.); +#112170=EDGE_LOOP('',(#112164,#112166,#112168,#112169)); +#112171=FACE_OUTER_BOUND('',#112170,.F.); +#112173=CARTESIAN_POINT('',(-2.6E0,-2.785E0,-2.36E1)); +#112174=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112175=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112176=AXIS2_PLACEMENT_3D('',#112173,#112174,#112175); +#112177=PLANE('',#112176); +#112178=ORIENTED_EDGE('',*,*,#111918,.F.); +#112179=ORIENTED_EDGE('',*,*,#60238,.T.); +#112181=ORIENTED_EDGE('',*,*,#112180,.T.); +#112182=ORIENTED_EDGE('',*,*,#112165,.F.); +#112183=EDGE_LOOP('',(#112178,#112179,#112181,#112182)); +#112184=FACE_OUTER_BOUND('',#112183,.F.); +#112186=CARTESIAN_POINT('',(-1.7E0,6.33E0,0.E0)); +#112187=DIRECTION('',(1.E0,0.E0,0.E0)); +#112188=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112189=AXIS2_PLACEMENT_3D('',#112186,#112187,#112188); +#112190=PLANE('',#112189); +#112192=ORIENTED_EDGE('',*,*,#112191,.T.); +#112193=ORIENTED_EDGE('',*,*,#112039,.F.); +#112195=ORIENTED_EDGE('',*,*,#112194,.F.); +#112197=ORIENTED_EDGE('',*,*,#112196,.F.); +#112198=ORIENTED_EDGE('',*,*,#103807,.T.); +#112200=ORIENTED_EDGE('',*,*,#112199,.F.); +#112202=ORIENTED_EDGE('',*,*,#112201,.F.); +#112203=ORIENTED_EDGE('',*,*,#111979,.F.); +#112204=EDGE_LOOP('',(#112192,#112193,#112195,#112197,#112198,#112200,#112202, +#112203)); +#112205=FACE_OUTER_BOUND('',#112204,.F.); +#112207=CARTESIAN_POINT('',(-1.7E0,6.33E0,0.E0)); +#112208=DIRECTION('',(1.E0,0.E0,0.E0)); +#112209=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112210=AXIS2_PLACEMENT_3D('',#112207,#112208,#112209); +#112211=PLANE('',#112210); +#112213=ORIENTED_EDGE('',*,*,#112212,.T.); +#112214=ORIENTED_EDGE('',*,*,#112151,.F.); +#112215=ORIENTED_EDGE('',*,*,#112167,.F.); +#112216=ORIENTED_EDGE('',*,*,#112180,.F.); +#112217=ORIENTED_EDGE('',*,*,#60236,.T.); +#112219=ORIENTED_EDGE('',*,*,#112218,.F.); +#112221=ORIENTED_EDGE('',*,*,#112220,.F.); +#112222=ORIENTED_EDGE('',*,*,#112109,.F.); +#112223=EDGE_LOOP('',(#112213,#112214,#112215,#112216,#112217,#112219,#112221, +#112222)); +#112224=FACE_OUTER_BOUND('',#112223,.F.); +#112226=CARTESIAN_POINT('',(-1.85E0,-1.12E1,-3.0895E1)); +#112227=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#112228=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#112229=AXIS2_PLACEMENT_3D('',#112226,#112227,#112228); +#112230=PLANE('',#112229); +#112231=ORIENTED_EDGE('',*,*,#59212,.T.); +#112232=ORIENTED_EDGE('',*,*,#112041,.T.); +#112233=ORIENTED_EDGE('',*,*,#112191,.F.); +#112234=ORIENTED_EDGE('',*,*,#111977,.F.); +#112235=EDGE_LOOP('',(#112231,#112232,#112233,#112234)); +#112236=FACE_OUTER_BOUND('',#112235,.F.); +#112238=CARTESIAN_POINT('',(-1.85E0,-1.12E1,-3.0895E1)); +#112239=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#112240=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#112241=AXIS2_PLACEMENT_3D('',#112238,#112239,#112240); +#112242=PLANE('',#112241); +#112243=ORIENTED_EDGE('',*,*,#59222,.T.); +#112244=ORIENTED_EDGE('',*,*,#112153,.T.); +#112245=ORIENTED_EDGE('',*,*,#112212,.F.); +#112246=ORIENTED_EDGE('',*,*,#112107,.F.); +#112247=EDGE_LOOP('',(#112243,#112244,#112245,#112246)); +#112248=FACE_OUTER_BOUND('',#112247,.F.); +#112250=CARTESIAN_POINT('',(-2.6E0,3.815E0,-2.9265E1)); +#112251=DIRECTION('',(1.E0,0.E0,0.E0)); +#112252=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112253=AXIS2_PLACEMENT_3D('',#112250,#112251,#112252); +#112254=CYLINDRICAL_SURFACE('',#112253,1.E0); +#112255=ORIENTED_EDGE('',*,*,#111897,.F.); +#112257=ORIENTED_EDGE('',*,*,#112256,.T.); +#112258=ORIENTED_EDGE('',*,*,#112194,.T.); +#112259=ORIENTED_EDGE('',*,*,#112037,.F.); +#112260=EDGE_LOOP('',(#112255,#112257,#112258,#112259)); +#112261=FACE_OUTER_BOUND('',#112260,.F.); +#112263=CARTESIAN_POINT('',(-2.6E0,4.815E0,-2.36E1)); +#112264=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112265=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112266=AXIS2_PLACEMENT_3D('',#112263,#112264,#112265); +#112267=PLANE('',#112266); +#112268=ORIENTED_EDGE('',*,*,#111895,.F.); +#112269=ORIENTED_EDGE('',*,*,#103809,.T.); +#112270=ORIENTED_EDGE('',*,*,#112196,.T.); +#112271=ORIENTED_EDGE('',*,*,#112256,.F.); +#112272=EDGE_LOOP('',(#112268,#112269,#112270,#112271)); +#112273=FACE_OUTER_BOUND('',#112272,.F.); +#112275=CARTESIAN_POINT('',(-2.6E0,5.445E0,-2.9265E1)); +#112276=DIRECTION('',(0.E0,1.E0,0.E0)); +#112277=DIRECTION('',(0.E0,0.E0,1.E0)); +#112278=AXIS2_PLACEMENT_3D('',#112275,#112276,#112277); +#112279=PLANE('',#112278); +#112280=ORIENTED_EDGE('',*,*,#111892,.F.); +#112282=ORIENTED_EDGE('',*,*,#112281,.T.); +#112283=ORIENTED_EDGE('',*,*,#112199,.T.); +#112284=ORIENTED_EDGE('',*,*,#103805,.F.); +#112285=EDGE_LOOP('',(#112280,#112282,#112283,#112284)); +#112286=FACE_OUTER_BOUND('',#112285,.F.); +#112288=CARTESIAN_POINT('',(-2.6E0,3.815E0,-2.9265E1)); +#112289=DIRECTION('',(1.E0,0.E0,0.E0)); +#112290=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112291=AXIS2_PLACEMENT_3D('',#112288,#112289,#112290); +#112292=CYLINDRICAL_SURFACE('',#112291,1.63E0); +#112293=ORIENTED_EDGE('',*,*,#111890,.F.); +#112294=ORIENTED_EDGE('',*,*,#111981,.T.); +#112295=ORIENTED_EDGE('',*,*,#112201,.T.); +#112296=ORIENTED_EDGE('',*,*,#112281,.F.); +#112297=EDGE_LOOP('',(#112293,#112294,#112295,#112296)); +#112298=FACE_OUTER_BOUND('',#112297,.F.); +#112300=CARTESIAN_POINT('',(-2.6E0,-2.155E0,-2.4685E1)); +#112301=DIRECTION('',(0.E0,1.E0,0.E0)); +#112302=DIRECTION('',(0.E0,0.E0,1.E0)); +#112303=AXIS2_PLACEMENT_3D('',#112300,#112301,#112302); +#112304=PLANE('',#112303); +#112305=ORIENTED_EDGE('',*,*,#111915,.F.); +#112307=ORIENTED_EDGE('',*,*,#112306,.T.); +#112308=ORIENTED_EDGE('',*,*,#112218,.T.); +#112309=ORIENTED_EDGE('',*,*,#60234,.F.); +#112310=EDGE_LOOP('',(#112305,#112307,#112308,#112309)); +#112311=FACE_OUTER_BOUND('',#112310,.F.); +#112313=CARTESIAN_POINT('',(-2.6E0,-3.285E0,-2.4685E1)); +#112314=DIRECTION('',(1.E0,0.E0,0.E0)); +#112315=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112316=AXIS2_PLACEMENT_3D('',#112313,#112314,#112315); +#112317=CYLINDRICAL_SURFACE('',#112316,1.13E0); +#112318=ORIENTED_EDGE('',*,*,#111913,.F.); +#112319=ORIENTED_EDGE('',*,*,#112111,.T.); +#112320=ORIENTED_EDGE('',*,*,#112220,.T.); +#112321=ORIENTED_EDGE('',*,*,#112306,.F.); +#112322=EDGE_LOOP('',(#112318,#112319,#112320,#112321)); +#112323=FACE_OUTER_BOUND('',#112322,.F.); +#112325=CARTESIAN_POINT('',(-4.5E-1,1.033E1,0.E0)); +#112326=DIRECTION('',(1.E0,0.E0,0.E0)); +#112327=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112328=AXIS2_PLACEMENT_3D('',#112325,#112326,#112327); +#112329=PLANE('',#112328); +#112331=ORIENTED_EDGE('',*,*,#112330,.F.); +#112333=ORIENTED_EDGE('',*,*,#112332,.T.); +#112335=ORIENTED_EDGE('',*,*,#112334,.T.); +#112337=ORIENTED_EDGE('',*,*,#112336,.T.); +#112338=ORIENTED_EDGE('',*,*,#103813,.F.); +#112340=ORIENTED_EDGE('',*,*,#112339,.T.); +#112342=ORIENTED_EDGE('',*,*,#112341,.T.); +#112344=ORIENTED_EDGE('',*,*,#112343,.T.); +#112345=EDGE_LOOP('',(#112331,#112333,#112335,#112337,#112338,#112340,#112342, +#112344)); +#112346=FACE_OUTER_BOUND('',#112345,.F.); +#112348=CARTESIAN_POINT('',(-4.5E-1,1.033E1,0.E0)); +#112349=DIRECTION('',(1.E0,0.E0,0.E0)); +#112350=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112351=AXIS2_PLACEMENT_3D('',#112348,#112349,#112350); +#112352=PLANE('',#112351); +#112354=ORIENTED_EDGE('',*,*,#112353,.F.); +#112356=ORIENTED_EDGE('',*,*,#112355,.T.); +#112358=ORIENTED_EDGE('',*,*,#112357,.T.); +#112360=ORIENTED_EDGE('',*,*,#112359,.T.); +#112361=ORIENTED_EDGE('',*,*,#60242,.F.); +#112363=ORIENTED_EDGE('',*,*,#112362,.T.); +#112365=ORIENTED_EDGE('',*,*,#112364,.T.); +#112367=ORIENTED_EDGE('',*,*,#112366,.T.); +#112368=EDGE_LOOP('',(#112354,#112356,#112358,#112360,#112361,#112363,#112365, +#112367)); +#112369=FACE_OUTER_BOUND('',#112368,.F.); +#112371=CARTESIAN_POINT('',(-4.5E-1,-1.094019237886E1,-3.0895E1)); +#112372=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#112373=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#112374=AXIS2_PLACEMENT_3D('',#112371,#112372,#112373); +#112375=PLANE('',#112374); +#112376=ORIENTED_EDGE('',*,*,#59246,.F.); +#112378=ORIENTED_EDGE('',*,*,#112377,.F.); +#112379=ORIENTED_EDGE('',*,*,#112330,.T.); +#112381=ORIENTED_EDGE('',*,*,#112380,.T.); +#112382=EDGE_LOOP('',(#112376,#112378,#112379,#112381)); +#112383=FACE_OUTER_BOUND('',#112382,.F.); +#112385=CARTESIAN_POINT('',(-4.5E-1,-1.094019237886E1,-3.0895E1)); +#112386=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#112387=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#112388=AXIS2_PLACEMENT_3D('',#112385,#112386,#112387); +#112389=PLANE('',#112388); +#112390=ORIENTED_EDGE('',*,*,#59236,.F.); +#112392=ORIENTED_EDGE('',*,*,#112391,.F.); +#112393=ORIENTED_EDGE('',*,*,#112353,.T.); +#112395=ORIENTED_EDGE('',*,*,#112394,.T.); +#112396=EDGE_LOOP('',(#112390,#112392,#112393,#112395)); +#112397=FACE_OUTER_BOUND('',#112396,.F.); +#112399=CARTESIAN_POINT('',(-4.5E-1,-1.72E1,-2.8355E1)); +#112400=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112401=DIRECTION('',(0.E0,1.E0,0.E0)); +#112402=AXIS2_PLACEMENT_3D('',#112399,#112400,#112401); +#112403=PLANE('',#112402); +#112405=ORIENTED_EDGE('',*,*,#112404,.T.); +#112406=ORIENTED_EDGE('',*,*,#58176,.F.); +#112408=ORIENTED_EDGE('',*,*,#112407,.F.); +#112410=ORIENTED_EDGE('',*,*,#112409,.T.); +#112411=EDGE_LOOP('',(#112405,#112406,#112408,#112410)); +#112412=FACE_OUTER_BOUND('',#112411,.F.); +#112414=CARTESIAN_POINT('',(-4.5E-1,-1.72E1,-2.8355E1)); +#112415=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112416=DIRECTION('',(0.E0,1.E0,0.E0)); +#112417=AXIS2_PLACEMENT_3D('',#112414,#112415,#112416); +#112418=PLANE('',#112417); +#112420=ORIENTED_EDGE('',*,*,#112419,.T.); +#112422=ORIENTED_EDGE('',*,*,#112421,.T.); +#112424=ORIENTED_EDGE('',*,*,#112423,.F.); +#112425=ORIENTED_EDGE('',*,*,#112332,.F.); +#112426=ORIENTED_EDGE('',*,*,#112377,.T.); +#112427=ORIENTED_EDGE('',*,*,#59244,.T.); +#112428=EDGE_LOOP('',(#112420,#112422,#112424,#112425,#112426,#112427)); +#112429=FACE_OUTER_BOUND('',#112428,.F.); +#112431=CARTESIAN_POINT('',(3.E-1,-1.72E1,-3.0895E1)); +#112432=DIRECTION('',(-1.E0,0.E0,0.E0)); +#112433=DIRECTION('',(0.E0,1.E0,0.E0)); +#112434=AXIS2_PLACEMENT_3D('',#112431,#112432,#112433); +#112435=PLANE('',#112434); +#112436=ORIENTED_EDGE('',*,*,#58178,.F.); +#112437=ORIENTED_EDGE('',*,*,#112404,.F.); +#112439=ORIENTED_EDGE('',*,*,#112438,.F.); +#112441=ORIENTED_EDGE('',*,*,#112440,.T.); +#112442=EDGE_LOOP('',(#112436,#112437,#112439,#112441)); +#112443=FACE_OUTER_BOUND('',#112442,.F.); +#112445=CARTESIAN_POINT('',(3.E-1,-1.72E1,-3.0895E1)); +#112446=DIRECTION('',(-1.E0,0.E0,0.E0)); +#112447=DIRECTION('',(0.E0,1.E0,0.E0)); +#112448=AXIS2_PLACEMENT_3D('',#112445,#112446,#112447); +#112449=PLANE('',#112448); +#112450=ORIENTED_EDGE('',*,*,#58168,.F.); +#112452=ORIENTED_EDGE('',*,*,#112451,.F.); +#112454=ORIENTED_EDGE('',*,*,#112453,.F.); +#112456=ORIENTED_EDGE('',*,*,#112455,.T.); +#112457=EDGE_LOOP('',(#112450,#112452,#112454,#112456)); +#112458=FACE_OUTER_BOUND('',#112457,.F.); +#112460=CARTESIAN_POINT('',(-4.5E-1,-1.72E1,-2.7725E1)); +#112461=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112462=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112463=AXIS2_PLACEMENT_3D('',#112460,#112461,#112462); +#112464=PLANE('',#112463); +#112465=ORIENTED_EDGE('',*,*,#112438,.T.); +#112466=ORIENTED_EDGE('',*,*,#112409,.F.); +#112468=ORIENTED_EDGE('',*,*,#112467,.F.); +#112470=ORIENTED_EDGE('',*,*,#112469,.T.); +#112471=EDGE_LOOP('',(#112465,#112466,#112468,#112470)); +#112472=FACE_OUTER_BOUND('',#112471,.F.); +#112474=CARTESIAN_POINT('',(-3.E-1,-1.35E1,-3.0895E1)); +#112475=DIRECTION('',(1.E0,0.E0,0.E0)); +#112476=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112477=AXIS2_PLACEMENT_3D('',#112474,#112475,#112476); +#112478=PLANE('',#112477); +#112479=ORIENTED_EDGE('',*,*,#58182,.T.); +#112481=ORIENTED_EDGE('',*,*,#112480,.F.); +#112482=ORIENTED_EDGE('',*,*,#112467,.T.); +#112483=ORIENTED_EDGE('',*,*,#112407,.T.); +#112484=EDGE_LOOP('',(#112479,#112481,#112482,#112483)); +#112485=FACE_OUTER_BOUND('',#112484,.F.); +#112487=CARTESIAN_POINT('',(-3.E-1,-1.35E1,-3.0895E1)); +#112488=DIRECTION('',(1.E0,0.E0,0.E0)); +#112489=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112490=AXIS2_PLACEMENT_3D('',#112487,#112488,#112489); +#112491=PLANE('',#112490); +#112492=ORIENTED_EDGE('',*,*,#58172,.T.); +#112494=ORIENTED_EDGE('',*,*,#112493,.F.); +#112496=ORIENTED_EDGE('',*,*,#112495,.T.); +#112498=ORIENTED_EDGE('',*,*,#112497,.T.); +#112499=EDGE_LOOP('',(#112492,#112494,#112496,#112498)); +#112500=FACE_OUTER_BOUND('',#112499,.F.); +#112502=CARTESIAN_POINT('',(-4.5E-1,3.815E0,-2.7725E1)); +#112503=DIRECTION('',(0.E0,0.E0,1.E0)); +#112504=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112505=AXIS2_PLACEMENT_3D('',#112502,#112503,#112504); +#112506=PLANE('',#112505); +#112507=ORIENTED_EDGE('',*,*,#112440,.F.); +#112508=ORIENTED_EDGE('',*,*,#112469,.F.); +#112509=ORIENTED_EDGE('',*,*,#112480,.T.); +#112510=ORIENTED_EDGE('',*,*,#58180,.T.); +#112511=EDGE_LOOP('',(#112507,#112508,#112509,#112510)); +#112512=FACE_OUTER_BOUND('',#112511,.F.); +#112514=CARTESIAN_POINT('',(-4.5E-1,3.815E0,-2.7725E1)); +#112515=DIRECTION('',(0.E0,0.E0,1.E0)); +#112516=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112517=AXIS2_PLACEMENT_3D('',#112514,#112515,#112516); +#112518=PLANE('',#112517); +#112520=ORIENTED_EDGE('',*,*,#112519,.F.); +#112521=ORIENTED_EDGE('',*,*,#59240,.F.); +#112522=ORIENTED_EDGE('',*,*,#112380,.F.); +#112523=ORIENTED_EDGE('',*,*,#112343,.F.); +#112525=ORIENTED_EDGE('',*,*,#112524,.T.); +#112527=ORIENTED_EDGE('',*,*,#112526,.T.); +#112528=EDGE_LOOP('',(#112520,#112521,#112522,#112523,#112525,#112527)); +#112529=FACE_OUTER_BOUND('',#112528,.F.); +#112531=CARTESIAN_POINT('',(3.E-1,-1.12E1,-3.0895E1)); +#112532=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#112533=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#112534=AXIS2_PLACEMENT_3D('',#112531,#112532,#112533); +#112535=PLANE('',#112534); +#112536=ORIENTED_EDGE('',*,*,#59242,.T.); +#112537=ORIENTED_EDGE('',*,*,#112519,.T.); +#112539=ORIENTED_EDGE('',*,*,#112538,.F.); +#112540=ORIENTED_EDGE('',*,*,#112419,.F.); +#112541=EDGE_LOOP('',(#112536,#112537,#112539,#112540)); +#112542=FACE_OUTER_BOUND('',#112541,.F.); +#112544=CARTESIAN_POINT('',(3.E-1,-1.12E1,-3.0895E1)); +#112545=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#112546=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#112547=AXIS2_PLACEMENT_3D('',#112544,#112545,#112546); +#112548=PLANE('',#112547); +#112549=ORIENTED_EDGE('',*,*,#59232,.T.); +#112551=ORIENTED_EDGE('',*,*,#112550,.T.); +#112553=ORIENTED_EDGE('',*,*,#112552,.F.); +#112555=ORIENTED_EDGE('',*,*,#112554,.F.); +#112556=EDGE_LOOP('',(#112549,#112551,#112553,#112555)); +#112557=FACE_OUTER_BOUND('',#112556,.F.); +#112559=CARTESIAN_POINT('',(4.5E-1,1.033E1,0.E0)); +#112560=DIRECTION('',(1.E0,0.E0,0.E0)); +#112561=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112562=AXIS2_PLACEMENT_3D('',#112559,#112560,#112561); +#112563=PLANE('',#112562); +#112564=ORIENTED_EDGE('',*,*,#112538,.T.); +#112565=ORIENTED_EDGE('',*,*,#112526,.F.); +#112567=ORIENTED_EDGE('',*,*,#112566,.F.); +#112569=ORIENTED_EDGE('',*,*,#112568,.F.); +#112570=ORIENTED_EDGE('',*,*,#103817,.T.); +#112572=ORIENTED_EDGE('',*,*,#112571,.F.); +#112574=ORIENTED_EDGE('',*,*,#112573,.F.); +#112575=ORIENTED_EDGE('',*,*,#112421,.F.); +#112576=EDGE_LOOP('',(#112564,#112565,#112567,#112569,#112570,#112572,#112574, +#112575)); +#112577=FACE_OUTER_BOUND('',#112576,.F.); +#112579=CARTESIAN_POINT('',(4.5E-1,1.033E1,0.E0)); +#112580=DIRECTION('',(1.E0,0.E0,0.E0)); +#112581=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112582=AXIS2_PLACEMENT_3D('',#112579,#112580,#112581); +#112583=PLANE('',#112582); +#112584=ORIENTED_EDGE('',*,*,#112552,.T.); +#112586=ORIENTED_EDGE('',*,*,#112585,.F.); +#112588=ORIENTED_EDGE('',*,*,#112587,.F.); +#112590=ORIENTED_EDGE('',*,*,#112589,.F.); +#112591=ORIENTED_EDGE('',*,*,#60246,.T.); +#112593=ORIENTED_EDGE('',*,*,#112592,.F.); +#112595=ORIENTED_EDGE('',*,*,#112594,.F.); +#112597=ORIENTED_EDGE('',*,*,#112596,.F.); +#112598=EDGE_LOOP('',(#112584,#112586,#112588,#112590,#112591,#112593,#112595, +#112597)); +#112599=FACE_OUTER_BOUND('',#112598,.F.); +#112601=CARTESIAN_POINT('',(-4.5E-1,3.815E0,-2.6725E1)); +#112602=DIRECTION('',(1.E0,0.E0,0.E0)); +#112603=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112604=AXIS2_PLACEMENT_3D('',#112601,#112602,#112603); +#112605=CYLINDRICAL_SURFACE('',#112604,1.E0); +#112606=ORIENTED_EDGE('',*,*,#112341,.F.); +#112608=ORIENTED_EDGE('',*,*,#112607,.T.); +#112609=ORIENTED_EDGE('',*,*,#112566,.T.); +#112610=ORIENTED_EDGE('',*,*,#112524,.F.); +#112611=EDGE_LOOP('',(#112606,#112608,#112609,#112610)); +#112612=FACE_OUTER_BOUND('',#112611,.F.); +#112614=CARTESIAN_POINT('',(-4.5E-1,4.815E0,-2.36E1)); +#112615=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112616=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112617=AXIS2_PLACEMENT_3D('',#112614,#112615,#112616); +#112618=PLANE('',#112617); +#112619=ORIENTED_EDGE('',*,*,#112339,.F.); +#112620=ORIENTED_EDGE('',*,*,#103819,.T.); +#112621=ORIENTED_EDGE('',*,*,#112568,.T.); +#112622=ORIENTED_EDGE('',*,*,#112607,.F.); +#112623=EDGE_LOOP('',(#112619,#112620,#112621,#112622)); +#112624=FACE_OUTER_BOUND('',#112623,.F.); +#112626=CARTESIAN_POINT('',(-4.5E-1,5.445E0,-2.6725E1)); +#112627=DIRECTION('',(0.E0,1.E0,0.E0)); +#112628=DIRECTION('',(0.E0,0.E0,1.E0)); +#112629=AXIS2_PLACEMENT_3D('',#112626,#112627,#112628); +#112630=PLANE('',#112629); +#112631=ORIENTED_EDGE('',*,*,#112336,.F.); +#112633=ORIENTED_EDGE('',*,*,#112632,.T.); +#112634=ORIENTED_EDGE('',*,*,#112571,.T.); +#112635=ORIENTED_EDGE('',*,*,#103815,.F.); +#112636=EDGE_LOOP('',(#112631,#112633,#112634,#112635)); +#112637=FACE_OUTER_BOUND('',#112636,.F.); +#112639=CARTESIAN_POINT('',(-4.5E-1,3.815E0,-2.6725E1)); +#112640=DIRECTION('',(1.E0,0.E0,0.E0)); +#112641=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112642=AXIS2_PLACEMENT_3D('',#112639,#112640,#112641); +#112643=CYLINDRICAL_SURFACE('',#112642,1.63E0); +#112644=ORIENTED_EDGE('',*,*,#112334,.F.); +#112645=ORIENTED_EDGE('',*,*,#112423,.T.); +#112646=ORIENTED_EDGE('',*,*,#112573,.T.); +#112647=ORIENTED_EDGE('',*,*,#112632,.F.); +#112648=EDGE_LOOP('',(#112644,#112645,#112646,#112647)); +#112649=FACE_OUTER_BOUND('',#112648,.F.); +#112651=CARTESIAN_POINT('',(-4.5E-1,-3.285E0,-2.5185E1)); +#112652=DIRECTION('',(0.E0,0.E0,1.E0)); +#112653=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112654=AXIS2_PLACEMENT_3D('',#112651,#112652,#112653); +#112655=PLANE('',#112654); +#112656=ORIENTED_EDGE('',*,*,#112455,.F.); +#112658=ORIENTED_EDGE('',*,*,#112657,.F.); +#112659=ORIENTED_EDGE('',*,*,#112493,.T.); +#112660=ORIENTED_EDGE('',*,*,#58170,.T.); +#112661=EDGE_LOOP('',(#112656,#112658,#112659,#112660)); +#112662=FACE_OUTER_BOUND('',#112661,.F.); +#112664=CARTESIAN_POINT('',(-4.5E-1,-3.285E0,-2.5185E1)); +#112665=DIRECTION('',(0.E0,0.E0,1.E0)); +#112666=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112667=AXIS2_PLACEMENT_3D('',#112664,#112665,#112666); +#112668=PLANE('',#112667); +#112669=ORIENTED_EDGE('',*,*,#112550,.F.); +#112670=ORIENTED_EDGE('',*,*,#59230,.F.); +#112671=ORIENTED_EDGE('',*,*,#112394,.F.); +#112672=ORIENTED_EDGE('',*,*,#112366,.F.); +#112674=ORIENTED_EDGE('',*,*,#112673,.T.); +#112675=ORIENTED_EDGE('',*,*,#112585,.T.); +#112676=EDGE_LOOP('',(#112669,#112670,#112671,#112672,#112674,#112675)); +#112677=FACE_OUTER_BOUND('',#112676,.F.); +#112679=CARTESIAN_POINT('',(-4.5E-1,-1.72E1,-2.5185E1)); +#112680=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112681=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112682=AXIS2_PLACEMENT_3D('',#112679,#112680,#112681); +#112683=PLANE('',#112682); +#112684=ORIENTED_EDGE('',*,*,#112453,.T.); +#112686=ORIENTED_EDGE('',*,*,#112685,.F.); +#112687=ORIENTED_EDGE('',*,*,#112495,.F.); +#112688=ORIENTED_EDGE('',*,*,#112657,.T.); +#112689=EDGE_LOOP('',(#112684,#112686,#112687,#112688)); +#112690=FACE_OUTER_BOUND('',#112689,.F.); +#112692=CARTESIAN_POINT('',(-4.5E-1,-1.72E1,-2.5815E1)); +#112693=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112694=DIRECTION('',(0.E0,1.E0,0.E0)); +#112695=AXIS2_PLACEMENT_3D('',#112692,#112693,#112694); +#112696=PLANE('',#112695); +#112697=ORIENTED_EDGE('',*,*,#112451,.T.); +#112698=ORIENTED_EDGE('',*,*,#58166,.F.); +#112699=ORIENTED_EDGE('',*,*,#112497,.F.); +#112700=ORIENTED_EDGE('',*,*,#112685,.T.); +#112701=EDGE_LOOP('',(#112697,#112698,#112699,#112700)); +#112702=FACE_OUTER_BOUND('',#112701,.F.); +#112704=CARTESIAN_POINT('',(-4.5E-1,-1.72E1,-2.5815E1)); +#112705=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112706=DIRECTION('',(0.E0,1.E0,0.E0)); +#112707=AXIS2_PLACEMENT_3D('',#112704,#112705,#112706); +#112708=PLANE('',#112707); +#112709=ORIENTED_EDGE('',*,*,#112554,.T.); +#112710=ORIENTED_EDGE('',*,*,#112596,.T.); +#112712=ORIENTED_EDGE('',*,*,#112711,.F.); +#112713=ORIENTED_EDGE('',*,*,#112355,.F.); +#112714=ORIENTED_EDGE('',*,*,#112391,.T.); +#112715=ORIENTED_EDGE('',*,*,#59234,.T.); +#112716=EDGE_LOOP('',(#112709,#112710,#112712,#112713,#112714,#112715)); +#112717=FACE_OUTER_BOUND('',#112716,.F.); +#112719=CARTESIAN_POINT('',(-4.5E-1,-3.285E0,-2.4685E1)); +#112720=DIRECTION('',(1.E0,0.E0,0.E0)); +#112721=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112722=AXIS2_PLACEMENT_3D('',#112719,#112720,#112721); +#112723=CYLINDRICAL_SURFACE('',#112722,1.13E0); +#112724=ORIENTED_EDGE('',*,*,#112357,.F.); +#112725=ORIENTED_EDGE('',*,*,#112711,.T.); +#112726=ORIENTED_EDGE('',*,*,#112594,.T.); +#112728=ORIENTED_EDGE('',*,*,#112727,.F.); +#112729=EDGE_LOOP('',(#112724,#112725,#112726,#112728)); +#112730=FACE_OUTER_BOUND('',#112729,.F.); +#112732=CARTESIAN_POINT('',(-4.5E-1,-2.155E0,-2.4685E1)); +#112733=DIRECTION('',(0.E0,1.E0,0.E0)); +#112734=DIRECTION('',(0.E0,0.E0,1.E0)); +#112735=AXIS2_PLACEMENT_3D('',#112732,#112733,#112734); +#112736=PLANE('',#112735); +#112737=ORIENTED_EDGE('',*,*,#112359,.F.); +#112738=ORIENTED_EDGE('',*,*,#112727,.T.); +#112739=ORIENTED_EDGE('',*,*,#112592,.T.); +#112740=ORIENTED_EDGE('',*,*,#60244,.F.); +#112741=EDGE_LOOP('',(#112737,#112738,#112739,#112740)); +#112742=FACE_OUTER_BOUND('',#112741,.F.); +#112744=CARTESIAN_POINT('',(-4.5E-1,-3.285E0,-2.4685E1)); +#112745=DIRECTION('',(1.E0,0.E0,0.E0)); +#112746=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112747=AXIS2_PLACEMENT_3D('',#112744,#112745,#112746); +#112748=CYLINDRICAL_SURFACE('',#112747,5.E-1); +#112749=ORIENTED_EDGE('',*,*,#112364,.F.); +#112751=ORIENTED_EDGE('',*,*,#112750,.T.); +#112752=ORIENTED_EDGE('',*,*,#112587,.T.); +#112753=ORIENTED_EDGE('',*,*,#112673,.F.); +#112754=EDGE_LOOP('',(#112749,#112751,#112752,#112753)); +#112755=FACE_OUTER_BOUND('',#112754,.F.); +#112757=CARTESIAN_POINT('',(-4.5E-1,-2.785E0,-2.36E1)); +#112758=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112759=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112760=AXIS2_PLACEMENT_3D('',#112757,#112758,#112759); +#112761=PLANE('',#112760); +#112762=ORIENTED_EDGE('',*,*,#112362,.F.); +#112763=ORIENTED_EDGE('',*,*,#60248,.T.); +#112764=ORIENTED_EDGE('',*,*,#112589,.T.); +#112765=ORIENTED_EDGE('',*,*,#112750,.F.); +#112766=EDGE_LOOP('',(#112762,#112763,#112764,#112765)); +#112767=FACE_OUTER_BOUND('',#112766,.F.); +#112769=CARTESIAN_POINT('',(1.7E0,6.33E0,0.E0)); +#112770=DIRECTION('',(1.E0,0.E0,0.E0)); +#112771=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112772=AXIS2_PLACEMENT_3D('',#112769,#112770,#112771); +#112773=PLANE('',#112772); +#112775=ORIENTED_EDGE('',*,*,#112774,.F.); +#112777=ORIENTED_EDGE('',*,*,#112776,.T.); +#112779=ORIENTED_EDGE('',*,*,#112778,.T.); +#112781=ORIENTED_EDGE('',*,*,#112780,.T.); +#112782=ORIENTED_EDGE('',*,*,#103823,.F.); +#112784=ORIENTED_EDGE('',*,*,#112783,.T.); +#112786=ORIENTED_EDGE('',*,*,#112785,.T.); +#112788=ORIENTED_EDGE('',*,*,#112787,.T.); +#112789=EDGE_LOOP('',(#112775,#112777,#112779,#112781,#112782,#112784,#112786, +#112788)); +#112790=FACE_OUTER_BOUND('',#112789,.F.); +#112792=CARTESIAN_POINT('',(1.7E0,6.33E0,0.E0)); +#112793=DIRECTION('',(1.E0,0.E0,0.E0)); +#112794=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112795=AXIS2_PLACEMENT_3D('',#112792,#112793,#112794); +#112796=PLANE('',#112795); +#112798=ORIENTED_EDGE('',*,*,#112797,.F.); +#112800=ORIENTED_EDGE('',*,*,#112799,.T.); +#112802=ORIENTED_EDGE('',*,*,#112801,.T.); +#112804=ORIENTED_EDGE('',*,*,#112803,.T.); +#112805=ORIENTED_EDGE('',*,*,#60252,.F.); +#112807=ORIENTED_EDGE('',*,*,#112806,.T.); +#112809=ORIENTED_EDGE('',*,*,#112808,.T.); +#112811=ORIENTED_EDGE('',*,*,#112810,.T.); +#112812=EDGE_LOOP('',(#112798,#112800,#112802,#112804,#112805,#112807,#112809, +#112811)); +#112813=FACE_OUTER_BOUND('',#112812,.F.); +#112815=CARTESIAN_POINT('',(1.7E0,-1.094019237886E1,-3.0895E1)); +#112816=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#112817=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#112818=AXIS2_PLACEMENT_3D('',#112815,#112816,#112817); +#112819=PLANE('',#112818); +#112820=ORIENTED_EDGE('',*,*,#59256,.F.); +#112822=ORIENTED_EDGE('',*,*,#112821,.F.); +#112823=ORIENTED_EDGE('',*,*,#112774,.T.); +#112825=ORIENTED_EDGE('',*,*,#112824,.T.); +#112826=EDGE_LOOP('',(#112820,#112822,#112823,#112825)); +#112827=FACE_OUTER_BOUND('',#112826,.F.); +#112829=CARTESIAN_POINT('',(1.7E0,-1.094019237886E1,-3.0895E1)); +#112830=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#112831=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#112832=AXIS2_PLACEMENT_3D('',#112829,#112830,#112831); +#112833=PLANE('',#112832); +#112834=ORIENTED_EDGE('',*,*,#59266,.F.); +#112836=ORIENTED_EDGE('',*,*,#112835,.F.); +#112837=ORIENTED_EDGE('',*,*,#112797,.T.); +#112839=ORIENTED_EDGE('',*,*,#112838,.T.); +#112840=EDGE_LOOP('',(#112834,#112836,#112837,#112839)); +#112841=FACE_OUTER_BOUND('',#112840,.F.); +#112843=CARTESIAN_POINT('',(1.7E0,-1.72E1,-3.0895E1)); +#112844=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112845=DIRECTION('',(0.E0,1.E0,0.E0)); +#112846=AXIS2_PLACEMENT_3D('',#112843,#112844,#112845); +#112847=PLANE('',#112846); +#112849=ORIENTED_EDGE('',*,*,#112848,.F.); +#112851=ORIENTED_EDGE('',*,*,#112850,.T.); +#112853=ORIENTED_EDGE('',*,*,#112852,.T.); +#112854=ORIENTED_EDGE('',*,*,#58186,.F.); +#112855=EDGE_LOOP('',(#112849,#112851,#112853,#112854)); +#112856=FACE_OUTER_BOUND('',#112855,.F.); +#112858=CARTESIAN_POINT('',(1.7E0,-1.72E1,-3.0895E1)); +#112859=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112860=DIRECTION('',(0.E0,1.E0,0.E0)); +#112861=AXIS2_PLACEMENT_3D('',#112858,#112859,#112860); +#112862=PLANE('',#112861); +#112863=ORIENTED_EDGE('',*,*,#112821,.T.); +#112864=ORIENTED_EDGE('',*,*,#59254,.T.); +#112866=ORIENTED_EDGE('',*,*,#112865,.T.); +#112868=ORIENTED_EDGE('',*,*,#112867,.T.); +#112870=ORIENTED_EDGE('',*,*,#112869,.F.); +#112871=ORIENTED_EDGE('',*,*,#112776,.F.); +#112872=EDGE_LOOP('',(#112863,#112864,#112866,#112868,#112870,#112871)); +#112873=FACE_OUTER_BOUND('',#112872,.F.); +#112875=CARTESIAN_POINT('',(1.85E0,-1.35E1,-3.0895E1)); +#112876=DIRECTION('',(1.E0,0.E0,0.E0)); +#112877=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112878=AXIS2_PLACEMENT_3D('',#112875,#112876,#112877); +#112879=PLANE('',#112878); +#112880=ORIENTED_EDGE('',*,*,#58192,.T.); +#112882=ORIENTED_EDGE('',*,*,#112881,.F.); +#112884=ORIENTED_EDGE('',*,*,#112883,.T.); +#112885=ORIENTED_EDGE('',*,*,#112848,.T.); +#112886=EDGE_LOOP('',(#112880,#112882,#112884,#112885)); +#112887=FACE_OUTER_BOUND('',#112886,.F.); +#112889=CARTESIAN_POINT('',(1.85E0,-1.35E1,-3.0895E1)); +#112890=DIRECTION('',(1.E0,0.E0,0.E0)); +#112891=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112892=AXIS2_PLACEMENT_3D('',#112889,#112890,#112891); +#112893=PLANE('',#112892); +#112894=ORIENTED_EDGE('',*,*,#58202,.T.); +#112896=ORIENTED_EDGE('',*,*,#112895,.F.); +#112898=ORIENTED_EDGE('',*,*,#112897,.T.); +#112900=ORIENTED_EDGE('',*,*,#112899,.T.); +#112901=EDGE_LOOP('',(#112894,#112896,#112898,#112900)); +#112902=FACE_OUTER_BOUND('',#112901,.F.); +#112904=CARTESIAN_POINT('',(1.7E0,3.815E0,-3.0265E1)); +#112905=DIRECTION('',(0.E0,0.E0,1.E0)); +#112906=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112907=AXIS2_PLACEMENT_3D('',#112904,#112905,#112906); +#112908=PLANE('',#112907); +#112909=ORIENTED_EDGE('',*,*,#112881,.T.); +#112910=ORIENTED_EDGE('',*,*,#58190,.T.); +#112912=ORIENTED_EDGE('',*,*,#112911,.F.); +#112914=ORIENTED_EDGE('',*,*,#112913,.F.); +#112915=EDGE_LOOP('',(#112909,#112910,#112912,#112914)); +#112916=FACE_OUTER_BOUND('',#112915,.F.); +#112918=CARTESIAN_POINT('',(1.7E0,3.815E0,-3.0265E1)); +#112919=DIRECTION('',(0.E0,0.E0,1.E0)); +#112920=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112921=AXIS2_PLACEMENT_3D('',#112918,#112919,#112920); +#112922=PLANE('',#112921); +#112923=ORIENTED_EDGE('',*,*,#112824,.F.); +#112924=ORIENTED_EDGE('',*,*,#112787,.F.); +#112926=ORIENTED_EDGE('',*,*,#112925,.T.); +#112928=ORIENTED_EDGE('',*,*,#112927,.T.); +#112930=ORIENTED_EDGE('',*,*,#112929,.F.); +#112931=ORIENTED_EDGE('',*,*,#59250,.F.); +#112932=EDGE_LOOP('',(#112923,#112924,#112926,#112928,#112930,#112931)); +#112933=FACE_OUTER_BOUND('',#112932,.F.); +#112935=CARTESIAN_POINT('',(2.45E0,-1.72E1,-3.0895E1)); +#112936=DIRECTION('',(-1.E0,0.E0,0.E0)); +#112937=DIRECTION('',(0.E0,1.E0,0.E0)); +#112938=AXIS2_PLACEMENT_3D('',#112935,#112936,#112937); +#112939=PLANE('',#112938); +#112940=ORIENTED_EDGE('',*,*,#58188,.F.); +#112941=ORIENTED_EDGE('',*,*,#112852,.F.); +#112943=ORIENTED_EDGE('',*,*,#112942,.F.); +#112944=ORIENTED_EDGE('',*,*,#112911,.T.); +#112945=EDGE_LOOP('',(#112940,#112941,#112943,#112944)); +#112946=FACE_OUTER_BOUND('',#112945,.F.); +#112948=CARTESIAN_POINT('',(2.45E0,-1.72E1,-3.0895E1)); +#112949=DIRECTION('',(-1.E0,0.E0,0.E0)); +#112950=DIRECTION('',(0.E0,1.E0,0.E0)); +#112951=AXIS2_PLACEMENT_3D('',#112948,#112949,#112950); +#112952=PLANE('',#112951); +#112953=ORIENTED_EDGE('',*,*,#58198,.F.); +#112955=ORIENTED_EDGE('',*,*,#112954,.F.); +#112957=ORIENTED_EDGE('',*,*,#112956,.F.); +#112959=ORIENTED_EDGE('',*,*,#112958,.T.); +#112960=EDGE_LOOP('',(#112953,#112955,#112957,#112959)); +#112961=FACE_OUTER_BOUND('',#112960,.F.); +#112963=CARTESIAN_POINT('',(1.7E0,-1.72E1,-3.0265E1)); +#112964=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112965=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112966=AXIS2_PLACEMENT_3D('',#112963,#112964,#112965); +#112967=PLANE('',#112966); +#112968=ORIENTED_EDGE('',*,*,#112883,.F.); +#112969=ORIENTED_EDGE('',*,*,#112913,.T.); +#112970=ORIENTED_EDGE('',*,*,#112942,.T.); +#112971=ORIENTED_EDGE('',*,*,#112850,.F.); +#112972=EDGE_LOOP('',(#112968,#112969,#112970,#112971)); +#112973=FACE_OUTER_BOUND('',#112972,.F.); +#112975=CARTESIAN_POINT('',(1.7E0,-1.72E1,-2.5815E1)); +#112976=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112977=DIRECTION('',(0.E0,1.E0,0.E0)); +#112978=AXIS2_PLACEMENT_3D('',#112975,#112976,#112977); +#112979=PLANE('',#112978); +#112980=ORIENTED_EDGE('',*,*,#112899,.F.); +#112982=ORIENTED_EDGE('',*,*,#112981,.T.); +#112983=ORIENTED_EDGE('',*,*,#112954,.T.); +#112984=ORIENTED_EDGE('',*,*,#58196,.F.); +#112985=EDGE_LOOP('',(#112980,#112982,#112983,#112984)); +#112986=FACE_OUTER_BOUND('',#112985,.F.); +#112988=CARTESIAN_POINT('',(1.7E0,-1.72E1,-2.5815E1)); +#112989=DIRECTION('',(0.E0,0.E0,-1.E0)); +#112990=DIRECTION('',(0.E0,1.E0,0.E0)); +#112991=AXIS2_PLACEMENT_3D('',#112988,#112989,#112990); +#112992=PLANE('',#112991); +#112993=ORIENTED_EDGE('',*,*,#112835,.T.); +#112994=ORIENTED_EDGE('',*,*,#59264,.T.); +#112996=ORIENTED_EDGE('',*,*,#112995,.T.); +#112998=ORIENTED_EDGE('',*,*,#112997,.T.); +#113000=ORIENTED_EDGE('',*,*,#112999,.F.); +#113001=ORIENTED_EDGE('',*,*,#112799,.F.); +#113002=EDGE_LOOP('',(#112993,#112994,#112996,#112998,#113000,#113001)); +#113003=FACE_OUTER_BOUND('',#113002,.F.); +#113005=CARTESIAN_POINT('',(1.7E0,-1.72E1,-2.5185E1)); +#113006=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113007=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113008=AXIS2_PLACEMENT_3D('',#113005,#113006,#113007); +#113009=PLANE('',#113008); +#113010=ORIENTED_EDGE('',*,*,#112897,.F.); +#113012=ORIENTED_EDGE('',*,*,#113011,.T.); +#113013=ORIENTED_EDGE('',*,*,#112956,.T.); +#113014=ORIENTED_EDGE('',*,*,#112981,.F.); +#113015=EDGE_LOOP('',(#113010,#113012,#113013,#113014)); +#113016=FACE_OUTER_BOUND('',#113015,.F.); +#113018=CARTESIAN_POINT('',(1.7E0,-3.285E0,-2.5185E1)); +#113019=DIRECTION('',(0.E0,0.E0,1.E0)); +#113020=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113021=AXIS2_PLACEMENT_3D('',#113018,#113019,#113020); +#113022=PLANE('',#113021); +#113023=ORIENTED_EDGE('',*,*,#112895,.T.); +#113024=ORIENTED_EDGE('',*,*,#58200,.T.); +#113025=ORIENTED_EDGE('',*,*,#112958,.F.); +#113026=ORIENTED_EDGE('',*,*,#113011,.F.); +#113027=EDGE_LOOP('',(#113023,#113024,#113025,#113026)); +#113028=FACE_OUTER_BOUND('',#113027,.F.); +#113030=CARTESIAN_POINT('',(1.7E0,-3.285E0,-2.5185E1)); +#113031=DIRECTION('',(0.E0,0.E0,1.E0)); +#113032=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113033=AXIS2_PLACEMENT_3D('',#113030,#113031,#113032); +#113034=PLANE('',#113033); +#113035=ORIENTED_EDGE('',*,*,#112838,.F.); +#113036=ORIENTED_EDGE('',*,*,#112810,.F.); +#113038=ORIENTED_EDGE('',*,*,#113037,.T.); +#113040=ORIENTED_EDGE('',*,*,#113039,.T.); +#113042=ORIENTED_EDGE('',*,*,#113041,.F.); +#113043=ORIENTED_EDGE('',*,*,#59260,.F.); +#113044=EDGE_LOOP('',(#113035,#113036,#113038,#113040,#113042,#113043)); +#113045=FACE_OUTER_BOUND('',#113044,.F.); +#113047=CARTESIAN_POINT('',(1.7E0,-3.285E0,-2.4685E1)); +#113048=DIRECTION('',(1.E0,0.E0,0.E0)); +#113049=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113050=AXIS2_PLACEMENT_3D('',#113047,#113048,#113049); +#113051=CYLINDRICAL_SURFACE('',#113050,5.E-1); +#113052=ORIENTED_EDGE('',*,*,#112808,.F.); +#113054=ORIENTED_EDGE('',*,*,#113053,.T.); +#113056=ORIENTED_EDGE('',*,*,#113055,.T.); +#113057=ORIENTED_EDGE('',*,*,#113037,.F.); +#113058=EDGE_LOOP('',(#113052,#113054,#113056,#113057)); +#113059=FACE_OUTER_BOUND('',#113058,.F.); +#113061=CARTESIAN_POINT('',(1.7E0,-2.785E0,-2.36E1)); +#113062=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113063=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113064=AXIS2_PLACEMENT_3D('',#113061,#113062,#113063); +#113065=PLANE('',#113064); +#113066=ORIENTED_EDGE('',*,*,#112806,.F.); +#113067=ORIENTED_EDGE('',*,*,#60258,.T.); +#113069=ORIENTED_EDGE('',*,*,#113068,.T.); +#113070=ORIENTED_EDGE('',*,*,#113053,.F.); +#113071=EDGE_LOOP('',(#113066,#113067,#113069,#113070)); +#113072=FACE_OUTER_BOUND('',#113071,.F.); +#113074=CARTESIAN_POINT('',(2.6E0,6.33E0,0.E0)); +#113075=DIRECTION('',(1.E0,0.E0,0.E0)); +#113076=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113077=AXIS2_PLACEMENT_3D('',#113074,#113075,#113076); +#113078=PLANE('',#113077); +#113080=ORIENTED_EDGE('',*,*,#113079,.T.); +#113081=ORIENTED_EDGE('',*,*,#112927,.F.); +#113083=ORIENTED_EDGE('',*,*,#113082,.F.); +#113085=ORIENTED_EDGE('',*,*,#113084,.F.); +#113086=ORIENTED_EDGE('',*,*,#103827,.T.); +#113088=ORIENTED_EDGE('',*,*,#113087,.F.); +#113090=ORIENTED_EDGE('',*,*,#113089,.F.); +#113091=ORIENTED_EDGE('',*,*,#112867,.F.); +#113092=EDGE_LOOP('',(#113080,#113081,#113083,#113085,#113086,#113088,#113090, +#113091)); +#113093=FACE_OUTER_BOUND('',#113092,.F.); +#113095=CARTESIAN_POINT('',(2.6E0,6.33E0,0.E0)); +#113096=DIRECTION('',(1.E0,0.E0,0.E0)); +#113097=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113098=AXIS2_PLACEMENT_3D('',#113095,#113096,#113097); +#113099=PLANE('',#113098); +#113101=ORIENTED_EDGE('',*,*,#113100,.T.); +#113102=ORIENTED_EDGE('',*,*,#113039,.F.); +#113103=ORIENTED_EDGE('',*,*,#113055,.F.); +#113104=ORIENTED_EDGE('',*,*,#113068,.F.); +#113105=ORIENTED_EDGE('',*,*,#60256,.T.); +#113107=ORIENTED_EDGE('',*,*,#113106,.F.); +#113109=ORIENTED_EDGE('',*,*,#113108,.F.); +#113110=ORIENTED_EDGE('',*,*,#112997,.F.); +#113111=EDGE_LOOP('',(#113101,#113102,#113103,#113104,#113105,#113107,#113109, +#113110)); +#113112=FACE_OUTER_BOUND('',#113111,.F.); +#113114=CARTESIAN_POINT('',(2.45E0,-1.12E1,-3.0895E1)); +#113115=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#113116=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#113117=AXIS2_PLACEMENT_3D('',#113114,#113115,#113116); +#113118=PLANE('',#113117); +#113119=ORIENTED_EDGE('',*,*,#59252,.T.); +#113120=ORIENTED_EDGE('',*,*,#112929,.T.); +#113121=ORIENTED_EDGE('',*,*,#113079,.F.); +#113122=ORIENTED_EDGE('',*,*,#112865,.F.); +#113123=EDGE_LOOP('',(#113119,#113120,#113121,#113122)); +#113124=FACE_OUTER_BOUND('',#113123,.F.); +#113126=CARTESIAN_POINT('',(2.45E0,-1.12E1,-3.0895E1)); +#113127=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#113128=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#113129=AXIS2_PLACEMENT_3D('',#113126,#113127,#113128); +#113130=PLANE('',#113129); +#113131=ORIENTED_EDGE('',*,*,#59262,.T.); +#113132=ORIENTED_EDGE('',*,*,#113041,.T.); +#113133=ORIENTED_EDGE('',*,*,#113100,.F.); +#113134=ORIENTED_EDGE('',*,*,#112995,.F.); +#113135=EDGE_LOOP('',(#113131,#113132,#113133,#113134)); +#113136=FACE_OUTER_BOUND('',#113135,.F.); +#113138=CARTESIAN_POINT('',(1.7E0,3.815E0,-2.9265E1)); +#113139=DIRECTION('',(1.E0,0.E0,0.E0)); +#113140=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113141=AXIS2_PLACEMENT_3D('',#113138,#113139,#113140); +#113142=CYLINDRICAL_SURFACE('',#113141,1.E0); +#113143=ORIENTED_EDGE('',*,*,#112785,.F.); +#113145=ORIENTED_EDGE('',*,*,#113144,.T.); +#113146=ORIENTED_EDGE('',*,*,#113082,.T.); +#113147=ORIENTED_EDGE('',*,*,#112925,.F.); +#113148=EDGE_LOOP('',(#113143,#113145,#113146,#113147)); +#113149=FACE_OUTER_BOUND('',#113148,.F.); +#113151=CARTESIAN_POINT('',(1.7E0,4.815E0,-2.36E1)); +#113152=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113153=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113154=AXIS2_PLACEMENT_3D('',#113151,#113152,#113153); +#113155=PLANE('',#113154); +#113156=ORIENTED_EDGE('',*,*,#112783,.F.); +#113157=ORIENTED_EDGE('',*,*,#103829,.T.); +#113158=ORIENTED_EDGE('',*,*,#113084,.T.); +#113159=ORIENTED_EDGE('',*,*,#113144,.F.); +#113160=EDGE_LOOP('',(#113156,#113157,#113158,#113159)); +#113161=FACE_OUTER_BOUND('',#113160,.F.); +#113163=CARTESIAN_POINT('',(1.7E0,5.445E0,-2.9265E1)); +#113164=DIRECTION('',(0.E0,1.E0,0.E0)); +#113165=DIRECTION('',(0.E0,0.E0,1.E0)); +#113166=AXIS2_PLACEMENT_3D('',#113163,#113164,#113165); +#113167=PLANE('',#113166); +#113168=ORIENTED_EDGE('',*,*,#112780,.F.); +#113170=ORIENTED_EDGE('',*,*,#113169,.T.); +#113171=ORIENTED_EDGE('',*,*,#113087,.T.); +#113172=ORIENTED_EDGE('',*,*,#103825,.F.); +#113173=EDGE_LOOP('',(#113168,#113170,#113171,#113172)); +#113174=FACE_OUTER_BOUND('',#113173,.F.); +#113176=CARTESIAN_POINT('',(1.7E0,3.815E0,-2.9265E1)); +#113177=DIRECTION('',(1.E0,0.E0,0.E0)); +#113178=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113179=AXIS2_PLACEMENT_3D('',#113176,#113177,#113178); +#113180=CYLINDRICAL_SURFACE('',#113179,1.63E0); +#113181=ORIENTED_EDGE('',*,*,#112778,.F.); +#113182=ORIENTED_EDGE('',*,*,#112869,.T.); +#113183=ORIENTED_EDGE('',*,*,#113089,.T.); +#113184=ORIENTED_EDGE('',*,*,#113169,.F.); +#113185=EDGE_LOOP('',(#113181,#113182,#113183,#113184)); +#113186=FACE_OUTER_BOUND('',#113185,.F.); +#113188=CARTESIAN_POINT('',(1.7E0,-2.155E0,-2.4685E1)); +#113189=DIRECTION('',(0.E0,1.E0,0.E0)); +#113190=DIRECTION('',(0.E0,0.E0,1.E0)); +#113191=AXIS2_PLACEMENT_3D('',#113188,#113189,#113190); +#113192=PLANE('',#113191); +#113193=ORIENTED_EDGE('',*,*,#112803,.F.); +#113195=ORIENTED_EDGE('',*,*,#113194,.T.); +#113196=ORIENTED_EDGE('',*,*,#113106,.T.); +#113197=ORIENTED_EDGE('',*,*,#60254,.F.); +#113198=EDGE_LOOP('',(#113193,#113195,#113196,#113197)); +#113199=FACE_OUTER_BOUND('',#113198,.F.); +#113201=CARTESIAN_POINT('',(1.7E0,-3.285E0,-2.4685E1)); +#113202=DIRECTION('',(1.E0,0.E0,0.E0)); +#113203=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113204=AXIS2_PLACEMENT_3D('',#113201,#113202,#113203); +#113205=CYLINDRICAL_SURFACE('',#113204,1.13E0); +#113206=ORIENTED_EDGE('',*,*,#112801,.F.); +#113207=ORIENTED_EDGE('',*,*,#112999,.T.); +#113208=ORIENTED_EDGE('',*,*,#113108,.T.); +#113209=ORIENTED_EDGE('',*,*,#113194,.F.); +#113210=EDGE_LOOP('',(#113206,#113207,#113208,#113209)); +#113211=FACE_OUTER_BOUND('',#113210,.F.); +#113213=CARTESIAN_POINT('',(3.85E0,1.033E1,0.E0)); +#113214=DIRECTION('',(1.E0,0.E0,0.E0)); +#113215=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113216=AXIS2_PLACEMENT_3D('',#113213,#113214,#113215); +#113217=PLANE('',#113216); +#113219=ORIENTED_EDGE('',*,*,#113218,.F.); +#113221=ORIENTED_EDGE('',*,*,#113220,.T.); +#113223=ORIENTED_EDGE('',*,*,#113222,.T.); +#113225=ORIENTED_EDGE('',*,*,#113224,.T.); +#113226=ORIENTED_EDGE('',*,*,#103833,.F.); +#113228=ORIENTED_EDGE('',*,*,#113227,.T.); +#113230=ORIENTED_EDGE('',*,*,#113229,.T.); +#113232=ORIENTED_EDGE('',*,*,#113231,.T.); +#113233=EDGE_LOOP('',(#113219,#113221,#113223,#113225,#113226,#113228,#113230, +#113232)); +#113234=FACE_OUTER_BOUND('',#113233,.F.); +#113236=CARTESIAN_POINT('',(3.85E0,1.033E1,0.E0)); +#113237=DIRECTION('',(1.E0,0.E0,0.E0)); +#113238=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113239=AXIS2_PLACEMENT_3D('',#113236,#113237,#113238); +#113240=PLANE('',#113239); +#113242=ORIENTED_EDGE('',*,*,#113241,.F.); +#113244=ORIENTED_EDGE('',*,*,#113243,.T.); +#113246=ORIENTED_EDGE('',*,*,#113245,.T.); +#113248=ORIENTED_EDGE('',*,*,#113247,.T.); +#113249=ORIENTED_EDGE('',*,*,#60262,.F.); +#113251=ORIENTED_EDGE('',*,*,#113250,.T.); +#113253=ORIENTED_EDGE('',*,*,#113252,.T.); +#113255=ORIENTED_EDGE('',*,*,#113254,.T.); +#113256=EDGE_LOOP('',(#113242,#113244,#113246,#113248,#113249,#113251,#113253, +#113255)); +#113257=FACE_OUTER_BOUND('',#113256,.F.); +#113259=CARTESIAN_POINT('',(3.85E0,-1.094019237886E1,-3.0895E1)); +#113260=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#113261=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#113262=AXIS2_PLACEMENT_3D('',#113259,#113260,#113261); +#113263=PLANE('',#113262); +#113264=ORIENTED_EDGE('',*,*,#59286,.F.); +#113266=ORIENTED_EDGE('',*,*,#113265,.F.); +#113267=ORIENTED_EDGE('',*,*,#113218,.T.); +#113269=ORIENTED_EDGE('',*,*,#113268,.T.); +#113270=EDGE_LOOP('',(#113264,#113266,#113267,#113269)); +#113271=FACE_OUTER_BOUND('',#113270,.F.); +#113273=CARTESIAN_POINT('',(3.85E0,-1.094019237886E1,-3.0895E1)); +#113274=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#113275=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#113276=AXIS2_PLACEMENT_3D('',#113273,#113274,#113275); +#113277=PLANE('',#113276); +#113278=ORIENTED_EDGE('',*,*,#59276,.F.); +#113280=ORIENTED_EDGE('',*,*,#113279,.F.); +#113281=ORIENTED_EDGE('',*,*,#113241,.T.); +#113283=ORIENTED_EDGE('',*,*,#113282,.T.); +#113284=EDGE_LOOP('',(#113278,#113280,#113281,#113283)); +#113285=FACE_OUTER_BOUND('',#113284,.F.); +#113287=CARTESIAN_POINT('',(3.85E0,-1.72E1,-2.8355E1)); +#113288=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113289=DIRECTION('',(0.E0,1.E0,0.E0)); +#113290=AXIS2_PLACEMENT_3D('',#113287,#113288,#113289); +#113291=PLANE('',#113290); +#113293=ORIENTED_EDGE('',*,*,#113292,.T.); +#113294=ORIENTED_EDGE('',*,*,#58216,.F.); +#113296=ORIENTED_EDGE('',*,*,#113295,.F.); +#113298=ORIENTED_EDGE('',*,*,#113297,.T.); +#113299=EDGE_LOOP('',(#113293,#113294,#113296,#113298)); +#113300=FACE_OUTER_BOUND('',#113299,.F.); +#113302=CARTESIAN_POINT('',(3.85E0,-1.72E1,-2.8355E1)); +#113303=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113304=DIRECTION('',(0.E0,1.E0,0.E0)); +#113305=AXIS2_PLACEMENT_3D('',#113302,#113303,#113304); +#113306=PLANE('',#113305); +#113308=ORIENTED_EDGE('',*,*,#113307,.T.); +#113310=ORIENTED_EDGE('',*,*,#113309,.T.); +#113312=ORIENTED_EDGE('',*,*,#113311,.F.); +#113313=ORIENTED_EDGE('',*,*,#113220,.F.); +#113314=ORIENTED_EDGE('',*,*,#113265,.T.); +#113315=ORIENTED_EDGE('',*,*,#59284,.T.); +#113316=EDGE_LOOP('',(#113308,#113310,#113312,#113313,#113314,#113315)); +#113317=FACE_OUTER_BOUND('',#113316,.F.); +#113319=CARTESIAN_POINT('',(4.6E0,-1.72E1,-3.0895E1)); +#113320=DIRECTION('',(-1.E0,0.E0,0.E0)); +#113321=DIRECTION('',(0.E0,1.E0,0.E0)); +#113322=AXIS2_PLACEMENT_3D('',#113319,#113320,#113321); +#113323=PLANE('',#113322); +#113324=ORIENTED_EDGE('',*,*,#58218,.F.); +#113325=ORIENTED_EDGE('',*,*,#113292,.F.); +#113327=ORIENTED_EDGE('',*,*,#113326,.F.); +#113329=ORIENTED_EDGE('',*,*,#113328,.T.); +#113330=EDGE_LOOP('',(#113324,#113325,#113327,#113329)); +#113331=FACE_OUTER_BOUND('',#113330,.F.); +#113333=CARTESIAN_POINT('',(4.6E0,-1.72E1,-3.0895E1)); +#113334=DIRECTION('',(-1.E0,0.E0,0.E0)); +#113335=DIRECTION('',(0.E0,1.E0,0.E0)); +#113336=AXIS2_PLACEMENT_3D('',#113333,#113334,#113335); +#113337=PLANE('',#113336); +#113338=ORIENTED_EDGE('',*,*,#58208,.F.); +#113340=ORIENTED_EDGE('',*,*,#113339,.F.); +#113342=ORIENTED_EDGE('',*,*,#113341,.F.); +#113344=ORIENTED_EDGE('',*,*,#113343,.T.); +#113345=EDGE_LOOP('',(#113338,#113340,#113342,#113344)); +#113346=FACE_OUTER_BOUND('',#113345,.F.); +#113348=CARTESIAN_POINT('',(3.85E0,-1.72E1,-2.7725E1)); +#113349=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113350=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113351=AXIS2_PLACEMENT_3D('',#113348,#113349,#113350); +#113352=PLANE('',#113351); +#113353=ORIENTED_EDGE('',*,*,#113326,.T.); +#113354=ORIENTED_EDGE('',*,*,#113297,.F.); +#113356=ORIENTED_EDGE('',*,*,#113355,.F.); +#113358=ORIENTED_EDGE('',*,*,#113357,.T.); +#113359=EDGE_LOOP('',(#113353,#113354,#113356,#113358)); +#113360=FACE_OUTER_BOUND('',#113359,.F.); +#113362=CARTESIAN_POINT('',(4.E0,-1.35E1,-3.0895E1)); +#113363=DIRECTION('',(1.E0,0.E0,0.E0)); +#113364=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113365=AXIS2_PLACEMENT_3D('',#113362,#113363,#113364); +#113366=PLANE('',#113365); +#113367=ORIENTED_EDGE('',*,*,#58222,.T.); +#113369=ORIENTED_EDGE('',*,*,#113368,.F.); +#113370=ORIENTED_EDGE('',*,*,#113355,.T.); +#113371=ORIENTED_EDGE('',*,*,#113295,.T.); +#113372=EDGE_LOOP('',(#113367,#113369,#113370,#113371)); +#113373=FACE_OUTER_BOUND('',#113372,.F.); +#113375=CARTESIAN_POINT('',(4.E0,-1.35E1,-3.0895E1)); +#113376=DIRECTION('',(1.E0,0.E0,0.E0)); +#113377=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113378=AXIS2_PLACEMENT_3D('',#113375,#113376,#113377); +#113379=PLANE('',#113378); +#113380=ORIENTED_EDGE('',*,*,#58212,.T.); +#113382=ORIENTED_EDGE('',*,*,#113381,.F.); +#113384=ORIENTED_EDGE('',*,*,#113383,.T.); +#113386=ORIENTED_EDGE('',*,*,#113385,.T.); +#113387=EDGE_LOOP('',(#113380,#113382,#113384,#113386)); +#113388=FACE_OUTER_BOUND('',#113387,.F.); +#113390=CARTESIAN_POINT('',(3.85E0,3.815E0,-2.7725E1)); +#113391=DIRECTION('',(0.E0,0.E0,1.E0)); +#113392=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113393=AXIS2_PLACEMENT_3D('',#113390,#113391,#113392); +#113394=PLANE('',#113393); +#113395=ORIENTED_EDGE('',*,*,#113328,.F.); +#113396=ORIENTED_EDGE('',*,*,#113357,.F.); +#113397=ORIENTED_EDGE('',*,*,#113368,.T.); +#113398=ORIENTED_EDGE('',*,*,#58220,.T.); +#113399=EDGE_LOOP('',(#113395,#113396,#113397,#113398)); +#113400=FACE_OUTER_BOUND('',#113399,.F.); +#113402=CARTESIAN_POINT('',(3.85E0,3.815E0,-2.7725E1)); +#113403=DIRECTION('',(0.E0,0.E0,1.E0)); +#113404=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113405=AXIS2_PLACEMENT_3D('',#113402,#113403,#113404); +#113406=PLANE('',#113405); +#113408=ORIENTED_EDGE('',*,*,#113407,.F.); +#113409=ORIENTED_EDGE('',*,*,#59280,.F.); +#113410=ORIENTED_EDGE('',*,*,#113268,.F.); +#113411=ORIENTED_EDGE('',*,*,#113231,.F.); +#113413=ORIENTED_EDGE('',*,*,#113412,.T.); +#113415=ORIENTED_EDGE('',*,*,#113414,.T.); +#113416=EDGE_LOOP('',(#113408,#113409,#113410,#113411,#113413,#113415)); +#113417=FACE_OUTER_BOUND('',#113416,.F.); +#113419=CARTESIAN_POINT('',(4.6E0,-1.12E1,-3.0895E1)); +#113420=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#113421=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#113422=AXIS2_PLACEMENT_3D('',#113419,#113420,#113421); +#113423=PLANE('',#113422); +#113424=ORIENTED_EDGE('',*,*,#59282,.T.); +#113425=ORIENTED_EDGE('',*,*,#113407,.T.); +#113427=ORIENTED_EDGE('',*,*,#113426,.F.); +#113428=ORIENTED_EDGE('',*,*,#113307,.F.); +#113429=EDGE_LOOP('',(#113424,#113425,#113427,#113428)); +#113430=FACE_OUTER_BOUND('',#113429,.F.); +#113432=CARTESIAN_POINT('',(4.6E0,-1.12E1,-3.0895E1)); +#113433=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#113434=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#113435=AXIS2_PLACEMENT_3D('',#113432,#113433,#113434); +#113436=PLANE('',#113435); +#113437=ORIENTED_EDGE('',*,*,#59272,.T.); +#113439=ORIENTED_EDGE('',*,*,#113438,.T.); +#113441=ORIENTED_EDGE('',*,*,#113440,.F.); +#113443=ORIENTED_EDGE('',*,*,#113442,.F.); +#113444=EDGE_LOOP('',(#113437,#113439,#113441,#113443)); +#113445=FACE_OUTER_BOUND('',#113444,.F.); +#113447=CARTESIAN_POINT('',(4.75E0,1.033E1,0.E0)); +#113448=DIRECTION('',(1.E0,0.E0,0.E0)); +#113449=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113450=AXIS2_PLACEMENT_3D('',#113447,#113448,#113449); +#113451=PLANE('',#113450); +#113452=ORIENTED_EDGE('',*,*,#113426,.T.); +#113453=ORIENTED_EDGE('',*,*,#113414,.F.); +#113455=ORIENTED_EDGE('',*,*,#113454,.F.); +#113457=ORIENTED_EDGE('',*,*,#113456,.F.); +#113458=ORIENTED_EDGE('',*,*,#103837,.T.); +#113460=ORIENTED_EDGE('',*,*,#113459,.F.); +#113462=ORIENTED_EDGE('',*,*,#113461,.F.); +#113463=ORIENTED_EDGE('',*,*,#113309,.F.); +#113464=EDGE_LOOP('',(#113452,#113453,#113455,#113457,#113458,#113460,#113462, +#113463)); +#113465=FACE_OUTER_BOUND('',#113464,.F.); +#113467=CARTESIAN_POINT('',(4.75E0,1.033E1,0.E0)); +#113468=DIRECTION('',(1.E0,0.E0,0.E0)); +#113469=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113470=AXIS2_PLACEMENT_3D('',#113467,#113468,#113469); +#113471=PLANE('',#113470); +#113472=ORIENTED_EDGE('',*,*,#113440,.T.); +#113474=ORIENTED_EDGE('',*,*,#113473,.F.); +#113476=ORIENTED_EDGE('',*,*,#113475,.F.); +#113478=ORIENTED_EDGE('',*,*,#113477,.F.); +#113479=ORIENTED_EDGE('',*,*,#60266,.T.); +#113481=ORIENTED_EDGE('',*,*,#113480,.F.); +#113483=ORIENTED_EDGE('',*,*,#113482,.F.); +#113485=ORIENTED_EDGE('',*,*,#113484,.F.); +#113486=EDGE_LOOP('',(#113472,#113474,#113476,#113478,#113479,#113481,#113483, +#113485)); +#113487=FACE_OUTER_BOUND('',#113486,.F.); +#113489=CARTESIAN_POINT('',(3.85E0,3.815E0,-2.6725E1)); +#113490=DIRECTION('',(1.E0,0.E0,0.E0)); +#113491=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113492=AXIS2_PLACEMENT_3D('',#113489,#113490,#113491); +#113493=CYLINDRICAL_SURFACE('',#113492,1.E0); +#113494=ORIENTED_EDGE('',*,*,#113229,.F.); +#113496=ORIENTED_EDGE('',*,*,#113495,.T.); +#113497=ORIENTED_EDGE('',*,*,#113454,.T.); +#113498=ORIENTED_EDGE('',*,*,#113412,.F.); +#113499=EDGE_LOOP('',(#113494,#113496,#113497,#113498)); +#113500=FACE_OUTER_BOUND('',#113499,.F.); +#113502=CARTESIAN_POINT('',(3.85E0,4.815E0,-2.36E1)); +#113503=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113504=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113505=AXIS2_PLACEMENT_3D('',#113502,#113503,#113504); +#113506=PLANE('',#113505); +#113507=ORIENTED_EDGE('',*,*,#113227,.F.); +#113508=ORIENTED_EDGE('',*,*,#103839,.T.); +#113509=ORIENTED_EDGE('',*,*,#113456,.T.); +#113510=ORIENTED_EDGE('',*,*,#113495,.F.); +#113511=EDGE_LOOP('',(#113507,#113508,#113509,#113510)); +#113512=FACE_OUTER_BOUND('',#113511,.F.); +#113514=CARTESIAN_POINT('',(3.85E0,5.445E0,-2.6725E1)); +#113515=DIRECTION('',(0.E0,1.E0,0.E0)); +#113516=DIRECTION('',(0.E0,0.E0,1.E0)); +#113517=AXIS2_PLACEMENT_3D('',#113514,#113515,#113516); +#113518=PLANE('',#113517); +#113519=ORIENTED_EDGE('',*,*,#113224,.F.); +#113521=ORIENTED_EDGE('',*,*,#113520,.T.); +#113522=ORIENTED_EDGE('',*,*,#113459,.T.); +#113523=ORIENTED_EDGE('',*,*,#103835,.F.); +#113524=EDGE_LOOP('',(#113519,#113521,#113522,#113523)); +#113525=FACE_OUTER_BOUND('',#113524,.F.); +#113527=CARTESIAN_POINT('',(3.85E0,3.815E0,-2.6725E1)); +#113528=DIRECTION('',(1.E0,0.E0,0.E0)); +#113529=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113530=AXIS2_PLACEMENT_3D('',#113527,#113528,#113529); +#113531=CYLINDRICAL_SURFACE('',#113530,1.63E0); +#113532=ORIENTED_EDGE('',*,*,#113222,.F.); +#113533=ORIENTED_EDGE('',*,*,#113311,.T.); +#113534=ORIENTED_EDGE('',*,*,#113461,.T.); +#113535=ORIENTED_EDGE('',*,*,#113520,.F.); +#113536=EDGE_LOOP('',(#113532,#113533,#113534,#113535)); +#113537=FACE_OUTER_BOUND('',#113536,.F.); +#113539=CARTESIAN_POINT('',(3.85E0,-3.285E0,-2.5185E1)); +#113540=DIRECTION('',(0.E0,0.E0,1.E0)); +#113541=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113542=AXIS2_PLACEMENT_3D('',#113539,#113540,#113541); +#113543=PLANE('',#113542); +#113544=ORIENTED_EDGE('',*,*,#113343,.F.); +#113546=ORIENTED_EDGE('',*,*,#113545,.F.); +#113547=ORIENTED_EDGE('',*,*,#113381,.T.); +#113548=ORIENTED_EDGE('',*,*,#58210,.T.); +#113549=EDGE_LOOP('',(#113544,#113546,#113547,#113548)); +#113550=FACE_OUTER_BOUND('',#113549,.F.); +#113552=CARTESIAN_POINT('',(3.85E0,-3.285E0,-2.5185E1)); +#113553=DIRECTION('',(0.E0,0.E0,1.E0)); +#113554=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113555=AXIS2_PLACEMENT_3D('',#113552,#113553,#113554); +#113556=PLANE('',#113555); +#113557=ORIENTED_EDGE('',*,*,#113438,.F.); +#113558=ORIENTED_EDGE('',*,*,#59270,.F.); +#113559=ORIENTED_EDGE('',*,*,#113282,.F.); +#113560=ORIENTED_EDGE('',*,*,#113254,.F.); +#113562=ORIENTED_EDGE('',*,*,#113561,.T.); +#113563=ORIENTED_EDGE('',*,*,#113473,.T.); +#113564=EDGE_LOOP('',(#113557,#113558,#113559,#113560,#113562,#113563)); +#113565=FACE_OUTER_BOUND('',#113564,.F.); +#113567=CARTESIAN_POINT('',(3.85E0,-1.72E1,-2.5185E1)); +#113568=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113569=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113570=AXIS2_PLACEMENT_3D('',#113567,#113568,#113569); +#113571=PLANE('',#113570); +#113572=ORIENTED_EDGE('',*,*,#113341,.T.); +#113574=ORIENTED_EDGE('',*,*,#113573,.F.); +#113575=ORIENTED_EDGE('',*,*,#113383,.F.); +#113576=ORIENTED_EDGE('',*,*,#113545,.T.); +#113577=EDGE_LOOP('',(#113572,#113574,#113575,#113576)); +#113578=FACE_OUTER_BOUND('',#113577,.F.); +#113580=CARTESIAN_POINT('',(3.85E0,-1.72E1,-2.5815E1)); +#113581=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113582=DIRECTION('',(0.E0,1.E0,0.E0)); +#113583=AXIS2_PLACEMENT_3D('',#113580,#113581,#113582); +#113584=PLANE('',#113583); +#113585=ORIENTED_EDGE('',*,*,#113339,.T.); +#113586=ORIENTED_EDGE('',*,*,#58206,.F.); +#113587=ORIENTED_EDGE('',*,*,#113385,.F.); +#113588=ORIENTED_EDGE('',*,*,#113573,.T.); +#113589=EDGE_LOOP('',(#113585,#113586,#113587,#113588)); +#113590=FACE_OUTER_BOUND('',#113589,.F.); +#113592=CARTESIAN_POINT('',(3.85E0,-1.72E1,-2.5815E1)); +#113593=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113594=DIRECTION('',(0.E0,1.E0,0.E0)); +#113595=AXIS2_PLACEMENT_3D('',#113592,#113593,#113594); +#113596=PLANE('',#113595); +#113597=ORIENTED_EDGE('',*,*,#113442,.T.); +#113598=ORIENTED_EDGE('',*,*,#113484,.T.); +#113600=ORIENTED_EDGE('',*,*,#113599,.F.); +#113601=ORIENTED_EDGE('',*,*,#113243,.F.); +#113602=ORIENTED_EDGE('',*,*,#113279,.T.); +#113603=ORIENTED_EDGE('',*,*,#59274,.T.); +#113604=EDGE_LOOP('',(#113597,#113598,#113600,#113601,#113602,#113603)); +#113605=FACE_OUTER_BOUND('',#113604,.F.); +#113607=CARTESIAN_POINT('',(3.85E0,-3.285E0,-2.4685E1)); +#113608=DIRECTION('',(1.E0,0.E0,0.E0)); +#113609=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113610=AXIS2_PLACEMENT_3D('',#113607,#113608,#113609); +#113611=CYLINDRICAL_SURFACE('',#113610,1.13E0); +#113612=ORIENTED_EDGE('',*,*,#113245,.F.); +#113613=ORIENTED_EDGE('',*,*,#113599,.T.); +#113614=ORIENTED_EDGE('',*,*,#113482,.T.); +#113616=ORIENTED_EDGE('',*,*,#113615,.F.); +#113617=EDGE_LOOP('',(#113612,#113613,#113614,#113616)); +#113618=FACE_OUTER_BOUND('',#113617,.F.); +#113620=CARTESIAN_POINT('',(3.85E0,-2.155E0,-2.4685E1)); +#113621=DIRECTION('',(0.E0,1.E0,0.E0)); +#113622=DIRECTION('',(0.E0,0.E0,1.E0)); +#113623=AXIS2_PLACEMENT_3D('',#113620,#113621,#113622); +#113624=PLANE('',#113623); +#113625=ORIENTED_EDGE('',*,*,#113247,.F.); +#113626=ORIENTED_EDGE('',*,*,#113615,.T.); +#113627=ORIENTED_EDGE('',*,*,#113480,.T.); +#113628=ORIENTED_EDGE('',*,*,#60264,.F.); +#113629=EDGE_LOOP('',(#113625,#113626,#113627,#113628)); +#113630=FACE_OUTER_BOUND('',#113629,.F.); +#113632=CARTESIAN_POINT('',(3.85E0,-3.285E0,-2.4685E1)); +#113633=DIRECTION('',(1.E0,0.E0,0.E0)); +#113634=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113635=AXIS2_PLACEMENT_3D('',#113632,#113633,#113634); +#113636=CYLINDRICAL_SURFACE('',#113635,5.E-1); +#113637=ORIENTED_EDGE('',*,*,#113252,.F.); +#113639=ORIENTED_EDGE('',*,*,#113638,.T.); +#113640=ORIENTED_EDGE('',*,*,#113475,.T.); +#113641=ORIENTED_EDGE('',*,*,#113561,.F.); +#113642=EDGE_LOOP('',(#113637,#113639,#113640,#113641)); +#113643=FACE_OUTER_BOUND('',#113642,.F.); +#113645=CARTESIAN_POINT('',(3.85E0,-2.785E0,-2.36E1)); +#113646=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113647=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113648=AXIS2_PLACEMENT_3D('',#113645,#113646,#113647); +#113649=PLANE('',#113648); +#113650=ORIENTED_EDGE('',*,*,#113250,.F.); +#113651=ORIENTED_EDGE('',*,*,#60268,.T.); +#113652=ORIENTED_EDGE('',*,*,#113477,.T.); +#113653=ORIENTED_EDGE('',*,*,#113638,.F.); +#113654=EDGE_LOOP('',(#113650,#113651,#113652,#113653)); +#113655=FACE_OUTER_BOUND('',#113654,.F.); +#113657=CARTESIAN_POINT('',(6.E0,6.33E0,0.E0)); +#113658=DIRECTION('',(1.E0,0.E0,0.E0)); +#113659=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113660=AXIS2_PLACEMENT_3D('',#113657,#113658,#113659); +#113661=PLANE('',#113660); +#113663=ORIENTED_EDGE('',*,*,#113662,.F.); +#113665=ORIENTED_EDGE('',*,*,#113664,.T.); +#113667=ORIENTED_EDGE('',*,*,#113666,.T.); +#113669=ORIENTED_EDGE('',*,*,#113668,.T.); +#113670=ORIENTED_EDGE('',*,*,#103843,.F.); +#113672=ORIENTED_EDGE('',*,*,#113671,.T.); +#113674=ORIENTED_EDGE('',*,*,#113673,.T.); +#113676=ORIENTED_EDGE('',*,*,#113675,.T.); +#113677=EDGE_LOOP('',(#113663,#113665,#113667,#113669,#113670,#113672,#113674, +#113676)); +#113678=FACE_OUTER_BOUND('',#113677,.F.); +#113680=CARTESIAN_POINT('',(6.E0,6.33E0,0.E0)); +#113681=DIRECTION('',(1.E0,0.E0,0.E0)); +#113682=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113683=AXIS2_PLACEMENT_3D('',#113680,#113681,#113682); +#113684=PLANE('',#113683); +#113686=ORIENTED_EDGE('',*,*,#113685,.F.); +#113688=ORIENTED_EDGE('',*,*,#113687,.T.); +#113690=ORIENTED_EDGE('',*,*,#113689,.T.); +#113692=ORIENTED_EDGE('',*,*,#113691,.T.); +#113693=ORIENTED_EDGE('',*,*,#60272,.F.); +#113695=ORIENTED_EDGE('',*,*,#113694,.T.); +#113697=ORIENTED_EDGE('',*,*,#113696,.T.); +#113699=ORIENTED_EDGE('',*,*,#113698,.T.); +#113700=EDGE_LOOP('',(#113686,#113688,#113690,#113692,#113693,#113695,#113697, +#113699)); +#113701=FACE_OUTER_BOUND('',#113700,.F.); +#113703=CARTESIAN_POINT('',(6.E0,-1.094019237886E1,-3.0895E1)); +#113704=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#113705=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#113706=AXIS2_PLACEMENT_3D('',#113703,#113704,#113705); +#113707=PLANE('',#113706); +#113708=ORIENTED_EDGE('',*,*,#59296,.F.); +#113710=ORIENTED_EDGE('',*,*,#113709,.F.); +#113711=ORIENTED_EDGE('',*,*,#113662,.T.); +#113713=ORIENTED_EDGE('',*,*,#113712,.T.); +#113714=EDGE_LOOP('',(#113708,#113710,#113711,#113713)); +#113715=FACE_OUTER_BOUND('',#113714,.F.); +#113717=CARTESIAN_POINT('',(6.E0,-1.094019237886E1,-3.0895E1)); +#113718=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#113719=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#113720=AXIS2_PLACEMENT_3D('',#113717,#113718,#113719); +#113721=PLANE('',#113720); +#113722=ORIENTED_EDGE('',*,*,#59306,.F.); +#113724=ORIENTED_EDGE('',*,*,#113723,.F.); +#113725=ORIENTED_EDGE('',*,*,#113685,.T.); +#113727=ORIENTED_EDGE('',*,*,#113726,.T.); +#113728=EDGE_LOOP('',(#113722,#113724,#113725,#113727)); +#113729=FACE_OUTER_BOUND('',#113728,.F.); +#113731=CARTESIAN_POINT('',(6.E0,-1.72E1,-3.0895E1)); +#113732=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113733=DIRECTION('',(0.E0,1.E0,0.E0)); +#113734=AXIS2_PLACEMENT_3D('',#113731,#113732,#113733); +#113735=PLANE('',#113734); +#113737=ORIENTED_EDGE('',*,*,#113736,.F.); +#113739=ORIENTED_EDGE('',*,*,#113738,.T.); +#113741=ORIENTED_EDGE('',*,*,#113740,.T.); +#113742=ORIENTED_EDGE('',*,*,#58226,.F.); +#113743=EDGE_LOOP('',(#113737,#113739,#113741,#113742)); +#113744=FACE_OUTER_BOUND('',#113743,.F.); +#113746=CARTESIAN_POINT('',(6.E0,-1.72E1,-3.0895E1)); +#113747=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113748=DIRECTION('',(0.E0,1.E0,0.E0)); +#113749=AXIS2_PLACEMENT_3D('',#113746,#113747,#113748); +#113750=PLANE('',#113749); +#113751=ORIENTED_EDGE('',*,*,#113709,.T.); +#113752=ORIENTED_EDGE('',*,*,#59294,.T.); +#113754=ORIENTED_EDGE('',*,*,#113753,.T.); +#113756=ORIENTED_EDGE('',*,*,#113755,.T.); +#113758=ORIENTED_EDGE('',*,*,#113757,.F.); +#113759=ORIENTED_EDGE('',*,*,#113664,.F.); +#113760=EDGE_LOOP('',(#113751,#113752,#113754,#113756,#113758,#113759)); +#113761=FACE_OUTER_BOUND('',#113760,.F.); +#113763=CARTESIAN_POINT('',(6.15E0,-1.35E1,-3.0895E1)); +#113764=DIRECTION('',(1.E0,0.E0,0.E0)); +#113765=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113766=AXIS2_PLACEMENT_3D('',#113763,#113764,#113765); +#113767=PLANE('',#113766); +#113768=ORIENTED_EDGE('',*,*,#58232,.T.); +#113770=ORIENTED_EDGE('',*,*,#113769,.F.); +#113772=ORIENTED_EDGE('',*,*,#113771,.T.); +#113773=ORIENTED_EDGE('',*,*,#113736,.T.); +#113774=EDGE_LOOP('',(#113768,#113770,#113772,#113773)); +#113775=FACE_OUTER_BOUND('',#113774,.F.); +#113777=CARTESIAN_POINT('',(6.15E0,-1.35E1,-3.0895E1)); +#113778=DIRECTION('',(1.E0,0.E0,0.E0)); +#113779=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113780=AXIS2_PLACEMENT_3D('',#113777,#113778,#113779); +#113781=PLANE('',#113780); +#113782=ORIENTED_EDGE('',*,*,#58242,.T.); +#113784=ORIENTED_EDGE('',*,*,#113783,.F.); +#113786=ORIENTED_EDGE('',*,*,#113785,.T.); +#113788=ORIENTED_EDGE('',*,*,#113787,.T.); +#113789=EDGE_LOOP('',(#113782,#113784,#113786,#113788)); +#113790=FACE_OUTER_BOUND('',#113789,.F.); +#113792=CARTESIAN_POINT('',(6.E0,3.815E0,-3.0265E1)); +#113793=DIRECTION('',(0.E0,0.E0,1.E0)); +#113794=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113795=AXIS2_PLACEMENT_3D('',#113792,#113793,#113794); +#113796=PLANE('',#113795); +#113797=ORIENTED_EDGE('',*,*,#113769,.T.); +#113798=ORIENTED_EDGE('',*,*,#58230,.T.); +#113800=ORIENTED_EDGE('',*,*,#113799,.F.); +#113802=ORIENTED_EDGE('',*,*,#113801,.F.); +#113803=EDGE_LOOP('',(#113797,#113798,#113800,#113802)); +#113804=FACE_OUTER_BOUND('',#113803,.F.); +#113806=CARTESIAN_POINT('',(6.E0,3.815E0,-3.0265E1)); +#113807=DIRECTION('',(0.E0,0.E0,1.E0)); +#113808=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113809=AXIS2_PLACEMENT_3D('',#113806,#113807,#113808); +#113810=PLANE('',#113809); +#113811=ORIENTED_EDGE('',*,*,#113712,.F.); +#113812=ORIENTED_EDGE('',*,*,#113675,.F.); +#113814=ORIENTED_EDGE('',*,*,#113813,.T.); +#113816=ORIENTED_EDGE('',*,*,#113815,.T.); +#113818=ORIENTED_EDGE('',*,*,#113817,.F.); +#113819=ORIENTED_EDGE('',*,*,#59290,.F.); +#113820=EDGE_LOOP('',(#113811,#113812,#113814,#113816,#113818,#113819)); +#113821=FACE_OUTER_BOUND('',#113820,.F.); +#113823=CARTESIAN_POINT('',(6.75E0,-1.72E1,-3.0895E1)); +#113824=DIRECTION('',(-1.E0,0.E0,0.E0)); +#113825=DIRECTION('',(0.E0,1.E0,0.E0)); +#113826=AXIS2_PLACEMENT_3D('',#113823,#113824,#113825); +#113827=PLANE('',#113826); +#113828=ORIENTED_EDGE('',*,*,#58228,.F.); +#113829=ORIENTED_EDGE('',*,*,#113740,.F.); +#113831=ORIENTED_EDGE('',*,*,#113830,.F.); +#113832=ORIENTED_EDGE('',*,*,#113799,.T.); +#113833=EDGE_LOOP('',(#113828,#113829,#113831,#113832)); +#113834=FACE_OUTER_BOUND('',#113833,.F.); +#113836=CARTESIAN_POINT('',(6.75E0,-1.72E1,-3.0895E1)); +#113837=DIRECTION('',(-1.E0,0.E0,0.E0)); +#113838=DIRECTION('',(0.E0,1.E0,0.E0)); +#113839=AXIS2_PLACEMENT_3D('',#113836,#113837,#113838); +#113840=PLANE('',#113839); +#113841=ORIENTED_EDGE('',*,*,#58238,.F.); +#113843=ORIENTED_EDGE('',*,*,#113842,.F.); +#113845=ORIENTED_EDGE('',*,*,#113844,.F.); +#113847=ORIENTED_EDGE('',*,*,#113846,.T.); +#113848=EDGE_LOOP('',(#113841,#113843,#113845,#113847)); +#113849=FACE_OUTER_BOUND('',#113848,.F.); +#113851=CARTESIAN_POINT('',(6.E0,-1.72E1,-3.0265E1)); +#113852=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113853=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113854=AXIS2_PLACEMENT_3D('',#113851,#113852,#113853); +#113855=PLANE('',#113854); +#113856=ORIENTED_EDGE('',*,*,#113771,.F.); +#113857=ORIENTED_EDGE('',*,*,#113801,.T.); +#113858=ORIENTED_EDGE('',*,*,#113830,.T.); +#113859=ORIENTED_EDGE('',*,*,#113738,.F.); +#113860=EDGE_LOOP('',(#113856,#113857,#113858,#113859)); +#113861=FACE_OUTER_BOUND('',#113860,.F.); +#113863=CARTESIAN_POINT('',(6.E0,-1.72E1,-2.5815E1)); +#113864=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113865=DIRECTION('',(0.E0,1.E0,0.E0)); +#113866=AXIS2_PLACEMENT_3D('',#113863,#113864,#113865); +#113867=PLANE('',#113866); +#113868=ORIENTED_EDGE('',*,*,#113787,.F.); +#113870=ORIENTED_EDGE('',*,*,#113869,.T.); +#113871=ORIENTED_EDGE('',*,*,#113842,.T.); +#113872=ORIENTED_EDGE('',*,*,#58236,.F.); +#113873=EDGE_LOOP('',(#113868,#113870,#113871,#113872)); +#113874=FACE_OUTER_BOUND('',#113873,.F.); +#113876=CARTESIAN_POINT('',(6.E0,-1.72E1,-2.5815E1)); +#113877=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113878=DIRECTION('',(0.E0,1.E0,0.E0)); +#113879=AXIS2_PLACEMENT_3D('',#113876,#113877,#113878); +#113880=PLANE('',#113879); +#113881=ORIENTED_EDGE('',*,*,#113723,.T.); +#113882=ORIENTED_EDGE('',*,*,#59304,.T.); +#113884=ORIENTED_EDGE('',*,*,#113883,.T.); +#113886=ORIENTED_EDGE('',*,*,#113885,.T.); +#113888=ORIENTED_EDGE('',*,*,#113887,.F.); +#113889=ORIENTED_EDGE('',*,*,#113687,.F.); +#113890=EDGE_LOOP('',(#113881,#113882,#113884,#113886,#113888,#113889)); +#113891=FACE_OUTER_BOUND('',#113890,.F.); +#113893=CARTESIAN_POINT('',(6.E0,-1.72E1,-2.5185E1)); +#113894=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113895=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113896=AXIS2_PLACEMENT_3D('',#113893,#113894,#113895); +#113897=PLANE('',#113896); +#113898=ORIENTED_EDGE('',*,*,#113785,.F.); +#113900=ORIENTED_EDGE('',*,*,#113899,.T.); +#113901=ORIENTED_EDGE('',*,*,#113844,.T.); +#113902=ORIENTED_EDGE('',*,*,#113869,.F.); +#113903=EDGE_LOOP('',(#113898,#113900,#113901,#113902)); +#113904=FACE_OUTER_BOUND('',#113903,.F.); +#113906=CARTESIAN_POINT('',(6.E0,-3.285E0,-2.5185E1)); +#113907=DIRECTION('',(0.E0,0.E0,1.E0)); +#113908=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113909=AXIS2_PLACEMENT_3D('',#113906,#113907,#113908); +#113910=PLANE('',#113909); +#113911=ORIENTED_EDGE('',*,*,#113783,.T.); +#113912=ORIENTED_EDGE('',*,*,#58240,.T.); +#113913=ORIENTED_EDGE('',*,*,#113846,.F.); +#113914=ORIENTED_EDGE('',*,*,#113899,.F.); +#113915=EDGE_LOOP('',(#113911,#113912,#113913,#113914)); +#113916=FACE_OUTER_BOUND('',#113915,.F.); +#113918=CARTESIAN_POINT('',(6.E0,-3.285E0,-2.5185E1)); +#113919=DIRECTION('',(0.E0,0.E0,1.E0)); +#113920=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113921=AXIS2_PLACEMENT_3D('',#113918,#113919,#113920); +#113922=PLANE('',#113921); +#113923=ORIENTED_EDGE('',*,*,#113726,.F.); +#113924=ORIENTED_EDGE('',*,*,#113698,.F.); +#113926=ORIENTED_EDGE('',*,*,#113925,.T.); +#113928=ORIENTED_EDGE('',*,*,#113927,.T.); +#113930=ORIENTED_EDGE('',*,*,#113929,.F.); +#113931=ORIENTED_EDGE('',*,*,#59300,.F.); +#113932=EDGE_LOOP('',(#113923,#113924,#113926,#113928,#113930,#113931)); +#113933=FACE_OUTER_BOUND('',#113932,.F.); +#113935=CARTESIAN_POINT('',(6.E0,-3.285E0,-2.4685E1)); +#113936=DIRECTION('',(1.E0,0.E0,0.E0)); +#113937=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113938=AXIS2_PLACEMENT_3D('',#113935,#113936,#113937); +#113939=CYLINDRICAL_SURFACE('',#113938,5.E-1); +#113940=ORIENTED_EDGE('',*,*,#113696,.F.); +#113942=ORIENTED_EDGE('',*,*,#113941,.T.); +#113944=ORIENTED_EDGE('',*,*,#113943,.T.); +#113945=ORIENTED_EDGE('',*,*,#113925,.F.); +#113946=EDGE_LOOP('',(#113940,#113942,#113944,#113945)); +#113947=FACE_OUTER_BOUND('',#113946,.F.); +#113949=CARTESIAN_POINT('',(6.E0,-2.785E0,-2.36E1)); +#113950=DIRECTION('',(0.E0,-1.E0,0.E0)); +#113951=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113952=AXIS2_PLACEMENT_3D('',#113949,#113950,#113951); +#113953=PLANE('',#113952); +#113954=ORIENTED_EDGE('',*,*,#113694,.F.); +#113955=ORIENTED_EDGE('',*,*,#60278,.T.); +#113957=ORIENTED_EDGE('',*,*,#113956,.T.); +#113958=ORIENTED_EDGE('',*,*,#113941,.F.); +#113959=EDGE_LOOP('',(#113954,#113955,#113957,#113958)); +#113960=FACE_OUTER_BOUND('',#113959,.F.); +#113962=CARTESIAN_POINT('',(6.9E0,6.33E0,0.E0)); +#113963=DIRECTION('',(1.E0,0.E0,0.E0)); +#113964=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113965=AXIS2_PLACEMENT_3D('',#113962,#113963,#113964); +#113966=PLANE('',#113965); +#113968=ORIENTED_EDGE('',*,*,#113967,.T.); +#113969=ORIENTED_EDGE('',*,*,#113815,.F.); +#113971=ORIENTED_EDGE('',*,*,#113970,.F.); +#113973=ORIENTED_EDGE('',*,*,#113972,.F.); +#113974=ORIENTED_EDGE('',*,*,#103847,.T.); +#113976=ORIENTED_EDGE('',*,*,#113975,.F.); +#113978=ORIENTED_EDGE('',*,*,#113977,.F.); +#113979=ORIENTED_EDGE('',*,*,#113755,.F.); +#113980=EDGE_LOOP('',(#113968,#113969,#113971,#113973,#113974,#113976,#113978, +#113979)); +#113981=FACE_OUTER_BOUND('',#113980,.F.); +#113983=CARTESIAN_POINT('',(6.9E0,6.33E0,0.E0)); +#113984=DIRECTION('',(1.E0,0.E0,0.E0)); +#113985=DIRECTION('',(0.E0,0.E0,-1.E0)); +#113986=AXIS2_PLACEMENT_3D('',#113983,#113984,#113985); +#113987=PLANE('',#113986); +#113989=ORIENTED_EDGE('',*,*,#113988,.T.); +#113990=ORIENTED_EDGE('',*,*,#113927,.F.); +#113991=ORIENTED_EDGE('',*,*,#113943,.F.); +#113992=ORIENTED_EDGE('',*,*,#113956,.F.); +#113993=ORIENTED_EDGE('',*,*,#60276,.T.); +#113995=ORIENTED_EDGE('',*,*,#113994,.F.); +#113997=ORIENTED_EDGE('',*,*,#113996,.F.); +#113998=ORIENTED_EDGE('',*,*,#113885,.F.); +#113999=EDGE_LOOP('',(#113989,#113990,#113991,#113992,#113993,#113995,#113997, +#113998)); +#114000=FACE_OUTER_BOUND('',#113999,.F.); +#114002=CARTESIAN_POINT('',(6.75E0,-1.12E1,-3.0895E1)); +#114003=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#114004=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#114005=AXIS2_PLACEMENT_3D('',#114002,#114003,#114004); +#114006=PLANE('',#114005); +#114007=ORIENTED_EDGE('',*,*,#59292,.T.); +#114008=ORIENTED_EDGE('',*,*,#113817,.T.); +#114009=ORIENTED_EDGE('',*,*,#113967,.F.); +#114010=ORIENTED_EDGE('',*,*,#113753,.F.); +#114011=EDGE_LOOP('',(#114007,#114008,#114009,#114010)); +#114012=FACE_OUTER_BOUND('',#114011,.F.); +#114014=CARTESIAN_POINT('',(6.75E0,-1.12E1,-3.0895E1)); +#114015=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#114016=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#114017=AXIS2_PLACEMENT_3D('',#114014,#114015,#114016); +#114018=PLANE('',#114017); +#114019=ORIENTED_EDGE('',*,*,#59302,.T.); +#114020=ORIENTED_EDGE('',*,*,#113929,.T.); +#114021=ORIENTED_EDGE('',*,*,#113988,.F.); +#114022=ORIENTED_EDGE('',*,*,#113883,.F.); +#114023=EDGE_LOOP('',(#114019,#114020,#114021,#114022)); +#114024=FACE_OUTER_BOUND('',#114023,.F.); +#114026=CARTESIAN_POINT('',(6.E0,3.815E0,-2.9265E1)); +#114027=DIRECTION('',(1.E0,0.E0,0.E0)); +#114028=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114029=AXIS2_PLACEMENT_3D('',#114026,#114027,#114028); +#114030=CYLINDRICAL_SURFACE('',#114029,1.E0); +#114031=ORIENTED_EDGE('',*,*,#113673,.F.); +#114033=ORIENTED_EDGE('',*,*,#114032,.T.); +#114034=ORIENTED_EDGE('',*,*,#113970,.T.); +#114035=ORIENTED_EDGE('',*,*,#113813,.F.); +#114036=EDGE_LOOP('',(#114031,#114033,#114034,#114035)); +#114037=FACE_OUTER_BOUND('',#114036,.F.); +#114039=CARTESIAN_POINT('',(6.E0,4.815E0,-2.36E1)); +#114040=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114041=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114042=AXIS2_PLACEMENT_3D('',#114039,#114040,#114041); +#114043=PLANE('',#114042); +#114044=ORIENTED_EDGE('',*,*,#113671,.F.); +#114045=ORIENTED_EDGE('',*,*,#103849,.T.); +#114046=ORIENTED_EDGE('',*,*,#113972,.T.); +#114047=ORIENTED_EDGE('',*,*,#114032,.F.); +#114048=EDGE_LOOP('',(#114044,#114045,#114046,#114047)); +#114049=FACE_OUTER_BOUND('',#114048,.F.); +#114051=CARTESIAN_POINT('',(6.E0,5.445E0,-2.9265E1)); +#114052=DIRECTION('',(0.E0,1.E0,0.E0)); +#114053=DIRECTION('',(0.E0,0.E0,1.E0)); +#114054=AXIS2_PLACEMENT_3D('',#114051,#114052,#114053); +#114055=PLANE('',#114054); +#114056=ORIENTED_EDGE('',*,*,#113668,.F.); +#114058=ORIENTED_EDGE('',*,*,#114057,.T.); +#114059=ORIENTED_EDGE('',*,*,#113975,.T.); +#114060=ORIENTED_EDGE('',*,*,#103845,.F.); +#114061=EDGE_LOOP('',(#114056,#114058,#114059,#114060)); +#114062=FACE_OUTER_BOUND('',#114061,.F.); +#114064=CARTESIAN_POINT('',(6.E0,3.815E0,-2.9265E1)); +#114065=DIRECTION('',(1.E0,0.E0,0.E0)); +#114066=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114067=AXIS2_PLACEMENT_3D('',#114064,#114065,#114066); +#114068=CYLINDRICAL_SURFACE('',#114067,1.63E0); +#114069=ORIENTED_EDGE('',*,*,#113666,.F.); +#114070=ORIENTED_EDGE('',*,*,#113757,.T.); +#114071=ORIENTED_EDGE('',*,*,#113977,.T.); +#114072=ORIENTED_EDGE('',*,*,#114057,.F.); +#114073=EDGE_LOOP('',(#114069,#114070,#114071,#114072)); +#114074=FACE_OUTER_BOUND('',#114073,.F.); +#114076=CARTESIAN_POINT('',(6.E0,-2.155E0,-2.4685E1)); +#114077=DIRECTION('',(0.E0,1.E0,0.E0)); +#114078=DIRECTION('',(0.E0,0.E0,1.E0)); +#114079=AXIS2_PLACEMENT_3D('',#114076,#114077,#114078); +#114080=PLANE('',#114079); +#114081=ORIENTED_EDGE('',*,*,#113691,.F.); +#114083=ORIENTED_EDGE('',*,*,#114082,.T.); +#114084=ORIENTED_EDGE('',*,*,#113994,.T.); +#114085=ORIENTED_EDGE('',*,*,#60274,.F.); +#114086=EDGE_LOOP('',(#114081,#114083,#114084,#114085)); +#114087=FACE_OUTER_BOUND('',#114086,.F.); +#114089=CARTESIAN_POINT('',(6.E0,-3.285E0,-2.4685E1)); +#114090=DIRECTION('',(1.E0,0.E0,0.E0)); +#114091=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114092=AXIS2_PLACEMENT_3D('',#114089,#114090,#114091); +#114093=CYLINDRICAL_SURFACE('',#114092,1.13E0); +#114094=ORIENTED_EDGE('',*,*,#113689,.F.); +#114095=ORIENTED_EDGE('',*,*,#113887,.T.); +#114096=ORIENTED_EDGE('',*,*,#113996,.T.); +#114097=ORIENTED_EDGE('',*,*,#114082,.F.); +#114098=EDGE_LOOP('',(#114094,#114095,#114096,#114097)); +#114099=FACE_OUTER_BOUND('',#114098,.F.); +#114101=CARTESIAN_POINT('',(8.15E0,1.033E1,0.E0)); +#114102=DIRECTION('',(1.E0,0.E0,0.E0)); +#114103=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114104=AXIS2_PLACEMENT_3D('',#114101,#114102,#114103); +#114105=PLANE('',#114104); +#114107=ORIENTED_EDGE('',*,*,#114106,.F.); +#114109=ORIENTED_EDGE('',*,*,#114108,.T.); +#114111=ORIENTED_EDGE('',*,*,#114110,.T.); +#114113=ORIENTED_EDGE('',*,*,#114112,.T.); +#114114=ORIENTED_EDGE('',*,*,#103853,.F.); +#114116=ORIENTED_EDGE('',*,*,#114115,.T.); +#114118=ORIENTED_EDGE('',*,*,#114117,.T.); +#114120=ORIENTED_EDGE('',*,*,#114119,.T.); +#114121=EDGE_LOOP('',(#114107,#114109,#114111,#114113,#114114,#114116,#114118, +#114120)); +#114122=FACE_OUTER_BOUND('',#114121,.F.); +#114124=CARTESIAN_POINT('',(8.15E0,1.033E1,0.E0)); +#114125=DIRECTION('',(1.E0,0.E0,0.E0)); +#114126=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114127=AXIS2_PLACEMENT_3D('',#114124,#114125,#114126); +#114128=PLANE('',#114127); +#114130=ORIENTED_EDGE('',*,*,#114129,.F.); +#114132=ORIENTED_EDGE('',*,*,#114131,.T.); +#114134=ORIENTED_EDGE('',*,*,#114133,.T.); +#114136=ORIENTED_EDGE('',*,*,#114135,.T.); +#114137=ORIENTED_EDGE('',*,*,#60282,.F.); +#114139=ORIENTED_EDGE('',*,*,#114138,.T.); +#114141=ORIENTED_EDGE('',*,*,#114140,.T.); +#114143=ORIENTED_EDGE('',*,*,#114142,.T.); +#114144=EDGE_LOOP('',(#114130,#114132,#114134,#114136,#114137,#114139,#114141, +#114143)); +#114145=FACE_OUTER_BOUND('',#114144,.F.); +#114147=CARTESIAN_POINT('',(8.15E0,-1.094019237886E1,-3.0895E1)); +#114148=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#114149=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#114150=AXIS2_PLACEMENT_3D('',#114147,#114148,#114149); +#114151=PLANE('',#114150); +#114152=ORIENTED_EDGE('',*,*,#59326,.F.); +#114154=ORIENTED_EDGE('',*,*,#114153,.F.); +#114155=ORIENTED_EDGE('',*,*,#114106,.T.); +#114157=ORIENTED_EDGE('',*,*,#114156,.T.); +#114158=EDGE_LOOP('',(#114152,#114154,#114155,#114157)); +#114159=FACE_OUTER_BOUND('',#114158,.F.); +#114161=CARTESIAN_POINT('',(8.15E0,-1.094019237886E1,-3.0895E1)); +#114162=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#114163=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#114164=AXIS2_PLACEMENT_3D('',#114161,#114162,#114163); +#114165=PLANE('',#114164); +#114166=ORIENTED_EDGE('',*,*,#59316,.F.); +#114168=ORIENTED_EDGE('',*,*,#114167,.F.); +#114169=ORIENTED_EDGE('',*,*,#114129,.T.); +#114171=ORIENTED_EDGE('',*,*,#114170,.T.); +#114172=EDGE_LOOP('',(#114166,#114168,#114169,#114171)); +#114173=FACE_OUTER_BOUND('',#114172,.F.); +#114175=CARTESIAN_POINT('',(8.15E0,-1.72E1,-2.8355E1)); +#114176=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114177=DIRECTION('',(0.E0,1.E0,0.E0)); +#114178=AXIS2_PLACEMENT_3D('',#114175,#114176,#114177); +#114179=PLANE('',#114178); +#114181=ORIENTED_EDGE('',*,*,#114180,.T.); +#114182=ORIENTED_EDGE('',*,*,#58256,.F.); +#114184=ORIENTED_EDGE('',*,*,#114183,.F.); +#114186=ORIENTED_EDGE('',*,*,#114185,.T.); +#114187=EDGE_LOOP('',(#114181,#114182,#114184,#114186)); +#114188=FACE_OUTER_BOUND('',#114187,.F.); +#114190=CARTESIAN_POINT('',(8.15E0,-1.72E1,-2.8355E1)); +#114191=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114192=DIRECTION('',(0.E0,1.E0,0.E0)); +#114193=AXIS2_PLACEMENT_3D('',#114190,#114191,#114192); +#114194=PLANE('',#114193); +#114196=ORIENTED_EDGE('',*,*,#114195,.T.); +#114198=ORIENTED_EDGE('',*,*,#114197,.T.); +#114200=ORIENTED_EDGE('',*,*,#114199,.F.); +#114201=ORIENTED_EDGE('',*,*,#114108,.F.); +#114202=ORIENTED_EDGE('',*,*,#114153,.T.); +#114203=ORIENTED_EDGE('',*,*,#59324,.T.); +#114204=EDGE_LOOP('',(#114196,#114198,#114200,#114201,#114202,#114203)); +#114205=FACE_OUTER_BOUND('',#114204,.F.); +#114207=CARTESIAN_POINT('',(8.9E0,-1.72E1,-3.0895E1)); +#114208=DIRECTION('',(-1.E0,0.E0,0.E0)); +#114209=DIRECTION('',(0.E0,1.E0,0.E0)); +#114210=AXIS2_PLACEMENT_3D('',#114207,#114208,#114209); +#114211=PLANE('',#114210); +#114212=ORIENTED_EDGE('',*,*,#58258,.F.); +#114213=ORIENTED_EDGE('',*,*,#114180,.F.); +#114215=ORIENTED_EDGE('',*,*,#114214,.F.); +#114217=ORIENTED_EDGE('',*,*,#114216,.T.); +#114218=EDGE_LOOP('',(#114212,#114213,#114215,#114217)); +#114219=FACE_OUTER_BOUND('',#114218,.F.); +#114221=CARTESIAN_POINT('',(8.9E0,-1.72E1,-3.0895E1)); +#114222=DIRECTION('',(-1.E0,0.E0,0.E0)); +#114223=DIRECTION('',(0.E0,1.E0,0.E0)); +#114224=AXIS2_PLACEMENT_3D('',#114221,#114222,#114223); +#114225=PLANE('',#114224); +#114226=ORIENTED_EDGE('',*,*,#58248,.F.); +#114228=ORIENTED_EDGE('',*,*,#114227,.F.); +#114230=ORIENTED_EDGE('',*,*,#114229,.F.); +#114232=ORIENTED_EDGE('',*,*,#114231,.T.); +#114233=EDGE_LOOP('',(#114226,#114228,#114230,#114232)); +#114234=FACE_OUTER_BOUND('',#114233,.F.); +#114236=CARTESIAN_POINT('',(8.15E0,-1.72E1,-2.7725E1)); +#114237=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114238=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114239=AXIS2_PLACEMENT_3D('',#114236,#114237,#114238); +#114240=PLANE('',#114239); +#114241=ORIENTED_EDGE('',*,*,#114214,.T.); +#114242=ORIENTED_EDGE('',*,*,#114185,.F.); +#114244=ORIENTED_EDGE('',*,*,#114243,.F.); +#114246=ORIENTED_EDGE('',*,*,#114245,.T.); +#114247=EDGE_LOOP('',(#114241,#114242,#114244,#114246)); +#114248=FACE_OUTER_BOUND('',#114247,.F.); +#114250=CARTESIAN_POINT('',(8.3E0,-1.35E1,-3.0895E1)); +#114251=DIRECTION('',(1.E0,0.E0,0.E0)); +#114252=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114253=AXIS2_PLACEMENT_3D('',#114250,#114251,#114252); +#114254=PLANE('',#114253); +#114255=ORIENTED_EDGE('',*,*,#58262,.T.); +#114257=ORIENTED_EDGE('',*,*,#114256,.F.); +#114258=ORIENTED_EDGE('',*,*,#114243,.T.); +#114259=ORIENTED_EDGE('',*,*,#114183,.T.); +#114260=EDGE_LOOP('',(#114255,#114257,#114258,#114259)); +#114261=FACE_OUTER_BOUND('',#114260,.F.); +#114263=CARTESIAN_POINT('',(8.3E0,-1.35E1,-3.0895E1)); +#114264=DIRECTION('',(1.E0,0.E0,0.E0)); +#114265=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114266=AXIS2_PLACEMENT_3D('',#114263,#114264,#114265); +#114267=PLANE('',#114266); +#114268=ORIENTED_EDGE('',*,*,#58252,.T.); +#114270=ORIENTED_EDGE('',*,*,#114269,.F.); +#114272=ORIENTED_EDGE('',*,*,#114271,.T.); +#114274=ORIENTED_EDGE('',*,*,#114273,.T.); +#114275=EDGE_LOOP('',(#114268,#114270,#114272,#114274)); +#114276=FACE_OUTER_BOUND('',#114275,.F.); +#114278=CARTESIAN_POINT('',(8.15E0,3.815E0,-2.7725E1)); +#114279=DIRECTION('',(0.E0,0.E0,1.E0)); +#114280=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114281=AXIS2_PLACEMENT_3D('',#114278,#114279,#114280); +#114282=PLANE('',#114281); +#114283=ORIENTED_EDGE('',*,*,#114216,.F.); +#114284=ORIENTED_EDGE('',*,*,#114245,.F.); +#114285=ORIENTED_EDGE('',*,*,#114256,.T.); +#114286=ORIENTED_EDGE('',*,*,#58260,.T.); +#114287=EDGE_LOOP('',(#114283,#114284,#114285,#114286)); +#114288=FACE_OUTER_BOUND('',#114287,.F.); +#114290=CARTESIAN_POINT('',(8.15E0,3.815E0,-2.7725E1)); +#114291=DIRECTION('',(0.E0,0.E0,1.E0)); +#114292=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114293=AXIS2_PLACEMENT_3D('',#114290,#114291,#114292); +#114294=PLANE('',#114293); +#114296=ORIENTED_EDGE('',*,*,#114295,.F.); +#114297=ORIENTED_EDGE('',*,*,#59320,.F.); +#114298=ORIENTED_EDGE('',*,*,#114156,.F.); +#114299=ORIENTED_EDGE('',*,*,#114119,.F.); +#114301=ORIENTED_EDGE('',*,*,#114300,.T.); +#114303=ORIENTED_EDGE('',*,*,#114302,.T.); +#114304=EDGE_LOOP('',(#114296,#114297,#114298,#114299,#114301,#114303)); +#114305=FACE_OUTER_BOUND('',#114304,.F.); +#114307=CARTESIAN_POINT('',(8.9E0,-1.12E1,-3.0895E1)); +#114308=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#114309=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#114310=AXIS2_PLACEMENT_3D('',#114307,#114308,#114309); +#114311=PLANE('',#114310); +#114312=ORIENTED_EDGE('',*,*,#59322,.T.); +#114313=ORIENTED_EDGE('',*,*,#114295,.T.); +#114315=ORIENTED_EDGE('',*,*,#114314,.F.); +#114316=ORIENTED_EDGE('',*,*,#114195,.F.); +#114317=EDGE_LOOP('',(#114312,#114313,#114315,#114316)); +#114318=FACE_OUTER_BOUND('',#114317,.F.); +#114320=CARTESIAN_POINT('',(8.9E0,-1.12E1,-3.0895E1)); +#114321=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#114322=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#114323=AXIS2_PLACEMENT_3D('',#114320,#114321,#114322); +#114324=PLANE('',#114323); +#114325=ORIENTED_EDGE('',*,*,#59312,.T.); +#114327=ORIENTED_EDGE('',*,*,#114326,.T.); +#114329=ORIENTED_EDGE('',*,*,#114328,.F.); +#114331=ORIENTED_EDGE('',*,*,#114330,.F.); +#114332=EDGE_LOOP('',(#114325,#114327,#114329,#114331)); +#114333=FACE_OUTER_BOUND('',#114332,.F.); +#114335=CARTESIAN_POINT('',(9.05E0,1.033E1,0.E0)); +#114336=DIRECTION('',(1.E0,0.E0,0.E0)); +#114337=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114338=AXIS2_PLACEMENT_3D('',#114335,#114336,#114337); +#114339=PLANE('',#114338); +#114340=ORIENTED_EDGE('',*,*,#114314,.T.); +#114341=ORIENTED_EDGE('',*,*,#114302,.F.); +#114343=ORIENTED_EDGE('',*,*,#114342,.F.); +#114345=ORIENTED_EDGE('',*,*,#114344,.F.); +#114346=ORIENTED_EDGE('',*,*,#103857,.T.); +#114348=ORIENTED_EDGE('',*,*,#114347,.F.); +#114350=ORIENTED_EDGE('',*,*,#114349,.F.); +#114351=ORIENTED_EDGE('',*,*,#114197,.F.); +#114352=EDGE_LOOP('',(#114340,#114341,#114343,#114345,#114346,#114348,#114350, +#114351)); +#114353=FACE_OUTER_BOUND('',#114352,.F.); +#114355=CARTESIAN_POINT('',(9.05E0,1.033E1,0.E0)); +#114356=DIRECTION('',(1.E0,0.E0,0.E0)); +#114357=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114358=AXIS2_PLACEMENT_3D('',#114355,#114356,#114357); +#114359=PLANE('',#114358); +#114360=ORIENTED_EDGE('',*,*,#114328,.T.); +#114362=ORIENTED_EDGE('',*,*,#114361,.F.); +#114364=ORIENTED_EDGE('',*,*,#114363,.F.); +#114366=ORIENTED_EDGE('',*,*,#114365,.F.); +#114367=ORIENTED_EDGE('',*,*,#60286,.T.); +#114369=ORIENTED_EDGE('',*,*,#114368,.F.); +#114371=ORIENTED_EDGE('',*,*,#114370,.F.); +#114373=ORIENTED_EDGE('',*,*,#114372,.F.); +#114374=EDGE_LOOP('',(#114360,#114362,#114364,#114366,#114367,#114369,#114371, +#114373)); +#114375=FACE_OUTER_BOUND('',#114374,.F.); +#114377=CARTESIAN_POINT('',(8.15E0,3.815E0,-2.6725E1)); +#114378=DIRECTION('',(1.E0,0.E0,0.E0)); +#114379=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114380=AXIS2_PLACEMENT_3D('',#114377,#114378,#114379); +#114381=CYLINDRICAL_SURFACE('',#114380,1.E0); +#114382=ORIENTED_EDGE('',*,*,#114117,.F.); +#114384=ORIENTED_EDGE('',*,*,#114383,.T.); +#114385=ORIENTED_EDGE('',*,*,#114342,.T.); +#114386=ORIENTED_EDGE('',*,*,#114300,.F.); +#114387=EDGE_LOOP('',(#114382,#114384,#114385,#114386)); +#114388=FACE_OUTER_BOUND('',#114387,.F.); +#114390=CARTESIAN_POINT('',(8.15E0,4.815E0,-2.36E1)); +#114391=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114392=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114393=AXIS2_PLACEMENT_3D('',#114390,#114391,#114392); +#114394=PLANE('',#114393); +#114395=ORIENTED_EDGE('',*,*,#114115,.F.); +#114396=ORIENTED_EDGE('',*,*,#103859,.T.); +#114397=ORIENTED_EDGE('',*,*,#114344,.T.); +#114398=ORIENTED_EDGE('',*,*,#114383,.F.); +#114399=EDGE_LOOP('',(#114395,#114396,#114397,#114398)); +#114400=FACE_OUTER_BOUND('',#114399,.F.); +#114402=CARTESIAN_POINT('',(8.15E0,5.445E0,-2.6725E1)); +#114403=DIRECTION('',(0.E0,1.E0,0.E0)); +#114404=DIRECTION('',(0.E0,0.E0,1.E0)); +#114405=AXIS2_PLACEMENT_3D('',#114402,#114403,#114404); +#114406=PLANE('',#114405); +#114407=ORIENTED_EDGE('',*,*,#114112,.F.); +#114409=ORIENTED_EDGE('',*,*,#114408,.T.); +#114410=ORIENTED_EDGE('',*,*,#114347,.T.); +#114411=ORIENTED_EDGE('',*,*,#103855,.F.); +#114412=EDGE_LOOP('',(#114407,#114409,#114410,#114411)); +#114413=FACE_OUTER_BOUND('',#114412,.F.); +#114415=CARTESIAN_POINT('',(8.15E0,3.815E0,-2.6725E1)); +#114416=DIRECTION('',(1.E0,0.E0,0.E0)); +#114417=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114418=AXIS2_PLACEMENT_3D('',#114415,#114416,#114417); +#114419=CYLINDRICAL_SURFACE('',#114418,1.63E0); +#114420=ORIENTED_EDGE('',*,*,#114110,.F.); +#114421=ORIENTED_EDGE('',*,*,#114199,.T.); +#114422=ORIENTED_EDGE('',*,*,#114349,.T.); +#114423=ORIENTED_EDGE('',*,*,#114408,.F.); +#114424=EDGE_LOOP('',(#114420,#114421,#114422,#114423)); +#114425=FACE_OUTER_BOUND('',#114424,.F.); +#114427=CARTESIAN_POINT('',(8.15E0,-3.285E0,-2.5185E1)); +#114428=DIRECTION('',(0.E0,0.E0,1.E0)); +#114429=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114430=AXIS2_PLACEMENT_3D('',#114427,#114428,#114429); +#114431=PLANE('',#114430); +#114432=ORIENTED_EDGE('',*,*,#114231,.F.); +#114434=ORIENTED_EDGE('',*,*,#114433,.F.); +#114435=ORIENTED_EDGE('',*,*,#114269,.T.); +#114436=ORIENTED_EDGE('',*,*,#58250,.T.); +#114437=EDGE_LOOP('',(#114432,#114434,#114435,#114436)); +#114438=FACE_OUTER_BOUND('',#114437,.F.); +#114440=CARTESIAN_POINT('',(8.15E0,-3.285E0,-2.5185E1)); +#114441=DIRECTION('',(0.E0,0.E0,1.E0)); +#114442=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114443=AXIS2_PLACEMENT_3D('',#114440,#114441,#114442); +#114444=PLANE('',#114443); +#114445=ORIENTED_EDGE('',*,*,#114326,.F.); +#114446=ORIENTED_EDGE('',*,*,#59310,.F.); +#114447=ORIENTED_EDGE('',*,*,#114170,.F.); +#114448=ORIENTED_EDGE('',*,*,#114142,.F.); +#114450=ORIENTED_EDGE('',*,*,#114449,.T.); +#114451=ORIENTED_EDGE('',*,*,#114361,.T.); +#114452=EDGE_LOOP('',(#114445,#114446,#114447,#114448,#114450,#114451)); +#114453=FACE_OUTER_BOUND('',#114452,.F.); +#114455=CARTESIAN_POINT('',(8.15E0,-1.72E1,-2.5185E1)); +#114456=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114457=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114458=AXIS2_PLACEMENT_3D('',#114455,#114456,#114457); +#114459=PLANE('',#114458); +#114460=ORIENTED_EDGE('',*,*,#114229,.T.); +#114462=ORIENTED_EDGE('',*,*,#114461,.F.); +#114463=ORIENTED_EDGE('',*,*,#114271,.F.); +#114464=ORIENTED_EDGE('',*,*,#114433,.T.); +#114465=EDGE_LOOP('',(#114460,#114462,#114463,#114464)); +#114466=FACE_OUTER_BOUND('',#114465,.F.); +#114468=CARTESIAN_POINT('',(8.15E0,-1.72E1,-2.5815E1)); +#114469=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114470=DIRECTION('',(0.E0,1.E0,0.E0)); +#114471=AXIS2_PLACEMENT_3D('',#114468,#114469,#114470); +#114472=PLANE('',#114471); +#114473=ORIENTED_EDGE('',*,*,#114227,.T.); +#114474=ORIENTED_EDGE('',*,*,#58246,.F.); +#114475=ORIENTED_EDGE('',*,*,#114273,.F.); +#114476=ORIENTED_EDGE('',*,*,#114461,.T.); +#114477=EDGE_LOOP('',(#114473,#114474,#114475,#114476)); +#114478=FACE_OUTER_BOUND('',#114477,.F.); +#114480=CARTESIAN_POINT('',(8.15E0,-1.72E1,-2.5815E1)); +#114481=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114482=DIRECTION('',(0.E0,1.E0,0.E0)); +#114483=AXIS2_PLACEMENT_3D('',#114480,#114481,#114482); +#114484=PLANE('',#114483); +#114485=ORIENTED_EDGE('',*,*,#114330,.T.); +#114486=ORIENTED_EDGE('',*,*,#114372,.T.); +#114488=ORIENTED_EDGE('',*,*,#114487,.F.); +#114489=ORIENTED_EDGE('',*,*,#114131,.F.); +#114490=ORIENTED_EDGE('',*,*,#114167,.T.); +#114491=ORIENTED_EDGE('',*,*,#59314,.T.); +#114492=EDGE_LOOP('',(#114485,#114486,#114488,#114489,#114490,#114491)); +#114493=FACE_OUTER_BOUND('',#114492,.F.); +#114495=CARTESIAN_POINT('',(8.15E0,-3.285E0,-2.4685E1)); +#114496=DIRECTION('',(1.E0,0.E0,0.E0)); +#114497=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114498=AXIS2_PLACEMENT_3D('',#114495,#114496,#114497); +#114499=CYLINDRICAL_SURFACE('',#114498,1.13E0); +#114500=ORIENTED_EDGE('',*,*,#114133,.F.); +#114501=ORIENTED_EDGE('',*,*,#114487,.T.); +#114502=ORIENTED_EDGE('',*,*,#114370,.T.); +#114504=ORIENTED_EDGE('',*,*,#114503,.F.); +#114505=EDGE_LOOP('',(#114500,#114501,#114502,#114504)); +#114506=FACE_OUTER_BOUND('',#114505,.F.); +#114508=CARTESIAN_POINT('',(8.15E0,-2.155E0,-2.4685E1)); +#114509=DIRECTION('',(0.E0,1.E0,0.E0)); +#114510=DIRECTION('',(0.E0,0.E0,1.E0)); +#114511=AXIS2_PLACEMENT_3D('',#114508,#114509,#114510); +#114512=PLANE('',#114511); +#114513=ORIENTED_EDGE('',*,*,#114135,.F.); +#114514=ORIENTED_EDGE('',*,*,#114503,.T.); +#114515=ORIENTED_EDGE('',*,*,#114368,.T.); +#114516=ORIENTED_EDGE('',*,*,#60284,.F.); +#114517=EDGE_LOOP('',(#114513,#114514,#114515,#114516)); +#114518=FACE_OUTER_BOUND('',#114517,.F.); +#114520=CARTESIAN_POINT('',(8.15E0,-3.285E0,-2.4685E1)); +#114521=DIRECTION('',(1.E0,0.E0,0.E0)); +#114522=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114523=AXIS2_PLACEMENT_3D('',#114520,#114521,#114522); +#114524=CYLINDRICAL_SURFACE('',#114523,5.E-1); +#114525=ORIENTED_EDGE('',*,*,#114140,.F.); +#114527=ORIENTED_EDGE('',*,*,#114526,.T.); +#114528=ORIENTED_EDGE('',*,*,#114363,.T.); +#114529=ORIENTED_EDGE('',*,*,#114449,.F.); +#114530=EDGE_LOOP('',(#114525,#114527,#114528,#114529)); +#114531=FACE_OUTER_BOUND('',#114530,.F.); +#114533=CARTESIAN_POINT('',(8.15E0,-2.785E0,-2.36E1)); +#114534=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114535=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114536=AXIS2_PLACEMENT_3D('',#114533,#114534,#114535); +#114537=PLANE('',#114536); +#114538=ORIENTED_EDGE('',*,*,#114138,.F.); +#114539=ORIENTED_EDGE('',*,*,#60288,.T.); +#114540=ORIENTED_EDGE('',*,*,#114365,.T.); +#114541=ORIENTED_EDGE('',*,*,#114526,.F.); +#114542=EDGE_LOOP('',(#114538,#114539,#114540,#114541)); +#114543=FACE_OUTER_BOUND('',#114542,.F.); +#114545=CARTESIAN_POINT('',(2.38E1,6.33E0,0.E0)); +#114546=DIRECTION('',(1.E0,0.E0,0.E0)); +#114547=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114548=AXIS2_PLACEMENT_3D('',#114545,#114546,#114547); +#114549=PLANE('',#114548); +#114551=ORIENTED_EDGE('',*,*,#114550,.F.); +#114553=ORIENTED_EDGE('',*,*,#114552,.T.); +#114555=ORIENTED_EDGE('',*,*,#114554,.T.); +#114557=ORIENTED_EDGE('',*,*,#114556,.T.); +#114558=ORIENTED_EDGE('',*,*,#103471,.F.); +#114560=ORIENTED_EDGE('',*,*,#114559,.T.); +#114562=ORIENTED_EDGE('',*,*,#114561,.T.); +#114564=ORIENTED_EDGE('',*,*,#114563,.T.); +#114565=EDGE_LOOP('',(#114551,#114553,#114555,#114557,#114558,#114560,#114562, +#114564)); +#114566=FACE_OUTER_BOUND('',#114565,.F.); +#114568=CARTESIAN_POINT('',(2.38E1,6.33E0,0.E0)); +#114569=DIRECTION('',(1.E0,0.E0,0.E0)); +#114570=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114571=AXIS2_PLACEMENT_3D('',#114568,#114569,#114570); +#114572=PLANE('',#114571); +#114574=ORIENTED_EDGE('',*,*,#114573,.F.); +#114576=ORIENTED_EDGE('',*,*,#114575,.T.); +#114578=ORIENTED_EDGE('',*,*,#114577,.T.); +#114580=ORIENTED_EDGE('',*,*,#114579,.T.); +#114581=ORIENTED_EDGE('',*,*,#60292,.F.); +#114583=ORIENTED_EDGE('',*,*,#114582,.T.); +#114585=ORIENTED_EDGE('',*,*,#114584,.T.); +#114587=ORIENTED_EDGE('',*,*,#114586,.T.); +#114588=EDGE_LOOP('',(#114574,#114576,#114578,#114580,#114581,#114583,#114585, +#114587)); +#114589=FACE_OUTER_BOUND('',#114588,.F.); +#114591=CARTESIAN_POINT('',(2.38E1,-1.094019237886E1,-3.0895E1)); +#114592=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#114593=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#114594=AXIS2_PLACEMENT_3D('',#114591,#114592,#114593); +#114595=PLANE('',#114594); +#114596=ORIENTED_EDGE('',*,*,#59336,.F.); +#114598=ORIENTED_EDGE('',*,*,#114597,.F.); +#114599=ORIENTED_EDGE('',*,*,#114550,.T.); +#114601=ORIENTED_EDGE('',*,*,#114600,.T.); +#114602=EDGE_LOOP('',(#114596,#114598,#114599,#114601)); +#114603=FACE_OUTER_BOUND('',#114602,.F.); +#114605=CARTESIAN_POINT('',(2.38E1,-1.094019237886E1,-3.0895E1)); +#114606=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#114607=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#114608=AXIS2_PLACEMENT_3D('',#114605,#114606,#114607); +#114609=PLANE('',#114608); +#114610=ORIENTED_EDGE('',*,*,#59346,.F.); +#114612=ORIENTED_EDGE('',*,*,#114611,.F.); +#114613=ORIENTED_EDGE('',*,*,#114573,.T.); +#114615=ORIENTED_EDGE('',*,*,#114614,.T.); +#114616=EDGE_LOOP('',(#114610,#114612,#114613,#114615)); +#114617=FACE_OUTER_BOUND('',#114616,.F.); +#114619=CARTESIAN_POINT('',(2.38E1,-1.72E1,-3.0895E1)); +#114620=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114621=DIRECTION('',(0.E0,1.E0,0.E0)); +#114622=AXIS2_PLACEMENT_3D('',#114619,#114620,#114621); +#114623=PLANE('',#114622); +#114625=ORIENTED_EDGE('',*,*,#114624,.F.); +#114627=ORIENTED_EDGE('',*,*,#114626,.T.); +#114629=ORIENTED_EDGE('',*,*,#114628,.T.); +#114630=ORIENTED_EDGE('',*,*,#58266,.F.); +#114631=EDGE_LOOP('',(#114625,#114627,#114629,#114630)); +#114632=FACE_OUTER_BOUND('',#114631,.F.); +#114634=CARTESIAN_POINT('',(2.38E1,-1.72E1,-3.0895E1)); +#114635=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114636=DIRECTION('',(0.E0,1.E0,0.E0)); +#114637=AXIS2_PLACEMENT_3D('',#114634,#114635,#114636); +#114638=PLANE('',#114637); +#114639=ORIENTED_EDGE('',*,*,#114597,.T.); +#114640=ORIENTED_EDGE('',*,*,#59334,.T.); +#114642=ORIENTED_EDGE('',*,*,#114641,.T.); +#114644=ORIENTED_EDGE('',*,*,#114643,.T.); +#114646=ORIENTED_EDGE('',*,*,#114645,.F.); +#114647=ORIENTED_EDGE('',*,*,#114552,.F.); +#114648=EDGE_LOOP('',(#114639,#114640,#114642,#114644,#114646,#114647)); +#114649=FACE_OUTER_BOUND('',#114648,.F.); +#114651=CARTESIAN_POINT('',(2.395E1,-1.35E1,-3.0895E1)); +#114652=DIRECTION('',(1.E0,0.E0,0.E0)); +#114653=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114654=AXIS2_PLACEMENT_3D('',#114651,#114652,#114653); +#114655=PLANE('',#114654); +#114656=ORIENTED_EDGE('',*,*,#58272,.T.); +#114658=ORIENTED_EDGE('',*,*,#114657,.F.); +#114660=ORIENTED_EDGE('',*,*,#114659,.T.); +#114661=ORIENTED_EDGE('',*,*,#114624,.T.); +#114662=EDGE_LOOP('',(#114656,#114658,#114660,#114661)); +#114663=FACE_OUTER_BOUND('',#114662,.F.); +#114665=CARTESIAN_POINT('',(2.395E1,-1.35E1,-3.0895E1)); +#114666=DIRECTION('',(1.E0,0.E0,0.E0)); +#114667=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114668=AXIS2_PLACEMENT_3D('',#114665,#114666,#114667); +#114669=PLANE('',#114668); +#114670=ORIENTED_EDGE('',*,*,#58282,.T.); +#114672=ORIENTED_EDGE('',*,*,#114671,.F.); +#114674=ORIENTED_EDGE('',*,*,#114673,.T.); +#114676=ORIENTED_EDGE('',*,*,#114675,.T.); +#114677=EDGE_LOOP('',(#114670,#114672,#114674,#114676)); +#114678=FACE_OUTER_BOUND('',#114677,.F.); +#114680=CARTESIAN_POINT('',(2.38E1,3.815E0,-3.0265E1)); +#114681=DIRECTION('',(0.E0,0.E0,1.E0)); +#114682=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114683=AXIS2_PLACEMENT_3D('',#114680,#114681,#114682); +#114684=PLANE('',#114683); +#114685=ORIENTED_EDGE('',*,*,#114657,.T.); +#114686=ORIENTED_EDGE('',*,*,#58270,.T.); +#114688=ORIENTED_EDGE('',*,*,#114687,.F.); +#114690=ORIENTED_EDGE('',*,*,#114689,.F.); +#114691=EDGE_LOOP('',(#114685,#114686,#114688,#114690)); +#114692=FACE_OUTER_BOUND('',#114691,.F.); +#114694=CARTESIAN_POINT('',(2.38E1,3.815E0,-3.0265E1)); +#114695=DIRECTION('',(0.E0,0.E0,1.E0)); +#114696=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114697=AXIS2_PLACEMENT_3D('',#114694,#114695,#114696); +#114698=PLANE('',#114697); +#114699=ORIENTED_EDGE('',*,*,#114600,.F.); +#114700=ORIENTED_EDGE('',*,*,#114563,.F.); +#114702=ORIENTED_EDGE('',*,*,#114701,.T.); +#114704=ORIENTED_EDGE('',*,*,#114703,.T.); +#114706=ORIENTED_EDGE('',*,*,#114705,.F.); +#114707=ORIENTED_EDGE('',*,*,#59330,.F.); +#114708=EDGE_LOOP('',(#114699,#114700,#114702,#114704,#114706,#114707)); +#114709=FACE_OUTER_BOUND('',#114708,.F.); +#114711=CARTESIAN_POINT('',(2.455E1,-1.72E1,-3.0895E1)); +#114712=DIRECTION('',(-1.E0,0.E0,0.E0)); +#114713=DIRECTION('',(0.E0,1.E0,0.E0)); +#114714=AXIS2_PLACEMENT_3D('',#114711,#114712,#114713); +#114715=PLANE('',#114714); +#114716=ORIENTED_EDGE('',*,*,#58268,.F.); +#114717=ORIENTED_EDGE('',*,*,#114628,.F.); +#114719=ORIENTED_EDGE('',*,*,#114718,.F.); +#114720=ORIENTED_EDGE('',*,*,#114687,.T.); +#114721=EDGE_LOOP('',(#114716,#114717,#114719,#114720)); +#114722=FACE_OUTER_BOUND('',#114721,.F.); +#114724=CARTESIAN_POINT('',(2.455E1,-1.72E1,-3.0895E1)); +#114725=DIRECTION('',(-1.E0,0.E0,0.E0)); +#114726=DIRECTION('',(0.E0,1.E0,0.E0)); +#114727=AXIS2_PLACEMENT_3D('',#114724,#114725,#114726); +#114728=PLANE('',#114727); +#114729=ORIENTED_EDGE('',*,*,#58278,.F.); +#114731=ORIENTED_EDGE('',*,*,#114730,.F.); +#114733=ORIENTED_EDGE('',*,*,#114732,.F.); +#114735=ORIENTED_EDGE('',*,*,#114734,.T.); +#114736=EDGE_LOOP('',(#114729,#114731,#114733,#114735)); +#114737=FACE_OUTER_BOUND('',#114736,.F.); +#114739=CARTESIAN_POINT('',(2.38E1,-1.72E1,-3.0265E1)); +#114740=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114741=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114742=AXIS2_PLACEMENT_3D('',#114739,#114740,#114741); +#114743=PLANE('',#114742); +#114744=ORIENTED_EDGE('',*,*,#114659,.F.); +#114745=ORIENTED_EDGE('',*,*,#114689,.T.); +#114746=ORIENTED_EDGE('',*,*,#114718,.T.); +#114747=ORIENTED_EDGE('',*,*,#114626,.F.); +#114748=EDGE_LOOP('',(#114744,#114745,#114746,#114747)); +#114749=FACE_OUTER_BOUND('',#114748,.F.); +#114751=CARTESIAN_POINT('',(2.38E1,-1.72E1,-2.5815E1)); +#114752=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114753=DIRECTION('',(0.E0,1.E0,0.E0)); +#114754=AXIS2_PLACEMENT_3D('',#114751,#114752,#114753); +#114755=PLANE('',#114754); +#114756=ORIENTED_EDGE('',*,*,#114675,.F.); +#114758=ORIENTED_EDGE('',*,*,#114757,.T.); +#114759=ORIENTED_EDGE('',*,*,#114730,.T.); +#114760=ORIENTED_EDGE('',*,*,#58276,.F.); +#114761=EDGE_LOOP('',(#114756,#114758,#114759,#114760)); +#114762=FACE_OUTER_BOUND('',#114761,.F.); +#114764=CARTESIAN_POINT('',(2.38E1,-1.72E1,-2.5815E1)); +#114765=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114766=DIRECTION('',(0.E0,1.E0,0.E0)); +#114767=AXIS2_PLACEMENT_3D('',#114764,#114765,#114766); +#114768=PLANE('',#114767); +#114769=ORIENTED_EDGE('',*,*,#114611,.T.); +#114770=ORIENTED_EDGE('',*,*,#59344,.T.); +#114772=ORIENTED_EDGE('',*,*,#114771,.T.); +#114774=ORIENTED_EDGE('',*,*,#114773,.T.); +#114776=ORIENTED_EDGE('',*,*,#114775,.F.); +#114777=ORIENTED_EDGE('',*,*,#114575,.F.); +#114778=EDGE_LOOP('',(#114769,#114770,#114772,#114774,#114776,#114777)); +#114779=FACE_OUTER_BOUND('',#114778,.F.); +#114781=CARTESIAN_POINT('',(2.38E1,-1.72E1,-2.5185E1)); +#114782=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114783=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114784=AXIS2_PLACEMENT_3D('',#114781,#114782,#114783); +#114785=PLANE('',#114784); +#114786=ORIENTED_EDGE('',*,*,#114673,.F.); +#114788=ORIENTED_EDGE('',*,*,#114787,.T.); +#114789=ORIENTED_EDGE('',*,*,#114732,.T.); +#114790=ORIENTED_EDGE('',*,*,#114757,.F.); +#114791=EDGE_LOOP('',(#114786,#114788,#114789,#114790)); +#114792=FACE_OUTER_BOUND('',#114791,.F.); +#114794=CARTESIAN_POINT('',(2.38E1,-3.285E0,-2.5185E1)); +#114795=DIRECTION('',(0.E0,0.E0,1.E0)); +#114796=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114797=AXIS2_PLACEMENT_3D('',#114794,#114795,#114796); +#114798=PLANE('',#114797); +#114799=ORIENTED_EDGE('',*,*,#114671,.T.); +#114800=ORIENTED_EDGE('',*,*,#58280,.T.); +#114801=ORIENTED_EDGE('',*,*,#114734,.F.); +#114802=ORIENTED_EDGE('',*,*,#114787,.F.); +#114803=EDGE_LOOP('',(#114799,#114800,#114801,#114802)); +#114804=FACE_OUTER_BOUND('',#114803,.F.); +#114806=CARTESIAN_POINT('',(2.38E1,-3.285E0,-2.5185E1)); +#114807=DIRECTION('',(0.E0,0.E0,1.E0)); +#114808=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114809=AXIS2_PLACEMENT_3D('',#114806,#114807,#114808); +#114810=PLANE('',#114809); +#114811=ORIENTED_EDGE('',*,*,#114614,.F.); +#114812=ORIENTED_EDGE('',*,*,#114586,.F.); +#114814=ORIENTED_EDGE('',*,*,#114813,.T.); +#114816=ORIENTED_EDGE('',*,*,#114815,.T.); +#114818=ORIENTED_EDGE('',*,*,#114817,.F.); +#114819=ORIENTED_EDGE('',*,*,#59340,.F.); +#114820=EDGE_LOOP('',(#114811,#114812,#114814,#114816,#114818,#114819)); +#114821=FACE_OUTER_BOUND('',#114820,.F.); +#114823=CARTESIAN_POINT('',(2.38E1,-3.285E0,-2.4685E1)); +#114824=DIRECTION('',(1.E0,0.E0,0.E0)); +#114825=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114826=AXIS2_PLACEMENT_3D('',#114823,#114824,#114825); +#114827=CYLINDRICAL_SURFACE('',#114826,5.E-1); +#114828=ORIENTED_EDGE('',*,*,#114584,.F.); +#114830=ORIENTED_EDGE('',*,*,#114829,.T.); +#114832=ORIENTED_EDGE('',*,*,#114831,.T.); +#114833=ORIENTED_EDGE('',*,*,#114813,.F.); +#114834=EDGE_LOOP('',(#114828,#114830,#114832,#114833)); +#114835=FACE_OUTER_BOUND('',#114834,.F.); +#114837=CARTESIAN_POINT('',(2.38E1,-2.785E0,-2.36E1)); +#114838=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114839=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114840=AXIS2_PLACEMENT_3D('',#114837,#114838,#114839); +#114841=PLANE('',#114840); +#114842=ORIENTED_EDGE('',*,*,#114582,.F.); +#114843=ORIENTED_EDGE('',*,*,#60298,.T.); +#114845=ORIENTED_EDGE('',*,*,#114844,.T.); +#114846=ORIENTED_EDGE('',*,*,#114829,.F.); +#114847=EDGE_LOOP('',(#114842,#114843,#114845,#114846)); +#114848=FACE_OUTER_BOUND('',#114847,.F.); +#114850=CARTESIAN_POINT('',(2.47E1,6.33E0,0.E0)); +#114851=DIRECTION('',(1.E0,0.E0,0.E0)); +#114852=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114853=AXIS2_PLACEMENT_3D('',#114850,#114851,#114852); +#114854=PLANE('',#114853); +#114856=ORIENTED_EDGE('',*,*,#114855,.T.); +#114857=ORIENTED_EDGE('',*,*,#114703,.F.); +#114859=ORIENTED_EDGE('',*,*,#114858,.F.); +#114861=ORIENTED_EDGE('',*,*,#114860,.F.); +#114862=ORIENTED_EDGE('',*,*,#103475,.T.); +#114864=ORIENTED_EDGE('',*,*,#114863,.F.); +#114866=ORIENTED_EDGE('',*,*,#114865,.F.); +#114867=ORIENTED_EDGE('',*,*,#114643,.F.); +#114868=EDGE_LOOP('',(#114856,#114857,#114859,#114861,#114862,#114864,#114866, +#114867)); +#114869=FACE_OUTER_BOUND('',#114868,.F.); +#114871=CARTESIAN_POINT('',(2.47E1,6.33E0,0.E0)); +#114872=DIRECTION('',(1.E0,0.E0,0.E0)); +#114873=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114874=AXIS2_PLACEMENT_3D('',#114871,#114872,#114873); +#114875=PLANE('',#114874); +#114877=ORIENTED_EDGE('',*,*,#114876,.T.); +#114878=ORIENTED_EDGE('',*,*,#114815,.F.); +#114879=ORIENTED_EDGE('',*,*,#114831,.F.); +#114880=ORIENTED_EDGE('',*,*,#114844,.F.); +#114881=ORIENTED_EDGE('',*,*,#60296,.T.); +#114883=ORIENTED_EDGE('',*,*,#114882,.F.); +#114885=ORIENTED_EDGE('',*,*,#114884,.F.); +#114886=ORIENTED_EDGE('',*,*,#114773,.F.); +#114887=EDGE_LOOP('',(#114877,#114878,#114879,#114880,#114881,#114883,#114885, +#114886)); +#114888=FACE_OUTER_BOUND('',#114887,.F.); +#114890=CARTESIAN_POINT('',(2.455E1,-1.12E1,-3.0895E1)); +#114891=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#114892=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#114893=AXIS2_PLACEMENT_3D('',#114890,#114891,#114892); +#114894=PLANE('',#114893); +#114895=ORIENTED_EDGE('',*,*,#59332,.T.); +#114896=ORIENTED_EDGE('',*,*,#114705,.T.); +#114897=ORIENTED_EDGE('',*,*,#114855,.F.); +#114898=ORIENTED_EDGE('',*,*,#114641,.F.); +#114899=EDGE_LOOP('',(#114895,#114896,#114897,#114898)); +#114900=FACE_OUTER_BOUND('',#114899,.F.); +#114902=CARTESIAN_POINT('',(2.455E1,-1.12E1,-3.0895E1)); +#114903=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#114904=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#114905=AXIS2_PLACEMENT_3D('',#114902,#114903,#114904); +#114906=PLANE('',#114905); +#114907=ORIENTED_EDGE('',*,*,#59342,.T.); +#114908=ORIENTED_EDGE('',*,*,#114817,.T.); +#114909=ORIENTED_EDGE('',*,*,#114876,.F.); +#114910=ORIENTED_EDGE('',*,*,#114771,.F.); +#114911=EDGE_LOOP('',(#114907,#114908,#114909,#114910)); +#114912=FACE_OUTER_BOUND('',#114911,.F.); +#114914=CARTESIAN_POINT('',(2.38E1,3.815E0,-2.9265E1)); +#114915=DIRECTION('',(1.E0,0.E0,0.E0)); +#114916=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114917=AXIS2_PLACEMENT_3D('',#114914,#114915,#114916); +#114918=CYLINDRICAL_SURFACE('',#114917,1.E0); +#114919=ORIENTED_EDGE('',*,*,#114561,.F.); +#114921=ORIENTED_EDGE('',*,*,#114920,.T.); +#114922=ORIENTED_EDGE('',*,*,#114858,.T.); +#114923=ORIENTED_EDGE('',*,*,#114701,.F.); +#114924=EDGE_LOOP('',(#114919,#114921,#114922,#114923)); +#114925=FACE_OUTER_BOUND('',#114924,.F.); +#114927=CARTESIAN_POINT('',(2.38E1,4.815E0,-2.36E1)); +#114928=DIRECTION('',(0.E0,-1.E0,0.E0)); +#114929=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114930=AXIS2_PLACEMENT_3D('',#114927,#114928,#114929); +#114931=PLANE('',#114930); +#114932=ORIENTED_EDGE('',*,*,#114559,.F.); +#114933=ORIENTED_EDGE('',*,*,#103477,.T.); +#114934=ORIENTED_EDGE('',*,*,#114860,.T.); +#114935=ORIENTED_EDGE('',*,*,#114920,.F.); +#114936=EDGE_LOOP('',(#114932,#114933,#114934,#114935)); +#114937=FACE_OUTER_BOUND('',#114936,.F.); +#114939=CARTESIAN_POINT('',(2.38E1,5.445E0,-2.9265E1)); +#114940=DIRECTION('',(0.E0,1.E0,0.E0)); +#114941=DIRECTION('',(0.E0,0.E0,1.E0)); +#114942=AXIS2_PLACEMENT_3D('',#114939,#114940,#114941); +#114943=PLANE('',#114942); +#114944=ORIENTED_EDGE('',*,*,#114556,.F.); +#114946=ORIENTED_EDGE('',*,*,#114945,.T.); +#114947=ORIENTED_EDGE('',*,*,#114863,.T.); +#114948=ORIENTED_EDGE('',*,*,#103473,.F.); +#114949=EDGE_LOOP('',(#114944,#114946,#114947,#114948)); +#114950=FACE_OUTER_BOUND('',#114949,.F.); +#114952=CARTESIAN_POINT('',(2.38E1,3.815E0,-2.9265E1)); +#114953=DIRECTION('',(1.E0,0.E0,0.E0)); +#114954=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114955=AXIS2_PLACEMENT_3D('',#114952,#114953,#114954); +#114956=CYLINDRICAL_SURFACE('',#114955,1.63E0); +#114957=ORIENTED_EDGE('',*,*,#114554,.F.); +#114958=ORIENTED_EDGE('',*,*,#114645,.T.); +#114959=ORIENTED_EDGE('',*,*,#114865,.T.); +#114960=ORIENTED_EDGE('',*,*,#114945,.F.); +#114961=EDGE_LOOP('',(#114957,#114958,#114959,#114960)); +#114962=FACE_OUTER_BOUND('',#114961,.F.); +#114964=CARTESIAN_POINT('',(2.38E1,-2.155E0,-2.4685E1)); +#114965=DIRECTION('',(0.E0,1.E0,0.E0)); +#114966=DIRECTION('',(0.E0,0.E0,1.E0)); +#114967=AXIS2_PLACEMENT_3D('',#114964,#114965,#114966); +#114968=PLANE('',#114967); +#114969=ORIENTED_EDGE('',*,*,#114579,.F.); +#114971=ORIENTED_EDGE('',*,*,#114970,.T.); +#114972=ORIENTED_EDGE('',*,*,#114882,.T.); +#114973=ORIENTED_EDGE('',*,*,#60294,.F.); +#114974=EDGE_LOOP('',(#114969,#114971,#114972,#114973)); +#114975=FACE_OUTER_BOUND('',#114974,.F.); +#114977=CARTESIAN_POINT('',(2.38E1,-3.285E0,-2.4685E1)); +#114978=DIRECTION('',(1.E0,0.E0,0.E0)); +#114979=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114980=AXIS2_PLACEMENT_3D('',#114977,#114978,#114979); +#114981=CYLINDRICAL_SURFACE('',#114980,1.13E0); +#114982=ORIENTED_EDGE('',*,*,#114577,.F.); +#114983=ORIENTED_EDGE('',*,*,#114775,.T.); +#114984=ORIENTED_EDGE('',*,*,#114884,.T.); +#114985=ORIENTED_EDGE('',*,*,#114970,.F.); +#114986=EDGE_LOOP('',(#114982,#114983,#114984,#114985)); +#114987=FACE_OUTER_BOUND('',#114986,.F.); +#114989=CARTESIAN_POINT('',(2.595E1,1.033E1,0.E0)); +#114990=DIRECTION('',(1.E0,0.E0,0.E0)); +#114991=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114992=AXIS2_PLACEMENT_3D('',#114989,#114990,#114991); +#114993=PLANE('',#114992); +#114995=ORIENTED_EDGE('',*,*,#114994,.F.); +#114997=ORIENTED_EDGE('',*,*,#114996,.T.); +#114999=ORIENTED_EDGE('',*,*,#114998,.T.); +#115001=ORIENTED_EDGE('',*,*,#115000,.T.); +#115002=ORIENTED_EDGE('',*,*,#103481,.F.); +#115004=ORIENTED_EDGE('',*,*,#115003,.T.); +#115006=ORIENTED_EDGE('',*,*,#115005,.T.); +#115008=ORIENTED_EDGE('',*,*,#115007,.T.); +#115009=EDGE_LOOP('',(#114995,#114997,#114999,#115001,#115002,#115004,#115006, +#115008)); +#115010=FACE_OUTER_BOUND('',#115009,.F.); +#115012=CARTESIAN_POINT('',(2.595E1,1.033E1,0.E0)); +#115013=DIRECTION('',(1.E0,0.E0,0.E0)); +#115014=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115015=AXIS2_PLACEMENT_3D('',#115012,#115013,#115014); +#115016=PLANE('',#115015); +#115018=ORIENTED_EDGE('',*,*,#115017,.F.); +#115020=ORIENTED_EDGE('',*,*,#115019,.T.); +#115022=ORIENTED_EDGE('',*,*,#115021,.T.); +#115024=ORIENTED_EDGE('',*,*,#115023,.T.); +#115025=ORIENTED_EDGE('',*,*,#60302,.F.); +#115027=ORIENTED_EDGE('',*,*,#115026,.T.); +#115029=ORIENTED_EDGE('',*,*,#115028,.T.); +#115031=ORIENTED_EDGE('',*,*,#115030,.T.); +#115032=EDGE_LOOP('',(#115018,#115020,#115022,#115024,#115025,#115027,#115029, +#115031)); +#115033=FACE_OUTER_BOUND('',#115032,.F.); +#115035=CARTESIAN_POINT('',(2.595E1,-1.094019237886E1,-3.0895E1)); +#115036=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#115037=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#115038=AXIS2_PLACEMENT_3D('',#115035,#115036,#115037); +#115039=PLANE('',#115038); +#115040=ORIENTED_EDGE('',*,*,#59366,.F.); +#115042=ORIENTED_EDGE('',*,*,#115041,.F.); +#115043=ORIENTED_EDGE('',*,*,#114994,.T.); +#115045=ORIENTED_EDGE('',*,*,#115044,.T.); +#115046=EDGE_LOOP('',(#115040,#115042,#115043,#115045)); +#115047=FACE_OUTER_BOUND('',#115046,.F.); +#115049=CARTESIAN_POINT('',(2.595E1,-1.094019237886E1,-3.0895E1)); +#115050=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#115051=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#115052=AXIS2_PLACEMENT_3D('',#115049,#115050,#115051); +#115053=PLANE('',#115052); +#115054=ORIENTED_EDGE('',*,*,#59356,.F.); +#115056=ORIENTED_EDGE('',*,*,#115055,.F.); +#115057=ORIENTED_EDGE('',*,*,#115017,.T.); +#115059=ORIENTED_EDGE('',*,*,#115058,.T.); +#115060=EDGE_LOOP('',(#115054,#115056,#115057,#115059)); +#115061=FACE_OUTER_BOUND('',#115060,.F.); +#115063=CARTESIAN_POINT('',(2.595E1,-1.72E1,-2.8355E1)); +#115064=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115065=DIRECTION('',(0.E0,1.E0,0.E0)); +#115066=AXIS2_PLACEMENT_3D('',#115063,#115064,#115065); +#115067=PLANE('',#115066); +#115069=ORIENTED_EDGE('',*,*,#115068,.T.); +#115070=ORIENTED_EDGE('',*,*,#58296,.F.); +#115072=ORIENTED_EDGE('',*,*,#115071,.F.); +#115074=ORIENTED_EDGE('',*,*,#115073,.T.); +#115075=EDGE_LOOP('',(#115069,#115070,#115072,#115074)); +#115076=FACE_OUTER_BOUND('',#115075,.F.); +#115078=CARTESIAN_POINT('',(2.595E1,-1.72E1,-2.8355E1)); +#115079=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115080=DIRECTION('',(0.E0,1.E0,0.E0)); +#115081=AXIS2_PLACEMENT_3D('',#115078,#115079,#115080); +#115082=PLANE('',#115081); +#115084=ORIENTED_EDGE('',*,*,#115083,.T.); +#115086=ORIENTED_EDGE('',*,*,#115085,.T.); +#115088=ORIENTED_EDGE('',*,*,#115087,.F.); +#115089=ORIENTED_EDGE('',*,*,#114996,.F.); +#115090=ORIENTED_EDGE('',*,*,#115041,.T.); +#115091=ORIENTED_EDGE('',*,*,#59364,.T.); +#115092=EDGE_LOOP('',(#115084,#115086,#115088,#115089,#115090,#115091)); +#115093=FACE_OUTER_BOUND('',#115092,.F.); +#115095=CARTESIAN_POINT('',(2.67E1,-1.72E1,-3.0895E1)); +#115096=DIRECTION('',(-1.E0,0.E0,0.E0)); +#115097=DIRECTION('',(0.E0,1.E0,0.E0)); +#115098=AXIS2_PLACEMENT_3D('',#115095,#115096,#115097); +#115099=PLANE('',#115098); +#115100=ORIENTED_EDGE('',*,*,#58298,.F.); +#115101=ORIENTED_EDGE('',*,*,#115068,.F.); +#115103=ORIENTED_EDGE('',*,*,#115102,.F.); +#115105=ORIENTED_EDGE('',*,*,#115104,.T.); +#115106=EDGE_LOOP('',(#115100,#115101,#115103,#115105)); +#115107=FACE_OUTER_BOUND('',#115106,.F.); +#115109=CARTESIAN_POINT('',(2.67E1,-1.72E1,-3.0895E1)); +#115110=DIRECTION('',(-1.E0,0.E0,0.E0)); +#115111=DIRECTION('',(0.E0,1.E0,0.E0)); +#115112=AXIS2_PLACEMENT_3D('',#115109,#115110,#115111); +#115113=PLANE('',#115112); +#115114=ORIENTED_EDGE('',*,*,#58288,.F.); +#115116=ORIENTED_EDGE('',*,*,#115115,.F.); +#115118=ORIENTED_EDGE('',*,*,#115117,.F.); +#115120=ORIENTED_EDGE('',*,*,#115119,.T.); +#115121=EDGE_LOOP('',(#115114,#115116,#115118,#115120)); +#115122=FACE_OUTER_BOUND('',#115121,.F.); +#115124=CARTESIAN_POINT('',(2.595E1,-1.72E1,-2.7725E1)); +#115125=DIRECTION('',(0.E0,-1.E0,0.E0)); +#115126=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115127=AXIS2_PLACEMENT_3D('',#115124,#115125,#115126); +#115128=PLANE('',#115127); +#115129=ORIENTED_EDGE('',*,*,#115102,.T.); +#115130=ORIENTED_EDGE('',*,*,#115073,.F.); +#115132=ORIENTED_EDGE('',*,*,#115131,.F.); +#115134=ORIENTED_EDGE('',*,*,#115133,.T.); +#115135=EDGE_LOOP('',(#115129,#115130,#115132,#115134)); +#115136=FACE_OUTER_BOUND('',#115135,.F.); +#115138=CARTESIAN_POINT('',(2.61E1,-1.35E1,-3.0895E1)); +#115139=DIRECTION('',(1.E0,0.E0,0.E0)); +#115140=DIRECTION('',(0.E0,-1.E0,0.E0)); +#115141=AXIS2_PLACEMENT_3D('',#115138,#115139,#115140); +#115142=PLANE('',#115141); +#115143=ORIENTED_EDGE('',*,*,#58302,.T.); +#115145=ORIENTED_EDGE('',*,*,#115144,.F.); +#115146=ORIENTED_EDGE('',*,*,#115131,.T.); +#115147=ORIENTED_EDGE('',*,*,#115071,.T.); +#115148=EDGE_LOOP('',(#115143,#115145,#115146,#115147)); +#115149=FACE_OUTER_BOUND('',#115148,.F.); +#115151=CARTESIAN_POINT('',(2.61E1,-1.35E1,-3.0895E1)); +#115152=DIRECTION('',(1.E0,0.E0,0.E0)); +#115153=DIRECTION('',(0.E0,-1.E0,0.E0)); +#115154=AXIS2_PLACEMENT_3D('',#115151,#115152,#115153); +#115155=PLANE('',#115154); +#115156=ORIENTED_EDGE('',*,*,#58292,.T.); +#115158=ORIENTED_EDGE('',*,*,#115157,.F.); +#115160=ORIENTED_EDGE('',*,*,#115159,.T.); +#115162=ORIENTED_EDGE('',*,*,#115161,.T.); +#115163=EDGE_LOOP('',(#115156,#115158,#115160,#115162)); +#115164=FACE_OUTER_BOUND('',#115163,.F.); +#115166=CARTESIAN_POINT('',(2.595E1,3.815E0,-2.7725E1)); +#115167=DIRECTION('',(0.E0,0.E0,1.E0)); +#115168=DIRECTION('',(0.E0,-1.E0,0.E0)); +#115169=AXIS2_PLACEMENT_3D('',#115166,#115167,#115168); +#115170=PLANE('',#115169); +#115171=ORIENTED_EDGE('',*,*,#115104,.F.); +#115172=ORIENTED_EDGE('',*,*,#115133,.F.); +#115173=ORIENTED_EDGE('',*,*,#115144,.T.); +#115174=ORIENTED_EDGE('',*,*,#58300,.T.); +#115175=EDGE_LOOP('',(#115171,#115172,#115173,#115174)); +#115176=FACE_OUTER_BOUND('',#115175,.F.); +#115178=CARTESIAN_POINT('',(2.595E1,3.815E0,-2.7725E1)); +#115179=DIRECTION('',(0.E0,0.E0,1.E0)); +#115180=DIRECTION('',(0.E0,-1.E0,0.E0)); +#115181=AXIS2_PLACEMENT_3D('',#115178,#115179,#115180); +#115182=PLANE('',#115181); +#115184=ORIENTED_EDGE('',*,*,#115183,.F.); +#115185=ORIENTED_EDGE('',*,*,#59360,.F.); +#115186=ORIENTED_EDGE('',*,*,#115044,.F.); +#115187=ORIENTED_EDGE('',*,*,#115007,.F.); +#115189=ORIENTED_EDGE('',*,*,#115188,.T.); +#115191=ORIENTED_EDGE('',*,*,#115190,.T.); +#115192=EDGE_LOOP('',(#115184,#115185,#115186,#115187,#115189,#115191)); +#115193=FACE_OUTER_BOUND('',#115192,.F.); +#115195=CARTESIAN_POINT('',(2.67E1,-1.12E1,-3.0895E1)); +#115196=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#115197=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#115198=AXIS2_PLACEMENT_3D('',#115195,#115196,#115197); +#115199=PLANE('',#115198); +#115200=ORIENTED_EDGE('',*,*,#59362,.T.); +#115201=ORIENTED_EDGE('',*,*,#115183,.T.); +#115203=ORIENTED_EDGE('',*,*,#115202,.F.); +#115204=ORIENTED_EDGE('',*,*,#115083,.F.); +#115205=EDGE_LOOP('',(#115200,#115201,#115203,#115204)); +#115206=FACE_OUTER_BOUND('',#115205,.F.); +#115208=CARTESIAN_POINT('',(2.67E1,-1.12E1,-3.0895E1)); +#115209=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#115210=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#115211=AXIS2_PLACEMENT_3D('',#115208,#115209,#115210); +#115212=PLANE('',#115211); +#115213=ORIENTED_EDGE('',*,*,#59352,.T.); +#115215=ORIENTED_EDGE('',*,*,#115214,.T.); +#115217=ORIENTED_EDGE('',*,*,#115216,.F.); +#115219=ORIENTED_EDGE('',*,*,#115218,.F.); +#115220=EDGE_LOOP('',(#115213,#115215,#115217,#115219)); +#115221=FACE_OUTER_BOUND('',#115220,.F.); +#115223=CARTESIAN_POINT('',(2.685E1,1.033E1,0.E0)); +#115224=DIRECTION('',(1.E0,0.E0,0.E0)); +#115225=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115226=AXIS2_PLACEMENT_3D('',#115223,#115224,#115225); +#115227=PLANE('',#115226); +#115228=ORIENTED_EDGE('',*,*,#115202,.T.); +#115229=ORIENTED_EDGE('',*,*,#115190,.F.); +#115231=ORIENTED_EDGE('',*,*,#115230,.F.); +#115233=ORIENTED_EDGE('',*,*,#115232,.F.); +#115234=ORIENTED_EDGE('',*,*,#103485,.T.); +#115236=ORIENTED_EDGE('',*,*,#115235,.F.); +#115238=ORIENTED_EDGE('',*,*,#115237,.F.); +#115239=ORIENTED_EDGE('',*,*,#115085,.F.); +#115240=EDGE_LOOP('',(#115228,#115229,#115231,#115233,#115234,#115236,#115238, +#115239)); +#115241=FACE_OUTER_BOUND('',#115240,.F.); +#115243=CARTESIAN_POINT('',(2.685E1,1.033E1,0.E0)); +#115244=DIRECTION('',(1.E0,0.E0,0.E0)); +#115245=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115246=AXIS2_PLACEMENT_3D('',#115243,#115244,#115245); +#115247=PLANE('',#115246); +#115248=ORIENTED_EDGE('',*,*,#115216,.T.); +#115250=ORIENTED_EDGE('',*,*,#115249,.F.); +#115252=ORIENTED_EDGE('',*,*,#115251,.F.); +#115254=ORIENTED_EDGE('',*,*,#115253,.F.); +#115255=ORIENTED_EDGE('',*,*,#60306,.T.); +#115257=ORIENTED_EDGE('',*,*,#115256,.F.); +#115259=ORIENTED_EDGE('',*,*,#115258,.F.); +#115261=ORIENTED_EDGE('',*,*,#115260,.F.); +#115262=EDGE_LOOP('',(#115248,#115250,#115252,#115254,#115255,#115257,#115259, +#115261)); +#115263=FACE_OUTER_BOUND('',#115262,.F.); +#115265=CARTESIAN_POINT('',(2.595E1,3.815E0,-2.6725E1)); +#115266=DIRECTION('',(1.E0,0.E0,0.E0)); +#115267=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115268=AXIS2_PLACEMENT_3D('',#115265,#115266,#115267); +#115269=CYLINDRICAL_SURFACE('',#115268,1.E0); +#115270=ORIENTED_EDGE('',*,*,#115005,.F.); +#115272=ORIENTED_EDGE('',*,*,#115271,.T.); +#115273=ORIENTED_EDGE('',*,*,#115230,.T.); +#115274=ORIENTED_EDGE('',*,*,#115188,.F.); +#115275=EDGE_LOOP('',(#115270,#115272,#115273,#115274)); +#115276=FACE_OUTER_BOUND('',#115275,.F.); +#115278=CARTESIAN_POINT('',(2.595E1,4.815E0,-2.36E1)); +#115279=DIRECTION('',(0.E0,-1.E0,0.E0)); +#115280=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115281=AXIS2_PLACEMENT_3D('',#115278,#115279,#115280); +#115282=PLANE('',#115281); +#115283=ORIENTED_EDGE('',*,*,#115003,.F.); +#115284=ORIENTED_EDGE('',*,*,#103487,.T.); +#115285=ORIENTED_EDGE('',*,*,#115232,.T.); +#115286=ORIENTED_EDGE('',*,*,#115271,.F.); +#115287=EDGE_LOOP('',(#115283,#115284,#115285,#115286)); +#115288=FACE_OUTER_BOUND('',#115287,.F.); +#115290=CARTESIAN_POINT('',(2.595E1,5.445E0,-2.6725E1)); +#115291=DIRECTION('',(0.E0,1.E0,0.E0)); +#115292=DIRECTION('',(0.E0,0.E0,1.E0)); +#115293=AXIS2_PLACEMENT_3D('',#115290,#115291,#115292); +#115294=PLANE('',#115293); +#115295=ORIENTED_EDGE('',*,*,#115000,.F.); +#115297=ORIENTED_EDGE('',*,*,#115296,.T.); +#115298=ORIENTED_EDGE('',*,*,#115235,.T.); +#115299=ORIENTED_EDGE('',*,*,#103483,.F.); +#115300=EDGE_LOOP('',(#115295,#115297,#115298,#115299)); +#115301=FACE_OUTER_BOUND('',#115300,.F.); +#115303=CARTESIAN_POINT('',(2.595E1,3.815E0,-2.6725E1)); +#115304=DIRECTION('',(1.E0,0.E0,0.E0)); +#115305=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115306=AXIS2_PLACEMENT_3D('',#115303,#115304,#115305); +#115307=CYLINDRICAL_SURFACE('',#115306,1.63E0); +#115308=ORIENTED_EDGE('',*,*,#114998,.F.); +#115309=ORIENTED_EDGE('',*,*,#115087,.T.); +#115310=ORIENTED_EDGE('',*,*,#115237,.T.); +#115311=ORIENTED_EDGE('',*,*,#115296,.F.); +#115312=EDGE_LOOP('',(#115308,#115309,#115310,#115311)); +#115313=FACE_OUTER_BOUND('',#115312,.F.); +#115315=CARTESIAN_POINT('',(2.595E1,-3.285E0,-2.5185E1)); +#115316=DIRECTION('',(0.E0,0.E0,1.E0)); +#115317=DIRECTION('',(0.E0,-1.E0,0.E0)); +#115318=AXIS2_PLACEMENT_3D('',#115315,#115316,#115317); +#115319=PLANE('',#115318); +#115320=ORIENTED_EDGE('',*,*,#115119,.F.); +#115322=ORIENTED_EDGE('',*,*,#115321,.F.); +#115323=ORIENTED_EDGE('',*,*,#115157,.T.); +#115324=ORIENTED_EDGE('',*,*,#58290,.T.); +#115325=EDGE_LOOP('',(#115320,#115322,#115323,#115324)); +#115326=FACE_OUTER_BOUND('',#115325,.F.); +#115328=CARTESIAN_POINT('',(2.595E1,-3.285E0,-2.5185E1)); +#115329=DIRECTION('',(0.E0,0.E0,1.E0)); +#115330=DIRECTION('',(0.E0,-1.E0,0.E0)); +#115331=AXIS2_PLACEMENT_3D('',#115328,#115329,#115330); +#115332=PLANE('',#115331); +#115333=ORIENTED_EDGE('',*,*,#115214,.F.); +#115334=ORIENTED_EDGE('',*,*,#59350,.F.); +#115335=ORIENTED_EDGE('',*,*,#115058,.F.); +#115336=ORIENTED_EDGE('',*,*,#115030,.F.); +#115338=ORIENTED_EDGE('',*,*,#115337,.T.); +#115339=ORIENTED_EDGE('',*,*,#115249,.T.); +#115340=EDGE_LOOP('',(#115333,#115334,#115335,#115336,#115338,#115339)); +#115341=FACE_OUTER_BOUND('',#115340,.F.); +#115343=CARTESIAN_POINT('',(2.595E1,-1.72E1,-2.5185E1)); +#115344=DIRECTION('',(0.E0,-1.E0,0.E0)); +#115345=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115346=AXIS2_PLACEMENT_3D('',#115343,#115344,#115345); +#115347=PLANE('',#115346); +#115348=ORIENTED_EDGE('',*,*,#115117,.T.); +#115350=ORIENTED_EDGE('',*,*,#115349,.F.); +#115351=ORIENTED_EDGE('',*,*,#115159,.F.); +#115352=ORIENTED_EDGE('',*,*,#115321,.T.); +#115353=EDGE_LOOP('',(#115348,#115350,#115351,#115352)); +#115354=FACE_OUTER_BOUND('',#115353,.F.); +#115356=CARTESIAN_POINT('',(2.595E1,-1.72E1,-2.5815E1)); +#115357=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115358=DIRECTION('',(0.E0,1.E0,0.E0)); +#115359=AXIS2_PLACEMENT_3D('',#115356,#115357,#115358); +#115360=PLANE('',#115359); +#115361=ORIENTED_EDGE('',*,*,#115115,.T.); +#115362=ORIENTED_EDGE('',*,*,#58286,.F.); +#115363=ORIENTED_EDGE('',*,*,#115161,.F.); +#115364=ORIENTED_EDGE('',*,*,#115349,.T.); +#115365=EDGE_LOOP('',(#115361,#115362,#115363,#115364)); +#115366=FACE_OUTER_BOUND('',#115365,.F.); +#115368=CARTESIAN_POINT('',(2.595E1,-1.72E1,-2.5815E1)); +#115369=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115370=DIRECTION('',(0.E0,1.E0,0.E0)); +#115371=AXIS2_PLACEMENT_3D('',#115368,#115369,#115370); +#115372=PLANE('',#115371); +#115373=ORIENTED_EDGE('',*,*,#115218,.T.); +#115374=ORIENTED_EDGE('',*,*,#115260,.T.); +#115376=ORIENTED_EDGE('',*,*,#115375,.F.); +#115377=ORIENTED_EDGE('',*,*,#115019,.F.); +#115378=ORIENTED_EDGE('',*,*,#115055,.T.); +#115379=ORIENTED_EDGE('',*,*,#59354,.T.); +#115380=EDGE_LOOP('',(#115373,#115374,#115376,#115377,#115378,#115379)); +#115381=FACE_OUTER_BOUND('',#115380,.F.); +#115383=CARTESIAN_POINT('',(2.595E1,-3.285E0,-2.4685E1)); +#115384=DIRECTION('',(1.E0,0.E0,0.E0)); +#115385=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115386=AXIS2_PLACEMENT_3D('',#115383,#115384,#115385); +#115387=CYLINDRICAL_SURFACE('',#115386,1.13E0); +#115388=ORIENTED_EDGE('',*,*,#115021,.F.); +#115389=ORIENTED_EDGE('',*,*,#115375,.T.); +#115390=ORIENTED_EDGE('',*,*,#115258,.T.); +#115392=ORIENTED_EDGE('',*,*,#115391,.F.); +#115393=EDGE_LOOP('',(#115388,#115389,#115390,#115392)); +#115394=FACE_OUTER_BOUND('',#115393,.F.); +#115396=CARTESIAN_POINT('',(2.595E1,-2.155E0,-2.4685E1)); +#115397=DIRECTION('',(0.E0,1.E0,0.E0)); +#115398=DIRECTION('',(0.E0,0.E0,1.E0)); +#115399=AXIS2_PLACEMENT_3D('',#115396,#115397,#115398); +#115400=PLANE('',#115399); +#115401=ORIENTED_EDGE('',*,*,#115023,.F.); +#115402=ORIENTED_EDGE('',*,*,#115391,.T.); +#115403=ORIENTED_EDGE('',*,*,#115256,.T.); +#115404=ORIENTED_EDGE('',*,*,#60304,.F.); +#115405=EDGE_LOOP('',(#115401,#115402,#115403,#115404)); +#115406=FACE_OUTER_BOUND('',#115405,.F.); +#115408=CARTESIAN_POINT('',(2.595E1,-3.285E0,-2.4685E1)); +#115409=DIRECTION('',(1.E0,0.E0,0.E0)); +#115410=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115411=AXIS2_PLACEMENT_3D('',#115408,#115409,#115410); +#115412=CYLINDRICAL_SURFACE('',#115411,5.E-1); +#115413=ORIENTED_EDGE('',*,*,#115028,.F.); +#115415=ORIENTED_EDGE('',*,*,#115414,.T.); +#115416=ORIENTED_EDGE('',*,*,#115251,.T.); +#115417=ORIENTED_EDGE('',*,*,#115337,.F.); +#115418=EDGE_LOOP('',(#115413,#115415,#115416,#115417)); +#115419=FACE_OUTER_BOUND('',#115418,.F.); +#115421=CARTESIAN_POINT('',(2.595E1,-2.785E0,-2.36E1)); +#115422=DIRECTION('',(0.E0,-1.E0,0.E0)); +#115423=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115424=AXIS2_PLACEMENT_3D('',#115421,#115422,#115423); +#115425=PLANE('',#115424); +#115426=ORIENTED_EDGE('',*,*,#115026,.F.); +#115427=ORIENTED_EDGE('',*,*,#60308,.T.); +#115428=ORIENTED_EDGE('',*,*,#115253,.T.); +#115429=ORIENTED_EDGE('',*,*,#115414,.F.); +#115430=EDGE_LOOP('',(#115426,#115427,#115428,#115429)); +#115431=FACE_OUTER_BOUND('',#115430,.F.); +#115433=CARTESIAN_POINT('',(2.81E1,6.33E0,0.E0)); +#115434=DIRECTION('',(1.E0,0.E0,0.E0)); +#115435=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115436=AXIS2_PLACEMENT_3D('',#115433,#115434,#115435); +#115437=PLANE('',#115436); +#115439=ORIENTED_EDGE('',*,*,#115438,.F.); +#115441=ORIENTED_EDGE('',*,*,#115440,.T.); +#115443=ORIENTED_EDGE('',*,*,#115442,.T.); +#115445=ORIENTED_EDGE('',*,*,#115444,.T.); +#115446=ORIENTED_EDGE('',*,*,#103491,.F.); +#115448=ORIENTED_EDGE('',*,*,#115447,.T.); +#115450=ORIENTED_EDGE('',*,*,#115449,.T.); +#115452=ORIENTED_EDGE('',*,*,#115451,.T.); +#115453=EDGE_LOOP('',(#115439,#115441,#115443,#115445,#115446,#115448,#115450, +#115452)); +#115454=FACE_OUTER_BOUND('',#115453,.F.); +#115456=CARTESIAN_POINT('',(2.81E1,6.33E0,0.E0)); +#115457=DIRECTION('',(1.E0,0.E0,0.E0)); +#115458=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115459=AXIS2_PLACEMENT_3D('',#115456,#115457,#115458); +#115460=PLANE('',#115459); +#115462=ORIENTED_EDGE('',*,*,#115461,.F.); +#115464=ORIENTED_EDGE('',*,*,#115463,.T.); +#115466=ORIENTED_EDGE('',*,*,#115465,.T.); +#115468=ORIENTED_EDGE('',*,*,#115467,.T.); +#115469=ORIENTED_EDGE('',*,*,#60312,.F.); +#115471=ORIENTED_EDGE('',*,*,#115470,.T.); +#115473=ORIENTED_EDGE('',*,*,#115472,.T.); +#115475=ORIENTED_EDGE('',*,*,#115474,.T.); +#115476=EDGE_LOOP('',(#115462,#115464,#115466,#115468,#115469,#115471,#115473, +#115475)); +#115477=FACE_OUTER_BOUND('',#115476,.F.); +#115479=CARTESIAN_POINT('',(2.81E1,-1.094019237886E1,-3.0895E1)); +#115480=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#115481=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#115482=AXIS2_PLACEMENT_3D('',#115479,#115480,#115481); +#115483=PLANE('',#115482); +#115484=ORIENTED_EDGE('',*,*,#59376,.F.); +#115486=ORIENTED_EDGE('',*,*,#115485,.F.); +#115487=ORIENTED_EDGE('',*,*,#115438,.T.); +#115489=ORIENTED_EDGE('',*,*,#115488,.T.); +#115490=EDGE_LOOP('',(#115484,#115486,#115487,#115489)); +#115491=FACE_OUTER_BOUND('',#115490,.F.); +#115493=CARTESIAN_POINT('',(2.81E1,-1.094019237886E1,-3.0895E1)); +#115494=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#115495=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#115496=AXIS2_PLACEMENT_3D('',#115493,#115494,#115495); +#115497=PLANE('',#115496); +#115498=ORIENTED_EDGE('',*,*,#59386,.F.); +#115500=ORIENTED_EDGE('',*,*,#115499,.F.); +#115501=ORIENTED_EDGE('',*,*,#115461,.T.); +#115503=ORIENTED_EDGE('',*,*,#115502,.T.); +#115504=EDGE_LOOP('',(#115498,#115500,#115501,#115503)); +#115505=FACE_OUTER_BOUND('',#115504,.F.); +#115507=CARTESIAN_POINT('',(2.81E1,-1.72E1,-3.0895E1)); +#115508=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115509=DIRECTION('',(0.E0,1.E0,0.E0)); +#115510=AXIS2_PLACEMENT_3D('',#115507,#115508,#115509); +#115511=PLANE('',#115510); +#115513=ORIENTED_EDGE('',*,*,#115512,.F.); +#115515=ORIENTED_EDGE('',*,*,#115514,.T.); +#115517=ORIENTED_EDGE('',*,*,#115516,.T.); +#115518=ORIENTED_EDGE('',*,*,#58306,.F.); +#115519=EDGE_LOOP('',(#115513,#115515,#115517,#115518)); +#115520=FACE_OUTER_BOUND('',#115519,.F.); +#115522=CARTESIAN_POINT('',(2.81E1,-1.72E1,-3.0895E1)); +#115523=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115524=DIRECTION('',(0.E0,1.E0,0.E0)); +#115525=AXIS2_PLACEMENT_3D('',#115522,#115523,#115524); +#115526=PLANE('',#115525); +#115527=ORIENTED_EDGE('',*,*,#115485,.T.); +#115528=ORIENTED_EDGE('',*,*,#59374,.T.); +#115530=ORIENTED_EDGE('',*,*,#115529,.T.); +#115532=ORIENTED_EDGE('',*,*,#115531,.T.); +#115534=ORIENTED_EDGE('',*,*,#115533,.F.); +#115535=ORIENTED_EDGE('',*,*,#115440,.F.); +#115536=EDGE_LOOP('',(#115527,#115528,#115530,#115532,#115534,#115535)); +#115537=FACE_OUTER_BOUND('',#115536,.F.); +#115539=CARTESIAN_POINT('',(2.825E1,-1.35E1,-3.0895E1)); +#115540=DIRECTION('',(1.E0,0.E0,0.E0)); +#115541=DIRECTION('',(0.E0,-1.E0,0.E0)); +#115542=AXIS2_PLACEMENT_3D('',#115539,#115540,#115541); +#115543=PLANE('',#115542); +#115544=ORIENTED_EDGE('',*,*,#58312,.T.); +#115546=ORIENTED_EDGE('',*,*,#115545,.F.); +#115548=ORIENTED_EDGE('',*,*,#115547,.T.); +#115549=ORIENTED_EDGE('',*,*,#115512,.T.); +#115550=EDGE_LOOP('',(#115544,#115546,#115548,#115549)); +#115551=FACE_OUTER_BOUND('',#115550,.F.); +#115553=CARTESIAN_POINT('',(2.825E1,-1.35E1,-3.0895E1)); +#115554=DIRECTION('',(1.E0,0.E0,0.E0)); +#115555=DIRECTION('',(0.E0,-1.E0,0.E0)); +#115556=AXIS2_PLACEMENT_3D('',#115553,#115554,#115555); +#115557=PLANE('',#115556); +#115558=ORIENTED_EDGE('',*,*,#58322,.T.); +#115560=ORIENTED_EDGE('',*,*,#115559,.F.); +#115562=ORIENTED_EDGE('',*,*,#115561,.T.); +#115564=ORIENTED_EDGE('',*,*,#115563,.T.); +#115565=EDGE_LOOP('',(#115558,#115560,#115562,#115564)); +#115566=FACE_OUTER_BOUND('',#115565,.F.); +#115568=CARTESIAN_POINT('',(2.81E1,3.815E0,-3.0265E1)); +#115569=DIRECTION('',(0.E0,0.E0,1.E0)); +#115570=DIRECTION('',(0.E0,-1.E0,0.E0)); +#115571=AXIS2_PLACEMENT_3D('',#115568,#115569,#115570); +#115572=PLANE('',#115571); +#115573=ORIENTED_EDGE('',*,*,#115545,.T.); +#115574=ORIENTED_EDGE('',*,*,#58310,.T.); +#115576=ORIENTED_EDGE('',*,*,#115575,.F.); +#115578=ORIENTED_EDGE('',*,*,#115577,.F.); +#115579=EDGE_LOOP('',(#115573,#115574,#115576,#115578)); +#115580=FACE_OUTER_BOUND('',#115579,.F.); +#115582=CARTESIAN_POINT('',(2.81E1,3.815E0,-3.0265E1)); +#115583=DIRECTION('',(0.E0,0.E0,1.E0)); +#115584=DIRECTION('',(0.E0,-1.E0,0.E0)); +#115585=AXIS2_PLACEMENT_3D('',#115582,#115583,#115584); +#115586=PLANE('',#115585); +#115587=ORIENTED_EDGE('',*,*,#115488,.F.); +#115588=ORIENTED_EDGE('',*,*,#115451,.F.); +#115590=ORIENTED_EDGE('',*,*,#115589,.T.); +#115592=ORIENTED_EDGE('',*,*,#115591,.T.); +#115594=ORIENTED_EDGE('',*,*,#115593,.F.); +#115595=ORIENTED_EDGE('',*,*,#59370,.F.); +#115596=EDGE_LOOP('',(#115587,#115588,#115590,#115592,#115594,#115595)); +#115597=FACE_OUTER_BOUND('',#115596,.F.); +#115599=CARTESIAN_POINT('',(2.885E1,-1.72E1,-3.0895E1)); +#115600=DIRECTION('',(-1.E0,0.E0,0.E0)); +#115601=DIRECTION('',(0.E0,1.E0,0.E0)); +#115602=AXIS2_PLACEMENT_3D('',#115599,#115600,#115601); +#115603=PLANE('',#115602); +#115604=ORIENTED_EDGE('',*,*,#58308,.F.); +#115605=ORIENTED_EDGE('',*,*,#115516,.F.); +#115607=ORIENTED_EDGE('',*,*,#115606,.F.); +#115608=ORIENTED_EDGE('',*,*,#115575,.T.); +#115609=EDGE_LOOP('',(#115604,#115605,#115607,#115608)); +#115610=FACE_OUTER_BOUND('',#115609,.F.); +#115612=CARTESIAN_POINT('',(2.885E1,-1.72E1,-3.0895E1)); +#115613=DIRECTION('',(-1.E0,0.E0,0.E0)); +#115614=DIRECTION('',(0.E0,1.E0,0.E0)); +#115615=AXIS2_PLACEMENT_3D('',#115612,#115613,#115614); +#115616=PLANE('',#115615); +#115617=ORIENTED_EDGE('',*,*,#58318,.F.); +#115619=ORIENTED_EDGE('',*,*,#115618,.F.); +#115621=ORIENTED_EDGE('',*,*,#115620,.F.); +#115623=ORIENTED_EDGE('',*,*,#115622,.T.); +#115624=EDGE_LOOP('',(#115617,#115619,#115621,#115623)); +#115625=FACE_OUTER_BOUND('',#115624,.F.); +#115627=CARTESIAN_POINT('',(2.81E1,-1.72E1,-3.0265E1)); +#115628=DIRECTION('',(0.E0,-1.E0,0.E0)); +#115629=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115630=AXIS2_PLACEMENT_3D('',#115627,#115628,#115629); +#115631=PLANE('',#115630); +#115632=ORIENTED_EDGE('',*,*,#115547,.F.); +#115633=ORIENTED_EDGE('',*,*,#115577,.T.); +#115634=ORIENTED_EDGE('',*,*,#115606,.T.); +#115635=ORIENTED_EDGE('',*,*,#115514,.F.); +#115636=EDGE_LOOP('',(#115632,#115633,#115634,#115635)); +#115637=FACE_OUTER_BOUND('',#115636,.F.); +#115639=CARTESIAN_POINT('',(2.81E1,-1.72E1,-2.5815E1)); +#115640=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115641=DIRECTION('',(0.E0,1.E0,0.E0)); +#115642=AXIS2_PLACEMENT_3D('',#115639,#115640,#115641); +#115643=PLANE('',#115642); +#115644=ORIENTED_EDGE('',*,*,#115563,.F.); +#115646=ORIENTED_EDGE('',*,*,#115645,.T.); +#115647=ORIENTED_EDGE('',*,*,#115618,.T.); +#115648=ORIENTED_EDGE('',*,*,#58316,.F.); +#115649=EDGE_LOOP('',(#115644,#115646,#115647,#115648)); +#115650=FACE_OUTER_BOUND('',#115649,.F.); +#115652=CARTESIAN_POINT('',(2.81E1,-1.72E1,-2.5815E1)); +#115653=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115654=DIRECTION('',(0.E0,1.E0,0.E0)); +#115655=AXIS2_PLACEMENT_3D('',#115652,#115653,#115654); +#115656=PLANE('',#115655); +#115657=ORIENTED_EDGE('',*,*,#115499,.T.); +#115658=ORIENTED_EDGE('',*,*,#59384,.T.); +#115660=ORIENTED_EDGE('',*,*,#115659,.T.); +#115662=ORIENTED_EDGE('',*,*,#115661,.T.); +#115664=ORIENTED_EDGE('',*,*,#115663,.F.); +#115665=ORIENTED_EDGE('',*,*,#115463,.F.); +#115666=EDGE_LOOP('',(#115657,#115658,#115660,#115662,#115664,#115665)); +#115667=FACE_OUTER_BOUND('',#115666,.F.); +#115669=CARTESIAN_POINT('',(2.81E1,-1.72E1,-2.5185E1)); +#115670=DIRECTION('',(0.E0,-1.E0,0.E0)); +#115671=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115672=AXIS2_PLACEMENT_3D('',#115669,#115670,#115671); +#115673=PLANE('',#115672); +#115674=ORIENTED_EDGE('',*,*,#115561,.F.); +#115676=ORIENTED_EDGE('',*,*,#115675,.T.); +#115677=ORIENTED_EDGE('',*,*,#115620,.T.); +#115678=ORIENTED_EDGE('',*,*,#115645,.F.); +#115679=EDGE_LOOP('',(#115674,#115676,#115677,#115678)); +#115680=FACE_OUTER_BOUND('',#115679,.F.); +#115682=CARTESIAN_POINT('',(2.81E1,-3.285E0,-2.5185E1)); +#115683=DIRECTION('',(0.E0,0.E0,1.E0)); +#115684=DIRECTION('',(0.E0,-1.E0,0.E0)); +#115685=AXIS2_PLACEMENT_3D('',#115682,#115683,#115684); +#115686=PLANE('',#115685); +#115687=ORIENTED_EDGE('',*,*,#115559,.T.); +#115688=ORIENTED_EDGE('',*,*,#58320,.T.); +#115689=ORIENTED_EDGE('',*,*,#115622,.F.); +#115690=ORIENTED_EDGE('',*,*,#115675,.F.); +#115691=EDGE_LOOP('',(#115687,#115688,#115689,#115690)); +#115692=FACE_OUTER_BOUND('',#115691,.F.); +#115694=CARTESIAN_POINT('',(2.81E1,-3.285E0,-2.5185E1)); +#115695=DIRECTION('',(0.E0,0.E0,1.E0)); +#115696=DIRECTION('',(0.E0,-1.E0,0.E0)); +#115697=AXIS2_PLACEMENT_3D('',#115694,#115695,#115696); +#115698=PLANE('',#115697); +#115699=ORIENTED_EDGE('',*,*,#115502,.F.); +#115700=ORIENTED_EDGE('',*,*,#115474,.F.); +#115702=ORIENTED_EDGE('',*,*,#115701,.T.); +#115704=ORIENTED_EDGE('',*,*,#115703,.T.); +#115706=ORIENTED_EDGE('',*,*,#115705,.F.); +#115707=ORIENTED_EDGE('',*,*,#59380,.F.); +#115708=EDGE_LOOP('',(#115699,#115700,#115702,#115704,#115706,#115707)); +#115709=FACE_OUTER_BOUND('',#115708,.F.); +#115711=CARTESIAN_POINT('',(2.81E1,-3.285E0,-2.4685E1)); +#115712=DIRECTION('',(1.E0,0.E0,0.E0)); +#115713=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115714=AXIS2_PLACEMENT_3D('',#115711,#115712,#115713); +#115715=CYLINDRICAL_SURFACE('',#115714,5.E-1); +#115716=ORIENTED_EDGE('',*,*,#115472,.F.); +#115718=ORIENTED_EDGE('',*,*,#115717,.T.); +#115720=ORIENTED_EDGE('',*,*,#115719,.T.); +#115721=ORIENTED_EDGE('',*,*,#115701,.F.); +#115722=EDGE_LOOP('',(#115716,#115718,#115720,#115721)); +#115723=FACE_OUTER_BOUND('',#115722,.F.); +#115725=CARTESIAN_POINT('',(2.81E1,-2.785E0,-2.36E1)); +#115726=DIRECTION('',(0.E0,-1.E0,0.E0)); +#115727=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115728=AXIS2_PLACEMENT_3D('',#115725,#115726,#115727); +#115729=PLANE('',#115728); +#115730=ORIENTED_EDGE('',*,*,#115470,.F.); +#115731=ORIENTED_EDGE('',*,*,#60318,.T.); +#115733=ORIENTED_EDGE('',*,*,#115732,.T.); +#115734=ORIENTED_EDGE('',*,*,#115717,.F.); +#115735=EDGE_LOOP('',(#115730,#115731,#115733,#115734)); +#115736=FACE_OUTER_BOUND('',#115735,.F.); +#115738=CARTESIAN_POINT('',(2.9E1,6.33E0,0.E0)); +#115739=DIRECTION('',(1.E0,0.E0,0.E0)); +#115740=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115741=AXIS2_PLACEMENT_3D('',#115738,#115739,#115740); +#115742=PLANE('',#115741); +#115744=ORIENTED_EDGE('',*,*,#115743,.T.); +#115745=ORIENTED_EDGE('',*,*,#115591,.F.); +#115747=ORIENTED_EDGE('',*,*,#115746,.F.); +#115749=ORIENTED_EDGE('',*,*,#115748,.F.); +#115750=ORIENTED_EDGE('',*,*,#103495,.T.); +#115752=ORIENTED_EDGE('',*,*,#115751,.F.); +#115754=ORIENTED_EDGE('',*,*,#115753,.F.); +#115755=ORIENTED_EDGE('',*,*,#115531,.F.); +#115756=EDGE_LOOP('',(#115744,#115745,#115747,#115749,#115750,#115752,#115754, +#115755)); +#115757=FACE_OUTER_BOUND('',#115756,.F.); +#115759=CARTESIAN_POINT('',(2.9E1,6.33E0,0.E0)); +#115760=DIRECTION('',(1.E0,0.E0,0.E0)); +#115761=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115762=AXIS2_PLACEMENT_3D('',#115759,#115760,#115761); +#115763=PLANE('',#115762); +#115765=ORIENTED_EDGE('',*,*,#115764,.T.); +#115766=ORIENTED_EDGE('',*,*,#115703,.F.); +#115767=ORIENTED_EDGE('',*,*,#115719,.F.); +#115768=ORIENTED_EDGE('',*,*,#115732,.F.); +#115769=ORIENTED_EDGE('',*,*,#60316,.T.); +#115771=ORIENTED_EDGE('',*,*,#115770,.F.); +#115773=ORIENTED_EDGE('',*,*,#115772,.F.); +#115774=ORIENTED_EDGE('',*,*,#115661,.F.); +#115775=EDGE_LOOP('',(#115765,#115766,#115767,#115768,#115769,#115771,#115773, +#115774)); +#115776=FACE_OUTER_BOUND('',#115775,.F.); +#115778=CARTESIAN_POINT('',(2.885E1,-1.12E1,-3.0895E1)); +#115779=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#115780=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#115781=AXIS2_PLACEMENT_3D('',#115778,#115779,#115780); +#115782=PLANE('',#115781); +#115783=ORIENTED_EDGE('',*,*,#59372,.T.); +#115784=ORIENTED_EDGE('',*,*,#115593,.T.); +#115785=ORIENTED_EDGE('',*,*,#115743,.F.); +#115786=ORIENTED_EDGE('',*,*,#115529,.F.); +#115787=EDGE_LOOP('',(#115783,#115784,#115785,#115786)); +#115788=FACE_OUTER_BOUND('',#115787,.F.); +#115790=CARTESIAN_POINT('',(2.885E1,-1.12E1,-3.0895E1)); +#115791=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#115792=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#115793=AXIS2_PLACEMENT_3D('',#115790,#115791,#115792); +#115794=PLANE('',#115793); +#115795=ORIENTED_EDGE('',*,*,#59382,.T.); +#115796=ORIENTED_EDGE('',*,*,#115705,.T.); +#115797=ORIENTED_EDGE('',*,*,#115764,.F.); +#115798=ORIENTED_EDGE('',*,*,#115659,.F.); +#115799=EDGE_LOOP('',(#115795,#115796,#115797,#115798)); +#115800=FACE_OUTER_BOUND('',#115799,.F.); +#115802=CARTESIAN_POINT('',(2.81E1,3.815E0,-2.9265E1)); +#115803=DIRECTION('',(1.E0,0.E0,0.E0)); +#115804=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115805=AXIS2_PLACEMENT_3D('',#115802,#115803,#115804); +#115806=CYLINDRICAL_SURFACE('',#115805,1.E0); +#115807=ORIENTED_EDGE('',*,*,#115449,.F.); +#115809=ORIENTED_EDGE('',*,*,#115808,.T.); +#115810=ORIENTED_EDGE('',*,*,#115746,.T.); +#115811=ORIENTED_EDGE('',*,*,#115589,.F.); +#115812=EDGE_LOOP('',(#115807,#115809,#115810,#115811)); +#115813=FACE_OUTER_BOUND('',#115812,.F.); +#115815=CARTESIAN_POINT('',(2.81E1,4.815E0,-2.36E1)); +#115816=DIRECTION('',(0.E0,-1.E0,0.E0)); +#115817=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115818=AXIS2_PLACEMENT_3D('',#115815,#115816,#115817); +#115819=PLANE('',#115818); +#115820=ORIENTED_EDGE('',*,*,#115447,.F.); +#115821=ORIENTED_EDGE('',*,*,#103497,.T.); +#115822=ORIENTED_EDGE('',*,*,#115748,.T.); +#115823=ORIENTED_EDGE('',*,*,#115808,.F.); +#115824=EDGE_LOOP('',(#115820,#115821,#115822,#115823)); +#115825=FACE_OUTER_BOUND('',#115824,.F.); +#115827=CARTESIAN_POINT('',(2.81E1,5.445E0,-2.9265E1)); +#115828=DIRECTION('',(0.E0,1.E0,0.E0)); +#115829=DIRECTION('',(0.E0,0.E0,1.E0)); +#115830=AXIS2_PLACEMENT_3D('',#115827,#115828,#115829); +#115831=PLANE('',#115830); +#115832=ORIENTED_EDGE('',*,*,#115444,.F.); +#115834=ORIENTED_EDGE('',*,*,#115833,.T.); +#115835=ORIENTED_EDGE('',*,*,#115751,.T.); +#115836=ORIENTED_EDGE('',*,*,#103493,.F.); +#115837=EDGE_LOOP('',(#115832,#115834,#115835,#115836)); +#115838=FACE_OUTER_BOUND('',#115837,.F.); +#115840=CARTESIAN_POINT('',(2.81E1,3.815E0,-2.9265E1)); +#115841=DIRECTION('',(1.E0,0.E0,0.E0)); +#115842=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115843=AXIS2_PLACEMENT_3D('',#115840,#115841,#115842); +#115844=CYLINDRICAL_SURFACE('',#115843,1.63E0); +#115845=ORIENTED_EDGE('',*,*,#115442,.F.); +#115846=ORIENTED_EDGE('',*,*,#115533,.T.); +#115847=ORIENTED_EDGE('',*,*,#115753,.T.); +#115848=ORIENTED_EDGE('',*,*,#115833,.F.); +#115849=EDGE_LOOP('',(#115845,#115846,#115847,#115848)); +#115850=FACE_OUTER_BOUND('',#115849,.F.); +#115852=CARTESIAN_POINT('',(2.81E1,-2.155E0,-2.4685E1)); +#115853=DIRECTION('',(0.E0,1.E0,0.E0)); +#115854=DIRECTION('',(0.E0,0.E0,1.E0)); +#115855=AXIS2_PLACEMENT_3D('',#115852,#115853,#115854); +#115856=PLANE('',#115855); +#115857=ORIENTED_EDGE('',*,*,#115467,.F.); +#115859=ORIENTED_EDGE('',*,*,#115858,.T.); +#115860=ORIENTED_EDGE('',*,*,#115770,.T.); +#115861=ORIENTED_EDGE('',*,*,#60314,.F.); +#115862=EDGE_LOOP('',(#115857,#115859,#115860,#115861)); +#115863=FACE_OUTER_BOUND('',#115862,.F.); +#115865=CARTESIAN_POINT('',(2.81E1,-3.285E0,-2.4685E1)); +#115866=DIRECTION('',(1.E0,0.E0,0.E0)); +#115867=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115868=AXIS2_PLACEMENT_3D('',#115865,#115866,#115867); +#115869=CYLINDRICAL_SURFACE('',#115868,1.13E0); +#115870=ORIENTED_EDGE('',*,*,#115465,.F.); +#115871=ORIENTED_EDGE('',*,*,#115663,.T.); +#115872=ORIENTED_EDGE('',*,*,#115772,.T.); +#115873=ORIENTED_EDGE('',*,*,#115858,.F.); +#115874=EDGE_LOOP('',(#115870,#115871,#115872,#115873)); +#115875=FACE_OUTER_BOUND('',#115874,.F.); +#115877=CARTESIAN_POINT('',(3.025E1,1.033E1,0.E0)); +#115878=DIRECTION('',(1.E0,0.E0,0.E0)); +#115879=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115880=AXIS2_PLACEMENT_3D('',#115877,#115878,#115879); +#115881=PLANE('',#115880); +#115883=ORIENTED_EDGE('',*,*,#115882,.F.); +#115885=ORIENTED_EDGE('',*,*,#115884,.T.); +#115887=ORIENTED_EDGE('',*,*,#115886,.T.); +#115889=ORIENTED_EDGE('',*,*,#115888,.T.); +#115890=ORIENTED_EDGE('',*,*,#103501,.F.); +#115892=ORIENTED_EDGE('',*,*,#115891,.T.); +#115894=ORIENTED_EDGE('',*,*,#115893,.T.); +#115896=ORIENTED_EDGE('',*,*,#115895,.T.); +#115897=EDGE_LOOP('',(#115883,#115885,#115887,#115889,#115890,#115892,#115894, +#115896)); +#115898=FACE_OUTER_BOUND('',#115897,.F.); +#115900=CARTESIAN_POINT('',(3.025E1,1.033E1,0.E0)); +#115901=DIRECTION('',(1.E0,0.E0,0.E0)); +#115902=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115903=AXIS2_PLACEMENT_3D('',#115900,#115901,#115902); +#115904=PLANE('',#115903); +#115906=ORIENTED_EDGE('',*,*,#115905,.F.); +#115908=ORIENTED_EDGE('',*,*,#115907,.T.); +#115910=ORIENTED_EDGE('',*,*,#115909,.T.); +#115912=ORIENTED_EDGE('',*,*,#115911,.T.); +#115913=ORIENTED_EDGE('',*,*,#60322,.F.); +#115915=ORIENTED_EDGE('',*,*,#115914,.T.); +#115917=ORIENTED_EDGE('',*,*,#115916,.T.); +#115919=ORIENTED_EDGE('',*,*,#115918,.T.); +#115920=EDGE_LOOP('',(#115906,#115908,#115910,#115912,#115913,#115915,#115917, +#115919)); +#115921=FACE_OUTER_BOUND('',#115920,.F.); +#115923=CARTESIAN_POINT('',(3.025E1,-1.094019237886E1,-3.0895E1)); +#115924=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#115925=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#115926=AXIS2_PLACEMENT_3D('',#115923,#115924,#115925); +#115927=PLANE('',#115926); +#115928=ORIENTED_EDGE('',*,*,#59406,.F.); +#115930=ORIENTED_EDGE('',*,*,#115929,.F.); +#115931=ORIENTED_EDGE('',*,*,#115882,.T.); +#115933=ORIENTED_EDGE('',*,*,#115932,.T.); +#115934=EDGE_LOOP('',(#115928,#115930,#115931,#115933)); +#115935=FACE_OUTER_BOUND('',#115934,.F.); +#115937=CARTESIAN_POINT('',(3.025E1,-1.094019237886E1,-3.0895E1)); +#115938=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#115939=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#115940=AXIS2_PLACEMENT_3D('',#115937,#115938,#115939); +#115941=PLANE('',#115940); +#115942=ORIENTED_EDGE('',*,*,#59396,.F.); +#115944=ORIENTED_EDGE('',*,*,#115943,.F.); +#115945=ORIENTED_EDGE('',*,*,#115905,.T.); +#115947=ORIENTED_EDGE('',*,*,#115946,.T.); +#115948=EDGE_LOOP('',(#115942,#115944,#115945,#115947)); +#115949=FACE_OUTER_BOUND('',#115948,.F.); +#115951=CARTESIAN_POINT('',(3.025E1,-1.72E1,-2.8355E1)); +#115952=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115953=DIRECTION('',(0.E0,1.E0,0.E0)); +#115954=AXIS2_PLACEMENT_3D('',#115951,#115952,#115953); +#115955=PLANE('',#115954); +#115957=ORIENTED_EDGE('',*,*,#115956,.T.); +#115958=ORIENTED_EDGE('',*,*,#58336,.F.); +#115960=ORIENTED_EDGE('',*,*,#115959,.F.); +#115962=ORIENTED_EDGE('',*,*,#115961,.T.); +#115963=EDGE_LOOP('',(#115957,#115958,#115960,#115962)); +#115964=FACE_OUTER_BOUND('',#115963,.F.); +#115966=CARTESIAN_POINT('',(3.025E1,-1.72E1,-2.8355E1)); +#115967=DIRECTION('',(0.E0,0.E0,-1.E0)); +#115968=DIRECTION('',(0.E0,1.E0,0.E0)); +#115969=AXIS2_PLACEMENT_3D('',#115966,#115967,#115968); +#115970=PLANE('',#115969); +#115972=ORIENTED_EDGE('',*,*,#115971,.T.); +#115974=ORIENTED_EDGE('',*,*,#115973,.T.); +#115976=ORIENTED_EDGE('',*,*,#115975,.F.); +#115977=ORIENTED_EDGE('',*,*,#115884,.F.); +#115978=ORIENTED_EDGE('',*,*,#115929,.T.); +#115979=ORIENTED_EDGE('',*,*,#59404,.T.); +#115980=EDGE_LOOP('',(#115972,#115974,#115976,#115977,#115978,#115979)); +#115981=FACE_OUTER_BOUND('',#115980,.F.); +#115983=CARTESIAN_POINT('',(3.1E1,-1.72E1,-3.0895E1)); +#115984=DIRECTION('',(-1.E0,0.E0,0.E0)); +#115985=DIRECTION('',(0.E0,1.E0,0.E0)); +#115986=AXIS2_PLACEMENT_3D('',#115983,#115984,#115985); +#115987=PLANE('',#115986); +#115988=ORIENTED_EDGE('',*,*,#58338,.F.); +#115989=ORIENTED_EDGE('',*,*,#115956,.F.); +#115991=ORIENTED_EDGE('',*,*,#115990,.F.); +#115993=ORIENTED_EDGE('',*,*,#115992,.T.); +#115994=EDGE_LOOP('',(#115988,#115989,#115991,#115993)); +#115995=FACE_OUTER_BOUND('',#115994,.F.); +#115997=CARTESIAN_POINT('',(3.1E1,-1.72E1,-3.0895E1)); +#115998=DIRECTION('',(-1.E0,0.E0,0.E0)); +#115999=DIRECTION('',(0.E0,1.E0,0.E0)); +#116000=AXIS2_PLACEMENT_3D('',#115997,#115998,#115999); +#116001=PLANE('',#116000); +#116002=ORIENTED_EDGE('',*,*,#58328,.F.); +#116004=ORIENTED_EDGE('',*,*,#116003,.F.); +#116006=ORIENTED_EDGE('',*,*,#116005,.F.); +#116008=ORIENTED_EDGE('',*,*,#116007,.T.); +#116009=EDGE_LOOP('',(#116002,#116004,#116006,#116008)); +#116010=FACE_OUTER_BOUND('',#116009,.F.); +#116012=CARTESIAN_POINT('',(3.025E1,-1.72E1,-2.7725E1)); +#116013=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116014=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116015=AXIS2_PLACEMENT_3D('',#116012,#116013,#116014); +#116016=PLANE('',#116015); +#116017=ORIENTED_EDGE('',*,*,#115990,.T.); +#116018=ORIENTED_EDGE('',*,*,#115961,.F.); +#116020=ORIENTED_EDGE('',*,*,#116019,.F.); +#116022=ORIENTED_EDGE('',*,*,#116021,.T.); +#116023=EDGE_LOOP('',(#116017,#116018,#116020,#116022)); +#116024=FACE_OUTER_BOUND('',#116023,.F.); +#116026=CARTESIAN_POINT('',(3.04E1,-1.35E1,-3.0895E1)); +#116027=DIRECTION('',(1.E0,0.E0,0.E0)); +#116028=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116029=AXIS2_PLACEMENT_3D('',#116026,#116027,#116028); +#116030=PLANE('',#116029); +#116031=ORIENTED_EDGE('',*,*,#58342,.T.); +#116033=ORIENTED_EDGE('',*,*,#116032,.F.); +#116034=ORIENTED_EDGE('',*,*,#116019,.T.); +#116035=ORIENTED_EDGE('',*,*,#115959,.T.); +#116036=EDGE_LOOP('',(#116031,#116033,#116034,#116035)); +#116037=FACE_OUTER_BOUND('',#116036,.F.); +#116039=CARTESIAN_POINT('',(3.04E1,-1.35E1,-3.0895E1)); +#116040=DIRECTION('',(1.E0,0.E0,0.E0)); +#116041=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116042=AXIS2_PLACEMENT_3D('',#116039,#116040,#116041); +#116043=PLANE('',#116042); +#116044=ORIENTED_EDGE('',*,*,#58332,.T.); +#116046=ORIENTED_EDGE('',*,*,#116045,.F.); +#116048=ORIENTED_EDGE('',*,*,#116047,.T.); +#116050=ORIENTED_EDGE('',*,*,#116049,.T.); +#116051=EDGE_LOOP('',(#116044,#116046,#116048,#116050)); +#116052=FACE_OUTER_BOUND('',#116051,.F.); +#116054=CARTESIAN_POINT('',(3.025E1,3.815E0,-2.7725E1)); +#116055=DIRECTION('',(0.E0,0.E0,1.E0)); +#116056=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116057=AXIS2_PLACEMENT_3D('',#116054,#116055,#116056); +#116058=PLANE('',#116057); +#116059=ORIENTED_EDGE('',*,*,#115992,.F.); +#116060=ORIENTED_EDGE('',*,*,#116021,.F.); +#116061=ORIENTED_EDGE('',*,*,#116032,.T.); +#116062=ORIENTED_EDGE('',*,*,#58340,.T.); +#116063=EDGE_LOOP('',(#116059,#116060,#116061,#116062)); +#116064=FACE_OUTER_BOUND('',#116063,.F.); +#116066=CARTESIAN_POINT('',(3.025E1,3.815E0,-2.7725E1)); +#116067=DIRECTION('',(0.E0,0.E0,1.E0)); +#116068=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116069=AXIS2_PLACEMENT_3D('',#116066,#116067,#116068); +#116070=PLANE('',#116069); +#116072=ORIENTED_EDGE('',*,*,#116071,.F.); +#116073=ORIENTED_EDGE('',*,*,#59400,.F.); +#116074=ORIENTED_EDGE('',*,*,#115932,.F.); +#116075=ORIENTED_EDGE('',*,*,#115895,.F.); +#116077=ORIENTED_EDGE('',*,*,#116076,.T.); +#116079=ORIENTED_EDGE('',*,*,#116078,.T.); +#116080=EDGE_LOOP('',(#116072,#116073,#116074,#116075,#116077,#116079)); +#116081=FACE_OUTER_BOUND('',#116080,.F.); +#116083=CARTESIAN_POINT('',(3.1E1,-1.12E1,-3.0895E1)); +#116084=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#116085=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#116086=AXIS2_PLACEMENT_3D('',#116083,#116084,#116085); +#116087=PLANE('',#116086); +#116088=ORIENTED_EDGE('',*,*,#59402,.T.); +#116089=ORIENTED_EDGE('',*,*,#116071,.T.); +#116091=ORIENTED_EDGE('',*,*,#116090,.F.); +#116092=ORIENTED_EDGE('',*,*,#115971,.F.); +#116093=EDGE_LOOP('',(#116088,#116089,#116091,#116092)); +#116094=FACE_OUTER_BOUND('',#116093,.F.); +#116096=CARTESIAN_POINT('',(3.1E1,-1.12E1,-3.0895E1)); +#116097=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#116098=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#116099=AXIS2_PLACEMENT_3D('',#116096,#116097,#116098); +#116100=PLANE('',#116099); +#116101=ORIENTED_EDGE('',*,*,#59392,.T.); +#116103=ORIENTED_EDGE('',*,*,#116102,.T.); +#116105=ORIENTED_EDGE('',*,*,#116104,.F.); +#116107=ORIENTED_EDGE('',*,*,#116106,.F.); +#116108=EDGE_LOOP('',(#116101,#116103,#116105,#116107)); +#116109=FACE_OUTER_BOUND('',#116108,.F.); +#116111=CARTESIAN_POINT('',(3.115E1,1.033E1,0.E0)); +#116112=DIRECTION('',(1.E0,0.E0,0.E0)); +#116113=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116114=AXIS2_PLACEMENT_3D('',#116111,#116112,#116113); +#116115=PLANE('',#116114); +#116116=ORIENTED_EDGE('',*,*,#116090,.T.); +#116117=ORIENTED_EDGE('',*,*,#116078,.F.); +#116119=ORIENTED_EDGE('',*,*,#116118,.F.); +#116121=ORIENTED_EDGE('',*,*,#116120,.F.); +#116122=ORIENTED_EDGE('',*,*,#103505,.T.); +#116124=ORIENTED_EDGE('',*,*,#116123,.F.); +#116126=ORIENTED_EDGE('',*,*,#116125,.F.); +#116127=ORIENTED_EDGE('',*,*,#115973,.F.); +#116128=EDGE_LOOP('',(#116116,#116117,#116119,#116121,#116122,#116124,#116126, +#116127)); +#116129=FACE_OUTER_BOUND('',#116128,.F.); +#116131=CARTESIAN_POINT('',(3.115E1,1.033E1,0.E0)); +#116132=DIRECTION('',(1.E0,0.E0,0.E0)); +#116133=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116134=AXIS2_PLACEMENT_3D('',#116131,#116132,#116133); +#116135=PLANE('',#116134); +#116136=ORIENTED_EDGE('',*,*,#116104,.T.); +#116138=ORIENTED_EDGE('',*,*,#116137,.F.); +#116140=ORIENTED_EDGE('',*,*,#116139,.F.); +#116142=ORIENTED_EDGE('',*,*,#116141,.F.); +#116143=ORIENTED_EDGE('',*,*,#60326,.T.); +#116145=ORIENTED_EDGE('',*,*,#116144,.F.); +#116147=ORIENTED_EDGE('',*,*,#116146,.F.); +#116149=ORIENTED_EDGE('',*,*,#116148,.F.); +#116150=EDGE_LOOP('',(#116136,#116138,#116140,#116142,#116143,#116145,#116147, +#116149)); +#116151=FACE_OUTER_BOUND('',#116150,.F.); +#116153=CARTESIAN_POINT('',(3.025E1,3.815E0,-2.6725E1)); +#116154=DIRECTION('',(1.E0,0.E0,0.E0)); +#116155=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116156=AXIS2_PLACEMENT_3D('',#116153,#116154,#116155); +#116157=CYLINDRICAL_SURFACE('',#116156,1.E0); +#116158=ORIENTED_EDGE('',*,*,#115893,.F.); +#116160=ORIENTED_EDGE('',*,*,#116159,.T.); +#116161=ORIENTED_EDGE('',*,*,#116118,.T.); +#116162=ORIENTED_EDGE('',*,*,#116076,.F.); +#116163=EDGE_LOOP('',(#116158,#116160,#116161,#116162)); +#116164=FACE_OUTER_BOUND('',#116163,.F.); +#116166=CARTESIAN_POINT('',(3.025E1,4.815E0,-2.36E1)); +#116167=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116168=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116169=AXIS2_PLACEMENT_3D('',#116166,#116167,#116168); +#116170=PLANE('',#116169); +#116171=ORIENTED_EDGE('',*,*,#115891,.F.); +#116172=ORIENTED_EDGE('',*,*,#103507,.T.); +#116173=ORIENTED_EDGE('',*,*,#116120,.T.); +#116174=ORIENTED_EDGE('',*,*,#116159,.F.); +#116175=EDGE_LOOP('',(#116171,#116172,#116173,#116174)); +#116176=FACE_OUTER_BOUND('',#116175,.F.); +#116178=CARTESIAN_POINT('',(3.025E1,5.445E0,-2.6725E1)); +#116179=DIRECTION('',(0.E0,1.E0,0.E0)); +#116180=DIRECTION('',(0.E0,0.E0,1.E0)); +#116181=AXIS2_PLACEMENT_3D('',#116178,#116179,#116180); +#116182=PLANE('',#116181); +#116183=ORIENTED_EDGE('',*,*,#115888,.F.); +#116185=ORIENTED_EDGE('',*,*,#116184,.T.); +#116186=ORIENTED_EDGE('',*,*,#116123,.T.); +#116187=ORIENTED_EDGE('',*,*,#103503,.F.); +#116188=EDGE_LOOP('',(#116183,#116185,#116186,#116187)); +#116189=FACE_OUTER_BOUND('',#116188,.F.); +#116191=CARTESIAN_POINT('',(3.025E1,3.815E0,-2.6725E1)); +#116192=DIRECTION('',(1.E0,0.E0,0.E0)); +#116193=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116194=AXIS2_PLACEMENT_3D('',#116191,#116192,#116193); +#116195=CYLINDRICAL_SURFACE('',#116194,1.63E0); +#116196=ORIENTED_EDGE('',*,*,#115886,.F.); +#116197=ORIENTED_EDGE('',*,*,#115975,.T.); +#116198=ORIENTED_EDGE('',*,*,#116125,.T.); +#116199=ORIENTED_EDGE('',*,*,#116184,.F.); +#116200=EDGE_LOOP('',(#116196,#116197,#116198,#116199)); +#116201=FACE_OUTER_BOUND('',#116200,.F.); +#116203=CARTESIAN_POINT('',(3.025E1,-3.285E0,-2.5185E1)); +#116204=DIRECTION('',(0.E0,0.E0,1.E0)); +#116205=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116206=AXIS2_PLACEMENT_3D('',#116203,#116204,#116205); +#116207=PLANE('',#116206); +#116208=ORIENTED_EDGE('',*,*,#116007,.F.); +#116210=ORIENTED_EDGE('',*,*,#116209,.F.); +#116211=ORIENTED_EDGE('',*,*,#116045,.T.); +#116212=ORIENTED_EDGE('',*,*,#58330,.T.); +#116213=EDGE_LOOP('',(#116208,#116210,#116211,#116212)); +#116214=FACE_OUTER_BOUND('',#116213,.F.); +#116216=CARTESIAN_POINT('',(3.025E1,-3.285E0,-2.5185E1)); +#116217=DIRECTION('',(0.E0,0.E0,1.E0)); +#116218=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116219=AXIS2_PLACEMENT_3D('',#116216,#116217,#116218); +#116220=PLANE('',#116219); +#116221=ORIENTED_EDGE('',*,*,#116102,.F.); +#116222=ORIENTED_EDGE('',*,*,#59390,.F.); +#116223=ORIENTED_EDGE('',*,*,#115946,.F.); +#116224=ORIENTED_EDGE('',*,*,#115918,.F.); +#116226=ORIENTED_EDGE('',*,*,#116225,.T.); +#116227=ORIENTED_EDGE('',*,*,#116137,.T.); +#116228=EDGE_LOOP('',(#116221,#116222,#116223,#116224,#116226,#116227)); +#116229=FACE_OUTER_BOUND('',#116228,.F.); +#116231=CARTESIAN_POINT('',(3.025E1,-1.72E1,-2.5185E1)); +#116232=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116233=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116234=AXIS2_PLACEMENT_3D('',#116231,#116232,#116233); +#116235=PLANE('',#116234); +#116236=ORIENTED_EDGE('',*,*,#116005,.T.); +#116238=ORIENTED_EDGE('',*,*,#116237,.F.); +#116239=ORIENTED_EDGE('',*,*,#116047,.F.); +#116240=ORIENTED_EDGE('',*,*,#116209,.T.); +#116241=EDGE_LOOP('',(#116236,#116238,#116239,#116240)); +#116242=FACE_OUTER_BOUND('',#116241,.F.); +#116244=CARTESIAN_POINT('',(3.025E1,-1.72E1,-2.5815E1)); +#116245=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116246=DIRECTION('',(0.E0,1.E0,0.E0)); +#116247=AXIS2_PLACEMENT_3D('',#116244,#116245,#116246); +#116248=PLANE('',#116247); +#116249=ORIENTED_EDGE('',*,*,#116003,.T.); +#116250=ORIENTED_EDGE('',*,*,#58326,.F.); +#116251=ORIENTED_EDGE('',*,*,#116049,.F.); +#116252=ORIENTED_EDGE('',*,*,#116237,.T.); +#116253=EDGE_LOOP('',(#116249,#116250,#116251,#116252)); +#116254=FACE_OUTER_BOUND('',#116253,.F.); +#116256=CARTESIAN_POINT('',(3.025E1,-1.72E1,-2.5815E1)); +#116257=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116258=DIRECTION('',(0.E0,1.E0,0.E0)); +#116259=AXIS2_PLACEMENT_3D('',#116256,#116257,#116258); +#116260=PLANE('',#116259); +#116261=ORIENTED_EDGE('',*,*,#116106,.T.); +#116262=ORIENTED_EDGE('',*,*,#116148,.T.); +#116264=ORIENTED_EDGE('',*,*,#116263,.F.); +#116265=ORIENTED_EDGE('',*,*,#115907,.F.); +#116266=ORIENTED_EDGE('',*,*,#115943,.T.); +#116267=ORIENTED_EDGE('',*,*,#59394,.T.); +#116268=EDGE_LOOP('',(#116261,#116262,#116264,#116265,#116266,#116267)); +#116269=FACE_OUTER_BOUND('',#116268,.F.); +#116271=CARTESIAN_POINT('',(3.025E1,-3.285E0,-2.4685E1)); +#116272=DIRECTION('',(1.E0,0.E0,0.E0)); +#116273=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116274=AXIS2_PLACEMENT_3D('',#116271,#116272,#116273); +#116275=CYLINDRICAL_SURFACE('',#116274,1.13E0); +#116276=ORIENTED_EDGE('',*,*,#115909,.F.); +#116277=ORIENTED_EDGE('',*,*,#116263,.T.); +#116278=ORIENTED_EDGE('',*,*,#116146,.T.); +#116280=ORIENTED_EDGE('',*,*,#116279,.F.); +#116281=EDGE_LOOP('',(#116276,#116277,#116278,#116280)); +#116282=FACE_OUTER_BOUND('',#116281,.F.); +#116284=CARTESIAN_POINT('',(3.025E1,-2.155E0,-2.4685E1)); +#116285=DIRECTION('',(0.E0,1.E0,0.E0)); +#116286=DIRECTION('',(0.E0,0.E0,1.E0)); +#116287=AXIS2_PLACEMENT_3D('',#116284,#116285,#116286); +#116288=PLANE('',#116287); +#116289=ORIENTED_EDGE('',*,*,#115911,.F.); +#116290=ORIENTED_EDGE('',*,*,#116279,.T.); +#116291=ORIENTED_EDGE('',*,*,#116144,.T.); +#116292=ORIENTED_EDGE('',*,*,#60324,.F.); +#116293=EDGE_LOOP('',(#116289,#116290,#116291,#116292)); +#116294=FACE_OUTER_BOUND('',#116293,.F.); +#116296=CARTESIAN_POINT('',(3.025E1,-3.285E0,-2.4685E1)); +#116297=DIRECTION('',(1.E0,0.E0,0.E0)); +#116298=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116299=AXIS2_PLACEMENT_3D('',#116296,#116297,#116298); +#116300=CYLINDRICAL_SURFACE('',#116299,5.E-1); +#116301=ORIENTED_EDGE('',*,*,#115916,.F.); +#116303=ORIENTED_EDGE('',*,*,#116302,.T.); +#116304=ORIENTED_EDGE('',*,*,#116139,.T.); +#116305=ORIENTED_EDGE('',*,*,#116225,.F.); +#116306=EDGE_LOOP('',(#116301,#116303,#116304,#116305)); +#116307=FACE_OUTER_BOUND('',#116306,.F.); +#116309=CARTESIAN_POINT('',(3.025E1,-2.785E0,-2.36E1)); +#116310=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116311=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116312=AXIS2_PLACEMENT_3D('',#116309,#116310,#116311); +#116313=PLANE('',#116312); +#116314=ORIENTED_EDGE('',*,*,#115914,.F.); +#116315=ORIENTED_EDGE('',*,*,#60328,.T.); +#116316=ORIENTED_EDGE('',*,*,#116141,.T.); +#116317=ORIENTED_EDGE('',*,*,#116302,.F.); +#116318=EDGE_LOOP('',(#116314,#116315,#116316,#116317)); +#116319=FACE_OUTER_BOUND('',#116318,.F.); +#116321=CARTESIAN_POINT('',(3.24E1,6.33E0,0.E0)); +#116322=DIRECTION('',(1.E0,0.E0,0.E0)); +#116323=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116324=AXIS2_PLACEMENT_3D('',#116321,#116322,#116323); +#116325=PLANE('',#116324); +#116327=ORIENTED_EDGE('',*,*,#116326,.F.); +#116329=ORIENTED_EDGE('',*,*,#116328,.T.); +#116331=ORIENTED_EDGE('',*,*,#116330,.T.); +#116333=ORIENTED_EDGE('',*,*,#116332,.T.); +#116334=ORIENTED_EDGE('',*,*,#103511,.F.); +#116336=ORIENTED_EDGE('',*,*,#116335,.T.); +#116338=ORIENTED_EDGE('',*,*,#116337,.T.); +#116340=ORIENTED_EDGE('',*,*,#116339,.T.); +#116341=EDGE_LOOP('',(#116327,#116329,#116331,#116333,#116334,#116336,#116338, +#116340)); +#116342=FACE_OUTER_BOUND('',#116341,.F.); +#116344=CARTESIAN_POINT('',(3.24E1,6.33E0,0.E0)); +#116345=DIRECTION('',(1.E0,0.E0,0.E0)); +#116346=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116347=AXIS2_PLACEMENT_3D('',#116344,#116345,#116346); +#116348=PLANE('',#116347); +#116350=ORIENTED_EDGE('',*,*,#116349,.F.); +#116352=ORIENTED_EDGE('',*,*,#116351,.T.); +#116354=ORIENTED_EDGE('',*,*,#116353,.T.); +#116356=ORIENTED_EDGE('',*,*,#116355,.T.); +#116357=ORIENTED_EDGE('',*,*,#60332,.F.); +#116359=ORIENTED_EDGE('',*,*,#116358,.T.); +#116361=ORIENTED_EDGE('',*,*,#116360,.T.); +#116363=ORIENTED_EDGE('',*,*,#116362,.T.); +#116364=EDGE_LOOP('',(#116350,#116352,#116354,#116356,#116357,#116359,#116361, +#116363)); +#116365=FACE_OUTER_BOUND('',#116364,.F.); +#116367=CARTESIAN_POINT('',(3.24E1,-1.094019237886E1,-3.0895E1)); +#116368=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#116369=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#116370=AXIS2_PLACEMENT_3D('',#116367,#116368,#116369); +#116371=PLANE('',#116370); +#116372=ORIENTED_EDGE('',*,*,#59416,.F.); +#116374=ORIENTED_EDGE('',*,*,#116373,.F.); +#116375=ORIENTED_EDGE('',*,*,#116326,.T.); +#116377=ORIENTED_EDGE('',*,*,#116376,.T.); +#116378=EDGE_LOOP('',(#116372,#116374,#116375,#116377)); +#116379=FACE_OUTER_BOUND('',#116378,.F.); +#116381=CARTESIAN_POINT('',(3.24E1,-1.094019237886E1,-3.0895E1)); +#116382=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#116383=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#116384=AXIS2_PLACEMENT_3D('',#116381,#116382,#116383); +#116385=PLANE('',#116384); +#116386=ORIENTED_EDGE('',*,*,#59426,.F.); +#116388=ORIENTED_EDGE('',*,*,#116387,.F.); +#116389=ORIENTED_EDGE('',*,*,#116349,.T.); +#116391=ORIENTED_EDGE('',*,*,#116390,.T.); +#116392=EDGE_LOOP('',(#116386,#116388,#116389,#116391)); +#116393=FACE_OUTER_BOUND('',#116392,.F.); +#116395=CARTESIAN_POINT('',(3.24E1,-1.72E1,-3.0895E1)); +#116396=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116397=DIRECTION('',(0.E0,1.E0,0.E0)); +#116398=AXIS2_PLACEMENT_3D('',#116395,#116396,#116397); +#116399=PLANE('',#116398); +#116401=ORIENTED_EDGE('',*,*,#116400,.F.); +#116403=ORIENTED_EDGE('',*,*,#116402,.T.); +#116405=ORIENTED_EDGE('',*,*,#116404,.T.); +#116406=ORIENTED_EDGE('',*,*,#58346,.F.); +#116407=EDGE_LOOP('',(#116401,#116403,#116405,#116406)); +#116408=FACE_OUTER_BOUND('',#116407,.F.); +#116410=CARTESIAN_POINT('',(3.24E1,-1.72E1,-3.0895E1)); +#116411=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116412=DIRECTION('',(0.E0,1.E0,0.E0)); +#116413=AXIS2_PLACEMENT_3D('',#116410,#116411,#116412); +#116414=PLANE('',#116413); +#116415=ORIENTED_EDGE('',*,*,#116373,.T.); +#116416=ORIENTED_EDGE('',*,*,#59414,.T.); +#116418=ORIENTED_EDGE('',*,*,#116417,.T.); +#116420=ORIENTED_EDGE('',*,*,#116419,.T.); +#116422=ORIENTED_EDGE('',*,*,#116421,.F.); +#116423=ORIENTED_EDGE('',*,*,#116328,.F.); +#116424=EDGE_LOOP('',(#116415,#116416,#116418,#116420,#116422,#116423)); +#116425=FACE_OUTER_BOUND('',#116424,.F.); +#116427=CARTESIAN_POINT('',(3.255E1,-1.35E1,-3.0895E1)); +#116428=DIRECTION('',(1.E0,0.E0,0.E0)); +#116429=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116430=AXIS2_PLACEMENT_3D('',#116427,#116428,#116429); +#116431=PLANE('',#116430); +#116432=ORIENTED_EDGE('',*,*,#58352,.T.); +#116434=ORIENTED_EDGE('',*,*,#116433,.F.); +#116436=ORIENTED_EDGE('',*,*,#116435,.T.); +#116437=ORIENTED_EDGE('',*,*,#116400,.T.); +#116438=EDGE_LOOP('',(#116432,#116434,#116436,#116437)); +#116439=FACE_OUTER_BOUND('',#116438,.F.); +#116441=CARTESIAN_POINT('',(3.255E1,-1.35E1,-3.0895E1)); +#116442=DIRECTION('',(1.E0,0.E0,0.E0)); +#116443=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116444=AXIS2_PLACEMENT_3D('',#116441,#116442,#116443); +#116445=PLANE('',#116444); +#116446=ORIENTED_EDGE('',*,*,#58362,.T.); +#116448=ORIENTED_EDGE('',*,*,#116447,.F.); +#116450=ORIENTED_EDGE('',*,*,#116449,.T.); +#116452=ORIENTED_EDGE('',*,*,#116451,.T.); +#116453=EDGE_LOOP('',(#116446,#116448,#116450,#116452)); +#116454=FACE_OUTER_BOUND('',#116453,.F.); +#116456=CARTESIAN_POINT('',(3.24E1,3.815E0,-3.0265E1)); +#116457=DIRECTION('',(0.E0,0.E0,1.E0)); +#116458=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116459=AXIS2_PLACEMENT_3D('',#116456,#116457,#116458); +#116460=PLANE('',#116459); +#116461=ORIENTED_EDGE('',*,*,#116433,.T.); +#116462=ORIENTED_EDGE('',*,*,#58350,.T.); +#116464=ORIENTED_EDGE('',*,*,#116463,.F.); +#116466=ORIENTED_EDGE('',*,*,#116465,.F.); +#116467=EDGE_LOOP('',(#116461,#116462,#116464,#116466)); +#116468=FACE_OUTER_BOUND('',#116467,.F.); +#116470=CARTESIAN_POINT('',(3.24E1,3.815E0,-3.0265E1)); +#116471=DIRECTION('',(0.E0,0.E0,1.E0)); +#116472=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116473=AXIS2_PLACEMENT_3D('',#116470,#116471,#116472); +#116474=PLANE('',#116473); +#116475=ORIENTED_EDGE('',*,*,#116376,.F.); +#116476=ORIENTED_EDGE('',*,*,#116339,.F.); +#116478=ORIENTED_EDGE('',*,*,#116477,.T.); +#116480=ORIENTED_EDGE('',*,*,#116479,.T.); +#116482=ORIENTED_EDGE('',*,*,#116481,.F.); +#116483=ORIENTED_EDGE('',*,*,#59410,.F.); +#116484=EDGE_LOOP('',(#116475,#116476,#116478,#116480,#116482,#116483)); +#116485=FACE_OUTER_BOUND('',#116484,.F.); +#116487=CARTESIAN_POINT('',(3.315E1,-1.72E1,-3.0895E1)); +#116488=DIRECTION('',(-1.E0,0.E0,0.E0)); +#116489=DIRECTION('',(0.E0,1.E0,0.E0)); +#116490=AXIS2_PLACEMENT_3D('',#116487,#116488,#116489); +#116491=PLANE('',#116490); +#116492=ORIENTED_EDGE('',*,*,#58348,.F.); +#116493=ORIENTED_EDGE('',*,*,#116404,.F.); +#116495=ORIENTED_EDGE('',*,*,#116494,.F.); +#116496=ORIENTED_EDGE('',*,*,#116463,.T.); +#116497=EDGE_LOOP('',(#116492,#116493,#116495,#116496)); +#116498=FACE_OUTER_BOUND('',#116497,.F.); +#116500=CARTESIAN_POINT('',(3.315E1,-1.72E1,-3.0895E1)); +#116501=DIRECTION('',(-1.E0,0.E0,0.E0)); +#116502=DIRECTION('',(0.E0,1.E0,0.E0)); +#116503=AXIS2_PLACEMENT_3D('',#116500,#116501,#116502); +#116504=PLANE('',#116503); +#116505=ORIENTED_EDGE('',*,*,#58358,.F.); +#116507=ORIENTED_EDGE('',*,*,#116506,.F.); +#116509=ORIENTED_EDGE('',*,*,#116508,.F.); +#116511=ORIENTED_EDGE('',*,*,#116510,.T.); +#116512=EDGE_LOOP('',(#116505,#116507,#116509,#116511)); +#116513=FACE_OUTER_BOUND('',#116512,.F.); +#116515=CARTESIAN_POINT('',(3.24E1,-1.72E1,-3.0265E1)); +#116516=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116517=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116518=AXIS2_PLACEMENT_3D('',#116515,#116516,#116517); +#116519=PLANE('',#116518); +#116520=ORIENTED_EDGE('',*,*,#116435,.F.); +#116521=ORIENTED_EDGE('',*,*,#116465,.T.); +#116522=ORIENTED_EDGE('',*,*,#116494,.T.); +#116523=ORIENTED_EDGE('',*,*,#116402,.F.); +#116524=EDGE_LOOP('',(#116520,#116521,#116522,#116523)); +#116525=FACE_OUTER_BOUND('',#116524,.F.); +#116527=CARTESIAN_POINT('',(3.24E1,-1.72E1,-2.5815E1)); +#116528=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116529=DIRECTION('',(0.E0,1.E0,0.E0)); +#116530=AXIS2_PLACEMENT_3D('',#116527,#116528,#116529); +#116531=PLANE('',#116530); +#116532=ORIENTED_EDGE('',*,*,#116451,.F.); +#116534=ORIENTED_EDGE('',*,*,#116533,.T.); +#116535=ORIENTED_EDGE('',*,*,#116506,.T.); +#116536=ORIENTED_EDGE('',*,*,#58356,.F.); +#116537=EDGE_LOOP('',(#116532,#116534,#116535,#116536)); +#116538=FACE_OUTER_BOUND('',#116537,.F.); +#116540=CARTESIAN_POINT('',(3.24E1,-1.72E1,-2.5815E1)); +#116541=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116542=DIRECTION('',(0.E0,1.E0,0.E0)); +#116543=AXIS2_PLACEMENT_3D('',#116540,#116541,#116542); +#116544=PLANE('',#116543); +#116545=ORIENTED_EDGE('',*,*,#116387,.T.); +#116546=ORIENTED_EDGE('',*,*,#59424,.T.); +#116548=ORIENTED_EDGE('',*,*,#116547,.T.); +#116550=ORIENTED_EDGE('',*,*,#116549,.T.); +#116552=ORIENTED_EDGE('',*,*,#116551,.F.); +#116553=ORIENTED_EDGE('',*,*,#116351,.F.); +#116554=EDGE_LOOP('',(#116545,#116546,#116548,#116550,#116552,#116553)); +#116555=FACE_OUTER_BOUND('',#116554,.F.); +#116557=CARTESIAN_POINT('',(3.24E1,-1.72E1,-2.5185E1)); +#116558=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116559=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116560=AXIS2_PLACEMENT_3D('',#116557,#116558,#116559); +#116561=PLANE('',#116560); +#116562=ORIENTED_EDGE('',*,*,#116449,.F.); +#116564=ORIENTED_EDGE('',*,*,#116563,.T.); +#116565=ORIENTED_EDGE('',*,*,#116508,.T.); +#116566=ORIENTED_EDGE('',*,*,#116533,.F.); +#116567=EDGE_LOOP('',(#116562,#116564,#116565,#116566)); +#116568=FACE_OUTER_BOUND('',#116567,.F.); +#116570=CARTESIAN_POINT('',(3.24E1,-3.285E0,-2.5185E1)); +#116571=DIRECTION('',(0.E0,0.E0,1.E0)); +#116572=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116573=AXIS2_PLACEMENT_3D('',#116570,#116571,#116572); +#116574=PLANE('',#116573); +#116575=ORIENTED_EDGE('',*,*,#116447,.T.); +#116576=ORIENTED_EDGE('',*,*,#58360,.T.); +#116577=ORIENTED_EDGE('',*,*,#116510,.F.); +#116578=ORIENTED_EDGE('',*,*,#116563,.F.); +#116579=EDGE_LOOP('',(#116575,#116576,#116577,#116578)); +#116580=FACE_OUTER_BOUND('',#116579,.F.); +#116582=CARTESIAN_POINT('',(3.24E1,-3.285E0,-2.5185E1)); +#116583=DIRECTION('',(0.E0,0.E0,1.E0)); +#116584=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116585=AXIS2_PLACEMENT_3D('',#116582,#116583,#116584); +#116586=PLANE('',#116585); +#116587=ORIENTED_EDGE('',*,*,#116390,.F.); +#116588=ORIENTED_EDGE('',*,*,#116362,.F.); +#116590=ORIENTED_EDGE('',*,*,#116589,.T.); +#116592=ORIENTED_EDGE('',*,*,#116591,.T.); +#116594=ORIENTED_EDGE('',*,*,#116593,.F.); +#116595=ORIENTED_EDGE('',*,*,#59420,.F.); +#116596=EDGE_LOOP('',(#116587,#116588,#116590,#116592,#116594,#116595)); +#116597=FACE_OUTER_BOUND('',#116596,.F.); +#116599=CARTESIAN_POINT('',(3.24E1,-3.285E0,-2.4685E1)); +#116600=DIRECTION('',(1.E0,0.E0,0.E0)); +#116601=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116602=AXIS2_PLACEMENT_3D('',#116599,#116600,#116601); +#116603=CYLINDRICAL_SURFACE('',#116602,5.E-1); +#116604=ORIENTED_EDGE('',*,*,#116360,.F.); +#116606=ORIENTED_EDGE('',*,*,#116605,.T.); +#116608=ORIENTED_EDGE('',*,*,#116607,.T.); +#116609=ORIENTED_EDGE('',*,*,#116589,.F.); +#116610=EDGE_LOOP('',(#116604,#116606,#116608,#116609)); +#116611=FACE_OUTER_BOUND('',#116610,.F.); +#116613=CARTESIAN_POINT('',(3.24E1,-2.785E0,-2.36E1)); +#116614=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116615=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116616=AXIS2_PLACEMENT_3D('',#116613,#116614,#116615); +#116617=PLANE('',#116616); +#116618=ORIENTED_EDGE('',*,*,#116358,.F.); +#116619=ORIENTED_EDGE('',*,*,#60338,.T.); +#116621=ORIENTED_EDGE('',*,*,#116620,.T.); +#116622=ORIENTED_EDGE('',*,*,#116605,.F.); +#116623=EDGE_LOOP('',(#116618,#116619,#116621,#116622)); +#116624=FACE_OUTER_BOUND('',#116623,.F.); +#116626=CARTESIAN_POINT('',(3.33E1,6.33E0,0.E0)); +#116627=DIRECTION('',(1.E0,0.E0,0.E0)); +#116628=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116629=AXIS2_PLACEMENT_3D('',#116626,#116627,#116628); +#116630=PLANE('',#116629); +#116632=ORIENTED_EDGE('',*,*,#116631,.T.); +#116633=ORIENTED_EDGE('',*,*,#116479,.F.); +#116635=ORIENTED_EDGE('',*,*,#116634,.F.); +#116637=ORIENTED_EDGE('',*,*,#116636,.F.); +#116638=ORIENTED_EDGE('',*,*,#103515,.T.); +#116640=ORIENTED_EDGE('',*,*,#116639,.F.); +#116642=ORIENTED_EDGE('',*,*,#116641,.F.); +#116643=ORIENTED_EDGE('',*,*,#116419,.F.); +#116644=EDGE_LOOP('',(#116632,#116633,#116635,#116637,#116638,#116640,#116642, +#116643)); +#116645=FACE_OUTER_BOUND('',#116644,.F.); +#116647=CARTESIAN_POINT('',(3.33E1,6.33E0,0.E0)); +#116648=DIRECTION('',(1.E0,0.E0,0.E0)); +#116649=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116650=AXIS2_PLACEMENT_3D('',#116647,#116648,#116649); +#116651=PLANE('',#116650); +#116653=ORIENTED_EDGE('',*,*,#116652,.T.); +#116654=ORIENTED_EDGE('',*,*,#116591,.F.); +#116655=ORIENTED_EDGE('',*,*,#116607,.F.); +#116656=ORIENTED_EDGE('',*,*,#116620,.F.); +#116657=ORIENTED_EDGE('',*,*,#60336,.T.); +#116659=ORIENTED_EDGE('',*,*,#116658,.F.); +#116661=ORIENTED_EDGE('',*,*,#116660,.F.); +#116662=ORIENTED_EDGE('',*,*,#116549,.F.); +#116663=EDGE_LOOP('',(#116653,#116654,#116655,#116656,#116657,#116659,#116661, +#116662)); +#116664=FACE_OUTER_BOUND('',#116663,.F.); +#116666=CARTESIAN_POINT('',(3.315E1,-1.12E1,-3.0895E1)); +#116667=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#116668=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#116669=AXIS2_PLACEMENT_3D('',#116666,#116667,#116668); +#116670=PLANE('',#116669); +#116671=ORIENTED_EDGE('',*,*,#59412,.T.); +#116672=ORIENTED_EDGE('',*,*,#116481,.T.); +#116673=ORIENTED_EDGE('',*,*,#116631,.F.); +#116674=ORIENTED_EDGE('',*,*,#116417,.F.); +#116675=EDGE_LOOP('',(#116671,#116672,#116673,#116674)); +#116676=FACE_OUTER_BOUND('',#116675,.F.); +#116678=CARTESIAN_POINT('',(3.315E1,-1.12E1,-3.0895E1)); +#116679=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#116680=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#116681=AXIS2_PLACEMENT_3D('',#116678,#116679,#116680); +#116682=PLANE('',#116681); +#116683=ORIENTED_EDGE('',*,*,#59422,.T.); +#116684=ORIENTED_EDGE('',*,*,#116593,.T.); +#116685=ORIENTED_EDGE('',*,*,#116652,.F.); +#116686=ORIENTED_EDGE('',*,*,#116547,.F.); +#116687=EDGE_LOOP('',(#116683,#116684,#116685,#116686)); +#116688=FACE_OUTER_BOUND('',#116687,.F.); +#116690=CARTESIAN_POINT('',(3.24E1,3.815E0,-2.9265E1)); +#116691=DIRECTION('',(1.E0,0.E0,0.E0)); +#116692=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116693=AXIS2_PLACEMENT_3D('',#116690,#116691,#116692); +#116694=CYLINDRICAL_SURFACE('',#116693,1.E0); +#116695=ORIENTED_EDGE('',*,*,#116337,.F.); +#116697=ORIENTED_EDGE('',*,*,#116696,.T.); +#116698=ORIENTED_EDGE('',*,*,#116634,.T.); +#116699=ORIENTED_EDGE('',*,*,#116477,.F.); +#116700=EDGE_LOOP('',(#116695,#116697,#116698,#116699)); +#116701=FACE_OUTER_BOUND('',#116700,.F.); +#116703=CARTESIAN_POINT('',(3.24E1,4.815E0,-2.36E1)); +#116704=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116705=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116706=AXIS2_PLACEMENT_3D('',#116703,#116704,#116705); +#116707=PLANE('',#116706); +#116708=ORIENTED_EDGE('',*,*,#116335,.F.); +#116709=ORIENTED_EDGE('',*,*,#103517,.T.); +#116710=ORIENTED_EDGE('',*,*,#116636,.T.); +#116711=ORIENTED_EDGE('',*,*,#116696,.F.); +#116712=EDGE_LOOP('',(#116708,#116709,#116710,#116711)); +#116713=FACE_OUTER_BOUND('',#116712,.F.); +#116715=CARTESIAN_POINT('',(3.24E1,5.445E0,-2.9265E1)); +#116716=DIRECTION('',(0.E0,1.E0,0.E0)); +#116717=DIRECTION('',(0.E0,0.E0,1.E0)); +#116718=AXIS2_PLACEMENT_3D('',#116715,#116716,#116717); +#116719=PLANE('',#116718); +#116720=ORIENTED_EDGE('',*,*,#116332,.F.); +#116722=ORIENTED_EDGE('',*,*,#116721,.T.); +#116723=ORIENTED_EDGE('',*,*,#116639,.T.); +#116724=ORIENTED_EDGE('',*,*,#103513,.F.); +#116725=EDGE_LOOP('',(#116720,#116722,#116723,#116724)); +#116726=FACE_OUTER_BOUND('',#116725,.F.); +#116728=CARTESIAN_POINT('',(3.24E1,3.815E0,-2.9265E1)); +#116729=DIRECTION('',(1.E0,0.E0,0.E0)); +#116730=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116731=AXIS2_PLACEMENT_3D('',#116728,#116729,#116730); +#116732=CYLINDRICAL_SURFACE('',#116731,1.63E0); +#116733=ORIENTED_EDGE('',*,*,#116330,.F.); +#116734=ORIENTED_EDGE('',*,*,#116421,.T.); +#116735=ORIENTED_EDGE('',*,*,#116641,.T.); +#116736=ORIENTED_EDGE('',*,*,#116721,.F.); +#116737=EDGE_LOOP('',(#116733,#116734,#116735,#116736)); +#116738=FACE_OUTER_BOUND('',#116737,.F.); +#116740=CARTESIAN_POINT('',(3.24E1,-2.155E0,-2.4685E1)); +#116741=DIRECTION('',(0.E0,1.E0,0.E0)); +#116742=DIRECTION('',(0.E0,0.E0,1.E0)); +#116743=AXIS2_PLACEMENT_3D('',#116740,#116741,#116742); +#116744=PLANE('',#116743); +#116745=ORIENTED_EDGE('',*,*,#116355,.F.); +#116747=ORIENTED_EDGE('',*,*,#116746,.T.); +#116748=ORIENTED_EDGE('',*,*,#116658,.T.); +#116749=ORIENTED_EDGE('',*,*,#60334,.F.); +#116750=EDGE_LOOP('',(#116745,#116747,#116748,#116749)); +#116751=FACE_OUTER_BOUND('',#116750,.F.); +#116753=CARTESIAN_POINT('',(3.24E1,-3.285E0,-2.4685E1)); +#116754=DIRECTION('',(1.E0,0.E0,0.E0)); +#116755=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116756=AXIS2_PLACEMENT_3D('',#116753,#116754,#116755); +#116757=CYLINDRICAL_SURFACE('',#116756,1.13E0); +#116758=ORIENTED_EDGE('',*,*,#116353,.F.); +#116759=ORIENTED_EDGE('',*,*,#116551,.T.); +#116760=ORIENTED_EDGE('',*,*,#116660,.T.); +#116761=ORIENTED_EDGE('',*,*,#116746,.F.); +#116762=EDGE_LOOP('',(#116758,#116759,#116760,#116761)); +#116763=FACE_OUTER_BOUND('',#116762,.F.); +#116765=CARTESIAN_POINT('',(3.455E1,1.033E1,0.E0)); +#116766=DIRECTION('',(1.E0,0.E0,0.E0)); +#116767=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116768=AXIS2_PLACEMENT_3D('',#116765,#116766,#116767); +#116769=PLANE('',#116768); +#116771=ORIENTED_EDGE('',*,*,#116770,.F.); +#116773=ORIENTED_EDGE('',*,*,#116772,.T.); +#116775=ORIENTED_EDGE('',*,*,#116774,.T.); +#116777=ORIENTED_EDGE('',*,*,#116776,.T.); +#116778=ORIENTED_EDGE('',*,*,#103521,.F.); +#116780=ORIENTED_EDGE('',*,*,#116779,.T.); +#116782=ORIENTED_EDGE('',*,*,#116781,.T.); +#116784=ORIENTED_EDGE('',*,*,#116783,.T.); +#116785=EDGE_LOOP('',(#116771,#116773,#116775,#116777,#116778,#116780,#116782, +#116784)); +#116786=FACE_OUTER_BOUND('',#116785,.F.); +#116788=CARTESIAN_POINT('',(3.455E1,1.033E1,0.E0)); +#116789=DIRECTION('',(1.E0,0.E0,0.E0)); +#116790=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116791=AXIS2_PLACEMENT_3D('',#116788,#116789,#116790); +#116792=PLANE('',#116791); +#116794=ORIENTED_EDGE('',*,*,#116793,.F.); +#116796=ORIENTED_EDGE('',*,*,#116795,.T.); +#116798=ORIENTED_EDGE('',*,*,#116797,.T.); +#116800=ORIENTED_EDGE('',*,*,#116799,.T.); +#116801=ORIENTED_EDGE('',*,*,#60342,.F.); +#116803=ORIENTED_EDGE('',*,*,#116802,.T.); +#116805=ORIENTED_EDGE('',*,*,#116804,.T.); +#116807=ORIENTED_EDGE('',*,*,#116806,.T.); +#116808=EDGE_LOOP('',(#116794,#116796,#116798,#116800,#116801,#116803,#116805, +#116807)); +#116809=FACE_OUTER_BOUND('',#116808,.F.); +#116811=CARTESIAN_POINT('',(3.455E1,-1.094019237886E1,-3.0895E1)); +#116812=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#116813=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#116814=AXIS2_PLACEMENT_3D('',#116811,#116812,#116813); +#116815=PLANE('',#116814); +#116816=ORIENTED_EDGE('',*,*,#59446,.F.); +#116818=ORIENTED_EDGE('',*,*,#116817,.F.); +#116819=ORIENTED_EDGE('',*,*,#116770,.T.); +#116821=ORIENTED_EDGE('',*,*,#116820,.T.); +#116822=EDGE_LOOP('',(#116816,#116818,#116819,#116821)); +#116823=FACE_OUTER_BOUND('',#116822,.F.); +#116825=CARTESIAN_POINT('',(3.455E1,-1.094019237886E1,-3.0895E1)); +#116826=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#116827=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#116828=AXIS2_PLACEMENT_3D('',#116825,#116826,#116827); +#116829=PLANE('',#116828); +#116830=ORIENTED_EDGE('',*,*,#59436,.F.); +#116832=ORIENTED_EDGE('',*,*,#116831,.F.); +#116833=ORIENTED_EDGE('',*,*,#116793,.T.); +#116835=ORIENTED_EDGE('',*,*,#116834,.T.); +#116836=EDGE_LOOP('',(#116830,#116832,#116833,#116835)); +#116837=FACE_OUTER_BOUND('',#116836,.F.); +#116839=CARTESIAN_POINT('',(3.455E1,-1.72E1,-2.8355E1)); +#116840=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116841=DIRECTION('',(0.E0,1.E0,0.E0)); +#116842=AXIS2_PLACEMENT_3D('',#116839,#116840,#116841); +#116843=PLANE('',#116842); +#116845=ORIENTED_EDGE('',*,*,#116844,.T.); +#116846=ORIENTED_EDGE('',*,*,#58376,.F.); +#116848=ORIENTED_EDGE('',*,*,#116847,.F.); +#116850=ORIENTED_EDGE('',*,*,#116849,.T.); +#116851=EDGE_LOOP('',(#116845,#116846,#116848,#116850)); +#116852=FACE_OUTER_BOUND('',#116851,.F.); +#116854=CARTESIAN_POINT('',(3.455E1,-1.72E1,-2.8355E1)); +#116855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116856=DIRECTION('',(0.E0,1.E0,0.E0)); +#116857=AXIS2_PLACEMENT_3D('',#116854,#116855,#116856); +#116858=PLANE('',#116857); +#116860=ORIENTED_EDGE('',*,*,#116859,.T.); +#116862=ORIENTED_EDGE('',*,*,#116861,.T.); +#116864=ORIENTED_EDGE('',*,*,#116863,.F.); +#116865=ORIENTED_EDGE('',*,*,#116772,.F.); +#116866=ORIENTED_EDGE('',*,*,#116817,.T.); +#116867=ORIENTED_EDGE('',*,*,#59444,.T.); +#116868=EDGE_LOOP('',(#116860,#116862,#116864,#116865,#116866,#116867)); +#116869=FACE_OUTER_BOUND('',#116868,.F.); +#116871=CARTESIAN_POINT('',(3.53E1,-1.72E1,-3.0895E1)); +#116872=DIRECTION('',(-1.E0,0.E0,0.E0)); +#116873=DIRECTION('',(0.E0,1.E0,0.E0)); +#116874=AXIS2_PLACEMENT_3D('',#116871,#116872,#116873); +#116875=PLANE('',#116874); +#116876=ORIENTED_EDGE('',*,*,#58378,.F.); +#116877=ORIENTED_EDGE('',*,*,#116844,.F.); +#116879=ORIENTED_EDGE('',*,*,#116878,.F.); +#116881=ORIENTED_EDGE('',*,*,#116880,.T.); +#116882=EDGE_LOOP('',(#116876,#116877,#116879,#116881)); +#116883=FACE_OUTER_BOUND('',#116882,.F.); +#116885=CARTESIAN_POINT('',(3.53E1,-1.72E1,-3.0895E1)); +#116886=DIRECTION('',(-1.E0,0.E0,0.E0)); +#116887=DIRECTION('',(0.E0,1.E0,0.E0)); +#116888=AXIS2_PLACEMENT_3D('',#116885,#116886,#116887); +#116889=PLANE('',#116888); +#116890=ORIENTED_EDGE('',*,*,#58368,.F.); +#116892=ORIENTED_EDGE('',*,*,#116891,.F.); +#116894=ORIENTED_EDGE('',*,*,#116893,.F.); +#116896=ORIENTED_EDGE('',*,*,#116895,.T.); +#116897=EDGE_LOOP('',(#116890,#116892,#116894,#116896)); +#116898=FACE_OUTER_BOUND('',#116897,.F.); +#116900=CARTESIAN_POINT('',(3.455E1,-1.72E1,-2.7725E1)); +#116901=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116902=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116903=AXIS2_PLACEMENT_3D('',#116900,#116901,#116902); +#116904=PLANE('',#116903); +#116905=ORIENTED_EDGE('',*,*,#116878,.T.); +#116906=ORIENTED_EDGE('',*,*,#116849,.F.); +#116908=ORIENTED_EDGE('',*,*,#116907,.F.); +#116910=ORIENTED_EDGE('',*,*,#116909,.T.); +#116911=EDGE_LOOP('',(#116905,#116906,#116908,#116910)); +#116912=FACE_OUTER_BOUND('',#116911,.F.); +#116914=CARTESIAN_POINT('',(3.47E1,-1.35E1,-3.0895E1)); +#116915=DIRECTION('',(1.E0,0.E0,0.E0)); +#116916=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116917=AXIS2_PLACEMENT_3D('',#116914,#116915,#116916); +#116918=PLANE('',#116917); +#116919=ORIENTED_EDGE('',*,*,#58382,.T.); +#116921=ORIENTED_EDGE('',*,*,#116920,.F.); +#116922=ORIENTED_EDGE('',*,*,#116907,.T.); +#116923=ORIENTED_EDGE('',*,*,#116847,.T.); +#116924=EDGE_LOOP('',(#116919,#116921,#116922,#116923)); +#116925=FACE_OUTER_BOUND('',#116924,.F.); +#116927=CARTESIAN_POINT('',(3.47E1,-1.35E1,-3.0895E1)); +#116928=DIRECTION('',(1.E0,0.E0,0.E0)); +#116929=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116930=AXIS2_PLACEMENT_3D('',#116927,#116928,#116929); +#116931=PLANE('',#116930); +#116932=ORIENTED_EDGE('',*,*,#58372,.T.); +#116934=ORIENTED_EDGE('',*,*,#116933,.F.); +#116936=ORIENTED_EDGE('',*,*,#116935,.T.); +#116938=ORIENTED_EDGE('',*,*,#116937,.T.); +#116939=EDGE_LOOP('',(#116932,#116934,#116936,#116938)); +#116940=FACE_OUTER_BOUND('',#116939,.F.); +#116942=CARTESIAN_POINT('',(3.455E1,3.815E0,-2.7725E1)); +#116943=DIRECTION('',(0.E0,0.E0,1.E0)); +#116944=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116945=AXIS2_PLACEMENT_3D('',#116942,#116943,#116944); +#116946=PLANE('',#116945); +#116947=ORIENTED_EDGE('',*,*,#116880,.F.); +#116948=ORIENTED_EDGE('',*,*,#116909,.F.); +#116949=ORIENTED_EDGE('',*,*,#116920,.T.); +#116950=ORIENTED_EDGE('',*,*,#58380,.T.); +#116951=EDGE_LOOP('',(#116947,#116948,#116949,#116950)); +#116952=FACE_OUTER_BOUND('',#116951,.F.); +#116954=CARTESIAN_POINT('',(3.455E1,3.815E0,-2.7725E1)); +#116955=DIRECTION('',(0.E0,0.E0,1.E0)); +#116956=DIRECTION('',(0.E0,-1.E0,0.E0)); +#116957=AXIS2_PLACEMENT_3D('',#116954,#116955,#116956); +#116958=PLANE('',#116957); +#116960=ORIENTED_EDGE('',*,*,#116959,.F.); +#116961=ORIENTED_EDGE('',*,*,#59440,.F.); +#116962=ORIENTED_EDGE('',*,*,#116820,.F.); +#116963=ORIENTED_EDGE('',*,*,#116783,.F.); +#116965=ORIENTED_EDGE('',*,*,#116964,.T.); +#116967=ORIENTED_EDGE('',*,*,#116966,.T.); +#116968=EDGE_LOOP('',(#116960,#116961,#116962,#116963,#116965,#116967)); +#116969=FACE_OUTER_BOUND('',#116968,.F.); +#116971=CARTESIAN_POINT('',(3.53E1,-1.12E1,-3.0895E1)); +#116972=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#116973=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#116974=AXIS2_PLACEMENT_3D('',#116971,#116972,#116973); +#116975=PLANE('',#116974); +#116976=ORIENTED_EDGE('',*,*,#59442,.T.); +#116977=ORIENTED_EDGE('',*,*,#116959,.T.); +#116979=ORIENTED_EDGE('',*,*,#116978,.F.); +#116980=ORIENTED_EDGE('',*,*,#116859,.F.); +#116981=EDGE_LOOP('',(#116976,#116977,#116979,#116980)); +#116982=FACE_OUTER_BOUND('',#116981,.F.); +#116984=CARTESIAN_POINT('',(3.53E1,-1.12E1,-3.0895E1)); +#116985=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#116986=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#116987=AXIS2_PLACEMENT_3D('',#116984,#116985,#116986); +#116988=PLANE('',#116987); +#116989=ORIENTED_EDGE('',*,*,#59432,.T.); +#116991=ORIENTED_EDGE('',*,*,#116990,.T.); +#116993=ORIENTED_EDGE('',*,*,#116992,.F.); +#116995=ORIENTED_EDGE('',*,*,#116994,.F.); +#116996=EDGE_LOOP('',(#116989,#116991,#116993,#116995)); +#116997=FACE_OUTER_BOUND('',#116996,.F.); +#116999=CARTESIAN_POINT('',(3.545E1,1.033E1,0.E0)); +#117000=DIRECTION('',(1.E0,0.E0,0.E0)); +#117001=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117002=AXIS2_PLACEMENT_3D('',#116999,#117000,#117001); +#117003=PLANE('',#117002); +#117004=ORIENTED_EDGE('',*,*,#116978,.T.); +#117005=ORIENTED_EDGE('',*,*,#116966,.F.); +#117007=ORIENTED_EDGE('',*,*,#117006,.F.); +#117009=ORIENTED_EDGE('',*,*,#117008,.F.); +#117010=ORIENTED_EDGE('',*,*,#103525,.T.); +#117012=ORIENTED_EDGE('',*,*,#117011,.F.); +#117014=ORIENTED_EDGE('',*,*,#117013,.F.); +#117015=ORIENTED_EDGE('',*,*,#116861,.F.); +#117016=EDGE_LOOP('',(#117004,#117005,#117007,#117009,#117010,#117012,#117014, +#117015)); +#117017=FACE_OUTER_BOUND('',#117016,.F.); +#117019=CARTESIAN_POINT('',(3.545E1,1.033E1,0.E0)); +#117020=DIRECTION('',(1.E0,0.E0,0.E0)); +#117021=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117022=AXIS2_PLACEMENT_3D('',#117019,#117020,#117021); +#117023=PLANE('',#117022); +#117024=ORIENTED_EDGE('',*,*,#116992,.T.); +#117026=ORIENTED_EDGE('',*,*,#117025,.F.); +#117028=ORIENTED_EDGE('',*,*,#117027,.F.); +#117030=ORIENTED_EDGE('',*,*,#117029,.F.); +#117031=ORIENTED_EDGE('',*,*,#60346,.T.); +#117033=ORIENTED_EDGE('',*,*,#117032,.F.); +#117035=ORIENTED_EDGE('',*,*,#117034,.F.); +#117037=ORIENTED_EDGE('',*,*,#117036,.F.); +#117038=EDGE_LOOP('',(#117024,#117026,#117028,#117030,#117031,#117033,#117035, +#117037)); +#117039=FACE_OUTER_BOUND('',#117038,.F.); +#117041=CARTESIAN_POINT('',(3.455E1,3.815E0,-2.6725E1)); +#117042=DIRECTION('',(1.E0,0.E0,0.E0)); +#117043=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117044=AXIS2_PLACEMENT_3D('',#117041,#117042,#117043); +#117045=CYLINDRICAL_SURFACE('',#117044,1.E0); +#117046=ORIENTED_EDGE('',*,*,#116781,.F.); +#117048=ORIENTED_EDGE('',*,*,#117047,.T.); +#117049=ORIENTED_EDGE('',*,*,#117006,.T.); +#117050=ORIENTED_EDGE('',*,*,#116964,.F.); +#117051=EDGE_LOOP('',(#117046,#117048,#117049,#117050)); +#117052=FACE_OUTER_BOUND('',#117051,.F.); +#117054=CARTESIAN_POINT('',(3.455E1,4.815E0,-2.36E1)); +#117055=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117056=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117057=AXIS2_PLACEMENT_3D('',#117054,#117055,#117056); +#117058=PLANE('',#117057); +#117059=ORIENTED_EDGE('',*,*,#116779,.F.); +#117060=ORIENTED_EDGE('',*,*,#103527,.T.); +#117061=ORIENTED_EDGE('',*,*,#117008,.T.); +#117062=ORIENTED_EDGE('',*,*,#117047,.F.); +#117063=EDGE_LOOP('',(#117059,#117060,#117061,#117062)); +#117064=FACE_OUTER_BOUND('',#117063,.F.); +#117066=CARTESIAN_POINT('',(3.455E1,5.445E0,-2.6725E1)); +#117067=DIRECTION('',(0.E0,1.E0,0.E0)); +#117068=DIRECTION('',(0.E0,0.E0,1.E0)); +#117069=AXIS2_PLACEMENT_3D('',#117066,#117067,#117068); +#117070=PLANE('',#117069); +#117071=ORIENTED_EDGE('',*,*,#116776,.F.); +#117073=ORIENTED_EDGE('',*,*,#117072,.T.); +#117074=ORIENTED_EDGE('',*,*,#117011,.T.); +#117075=ORIENTED_EDGE('',*,*,#103523,.F.); +#117076=EDGE_LOOP('',(#117071,#117073,#117074,#117075)); +#117077=FACE_OUTER_BOUND('',#117076,.F.); +#117079=CARTESIAN_POINT('',(3.455E1,3.815E0,-2.6725E1)); +#117080=DIRECTION('',(1.E0,0.E0,0.E0)); +#117081=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117082=AXIS2_PLACEMENT_3D('',#117079,#117080,#117081); +#117083=CYLINDRICAL_SURFACE('',#117082,1.63E0); +#117084=ORIENTED_EDGE('',*,*,#116774,.F.); +#117085=ORIENTED_EDGE('',*,*,#116863,.T.); +#117086=ORIENTED_EDGE('',*,*,#117013,.T.); +#117087=ORIENTED_EDGE('',*,*,#117072,.F.); +#117088=EDGE_LOOP('',(#117084,#117085,#117086,#117087)); +#117089=FACE_OUTER_BOUND('',#117088,.F.); +#117091=CARTESIAN_POINT('',(3.455E1,-3.285E0,-2.5185E1)); +#117092=DIRECTION('',(0.E0,0.E0,1.E0)); +#117093=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117094=AXIS2_PLACEMENT_3D('',#117091,#117092,#117093); +#117095=PLANE('',#117094); +#117096=ORIENTED_EDGE('',*,*,#116895,.F.); +#117098=ORIENTED_EDGE('',*,*,#117097,.F.); +#117099=ORIENTED_EDGE('',*,*,#116933,.T.); +#117100=ORIENTED_EDGE('',*,*,#58370,.T.); +#117101=EDGE_LOOP('',(#117096,#117098,#117099,#117100)); +#117102=FACE_OUTER_BOUND('',#117101,.F.); +#117104=CARTESIAN_POINT('',(3.455E1,-3.285E0,-2.5185E1)); +#117105=DIRECTION('',(0.E0,0.E0,1.E0)); +#117106=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117107=AXIS2_PLACEMENT_3D('',#117104,#117105,#117106); +#117108=PLANE('',#117107); +#117109=ORIENTED_EDGE('',*,*,#116990,.F.); +#117110=ORIENTED_EDGE('',*,*,#59430,.F.); +#117111=ORIENTED_EDGE('',*,*,#116834,.F.); +#117112=ORIENTED_EDGE('',*,*,#116806,.F.); +#117114=ORIENTED_EDGE('',*,*,#117113,.T.); +#117115=ORIENTED_EDGE('',*,*,#117025,.T.); +#117116=EDGE_LOOP('',(#117109,#117110,#117111,#117112,#117114,#117115)); +#117117=FACE_OUTER_BOUND('',#117116,.F.); +#117119=CARTESIAN_POINT('',(3.455E1,-1.72E1,-2.5185E1)); +#117120=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117121=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117122=AXIS2_PLACEMENT_3D('',#117119,#117120,#117121); +#117123=PLANE('',#117122); +#117124=ORIENTED_EDGE('',*,*,#116893,.T.); +#117126=ORIENTED_EDGE('',*,*,#117125,.F.); +#117127=ORIENTED_EDGE('',*,*,#116935,.F.); +#117128=ORIENTED_EDGE('',*,*,#117097,.T.); +#117129=EDGE_LOOP('',(#117124,#117126,#117127,#117128)); +#117130=FACE_OUTER_BOUND('',#117129,.F.); +#117132=CARTESIAN_POINT('',(3.455E1,-1.72E1,-2.5815E1)); +#117133=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117134=DIRECTION('',(0.E0,1.E0,0.E0)); +#117135=AXIS2_PLACEMENT_3D('',#117132,#117133,#117134); +#117136=PLANE('',#117135); +#117137=ORIENTED_EDGE('',*,*,#116891,.T.); +#117138=ORIENTED_EDGE('',*,*,#58366,.F.); +#117139=ORIENTED_EDGE('',*,*,#116937,.F.); +#117140=ORIENTED_EDGE('',*,*,#117125,.T.); +#117141=EDGE_LOOP('',(#117137,#117138,#117139,#117140)); +#117142=FACE_OUTER_BOUND('',#117141,.F.); +#117144=CARTESIAN_POINT('',(3.455E1,-1.72E1,-2.5815E1)); +#117145=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117146=DIRECTION('',(0.E0,1.E0,0.E0)); +#117147=AXIS2_PLACEMENT_3D('',#117144,#117145,#117146); +#117148=PLANE('',#117147); +#117149=ORIENTED_EDGE('',*,*,#116994,.T.); +#117150=ORIENTED_EDGE('',*,*,#117036,.T.); +#117152=ORIENTED_EDGE('',*,*,#117151,.F.); +#117153=ORIENTED_EDGE('',*,*,#116795,.F.); +#117154=ORIENTED_EDGE('',*,*,#116831,.T.); +#117155=ORIENTED_EDGE('',*,*,#59434,.T.); +#117156=EDGE_LOOP('',(#117149,#117150,#117152,#117153,#117154,#117155)); +#117157=FACE_OUTER_BOUND('',#117156,.F.); +#117159=CARTESIAN_POINT('',(3.455E1,-3.285E0,-2.4685E1)); +#117160=DIRECTION('',(1.E0,0.E0,0.E0)); +#117161=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117162=AXIS2_PLACEMENT_3D('',#117159,#117160,#117161); +#117163=CYLINDRICAL_SURFACE('',#117162,1.13E0); +#117164=ORIENTED_EDGE('',*,*,#116797,.F.); +#117165=ORIENTED_EDGE('',*,*,#117151,.T.); +#117166=ORIENTED_EDGE('',*,*,#117034,.T.); +#117168=ORIENTED_EDGE('',*,*,#117167,.F.); +#117169=EDGE_LOOP('',(#117164,#117165,#117166,#117168)); +#117170=FACE_OUTER_BOUND('',#117169,.F.); +#117172=CARTESIAN_POINT('',(3.455E1,-2.155E0,-2.4685E1)); +#117173=DIRECTION('',(0.E0,1.E0,0.E0)); +#117174=DIRECTION('',(0.E0,0.E0,1.E0)); +#117175=AXIS2_PLACEMENT_3D('',#117172,#117173,#117174); +#117176=PLANE('',#117175); +#117177=ORIENTED_EDGE('',*,*,#116799,.F.); +#117178=ORIENTED_EDGE('',*,*,#117167,.T.); +#117179=ORIENTED_EDGE('',*,*,#117032,.T.); +#117180=ORIENTED_EDGE('',*,*,#60344,.F.); +#117181=EDGE_LOOP('',(#117177,#117178,#117179,#117180)); +#117182=FACE_OUTER_BOUND('',#117181,.F.); +#117184=CARTESIAN_POINT('',(3.455E1,-3.285E0,-2.4685E1)); +#117185=DIRECTION('',(1.E0,0.E0,0.E0)); +#117186=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117187=AXIS2_PLACEMENT_3D('',#117184,#117185,#117186); +#117188=CYLINDRICAL_SURFACE('',#117187,5.E-1); +#117189=ORIENTED_EDGE('',*,*,#116804,.F.); +#117191=ORIENTED_EDGE('',*,*,#117190,.T.); +#117192=ORIENTED_EDGE('',*,*,#117027,.T.); +#117193=ORIENTED_EDGE('',*,*,#117113,.F.); +#117194=EDGE_LOOP('',(#117189,#117191,#117192,#117193)); +#117195=FACE_OUTER_BOUND('',#117194,.F.); +#117197=CARTESIAN_POINT('',(3.455E1,-2.785E0,-2.36E1)); +#117198=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117199=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117200=AXIS2_PLACEMENT_3D('',#117197,#117198,#117199); +#117201=PLANE('',#117200); +#117202=ORIENTED_EDGE('',*,*,#116802,.F.); +#117203=ORIENTED_EDGE('',*,*,#60348,.T.); +#117204=ORIENTED_EDGE('',*,*,#117029,.T.); +#117205=ORIENTED_EDGE('',*,*,#117190,.F.); +#117206=EDGE_LOOP('',(#117202,#117203,#117204,#117205)); +#117207=FACE_OUTER_BOUND('',#117206,.F.); +#117209=CARTESIAN_POINT('',(3.67E1,6.33E0,0.E0)); +#117210=DIRECTION('',(1.E0,0.E0,0.E0)); +#117211=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117212=AXIS2_PLACEMENT_3D('',#117209,#117210,#117211); +#117213=PLANE('',#117212); +#117215=ORIENTED_EDGE('',*,*,#117214,.F.); +#117217=ORIENTED_EDGE('',*,*,#117216,.T.); +#117219=ORIENTED_EDGE('',*,*,#117218,.T.); +#117221=ORIENTED_EDGE('',*,*,#117220,.T.); +#117222=ORIENTED_EDGE('',*,*,#103531,.F.); +#117224=ORIENTED_EDGE('',*,*,#117223,.T.); +#117226=ORIENTED_EDGE('',*,*,#117225,.T.); +#117228=ORIENTED_EDGE('',*,*,#117227,.T.); +#117229=EDGE_LOOP('',(#117215,#117217,#117219,#117221,#117222,#117224,#117226, +#117228)); +#117230=FACE_OUTER_BOUND('',#117229,.F.); +#117232=CARTESIAN_POINT('',(3.67E1,6.33E0,0.E0)); +#117233=DIRECTION('',(1.E0,0.E0,0.E0)); +#117234=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117235=AXIS2_PLACEMENT_3D('',#117232,#117233,#117234); +#117236=PLANE('',#117235); +#117238=ORIENTED_EDGE('',*,*,#117237,.F.); +#117240=ORIENTED_EDGE('',*,*,#117239,.T.); +#117242=ORIENTED_EDGE('',*,*,#117241,.T.); +#117244=ORIENTED_EDGE('',*,*,#117243,.T.); +#117245=ORIENTED_EDGE('',*,*,#60352,.F.); +#117247=ORIENTED_EDGE('',*,*,#117246,.T.); +#117249=ORIENTED_EDGE('',*,*,#117248,.T.); +#117251=ORIENTED_EDGE('',*,*,#117250,.T.); +#117252=EDGE_LOOP('',(#117238,#117240,#117242,#117244,#117245,#117247,#117249, +#117251)); +#117253=FACE_OUTER_BOUND('',#117252,.F.); +#117255=CARTESIAN_POINT('',(3.67E1,-1.094019237886E1,-3.0895E1)); +#117256=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#117257=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#117258=AXIS2_PLACEMENT_3D('',#117255,#117256,#117257); +#117259=PLANE('',#117258); +#117260=ORIENTED_EDGE('',*,*,#59456,.F.); +#117262=ORIENTED_EDGE('',*,*,#117261,.F.); +#117263=ORIENTED_EDGE('',*,*,#117214,.T.); +#117265=ORIENTED_EDGE('',*,*,#117264,.T.); +#117266=EDGE_LOOP('',(#117260,#117262,#117263,#117265)); +#117267=FACE_OUTER_BOUND('',#117266,.F.); +#117269=CARTESIAN_POINT('',(3.67E1,-1.094019237886E1,-3.0895E1)); +#117270=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#117271=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#117272=AXIS2_PLACEMENT_3D('',#117269,#117270,#117271); +#117273=PLANE('',#117272); +#117274=ORIENTED_EDGE('',*,*,#59466,.F.); +#117276=ORIENTED_EDGE('',*,*,#117275,.F.); +#117277=ORIENTED_EDGE('',*,*,#117237,.T.); +#117279=ORIENTED_EDGE('',*,*,#117278,.T.); +#117280=EDGE_LOOP('',(#117274,#117276,#117277,#117279)); +#117281=FACE_OUTER_BOUND('',#117280,.F.); +#117283=CARTESIAN_POINT('',(3.67E1,-1.72E1,-3.0895E1)); +#117284=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117285=DIRECTION('',(0.E0,1.E0,0.E0)); +#117286=AXIS2_PLACEMENT_3D('',#117283,#117284,#117285); +#117287=PLANE('',#117286); +#117289=ORIENTED_EDGE('',*,*,#117288,.F.); +#117291=ORIENTED_EDGE('',*,*,#117290,.T.); +#117293=ORIENTED_EDGE('',*,*,#117292,.T.); +#117294=ORIENTED_EDGE('',*,*,#58386,.F.); +#117295=EDGE_LOOP('',(#117289,#117291,#117293,#117294)); +#117296=FACE_OUTER_BOUND('',#117295,.F.); +#117298=CARTESIAN_POINT('',(3.67E1,-1.72E1,-3.0895E1)); +#117299=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117300=DIRECTION('',(0.E0,1.E0,0.E0)); +#117301=AXIS2_PLACEMENT_3D('',#117298,#117299,#117300); +#117302=PLANE('',#117301); +#117303=ORIENTED_EDGE('',*,*,#117261,.T.); +#117304=ORIENTED_EDGE('',*,*,#59454,.T.); +#117306=ORIENTED_EDGE('',*,*,#117305,.T.); +#117308=ORIENTED_EDGE('',*,*,#117307,.T.); +#117310=ORIENTED_EDGE('',*,*,#117309,.F.); +#117311=ORIENTED_EDGE('',*,*,#117216,.F.); +#117312=EDGE_LOOP('',(#117303,#117304,#117306,#117308,#117310,#117311)); +#117313=FACE_OUTER_BOUND('',#117312,.F.); +#117315=CARTESIAN_POINT('',(3.685E1,-1.35E1,-3.0895E1)); +#117316=DIRECTION('',(1.E0,0.E0,0.E0)); +#117317=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117318=AXIS2_PLACEMENT_3D('',#117315,#117316,#117317); +#117319=PLANE('',#117318); +#117320=ORIENTED_EDGE('',*,*,#58392,.T.); +#117322=ORIENTED_EDGE('',*,*,#117321,.F.); +#117324=ORIENTED_EDGE('',*,*,#117323,.T.); +#117325=ORIENTED_EDGE('',*,*,#117288,.T.); +#117326=EDGE_LOOP('',(#117320,#117322,#117324,#117325)); +#117327=FACE_OUTER_BOUND('',#117326,.F.); +#117329=CARTESIAN_POINT('',(3.685E1,-1.35E1,-3.0895E1)); +#117330=DIRECTION('',(1.E0,0.E0,0.E0)); +#117331=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117332=AXIS2_PLACEMENT_3D('',#117329,#117330,#117331); +#117333=PLANE('',#117332); +#117334=ORIENTED_EDGE('',*,*,#58402,.T.); +#117336=ORIENTED_EDGE('',*,*,#117335,.F.); +#117338=ORIENTED_EDGE('',*,*,#117337,.T.); +#117340=ORIENTED_EDGE('',*,*,#117339,.T.); +#117341=EDGE_LOOP('',(#117334,#117336,#117338,#117340)); +#117342=FACE_OUTER_BOUND('',#117341,.F.); +#117344=CARTESIAN_POINT('',(3.67E1,3.815E0,-3.0265E1)); +#117345=DIRECTION('',(0.E0,0.E0,1.E0)); +#117346=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117347=AXIS2_PLACEMENT_3D('',#117344,#117345,#117346); +#117348=PLANE('',#117347); +#117349=ORIENTED_EDGE('',*,*,#117321,.T.); +#117350=ORIENTED_EDGE('',*,*,#58390,.T.); +#117352=ORIENTED_EDGE('',*,*,#117351,.F.); +#117354=ORIENTED_EDGE('',*,*,#117353,.F.); +#117355=EDGE_LOOP('',(#117349,#117350,#117352,#117354)); +#117356=FACE_OUTER_BOUND('',#117355,.F.); +#117358=CARTESIAN_POINT('',(3.67E1,3.815E0,-3.0265E1)); +#117359=DIRECTION('',(0.E0,0.E0,1.E0)); +#117360=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117361=AXIS2_PLACEMENT_3D('',#117358,#117359,#117360); +#117362=PLANE('',#117361); +#117363=ORIENTED_EDGE('',*,*,#117264,.F.); +#117364=ORIENTED_EDGE('',*,*,#117227,.F.); +#117366=ORIENTED_EDGE('',*,*,#117365,.T.); +#117368=ORIENTED_EDGE('',*,*,#117367,.T.); +#117370=ORIENTED_EDGE('',*,*,#117369,.F.); +#117371=ORIENTED_EDGE('',*,*,#59450,.F.); +#117372=EDGE_LOOP('',(#117363,#117364,#117366,#117368,#117370,#117371)); +#117373=FACE_OUTER_BOUND('',#117372,.F.); +#117375=CARTESIAN_POINT('',(3.745E1,-1.72E1,-3.0895E1)); +#117376=DIRECTION('',(-1.E0,0.E0,0.E0)); +#117377=DIRECTION('',(0.E0,1.E0,0.E0)); +#117378=AXIS2_PLACEMENT_3D('',#117375,#117376,#117377); +#117379=PLANE('',#117378); +#117380=ORIENTED_EDGE('',*,*,#58388,.F.); +#117381=ORIENTED_EDGE('',*,*,#117292,.F.); +#117383=ORIENTED_EDGE('',*,*,#117382,.F.); +#117384=ORIENTED_EDGE('',*,*,#117351,.T.); +#117385=EDGE_LOOP('',(#117380,#117381,#117383,#117384)); +#117386=FACE_OUTER_BOUND('',#117385,.F.); +#117388=CARTESIAN_POINT('',(3.745E1,-1.72E1,-3.0895E1)); +#117389=DIRECTION('',(-1.E0,0.E0,0.E0)); +#117390=DIRECTION('',(0.E0,1.E0,0.E0)); +#117391=AXIS2_PLACEMENT_3D('',#117388,#117389,#117390); +#117392=PLANE('',#117391); +#117393=ORIENTED_EDGE('',*,*,#58398,.F.); +#117395=ORIENTED_EDGE('',*,*,#117394,.F.); +#117397=ORIENTED_EDGE('',*,*,#117396,.F.); +#117399=ORIENTED_EDGE('',*,*,#117398,.T.); +#117400=EDGE_LOOP('',(#117393,#117395,#117397,#117399)); +#117401=FACE_OUTER_BOUND('',#117400,.F.); +#117403=CARTESIAN_POINT('',(3.67E1,-1.72E1,-3.0265E1)); +#117404=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117405=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117406=AXIS2_PLACEMENT_3D('',#117403,#117404,#117405); +#117407=PLANE('',#117406); +#117408=ORIENTED_EDGE('',*,*,#117323,.F.); +#117409=ORIENTED_EDGE('',*,*,#117353,.T.); +#117410=ORIENTED_EDGE('',*,*,#117382,.T.); +#117411=ORIENTED_EDGE('',*,*,#117290,.F.); +#117412=EDGE_LOOP('',(#117408,#117409,#117410,#117411)); +#117413=FACE_OUTER_BOUND('',#117412,.F.); +#117415=CARTESIAN_POINT('',(3.67E1,-1.72E1,-2.5815E1)); +#117416=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117417=DIRECTION('',(0.E0,1.E0,0.E0)); +#117418=AXIS2_PLACEMENT_3D('',#117415,#117416,#117417); +#117419=PLANE('',#117418); +#117420=ORIENTED_EDGE('',*,*,#117339,.F.); +#117422=ORIENTED_EDGE('',*,*,#117421,.T.); +#117423=ORIENTED_EDGE('',*,*,#117394,.T.); +#117424=ORIENTED_EDGE('',*,*,#58396,.F.); +#117425=EDGE_LOOP('',(#117420,#117422,#117423,#117424)); +#117426=FACE_OUTER_BOUND('',#117425,.F.); +#117428=CARTESIAN_POINT('',(3.67E1,-1.72E1,-2.5815E1)); +#117429=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117430=DIRECTION('',(0.E0,1.E0,0.E0)); +#117431=AXIS2_PLACEMENT_3D('',#117428,#117429,#117430); +#117432=PLANE('',#117431); +#117433=ORIENTED_EDGE('',*,*,#117275,.T.); +#117434=ORIENTED_EDGE('',*,*,#59464,.T.); +#117436=ORIENTED_EDGE('',*,*,#117435,.T.); +#117438=ORIENTED_EDGE('',*,*,#117437,.T.); +#117440=ORIENTED_EDGE('',*,*,#117439,.F.); +#117441=ORIENTED_EDGE('',*,*,#117239,.F.); +#117442=EDGE_LOOP('',(#117433,#117434,#117436,#117438,#117440,#117441)); +#117443=FACE_OUTER_BOUND('',#117442,.F.); +#117445=CARTESIAN_POINT('',(3.67E1,-1.72E1,-2.5185E1)); +#117446=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117447=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117448=AXIS2_PLACEMENT_3D('',#117445,#117446,#117447); +#117449=PLANE('',#117448); +#117450=ORIENTED_EDGE('',*,*,#117337,.F.); +#117452=ORIENTED_EDGE('',*,*,#117451,.T.); +#117453=ORIENTED_EDGE('',*,*,#117396,.T.); +#117454=ORIENTED_EDGE('',*,*,#117421,.F.); +#117455=EDGE_LOOP('',(#117450,#117452,#117453,#117454)); +#117456=FACE_OUTER_BOUND('',#117455,.F.); +#117458=CARTESIAN_POINT('',(3.67E1,-3.285E0,-2.5185E1)); +#117459=DIRECTION('',(0.E0,0.E0,1.E0)); +#117460=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117461=AXIS2_PLACEMENT_3D('',#117458,#117459,#117460); +#117462=PLANE('',#117461); +#117463=ORIENTED_EDGE('',*,*,#117335,.T.); +#117464=ORIENTED_EDGE('',*,*,#58400,.T.); +#117465=ORIENTED_EDGE('',*,*,#117398,.F.); +#117466=ORIENTED_EDGE('',*,*,#117451,.F.); +#117467=EDGE_LOOP('',(#117463,#117464,#117465,#117466)); +#117468=FACE_OUTER_BOUND('',#117467,.F.); +#117470=CARTESIAN_POINT('',(3.67E1,-3.285E0,-2.5185E1)); +#117471=DIRECTION('',(0.E0,0.E0,1.E0)); +#117472=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117473=AXIS2_PLACEMENT_3D('',#117470,#117471,#117472); +#117474=PLANE('',#117473); +#117475=ORIENTED_EDGE('',*,*,#117278,.F.); +#117476=ORIENTED_EDGE('',*,*,#117250,.F.); +#117478=ORIENTED_EDGE('',*,*,#117477,.T.); +#117480=ORIENTED_EDGE('',*,*,#117479,.T.); +#117482=ORIENTED_EDGE('',*,*,#117481,.F.); +#117483=ORIENTED_EDGE('',*,*,#59460,.F.); +#117484=EDGE_LOOP('',(#117475,#117476,#117478,#117480,#117482,#117483)); +#117485=FACE_OUTER_BOUND('',#117484,.F.); +#117487=CARTESIAN_POINT('',(3.67E1,-3.285E0,-2.4685E1)); +#117488=DIRECTION('',(1.E0,0.E0,0.E0)); +#117489=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117490=AXIS2_PLACEMENT_3D('',#117487,#117488,#117489); +#117491=CYLINDRICAL_SURFACE('',#117490,5.E-1); +#117492=ORIENTED_EDGE('',*,*,#117248,.F.); +#117494=ORIENTED_EDGE('',*,*,#117493,.T.); +#117496=ORIENTED_EDGE('',*,*,#117495,.T.); +#117497=ORIENTED_EDGE('',*,*,#117477,.F.); +#117498=EDGE_LOOP('',(#117492,#117494,#117496,#117497)); +#117499=FACE_OUTER_BOUND('',#117498,.F.); +#117501=CARTESIAN_POINT('',(3.67E1,-2.785E0,-2.36E1)); +#117502=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117503=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117504=AXIS2_PLACEMENT_3D('',#117501,#117502,#117503); +#117505=PLANE('',#117504); +#117506=ORIENTED_EDGE('',*,*,#117246,.F.); +#117507=ORIENTED_EDGE('',*,*,#60358,.T.); +#117509=ORIENTED_EDGE('',*,*,#117508,.T.); +#117510=ORIENTED_EDGE('',*,*,#117493,.F.); +#117511=EDGE_LOOP('',(#117506,#117507,#117509,#117510)); +#117512=FACE_OUTER_BOUND('',#117511,.F.); +#117514=CARTESIAN_POINT('',(3.76E1,6.33E0,0.E0)); +#117515=DIRECTION('',(1.E0,0.E0,0.E0)); +#117516=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117517=AXIS2_PLACEMENT_3D('',#117514,#117515,#117516); +#117518=PLANE('',#117517); +#117520=ORIENTED_EDGE('',*,*,#117519,.T.); +#117521=ORIENTED_EDGE('',*,*,#117367,.F.); +#117523=ORIENTED_EDGE('',*,*,#117522,.F.); +#117525=ORIENTED_EDGE('',*,*,#117524,.F.); +#117526=ORIENTED_EDGE('',*,*,#103535,.T.); +#117528=ORIENTED_EDGE('',*,*,#117527,.F.); +#117530=ORIENTED_EDGE('',*,*,#117529,.F.); +#117531=ORIENTED_EDGE('',*,*,#117307,.F.); +#117532=EDGE_LOOP('',(#117520,#117521,#117523,#117525,#117526,#117528,#117530, +#117531)); +#117533=FACE_OUTER_BOUND('',#117532,.F.); +#117535=CARTESIAN_POINT('',(3.76E1,6.33E0,0.E0)); +#117536=DIRECTION('',(1.E0,0.E0,0.E0)); +#117537=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117538=AXIS2_PLACEMENT_3D('',#117535,#117536,#117537); +#117539=PLANE('',#117538); +#117541=ORIENTED_EDGE('',*,*,#117540,.T.); +#117542=ORIENTED_EDGE('',*,*,#117479,.F.); +#117543=ORIENTED_EDGE('',*,*,#117495,.F.); +#117544=ORIENTED_EDGE('',*,*,#117508,.F.); +#117545=ORIENTED_EDGE('',*,*,#60356,.T.); +#117547=ORIENTED_EDGE('',*,*,#117546,.F.); +#117549=ORIENTED_EDGE('',*,*,#117548,.F.); +#117550=ORIENTED_EDGE('',*,*,#117437,.F.); +#117551=EDGE_LOOP('',(#117541,#117542,#117543,#117544,#117545,#117547,#117549, +#117550)); +#117552=FACE_OUTER_BOUND('',#117551,.F.); +#117554=CARTESIAN_POINT('',(3.745E1,-1.12E1,-3.0895E1)); +#117555=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#117556=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#117557=AXIS2_PLACEMENT_3D('',#117554,#117555,#117556); +#117558=PLANE('',#117557); +#117559=ORIENTED_EDGE('',*,*,#59452,.T.); +#117560=ORIENTED_EDGE('',*,*,#117369,.T.); +#117561=ORIENTED_EDGE('',*,*,#117519,.F.); +#117562=ORIENTED_EDGE('',*,*,#117305,.F.); +#117563=EDGE_LOOP('',(#117559,#117560,#117561,#117562)); +#117564=FACE_OUTER_BOUND('',#117563,.F.); +#117566=CARTESIAN_POINT('',(3.745E1,-1.12E1,-3.0895E1)); +#117567=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#117568=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#117569=AXIS2_PLACEMENT_3D('',#117566,#117567,#117568); +#117570=PLANE('',#117569); +#117571=ORIENTED_EDGE('',*,*,#59462,.T.); +#117572=ORIENTED_EDGE('',*,*,#117481,.T.); +#117573=ORIENTED_EDGE('',*,*,#117540,.F.); +#117574=ORIENTED_EDGE('',*,*,#117435,.F.); +#117575=EDGE_LOOP('',(#117571,#117572,#117573,#117574)); +#117576=FACE_OUTER_BOUND('',#117575,.F.); +#117578=CARTESIAN_POINT('',(3.67E1,3.815E0,-2.9265E1)); +#117579=DIRECTION('',(1.E0,0.E0,0.E0)); +#117580=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117581=AXIS2_PLACEMENT_3D('',#117578,#117579,#117580); +#117582=CYLINDRICAL_SURFACE('',#117581,1.E0); +#117583=ORIENTED_EDGE('',*,*,#117225,.F.); +#117585=ORIENTED_EDGE('',*,*,#117584,.T.); +#117586=ORIENTED_EDGE('',*,*,#117522,.T.); +#117587=ORIENTED_EDGE('',*,*,#117365,.F.); +#117588=EDGE_LOOP('',(#117583,#117585,#117586,#117587)); +#117589=FACE_OUTER_BOUND('',#117588,.F.); +#117591=CARTESIAN_POINT('',(3.67E1,4.815E0,-2.36E1)); +#117592=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117593=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117594=AXIS2_PLACEMENT_3D('',#117591,#117592,#117593); +#117595=PLANE('',#117594); +#117596=ORIENTED_EDGE('',*,*,#117223,.F.); +#117597=ORIENTED_EDGE('',*,*,#103537,.T.); +#117598=ORIENTED_EDGE('',*,*,#117524,.T.); +#117599=ORIENTED_EDGE('',*,*,#117584,.F.); +#117600=EDGE_LOOP('',(#117596,#117597,#117598,#117599)); +#117601=FACE_OUTER_BOUND('',#117600,.F.); +#117603=CARTESIAN_POINT('',(3.67E1,5.445E0,-2.9265E1)); +#117604=DIRECTION('',(0.E0,1.E0,0.E0)); +#117605=DIRECTION('',(0.E0,0.E0,1.E0)); +#117606=AXIS2_PLACEMENT_3D('',#117603,#117604,#117605); +#117607=PLANE('',#117606); +#117608=ORIENTED_EDGE('',*,*,#117220,.F.); +#117610=ORIENTED_EDGE('',*,*,#117609,.T.); +#117611=ORIENTED_EDGE('',*,*,#117527,.T.); +#117612=ORIENTED_EDGE('',*,*,#103533,.F.); +#117613=EDGE_LOOP('',(#117608,#117610,#117611,#117612)); +#117614=FACE_OUTER_BOUND('',#117613,.F.); +#117616=CARTESIAN_POINT('',(3.67E1,3.815E0,-2.9265E1)); +#117617=DIRECTION('',(1.E0,0.E0,0.E0)); +#117618=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117619=AXIS2_PLACEMENT_3D('',#117616,#117617,#117618); +#117620=CYLINDRICAL_SURFACE('',#117619,1.63E0); +#117621=ORIENTED_EDGE('',*,*,#117218,.F.); +#117622=ORIENTED_EDGE('',*,*,#117309,.T.); +#117623=ORIENTED_EDGE('',*,*,#117529,.T.); +#117624=ORIENTED_EDGE('',*,*,#117609,.F.); +#117625=EDGE_LOOP('',(#117621,#117622,#117623,#117624)); +#117626=FACE_OUTER_BOUND('',#117625,.F.); +#117628=CARTESIAN_POINT('',(3.67E1,-2.155E0,-2.4685E1)); +#117629=DIRECTION('',(0.E0,1.E0,0.E0)); +#117630=DIRECTION('',(0.E0,0.E0,1.E0)); +#117631=AXIS2_PLACEMENT_3D('',#117628,#117629,#117630); +#117632=PLANE('',#117631); +#117633=ORIENTED_EDGE('',*,*,#117243,.F.); +#117635=ORIENTED_EDGE('',*,*,#117634,.T.); +#117636=ORIENTED_EDGE('',*,*,#117546,.T.); +#117637=ORIENTED_EDGE('',*,*,#60354,.F.); +#117638=EDGE_LOOP('',(#117633,#117635,#117636,#117637)); +#117639=FACE_OUTER_BOUND('',#117638,.F.); +#117641=CARTESIAN_POINT('',(3.67E1,-3.285E0,-2.4685E1)); +#117642=DIRECTION('',(1.E0,0.E0,0.E0)); +#117643=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117644=AXIS2_PLACEMENT_3D('',#117641,#117642,#117643); +#117645=CYLINDRICAL_SURFACE('',#117644,1.13E0); +#117646=ORIENTED_EDGE('',*,*,#117241,.F.); +#117647=ORIENTED_EDGE('',*,*,#117439,.T.); +#117648=ORIENTED_EDGE('',*,*,#117548,.T.); +#117649=ORIENTED_EDGE('',*,*,#117634,.F.); +#117650=EDGE_LOOP('',(#117646,#117647,#117648,#117649)); +#117651=FACE_OUTER_BOUND('',#117650,.F.); +#117653=CARTESIAN_POINT('',(3.885E1,1.033E1,0.E0)); +#117654=DIRECTION('',(1.E0,0.E0,0.E0)); +#117655=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117656=AXIS2_PLACEMENT_3D('',#117653,#117654,#117655); +#117657=PLANE('',#117656); +#117659=ORIENTED_EDGE('',*,*,#117658,.F.); +#117661=ORIENTED_EDGE('',*,*,#117660,.T.); +#117663=ORIENTED_EDGE('',*,*,#117662,.T.); +#117665=ORIENTED_EDGE('',*,*,#117664,.T.); +#117666=ORIENTED_EDGE('',*,*,#103541,.F.); +#117668=ORIENTED_EDGE('',*,*,#117667,.T.); +#117670=ORIENTED_EDGE('',*,*,#117669,.T.); +#117672=ORIENTED_EDGE('',*,*,#117671,.T.); +#117673=EDGE_LOOP('',(#117659,#117661,#117663,#117665,#117666,#117668,#117670, +#117672)); +#117674=FACE_OUTER_BOUND('',#117673,.F.); +#117676=CARTESIAN_POINT('',(3.885E1,1.033E1,0.E0)); +#117677=DIRECTION('',(1.E0,0.E0,0.E0)); +#117678=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117679=AXIS2_PLACEMENT_3D('',#117676,#117677,#117678); +#117680=PLANE('',#117679); +#117682=ORIENTED_EDGE('',*,*,#117681,.F.); +#117684=ORIENTED_EDGE('',*,*,#117683,.T.); +#117686=ORIENTED_EDGE('',*,*,#117685,.T.); +#117688=ORIENTED_EDGE('',*,*,#117687,.T.); +#117689=ORIENTED_EDGE('',*,*,#60362,.F.); +#117691=ORIENTED_EDGE('',*,*,#117690,.T.); +#117693=ORIENTED_EDGE('',*,*,#117692,.T.); +#117695=ORIENTED_EDGE('',*,*,#117694,.T.); +#117696=EDGE_LOOP('',(#117682,#117684,#117686,#117688,#117689,#117691,#117693, +#117695)); +#117697=FACE_OUTER_BOUND('',#117696,.F.); +#117699=CARTESIAN_POINT('',(3.885E1,-1.094019237886E1,-3.0895E1)); +#117700=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#117701=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#117702=AXIS2_PLACEMENT_3D('',#117699,#117700,#117701); +#117703=PLANE('',#117702); +#117704=ORIENTED_EDGE('',*,*,#59486,.F.); +#117706=ORIENTED_EDGE('',*,*,#117705,.F.); +#117707=ORIENTED_EDGE('',*,*,#117658,.T.); +#117709=ORIENTED_EDGE('',*,*,#117708,.T.); +#117710=EDGE_LOOP('',(#117704,#117706,#117707,#117709)); +#117711=FACE_OUTER_BOUND('',#117710,.F.); +#117713=CARTESIAN_POINT('',(3.885E1,-1.094019237886E1,-3.0895E1)); +#117714=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#117715=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#117716=AXIS2_PLACEMENT_3D('',#117713,#117714,#117715); +#117717=PLANE('',#117716); +#117718=ORIENTED_EDGE('',*,*,#59476,.F.); +#117720=ORIENTED_EDGE('',*,*,#117719,.F.); +#117721=ORIENTED_EDGE('',*,*,#117681,.T.); +#117723=ORIENTED_EDGE('',*,*,#117722,.T.); +#117724=EDGE_LOOP('',(#117718,#117720,#117721,#117723)); +#117725=FACE_OUTER_BOUND('',#117724,.F.); +#117727=CARTESIAN_POINT('',(3.885E1,-1.72E1,-2.8355E1)); +#117728=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117729=DIRECTION('',(0.E0,1.E0,0.E0)); +#117730=AXIS2_PLACEMENT_3D('',#117727,#117728,#117729); +#117731=PLANE('',#117730); +#117733=ORIENTED_EDGE('',*,*,#117732,.T.); +#117734=ORIENTED_EDGE('',*,*,#58416,.F.); +#117736=ORIENTED_EDGE('',*,*,#117735,.F.); +#117738=ORIENTED_EDGE('',*,*,#117737,.T.); +#117739=EDGE_LOOP('',(#117733,#117734,#117736,#117738)); +#117740=FACE_OUTER_BOUND('',#117739,.F.); +#117742=CARTESIAN_POINT('',(3.885E1,-1.72E1,-2.8355E1)); +#117743=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117744=DIRECTION('',(0.E0,1.E0,0.E0)); +#117745=AXIS2_PLACEMENT_3D('',#117742,#117743,#117744); +#117746=PLANE('',#117745); +#117748=ORIENTED_EDGE('',*,*,#117747,.T.); +#117750=ORIENTED_EDGE('',*,*,#117749,.T.); +#117752=ORIENTED_EDGE('',*,*,#117751,.F.); +#117753=ORIENTED_EDGE('',*,*,#117660,.F.); +#117754=ORIENTED_EDGE('',*,*,#117705,.T.); +#117755=ORIENTED_EDGE('',*,*,#59484,.T.); +#117756=EDGE_LOOP('',(#117748,#117750,#117752,#117753,#117754,#117755)); +#117757=FACE_OUTER_BOUND('',#117756,.F.); +#117759=CARTESIAN_POINT('',(3.96E1,-1.72E1,-3.0895E1)); +#117760=DIRECTION('',(-1.E0,0.E0,0.E0)); +#117761=DIRECTION('',(0.E0,1.E0,0.E0)); +#117762=AXIS2_PLACEMENT_3D('',#117759,#117760,#117761); +#117763=PLANE('',#117762); +#117764=ORIENTED_EDGE('',*,*,#58418,.F.); +#117765=ORIENTED_EDGE('',*,*,#117732,.F.); +#117767=ORIENTED_EDGE('',*,*,#117766,.F.); +#117769=ORIENTED_EDGE('',*,*,#117768,.T.); +#117770=EDGE_LOOP('',(#117764,#117765,#117767,#117769)); +#117771=FACE_OUTER_BOUND('',#117770,.F.); +#117773=CARTESIAN_POINT('',(3.96E1,-1.72E1,-3.0895E1)); +#117774=DIRECTION('',(-1.E0,0.E0,0.E0)); +#117775=DIRECTION('',(0.E0,1.E0,0.E0)); +#117776=AXIS2_PLACEMENT_3D('',#117773,#117774,#117775); +#117777=PLANE('',#117776); +#117778=ORIENTED_EDGE('',*,*,#58408,.F.); +#117780=ORIENTED_EDGE('',*,*,#117779,.F.); +#117782=ORIENTED_EDGE('',*,*,#117781,.F.); +#117784=ORIENTED_EDGE('',*,*,#117783,.T.); +#117785=EDGE_LOOP('',(#117778,#117780,#117782,#117784)); +#117786=FACE_OUTER_BOUND('',#117785,.F.); +#117788=CARTESIAN_POINT('',(3.885E1,-1.72E1,-2.7725E1)); +#117789=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117790=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117791=AXIS2_PLACEMENT_3D('',#117788,#117789,#117790); +#117792=PLANE('',#117791); +#117793=ORIENTED_EDGE('',*,*,#117766,.T.); +#117794=ORIENTED_EDGE('',*,*,#117737,.F.); +#117796=ORIENTED_EDGE('',*,*,#117795,.F.); +#117798=ORIENTED_EDGE('',*,*,#117797,.T.); +#117799=EDGE_LOOP('',(#117793,#117794,#117796,#117798)); +#117800=FACE_OUTER_BOUND('',#117799,.F.); +#117802=CARTESIAN_POINT('',(3.9E1,-1.35E1,-3.0895E1)); +#117803=DIRECTION('',(1.E0,0.E0,0.E0)); +#117804=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117805=AXIS2_PLACEMENT_3D('',#117802,#117803,#117804); +#117806=PLANE('',#117805); +#117807=ORIENTED_EDGE('',*,*,#58422,.T.); +#117809=ORIENTED_EDGE('',*,*,#117808,.F.); +#117810=ORIENTED_EDGE('',*,*,#117795,.T.); +#117811=ORIENTED_EDGE('',*,*,#117735,.T.); +#117812=EDGE_LOOP('',(#117807,#117809,#117810,#117811)); +#117813=FACE_OUTER_BOUND('',#117812,.F.); +#117815=CARTESIAN_POINT('',(3.9E1,-1.35E1,-3.0895E1)); +#117816=DIRECTION('',(1.E0,0.E0,0.E0)); +#117817=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117818=AXIS2_PLACEMENT_3D('',#117815,#117816,#117817); +#117819=PLANE('',#117818); +#117820=ORIENTED_EDGE('',*,*,#58412,.T.); +#117822=ORIENTED_EDGE('',*,*,#117821,.F.); +#117824=ORIENTED_EDGE('',*,*,#117823,.T.); +#117826=ORIENTED_EDGE('',*,*,#117825,.T.); +#117827=EDGE_LOOP('',(#117820,#117822,#117824,#117826)); +#117828=FACE_OUTER_BOUND('',#117827,.F.); +#117830=CARTESIAN_POINT('',(3.885E1,3.815E0,-2.7725E1)); +#117831=DIRECTION('',(0.E0,0.E0,1.E0)); +#117832=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117833=AXIS2_PLACEMENT_3D('',#117830,#117831,#117832); +#117834=PLANE('',#117833); +#117835=ORIENTED_EDGE('',*,*,#117768,.F.); +#117836=ORIENTED_EDGE('',*,*,#117797,.F.); +#117837=ORIENTED_EDGE('',*,*,#117808,.T.); +#117838=ORIENTED_EDGE('',*,*,#58420,.T.); +#117839=EDGE_LOOP('',(#117835,#117836,#117837,#117838)); +#117840=FACE_OUTER_BOUND('',#117839,.F.); +#117842=CARTESIAN_POINT('',(3.885E1,3.815E0,-2.7725E1)); +#117843=DIRECTION('',(0.E0,0.E0,1.E0)); +#117844=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117845=AXIS2_PLACEMENT_3D('',#117842,#117843,#117844); +#117846=PLANE('',#117845); +#117848=ORIENTED_EDGE('',*,*,#117847,.F.); +#117849=ORIENTED_EDGE('',*,*,#59480,.F.); +#117850=ORIENTED_EDGE('',*,*,#117708,.F.); +#117851=ORIENTED_EDGE('',*,*,#117671,.F.); +#117853=ORIENTED_EDGE('',*,*,#117852,.T.); +#117855=ORIENTED_EDGE('',*,*,#117854,.T.); +#117856=EDGE_LOOP('',(#117848,#117849,#117850,#117851,#117853,#117855)); +#117857=FACE_OUTER_BOUND('',#117856,.F.); +#117859=CARTESIAN_POINT('',(3.96E1,-1.12E1,-3.0895E1)); +#117860=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#117861=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#117862=AXIS2_PLACEMENT_3D('',#117859,#117860,#117861); +#117863=PLANE('',#117862); +#117864=ORIENTED_EDGE('',*,*,#59482,.T.); +#117865=ORIENTED_EDGE('',*,*,#117847,.T.); +#117867=ORIENTED_EDGE('',*,*,#117866,.F.); +#117868=ORIENTED_EDGE('',*,*,#117747,.F.); +#117869=EDGE_LOOP('',(#117864,#117865,#117867,#117868)); +#117870=FACE_OUTER_BOUND('',#117869,.F.); +#117872=CARTESIAN_POINT('',(3.96E1,-1.12E1,-3.0895E1)); +#117873=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#117874=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#117875=AXIS2_PLACEMENT_3D('',#117872,#117873,#117874); +#117876=PLANE('',#117875); +#117877=ORIENTED_EDGE('',*,*,#59472,.T.); +#117879=ORIENTED_EDGE('',*,*,#117878,.T.); +#117881=ORIENTED_EDGE('',*,*,#117880,.F.); +#117883=ORIENTED_EDGE('',*,*,#117882,.F.); +#117884=EDGE_LOOP('',(#117877,#117879,#117881,#117883)); +#117885=FACE_OUTER_BOUND('',#117884,.F.); +#117887=CARTESIAN_POINT('',(3.975E1,1.033E1,0.E0)); +#117888=DIRECTION('',(1.E0,0.E0,0.E0)); +#117889=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117890=AXIS2_PLACEMENT_3D('',#117887,#117888,#117889); +#117891=PLANE('',#117890); +#117892=ORIENTED_EDGE('',*,*,#117866,.T.); +#117893=ORIENTED_EDGE('',*,*,#117854,.F.); +#117895=ORIENTED_EDGE('',*,*,#117894,.F.); +#117897=ORIENTED_EDGE('',*,*,#117896,.F.); +#117898=ORIENTED_EDGE('',*,*,#103545,.T.); +#117900=ORIENTED_EDGE('',*,*,#117899,.F.); +#117902=ORIENTED_EDGE('',*,*,#117901,.F.); +#117903=ORIENTED_EDGE('',*,*,#117749,.F.); +#117904=EDGE_LOOP('',(#117892,#117893,#117895,#117897,#117898,#117900,#117902, +#117903)); +#117905=FACE_OUTER_BOUND('',#117904,.F.); +#117907=CARTESIAN_POINT('',(3.975E1,1.033E1,0.E0)); +#117908=DIRECTION('',(1.E0,0.E0,0.E0)); +#117909=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117910=AXIS2_PLACEMENT_3D('',#117907,#117908,#117909); +#117911=PLANE('',#117910); +#117912=ORIENTED_EDGE('',*,*,#117880,.T.); +#117914=ORIENTED_EDGE('',*,*,#117913,.F.); +#117916=ORIENTED_EDGE('',*,*,#117915,.F.); +#117918=ORIENTED_EDGE('',*,*,#117917,.F.); +#117919=ORIENTED_EDGE('',*,*,#60366,.T.); +#117921=ORIENTED_EDGE('',*,*,#117920,.F.); +#117923=ORIENTED_EDGE('',*,*,#117922,.F.); +#117925=ORIENTED_EDGE('',*,*,#117924,.F.); +#117926=EDGE_LOOP('',(#117912,#117914,#117916,#117918,#117919,#117921,#117923, +#117925)); +#117927=FACE_OUTER_BOUND('',#117926,.F.); +#117929=CARTESIAN_POINT('',(3.885E1,3.815E0,-2.6725E1)); +#117930=DIRECTION('',(1.E0,0.E0,0.E0)); +#117931=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117932=AXIS2_PLACEMENT_3D('',#117929,#117930,#117931); +#117933=CYLINDRICAL_SURFACE('',#117932,1.E0); +#117934=ORIENTED_EDGE('',*,*,#117669,.F.); +#117936=ORIENTED_EDGE('',*,*,#117935,.T.); +#117937=ORIENTED_EDGE('',*,*,#117894,.T.); +#117938=ORIENTED_EDGE('',*,*,#117852,.F.); +#117939=EDGE_LOOP('',(#117934,#117936,#117937,#117938)); +#117940=FACE_OUTER_BOUND('',#117939,.F.); +#117942=CARTESIAN_POINT('',(3.885E1,4.815E0,-2.36E1)); +#117943=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117944=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117945=AXIS2_PLACEMENT_3D('',#117942,#117943,#117944); +#117946=PLANE('',#117945); +#117947=ORIENTED_EDGE('',*,*,#117667,.F.); +#117948=ORIENTED_EDGE('',*,*,#103547,.T.); +#117949=ORIENTED_EDGE('',*,*,#117896,.T.); +#117950=ORIENTED_EDGE('',*,*,#117935,.F.); +#117951=EDGE_LOOP('',(#117947,#117948,#117949,#117950)); +#117952=FACE_OUTER_BOUND('',#117951,.F.); +#117954=CARTESIAN_POINT('',(3.885E1,5.445E0,-2.6725E1)); +#117955=DIRECTION('',(0.E0,1.E0,0.E0)); +#117956=DIRECTION('',(0.E0,0.E0,1.E0)); +#117957=AXIS2_PLACEMENT_3D('',#117954,#117955,#117956); +#117958=PLANE('',#117957); +#117959=ORIENTED_EDGE('',*,*,#117664,.F.); +#117961=ORIENTED_EDGE('',*,*,#117960,.T.); +#117962=ORIENTED_EDGE('',*,*,#117899,.T.); +#117963=ORIENTED_EDGE('',*,*,#103543,.F.); +#117964=EDGE_LOOP('',(#117959,#117961,#117962,#117963)); +#117965=FACE_OUTER_BOUND('',#117964,.F.); +#117967=CARTESIAN_POINT('',(3.885E1,3.815E0,-2.6725E1)); +#117968=DIRECTION('',(1.E0,0.E0,0.E0)); +#117969=DIRECTION('',(0.E0,0.E0,-1.E0)); +#117970=AXIS2_PLACEMENT_3D('',#117967,#117968,#117969); +#117971=CYLINDRICAL_SURFACE('',#117970,1.63E0); +#117972=ORIENTED_EDGE('',*,*,#117662,.F.); +#117973=ORIENTED_EDGE('',*,*,#117751,.T.); +#117974=ORIENTED_EDGE('',*,*,#117901,.T.); +#117975=ORIENTED_EDGE('',*,*,#117960,.F.); +#117976=EDGE_LOOP('',(#117972,#117973,#117974,#117975)); +#117977=FACE_OUTER_BOUND('',#117976,.F.); +#117979=CARTESIAN_POINT('',(3.885E1,-3.285E0,-2.5185E1)); +#117980=DIRECTION('',(0.E0,0.E0,1.E0)); +#117981=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117982=AXIS2_PLACEMENT_3D('',#117979,#117980,#117981); +#117983=PLANE('',#117982); +#117984=ORIENTED_EDGE('',*,*,#117783,.F.); +#117986=ORIENTED_EDGE('',*,*,#117985,.F.); +#117987=ORIENTED_EDGE('',*,*,#117821,.T.); +#117988=ORIENTED_EDGE('',*,*,#58410,.T.); +#117989=EDGE_LOOP('',(#117984,#117986,#117987,#117988)); +#117990=FACE_OUTER_BOUND('',#117989,.F.); +#117992=CARTESIAN_POINT('',(3.885E1,-3.285E0,-2.5185E1)); +#117993=DIRECTION('',(0.E0,0.E0,1.E0)); +#117994=DIRECTION('',(0.E0,-1.E0,0.E0)); +#117995=AXIS2_PLACEMENT_3D('',#117992,#117993,#117994); +#117996=PLANE('',#117995); +#117997=ORIENTED_EDGE('',*,*,#117878,.F.); +#117998=ORIENTED_EDGE('',*,*,#59470,.F.); +#117999=ORIENTED_EDGE('',*,*,#117722,.F.); +#118000=ORIENTED_EDGE('',*,*,#117694,.F.); +#118002=ORIENTED_EDGE('',*,*,#118001,.T.); +#118003=ORIENTED_EDGE('',*,*,#117913,.T.); +#118004=EDGE_LOOP('',(#117997,#117998,#117999,#118000,#118002,#118003)); +#118005=FACE_OUTER_BOUND('',#118004,.F.); +#118007=CARTESIAN_POINT('',(3.885E1,-1.72E1,-2.5185E1)); +#118008=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118009=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118010=AXIS2_PLACEMENT_3D('',#118007,#118008,#118009); +#118011=PLANE('',#118010); +#118012=ORIENTED_EDGE('',*,*,#117781,.T.); +#118014=ORIENTED_EDGE('',*,*,#118013,.F.); +#118015=ORIENTED_EDGE('',*,*,#117823,.F.); +#118016=ORIENTED_EDGE('',*,*,#117985,.T.); +#118017=EDGE_LOOP('',(#118012,#118014,#118015,#118016)); +#118018=FACE_OUTER_BOUND('',#118017,.F.); +#118020=CARTESIAN_POINT('',(3.885E1,-1.72E1,-2.5815E1)); +#118021=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118022=DIRECTION('',(0.E0,1.E0,0.E0)); +#118023=AXIS2_PLACEMENT_3D('',#118020,#118021,#118022); +#118024=PLANE('',#118023); +#118025=ORIENTED_EDGE('',*,*,#117779,.T.); +#118026=ORIENTED_EDGE('',*,*,#58406,.F.); +#118027=ORIENTED_EDGE('',*,*,#117825,.F.); +#118028=ORIENTED_EDGE('',*,*,#118013,.T.); +#118029=EDGE_LOOP('',(#118025,#118026,#118027,#118028)); +#118030=FACE_OUTER_BOUND('',#118029,.F.); +#118032=CARTESIAN_POINT('',(3.885E1,-1.72E1,-2.5815E1)); +#118033=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118034=DIRECTION('',(0.E0,1.E0,0.E0)); +#118035=AXIS2_PLACEMENT_3D('',#118032,#118033,#118034); +#118036=PLANE('',#118035); +#118037=ORIENTED_EDGE('',*,*,#117882,.T.); +#118038=ORIENTED_EDGE('',*,*,#117924,.T.); +#118040=ORIENTED_EDGE('',*,*,#118039,.F.); +#118041=ORIENTED_EDGE('',*,*,#117683,.F.); +#118042=ORIENTED_EDGE('',*,*,#117719,.T.); +#118043=ORIENTED_EDGE('',*,*,#59474,.T.); +#118044=EDGE_LOOP('',(#118037,#118038,#118040,#118041,#118042,#118043)); +#118045=FACE_OUTER_BOUND('',#118044,.F.); +#118047=CARTESIAN_POINT('',(3.885E1,-3.285E0,-2.4685E1)); +#118048=DIRECTION('',(1.E0,0.E0,0.E0)); +#118049=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118050=AXIS2_PLACEMENT_3D('',#118047,#118048,#118049); +#118051=CYLINDRICAL_SURFACE('',#118050,1.13E0); +#118052=ORIENTED_EDGE('',*,*,#117685,.F.); +#118053=ORIENTED_EDGE('',*,*,#118039,.T.); +#118054=ORIENTED_EDGE('',*,*,#117922,.T.); +#118056=ORIENTED_EDGE('',*,*,#118055,.F.); +#118057=EDGE_LOOP('',(#118052,#118053,#118054,#118056)); +#118058=FACE_OUTER_BOUND('',#118057,.F.); +#118060=CARTESIAN_POINT('',(3.885E1,-2.155E0,-2.4685E1)); +#118061=DIRECTION('',(0.E0,1.E0,0.E0)); +#118062=DIRECTION('',(0.E0,0.E0,1.E0)); +#118063=AXIS2_PLACEMENT_3D('',#118060,#118061,#118062); +#118064=PLANE('',#118063); +#118065=ORIENTED_EDGE('',*,*,#117687,.F.); +#118066=ORIENTED_EDGE('',*,*,#118055,.T.); +#118067=ORIENTED_EDGE('',*,*,#117920,.T.); +#118068=ORIENTED_EDGE('',*,*,#60364,.F.); +#118069=EDGE_LOOP('',(#118065,#118066,#118067,#118068)); +#118070=FACE_OUTER_BOUND('',#118069,.F.); +#118072=CARTESIAN_POINT('',(3.885E1,-3.285E0,-2.4685E1)); +#118073=DIRECTION('',(1.E0,0.E0,0.E0)); +#118074=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118075=AXIS2_PLACEMENT_3D('',#118072,#118073,#118074); +#118076=CYLINDRICAL_SURFACE('',#118075,5.E-1); +#118077=ORIENTED_EDGE('',*,*,#117692,.F.); +#118079=ORIENTED_EDGE('',*,*,#118078,.T.); +#118080=ORIENTED_EDGE('',*,*,#117915,.T.); +#118081=ORIENTED_EDGE('',*,*,#118001,.F.); +#118082=EDGE_LOOP('',(#118077,#118079,#118080,#118081)); +#118083=FACE_OUTER_BOUND('',#118082,.F.); +#118085=CARTESIAN_POINT('',(3.885E1,-2.785E0,-2.36E1)); +#118086=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118087=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118088=AXIS2_PLACEMENT_3D('',#118085,#118086,#118087); +#118089=PLANE('',#118088); +#118090=ORIENTED_EDGE('',*,*,#117690,.F.); +#118091=ORIENTED_EDGE('',*,*,#60368,.T.); +#118092=ORIENTED_EDGE('',*,*,#117917,.T.); +#118093=ORIENTED_EDGE('',*,*,#118078,.F.); +#118094=EDGE_LOOP('',(#118090,#118091,#118092,#118093)); +#118095=FACE_OUTER_BOUND('',#118094,.F.); +#118097=CARTESIAN_POINT('',(4.1E1,6.33E0,0.E0)); +#118098=DIRECTION('',(1.E0,0.E0,0.E0)); +#118099=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118100=AXIS2_PLACEMENT_3D('',#118097,#118098,#118099); +#118101=PLANE('',#118100); +#118103=ORIENTED_EDGE('',*,*,#118102,.F.); +#118105=ORIENTED_EDGE('',*,*,#118104,.T.); +#118107=ORIENTED_EDGE('',*,*,#118106,.T.); +#118109=ORIENTED_EDGE('',*,*,#118108,.T.); +#118110=ORIENTED_EDGE('',*,*,#103551,.F.); +#118112=ORIENTED_EDGE('',*,*,#118111,.T.); +#118114=ORIENTED_EDGE('',*,*,#118113,.T.); +#118116=ORIENTED_EDGE('',*,*,#118115,.T.); +#118117=EDGE_LOOP('',(#118103,#118105,#118107,#118109,#118110,#118112,#118114, +#118116)); +#118118=FACE_OUTER_BOUND('',#118117,.F.); +#118120=CARTESIAN_POINT('',(4.1E1,6.33E0,0.E0)); +#118121=DIRECTION('',(1.E0,0.E0,0.E0)); +#118122=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118123=AXIS2_PLACEMENT_3D('',#118120,#118121,#118122); +#118124=PLANE('',#118123); +#118126=ORIENTED_EDGE('',*,*,#118125,.F.); +#118128=ORIENTED_EDGE('',*,*,#118127,.T.); +#118130=ORIENTED_EDGE('',*,*,#118129,.T.); +#118132=ORIENTED_EDGE('',*,*,#118131,.T.); +#118133=ORIENTED_EDGE('',*,*,#60372,.F.); +#118135=ORIENTED_EDGE('',*,*,#118134,.T.); +#118137=ORIENTED_EDGE('',*,*,#118136,.T.); +#118139=ORIENTED_EDGE('',*,*,#118138,.T.); +#118140=EDGE_LOOP('',(#118126,#118128,#118130,#118132,#118133,#118135,#118137, +#118139)); +#118141=FACE_OUTER_BOUND('',#118140,.F.); +#118143=CARTESIAN_POINT('',(4.1E1,-1.094019237886E1,-3.0895E1)); +#118144=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#118145=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#118146=AXIS2_PLACEMENT_3D('',#118143,#118144,#118145); +#118147=PLANE('',#118146); +#118148=ORIENTED_EDGE('',*,*,#59496,.F.); +#118150=ORIENTED_EDGE('',*,*,#118149,.F.); +#118151=ORIENTED_EDGE('',*,*,#118102,.T.); +#118153=ORIENTED_EDGE('',*,*,#118152,.T.); +#118154=EDGE_LOOP('',(#118148,#118150,#118151,#118153)); +#118155=FACE_OUTER_BOUND('',#118154,.F.); +#118157=CARTESIAN_POINT('',(4.1E1,-1.094019237886E1,-3.0895E1)); +#118158=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#118159=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#118160=AXIS2_PLACEMENT_3D('',#118157,#118158,#118159); +#118161=PLANE('',#118160); +#118162=ORIENTED_EDGE('',*,*,#59506,.F.); +#118164=ORIENTED_EDGE('',*,*,#118163,.F.); +#118165=ORIENTED_EDGE('',*,*,#118125,.T.); +#118167=ORIENTED_EDGE('',*,*,#118166,.T.); +#118168=EDGE_LOOP('',(#118162,#118164,#118165,#118167)); +#118169=FACE_OUTER_BOUND('',#118168,.F.); +#118171=CARTESIAN_POINT('',(4.1E1,-1.72E1,-3.0895E1)); +#118172=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118173=DIRECTION('',(0.E0,1.E0,0.E0)); +#118174=AXIS2_PLACEMENT_3D('',#118171,#118172,#118173); +#118175=PLANE('',#118174); +#118177=ORIENTED_EDGE('',*,*,#118176,.F.); +#118179=ORIENTED_EDGE('',*,*,#118178,.T.); +#118181=ORIENTED_EDGE('',*,*,#118180,.T.); +#118182=ORIENTED_EDGE('',*,*,#58426,.F.); +#118183=EDGE_LOOP('',(#118177,#118179,#118181,#118182)); +#118184=FACE_OUTER_BOUND('',#118183,.F.); +#118186=CARTESIAN_POINT('',(4.1E1,-1.72E1,-3.0895E1)); +#118187=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118188=DIRECTION('',(0.E0,1.E0,0.E0)); +#118189=AXIS2_PLACEMENT_3D('',#118186,#118187,#118188); +#118190=PLANE('',#118189); +#118191=ORIENTED_EDGE('',*,*,#118149,.T.); +#118192=ORIENTED_EDGE('',*,*,#59494,.T.); +#118194=ORIENTED_EDGE('',*,*,#118193,.T.); +#118196=ORIENTED_EDGE('',*,*,#118195,.T.); +#118198=ORIENTED_EDGE('',*,*,#118197,.F.); +#118199=ORIENTED_EDGE('',*,*,#118104,.F.); +#118200=EDGE_LOOP('',(#118191,#118192,#118194,#118196,#118198,#118199)); +#118201=FACE_OUTER_BOUND('',#118200,.F.); +#118203=CARTESIAN_POINT('',(4.115E1,-1.35E1,-3.0895E1)); +#118204=DIRECTION('',(1.E0,0.E0,0.E0)); +#118205=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118206=AXIS2_PLACEMENT_3D('',#118203,#118204,#118205); +#118207=PLANE('',#118206); +#118208=ORIENTED_EDGE('',*,*,#58432,.T.); +#118210=ORIENTED_EDGE('',*,*,#118209,.F.); +#118212=ORIENTED_EDGE('',*,*,#118211,.T.); +#118213=ORIENTED_EDGE('',*,*,#118176,.T.); +#118214=EDGE_LOOP('',(#118208,#118210,#118212,#118213)); +#118215=FACE_OUTER_BOUND('',#118214,.F.); +#118217=CARTESIAN_POINT('',(4.115E1,-1.35E1,-3.0895E1)); +#118218=DIRECTION('',(1.E0,0.E0,0.E0)); +#118219=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118220=AXIS2_PLACEMENT_3D('',#118217,#118218,#118219); +#118221=PLANE('',#118220); +#118222=ORIENTED_EDGE('',*,*,#58442,.T.); +#118224=ORIENTED_EDGE('',*,*,#118223,.F.); +#118226=ORIENTED_EDGE('',*,*,#118225,.T.); +#118228=ORIENTED_EDGE('',*,*,#118227,.T.); +#118229=EDGE_LOOP('',(#118222,#118224,#118226,#118228)); +#118230=FACE_OUTER_BOUND('',#118229,.F.); +#118232=CARTESIAN_POINT('',(4.1E1,3.815E0,-3.0265E1)); +#118233=DIRECTION('',(0.E0,0.E0,1.E0)); +#118234=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118235=AXIS2_PLACEMENT_3D('',#118232,#118233,#118234); +#118236=PLANE('',#118235); +#118237=ORIENTED_EDGE('',*,*,#118209,.T.); +#118238=ORIENTED_EDGE('',*,*,#58430,.T.); +#118240=ORIENTED_EDGE('',*,*,#118239,.F.); +#118242=ORIENTED_EDGE('',*,*,#118241,.F.); +#118243=EDGE_LOOP('',(#118237,#118238,#118240,#118242)); +#118244=FACE_OUTER_BOUND('',#118243,.F.); +#118246=CARTESIAN_POINT('',(4.1E1,3.815E0,-3.0265E1)); +#118247=DIRECTION('',(0.E0,0.E0,1.E0)); +#118248=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118249=AXIS2_PLACEMENT_3D('',#118246,#118247,#118248); +#118250=PLANE('',#118249); +#118251=ORIENTED_EDGE('',*,*,#118152,.F.); +#118252=ORIENTED_EDGE('',*,*,#118115,.F.); +#118254=ORIENTED_EDGE('',*,*,#118253,.T.); +#118256=ORIENTED_EDGE('',*,*,#118255,.T.); +#118258=ORIENTED_EDGE('',*,*,#118257,.F.); +#118259=ORIENTED_EDGE('',*,*,#59490,.F.); +#118260=EDGE_LOOP('',(#118251,#118252,#118254,#118256,#118258,#118259)); +#118261=FACE_OUTER_BOUND('',#118260,.F.); +#118263=CARTESIAN_POINT('',(4.175E1,-1.72E1,-3.0895E1)); +#118264=DIRECTION('',(-1.E0,0.E0,0.E0)); +#118265=DIRECTION('',(0.E0,1.E0,0.E0)); +#118266=AXIS2_PLACEMENT_3D('',#118263,#118264,#118265); +#118267=PLANE('',#118266); +#118268=ORIENTED_EDGE('',*,*,#58428,.F.); +#118269=ORIENTED_EDGE('',*,*,#118180,.F.); +#118271=ORIENTED_EDGE('',*,*,#118270,.F.); +#118272=ORIENTED_EDGE('',*,*,#118239,.T.); +#118273=EDGE_LOOP('',(#118268,#118269,#118271,#118272)); +#118274=FACE_OUTER_BOUND('',#118273,.F.); +#118276=CARTESIAN_POINT('',(4.175E1,-1.72E1,-3.0895E1)); +#118277=DIRECTION('',(-1.E0,0.E0,0.E0)); +#118278=DIRECTION('',(0.E0,1.E0,0.E0)); +#118279=AXIS2_PLACEMENT_3D('',#118276,#118277,#118278); +#118280=PLANE('',#118279); +#118281=ORIENTED_EDGE('',*,*,#58438,.F.); +#118283=ORIENTED_EDGE('',*,*,#118282,.F.); +#118285=ORIENTED_EDGE('',*,*,#118284,.F.); +#118287=ORIENTED_EDGE('',*,*,#118286,.T.); +#118288=EDGE_LOOP('',(#118281,#118283,#118285,#118287)); +#118289=FACE_OUTER_BOUND('',#118288,.F.); +#118291=CARTESIAN_POINT('',(4.1E1,-1.72E1,-3.0265E1)); +#118292=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118293=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118294=AXIS2_PLACEMENT_3D('',#118291,#118292,#118293); +#118295=PLANE('',#118294); +#118296=ORIENTED_EDGE('',*,*,#118211,.F.); +#118297=ORIENTED_EDGE('',*,*,#118241,.T.); +#118298=ORIENTED_EDGE('',*,*,#118270,.T.); +#118299=ORIENTED_EDGE('',*,*,#118178,.F.); +#118300=EDGE_LOOP('',(#118296,#118297,#118298,#118299)); +#118301=FACE_OUTER_BOUND('',#118300,.F.); +#118303=CARTESIAN_POINT('',(4.1E1,-1.72E1,-2.5815E1)); +#118304=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118305=DIRECTION('',(0.E0,1.E0,0.E0)); +#118306=AXIS2_PLACEMENT_3D('',#118303,#118304,#118305); +#118307=PLANE('',#118306); +#118308=ORIENTED_EDGE('',*,*,#118227,.F.); +#118310=ORIENTED_EDGE('',*,*,#118309,.T.); +#118311=ORIENTED_EDGE('',*,*,#118282,.T.); +#118312=ORIENTED_EDGE('',*,*,#58436,.F.); +#118313=EDGE_LOOP('',(#118308,#118310,#118311,#118312)); +#118314=FACE_OUTER_BOUND('',#118313,.F.); +#118316=CARTESIAN_POINT('',(4.1E1,-1.72E1,-2.5815E1)); +#118317=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118318=DIRECTION('',(0.E0,1.E0,0.E0)); +#118319=AXIS2_PLACEMENT_3D('',#118316,#118317,#118318); +#118320=PLANE('',#118319); +#118321=ORIENTED_EDGE('',*,*,#118163,.T.); +#118322=ORIENTED_EDGE('',*,*,#59504,.T.); +#118324=ORIENTED_EDGE('',*,*,#118323,.T.); +#118326=ORIENTED_EDGE('',*,*,#118325,.T.); +#118328=ORIENTED_EDGE('',*,*,#118327,.F.); +#118329=ORIENTED_EDGE('',*,*,#118127,.F.); +#118330=EDGE_LOOP('',(#118321,#118322,#118324,#118326,#118328,#118329)); +#118331=FACE_OUTER_BOUND('',#118330,.F.); +#118333=CARTESIAN_POINT('',(4.1E1,-1.72E1,-2.5185E1)); +#118334=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118335=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118336=AXIS2_PLACEMENT_3D('',#118333,#118334,#118335); +#118337=PLANE('',#118336); +#118338=ORIENTED_EDGE('',*,*,#118225,.F.); +#118340=ORIENTED_EDGE('',*,*,#118339,.T.); +#118341=ORIENTED_EDGE('',*,*,#118284,.T.); +#118342=ORIENTED_EDGE('',*,*,#118309,.F.); +#118343=EDGE_LOOP('',(#118338,#118340,#118341,#118342)); +#118344=FACE_OUTER_BOUND('',#118343,.F.); +#118346=CARTESIAN_POINT('',(4.1E1,-3.285E0,-2.5185E1)); +#118347=DIRECTION('',(0.E0,0.E0,1.E0)); +#118348=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118349=AXIS2_PLACEMENT_3D('',#118346,#118347,#118348); +#118350=PLANE('',#118349); +#118351=ORIENTED_EDGE('',*,*,#118223,.T.); +#118352=ORIENTED_EDGE('',*,*,#58440,.T.); +#118353=ORIENTED_EDGE('',*,*,#118286,.F.); +#118354=ORIENTED_EDGE('',*,*,#118339,.F.); +#118355=EDGE_LOOP('',(#118351,#118352,#118353,#118354)); +#118356=FACE_OUTER_BOUND('',#118355,.F.); +#118358=CARTESIAN_POINT('',(4.1E1,-3.285E0,-2.5185E1)); +#118359=DIRECTION('',(0.E0,0.E0,1.E0)); +#118360=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118361=AXIS2_PLACEMENT_3D('',#118358,#118359,#118360); +#118362=PLANE('',#118361); +#118363=ORIENTED_EDGE('',*,*,#118166,.F.); +#118364=ORIENTED_EDGE('',*,*,#118138,.F.); +#118366=ORIENTED_EDGE('',*,*,#118365,.T.); +#118368=ORIENTED_EDGE('',*,*,#118367,.T.); +#118370=ORIENTED_EDGE('',*,*,#118369,.F.); +#118371=ORIENTED_EDGE('',*,*,#59500,.F.); +#118372=EDGE_LOOP('',(#118363,#118364,#118366,#118368,#118370,#118371)); +#118373=FACE_OUTER_BOUND('',#118372,.F.); +#118375=CARTESIAN_POINT('',(4.1E1,-3.285E0,-2.4685E1)); +#118376=DIRECTION('',(1.E0,0.E0,0.E0)); +#118377=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118378=AXIS2_PLACEMENT_3D('',#118375,#118376,#118377); +#118379=CYLINDRICAL_SURFACE('',#118378,5.E-1); +#118380=ORIENTED_EDGE('',*,*,#118136,.F.); +#118382=ORIENTED_EDGE('',*,*,#118381,.T.); +#118384=ORIENTED_EDGE('',*,*,#118383,.T.); +#118385=ORIENTED_EDGE('',*,*,#118365,.F.); +#118386=EDGE_LOOP('',(#118380,#118382,#118384,#118385)); +#118387=FACE_OUTER_BOUND('',#118386,.F.); +#118389=CARTESIAN_POINT('',(4.1E1,-2.785E0,-2.36E1)); +#118390=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118391=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118392=AXIS2_PLACEMENT_3D('',#118389,#118390,#118391); +#118393=PLANE('',#118392); +#118394=ORIENTED_EDGE('',*,*,#118134,.F.); +#118395=ORIENTED_EDGE('',*,*,#60378,.T.); +#118397=ORIENTED_EDGE('',*,*,#118396,.T.); +#118398=ORIENTED_EDGE('',*,*,#118381,.F.); +#118399=EDGE_LOOP('',(#118394,#118395,#118397,#118398)); +#118400=FACE_OUTER_BOUND('',#118399,.F.); +#118402=CARTESIAN_POINT('',(4.19E1,6.33E0,0.E0)); +#118403=DIRECTION('',(1.E0,0.E0,0.E0)); +#118404=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118405=AXIS2_PLACEMENT_3D('',#118402,#118403,#118404); +#118406=PLANE('',#118405); +#118408=ORIENTED_EDGE('',*,*,#118407,.T.); +#118409=ORIENTED_EDGE('',*,*,#118255,.F.); +#118411=ORIENTED_EDGE('',*,*,#118410,.F.); +#118413=ORIENTED_EDGE('',*,*,#118412,.F.); +#118414=ORIENTED_EDGE('',*,*,#103555,.T.); +#118416=ORIENTED_EDGE('',*,*,#118415,.F.); +#118418=ORIENTED_EDGE('',*,*,#118417,.F.); +#118419=ORIENTED_EDGE('',*,*,#118195,.F.); +#118420=EDGE_LOOP('',(#118408,#118409,#118411,#118413,#118414,#118416,#118418, +#118419)); +#118421=FACE_OUTER_BOUND('',#118420,.F.); +#118423=CARTESIAN_POINT('',(4.19E1,6.33E0,0.E0)); +#118424=DIRECTION('',(1.E0,0.E0,0.E0)); +#118425=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118426=AXIS2_PLACEMENT_3D('',#118423,#118424,#118425); +#118427=PLANE('',#118426); +#118429=ORIENTED_EDGE('',*,*,#118428,.T.); +#118430=ORIENTED_EDGE('',*,*,#118367,.F.); +#118431=ORIENTED_EDGE('',*,*,#118383,.F.); +#118432=ORIENTED_EDGE('',*,*,#118396,.F.); +#118433=ORIENTED_EDGE('',*,*,#60376,.T.); +#118435=ORIENTED_EDGE('',*,*,#118434,.F.); +#118437=ORIENTED_EDGE('',*,*,#118436,.F.); +#118438=ORIENTED_EDGE('',*,*,#118325,.F.); +#118439=EDGE_LOOP('',(#118429,#118430,#118431,#118432,#118433,#118435,#118437, +#118438)); +#118440=FACE_OUTER_BOUND('',#118439,.F.); +#118442=CARTESIAN_POINT('',(4.175E1,-1.12E1,-3.0895E1)); +#118443=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#118444=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#118445=AXIS2_PLACEMENT_3D('',#118442,#118443,#118444); +#118446=PLANE('',#118445); +#118447=ORIENTED_EDGE('',*,*,#59492,.T.); +#118448=ORIENTED_EDGE('',*,*,#118257,.T.); +#118449=ORIENTED_EDGE('',*,*,#118407,.F.); +#118450=ORIENTED_EDGE('',*,*,#118193,.F.); +#118451=EDGE_LOOP('',(#118447,#118448,#118449,#118450)); +#118452=FACE_OUTER_BOUND('',#118451,.F.); +#118454=CARTESIAN_POINT('',(4.175E1,-1.12E1,-3.0895E1)); +#118455=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#118456=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#118457=AXIS2_PLACEMENT_3D('',#118454,#118455,#118456); +#118458=PLANE('',#118457); +#118459=ORIENTED_EDGE('',*,*,#59502,.T.); +#118460=ORIENTED_EDGE('',*,*,#118369,.T.); +#118461=ORIENTED_EDGE('',*,*,#118428,.F.); +#118462=ORIENTED_EDGE('',*,*,#118323,.F.); +#118463=EDGE_LOOP('',(#118459,#118460,#118461,#118462)); +#118464=FACE_OUTER_BOUND('',#118463,.F.); +#118466=CARTESIAN_POINT('',(4.1E1,3.815E0,-2.9265E1)); +#118467=DIRECTION('',(1.E0,0.E0,0.E0)); +#118468=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118469=AXIS2_PLACEMENT_3D('',#118466,#118467,#118468); +#118470=CYLINDRICAL_SURFACE('',#118469,1.E0); +#118471=ORIENTED_EDGE('',*,*,#118113,.F.); +#118473=ORIENTED_EDGE('',*,*,#118472,.T.); +#118474=ORIENTED_EDGE('',*,*,#118410,.T.); +#118475=ORIENTED_EDGE('',*,*,#118253,.F.); +#118476=EDGE_LOOP('',(#118471,#118473,#118474,#118475)); +#118477=FACE_OUTER_BOUND('',#118476,.F.); +#118479=CARTESIAN_POINT('',(4.1E1,4.815E0,-2.36E1)); +#118480=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118481=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118482=AXIS2_PLACEMENT_3D('',#118479,#118480,#118481); +#118483=PLANE('',#118482); +#118484=ORIENTED_EDGE('',*,*,#118111,.F.); +#118485=ORIENTED_EDGE('',*,*,#103557,.T.); +#118486=ORIENTED_EDGE('',*,*,#118412,.T.); +#118487=ORIENTED_EDGE('',*,*,#118472,.F.); +#118488=EDGE_LOOP('',(#118484,#118485,#118486,#118487)); +#118489=FACE_OUTER_BOUND('',#118488,.F.); +#118491=CARTESIAN_POINT('',(4.1E1,5.445E0,-2.9265E1)); +#118492=DIRECTION('',(0.E0,1.E0,0.E0)); +#118493=DIRECTION('',(0.E0,0.E0,1.E0)); +#118494=AXIS2_PLACEMENT_3D('',#118491,#118492,#118493); +#118495=PLANE('',#118494); +#118496=ORIENTED_EDGE('',*,*,#118108,.F.); +#118498=ORIENTED_EDGE('',*,*,#118497,.T.); +#118499=ORIENTED_EDGE('',*,*,#118415,.T.); +#118500=ORIENTED_EDGE('',*,*,#103553,.F.); +#118501=EDGE_LOOP('',(#118496,#118498,#118499,#118500)); +#118502=FACE_OUTER_BOUND('',#118501,.F.); +#118504=CARTESIAN_POINT('',(4.1E1,3.815E0,-2.9265E1)); +#118505=DIRECTION('',(1.E0,0.E0,0.E0)); +#118506=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118507=AXIS2_PLACEMENT_3D('',#118504,#118505,#118506); +#118508=CYLINDRICAL_SURFACE('',#118507,1.63E0); +#118509=ORIENTED_EDGE('',*,*,#118106,.F.); +#118510=ORIENTED_EDGE('',*,*,#118197,.T.); +#118511=ORIENTED_EDGE('',*,*,#118417,.T.); +#118512=ORIENTED_EDGE('',*,*,#118497,.F.); +#118513=EDGE_LOOP('',(#118509,#118510,#118511,#118512)); +#118514=FACE_OUTER_BOUND('',#118513,.F.); +#118516=CARTESIAN_POINT('',(4.1E1,-2.155E0,-2.4685E1)); +#118517=DIRECTION('',(0.E0,1.E0,0.E0)); +#118518=DIRECTION('',(0.E0,0.E0,1.E0)); +#118519=AXIS2_PLACEMENT_3D('',#118516,#118517,#118518); +#118520=PLANE('',#118519); +#118521=ORIENTED_EDGE('',*,*,#118131,.F.); +#118523=ORIENTED_EDGE('',*,*,#118522,.T.); +#118524=ORIENTED_EDGE('',*,*,#118434,.T.); +#118525=ORIENTED_EDGE('',*,*,#60374,.F.); +#118526=EDGE_LOOP('',(#118521,#118523,#118524,#118525)); +#118527=FACE_OUTER_BOUND('',#118526,.F.); +#118529=CARTESIAN_POINT('',(4.1E1,-3.285E0,-2.4685E1)); +#118530=DIRECTION('',(1.E0,0.E0,0.E0)); +#118531=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118532=AXIS2_PLACEMENT_3D('',#118529,#118530,#118531); +#118533=CYLINDRICAL_SURFACE('',#118532,1.13E0); +#118534=ORIENTED_EDGE('',*,*,#118129,.F.); +#118535=ORIENTED_EDGE('',*,*,#118327,.T.); +#118536=ORIENTED_EDGE('',*,*,#118436,.T.); +#118537=ORIENTED_EDGE('',*,*,#118522,.F.); +#118538=EDGE_LOOP('',(#118534,#118535,#118536,#118537)); +#118539=FACE_OUTER_BOUND('',#118538,.F.); +#118541=CARTESIAN_POINT('',(4.315E1,1.033E1,0.E0)); +#118542=DIRECTION('',(1.E0,0.E0,0.E0)); +#118543=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118544=AXIS2_PLACEMENT_3D('',#118541,#118542,#118543); +#118545=PLANE('',#118544); +#118547=ORIENTED_EDGE('',*,*,#118546,.F.); +#118549=ORIENTED_EDGE('',*,*,#118548,.T.); +#118551=ORIENTED_EDGE('',*,*,#118550,.T.); +#118553=ORIENTED_EDGE('',*,*,#118552,.T.); +#118554=ORIENTED_EDGE('',*,*,#103561,.F.); +#118556=ORIENTED_EDGE('',*,*,#118555,.T.); +#118558=ORIENTED_EDGE('',*,*,#118557,.T.); +#118560=ORIENTED_EDGE('',*,*,#118559,.T.); +#118561=EDGE_LOOP('',(#118547,#118549,#118551,#118553,#118554,#118556,#118558, +#118560)); +#118562=FACE_OUTER_BOUND('',#118561,.F.); +#118564=CARTESIAN_POINT('',(4.315E1,1.033E1,0.E0)); +#118565=DIRECTION('',(1.E0,0.E0,0.E0)); +#118566=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118567=AXIS2_PLACEMENT_3D('',#118564,#118565,#118566); +#118568=PLANE('',#118567); +#118570=ORIENTED_EDGE('',*,*,#118569,.F.); +#118572=ORIENTED_EDGE('',*,*,#118571,.T.); +#118574=ORIENTED_EDGE('',*,*,#118573,.T.); +#118576=ORIENTED_EDGE('',*,*,#118575,.T.); +#118577=ORIENTED_EDGE('',*,*,#60382,.F.); +#118579=ORIENTED_EDGE('',*,*,#118578,.T.); +#118581=ORIENTED_EDGE('',*,*,#118580,.T.); +#118583=ORIENTED_EDGE('',*,*,#118582,.T.); +#118584=EDGE_LOOP('',(#118570,#118572,#118574,#118576,#118577,#118579,#118581, +#118583)); +#118585=FACE_OUTER_BOUND('',#118584,.F.); +#118587=CARTESIAN_POINT('',(4.315E1,-1.094019237886E1,-3.0895E1)); +#118588=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#118589=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#118590=AXIS2_PLACEMENT_3D('',#118587,#118588,#118589); +#118591=PLANE('',#118590); +#118592=ORIENTED_EDGE('',*,*,#59526,.F.); +#118594=ORIENTED_EDGE('',*,*,#118593,.F.); +#118595=ORIENTED_EDGE('',*,*,#118546,.T.); +#118597=ORIENTED_EDGE('',*,*,#118596,.T.); +#118598=EDGE_LOOP('',(#118592,#118594,#118595,#118597)); +#118599=FACE_OUTER_BOUND('',#118598,.F.); +#118601=CARTESIAN_POINT('',(4.315E1,-1.094019237886E1,-3.0895E1)); +#118602=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#118603=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#118604=AXIS2_PLACEMENT_3D('',#118601,#118602,#118603); +#118605=PLANE('',#118604); +#118606=ORIENTED_EDGE('',*,*,#59516,.F.); +#118608=ORIENTED_EDGE('',*,*,#118607,.F.); +#118609=ORIENTED_EDGE('',*,*,#118569,.T.); +#118611=ORIENTED_EDGE('',*,*,#118610,.T.); +#118612=EDGE_LOOP('',(#118606,#118608,#118609,#118611)); +#118613=FACE_OUTER_BOUND('',#118612,.F.); +#118615=CARTESIAN_POINT('',(4.315E1,-1.72E1,-2.8355E1)); +#118616=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118617=DIRECTION('',(0.E0,1.E0,0.E0)); +#118618=AXIS2_PLACEMENT_3D('',#118615,#118616,#118617); +#118619=PLANE('',#118618); +#118621=ORIENTED_EDGE('',*,*,#118620,.T.); +#118622=ORIENTED_EDGE('',*,*,#58456,.F.); +#118624=ORIENTED_EDGE('',*,*,#118623,.F.); +#118626=ORIENTED_EDGE('',*,*,#118625,.T.); +#118627=EDGE_LOOP('',(#118621,#118622,#118624,#118626)); +#118628=FACE_OUTER_BOUND('',#118627,.F.); +#118630=CARTESIAN_POINT('',(4.315E1,-1.72E1,-2.8355E1)); +#118631=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118632=DIRECTION('',(0.E0,1.E0,0.E0)); +#118633=AXIS2_PLACEMENT_3D('',#118630,#118631,#118632); +#118634=PLANE('',#118633); +#118636=ORIENTED_EDGE('',*,*,#118635,.T.); +#118638=ORIENTED_EDGE('',*,*,#118637,.T.); +#118640=ORIENTED_EDGE('',*,*,#118639,.F.); +#118641=ORIENTED_EDGE('',*,*,#118548,.F.); +#118642=ORIENTED_EDGE('',*,*,#118593,.T.); +#118643=ORIENTED_EDGE('',*,*,#59524,.T.); +#118644=EDGE_LOOP('',(#118636,#118638,#118640,#118641,#118642,#118643)); +#118645=FACE_OUTER_BOUND('',#118644,.F.); +#118647=CARTESIAN_POINT('',(4.39E1,-1.72E1,-3.0895E1)); +#118648=DIRECTION('',(-1.E0,0.E0,0.E0)); +#118649=DIRECTION('',(0.E0,1.E0,0.E0)); +#118650=AXIS2_PLACEMENT_3D('',#118647,#118648,#118649); +#118651=PLANE('',#118650); +#118652=ORIENTED_EDGE('',*,*,#58458,.F.); +#118653=ORIENTED_EDGE('',*,*,#118620,.F.); +#118655=ORIENTED_EDGE('',*,*,#118654,.F.); +#118657=ORIENTED_EDGE('',*,*,#118656,.T.); +#118658=EDGE_LOOP('',(#118652,#118653,#118655,#118657)); +#118659=FACE_OUTER_BOUND('',#118658,.F.); +#118661=CARTESIAN_POINT('',(4.39E1,-1.72E1,-3.0895E1)); +#118662=DIRECTION('',(-1.E0,0.E0,0.E0)); +#118663=DIRECTION('',(0.E0,1.E0,0.E0)); +#118664=AXIS2_PLACEMENT_3D('',#118661,#118662,#118663); +#118665=PLANE('',#118664); +#118666=ORIENTED_EDGE('',*,*,#58448,.F.); +#118668=ORIENTED_EDGE('',*,*,#118667,.F.); +#118670=ORIENTED_EDGE('',*,*,#118669,.F.); +#118672=ORIENTED_EDGE('',*,*,#118671,.T.); +#118673=EDGE_LOOP('',(#118666,#118668,#118670,#118672)); +#118674=FACE_OUTER_BOUND('',#118673,.F.); +#118676=CARTESIAN_POINT('',(4.315E1,-1.72E1,-2.7725E1)); +#118677=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118678=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118679=AXIS2_PLACEMENT_3D('',#118676,#118677,#118678); +#118680=PLANE('',#118679); +#118681=ORIENTED_EDGE('',*,*,#118654,.T.); +#118682=ORIENTED_EDGE('',*,*,#118625,.F.); +#118684=ORIENTED_EDGE('',*,*,#118683,.F.); +#118686=ORIENTED_EDGE('',*,*,#118685,.T.); +#118687=EDGE_LOOP('',(#118681,#118682,#118684,#118686)); +#118688=FACE_OUTER_BOUND('',#118687,.F.); +#118690=CARTESIAN_POINT('',(4.33E1,-1.35E1,-3.0895E1)); +#118691=DIRECTION('',(1.E0,0.E0,0.E0)); +#118692=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118693=AXIS2_PLACEMENT_3D('',#118690,#118691,#118692); +#118694=PLANE('',#118693); +#118695=ORIENTED_EDGE('',*,*,#58462,.T.); +#118697=ORIENTED_EDGE('',*,*,#118696,.F.); +#118698=ORIENTED_EDGE('',*,*,#118683,.T.); +#118699=ORIENTED_EDGE('',*,*,#118623,.T.); +#118700=EDGE_LOOP('',(#118695,#118697,#118698,#118699)); +#118701=FACE_OUTER_BOUND('',#118700,.F.); +#118703=CARTESIAN_POINT('',(4.33E1,-1.35E1,-3.0895E1)); +#118704=DIRECTION('',(1.E0,0.E0,0.E0)); +#118705=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118706=AXIS2_PLACEMENT_3D('',#118703,#118704,#118705); +#118707=PLANE('',#118706); +#118708=ORIENTED_EDGE('',*,*,#58452,.T.); +#118710=ORIENTED_EDGE('',*,*,#118709,.F.); +#118712=ORIENTED_EDGE('',*,*,#118711,.T.); +#118714=ORIENTED_EDGE('',*,*,#118713,.T.); +#118715=EDGE_LOOP('',(#118708,#118710,#118712,#118714)); +#118716=FACE_OUTER_BOUND('',#118715,.F.); +#118718=CARTESIAN_POINT('',(4.315E1,3.815E0,-2.7725E1)); +#118719=DIRECTION('',(0.E0,0.E0,1.E0)); +#118720=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118721=AXIS2_PLACEMENT_3D('',#118718,#118719,#118720); +#118722=PLANE('',#118721); +#118723=ORIENTED_EDGE('',*,*,#118656,.F.); +#118724=ORIENTED_EDGE('',*,*,#118685,.F.); +#118725=ORIENTED_EDGE('',*,*,#118696,.T.); +#118726=ORIENTED_EDGE('',*,*,#58460,.T.); +#118727=EDGE_LOOP('',(#118723,#118724,#118725,#118726)); +#118728=FACE_OUTER_BOUND('',#118727,.F.); +#118730=CARTESIAN_POINT('',(4.315E1,3.815E0,-2.7725E1)); +#118731=DIRECTION('',(0.E0,0.E0,1.E0)); +#118732=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118733=AXIS2_PLACEMENT_3D('',#118730,#118731,#118732); +#118734=PLANE('',#118733); +#118736=ORIENTED_EDGE('',*,*,#118735,.F.); +#118737=ORIENTED_EDGE('',*,*,#59520,.F.); +#118738=ORIENTED_EDGE('',*,*,#118596,.F.); +#118739=ORIENTED_EDGE('',*,*,#118559,.F.); +#118741=ORIENTED_EDGE('',*,*,#118740,.T.); +#118743=ORIENTED_EDGE('',*,*,#118742,.T.); +#118744=EDGE_LOOP('',(#118736,#118737,#118738,#118739,#118741,#118743)); +#118745=FACE_OUTER_BOUND('',#118744,.F.); +#118747=CARTESIAN_POINT('',(4.39E1,-1.12E1,-3.0895E1)); +#118748=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#118749=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#118750=AXIS2_PLACEMENT_3D('',#118747,#118748,#118749); +#118751=PLANE('',#118750); +#118752=ORIENTED_EDGE('',*,*,#59522,.T.); +#118753=ORIENTED_EDGE('',*,*,#118735,.T.); +#118755=ORIENTED_EDGE('',*,*,#118754,.F.); +#118756=ORIENTED_EDGE('',*,*,#118635,.F.); +#118757=EDGE_LOOP('',(#118752,#118753,#118755,#118756)); +#118758=FACE_OUTER_BOUND('',#118757,.F.); +#118760=CARTESIAN_POINT('',(4.39E1,-1.12E1,-3.0895E1)); +#118761=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#118762=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#118763=AXIS2_PLACEMENT_3D('',#118760,#118761,#118762); +#118764=PLANE('',#118763); +#118765=ORIENTED_EDGE('',*,*,#59512,.T.); +#118767=ORIENTED_EDGE('',*,*,#118766,.T.); +#118769=ORIENTED_EDGE('',*,*,#118768,.F.); +#118771=ORIENTED_EDGE('',*,*,#118770,.F.); +#118772=EDGE_LOOP('',(#118765,#118767,#118769,#118771)); +#118773=FACE_OUTER_BOUND('',#118772,.F.); +#118775=CARTESIAN_POINT('',(4.405E1,1.033E1,0.E0)); +#118776=DIRECTION('',(1.E0,0.E0,0.E0)); +#118777=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118778=AXIS2_PLACEMENT_3D('',#118775,#118776,#118777); +#118779=PLANE('',#118778); +#118780=ORIENTED_EDGE('',*,*,#118754,.T.); +#118781=ORIENTED_EDGE('',*,*,#118742,.F.); +#118783=ORIENTED_EDGE('',*,*,#118782,.F.); +#118785=ORIENTED_EDGE('',*,*,#118784,.F.); +#118786=ORIENTED_EDGE('',*,*,#103565,.T.); +#118788=ORIENTED_EDGE('',*,*,#118787,.F.); +#118790=ORIENTED_EDGE('',*,*,#118789,.F.); +#118791=ORIENTED_EDGE('',*,*,#118637,.F.); +#118792=EDGE_LOOP('',(#118780,#118781,#118783,#118785,#118786,#118788,#118790, +#118791)); +#118793=FACE_OUTER_BOUND('',#118792,.F.); +#118795=CARTESIAN_POINT('',(4.405E1,1.033E1,0.E0)); +#118796=DIRECTION('',(1.E0,0.E0,0.E0)); +#118797=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118798=AXIS2_PLACEMENT_3D('',#118795,#118796,#118797); +#118799=PLANE('',#118798); +#118800=ORIENTED_EDGE('',*,*,#118768,.T.); +#118802=ORIENTED_EDGE('',*,*,#118801,.F.); +#118804=ORIENTED_EDGE('',*,*,#118803,.F.); +#118806=ORIENTED_EDGE('',*,*,#118805,.F.); +#118807=ORIENTED_EDGE('',*,*,#60386,.T.); +#118809=ORIENTED_EDGE('',*,*,#118808,.F.); +#118811=ORIENTED_EDGE('',*,*,#118810,.F.); +#118813=ORIENTED_EDGE('',*,*,#118812,.F.); +#118814=EDGE_LOOP('',(#118800,#118802,#118804,#118806,#118807,#118809,#118811, +#118813)); +#118815=FACE_OUTER_BOUND('',#118814,.F.); +#118817=CARTESIAN_POINT('',(4.315E1,3.815E0,-2.6725E1)); +#118818=DIRECTION('',(1.E0,0.E0,0.E0)); +#118819=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118820=AXIS2_PLACEMENT_3D('',#118817,#118818,#118819); +#118821=CYLINDRICAL_SURFACE('',#118820,1.E0); +#118822=ORIENTED_EDGE('',*,*,#118557,.F.); +#118824=ORIENTED_EDGE('',*,*,#118823,.T.); +#118825=ORIENTED_EDGE('',*,*,#118782,.T.); +#118826=ORIENTED_EDGE('',*,*,#118740,.F.); +#118827=EDGE_LOOP('',(#118822,#118824,#118825,#118826)); +#118828=FACE_OUTER_BOUND('',#118827,.F.); +#118830=CARTESIAN_POINT('',(4.315E1,4.815E0,-2.36E1)); +#118831=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118832=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118833=AXIS2_PLACEMENT_3D('',#118830,#118831,#118832); +#118834=PLANE('',#118833); +#118835=ORIENTED_EDGE('',*,*,#118555,.F.); +#118836=ORIENTED_EDGE('',*,*,#103567,.T.); +#118837=ORIENTED_EDGE('',*,*,#118784,.T.); +#118838=ORIENTED_EDGE('',*,*,#118823,.F.); +#118839=EDGE_LOOP('',(#118835,#118836,#118837,#118838)); +#118840=FACE_OUTER_BOUND('',#118839,.F.); +#118842=CARTESIAN_POINT('',(4.315E1,5.445E0,-2.6725E1)); +#118843=DIRECTION('',(0.E0,1.E0,0.E0)); +#118844=DIRECTION('',(0.E0,0.E0,1.E0)); +#118845=AXIS2_PLACEMENT_3D('',#118842,#118843,#118844); +#118846=PLANE('',#118845); +#118847=ORIENTED_EDGE('',*,*,#118552,.F.); +#118849=ORIENTED_EDGE('',*,*,#118848,.T.); +#118850=ORIENTED_EDGE('',*,*,#118787,.T.); +#118851=ORIENTED_EDGE('',*,*,#103563,.F.); +#118852=EDGE_LOOP('',(#118847,#118849,#118850,#118851)); +#118853=FACE_OUTER_BOUND('',#118852,.F.); +#118855=CARTESIAN_POINT('',(4.315E1,3.815E0,-2.6725E1)); +#118856=DIRECTION('',(1.E0,0.E0,0.E0)); +#118857=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118858=AXIS2_PLACEMENT_3D('',#118855,#118856,#118857); +#118859=CYLINDRICAL_SURFACE('',#118858,1.63E0); +#118860=ORIENTED_EDGE('',*,*,#118550,.F.); +#118861=ORIENTED_EDGE('',*,*,#118639,.T.); +#118862=ORIENTED_EDGE('',*,*,#118789,.T.); +#118863=ORIENTED_EDGE('',*,*,#118848,.F.); +#118864=EDGE_LOOP('',(#118860,#118861,#118862,#118863)); +#118865=FACE_OUTER_BOUND('',#118864,.F.); +#118867=CARTESIAN_POINT('',(4.315E1,-3.285E0,-2.5185E1)); +#118868=DIRECTION('',(0.E0,0.E0,1.E0)); +#118869=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118870=AXIS2_PLACEMENT_3D('',#118867,#118868,#118869); +#118871=PLANE('',#118870); +#118872=ORIENTED_EDGE('',*,*,#118671,.F.); +#118874=ORIENTED_EDGE('',*,*,#118873,.F.); +#118875=ORIENTED_EDGE('',*,*,#118709,.T.); +#118876=ORIENTED_EDGE('',*,*,#58450,.T.); +#118877=EDGE_LOOP('',(#118872,#118874,#118875,#118876)); +#118878=FACE_OUTER_BOUND('',#118877,.F.); +#118880=CARTESIAN_POINT('',(4.315E1,-3.285E0,-2.5185E1)); +#118881=DIRECTION('',(0.E0,0.E0,1.E0)); +#118882=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118883=AXIS2_PLACEMENT_3D('',#118880,#118881,#118882); +#118884=PLANE('',#118883); +#118885=ORIENTED_EDGE('',*,*,#118766,.F.); +#118886=ORIENTED_EDGE('',*,*,#59510,.F.); +#118887=ORIENTED_EDGE('',*,*,#118610,.F.); +#118888=ORIENTED_EDGE('',*,*,#118582,.F.); +#118890=ORIENTED_EDGE('',*,*,#118889,.T.); +#118891=ORIENTED_EDGE('',*,*,#118801,.T.); +#118892=EDGE_LOOP('',(#118885,#118886,#118887,#118888,#118890,#118891)); +#118893=FACE_OUTER_BOUND('',#118892,.F.); +#118895=CARTESIAN_POINT('',(4.315E1,-1.72E1,-2.5185E1)); +#118896=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118897=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118898=AXIS2_PLACEMENT_3D('',#118895,#118896,#118897); +#118899=PLANE('',#118898); +#118900=ORIENTED_EDGE('',*,*,#118669,.T.); +#118902=ORIENTED_EDGE('',*,*,#118901,.F.); +#118903=ORIENTED_EDGE('',*,*,#118711,.F.); +#118904=ORIENTED_EDGE('',*,*,#118873,.T.); +#118905=EDGE_LOOP('',(#118900,#118902,#118903,#118904)); +#118906=FACE_OUTER_BOUND('',#118905,.F.); +#118908=CARTESIAN_POINT('',(4.315E1,-1.72E1,-2.5815E1)); +#118909=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118910=DIRECTION('',(0.E0,1.E0,0.E0)); +#118911=AXIS2_PLACEMENT_3D('',#118908,#118909,#118910); +#118912=PLANE('',#118911); +#118913=ORIENTED_EDGE('',*,*,#118667,.T.); +#118914=ORIENTED_EDGE('',*,*,#58446,.F.); +#118915=ORIENTED_EDGE('',*,*,#118713,.F.); +#118916=ORIENTED_EDGE('',*,*,#118901,.T.); +#118917=EDGE_LOOP('',(#118913,#118914,#118915,#118916)); +#118918=FACE_OUTER_BOUND('',#118917,.F.); +#118920=CARTESIAN_POINT('',(4.315E1,-1.72E1,-2.5815E1)); +#118921=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118922=DIRECTION('',(0.E0,1.E0,0.E0)); +#118923=AXIS2_PLACEMENT_3D('',#118920,#118921,#118922); +#118924=PLANE('',#118923); +#118925=ORIENTED_EDGE('',*,*,#118770,.T.); +#118926=ORIENTED_EDGE('',*,*,#118812,.T.); +#118928=ORIENTED_EDGE('',*,*,#118927,.F.); +#118929=ORIENTED_EDGE('',*,*,#118571,.F.); +#118930=ORIENTED_EDGE('',*,*,#118607,.T.); +#118931=ORIENTED_EDGE('',*,*,#59514,.T.); +#118932=EDGE_LOOP('',(#118925,#118926,#118928,#118929,#118930,#118931)); +#118933=FACE_OUTER_BOUND('',#118932,.F.); +#118935=CARTESIAN_POINT('',(4.315E1,-3.285E0,-2.4685E1)); +#118936=DIRECTION('',(1.E0,0.E0,0.E0)); +#118937=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118938=AXIS2_PLACEMENT_3D('',#118935,#118936,#118937); +#118939=CYLINDRICAL_SURFACE('',#118938,1.13E0); +#118940=ORIENTED_EDGE('',*,*,#118573,.F.); +#118941=ORIENTED_EDGE('',*,*,#118927,.T.); +#118942=ORIENTED_EDGE('',*,*,#118810,.T.); +#118944=ORIENTED_EDGE('',*,*,#118943,.F.); +#118945=EDGE_LOOP('',(#118940,#118941,#118942,#118944)); +#118946=FACE_OUTER_BOUND('',#118945,.F.); +#118948=CARTESIAN_POINT('',(4.315E1,-2.155E0,-2.4685E1)); +#118949=DIRECTION('',(0.E0,1.E0,0.E0)); +#118950=DIRECTION('',(0.E0,0.E0,1.E0)); +#118951=AXIS2_PLACEMENT_3D('',#118948,#118949,#118950); +#118952=PLANE('',#118951); +#118953=ORIENTED_EDGE('',*,*,#118575,.F.); +#118954=ORIENTED_EDGE('',*,*,#118943,.T.); +#118955=ORIENTED_EDGE('',*,*,#118808,.T.); +#118956=ORIENTED_EDGE('',*,*,#60384,.F.); +#118957=EDGE_LOOP('',(#118953,#118954,#118955,#118956)); +#118958=FACE_OUTER_BOUND('',#118957,.F.); +#118960=CARTESIAN_POINT('',(4.315E1,-3.285E0,-2.4685E1)); +#118961=DIRECTION('',(1.E0,0.E0,0.E0)); +#118962=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118963=AXIS2_PLACEMENT_3D('',#118960,#118961,#118962); +#118964=CYLINDRICAL_SURFACE('',#118963,5.E-1); +#118965=ORIENTED_EDGE('',*,*,#118580,.F.); +#118967=ORIENTED_EDGE('',*,*,#118966,.T.); +#118968=ORIENTED_EDGE('',*,*,#118803,.T.); +#118969=ORIENTED_EDGE('',*,*,#118889,.F.); +#118970=EDGE_LOOP('',(#118965,#118967,#118968,#118969)); +#118971=FACE_OUTER_BOUND('',#118970,.F.); +#118973=CARTESIAN_POINT('',(4.315E1,-2.785E0,-2.36E1)); +#118974=DIRECTION('',(0.E0,-1.E0,0.E0)); +#118975=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118976=AXIS2_PLACEMENT_3D('',#118973,#118974,#118975); +#118977=PLANE('',#118976); +#118978=ORIENTED_EDGE('',*,*,#118578,.F.); +#118979=ORIENTED_EDGE('',*,*,#60388,.T.); +#118980=ORIENTED_EDGE('',*,*,#118805,.T.); +#118981=ORIENTED_EDGE('',*,*,#118966,.F.); +#118982=EDGE_LOOP('',(#118978,#118979,#118980,#118981)); +#118983=FACE_OUTER_BOUND('',#118982,.F.); +#118985=CARTESIAN_POINT('',(4.53E1,6.33E0,0.E0)); +#118986=DIRECTION('',(1.E0,0.E0,0.E0)); +#118987=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118988=AXIS2_PLACEMENT_3D('',#118985,#118986,#118987); +#118989=PLANE('',#118988); +#118991=ORIENTED_EDGE('',*,*,#118990,.F.); +#118993=ORIENTED_EDGE('',*,*,#118992,.T.); +#118995=ORIENTED_EDGE('',*,*,#118994,.T.); +#118997=ORIENTED_EDGE('',*,*,#118996,.T.); +#118998=ORIENTED_EDGE('',*,*,#103571,.F.); +#119000=ORIENTED_EDGE('',*,*,#118999,.T.); +#119002=ORIENTED_EDGE('',*,*,#119001,.T.); +#119004=ORIENTED_EDGE('',*,*,#119003,.T.); +#119005=EDGE_LOOP('',(#118991,#118993,#118995,#118997,#118998,#119000,#119002, +#119004)); +#119006=FACE_OUTER_BOUND('',#119005,.F.); +#119008=CARTESIAN_POINT('',(4.53E1,6.33E0,0.E0)); +#119009=DIRECTION('',(1.E0,0.E0,0.E0)); +#119010=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119011=AXIS2_PLACEMENT_3D('',#119008,#119009,#119010); +#119012=PLANE('',#119011); +#119014=ORIENTED_EDGE('',*,*,#119013,.F.); +#119016=ORIENTED_EDGE('',*,*,#119015,.T.); +#119018=ORIENTED_EDGE('',*,*,#119017,.T.); +#119020=ORIENTED_EDGE('',*,*,#119019,.T.); +#119021=ORIENTED_EDGE('',*,*,#60392,.F.); +#119023=ORIENTED_EDGE('',*,*,#119022,.T.); +#119025=ORIENTED_EDGE('',*,*,#119024,.T.); +#119027=ORIENTED_EDGE('',*,*,#119026,.T.); +#119028=EDGE_LOOP('',(#119014,#119016,#119018,#119020,#119021,#119023,#119025, +#119027)); +#119029=FACE_OUTER_BOUND('',#119028,.F.); +#119031=CARTESIAN_POINT('',(4.53E1,-1.094019237886E1,-3.0895E1)); +#119032=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#119033=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#119034=AXIS2_PLACEMENT_3D('',#119031,#119032,#119033); +#119035=PLANE('',#119034); +#119036=ORIENTED_EDGE('',*,*,#59536,.F.); +#119038=ORIENTED_EDGE('',*,*,#119037,.F.); +#119039=ORIENTED_EDGE('',*,*,#118990,.T.); +#119041=ORIENTED_EDGE('',*,*,#119040,.T.); +#119042=EDGE_LOOP('',(#119036,#119038,#119039,#119041)); +#119043=FACE_OUTER_BOUND('',#119042,.F.); +#119045=CARTESIAN_POINT('',(4.53E1,-1.094019237886E1,-3.0895E1)); +#119046=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#119047=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#119048=AXIS2_PLACEMENT_3D('',#119045,#119046,#119047); +#119049=PLANE('',#119048); +#119050=ORIENTED_EDGE('',*,*,#59546,.F.); +#119052=ORIENTED_EDGE('',*,*,#119051,.F.); +#119053=ORIENTED_EDGE('',*,*,#119013,.T.); +#119055=ORIENTED_EDGE('',*,*,#119054,.T.); +#119056=EDGE_LOOP('',(#119050,#119052,#119053,#119055)); +#119057=FACE_OUTER_BOUND('',#119056,.F.); +#119059=CARTESIAN_POINT('',(4.53E1,-1.72E1,-3.0895E1)); +#119060=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119061=DIRECTION('',(0.E0,1.E0,0.E0)); +#119062=AXIS2_PLACEMENT_3D('',#119059,#119060,#119061); +#119063=PLANE('',#119062); +#119065=ORIENTED_EDGE('',*,*,#119064,.F.); +#119067=ORIENTED_EDGE('',*,*,#119066,.T.); +#119069=ORIENTED_EDGE('',*,*,#119068,.T.); +#119070=ORIENTED_EDGE('',*,*,#58466,.F.); +#119071=EDGE_LOOP('',(#119065,#119067,#119069,#119070)); +#119072=FACE_OUTER_BOUND('',#119071,.F.); +#119074=CARTESIAN_POINT('',(4.53E1,-1.72E1,-3.0895E1)); +#119075=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119076=DIRECTION('',(0.E0,1.E0,0.E0)); +#119077=AXIS2_PLACEMENT_3D('',#119074,#119075,#119076); +#119078=PLANE('',#119077); +#119079=ORIENTED_EDGE('',*,*,#119037,.T.); +#119080=ORIENTED_EDGE('',*,*,#59534,.T.); +#119082=ORIENTED_EDGE('',*,*,#119081,.T.); +#119084=ORIENTED_EDGE('',*,*,#119083,.T.); +#119086=ORIENTED_EDGE('',*,*,#119085,.F.); +#119087=ORIENTED_EDGE('',*,*,#118992,.F.); +#119088=EDGE_LOOP('',(#119079,#119080,#119082,#119084,#119086,#119087)); +#119089=FACE_OUTER_BOUND('',#119088,.F.); +#119091=CARTESIAN_POINT('',(4.545E1,-1.35E1,-3.0895E1)); +#119092=DIRECTION('',(1.E0,0.E0,0.E0)); +#119093=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119094=AXIS2_PLACEMENT_3D('',#119091,#119092,#119093); +#119095=PLANE('',#119094); +#119096=ORIENTED_EDGE('',*,*,#58472,.T.); +#119098=ORIENTED_EDGE('',*,*,#119097,.F.); +#119100=ORIENTED_EDGE('',*,*,#119099,.T.); +#119101=ORIENTED_EDGE('',*,*,#119064,.T.); +#119102=EDGE_LOOP('',(#119096,#119098,#119100,#119101)); +#119103=FACE_OUTER_BOUND('',#119102,.F.); +#119105=CARTESIAN_POINT('',(4.545E1,-1.35E1,-3.0895E1)); +#119106=DIRECTION('',(1.E0,0.E0,0.E0)); +#119107=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119108=AXIS2_PLACEMENT_3D('',#119105,#119106,#119107); +#119109=PLANE('',#119108); +#119110=ORIENTED_EDGE('',*,*,#58482,.T.); +#119112=ORIENTED_EDGE('',*,*,#119111,.F.); +#119114=ORIENTED_EDGE('',*,*,#119113,.T.); +#119116=ORIENTED_EDGE('',*,*,#119115,.T.); +#119117=EDGE_LOOP('',(#119110,#119112,#119114,#119116)); +#119118=FACE_OUTER_BOUND('',#119117,.F.); +#119120=CARTESIAN_POINT('',(4.53E1,3.815E0,-3.0265E1)); +#119121=DIRECTION('',(0.E0,0.E0,1.E0)); +#119122=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119123=AXIS2_PLACEMENT_3D('',#119120,#119121,#119122); +#119124=PLANE('',#119123); +#119125=ORIENTED_EDGE('',*,*,#119097,.T.); +#119126=ORIENTED_EDGE('',*,*,#58470,.T.); +#119128=ORIENTED_EDGE('',*,*,#119127,.F.); +#119130=ORIENTED_EDGE('',*,*,#119129,.F.); +#119131=EDGE_LOOP('',(#119125,#119126,#119128,#119130)); +#119132=FACE_OUTER_BOUND('',#119131,.F.); +#119134=CARTESIAN_POINT('',(4.53E1,3.815E0,-3.0265E1)); +#119135=DIRECTION('',(0.E0,0.E0,1.E0)); +#119136=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119137=AXIS2_PLACEMENT_3D('',#119134,#119135,#119136); +#119138=PLANE('',#119137); +#119139=ORIENTED_EDGE('',*,*,#119040,.F.); +#119140=ORIENTED_EDGE('',*,*,#119003,.F.); +#119142=ORIENTED_EDGE('',*,*,#119141,.T.); +#119144=ORIENTED_EDGE('',*,*,#119143,.T.); +#119146=ORIENTED_EDGE('',*,*,#119145,.F.); +#119147=ORIENTED_EDGE('',*,*,#59530,.F.); +#119148=EDGE_LOOP('',(#119139,#119140,#119142,#119144,#119146,#119147)); +#119149=FACE_OUTER_BOUND('',#119148,.F.); +#119151=CARTESIAN_POINT('',(4.605E1,-1.72E1,-3.0895E1)); +#119152=DIRECTION('',(-1.E0,0.E0,0.E0)); +#119153=DIRECTION('',(0.E0,1.E0,0.E0)); +#119154=AXIS2_PLACEMENT_3D('',#119151,#119152,#119153); +#119155=PLANE('',#119154); +#119156=ORIENTED_EDGE('',*,*,#58468,.F.); +#119157=ORIENTED_EDGE('',*,*,#119068,.F.); +#119159=ORIENTED_EDGE('',*,*,#119158,.F.); +#119160=ORIENTED_EDGE('',*,*,#119127,.T.); +#119161=EDGE_LOOP('',(#119156,#119157,#119159,#119160)); +#119162=FACE_OUTER_BOUND('',#119161,.F.); +#119164=CARTESIAN_POINT('',(4.605E1,-1.72E1,-3.0895E1)); +#119165=DIRECTION('',(-1.E0,0.E0,0.E0)); +#119166=DIRECTION('',(0.E0,1.E0,0.E0)); +#119167=AXIS2_PLACEMENT_3D('',#119164,#119165,#119166); +#119168=PLANE('',#119167); +#119169=ORIENTED_EDGE('',*,*,#58478,.F.); +#119171=ORIENTED_EDGE('',*,*,#119170,.F.); +#119173=ORIENTED_EDGE('',*,*,#119172,.F.); +#119175=ORIENTED_EDGE('',*,*,#119174,.T.); +#119176=EDGE_LOOP('',(#119169,#119171,#119173,#119175)); +#119177=FACE_OUTER_BOUND('',#119176,.F.); +#119179=CARTESIAN_POINT('',(4.53E1,-1.72E1,-3.0265E1)); +#119180=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119181=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119182=AXIS2_PLACEMENT_3D('',#119179,#119180,#119181); +#119183=PLANE('',#119182); +#119184=ORIENTED_EDGE('',*,*,#119099,.F.); +#119185=ORIENTED_EDGE('',*,*,#119129,.T.); +#119186=ORIENTED_EDGE('',*,*,#119158,.T.); +#119187=ORIENTED_EDGE('',*,*,#119066,.F.); +#119188=EDGE_LOOP('',(#119184,#119185,#119186,#119187)); +#119189=FACE_OUTER_BOUND('',#119188,.F.); +#119191=CARTESIAN_POINT('',(4.53E1,-1.72E1,-2.5815E1)); +#119192=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119193=DIRECTION('',(0.E0,1.E0,0.E0)); +#119194=AXIS2_PLACEMENT_3D('',#119191,#119192,#119193); +#119195=PLANE('',#119194); +#119196=ORIENTED_EDGE('',*,*,#119115,.F.); +#119198=ORIENTED_EDGE('',*,*,#119197,.T.); +#119199=ORIENTED_EDGE('',*,*,#119170,.T.); +#119200=ORIENTED_EDGE('',*,*,#58476,.F.); +#119201=EDGE_LOOP('',(#119196,#119198,#119199,#119200)); +#119202=FACE_OUTER_BOUND('',#119201,.F.); +#119204=CARTESIAN_POINT('',(4.53E1,-1.72E1,-2.5815E1)); +#119205=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119206=DIRECTION('',(0.E0,1.E0,0.E0)); +#119207=AXIS2_PLACEMENT_3D('',#119204,#119205,#119206); +#119208=PLANE('',#119207); +#119209=ORIENTED_EDGE('',*,*,#119051,.T.); +#119210=ORIENTED_EDGE('',*,*,#59544,.T.); +#119212=ORIENTED_EDGE('',*,*,#119211,.T.); +#119214=ORIENTED_EDGE('',*,*,#119213,.T.); +#119216=ORIENTED_EDGE('',*,*,#119215,.F.); +#119217=ORIENTED_EDGE('',*,*,#119015,.F.); +#119218=EDGE_LOOP('',(#119209,#119210,#119212,#119214,#119216,#119217)); +#119219=FACE_OUTER_BOUND('',#119218,.F.); +#119221=CARTESIAN_POINT('',(4.53E1,-1.72E1,-2.5185E1)); +#119222=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119223=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119224=AXIS2_PLACEMENT_3D('',#119221,#119222,#119223); +#119225=PLANE('',#119224); +#119226=ORIENTED_EDGE('',*,*,#119113,.F.); +#119228=ORIENTED_EDGE('',*,*,#119227,.T.); +#119229=ORIENTED_EDGE('',*,*,#119172,.T.); +#119230=ORIENTED_EDGE('',*,*,#119197,.F.); +#119231=EDGE_LOOP('',(#119226,#119228,#119229,#119230)); +#119232=FACE_OUTER_BOUND('',#119231,.F.); +#119234=CARTESIAN_POINT('',(4.53E1,-3.285E0,-2.5185E1)); +#119235=DIRECTION('',(0.E0,0.E0,1.E0)); +#119236=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119237=AXIS2_PLACEMENT_3D('',#119234,#119235,#119236); +#119238=PLANE('',#119237); +#119239=ORIENTED_EDGE('',*,*,#119111,.T.); +#119240=ORIENTED_EDGE('',*,*,#58480,.T.); +#119241=ORIENTED_EDGE('',*,*,#119174,.F.); +#119242=ORIENTED_EDGE('',*,*,#119227,.F.); +#119243=EDGE_LOOP('',(#119239,#119240,#119241,#119242)); +#119244=FACE_OUTER_BOUND('',#119243,.F.); +#119246=CARTESIAN_POINT('',(4.53E1,-3.285E0,-2.5185E1)); +#119247=DIRECTION('',(0.E0,0.E0,1.E0)); +#119248=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119249=AXIS2_PLACEMENT_3D('',#119246,#119247,#119248); +#119250=PLANE('',#119249); +#119251=ORIENTED_EDGE('',*,*,#119054,.F.); +#119252=ORIENTED_EDGE('',*,*,#119026,.F.); +#119254=ORIENTED_EDGE('',*,*,#119253,.T.); +#119256=ORIENTED_EDGE('',*,*,#119255,.T.); +#119258=ORIENTED_EDGE('',*,*,#119257,.F.); +#119259=ORIENTED_EDGE('',*,*,#59540,.F.); +#119260=EDGE_LOOP('',(#119251,#119252,#119254,#119256,#119258,#119259)); +#119261=FACE_OUTER_BOUND('',#119260,.F.); +#119263=CARTESIAN_POINT('',(4.53E1,-3.285E0,-2.4685E1)); +#119264=DIRECTION('',(1.E0,0.E0,0.E0)); +#119265=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119266=AXIS2_PLACEMENT_3D('',#119263,#119264,#119265); +#119267=CYLINDRICAL_SURFACE('',#119266,5.E-1); +#119268=ORIENTED_EDGE('',*,*,#119024,.F.); +#119270=ORIENTED_EDGE('',*,*,#119269,.T.); +#119272=ORIENTED_EDGE('',*,*,#119271,.T.); +#119273=ORIENTED_EDGE('',*,*,#119253,.F.); +#119274=EDGE_LOOP('',(#119268,#119270,#119272,#119273)); +#119275=FACE_OUTER_BOUND('',#119274,.F.); +#119277=CARTESIAN_POINT('',(4.53E1,-2.785E0,-2.36E1)); +#119278=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119279=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119280=AXIS2_PLACEMENT_3D('',#119277,#119278,#119279); +#119281=PLANE('',#119280); +#119282=ORIENTED_EDGE('',*,*,#119022,.F.); +#119283=ORIENTED_EDGE('',*,*,#60398,.T.); +#119285=ORIENTED_EDGE('',*,*,#119284,.T.); +#119286=ORIENTED_EDGE('',*,*,#119269,.F.); +#119287=EDGE_LOOP('',(#119282,#119283,#119285,#119286)); +#119288=FACE_OUTER_BOUND('',#119287,.F.); +#119290=CARTESIAN_POINT('',(4.62E1,6.33E0,0.E0)); +#119291=DIRECTION('',(1.E0,0.E0,0.E0)); +#119292=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119293=AXIS2_PLACEMENT_3D('',#119290,#119291,#119292); +#119294=PLANE('',#119293); +#119296=ORIENTED_EDGE('',*,*,#119295,.T.); +#119297=ORIENTED_EDGE('',*,*,#119143,.F.); +#119299=ORIENTED_EDGE('',*,*,#119298,.F.); +#119301=ORIENTED_EDGE('',*,*,#119300,.F.); +#119302=ORIENTED_EDGE('',*,*,#103575,.T.); +#119304=ORIENTED_EDGE('',*,*,#119303,.F.); +#119306=ORIENTED_EDGE('',*,*,#119305,.F.); +#119307=ORIENTED_EDGE('',*,*,#119083,.F.); +#119308=EDGE_LOOP('',(#119296,#119297,#119299,#119301,#119302,#119304,#119306, +#119307)); +#119309=FACE_OUTER_BOUND('',#119308,.F.); +#119311=CARTESIAN_POINT('',(4.62E1,6.33E0,0.E0)); +#119312=DIRECTION('',(1.E0,0.E0,0.E0)); +#119313=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119314=AXIS2_PLACEMENT_3D('',#119311,#119312,#119313); +#119315=PLANE('',#119314); +#119317=ORIENTED_EDGE('',*,*,#119316,.T.); +#119318=ORIENTED_EDGE('',*,*,#119255,.F.); +#119319=ORIENTED_EDGE('',*,*,#119271,.F.); +#119320=ORIENTED_EDGE('',*,*,#119284,.F.); +#119321=ORIENTED_EDGE('',*,*,#60396,.T.); +#119323=ORIENTED_EDGE('',*,*,#119322,.F.); +#119325=ORIENTED_EDGE('',*,*,#119324,.F.); +#119326=ORIENTED_EDGE('',*,*,#119213,.F.); +#119327=EDGE_LOOP('',(#119317,#119318,#119319,#119320,#119321,#119323,#119325, +#119326)); +#119328=FACE_OUTER_BOUND('',#119327,.F.); +#119330=CARTESIAN_POINT('',(4.605E1,-1.12E1,-3.0895E1)); +#119331=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#119332=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#119333=AXIS2_PLACEMENT_3D('',#119330,#119331,#119332); +#119334=PLANE('',#119333); +#119335=ORIENTED_EDGE('',*,*,#59532,.T.); +#119336=ORIENTED_EDGE('',*,*,#119145,.T.); +#119337=ORIENTED_EDGE('',*,*,#119295,.F.); +#119338=ORIENTED_EDGE('',*,*,#119081,.F.); +#119339=EDGE_LOOP('',(#119335,#119336,#119337,#119338)); +#119340=FACE_OUTER_BOUND('',#119339,.F.); +#119342=CARTESIAN_POINT('',(4.605E1,-1.12E1,-3.0895E1)); +#119343=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#119344=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#119345=AXIS2_PLACEMENT_3D('',#119342,#119343,#119344); +#119346=PLANE('',#119345); +#119347=ORIENTED_EDGE('',*,*,#59542,.T.); +#119348=ORIENTED_EDGE('',*,*,#119257,.T.); +#119349=ORIENTED_EDGE('',*,*,#119316,.F.); +#119350=ORIENTED_EDGE('',*,*,#119211,.F.); +#119351=EDGE_LOOP('',(#119347,#119348,#119349,#119350)); +#119352=FACE_OUTER_BOUND('',#119351,.F.); +#119354=CARTESIAN_POINT('',(4.53E1,3.815E0,-2.9265E1)); +#119355=DIRECTION('',(1.E0,0.E0,0.E0)); +#119356=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119357=AXIS2_PLACEMENT_3D('',#119354,#119355,#119356); +#119358=CYLINDRICAL_SURFACE('',#119357,1.E0); +#119359=ORIENTED_EDGE('',*,*,#119001,.F.); +#119361=ORIENTED_EDGE('',*,*,#119360,.T.); +#119362=ORIENTED_EDGE('',*,*,#119298,.T.); +#119363=ORIENTED_EDGE('',*,*,#119141,.F.); +#119364=EDGE_LOOP('',(#119359,#119361,#119362,#119363)); +#119365=FACE_OUTER_BOUND('',#119364,.F.); +#119367=CARTESIAN_POINT('',(4.53E1,4.815E0,-2.36E1)); +#119368=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119369=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119370=AXIS2_PLACEMENT_3D('',#119367,#119368,#119369); +#119371=PLANE('',#119370); +#119372=ORIENTED_EDGE('',*,*,#118999,.F.); +#119373=ORIENTED_EDGE('',*,*,#103577,.T.); +#119374=ORIENTED_EDGE('',*,*,#119300,.T.); +#119375=ORIENTED_EDGE('',*,*,#119360,.F.); +#119376=EDGE_LOOP('',(#119372,#119373,#119374,#119375)); +#119377=FACE_OUTER_BOUND('',#119376,.F.); +#119379=CARTESIAN_POINT('',(4.53E1,5.445E0,-2.9265E1)); +#119380=DIRECTION('',(0.E0,1.E0,0.E0)); +#119381=DIRECTION('',(0.E0,0.E0,1.E0)); +#119382=AXIS2_PLACEMENT_3D('',#119379,#119380,#119381); +#119383=PLANE('',#119382); +#119384=ORIENTED_EDGE('',*,*,#118996,.F.); +#119386=ORIENTED_EDGE('',*,*,#119385,.T.); +#119387=ORIENTED_EDGE('',*,*,#119303,.T.); +#119388=ORIENTED_EDGE('',*,*,#103573,.F.); +#119389=EDGE_LOOP('',(#119384,#119386,#119387,#119388)); +#119390=FACE_OUTER_BOUND('',#119389,.F.); +#119392=CARTESIAN_POINT('',(4.53E1,3.815E0,-2.9265E1)); +#119393=DIRECTION('',(1.E0,0.E0,0.E0)); +#119394=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119395=AXIS2_PLACEMENT_3D('',#119392,#119393,#119394); +#119396=CYLINDRICAL_SURFACE('',#119395,1.63E0); +#119397=ORIENTED_EDGE('',*,*,#118994,.F.); +#119398=ORIENTED_EDGE('',*,*,#119085,.T.); +#119399=ORIENTED_EDGE('',*,*,#119305,.T.); +#119400=ORIENTED_EDGE('',*,*,#119385,.F.); +#119401=EDGE_LOOP('',(#119397,#119398,#119399,#119400)); +#119402=FACE_OUTER_BOUND('',#119401,.F.); +#119404=CARTESIAN_POINT('',(4.53E1,-2.155E0,-2.4685E1)); +#119405=DIRECTION('',(0.E0,1.E0,0.E0)); +#119406=DIRECTION('',(0.E0,0.E0,1.E0)); +#119407=AXIS2_PLACEMENT_3D('',#119404,#119405,#119406); +#119408=PLANE('',#119407); +#119409=ORIENTED_EDGE('',*,*,#119019,.F.); +#119411=ORIENTED_EDGE('',*,*,#119410,.T.); +#119412=ORIENTED_EDGE('',*,*,#119322,.T.); +#119413=ORIENTED_EDGE('',*,*,#60394,.F.); +#119414=EDGE_LOOP('',(#119409,#119411,#119412,#119413)); +#119415=FACE_OUTER_BOUND('',#119414,.F.); +#119417=CARTESIAN_POINT('',(4.53E1,-3.285E0,-2.4685E1)); +#119418=DIRECTION('',(1.E0,0.E0,0.E0)); +#119419=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119420=AXIS2_PLACEMENT_3D('',#119417,#119418,#119419); +#119421=CYLINDRICAL_SURFACE('',#119420,1.13E0); +#119422=ORIENTED_EDGE('',*,*,#119017,.F.); +#119423=ORIENTED_EDGE('',*,*,#119215,.T.); +#119424=ORIENTED_EDGE('',*,*,#119324,.T.); +#119425=ORIENTED_EDGE('',*,*,#119410,.F.); +#119426=EDGE_LOOP('',(#119422,#119423,#119424,#119425)); +#119427=FACE_OUTER_BOUND('',#119426,.F.); +#119429=CARTESIAN_POINT('',(4.745E1,1.033E1,0.E0)); +#119430=DIRECTION('',(1.E0,0.E0,0.E0)); +#119431=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119432=AXIS2_PLACEMENT_3D('',#119429,#119430,#119431); +#119433=PLANE('',#119432); +#119435=ORIENTED_EDGE('',*,*,#119434,.F.); +#119437=ORIENTED_EDGE('',*,*,#119436,.T.); +#119439=ORIENTED_EDGE('',*,*,#119438,.T.); +#119441=ORIENTED_EDGE('',*,*,#119440,.T.); +#119442=ORIENTED_EDGE('',*,*,#103581,.F.); +#119444=ORIENTED_EDGE('',*,*,#119443,.T.); +#119446=ORIENTED_EDGE('',*,*,#119445,.T.); +#119448=ORIENTED_EDGE('',*,*,#119447,.T.); +#119449=EDGE_LOOP('',(#119435,#119437,#119439,#119441,#119442,#119444,#119446, +#119448)); +#119450=FACE_OUTER_BOUND('',#119449,.F.); +#119452=CARTESIAN_POINT('',(4.745E1,1.033E1,0.E0)); +#119453=DIRECTION('',(1.E0,0.E0,0.E0)); +#119454=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119455=AXIS2_PLACEMENT_3D('',#119452,#119453,#119454); +#119456=PLANE('',#119455); +#119458=ORIENTED_EDGE('',*,*,#119457,.F.); +#119460=ORIENTED_EDGE('',*,*,#119459,.T.); +#119462=ORIENTED_EDGE('',*,*,#119461,.T.); +#119464=ORIENTED_EDGE('',*,*,#119463,.T.); +#119465=ORIENTED_EDGE('',*,*,#60402,.F.); +#119467=ORIENTED_EDGE('',*,*,#119466,.T.); +#119469=ORIENTED_EDGE('',*,*,#119468,.T.); +#119471=ORIENTED_EDGE('',*,*,#119470,.T.); +#119472=EDGE_LOOP('',(#119458,#119460,#119462,#119464,#119465,#119467,#119469, +#119471)); +#119473=FACE_OUTER_BOUND('',#119472,.F.); +#119475=CARTESIAN_POINT('',(4.745E1,-1.094019237886E1,-3.0895E1)); +#119476=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#119477=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#119478=AXIS2_PLACEMENT_3D('',#119475,#119476,#119477); +#119479=PLANE('',#119478); +#119480=ORIENTED_EDGE('',*,*,#59566,.F.); +#119482=ORIENTED_EDGE('',*,*,#119481,.F.); +#119483=ORIENTED_EDGE('',*,*,#119434,.T.); +#119485=ORIENTED_EDGE('',*,*,#119484,.T.); +#119486=EDGE_LOOP('',(#119480,#119482,#119483,#119485)); +#119487=FACE_OUTER_BOUND('',#119486,.F.); +#119489=CARTESIAN_POINT('',(4.745E1,-1.094019237886E1,-3.0895E1)); +#119490=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#119491=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#119492=AXIS2_PLACEMENT_3D('',#119489,#119490,#119491); +#119493=PLANE('',#119492); +#119494=ORIENTED_EDGE('',*,*,#59556,.F.); +#119496=ORIENTED_EDGE('',*,*,#119495,.F.); +#119497=ORIENTED_EDGE('',*,*,#119457,.T.); +#119499=ORIENTED_EDGE('',*,*,#119498,.T.); +#119500=EDGE_LOOP('',(#119494,#119496,#119497,#119499)); +#119501=FACE_OUTER_BOUND('',#119500,.F.); +#119503=CARTESIAN_POINT('',(4.745E1,-1.72E1,-2.8355E1)); +#119504=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119505=DIRECTION('',(0.E0,1.E0,0.E0)); +#119506=AXIS2_PLACEMENT_3D('',#119503,#119504,#119505); +#119507=PLANE('',#119506); +#119509=ORIENTED_EDGE('',*,*,#119508,.T.); +#119510=ORIENTED_EDGE('',*,*,#58496,.F.); +#119512=ORIENTED_EDGE('',*,*,#119511,.F.); +#119514=ORIENTED_EDGE('',*,*,#119513,.T.); +#119515=EDGE_LOOP('',(#119509,#119510,#119512,#119514)); +#119516=FACE_OUTER_BOUND('',#119515,.F.); +#119518=CARTESIAN_POINT('',(4.745E1,-1.72E1,-2.8355E1)); +#119519=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119520=DIRECTION('',(0.E0,1.E0,0.E0)); +#119521=AXIS2_PLACEMENT_3D('',#119518,#119519,#119520); +#119522=PLANE('',#119521); +#119524=ORIENTED_EDGE('',*,*,#119523,.T.); +#119526=ORIENTED_EDGE('',*,*,#119525,.T.); +#119528=ORIENTED_EDGE('',*,*,#119527,.F.); +#119529=ORIENTED_EDGE('',*,*,#119436,.F.); +#119530=ORIENTED_EDGE('',*,*,#119481,.T.); +#119531=ORIENTED_EDGE('',*,*,#59564,.T.); +#119532=EDGE_LOOP('',(#119524,#119526,#119528,#119529,#119530,#119531)); +#119533=FACE_OUTER_BOUND('',#119532,.F.); +#119535=CARTESIAN_POINT('',(4.82E1,-1.72E1,-3.0895E1)); +#119536=DIRECTION('',(-1.E0,0.E0,0.E0)); +#119537=DIRECTION('',(0.E0,1.E0,0.E0)); +#119538=AXIS2_PLACEMENT_3D('',#119535,#119536,#119537); +#119539=PLANE('',#119538); +#119540=ORIENTED_EDGE('',*,*,#58498,.F.); +#119541=ORIENTED_EDGE('',*,*,#119508,.F.); +#119543=ORIENTED_EDGE('',*,*,#119542,.F.); +#119545=ORIENTED_EDGE('',*,*,#119544,.T.); +#119546=EDGE_LOOP('',(#119540,#119541,#119543,#119545)); +#119547=FACE_OUTER_BOUND('',#119546,.F.); +#119549=CARTESIAN_POINT('',(4.82E1,-1.72E1,-3.0895E1)); +#119550=DIRECTION('',(-1.E0,0.E0,0.E0)); +#119551=DIRECTION('',(0.E0,1.E0,0.E0)); +#119552=AXIS2_PLACEMENT_3D('',#119549,#119550,#119551); +#119553=PLANE('',#119552); +#119554=ORIENTED_EDGE('',*,*,#58488,.F.); +#119556=ORIENTED_EDGE('',*,*,#119555,.F.); +#119558=ORIENTED_EDGE('',*,*,#119557,.F.); +#119560=ORIENTED_EDGE('',*,*,#119559,.T.); +#119561=EDGE_LOOP('',(#119554,#119556,#119558,#119560)); +#119562=FACE_OUTER_BOUND('',#119561,.F.); +#119564=CARTESIAN_POINT('',(4.745E1,-1.72E1,-2.7725E1)); +#119565=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119566=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119567=AXIS2_PLACEMENT_3D('',#119564,#119565,#119566); +#119568=PLANE('',#119567); +#119569=ORIENTED_EDGE('',*,*,#119542,.T.); +#119570=ORIENTED_EDGE('',*,*,#119513,.F.); +#119572=ORIENTED_EDGE('',*,*,#119571,.F.); +#119574=ORIENTED_EDGE('',*,*,#119573,.T.); +#119575=EDGE_LOOP('',(#119569,#119570,#119572,#119574)); +#119576=FACE_OUTER_BOUND('',#119575,.F.); +#119578=CARTESIAN_POINT('',(4.76E1,-1.35E1,-3.0895E1)); +#119579=DIRECTION('',(1.E0,0.E0,0.E0)); +#119580=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119581=AXIS2_PLACEMENT_3D('',#119578,#119579,#119580); +#119582=PLANE('',#119581); +#119583=ORIENTED_EDGE('',*,*,#58502,.T.); +#119585=ORIENTED_EDGE('',*,*,#119584,.F.); +#119586=ORIENTED_EDGE('',*,*,#119571,.T.); +#119587=ORIENTED_EDGE('',*,*,#119511,.T.); +#119588=EDGE_LOOP('',(#119583,#119585,#119586,#119587)); +#119589=FACE_OUTER_BOUND('',#119588,.F.); +#119591=CARTESIAN_POINT('',(4.76E1,-1.35E1,-3.0895E1)); +#119592=DIRECTION('',(1.E0,0.E0,0.E0)); +#119593=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119594=AXIS2_PLACEMENT_3D('',#119591,#119592,#119593); +#119595=PLANE('',#119594); +#119596=ORIENTED_EDGE('',*,*,#58492,.T.); +#119598=ORIENTED_EDGE('',*,*,#119597,.F.); +#119600=ORIENTED_EDGE('',*,*,#119599,.T.); +#119602=ORIENTED_EDGE('',*,*,#119601,.T.); +#119603=EDGE_LOOP('',(#119596,#119598,#119600,#119602)); +#119604=FACE_OUTER_BOUND('',#119603,.F.); +#119606=CARTESIAN_POINT('',(4.745E1,3.815E0,-2.7725E1)); +#119607=DIRECTION('',(0.E0,0.E0,1.E0)); +#119608=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119609=AXIS2_PLACEMENT_3D('',#119606,#119607,#119608); +#119610=PLANE('',#119609); +#119611=ORIENTED_EDGE('',*,*,#119544,.F.); +#119612=ORIENTED_EDGE('',*,*,#119573,.F.); +#119613=ORIENTED_EDGE('',*,*,#119584,.T.); +#119614=ORIENTED_EDGE('',*,*,#58500,.T.); +#119615=EDGE_LOOP('',(#119611,#119612,#119613,#119614)); +#119616=FACE_OUTER_BOUND('',#119615,.F.); +#119618=CARTESIAN_POINT('',(4.745E1,3.815E0,-2.7725E1)); +#119619=DIRECTION('',(0.E0,0.E0,1.E0)); +#119620=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119621=AXIS2_PLACEMENT_3D('',#119618,#119619,#119620); +#119622=PLANE('',#119621); +#119624=ORIENTED_EDGE('',*,*,#119623,.F.); +#119625=ORIENTED_EDGE('',*,*,#59560,.F.); +#119626=ORIENTED_EDGE('',*,*,#119484,.F.); +#119627=ORIENTED_EDGE('',*,*,#119447,.F.); +#119629=ORIENTED_EDGE('',*,*,#119628,.T.); +#119631=ORIENTED_EDGE('',*,*,#119630,.T.); +#119632=EDGE_LOOP('',(#119624,#119625,#119626,#119627,#119629,#119631)); +#119633=FACE_OUTER_BOUND('',#119632,.F.); +#119635=CARTESIAN_POINT('',(4.82E1,-1.12E1,-3.0895E1)); +#119636=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#119637=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#119638=AXIS2_PLACEMENT_3D('',#119635,#119636,#119637); +#119639=PLANE('',#119638); +#119640=ORIENTED_EDGE('',*,*,#59562,.T.); +#119641=ORIENTED_EDGE('',*,*,#119623,.T.); +#119643=ORIENTED_EDGE('',*,*,#119642,.F.); +#119644=ORIENTED_EDGE('',*,*,#119523,.F.); +#119645=EDGE_LOOP('',(#119640,#119641,#119643,#119644)); +#119646=FACE_OUTER_BOUND('',#119645,.F.); +#119648=CARTESIAN_POINT('',(4.82E1,-1.12E1,-3.0895E1)); +#119649=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#119650=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#119651=AXIS2_PLACEMENT_3D('',#119648,#119649,#119650); +#119652=PLANE('',#119651); +#119653=ORIENTED_EDGE('',*,*,#59552,.T.); +#119655=ORIENTED_EDGE('',*,*,#119654,.T.); +#119657=ORIENTED_EDGE('',*,*,#119656,.F.); +#119659=ORIENTED_EDGE('',*,*,#119658,.F.); +#119660=EDGE_LOOP('',(#119653,#119655,#119657,#119659)); +#119661=FACE_OUTER_BOUND('',#119660,.F.); +#119663=CARTESIAN_POINT('',(4.835E1,1.033E1,0.E0)); +#119664=DIRECTION('',(1.E0,0.E0,0.E0)); +#119665=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119666=AXIS2_PLACEMENT_3D('',#119663,#119664,#119665); +#119667=PLANE('',#119666); +#119668=ORIENTED_EDGE('',*,*,#119642,.T.); +#119669=ORIENTED_EDGE('',*,*,#119630,.F.); +#119671=ORIENTED_EDGE('',*,*,#119670,.F.); +#119673=ORIENTED_EDGE('',*,*,#119672,.F.); +#119674=ORIENTED_EDGE('',*,*,#103585,.T.); +#119676=ORIENTED_EDGE('',*,*,#119675,.F.); +#119678=ORIENTED_EDGE('',*,*,#119677,.F.); +#119679=ORIENTED_EDGE('',*,*,#119525,.F.); +#119680=EDGE_LOOP('',(#119668,#119669,#119671,#119673,#119674,#119676,#119678, +#119679)); +#119681=FACE_OUTER_BOUND('',#119680,.F.); +#119683=CARTESIAN_POINT('',(4.835E1,1.033E1,0.E0)); +#119684=DIRECTION('',(1.E0,0.E0,0.E0)); +#119685=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119686=AXIS2_PLACEMENT_3D('',#119683,#119684,#119685); +#119687=PLANE('',#119686); +#119688=ORIENTED_EDGE('',*,*,#119656,.T.); +#119690=ORIENTED_EDGE('',*,*,#119689,.F.); +#119692=ORIENTED_EDGE('',*,*,#119691,.F.); +#119694=ORIENTED_EDGE('',*,*,#119693,.F.); +#119695=ORIENTED_EDGE('',*,*,#60406,.T.); +#119697=ORIENTED_EDGE('',*,*,#119696,.F.); +#119699=ORIENTED_EDGE('',*,*,#119698,.F.); +#119701=ORIENTED_EDGE('',*,*,#119700,.F.); +#119702=EDGE_LOOP('',(#119688,#119690,#119692,#119694,#119695,#119697,#119699, +#119701)); +#119703=FACE_OUTER_BOUND('',#119702,.F.); +#119705=CARTESIAN_POINT('',(4.745E1,3.815E0,-2.6725E1)); +#119706=DIRECTION('',(1.E0,0.E0,0.E0)); +#119707=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119708=AXIS2_PLACEMENT_3D('',#119705,#119706,#119707); +#119709=CYLINDRICAL_SURFACE('',#119708,1.E0); +#119710=ORIENTED_EDGE('',*,*,#119445,.F.); +#119712=ORIENTED_EDGE('',*,*,#119711,.T.); +#119713=ORIENTED_EDGE('',*,*,#119670,.T.); +#119714=ORIENTED_EDGE('',*,*,#119628,.F.); +#119715=EDGE_LOOP('',(#119710,#119712,#119713,#119714)); +#119716=FACE_OUTER_BOUND('',#119715,.F.); +#119718=CARTESIAN_POINT('',(4.745E1,4.815E0,-2.36E1)); +#119719=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119720=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119721=AXIS2_PLACEMENT_3D('',#119718,#119719,#119720); +#119722=PLANE('',#119721); +#119723=ORIENTED_EDGE('',*,*,#119443,.F.); +#119724=ORIENTED_EDGE('',*,*,#103587,.T.); +#119725=ORIENTED_EDGE('',*,*,#119672,.T.); +#119726=ORIENTED_EDGE('',*,*,#119711,.F.); +#119727=EDGE_LOOP('',(#119723,#119724,#119725,#119726)); +#119728=FACE_OUTER_BOUND('',#119727,.F.); +#119730=CARTESIAN_POINT('',(4.745E1,5.445E0,-2.6725E1)); +#119731=DIRECTION('',(0.E0,1.E0,0.E0)); +#119732=DIRECTION('',(0.E0,0.E0,1.E0)); +#119733=AXIS2_PLACEMENT_3D('',#119730,#119731,#119732); +#119734=PLANE('',#119733); +#119735=ORIENTED_EDGE('',*,*,#119440,.F.); +#119737=ORIENTED_EDGE('',*,*,#119736,.T.); +#119738=ORIENTED_EDGE('',*,*,#119675,.T.); +#119739=ORIENTED_EDGE('',*,*,#103583,.F.); +#119740=EDGE_LOOP('',(#119735,#119737,#119738,#119739)); +#119741=FACE_OUTER_BOUND('',#119740,.F.); +#119743=CARTESIAN_POINT('',(4.745E1,3.815E0,-2.6725E1)); +#119744=DIRECTION('',(1.E0,0.E0,0.E0)); +#119745=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119746=AXIS2_PLACEMENT_3D('',#119743,#119744,#119745); +#119747=CYLINDRICAL_SURFACE('',#119746,1.63E0); +#119748=ORIENTED_EDGE('',*,*,#119438,.F.); +#119749=ORIENTED_EDGE('',*,*,#119527,.T.); +#119750=ORIENTED_EDGE('',*,*,#119677,.T.); +#119751=ORIENTED_EDGE('',*,*,#119736,.F.); +#119752=EDGE_LOOP('',(#119748,#119749,#119750,#119751)); +#119753=FACE_OUTER_BOUND('',#119752,.F.); +#119755=CARTESIAN_POINT('',(4.745E1,-3.285E0,-2.5185E1)); +#119756=DIRECTION('',(0.E0,0.E0,1.E0)); +#119757=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119758=AXIS2_PLACEMENT_3D('',#119755,#119756,#119757); +#119759=PLANE('',#119758); +#119760=ORIENTED_EDGE('',*,*,#119559,.F.); +#119762=ORIENTED_EDGE('',*,*,#119761,.F.); +#119763=ORIENTED_EDGE('',*,*,#119597,.T.); +#119764=ORIENTED_EDGE('',*,*,#58490,.T.); +#119765=EDGE_LOOP('',(#119760,#119762,#119763,#119764)); +#119766=FACE_OUTER_BOUND('',#119765,.F.); +#119768=CARTESIAN_POINT('',(4.745E1,-3.285E0,-2.5185E1)); +#119769=DIRECTION('',(0.E0,0.E0,1.E0)); +#119770=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119771=AXIS2_PLACEMENT_3D('',#119768,#119769,#119770); +#119772=PLANE('',#119771); +#119773=ORIENTED_EDGE('',*,*,#119654,.F.); +#119774=ORIENTED_EDGE('',*,*,#59550,.F.); +#119775=ORIENTED_EDGE('',*,*,#119498,.F.); +#119776=ORIENTED_EDGE('',*,*,#119470,.F.); +#119778=ORIENTED_EDGE('',*,*,#119777,.T.); +#119779=ORIENTED_EDGE('',*,*,#119689,.T.); +#119780=EDGE_LOOP('',(#119773,#119774,#119775,#119776,#119778,#119779)); +#119781=FACE_OUTER_BOUND('',#119780,.F.); +#119783=CARTESIAN_POINT('',(4.745E1,-1.72E1,-2.5185E1)); +#119784=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119785=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119786=AXIS2_PLACEMENT_3D('',#119783,#119784,#119785); +#119787=PLANE('',#119786); +#119788=ORIENTED_EDGE('',*,*,#119557,.T.); +#119790=ORIENTED_EDGE('',*,*,#119789,.F.); +#119791=ORIENTED_EDGE('',*,*,#119599,.F.); +#119792=ORIENTED_EDGE('',*,*,#119761,.T.); +#119793=EDGE_LOOP('',(#119788,#119790,#119791,#119792)); +#119794=FACE_OUTER_BOUND('',#119793,.F.); +#119796=CARTESIAN_POINT('',(4.745E1,-1.72E1,-2.5815E1)); +#119797=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119798=DIRECTION('',(0.E0,1.E0,0.E0)); +#119799=AXIS2_PLACEMENT_3D('',#119796,#119797,#119798); +#119800=PLANE('',#119799); +#119801=ORIENTED_EDGE('',*,*,#119555,.T.); +#119802=ORIENTED_EDGE('',*,*,#58486,.F.); +#119803=ORIENTED_EDGE('',*,*,#119601,.F.); +#119804=ORIENTED_EDGE('',*,*,#119789,.T.); +#119805=EDGE_LOOP('',(#119801,#119802,#119803,#119804)); +#119806=FACE_OUTER_BOUND('',#119805,.F.); +#119808=CARTESIAN_POINT('',(4.745E1,-1.72E1,-2.5815E1)); +#119809=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119810=DIRECTION('',(0.E0,1.E0,0.E0)); +#119811=AXIS2_PLACEMENT_3D('',#119808,#119809,#119810); +#119812=PLANE('',#119811); +#119813=ORIENTED_EDGE('',*,*,#119658,.T.); +#119814=ORIENTED_EDGE('',*,*,#119700,.T.); +#119816=ORIENTED_EDGE('',*,*,#119815,.F.); +#119817=ORIENTED_EDGE('',*,*,#119459,.F.); +#119818=ORIENTED_EDGE('',*,*,#119495,.T.); +#119819=ORIENTED_EDGE('',*,*,#59554,.T.); +#119820=EDGE_LOOP('',(#119813,#119814,#119816,#119817,#119818,#119819)); +#119821=FACE_OUTER_BOUND('',#119820,.F.); +#119823=CARTESIAN_POINT('',(4.745E1,-3.285E0,-2.4685E1)); +#119824=DIRECTION('',(1.E0,0.E0,0.E0)); +#119825=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119826=AXIS2_PLACEMENT_3D('',#119823,#119824,#119825); +#119827=CYLINDRICAL_SURFACE('',#119826,1.13E0); +#119828=ORIENTED_EDGE('',*,*,#119461,.F.); +#119829=ORIENTED_EDGE('',*,*,#119815,.T.); +#119830=ORIENTED_EDGE('',*,*,#119698,.T.); +#119832=ORIENTED_EDGE('',*,*,#119831,.F.); +#119833=EDGE_LOOP('',(#119828,#119829,#119830,#119832)); +#119834=FACE_OUTER_BOUND('',#119833,.F.); +#119836=CARTESIAN_POINT('',(4.745E1,-2.155E0,-2.4685E1)); +#119837=DIRECTION('',(0.E0,1.E0,0.E0)); +#119838=DIRECTION('',(0.E0,0.E0,1.E0)); +#119839=AXIS2_PLACEMENT_3D('',#119836,#119837,#119838); +#119840=PLANE('',#119839); +#119841=ORIENTED_EDGE('',*,*,#119463,.F.); +#119842=ORIENTED_EDGE('',*,*,#119831,.T.); +#119843=ORIENTED_EDGE('',*,*,#119696,.T.); +#119844=ORIENTED_EDGE('',*,*,#60404,.F.); +#119845=EDGE_LOOP('',(#119841,#119842,#119843,#119844)); +#119846=FACE_OUTER_BOUND('',#119845,.F.); +#119848=CARTESIAN_POINT('',(4.745E1,-3.285E0,-2.4685E1)); +#119849=DIRECTION('',(1.E0,0.E0,0.E0)); +#119850=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119851=AXIS2_PLACEMENT_3D('',#119848,#119849,#119850); +#119852=CYLINDRICAL_SURFACE('',#119851,5.E-1); +#119853=ORIENTED_EDGE('',*,*,#119468,.F.); +#119855=ORIENTED_EDGE('',*,*,#119854,.T.); +#119856=ORIENTED_EDGE('',*,*,#119691,.T.); +#119857=ORIENTED_EDGE('',*,*,#119777,.F.); +#119858=EDGE_LOOP('',(#119853,#119855,#119856,#119857)); +#119859=FACE_OUTER_BOUND('',#119858,.F.); +#119861=CARTESIAN_POINT('',(4.745E1,-2.785E0,-2.36E1)); +#119862=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119863=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119864=AXIS2_PLACEMENT_3D('',#119861,#119862,#119863); +#119865=PLANE('',#119864); +#119866=ORIENTED_EDGE('',*,*,#119466,.F.); +#119867=ORIENTED_EDGE('',*,*,#60408,.T.); +#119868=ORIENTED_EDGE('',*,*,#119693,.T.); +#119869=ORIENTED_EDGE('',*,*,#119854,.F.); +#119870=EDGE_LOOP('',(#119866,#119867,#119868,#119869)); +#119871=FACE_OUTER_BOUND('',#119870,.F.); +#119873=CARTESIAN_POINT('',(4.96E1,6.33E0,0.E0)); +#119874=DIRECTION('',(1.E0,0.E0,0.E0)); +#119875=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119876=AXIS2_PLACEMENT_3D('',#119873,#119874,#119875); +#119877=PLANE('',#119876); +#119879=ORIENTED_EDGE('',*,*,#119878,.F.); +#119881=ORIENTED_EDGE('',*,*,#119880,.T.); +#119883=ORIENTED_EDGE('',*,*,#119882,.T.); +#119885=ORIENTED_EDGE('',*,*,#119884,.T.); +#119886=ORIENTED_EDGE('',*,*,#103591,.F.); +#119888=ORIENTED_EDGE('',*,*,#119887,.T.); +#119890=ORIENTED_EDGE('',*,*,#119889,.T.); +#119892=ORIENTED_EDGE('',*,*,#119891,.T.); +#119893=EDGE_LOOP('',(#119879,#119881,#119883,#119885,#119886,#119888,#119890, +#119892)); +#119894=FACE_OUTER_BOUND('',#119893,.F.); +#119896=CARTESIAN_POINT('',(4.96E1,6.33E0,0.E0)); +#119897=DIRECTION('',(1.E0,0.E0,0.E0)); +#119898=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119899=AXIS2_PLACEMENT_3D('',#119896,#119897,#119898); +#119900=PLANE('',#119899); +#119902=ORIENTED_EDGE('',*,*,#119901,.F.); +#119904=ORIENTED_EDGE('',*,*,#119903,.T.); +#119906=ORIENTED_EDGE('',*,*,#119905,.T.); +#119908=ORIENTED_EDGE('',*,*,#119907,.T.); +#119909=ORIENTED_EDGE('',*,*,#60412,.F.); +#119911=ORIENTED_EDGE('',*,*,#119910,.T.); +#119913=ORIENTED_EDGE('',*,*,#119912,.T.); +#119915=ORIENTED_EDGE('',*,*,#119914,.T.); +#119916=EDGE_LOOP('',(#119902,#119904,#119906,#119908,#119909,#119911,#119913, +#119915)); +#119917=FACE_OUTER_BOUND('',#119916,.F.); +#119919=CARTESIAN_POINT('',(4.96E1,-1.094019237886E1,-3.0895E1)); +#119920=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#119921=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#119922=AXIS2_PLACEMENT_3D('',#119919,#119920,#119921); +#119923=PLANE('',#119922); +#119924=ORIENTED_EDGE('',*,*,#59576,.F.); +#119926=ORIENTED_EDGE('',*,*,#119925,.F.); +#119927=ORIENTED_EDGE('',*,*,#119878,.T.); +#119929=ORIENTED_EDGE('',*,*,#119928,.T.); +#119930=EDGE_LOOP('',(#119924,#119926,#119927,#119929)); +#119931=FACE_OUTER_BOUND('',#119930,.F.); +#119933=CARTESIAN_POINT('',(4.96E1,-1.094019237886E1,-3.0895E1)); +#119934=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#119935=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#119936=AXIS2_PLACEMENT_3D('',#119933,#119934,#119935); +#119937=PLANE('',#119936); +#119938=ORIENTED_EDGE('',*,*,#59586,.F.); +#119940=ORIENTED_EDGE('',*,*,#119939,.F.); +#119941=ORIENTED_EDGE('',*,*,#119901,.T.); +#119943=ORIENTED_EDGE('',*,*,#119942,.T.); +#119944=EDGE_LOOP('',(#119938,#119940,#119941,#119943)); +#119945=FACE_OUTER_BOUND('',#119944,.F.); +#119947=CARTESIAN_POINT('',(4.96E1,-1.72E1,-3.0895E1)); +#119948=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119949=DIRECTION('',(0.E0,1.E0,0.E0)); +#119950=AXIS2_PLACEMENT_3D('',#119947,#119948,#119949); +#119951=PLANE('',#119950); +#119953=ORIENTED_EDGE('',*,*,#119952,.F.); +#119955=ORIENTED_EDGE('',*,*,#119954,.T.); +#119957=ORIENTED_EDGE('',*,*,#119956,.T.); +#119958=ORIENTED_EDGE('',*,*,#58506,.F.); +#119959=EDGE_LOOP('',(#119953,#119955,#119957,#119958)); +#119960=FACE_OUTER_BOUND('',#119959,.F.); +#119962=CARTESIAN_POINT('',(4.96E1,-1.72E1,-3.0895E1)); +#119963=DIRECTION('',(0.E0,0.E0,-1.E0)); +#119964=DIRECTION('',(0.E0,1.E0,0.E0)); +#119965=AXIS2_PLACEMENT_3D('',#119962,#119963,#119964); +#119966=PLANE('',#119965); +#119967=ORIENTED_EDGE('',*,*,#119925,.T.); +#119968=ORIENTED_EDGE('',*,*,#59574,.T.); +#119970=ORIENTED_EDGE('',*,*,#119969,.T.); +#119972=ORIENTED_EDGE('',*,*,#119971,.T.); +#119974=ORIENTED_EDGE('',*,*,#119973,.F.); +#119975=ORIENTED_EDGE('',*,*,#119880,.F.); +#119976=EDGE_LOOP('',(#119967,#119968,#119970,#119972,#119974,#119975)); +#119977=FACE_OUTER_BOUND('',#119976,.F.); +#119979=CARTESIAN_POINT('',(4.975E1,-1.35E1,-3.0895E1)); +#119980=DIRECTION('',(1.E0,0.E0,0.E0)); +#119981=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119982=AXIS2_PLACEMENT_3D('',#119979,#119980,#119981); +#119983=PLANE('',#119982); +#119984=ORIENTED_EDGE('',*,*,#58512,.T.); +#119986=ORIENTED_EDGE('',*,*,#119985,.F.); +#119988=ORIENTED_EDGE('',*,*,#119987,.T.); +#119989=ORIENTED_EDGE('',*,*,#119952,.T.); +#119990=EDGE_LOOP('',(#119984,#119986,#119988,#119989)); +#119991=FACE_OUTER_BOUND('',#119990,.F.); +#119993=CARTESIAN_POINT('',(4.975E1,-1.35E1,-3.0895E1)); +#119994=DIRECTION('',(1.E0,0.E0,0.E0)); +#119995=DIRECTION('',(0.E0,-1.E0,0.E0)); +#119996=AXIS2_PLACEMENT_3D('',#119993,#119994,#119995); +#119997=PLANE('',#119996); +#119998=ORIENTED_EDGE('',*,*,#58522,.T.); +#120000=ORIENTED_EDGE('',*,*,#119999,.F.); +#120002=ORIENTED_EDGE('',*,*,#120001,.T.); +#120004=ORIENTED_EDGE('',*,*,#120003,.T.); +#120005=EDGE_LOOP('',(#119998,#120000,#120002,#120004)); +#120006=FACE_OUTER_BOUND('',#120005,.F.); +#120008=CARTESIAN_POINT('',(4.96E1,3.815E0,-3.0265E1)); +#120009=DIRECTION('',(0.E0,0.E0,1.E0)); +#120010=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120011=AXIS2_PLACEMENT_3D('',#120008,#120009,#120010); +#120012=PLANE('',#120011); +#120013=ORIENTED_EDGE('',*,*,#119985,.T.); +#120014=ORIENTED_EDGE('',*,*,#58510,.T.); +#120016=ORIENTED_EDGE('',*,*,#120015,.F.); +#120018=ORIENTED_EDGE('',*,*,#120017,.F.); +#120019=EDGE_LOOP('',(#120013,#120014,#120016,#120018)); +#120020=FACE_OUTER_BOUND('',#120019,.F.); +#120022=CARTESIAN_POINT('',(4.96E1,3.815E0,-3.0265E1)); +#120023=DIRECTION('',(0.E0,0.E0,1.E0)); +#120024=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120025=AXIS2_PLACEMENT_3D('',#120022,#120023,#120024); +#120026=PLANE('',#120025); +#120027=ORIENTED_EDGE('',*,*,#119928,.F.); +#120028=ORIENTED_EDGE('',*,*,#119891,.F.); +#120030=ORIENTED_EDGE('',*,*,#120029,.T.); +#120032=ORIENTED_EDGE('',*,*,#120031,.T.); +#120034=ORIENTED_EDGE('',*,*,#120033,.F.); +#120035=ORIENTED_EDGE('',*,*,#59570,.F.); +#120036=EDGE_LOOP('',(#120027,#120028,#120030,#120032,#120034,#120035)); +#120037=FACE_OUTER_BOUND('',#120036,.F.); +#120039=CARTESIAN_POINT('',(5.035E1,-1.72E1,-3.0895E1)); +#120040=DIRECTION('',(-1.E0,0.E0,0.E0)); +#120041=DIRECTION('',(0.E0,1.E0,0.E0)); +#120042=AXIS2_PLACEMENT_3D('',#120039,#120040,#120041); +#120043=PLANE('',#120042); +#120044=ORIENTED_EDGE('',*,*,#58508,.F.); +#120045=ORIENTED_EDGE('',*,*,#119956,.F.); +#120047=ORIENTED_EDGE('',*,*,#120046,.F.); +#120048=ORIENTED_EDGE('',*,*,#120015,.T.); +#120049=EDGE_LOOP('',(#120044,#120045,#120047,#120048)); +#120050=FACE_OUTER_BOUND('',#120049,.F.); +#120052=CARTESIAN_POINT('',(5.035E1,-1.72E1,-3.0895E1)); +#120053=DIRECTION('',(-1.E0,0.E0,0.E0)); +#120054=DIRECTION('',(0.E0,1.E0,0.E0)); +#120055=AXIS2_PLACEMENT_3D('',#120052,#120053,#120054); +#120056=PLANE('',#120055); +#120057=ORIENTED_EDGE('',*,*,#58518,.F.); +#120059=ORIENTED_EDGE('',*,*,#120058,.F.); +#120061=ORIENTED_EDGE('',*,*,#120060,.F.); +#120063=ORIENTED_EDGE('',*,*,#120062,.T.); +#120064=EDGE_LOOP('',(#120057,#120059,#120061,#120063)); +#120065=FACE_OUTER_BOUND('',#120064,.F.); +#120067=CARTESIAN_POINT('',(4.96E1,-1.72E1,-3.0265E1)); +#120068=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120069=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120070=AXIS2_PLACEMENT_3D('',#120067,#120068,#120069); +#120071=PLANE('',#120070); +#120072=ORIENTED_EDGE('',*,*,#119987,.F.); +#120073=ORIENTED_EDGE('',*,*,#120017,.T.); +#120074=ORIENTED_EDGE('',*,*,#120046,.T.); +#120075=ORIENTED_EDGE('',*,*,#119954,.F.); +#120076=EDGE_LOOP('',(#120072,#120073,#120074,#120075)); +#120077=FACE_OUTER_BOUND('',#120076,.F.); +#120079=CARTESIAN_POINT('',(4.96E1,-1.72E1,-2.5815E1)); +#120080=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120081=DIRECTION('',(0.E0,1.E0,0.E0)); +#120082=AXIS2_PLACEMENT_3D('',#120079,#120080,#120081); +#120083=PLANE('',#120082); +#120084=ORIENTED_EDGE('',*,*,#120003,.F.); +#120086=ORIENTED_EDGE('',*,*,#120085,.T.); +#120087=ORIENTED_EDGE('',*,*,#120058,.T.); +#120088=ORIENTED_EDGE('',*,*,#58516,.F.); +#120089=EDGE_LOOP('',(#120084,#120086,#120087,#120088)); +#120090=FACE_OUTER_BOUND('',#120089,.F.); +#120092=CARTESIAN_POINT('',(4.96E1,-1.72E1,-2.5815E1)); +#120093=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120094=DIRECTION('',(0.E0,1.E0,0.E0)); +#120095=AXIS2_PLACEMENT_3D('',#120092,#120093,#120094); +#120096=PLANE('',#120095); +#120097=ORIENTED_EDGE('',*,*,#119939,.T.); +#120098=ORIENTED_EDGE('',*,*,#59584,.T.); +#120100=ORIENTED_EDGE('',*,*,#120099,.T.); +#120102=ORIENTED_EDGE('',*,*,#120101,.T.); +#120104=ORIENTED_EDGE('',*,*,#120103,.F.); +#120105=ORIENTED_EDGE('',*,*,#119903,.F.); +#120106=EDGE_LOOP('',(#120097,#120098,#120100,#120102,#120104,#120105)); +#120107=FACE_OUTER_BOUND('',#120106,.F.); +#120109=CARTESIAN_POINT('',(4.96E1,-1.72E1,-2.5185E1)); +#120110=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120111=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120112=AXIS2_PLACEMENT_3D('',#120109,#120110,#120111); +#120113=PLANE('',#120112); +#120114=ORIENTED_EDGE('',*,*,#120001,.F.); +#120116=ORIENTED_EDGE('',*,*,#120115,.T.); +#120117=ORIENTED_EDGE('',*,*,#120060,.T.); +#120118=ORIENTED_EDGE('',*,*,#120085,.F.); +#120119=EDGE_LOOP('',(#120114,#120116,#120117,#120118)); +#120120=FACE_OUTER_BOUND('',#120119,.F.); +#120122=CARTESIAN_POINT('',(4.96E1,-3.285E0,-2.5185E1)); +#120123=DIRECTION('',(0.E0,0.E0,1.E0)); +#120124=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120125=AXIS2_PLACEMENT_3D('',#120122,#120123,#120124); +#120126=PLANE('',#120125); +#120127=ORIENTED_EDGE('',*,*,#119999,.T.); +#120128=ORIENTED_EDGE('',*,*,#58520,.T.); +#120129=ORIENTED_EDGE('',*,*,#120062,.F.); +#120130=ORIENTED_EDGE('',*,*,#120115,.F.); +#120131=EDGE_LOOP('',(#120127,#120128,#120129,#120130)); +#120132=FACE_OUTER_BOUND('',#120131,.F.); +#120134=CARTESIAN_POINT('',(4.96E1,-3.285E0,-2.5185E1)); +#120135=DIRECTION('',(0.E0,0.E0,1.E0)); +#120136=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120137=AXIS2_PLACEMENT_3D('',#120134,#120135,#120136); +#120138=PLANE('',#120137); +#120139=ORIENTED_EDGE('',*,*,#119942,.F.); +#120140=ORIENTED_EDGE('',*,*,#119914,.F.); +#120142=ORIENTED_EDGE('',*,*,#120141,.T.); +#120144=ORIENTED_EDGE('',*,*,#120143,.T.); +#120146=ORIENTED_EDGE('',*,*,#120145,.F.); +#120147=ORIENTED_EDGE('',*,*,#59580,.F.); +#120148=EDGE_LOOP('',(#120139,#120140,#120142,#120144,#120146,#120147)); +#120149=FACE_OUTER_BOUND('',#120148,.F.); +#120151=CARTESIAN_POINT('',(4.96E1,-3.285E0,-2.4685E1)); +#120152=DIRECTION('',(1.E0,0.E0,0.E0)); +#120153=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120154=AXIS2_PLACEMENT_3D('',#120151,#120152,#120153); +#120155=CYLINDRICAL_SURFACE('',#120154,5.E-1); +#120156=ORIENTED_EDGE('',*,*,#119912,.F.); +#120158=ORIENTED_EDGE('',*,*,#120157,.T.); +#120160=ORIENTED_EDGE('',*,*,#120159,.T.); +#120161=ORIENTED_EDGE('',*,*,#120141,.F.); +#120162=EDGE_LOOP('',(#120156,#120158,#120160,#120161)); +#120163=FACE_OUTER_BOUND('',#120162,.F.); +#120165=CARTESIAN_POINT('',(4.96E1,-2.785E0,-2.36E1)); +#120166=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120167=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120168=AXIS2_PLACEMENT_3D('',#120165,#120166,#120167); +#120169=PLANE('',#120168); +#120170=ORIENTED_EDGE('',*,*,#119910,.F.); +#120171=ORIENTED_EDGE('',*,*,#60418,.T.); +#120173=ORIENTED_EDGE('',*,*,#120172,.T.); +#120174=ORIENTED_EDGE('',*,*,#120157,.F.); +#120175=EDGE_LOOP('',(#120170,#120171,#120173,#120174)); +#120176=FACE_OUTER_BOUND('',#120175,.F.); +#120178=CARTESIAN_POINT('',(5.05E1,6.33E0,0.E0)); +#120179=DIRECTION('',(1.E0,0.E0,0.E0)); +#120180=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120181=AXIS2_PLACEMENT_3D('',#120178,#120179,#120180); +#120182=PLANE('',#120181); +#120184=ORIENTED_EDGE('',*,*,#120183,.T.); +#120185=ORIENTED_EDGE('',*,*,#120031,.F.); +#120187=ORIENTED_EDGE('',*,*,#120186,.F.); +#120189=ORIENTED_EDGE('',*,*,#120188,.F.); +#120190=ORIENTED_EDGE('',*,*,#103595,.T.); +#120192=ORIENTED_EDGE('',*,*,#120191,.F.); +#120194=ORIENTED_EDGE('',*,*,#120193,.F.); +#120195=ORIENTED_EDGE('',*,*,#119971,.F.); +#120196=EDGE_LOOP('',(#120184,#120185,#120187,#120189,#120190,#120192,#120194, +#120195)); +#120197=FACE_OUTER_BOUND('',#120196,.F.); +#120199=CARTESIAN_POINT('',(5.05E1,6.33E0,0.E0)); +#120200=DIRECTION('',(1.E0,0.E0,0.E0)); +#120201=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120202=AXIS2_PLACEMENT_3D('',#120199,#120200,#120201); +#120203=PLANE('',#120202); +#120205=ORIENTED_EDGE('',*,*,#120204,.T.); +#120206=ORIENTED_EDGE('',*,*,#120143,.F.); +#120207=ORIENTED_EDGE('',*,*,#120159,.F.); +#120208=ORIENTED_EDGE('',*,*,#120172,.F.); +#120209=ORIENTED_EDGE('',*,*,#60416,.T.); +#120211=ORIENTED_EDGE('',*,*,#120210,.F.); +#120213=ORIENTED_EDGE('',*,*,#120212,.F.); +#120214=ORIENTED_EDGE('',*,*,#120101,.F.); +#120215=EDGE_LOOP('',(#120205,#120206,#120207,#120208,#120209,#120211,#120213, +#120214)); +#120216=FACE_OUTER_BOUND('',#120215,.F.); +#120218=CARTESIAN_POINT('',(5.035E1,-1.12E1,-3.0895E1)); +#120219=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#120220=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#120221=AXIS2_PLACEMENT_3D('',#120218,#120219,#120220); +#120222=PLANE('',#120221); +#120223=ORIENTED_EDGE('',*,*,#59572,.T.); +#120224=ORIENTED_EDGE('',*,*,#120033,.T.); +#120225=ORIENTED_EDGE('',*,*,#120183,.F.); +#120226=ORIENTED_EDGE('',*,*,#119969,.F.); +#120227=EDGE_LOOP('',(#120223,#120224,#120225,#120226)); +#120228=FACE_OUTER_BOUND('',#120227,.F.); +#120230=CARTESIAN_POINT('',(5.035E1,-1.12E1,-3.0895E1)); +#120231=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#120232=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#120233=AXIS2_PLACEMENT_3D('',#120230,#120231,#120232); +#120234=PLANE('',#120233); +#120235=ORIENTED_EDGE('',*,*,#59582,.T.); +#120236=ORIENTED_EDGE('',*,*,#120145,.T.); +#120237=ORIENTED_EDGE('',*,*,#120204,.F.); +#120238=ORIENTED_EDGE('',*,*,#120099,.F.); +#120239=EDGE_LOOP('',(#120235,#120236,#120237,#120238)); +#120240=FACE_OUTER_BOUND('',#120239,.F.); +#120242=CARTESIAN_POINT('',(4.96E1,3.815E0,-2.9265E1)); +#120243=DIRECTION('',(1.E0,0.E0,0.E0)); +#120244=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120245=AXIS2_PLACEMENT_3D('',#120242,#120243,#120244); +#120246=CYLINDRICAL_SURFACE('',#120245,1.E0); +#120247=ORIENTED_EDGE('',*,*,#119889,.F.); +#120249=ORIENTED_EDGE('',*,*,#120248,.T.); +#120250=ORIENTED_EDGE('',*,*,#120186,.T.); +#120251=ORIENTED_EDGE('',*,*,#120029,.F.); +#120252=EDGE_LOOP('',(#120247,#120249,#120250,#120251)); +#120253=FACE_OUTER_BOUND('',#120252,.F.); +#120255=CARTESIAN_POINT('',(4.96E1,4.815E0,-2.36E1)); +#120256=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120257=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120258=AXIS2_PLACEMENT_3D('',#120255,#120256,#120257); +#120259=PLANE('',#120258); +#120260=ORIENTED_EDGE('',*,*,#119887,.F.); +#120261=ORIENTED_EDGE('',*,*,#103597,.T.); +#120262=ORIENTED_EDGE('',*,*,#120188,.T.); +#120263=ORIENTED_EDGE('',*,*,#120248,.F.); +#120264=EDGE_LOOP('',(#120260,#120261,#120262,#120263)); +#120265=FACE_OUTER_BOUND('',#120264,.F.); +#120267=CARTESIAN_POINT('',(4.96E1,5.445E0,-2.9265E1)); +#120268=DIRECTION('',(0.E0,1.E0,0.E0)); +#120269=DIRECTION('',(0.E0,0.E0,1.E0)); +#120270=AXIS2_PLACEMENT_3D('',#120267,#120268,#120269); +#120271=PLANE('',#120270); +#120272=ORIENTED_EDGE('',*,*,#119884,.F.); +#120274=ORIENTED_EDGE('',*,*,#120273,.T.); +#120275=ORIENTED_EDGE('',*,*,#120191,.T.); +#120276=ORIENTED_EDGE('',*,*,#103593,.F.); +#120277=EDGE_LOOP('',(#120272,#120274,#120275,#120276)); +#120278=FACE_OUTER_BOUND('',#120277,.F.); +#120280=CARTESIAN_POINT('',(4.96E1,3.815E0,-2.9265E1)); +#120281=DIRECTION('',(1.E0,0.E0,0.E0)); +#120282=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120283=AXIS2_PLACEMENT_3D('',#120280,#120281,#120282); +#120284=CYLINDRICAL_SURFACE('',#120283,1.63E0); +#120285=ORIENTED_EDGE('',*,*,#119882,.F.); +#120286=ORIENTED_EDGE('',*,*,#119973,.T.); +#120287=ORIENTED_EDGE('',*,*,#120193,.T.); +#120288=ORIENTED_EDGE('',*,*,#120273,.F.); +#120289=EDGE_LOOP('',(#120285,#120286,#120287,#120288)); +#120290=FACE_OUTER_BOUND('',#120289,.F.); +#120292=CARTESIAN_POINT('',(4.96E1,-2.155E0,-2.4685E1)); +#120293=DIRECTION('',(0.E0,1.E0,0.E0)); +#120294=DIRECTION('',(0.E0,0.E0,1.E0)); +#120295=AXIS2_PLACEMENT_3D('',#120292,#120293,#120294); +#120296=PLANE('',#120295); +#120297=ORIENTED_EDGE('',*,*,#119907,.F.); +#120299=ORIENTED_EDGE('',*,*,#120298,.T.); +#120300=ORIENTED_EDGE('',*,*,#120210,.T.); +#120301=ORIENTED_EDGE('',*,*,#60414,.F.); +#120302=EDGE_LOOP('',(#120297,#120299,#120300,#120301)); +#120303=FACE_OUTER_BOUND('',#120302,.F.); +#120305=CARTESIAN_POINT('',(4.96E1,-3.285E0,-2.4685E1)); +#120306=DIRECTION('',(1.E0,0.E0,0.E0)); +#120307=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120308=AXIS2_PLACEMENT_3D('',#120305,#120306,#120307); +#120309=CYLINDRICAL_SURFACE('',#120308,1.13E0); +#120310=ORIENTED_EDGE('',*,*,#119905,.F.); +#120311=ORIENTED_EDGE('',*,*,#120103,.T.); +#120312=ORIENTED_EDGE('',*,*,#120212,.T.); +#120313=ORIENTED_EDGE('',*,*,#120298,.F.); +#120314=EDGE_LOOP('',(#120310,#120311,#120312,#120313)); +#120315=FACE_OUTER_BOUND('',#120314,.F.); +#120317=CARTESIAN_POINT('',(5.175E1,1.033E1,0.E0)); +#120318=DIRECTION('',(1.E0,0.E0,0.E0)); +#120319=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120320=AXIS2_PLACEMENT_3D('',#120317,#120318,#120319); +#120321=PLANE('',#120320); +#120323=ORIENTED_EDGE('',*,*,#120322,.F.); +#120325=ORIENTED_EDGE('',*,*,#120324,.T.); +#120327=ORIENTED_EDGE('',*,*,#120326,.T.); +#120329=ORIENTED_EDGE('',*,*,#120328,.T.); +#120330=ORIENTED_EDGE('',*,*,#103601,.F.); +#120332=ORIENTED_EDGE('',*,*,#120331,.T.); +#120334=ORIENTED_EDGE('',*,*,#120333,.T.); +#120336=ORIENTED_EDGE('',*,*,#120335,.T.); +#120337=EDGE_LOOP('',(#120323,#120325,#120327,#120329,#120330,#120332,#120334, +#120336)); +#120338=FACE_OUTER_BOUND('',#120337,.F.); +#120340=CARTESIAN_POINT('',(5.175E1,1.033E1,0.E0)); +#120341=DIRECTION('',(1.E0,0.E0,0.E0)); +#120342=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120343=AXIS2_PLACEMENT_3D('',#120340,#120341,#120342); +#120344=PLANE('',#120343); +#120346=ORIENTED_EDGE('',*,*,#120345,.F.); +#120348=ORIENTED_EDGE('',*,*,#120347,.T.); +#120350=ORIENTED_EDGE('',*,*,#120349,.T.); +#120352=ORIENTED_EDGE('',*,*,#120351,.T.); +#120353=ORIENTED_EDGE('',*,*,#60422,.F.); +#120355=ORIENTED_EDGE('',*,*,#120354,.T.); +#120357=ORIENTED_EDGE('',*,*,#120356,.T.); +#120359=ORIENTED_EDGE('',*,*,#120358,.T.); +#120360=EDGE_LOOP('',(#120346,#120348,#120350,#120352,#120353,#120355,#120357, +#120359)); +#120361=FACE_OUTER_BOUND('',#120360,.F.); +#120363=CARTESIAN_POINT('',(5.175E1,-1.094019237886E1,-3.0895E1)); +#120364=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#120365=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#120366=AXIS2_PLACEMENT_3D('',#120363,#120364,#120365); +#120367=PLANE('',#120366); +#120368=ORIENTED_EDGE('',*,*,#59606,.F.); +#120370=ORIENTED_EDGE('',*,*,#120369,.F.); +#120371=ORIENTED_EDGE('',*,*,#120322,.T.); +#120373=ORIENTED_EDGE('',*,*,#120372,.T.); +#120374=EDGE_LOOP('',(#120368,#120370,#120371,#120373)); +#120375=FACE_OUTER_BOUND('',#120374,.F.); +#120377=CARTESIAN_POINT('',(5.175E1,-1.094019237886E1,-3.0895E1)); +#120378=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#120379=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#120380=AXIS2_PLACEMENT_3D('',#120377,#120378,#120379); +#120381=PLANE('',#120380); +#120382=ORIENTED_EDGE('',*,*,#59596,.F.); +#120384=ORIENTED_EDGE('',*,*,#120383,.F.); +#120385=ORIENTED_EDGE('',*,*,#120345,.T.); +#120387=ORIENTED_EDGE('',*,*,#120386,.T.); +#120388=EDGE_LOOP('',(#120382,#120384,#120385,#120387)); +#120389=FACE_OUTER_BOUND('',#120388,.F.); +#120391=CARTESIAN_POINT('',(5.175E1,-1.72E1,-2.8355E1)); +#120392=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120393=DIRECTION('',(0.E0,1.E0,0.E0)); +#120394=AXIS2_PLACEMENT_3D('',#120391,#120392,#120393); +#120395=PLANE('',#120394); +#120397=ORIENTED_EDGE('',*,*,#120396,.T.); +#120398=ORIENTED_EDGE('',*,*,#58536,.F.); +#120400=ORIENTED_EDGE('',*,*,#120399,.F.); +#120402=ORIENTED_EDGE('',*,*,#120401,.T.); +#120403=EDGE_LOOP('',(#120397,#120398,#120400,#120402)); +#120404=FACE_OUTER_BOUND('',#120403,.F.); +#120406=CARTESIAN_POINT('',(5.175E1,-1.72E1,-2.8355E1)); +#120407=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120408=DIRECTION('',(0.E0,1.E0,0.E0)); +#120409=AXIS2_PLACEMENT_3D('',#120406,#120407,#120408); +#120410=PLANE('',#120409); +#120412=ORIENTED_EDGE('',*,*,#120411,.T.); +#120414=ORIENTED_EDGE('',*,*,#120413,.T.); +#120416=ORIENTED_EDGE('',*,*,#120415,.F.); +#120417=ORIENTED_EDGE('',*,*,#120324,.F.); +#120418=ORIENTED_EDGE('',*,*,#120369,.T.); +#120419=ORIENTED_EDGE('',*,*,#59604,.T.); +#120420=EDGE_LOOP('',(#120412,#120414,#120416,#120417,#120418,#120419)); +#120421=FACE_OUTER_BOUND('',#120420,.F.); +#120423=CARTESIAN_POINT('',(5.25E1,-1.72E1,-3.0895E1)); +#120424=DIRECTION('',(-1.E0,0.E0,0.E0)); +#120425=DIRECTION('',(0.E0,1.E0,0.E0)); +#120426=AXIS2_PLACEMENT_3D('',#120423,#120424,#120425); +#120427=PLANE('',#120426); +#120428=ORIENTED_EDGE('',*,*,#58538,.F.); +#120429=ORIENTED_EDGE('',*,*,#120396,.F.); +#120431=ORIENTED_EDGE('',*,*,#120430,.F.); +#120433=ORIENTED_EDGE('',*,*,#120432,.T.); +#120434=EDGE_LOOP('',(#120428,#120429,#120431,#120433)); +#120435=FACE_OUTER_BOUND('',#120434,.F.); +#120437=CARTESIAN_POINT('',(5.25E1,-1.72E1,-3.0895E1)); +#120438=DIRECTION('',(-1.E0,0.E0,0.E0)); +#120439=DIRECTION('',(0.E0,1.E0,0.E0)); +#120440=AXIS2_PLACEMENT_3D('',#120437,#120438,#120439); +#120441=PLANE('',#120440); +#120442=ORIENTED_EDGE('',*,*,#58528,.F.); +#120444=ORIENTED_EDGE('',*,*,#120443,.F.); +#120446=ORIENTED_EDGE('',*,*,#120445,.F.); +#120448=ORIENTED_EDGE('',*,*,#120447,.T.); +#120449=EDGE_LOOP('',(#120442,#120444,#120446,#120448)); +#120450=FACE_OUTER_BOUND('',#120449,.F.); +#120452=CARTESIAN_POINT('',(5.175E1,-1.72E1,-2.7725E1)); +#120453=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120454=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120455=AXIS2_PLACEMENT_3D('',#120452,#120453,#120454); +#120456=PLANE('',#120455); +#120457=ORIENTED_EDGE('',*,*,#120430,.T.); +#120458=ORIENTED_EDGE('',*,*,#120401,.F.); +#120460=ORIENTED_EDGE('',*,*,#120459,.F.); +#120462=ORIENTED_EDGE('',*,*,#120461,.T.); +#120463=EDGE_LOOP('',(#120457,#120458,#120460,#120462)); +#120464=FACE_OUTER_BOUND('',#120463,.F.); +#120466=CARTESIAN_POINT('',(5.19E1,-1.35E1,-3.0895E1)); +#120467=DIRECTION('',(1.E0,0.E0,0.E0)); +#120468=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120469=AXIS2_PLACEMENT_3D('',#120466,#120467,#120468); +#120470=PLANE('',#120469); +#120471=ORIENTED_EDGE('',*,*,#58542,.T.); +#120473=ORIENTED_EDGE('',*,*,#120472,.F.); +#120474=ORIENTED_EDGE('',*,*,#120459,.T.); +#120475=ORIENTED_EDGE('',*,*,#120399,.T.); +#120476=EDGE_LOOP('',(#120471,#120473,#120474,#120475)); +#120477=FACE_OUTER_BOUND('',#120476,.F.); +#120479=CARTESIAN_POINT('',(5.19E1,-1.35E1,-3.0895E1)); +#120480=DIRECTION('',(1.E0,0.E0,0.E0)); +#120481=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120482=AXIS2_PLACEMENT_3D('',#120479,#120480,#120481); +#120483=PLANE('',#120482); +#120484=ORIENTED_EDGE('',*,*,#58532,.T.); +#120486=ORIENTED_EDGE('',*,*,#120485,.F.); +#120488=ORIENTED_EDGE('',*,*,#120487,.T.); +#120490=ORIENTED_EDGE('',*,*,#120489,.T.); +#120491=EDGE_LOOP('',(#120484,#120486,#120488,#120490)); +#120492=FACE_OUTER_BOUND('',#120491,.F.); +#120494=CARTESIAN_POINT('',(5.175E1,3.815E0,-2.7725E1)); +#120495=DIRECTION('',(0.E0,0.E0,1.E0)); +#120496=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120497=AXIS2_PLACEMENT_3D('',#120494,#120495,#120496); +#120498=PLANE('',#120497); +#120499=ORIENTED_EDGE('',*,*,#120432,.F.); +#120500=ORIENTED_EDGE('',*,*,#120461,.F.); +#120501=ORIENTED_EDGE('',*,*,#120472,.T.); +#120502=ORIENTED_EDGE('',*,*,#58540,.T.); +#120503=EDGE_LOOP('',(#120499,#120500,#120501,#120502)); +#120504=FACE_OUTER_BOUND('',#120503,.F.); +#120506=CARTESIAN_POINT('',(5.175E1,3.815E0,-2.7725E1)); +#120507=DIRECTION('',(0.E0,0.E0,1.E0)); +#120508=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120509=AXIS2_PLACEMENT_3D('',#120506,#120507,#120508); +#120510=PLANE('',#120509); +#120512=ORIENTED_EDGE('',*,*,#120511,.F.); +#120513=ORIENTED_EDGE('',*,*,#59600,.F.); +#120514=ORIENTED_EDGE('',*,*,#120372,.F.); +#120515=ORIENTED_EDGE('',*,*,#120335,.F.); +#120517=ORIENTED_EDGE('',*,*,#120516,.T.); +#120519=ORIENTED_EDGE('',*,*,#120518,.T.); +#120520=EDGE_LOOP('',(#120512,#120513,#120514,#120515,#120517,#120519)); +#120521=FACE_OUTER_BOUND('',#120520,.F.); +#120523=CARTESIAN_POINT('',(5.25E1,-1.12E1,-3.0895E1)); +#120524=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#120525=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#120526=AXIS2_PLACEMENT_3D('',#120523,#120524,#120525); +#120527=PLANE('',#120526); +#120528=ORIENTED_EDGE('',*,*,#59602,.T.); +#120529=ORIENTED_EDGE('',*,*,#120511,.T.); +#120531=ORIENTED_EDGE('',*,*,#120530,.F.); +#120532=ORIENTED_EDGE('',*,*,#120411,.F.); +#120533=EDGE_LOOP('',(#120528,#120529,#120531,#120532)); +#120534=FACE_OUTER_BOUND('',#120533,.F.); +#120536=CARTESIAN_POINT('',(5.25E1,-1.12E1,-3.0895E1)); +#120537=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#120538=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#120539=AXIS2_PLACEMENT_3D('',#120536,#120537,#120538); +#120540=PLANE('',#120539); +#120541=ORIENTED_EDGE('',*,*,#59592,.T.); +#120543=ORIENTED_EDGE('',*,*,#120542,.T.); +#120545=ORIENTED_EDGE('',*,*,#120544,.F.); +#120547=ORIENTED_EDGE('',*,*,#120546,.F.); +#120548=EDGE_LOOP('',(#120541,#120543,#120545,#120547)); +#120549=FACE_OUTER_BOUND('',#120548,.F.); +#120551=CARTESIAN_POINT('',(5.265E1,1.033E1,0.E0)); +#120552=DIRECTION('',(1.E0,0.E0,0.E0)); +#120553=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120554=AXIS2_PLACEMENT_3D('',#120551,#120552,#120553); +#120555=PLANE('',#120554); +#120556=ORIENTED_EDGE('',*,*,#120530,.T.); +#120557=ORIENTED_EDGE('',*,*,#120518,.F.); +#120559=ORIENTED_EDGE('',*,*,#120558,.F.); +#120561=ORIENTED_EDGE('',*,*,#120560,.F.); +#120562=ORIENTED_EDGE('',*,*,#103605,.T.); +#120564=ORIENTED_EDGE('',*,*,#120563,.F.); +#120566=ORIENTED_EDGE('',*,*,#120565,.F.); +#120567=ORIENTED_EDGE('',*,*,#120413,.F.); +#120568=EDGE_LOOP('',(#120556,#120557,#120559,#120561,#120562,#120564,#120566, +#120567)); +#120569=FACE_OUTER_BOUND('',#120568,.F.); +#120571=CARTESIAN_POINT('',(5.265E1,1.033E1,0.E0)); +#120572=DIRECTION('',(1.E0,0.E0,0.E0)); +#120573=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120574=AXIS2_PLACEMENT_3D('',#120571,#120572,#120573); +#120575=PLANE('',#120574); +#120576=ORIENTED_EDGE('',*,*,#120544,.T.); +#120578=ORIENTED_EDGE('',*,*,#120577,.F.); +#120580=ORIENTED_EDGE('',*,*,#120579,.F.); +#120582=ORIENTED_EDGE('',*,*,#120581,.F.); +#120583=ORIENTED_EDGE('',*,*,#60426,.T.); +#120585=ORIENTED_EDGE('',*,*,#120584,.F.); +#120587=ORIENTED_EDGE('',*,*,#120586,.F.); +#120589=ORIENTED_EDGE('',*,*,#120588,.F.); +#120590=EDGE_LOOP('',(#120576,#120578,#120580,#120582,#120583,#120585,#120587, +#120589)); +#120591=FACE_OUTER_BOUND('',#120590,.F.); +#120593=CARTESIAN_POINT('',(5.175E1,3.815E0,-2.6725E1)); +#120594=DIRECTION('',(1.E0,0.E0,0.E0)); +#120595=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120596=AXIS2_PLACEMENT_3D('',#120593,#120594,#120595); +#120597=CYLINDRICAL_SURFACE('',#120596,1.E0); +#120598=ORIENTED_EDGE('',*,*,#120333,.F.); +#120600=ORIENTED_EDGE('',*,*,#120599,.T.); +#120601=ORIENTED_EDGE('',*,*,#120558,.T.); +#120602=ORIENTED_EDGE('',*,*,#120516,.F.); +#120603=EDGE_LOOP('',(#120598,#120600,#120601,#120602)); +#120604=FACE_OUTER_BOUND('',#120603,.F.); +#120606=CARTESIAN_POINT('',(5.175E1,4.815E0,-2.36E1)); +#120607=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120608=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120609=AXIS2_PLACEMENT_3D('',#120606,#120607,#120608); +#120610=PLANE('',#120609); +#120611=ORIENTED_EDGE('',*,*,#120331,.F.); +#120612=ORIENTED_EDGE('',*,*,#103607,.T.); +#120613=ORIENTED_EDGE('',*,*,#120560,.T.); +#120614=ORIENTED_EDGE('',*,*,#120599,.F.); +#120615=EDGE_LOOP('',(#120611,#120612,#120613,#120614)); +#120616=FACE_OUTER_BOUND('',#120615,.F.); +#120618=CARTESIAN_POINT('',(5.175E1,5.445E0,-2.6725E1)); +#120619=DIRECTION('',(0.E0,1.E0,0.E0)); +#120620=DIRECTION('',(0.E0,0.E0,1.E0)); +#120621=AXIS2_PLACEMENT_3D('',#120618,#120619,#120620); +#120622=PLANE('',#120621); +#120623=ORIENTED_EDGE('',*,*,#120328,.F.); +#120625=ORIENTED_EDGE('',*,*,#120624,.T.); +#120626=ORIENTED_EDGE('',*,*,#120563,.T.); +#120627=ORIENTED_EDGE('',*,*,#103603,.F.); +#120628=EDGE_LOOP('',(#120623,#120625,#120626,#120627)); +#120629=FACE_OUTER_BOUND('',#120628,.F.); +#120631=CARTESIAN_POINT('',(5.175E1,3.815E0,-2.6725E1)); +#120632=DIRECTION('',(1.E0,0.E0,0.E0)); +#120633=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120634=AXIS2_PLACEMENT_3D('',#120631,#120632,#120633); +#120635=CYLINDRICAL_SURFACE('',#120634,1.63E0); +#120636=ORIENTED_EDGE('',*,*,#120326,.F.); +#120637=ORIENTED_EDGE('',*,*,#120415,.T.); +#120638=ORIENTED_EDGE('',*,*,#120565,.T.); +#120639=ORIENTED_EDGE('',*,*,#120624,.F.); +#120640=EDGE_LOOP('',(#120636,#120637,#120638,#120639)); +#120641=FACE_OUTER_BOUND('',#120640,.F.); +#120643=CARTESIAN_POINT('',(5.175E1,-3.285E0,-2.5185E1)); +#120644=DIRECTION('',(0.E0,0.E0,1.E0)); +#120645=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120646=AXIS2_PLACEMENT_3D('',#120643,#120644,#120645); +#120647=PLANE('',#120646); +#120648=ORIENTED_EDGE('',*,*,#120447,.F.); +#120650=ORIENTED_EDGE('',*,*,#120649,.F.); +#120651=ORIENTED_EDGE('',*,*,#120485,.T.); +#120652=ORIENTED_EDGE('',*,*,#58530,.T.); +#120653=EDGE_LOOP('',(#120648,#120650,#120651,#120652)); +#120654=FACE_OUTER_BOUND('',#120653,.F.); +#120656=CARTESIAN_POINT('',(5.175E1,-3.285E0,-2.5185E1)); +#120657=DIRECTION('',(0.E0,0.E0,1.E0)); +#120658=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120659=AXIS2_PLACEMENT_3D('',#120656,#120657,#120658); +#120660=PLANE('',#120659); +#120661=ORIENTED_EDGE('',*,*,#120542,.F.); +#120662=ORIENTED_EDGE('',*,*,#59590,.F.); +#120663=ORIENTED_EDGE('',*,*,#120386,.F.); +#120664=ORIENTED_EDGE('',*,*,#120358,.F.); +#120666=ORIENTED_EDGE('',*,*,#120665,.T.); +#120667=ORIENTED_EDGE('',*,*,#120577,.T.); +#120668=EDGE_LOOP('',(#120661,#120662,#120663,#120664,#120666,#120667)); +#120669=FACE_OUTER_BOUND('',#120668,.F.); +#120671=CARTESIAN_POINT('',(5.175E1,-1.72E1,-2.5185E1)); +#120672=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120673=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120674=AXIS2_PLACEMENT_3D('',#120671,#120672,#120673); +#120675=PLANE('',#120674); +#120676=ORIENTED_EDGE('',*,*,#120445,.T.); +#120678=ORIENTED_EDGE('',*,*,#120677,.F.); +#120679=ORIENTED_EDGE('',*,*,#120487,.F.); +#120680=ORIENTED_EDGE('',*,*,#120649,.T.); +#120681=EDGE_LOOP('',(#120676,#120678,#120679,#120680)); +#120682=FACE_OUTER_BOUND('',#120681,.F.); +#120684=CARTESIAN_POINT('',(5.175E1,-1.72E1,-2.5815E1)); +#120685=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120686=DIRECTION('',(0.E0,1.E0,0.E0)); +#120687=AXIS2_PLACEMENT_3D('',#120684,#120685,#120686); +#120688=PLANE('',#120687); +#120689=ORIENTED_EDGE('',*,*,#120443,.T.); +#120690=ORIENTED_EDGE('',*,*,#58526,.F.); +#120691=ORIENTED_EDGE('',*,*,#120489,.F.); +#120692=ORIENTED_EDGE('',*,*,#120677,.T.); +#120693=EDGE_LOOP('',(#120689,#120690,#120691,#120692)); +#120694=FACE_OUTER_BOUND('',#120693,.F.); +#120696=CARTESIAN_POINT('',(5.175E1,-1.72E1,-2.5815E1)); +#120697=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120698=DIRECTION('',(0.E0,1.E0,0.E0)); +#120699=AXIS2_PLACEMENT_3D('',#120696,#120697,#120698); +#120700=PLANE('',#120699); +#120701=ORIENTED_EDGE('',*,*,#120546,.T.); +#120702=ORIENTED_EDGE('',*,*,#120588,.T.); +#120704=ORIENTED_EDGE('',*,*,#120703,.F.); +#120705=ORIENTED_EDGE('',*,*,#120347,.F.); +#120706=ORIENTED_EDGE('',*,*,#120383,.T.); +#120707=ORIENTED_EDGE('',*,*,#59594,.T.); +#120708=EDGE_LOOP('',(#120701,#120702,#120704,#120705,#120706,#120707)); +#120709=FACE_OUTER_BOUND('',#120708,.F.); +#120711=CARTESIAN_POINT('',(5.175E1,-3.285E0,-2.4685E1)); +#120712=DIRECTION('',(1.E0,0.E0,0.E0)); +#120713=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120714=AXIS2_PLACEMENT_3D('',#120711,#120712,#120713); +#120715=CYLINDRICAL_SURFACE('',#120714,1.13E0); +#120716=ORIENTED_EDGE('',*,*,#120349,.F.); +#120717=ORIENTED_EDGE('',*,*,#120703,.T.); +#120718=ORIENTED_EDGE('',*,*,#120586,.T.); +#120720=ORIENTED_EDGE('',*,*,#120719,.F.); +#120721=EDGE_LOOP('',(#120716,#120717,#120718,#120720)); +#120722=FACE_OUTER_BOUND('',#120721,.F.); +#120724=CARTESIAN_POINT('',(5.175E1,-2.155E0,-2.4685E1)); +#120725=DIRECTION('',(0.E0,1.E0,0.E0)); +#120726=DIRECTION('',(0.E0,0.E0,1.E0)); +#120727=AXIS2_PLACEMENT_3D('',#120724,#120725,#120726); +#120728=PLANE('',#120727); +#120729=ORIENTED_EDGE('',*,*,#120351,.F.); +#120730=ORIENTED_EDGE('',*,*,#120719,.T.); +#120731=ORIENTED_EDGE('',*,*,#120584,.T.); +#120732=ORIENTED_EDGE('',*,*,#60424,.F.); +#120733=EDGE_LOOP('',(#120729,#120730,#120731,#120732)); +#120734=FACE_OUTER_BOUND('',#120733,.F.); +#120736=CARTESIAN_POINT('',(5.175E1,-3.285E0,-2.4685E1)); +#120737=DIRECTION('',(1.E0,0.E0,0.E0)); +#120738=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120739=AXIS2_PLACEMENT_3D('',#120736,#120737,#120738); +#120740=CYLINDRICAL_SURFACE('',#120739,5.E-1); +#120741=ORIENTED_EDGE('',*,*,#120356,.F.); +#120743=ORIENTED_EDGE('',*,*,#120742,.T.); +#120744=ORIENTED_EDGE('',*,*,#120579,.T.); +#120745=ORIENTED_EDGE('',*,*,#120665,.F.); +#120746=EDGE_LOOP('',(#120741,#120743,#120744,#120745)); +#120747=FACE_OUTER_BOUND('',#120746,.F.); +#120749=CARTESIAN_POINT('',(5.175E1,-2.785E0,-2.36E1)); +#120750=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120751=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120752=AXIS2_PLACEMENT_3D('',#120749,#120750,#120751); +#120753=PLANE('',#120752); +#120754=ORIENTED_EDGE('',*,*,#120354,.F.); +#120755=ORIENTED_EDGE('',*,*,#60428,.T.); +#120756=ORIENTED_EDGE('',*,*,#120581,.T.); +#120757=ORIENTED_EDGE('',*,*,#120742,.F.); +#120758=EDGE_LOOP('',(#120754,#120755,#120756,#120757)); +#120759=FACE_OUTER_BOUND('',#120758,.F.); +#120761=CARTESIAN_POINT('',(1.595E1,0.E0,0.E0)); +#120762=DIRECTION('',(1.E0,0.E0,0.E0)); +#120763=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120764=AXIS2_PLACEMENT_3D('',#120761,#120762,#120763); +#120765=PLANE('',#120764); +#120766=ORIENTED_EDGE('',*,*,#60469,.F.); +#120767=ORIENTED_EDGE('',*,*,#59950,.T.); +#120769=ORIENTED_EDGE('',*,*,#120768,.T.); +#120770=EDGE_LOOP('',(#120766,#120767,#120769)); +#120771=FACE_OUTER_BOUND('',#120770,.F.); +#120773=CARTESIAN_POINT('',(1.595E1,1.4E0,-2.36E1)); +#120774=DIRECTION('',(0.E0,-9.199705614130E-1,-3.919874566021E-1)); +#120775=DIRECTION('',(0.E0,3.919874566021E-1,-9.199705614130E-1)); +#120776=AXIS2_PLACEMENT_3D('',#120773,#120774,#120775); +#120777=PLANE('',#120776); +#120778=ORIENTED_EDGE('',*,*,#60471,.F.); +#120779=ORIENTED_EDGE('',*,*,#120768,.F.); +#120780=ORIENTED_EDGE('',*,*,#59948,.T.); +#120782=ORIENTED_EDGE('',*,*,#120781,.T.); +#120783=EDGE_LOOP('',(#120778,#120779,#120780,#120782)); +#120784=FACE_OUTER_BOUND('',#120783,.F.); +#120786=CARTESIAN_POINT('',(1.695E1,0.E0,0.E0)); +#120787=DIRECTION('',(1.E0,0.E0,0.E0)); +#120788=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120789=AXIS2_PLACEMENT_3D('',#120786,#120787,#120788); +#120790=PLANE('',#120789); +#120791=ORIENTED_EDGE('',*,*,#60473,.T.); +#120792=ORIENTED_EDGE('',*,*,#120781,.F.); +#120793=ORIENTED_EDGE('',*,*,#59946,.F.); +#120794=EDGE_LOOP('',(#120791,#120792,#120793)); +#120795=FACE_OUTER_BOUND('',#120794,.F.); +#120797=CARTESIAN_POINT('',(-4.895E1,-1.37E0,0.E0)); +#120798=DIRECTION('',(-1.E0,0.E0,0.E0)); +#120799=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120800=AXIS2_PLACEMENT_3D('',#120797,#120798,#120799); +#120801=PLANE('',#120800); +#120803=ORIENTED_EDGE('',*,*,#120802,.F.); +#120805=ORIENTED_EDGE('',*,*,#120804,.T.); +#120807=ORIENTED_EDGE('',*,*,#120806,.T.); +#120809=ORIENTED_EDGE('',*,*,#120808,.F.); +#120811=ORIENTED_EDGE('',*,*,#120810,.F.); +#120812=ORIENTED_EDGE('',*,*,#60012,.F.); +#120814=ORIENTED_EDGE('',*,*,#120813,.F.); +#120816=ORIENTED_EDGE('',*,*,#120815,.F.); +#120817=EDGE_LOOP('',(#120803,#120805,#120807,#120809,#120811,#120812,#120814, +#120816)); +#120818=FACE_OUTER_BOUND('',#120817,.F.); +#120820=CARTESIAN_POINT('',(-4.895E1,-2.6775E0,-3.33075E1)); +#120821=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120822=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120823=AXIS2_PLACEMENT_3D('',#120820,#120821,#120822); +#120824=PLANE('',#120823); +#120826=ORIENTED_EDGE('',*,*,#120825,.T.); +#120827=ORIENTED_EDGE('',*,*,#57746,.T.); +#120829=ORIENTED_EDGE('',*,*,#120828,.F.); +#120831=ORIENTED_EDGE('',*,*,#120830,.T.); +#120832=EDGE_LOOP('',(#120826,#120827,#120829,#120831)); +#120833=FACE_OUTER_BOUND('',#120832,.F.); +#120835=CARTESIAN_POINT('',(-4.895E1,-2.6775E0,-3.33075E1)); +#120836=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120837=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120838=AXIS2_PLACEMENT_3D('',#120835,#120836,#120837); +#120839=PLANE('',#120838); +#120840=ORIENTED_EDGE('',*,*,#58814,.F.); +#120842=ORIENTED_EDGE('',*,*,#120841,.T.); +#120843=ORIENTED_EDGE('',*,*,#120802,.T.); +#120845=ORIENTED_EDGE('',*,*,#120844,.F.); +#120847=ORIENTED_EDGE('',*,*,#120846,.T.); +#120849=ORIENTED_EDGE('',*,*,#120848,.T.); +#120850=EDGE_LOOP('',(#120840,#120842,#120843,#120845,#120847,#120849)); +#120851=FACE_OUTER_BOUND('',#120850,.F.); +#120853=CARTESIAN_POINT('',(-4.92E1,-1.72E1,-3.33075E1)); +#120854=DIRECTION('',(-1.E0,0.E0,0.E0)); +#120855=DIRECTION('',(0.E0,1.E0,0.E0)); +#120856=AXIS2_PLACEMENT_3D('',#120853,#120854,#120855); +#120857=PLANE('',#120856); +#120858=ORIENTED_EDGE('',*,*,#120825,.F.); +#120860=ORIENTED_EDGE('',*,*,#120859,.T.); +#120862=ORIENTED_EDGE('',*,*,#120861,.T.); +#120863=ORIENTED_EDGE('',*,*,#57748,.F.); +#120864=EDGE_LOOP('',(#120858,#120860,#120862,#120863)); +#120865=FACE_OUTER_BOUND('',#120864,.F.); +#120867=CARTESIAN_POINT('',(-4.895E1,-1.72E1,-3.33075E1)); +#120868=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120869=DIRECTION('',(0.E0,0.E0,1.E0)); +#120870=AXIS2_PLACEMENT_3D('',#120867,#120868,#120869); +#120871=PLANE('',#120870); +#120872=ORIENTED_EDGE('',*,*,#120859,.F.); +#120873=ORIENTED_EDGE('',*,*,#120830,.F.); +#120875=ORIENTED_EDGE('',*,*,#120874,.T.); +#120877=ORIENTED_EDGE('',*,*,#120876,.T.); +#120878=EDGE_LOOP('',(#120872,#120873,#120875,#120877)); +#120879=FACE_OUTER_BOUND('',#120878,.F.); +#120881=CARTESIAN_POINT('',(-5.E1,-1.35E1,-3.33075E1)); +#120882=DIRECTION('',(1.E0,0.E0,0.E0)); +#120883=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120884=AXIS2_PLACEMENT_3D('',#120881,#120882,#120883); +#120885=PLANE('',#120884); +#120886=ORIENTED_EDGE('',*,*,#120828,.T.); +#120887=ORIENTED_EDGE('',*,*,#57752,.T.); +#120889=ORIENTED_EDGE('',*,*,#120888,.F.); +#120890=ORIENTED_EDGE('',*,*,#120874,.F.); +#120891=EDGE_LOOP('',(#120886,#120887,#120889,#120890)); +#120892=FACE_OUTER_BOUND('',#120891,.F.); +#120894=CARTESIAN_POINT('',(-4.895E1,-1.72E1,-3.26925E1)); +#120895=DIRECTION('',(0.E0,0.E0,1.E0)); +#120896=DIRECTION('',(0.E0,1.E0,0.E0)); +#120897=AXIS2_PLACEMENT_3D('',#120894,#120895,#120896); +#120898=PLANE('',#120897); +#120899=ORIENTED_EDGE('',*,*,#120861,.F.); +#120900=ORIENTED_EDGE('',*,*,#120876,.F.); +#120901=ORIENTED_EDGE('',*,*,#120888,.T.); +#120902=ORIENTED_EDGE('',*,*,#57750,.F.); +#120903=EDGE_LOOP('',(#120899,#120900,#120901,#120902)); +#120904=FACE_OUTER_BOUND('',#120903,.F.); +#120906=CARTESIAN_POINT('',(-4.895E1,-1.72E1,-3.26925E1)); +#120907=DIRECTION('',(0.E0,0.E0,1.E0)); +#120908=DIRECTION('',(0.E0,1.E0,0.E0)); +#120909=AXIS2_PLACEMENT_3D('',#120906,#120907,#120908); +#120910=PLANE('',#120909); +#120911=ORIENTED_EDGE('',*,*,#58810,.T.); +#120913=ORIENTED_EDGE('',*,*,#120912,.F.); +#120915=ORIENTED_EDGE('',*,*,#120914,.T.); +#120917=ORIENTED_EDGE('',*,*,#120916,.T.); +#120918=ORIENTED_EDGE('',*,*,#120806,.F.); +#120920=ORIENTED_EDGE('',*,*,#120919,.F.); +#120921=EDGE_LOOP('',(#120911,#120913,#120915,#120917,#120918,#120920)); +#120922=FACE_OUTER_BOUND('',#120921,.F.); +#120924=CARTESIAN_POINT('',(-5.025E1,-1.076698729811E1,-3.33075E1)); +#120925=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#120926=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#120927=AXIS2_PLACEMENT_3D('',#120924,#120925,#120926); +#120928=PLANE('',#120927); +#120930=ORIENTED_EDGE('',*,*,#120929,.T.); +#120931=ORIENTED_EDGE('',*,*,#120912,.T.); +#120932=ORIENTED_EDGE('',*,*,#58816,.F.); +#120933=ORIENTED_EDGE('',*,*,#120848,.F.); +#120934=EDGE_LOOP('',(#120930,#120931,#120932,#120933)); +#120935=FACE_OUTER_BOUND('',#120934,.F.); +#120937=CARTESIAN_POINT('',(-5.025E1,-1.37E0,0.E0)); +#120938=DIRECTION('',(-1.E0,0.E0,0.E0)); +#120939=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120940=AXIS2_PLACEMENT_3D('',#120937,#120938,#120939); +#120941=PLANE('',#120940); +#120942=ORIENTED_EDGE('',*,*,#120846,.F.); +#120944=ORIENTED_EDGE('',*,*,#120943,.F.); +#120946=ORIENTED_EDGE('',*,*,#120945,.F.); +#120947=ORIENTED_EDGE('',*,*,#60016,.F.); +#120949=ORIENTED_EDGE('',*,*,#120948,.F.); +#120951=ORIENTED_EDGE('',*,*,#120950,.F.); +#120952=ORIENTED_EDGE('',*,*,#120914,.F.); +#120953=ORIENTED_EDGE('',*,*,#120929,.F.); +#120954=EDGE_LOOP('',(#120942,#120944,#120946,#120947,#120949,#120951,#120952, +#120953)); +#120955=FACE_OUTER_BOUND('',#120954,.F.); +#120957=CARTESIAN_POINT('',(-4.895E1,-2.6775E0,-3.16925E1)); +#120958=DIRECTION('',(-1.E0,0.E0,0.E0)); +#120959=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120960=AXIS2_PLACEMENT_3D('',#120957,#120958,#120959); +#120961=CYLINDRICAL_SURFACE('',#120960,1.615E0); +#120962=ORIENTED_EDGE('',*,*,#120815,.T.); +#120964=ORIENTED_EDGE('',*,*,#120963,.F.); +#120965=ORIENTED_EDGE('',*,*,#120943,.T.); +#120966=ORIENTED_EDGE('',*,*,#120844,.T.); +#120967=EDGE_LOOP('',(#120962,#120964,#120965,#120966)); +#120968=FACE_OUTER_BOUND('',#120967,.F.); +#120970=CARTESIAN_POINT('',(-4.895E1,-1.0625E0,-2.36E1)); +#120971=DIRECTION('',(0.E0,1.E0,0.E0)); +#120972=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120973=AXIS2_PLACEMENT_3D('',#120970,#120971,#120972); +#120974=PLANE('',#120973); +#120975=ORIENTED_EDGE('',*,*,#60018,.T.); +#120976=ORIENTED_EDGE('',*,*,#120945,.T.); +#120977=ORIENTED_EDGE('',*,*,#120963,.T.); +#120978=ORIENTED_EDGE('',*,*,#120813,.T.); +#120979=EDGE_LOOP('',(#120975,#120976,#120977,#120978)); +#120980=FACE_OUTER_BOUND('',#120979,.F.); +#120982=CARTESIAN_POINT('',(-4.895E1,-1.6775E0,-3.16925E1)); +#120983=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120984=DIRECTION('',(0.E0,0.E0,1.E0)); +#120985=AXIS2_PLACEMENT_3D('',#120982,#120983,#120984); +#120986=PLANE('',#120985); +#120987=ORIENTED_EDGE('',*,*,#60014,.F.); +#120988=ORIENTED_EDGE('',*,*,#120810,.T.); +#120990=ORIENTED_EDGE('',*,*,#120989,.F.); +#120991=ORIENTED_EDGE('',*,*,#120948,.T.); +#120992=EDGE_LOOP('',(#120987,#120988,#120990,#120991)); +#120993=FACE_OUTER_BOUND('',#120992,.F.); +#120995=CARTESIAN_POINT('',(-4.895E1,-2.6775E0,-3.16925E1)); +#120996=DIRECTION('',(-1.E0,0.E0,0.E0)); +#120997=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120998=AXIS2_PLACEMENT_3D('',#120995,#120996,#120997); +#120999=CYLINDRICAL_SURFACE('',#120998,1.E0); +#121000=ORIENTED_EDGE('',*,*,#120808,.T.); +#121001=ORIENTED_EDGE('',*,*,#120916,.F.); +#121002=ORIENTED_EDGE('',*,*,#120950,.T.); +#121003=ORIENTED_EDGE('',*,*,#120989,.T.); +#121004=EDGE_LOOP('',(#121000,#121001,#121002,#121003)); +#121005=FACE_OUTER_BOUND('',#121004,.F.); +#121007=CARTESIAN_POINT('',(-4.92E1,-1.12E1,-3.33075E1)); +#121008=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#121009=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#121010=AXIS2_PLACEMENT_3D('',#121007,#121008,#121009); +#121011=PLANE('',#121010); +#121012=ORIENTED_EDGE('',*,*,#120804,.F.); +#121013=ORIENTED_EDGE('',*,*,#120841,.F.); +#121014=ORIENTED_EDGE('',*,*,#58812,.T.); +#121015=ORIENTED_EDGE('',*,*,#120919,.T.); +#121016=EDGE_LOOP('',(#121012,#121013,#121014,#121015)); +#121017=FACE_OUTER_BOUND('',#121016,.F.); +#121019=CARTESIAN_POINT('',(-5.545E1,-1.37E0,0.E0)); +#121020=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121021=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121022=AXIS2_PLACEMENT_3D('',#121019,#121020,#121021); +#121023=PLANE('',#121022); +#121025=ORIENTED_EDGE('',*,*,#121024,.F.); +#121027=ORIENTED_EDGE('',*,*,#121026,.T.); +#121029=ORIENTED_EDGE('',*,*,#121028,.T.); +#121031=ORIENTED_EDGE('',*,*,#121030,.F.); +#121033=ORIENTED_EDGE('',*,*,#121032,.F.); +#121034=ORIENTED_EDGE('',*,*,#60022,.F.); +#121036=ORIENTED_EDGE('',*,*,#121035,.F.); +#121038=ORIENTED_EDGE('',*,*,#121037,.F.); +#121039=EDGE_LOOP('',(#121025,#121027,#121029,#121031,#121033,#121034,#121036, +#121038)); +#121040=FACE_OUTER_BOUND('',#121039,.F.); +#121042=CARTESIAN_POINT('',(-5.545E1,-2.6775E0,-3.33075E1)); +#121043=DIRECTION('',(0.E0,0.E0,-1.E0)); +#121044=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121045=AXIS2_PLACEMENT_3D('',#121042,#121043,#121044); +#121046=PLANE('',#121045); +#121048=ORIENTED_EDGE('',*,*,#121047,.F.); +#121050=ORIENTED_EDGE('',*,*,#121049,.T.); +#121052=ORIENTED_EDGE('',*,*,#121051,.T.); +#121053=ORIENTED_EDGE('',*,*,#57756,.T.); +#121054=EDGE_LOOP('',(#121048,#121050,#121052,#121053)); +#121055=FACE_OUTER_BOUND('',#121054,.F.); +#121057=CARTESIAN_POINT('',(-5.545E1,-2.6775E0,-3.33075E1)); +#121058=DIRECTION('',(0.E0,0.E0,-1.E0)); +#121059=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121060=AXIS2_PLACEMENT_3D('',#121057,#121058,#121059); +#121061=PLANE('',#121060); +#121062=ORIENTED_EDGE('',*,*,#58824,.F.); +#121064=ORIENTED_EDGE('',*,*,#121063,.T.); +#121065=ORIENTED_EDGE('',*,*,#121024,.T.); +#121067=ORIENTED_EDGE('',*,*,#121066,.F.); +#121069=ORIENTED_EDGE('',*,*,#121068,.T.); +#121071=ORIENTED_EDGE('',*,*,#121070,.T.); +#121072=EDGE_LOOP('',(#121062,#121064,#121065,#121067,#121069,#121071)); +#121073=FACE_OUTER_BOUND('',#121072,.F.); +#121075=CARTESIAN_POINT('',(-5.65E1,-1.35E1,-3.33075E1)); +#121076=DIRECTION('',(1.E0,0.E0,0.E0)); +#121077=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121078=AXIS2_PLACEMENT_3D('',#121075,#121076,#121077); +#121079=PLANE('',#121078); +#121080=ORIENTED_EDGE('',*,*,#121047,.T.); +#121081=ORIENTED_EDGE('',*,*,#57762,.T.); +#121083=ORIENTED_EDGE('',*,*,#121082,.F.); +#121085=ORIENTED_EDGE('',*,*,#121084,.F.); +#121086=EDGE_LOOP('',(#121080,#121081,#121083,#121085)); +#121087=FACE_OUTER_BOUND('',#121086,.F.); +#121089=CARTESIAN_POINT('',(-5.545E1,-1.72E1,-3.26925E1)); +#121090=DIRECTION('',(0.E0,0.E0,1.E0)); +#121091=DIRECTION('',(0.E0,1.E0,0.E0)); +#121092=AXIS2_PLACEMENT_3D('',#121089,#121090,#121091); +#121093=PLANE('',#121092); +#121094=ORIENTED_EDGE('',*,*,#121082,.T.); +#121095=ORIENTED_EDGE('',*,*,#57760,.F.); +#121097=ORIENTED_EDGE('',*,*,#121096,.F.); +#121099=ORIENTED_EDGE('',*,*,#121098,.F.); +#121100=EDGE_LOOP('',(#121094,#121095,#121097,#121099)); +#121101=FACE_OUTER_BOUND('',#121100,.F.); +#121103=CARTESIAN_POINT('',(-5.545E1,-1.72E1,-3.26925E1)); +#121104=DIRECTION('',(0.E0,0.E0,1.E0)); +#121105=DIRECTION('',(0.E0,1.E0,0.E0)); +#121106=AXIS2_PLACEMENT_3D('',#121103,#121104,#121105); +#121107=PLANE('',#121106); +#121108=ORIENTED_EDGE('',*,*,#58820,.T.); +#121110=ORIENTED_EDGE('',*,*,#121109,.F.); +#121112=ORIENTED_EDGE('',*,*,#121111,.T.); +#121114=ORIENTED_EDGE('',*,*,#121113,.T.); +#121115=ORIENTED_EDGE('',*,*,#121028,.F.); +#121117=ORIENTED_EDGE('',*,*,#121116,.F.); +#121118=EDGE_LOOP('',(#121108,#121110,#121112,#121114,#121115,#121117)); +#121119=FACE_OUTER_BOUND('',#121118,.F.); +#121121=CARTESIAN_POINT('',(-5.57E1,-1.72E1,-3.33075E1)); +#121122=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121123=DIRECTION('',(0.E0,1.E0,0.E0)); +#121124=AXIS2_PLACEMENT_3D('',#121121,#121122,#121123); +#121125=PLANE('',#121124); +#121126=ORIENTED_EDGE('',*,*,#121051,.F.); +#121128=ORIENTED_EDGE('',*,*,#121127,.T.); +#121129=ORIENTED_EDGE('',*,*,#121096,.T.); +#121130=ORIENTED_EDGE('',*,*,#57758,.F.); +#121131=EDGE_LOOP('',(#121126,#121128,#121129,#121130)); +#121132=FACE_OUTER_BOUND('',#121131,.F.); +#121134=CARTESIAN_POINT('',(-5.545E1,-1.72E1,-3.33075E1)); +#121135=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121136=DIRECTION('',(0.E0,0.E0,1.E0)); +#121137=AXIS2_PLACEMENT_3D('',#121134,#121135,#121136); +#121138=PLANE('',#121137); +#121139=ORIENTED_EDGE('',*,*,#121084,.T.); +#121140=ORIENTED_EDGE('',*,*,#121098,.T.); +#121141=ORIENTED_EDGE('',*,*,#121127,.F.); +#121142=ORIENTED_EDGE('',*,*,#121049,.F.); +#121143=EDGE_LOOP('',(#121139,#121140,#121141,#121142)); +#121144=FACE_OUTER_BOUND('',#121143,.F.); +#121146=CARTESIAN_POINT('',(-5.675E1,-1.076698729811E1,-3.33075E1)); +#121147=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#121148=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#121149=AXIS2_PLACEMENT_3D('',#121146,#121147,#121148); +#121150=PLANE('',#121149); +#121152=ORIENTED_EDGE('',*,*,#121151,.T.); +#121153=ORIENTED_EDGE('',*,*,#121109,.T.); +#121154=ORIENTED_EDGE('',*,*,#58826,.F.); +#121155=ORIENTED_EDGE('',*,*,#121070,.F.); +#121156=EDGE_LOOP('',(#121152,#121153,#121154,#121155)); +#121157=FACE_OUTER_BOUND('',#121156,.F.); +#121159=CARTESIAN_POINT('',(-5.675E1,-1.37E0,0.E0)); +#121160=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121161=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121162=AXIS2_PLACEMENT_3D('',#121159,#121160,#121161); +#121163=PLANE('',#121162); +#121164=ORIENTED_EDGE('',*,*,#121068,.F.); +#121166=ORIENTED_EDGE('',*,*,#121165,.F.); +#121168=ORIENTED_EDGE('',*,*,#121167,.F.); +#121169=ORIENTED_EDGE('',*,*,#60026,.F.); +#121171=ORIENTED_EDGE('',*,*,#121170,.F.); +#121173=ORIENTED_EDGE('',*,*,#121172,.F.); +#121174=ORIENTED_EDGE('',*,*,#121111,.F.); +#121175=ORIENTED_EDGE('',*,*,#121151,.F.); +#121176=EDGE_LOOP('',(#121164,#121166,#121168,#121169,#121171,#121173,#121174, +#121175)); +#121177=FACE_OUTER_BOUND('',#121176,.F.); +#121179=CARTESIAN_POINT('',(-5.545E1,-2.6775E0,-3.16925E1)); +#121180=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121181=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121182=AXIS2_PLACEMENT_3D('',#121179,#121180,#121181); +#121183=CYLINDRICAL_SURFACE('',#121182,1.615E0); +#121184=ORIENTED_EDGE('',*,*,#121037,.T.); +#121186=ORIENTED_EDGE('',*,*,#121185,.F.); +#121187=ORIENTED_EDGE('',*,*,#121165,.T.); +#121188=ORIENTED_EDGE('',*,*,#121066,.T.); +#121189=EDGE_LOOP('',(#121184,#121186,#121187,#121188)); +#121190=FACE_OUTER_BOUND('',#121189,.F.); +#121192=CARTESIAN_POINT('',(-5.545E1,-1.0625E0,-2.36E1)); +#121193=DIRECTION('',(0.E0,1.E0,0.E0)); +#121194=DIRECTION('',(0.E0,0.E0,-1.E0)); +#121195=AXIS2_PLACEMENT_3D('',#121192,#121193,#121194); +#121196=PLANE('',#121195); +#121197=ORIENTED_EDGE('',*,*,#60028,.T.); +#121198=ORIENTED_EDGE('',*,*,#121167,.T.); +#121199=ORIENTED_EDGE('',*,*,#121185,.T.); +#121200=ORIENTED_EDGE('',*,*,#121035,.T.); +#121201=EDGE_LOOP('',(#121197,#121198,#121199,#121200)); +#121202=FACE_OUTER_BOUND('',#121201,.F.); +#121204=CARTESIAN_POINT('',(-5.545E1,-1.6775E0,-3.16925E1)); +#121205=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121206=DIRECTION('',(0.E0,0.E0,1.E0)); +#121207=AXIS2_PLACEMENT_3D('',#121204,#121205,#121206); +#121208=PLANE('',#121207); +#121209=ORIENTED_EDGE('',*,*,#60024,.F.); +#121210=ORIENTED_EDGE('',*,*,#121032,.T.); +#121212=ORIENTED_EDGE('',*,*,#121211,.F.); +#121213=ORIENTED_EDGE('',*,*,#121170,.T.); +#121214=EDGE_LOOP('',(#121209,#121210,#121212,#121213)); +#121215=FACE_OUTER_BOUND('',#121214,.F.); +#121217=CARTESIAN_POINT('',(-5.545E1,-2.6775E0,-3.16925E1)); +#121218=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121219=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121220=AXIS2_PLACEMENT_3D('',#121217,#121218,#121219); +#121221=CYLINDRICAL_SURFACE('',#121220,1.E0); +#121222=ORIENTED_EDGE('',*,*,#121030,.T.); +#121223=ORIENTED_EDGE('',*,*,#121113,.F.); +#121224=ORIENTED_EDGE('',*,*,#121172,.T.); +#121225=ORIENTED_EDGE('',*,*,#121211,.T.); +#121226=EDGE_LOOP('',(#121222,#121223,#121224,#121225)); +#121227=FACE_OUTER_BOUND('',#121226,.F.); +#121229=CARTESIAN_POINT('',(-5.57E1,-1.12E1,-3.33075E1)); +#121230=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#121231=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#121232=AXIS2_PLACEMENT_3D('',#121229,#121230,#121231); +#121233=PLANE('',#121232); +#121234=ORIENTED_EDGE('',*,*,#121026,.F.); +#121235=ORIENTED_EDGE('',*,*,#121063,.F.); +#121236=ORIENTED_EDGE('',*,*,#58822,.T.); +#121237=ORIENTED_EDGE('',*,*,#121116,.T.); +#121238=EDGE_LOOP('',(#121234,#121235,#121236,#121237)); +#121239=FACE_OUTER_BOUND('',#121238,.F.); +#121241=CARTESIAN_POINT('',(-4.57E1,4.63E0,0.E0)); +#121242=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121243=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121244=AXIS2_PLACEMENT_3D('',#121241,#121242,#121243); +#121245=PLANE('',#121244); +#121247=ORIENTED_EDGE('',*,*,#121246,.T.); +#121249=ORIENTED_EDGE('',*,*,#121248,.T.); +#121251=ORIENTED_EDGE('',*,*,#121250,.F.); +#121253=ORIENTED_EDGE('',*,*,#121252,.F.); +#121254=ORIENTED_EDGE('',*,*,#60032,.F.); +#121256=ORIENTED_EDGE('',*,*,#121255,.F.); +#121258=ORIENTED_EDGE('',*,*,#121257,.F.); +#121260=ORIENTED_EDGE('',*,*,#121259,.F.); +#121261=EDGE_LOOP('',(#121247,#121249,#121251,#121253,#121254,#121256,#121258, +#121260)); +#121262=FACE_OUTER_BOUND('',#121261,.F.); +#121264=CARTESIAN_POINT('',(-4.595E1,-1.12E1,-2.58075E1)); +#121265=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#121266=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#121267=AXIS2_PLACEMENT_3D('',#121264,#121265,#121266); +#121268=PLANE('',#121267); +#121269=ORIENTED_EDGE('',*,*,#121246,.F.); +#121271=ORIENTED_EDGE('',*,*,#121270,.F.); +#121272=ORIENTED_EDGE('',*,*,#58832,.T.); +#121274=ORIENTED_EDGE('',*,*,#121273,.T.); +#121275=EDGE_LOOP('',(#121269,#121271,#121272,#121274)); +#121276=FACE_OUTER_BOUND('',#121275,.F.); +#121278=CARTESIAN_POINT('',(-4.57E1,3.5225E0,-2.58075E1)); +#121279=DIRECTION('',(0.E0,0.E0,-1.E0)); +#121280=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121281=AXIS2_PLACEMENT_3D('',#121278,#121279,#121280); +#121282=PLANE('',#121281); +#121284=ORIENTED_EDGE('',*,*,#121283,.T.); +#121285=ORIENTED_EDGE('',*,*,#57766,.T.); +#121287=ORIENTED_EDGE('',*,*,#121286,.F.); +#121289=ORIENTED_EDGE('',*,*,#121288,.T.); +#121290=EDGE_LOOP('',(#121284,#121285,#121287,#121289)); +#121291=FACE_OUTER_BOUND('',#121290,.F.); +#121293=CARTESIAN_POINT('',(-4.57E1,3.5225E0,-2.58075E1)); +#121294=DIRECTION('',(0.E0,0.E0,-1.E0)); +#121295=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121296=AXIS2_PLACEMENT_3D('',#121293,#121294,#121295); +#121297=PLANE('',#121296); +#121298=ORIENTED_EDGE('',*,*,#121270,.T.); +#121299=ORIENTED_EDGE('',*,*,#121259,.T.); +#121301=ORIENTED_EDGE('',*,*,#121300,.F.); +#121303=ORIENTED_EDGE('',*,*,#121302,.T.); +#121305=ORIENTED_EDGE('',*,*,#121304,.T.); +#121306=ORIENTED_EDGE('',*,*,#58834,.F.); +#121307=EDGE_LOOP('',(#121298,#121299,#121301,#121303,#121305,#121306)); +#121308=FACE_OUTER_BOUND('',#121307,.F.); +#121310=CARTESIAN_POINT('',(-4.595E1,-1.72E1,-2.58075E1)); +#121311=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121312=DIRECTION('',(0.E0,1.E0,0.E0)); +#121313=AXIS2_PLACEMENT_3D('',#121310,#121311,#121312); +#121314=PLANE('',#121313); +#121315=ORIENTED_EDGE('',*,*,#121283,.F.); +#121317=ORIENTED_EDGE('',*,*,#121316,.T.); +#121319=ORIENTED_EDGE('',*,*,#121318,.T.); +#121320=ORIENTED_EDGE('',*,*,#57768,.F.); +#121321=EDGE_LOOP('',(#121315,#121317,#121319,#121320)); +#121322=FACE_OUTER_BOUND('',#121321,.F.); +#121324=CARTESIAN_POINT('',(-4.57E1,-1.72E1,-2.58075E1)); +#121325=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121326=DIRECTION('',(0.E0,0.E0,1.E0)); +#121327=AXIS2_PLACEMENT_3D('',#121324,#121325,#121326); +#121328=PLANE('',#121327); +#121329=ORIENTED_EDGE('',*,*,#121316,.F.); +#121330=ORIENTED_EDGE('',*,*,#121288,.F.); +#121332=ORIENTED_EDGE('',*,*,#121331,.T.); +#121334=ORIENTED_EDGE('',*,*,#121333,.T.); +#121335=EDGE_LOOP('',(#121329,#121330,#121332,#121334)); +#121336=FACE_OUTER_BOUND('',#121335,.F.); +#121338=CARTESIAN_POINT('',(-4.675E1,-1.35E1,-2.58075E1)); +#121339=DIRECTION('',(1.E0,0.E0,0.E0)); +#121340=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121341=AXIS2_PLACEMENT_3D('',#121338,#121339,#121340); +#121342=PLANE('',#121341); +#121343=ORIENTED_EDGE('',*,*,#121286,.T.); +#121344=ORIENTED_EDGE('',*,*,#57772,.T.); +#121346=ORIENTED_EDGE('',*,*,#121345,.F.); +#121347=ORIENTED_EDGE('',*,*,#121331,.F.); +#121348=EDGE_LOOP('',(#121343,#121344,#121346,#121347)); +#121349=FACE_OUTER_BOUND('',#121348,.F.); +#121351=CARTESIAN_POINT('',(-4.57E1,-1.72E1,-2.51925E1)); +#121352=DIRECTION('',(0.E0,0.E0,1.E0)); +#121353=DIRECTION('',(0.E0,1.E0,0.E0)); +#121354=AXIS2_PLACEMENT_3D('',#121351,#121352,#121353); +#121355=PLANE('',#121354); +#121356=ORIENTED_EDGE('',*,*,#121318,.F.); +#121357=ORIENTED_EDGE('',*,*,#121333,.F.); +#121358=ORIENTED_EDGE('',*,*,#121345,.T.); +#121359=ORIENTED_EDGE('',*,*,#57770,.F.); +#121360=EDGE_LOOP('',(#121356,#121357,#121358,#121359)); +#121361=FACE_OUTER_BOUND('',#121360,.F.); +#121363=CARTESIAN_POINT('',(-4.57E1,-1.72E1,-2.51925E1)); +#121364=DIRECTION('',(0.E0,0.E0,1.E0)); +#121365=DIRECTION('',(0.E0,1.E0,0.E0)); +#121366=AXIS2_PLACEMENT_3D('',#121363,#121364,#121365); +#121367=PLANE('',#121366); +#121368=ORIENTED_EDGE('',*,*,#121273,.F.); +#121369=ORIENTED_EDGE('',*,*,#58830,.T.); +#121371=ORIENTED_EDGE('',*,*,#121370,.F.); +#121373=ORIENTED_EDGE('',*,*,#121372,.T.); +#121375=ORIENTED_EDGE('',*,*,#121374,.T.); +#121376=ORIENTED_EDGE('',*,*,#121248,.F.); +#121377=EDGE_LOOP('',(#121368,#121369,#121371,#121373,#121375,#121376)); +#121378=FACE_OUTER_BOUND('',#121377,.F.); +#121380=CARTESIAN_POINT('',(-4.7E1,-1.076698729811E1,-2.58075E1)); +#121381=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#121382=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#121383=AXIS2_PLACEMENT_3D('',#121380,#121381,#121382); +#121384=PLANE('',#121383); +#121386=ORIENTED_EDGE('',*,*,#121385,.T.); +#121387=ORIENTED_EDGE('',*,*,#121370,.T.); +#121388=ORIENTED_EDGE('',*,*,#58836,.F.); +#121389=ORIENTED_EDGE('',*,*,#121304,.F.); +#121390=EDGE_LOOP('',(#121386,#121387,#121388,#121389)); +#121391=FACE_OUTER_BOUND('',#121390,.F.); +#121393=CARTESIAN_POINT('',(-4.7E1,4.63E0,0.E0)); +#121394=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121395=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121396=AXIS2_PLACEMENT_3D('',#121393,#121394,#121395); +#121397=PLANE('',#121396); +#121398=ORIENTED_EDGE('',*,*,#121385,.F.); +#121399=ORIENTED_EDGE('',*,*,#121302,.F.); +#121401=ORIENTED_EDGE('',*,*,#121400,.F.); +#121403=ORIENTED_EDGE('',*,*,#121402,.F.); +#121404=ORIENTED_EDGE('',*,*,#60036,.F.); +#121406=ORIENTED_EDGE('',*,*,#121405,.F.); +#121408=ORIENTED_EDGE('',*,*,#121407,.F.); +#121409=ORIENTED_EDGE('',*,*,#121372,.F.); +#121410=EDGE_LOOP('',(#121398,#121399,#121401,#121403,#121404,#121406,#121408, +#121409)); +#121411=FACE_OUTER_BOUND('',#121410,.F.); +#121413=CARTESIAN_POINT('',(-4.57E1,3.5225E0,-2.43925E1)); +#121414=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121415=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121416=AXIS2_PLACEMENT_3D('',#121413,#121414,#121415); +#121417=CYLINDRICAL_SURFACE('',#121416,1.415E0); +#121418=ORIENTED_EDGE('',*,*,#121257,.T.); +#121420=ORIENTED_EDGE('',*,*,#121419,.F.); +#121421=ORIENTED_EDGE('',*,*,#121400,.T.); +#121422=ORIENTED_EDGE('',*,*,#121300,.T.); +#121423=EDGE_LOOP('',(#121418,#121420,#121421,#121422)); +#121424=FACE_OUTER_BOUND('',#121423,.F.); +#121426=CARTESIAN_POINT('',(-4.57E1,4.9375E0,-2.36E1)); +#121427=DIRECTION('',(0.E0,1.E0,0.E0)); +#121428=DIRECTION('',(0.E0,0.E0,-1.E0)); +#121429=AXIS2_PLACEMENT_3D('',#121426,#121427,#121428); +#121430=PLANE('',#121429); +#121431=ORIENTED_EDGE('',*,*,#60038,.T.); +#121432=ORIENTED_EDGE('',*,*,#121402,.T.); +#121433=ORIENTED_EDGE('',*,*,#121419,.T.); +#121434=ORIENTED_EDGE('',*,*,#121255,.T.); +#121435=EDGE_LOOP('',(#121431,#121432,#121433,#121434)); +#121436=FACE_OUTER_BOUND('',#121435,.F.); +#121438=CARTESIAN_POINT('',(-4.57E1,4.3225E0,-2.43925E1)); +#121439=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121440=DIRECTION('',(0.E0,0.E0,1.E0)); +#121441=AXIS2_PLACEMENT_3D('',#121438,#121439,#121440); +#121442=PLANE('',#121441); +#121443=ORIENTED_EDGE('',*,*,#60034,.F.); +#121444=ORIENTED_EDGE('',*,*,#121252,.T.); +#121446=ORIENTED_EDGE('',*,*,#121445,.F.); +#121447=ORIENTED_EDGE('',*,*,#121405,.T.); +#121448=EDGE_LOOP('',(#121443,#121444,#121446,#121447)); +#121449=FACE_OUTER_BOUND('',#121448,.F.); +#121451=CARTESIAN_POINT('',(-4.57E1,3.5225E0,-2.43925E1)); +#121452=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121453=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121454=AXIS2_PLACEMENT_3D('',#121451,#121452,#121453); +#121455=CYLINDRICAL_SURFACE('',#121454,8.E-1); +#121456=ORIENTED_EDGE('',*,*,#121250,.T.); +#121457=ORIENTED_EDGE('',*,*,#121374,.F.); +#121458=ORIENTED_EDGE('',*,*,#121407,.T.); +#121459=ORIENTED_EDGE('',*,*,#121445,.T.); +#121460=EDGE_LOOP('',(#121456,#121457,#121458,#121459)); +#121461=FACE_OUTER_BOUND('',#121460,.F.); +#121463=CARTESIAN_POINT('',(-5.22E1,4.63E0,0.E0)); +#121464=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121465=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121466=AXIS2_PLACEMENT_3D('',#121463,#121464,#121465); +#121467=PLANE('',#121466); +#121469=ORIENTED_EDGE('',*,*,#121468,.T.); +#121471=ORIENTED_EDGE('',*,*,#121470,.T.); +#121473=ORIENTED_EDGE('',*,*,#121472,.F.); +#121475=ORIENTED_EDGE('',*,*,#121474,.F.); +#121476=ORIENTED_EDGE('',*,*,#60042,.F.); +#121478=ORIENTED_EDGE('',*,*,#121477,.F.); +#121480=ORIENTED_EDGE('',*,*,#121479,.F.); +#121482=ORIENTED_EDGE('',*,*,#121481,.F.); +#121483=EDGE_LOOP('',(#121469,#121471,#121473,#121475,#121476,#121478,#121480, +#121482)); +#121484=FACE_OUTER_BOUND('',#121483,.F.); +#121486=CARTESIAN_POINT('',(-5.245E1,-1.12E1,-2.58075E1)); +#121487=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#121488=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#121489=AXIS2_PLACEMENT_3D('',#121486,#121487,#121488); +#121490=PLANE('',#121489); +#121491=ORIENTED_EDGE('',*,*,#121468,.F.); +#121493=ORIENTED_EDGE('',*,*,#121492,.F.); +#121494=ORIENTED_EDGE('',*,*,#58842,.T.); +#121496=ORIENTED_EDGE('',*,*,#121495,.T.); +#121497=EDGE_LOOP('',(#121491,#121493,#121494,#121496)); +#121498=FACE_OUTER_BOUND('',#121497,.F.); +#121500=CARTESIAN_POINT('',(-5.22E1,3.5225E0,-2.58075E1)); +#121501=DIRECTION('',(0.E0,0.E0,-1.E0)); +#121502=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121503=AXIS2_PLACEMENT_3D('',#121500,#121501,#121502); +#121504=PLANE('',#121503); +#121506=ORIENTED_EDGE('',*,*,#121505,.F.); +#121508=ORIENTED_EDGE('',*,*,#121507,.T.); +#121510=ORIENTED_EDGE('',*,*,#121509,.T.); +#121511=ORIENTED_EDGE('',*,*,#57776,.T.); +#121512=EDGE_LOOP('',(#121506,#121508,#121510,#121511)); +#121513=FACE_OUTER_BOUND('',#121512,.F.); +#121515=CARTESIAN_POINT('',(-5.22E1,3.5225E0,-2.58075E1)); +#121516=DIRECTION('',(0.E0,0.E0,-1.E0)); +#121517=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121518=AXIS2_PLACEMENT_3D('',#121515,#121516,#121517); +#121519=PLANE('',#121518); +#121521=ORIENTED_EDGE('',*,*,#121520,.T.); +#121522=ORIENTED_EDGE('',*,*,#58844,.F.); +#121523=ORIENTED_EDGE('',*,*,#121492,.T.); +#121524=ORIENTED_EDGE('',*,*,#121481,.T.); +#121526=ORIENTED_EDGE('',*,*,#121525,.F.); +#121528=ORIENTED_EDGE('',*,*,#121527,.T.); +#121529=EDGE_LOOP('',(#121521,#121522,#121523,#121524,#121526,#121528)); +#121530=FACE_OUTER_BOUND('',#121529,.F.); +#121532=CARTESIAN_POINT('',(-5.325E1,-1.35E1,-2.58075E1)); +#121533=DIRECTION('',(1.E0,0.E0,0.E0)); +#121534=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121535=AXIS2_PLACEMENT_3D('',#121532,#121533,#121534); +#121536=PLANE('',#121535); +#121537=ORIENTED_EDGE('',*,*,#121505,.T.); +#121538=ORIENTED_EDGE('',*,*,#57782,.T.); +#121540=ORIENTED_EDGE('',*,*,#121539,.F.); +#121542=ORIENTED_EDGE('',*,*,#121541,.F.); +#121543=EDGE_LOOP('',(#121537,#121538,#121540,#121542)); +#121544=FACE_OUTER_BOUND('',#121543,.F.); +#121546=CARTESIAN_POINT('',(-5.22E1,-1.72E1,-2.51925E1)); +#121547=DIRECTION('',(0.E0,0.E0,1.E0)); +#121548=DIRECTION('',(0.E0,1.E0,0.E0)); +#121549=AXIS2_PLACEMENT_3D('',#121546,#121547,#121548); +#121550=PLANE('',#121549); +#121551=ORIENTED_EDGE('',*,*,#121539,.T.); +#121552=ORIENTED_EDGE('',*,*,#57780,.F.); +#121554=ORIENTED_EDGE('',*,*,#121553,.F.); +#121556=ORIENTED_EDGE('',*,*,#121555,.F.); +#121557=EDGE_LOOP('',(#121551,#121552,#121554,#121556)); +#121558=FACE_OUTER_BOUND('',#121557,.F.); +#121560=CARTESIAN_POINT('',(-5.22E1,-1.72E1,-2.51925E1)); +#121561=DIRECTION('',(0.E0,0.E0,1.E0)); +#121562=DIRECTION('',(0.E0,1.E0,0.E0)); +#121563=AXIS2_PLACEMENT_3D('',#121560,#121561,#121562); +#121564=PLANE('',#121563); +#121566=ORIENTED_EDGE('',*,*,#121565,.F.); +#121568=ORIENTED_EDGE('',*,*,#121567,.T.); +#121570=ORIENTED_EDGE('',*,*,#121569,.T.); +#121571=ORIENTED_EDGE('',*,*,#121470,.F.); +#121572=ORIENTED_EDGE('',*,*,#121495,.F.); +#121573=ORIENTED_EDGE('',*,*,#58840,.T.); +#121574=EDGE_LOOP('',(#121566,#121568,#121570,#121571,#121572,#121573)); +#121575=FACE_OUTER_BOUND('',#121574,.F.); +#121577=CARTESIAN_POINT('',(-5.245E1,-1.72E1,-2.58075E1)); +#121578=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121579=DIRECTION('',(0.E0,1.E0,0.E0)); +#121580=AXIS2_PLACEMENT_3D('',#121577,#121578,#121579); +#121581=PLANE('',#121580); +#121582=ORIENTED_EDGE('',*,*,#121509,.F.); +#121584=ORIENTED_EDGE('',*,*,#121583,.T.); +#121585=ORIENTED_EDGE('',*,*,#121553,.T.); +#121586=ORIENTED_EDGE('',*,*,#57778,.F.); +#121587=EDGE_LOOP('',(#121582,#121584,#121585,#121586)); +#121588=FACE_OUTER_BOUND('',#121587,.F.); +#121590=CARTESIAN_POINT('',(-5.22E1,-1.72E1,-2.58075E1)); +#121591=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121592=DIRECTION('',(0.E0,0.E0,1.E0)); +#121593=AXIS2_PLACEMENT_3D('',#121590,#121591,#121592); +#121594=PLANE('',#121593); +#121595=ORIENTED_EDGE('',*,*,#121541,.T.); +#121596=ORIENTED_EDGE('',*,*,#121555,.T.); +#121597=ORIENTED_EDGE('',*,*,#121583,.F.); +#121598=ORIENTED_EDGE('',*,*,#121507,.F.); +#121599=EDGE_LOOP('',(#121595,#121596,#121597,#121598)); +#121600=FACE_OUTER_BOUND('',#121599,.F.); +#121602=CARTESIAN_POINT('',(-5.35E1,-1.076698729811E1,-2.58075E1)); +#121603=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#121604=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#121605=AXIS2_PLACEMENT_3D('',#121602,#121603,#121604); +#121606=PLANE('',#121605); +#121608=ORIENTED_EDGE('',*,*,#121607,.T.); +#121609=ORIENTED_EDGE('',*,*,#121565,.T.); +#121610=ORIENTED_EDGE('',*,*,#58846,.F.); +#121611=ORIENTED_EDGE('',*,*,#121520,.F.); +#121612=EDGE_LOOP('',(#121608,#121609,#121610,#121611)); +#121613=FACE_OUTER_BOUND('',#121612,.F.); +#121615=CARTESIAN_POINT('',(-5.35E1,4.63E0,0.E0)); +#121616=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121617=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121618=AXIS2_PLACEMENT_3D('',#121615,#121616,#121617); +#121619=PLANE('',#121618); +#121620=ORIENTED_EDGE('',*,*,#121607,.F.); +#121621=ORIENTED_EDGE('',*,*,#121527,.F.); +#121623=ORIENTED_EDGE('',*,*,#121622,.F.); +#121625=ORIENTED_EDGE('',*,*,#121624,.F.); +#121626=ORIENTED_EDGE('',*,*,#60046,.F.); +#121628=ORIENTED_EDGE('',*,*,#121627,.F.); +#121630=ORIENTED_EDGE('',*,*,#121629,.F.); +#121631=ORIENTED_EDGE('',*,*,#121567,.F.); +#121632=EDGE_LOOP('',(#121620,#121621,#121623,#121625,#121626,#121628,#121630, +#121631)); +#121633=FACE_OUTER_BOUND('',#121632,.F.); +#121635=CARTESIAN_POINT('',(-5.22E1,3.5225E0,-2.43925E1)); +#121636=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121637=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121638=AXIS2_PLACEMENT_3D('',#121635,#121636,#121637); +#121639=CYLINDRICAL_SURFACE('',#121638,1.415E0); +#121640=ORIENTED_EDGE('',*,*,#121479,.T.); +#121642=ORIENTED_EDGE('',*,*,#121641,.F.); +#121643=ORIENTED_EDGE('',*,*,#121622,.T.); +#121644=ORIENTED_EDGE('',*,*,#121525,.T.); +#121645=EDGE_LOOP('',(#121640,#121642,#121643,#121644)); +#121646=FACE_OUTER_BOUND('',#121645,.F.); +#121648=CARTESIAN_POINT('',(-5.22E1,4.9375E0,-2.36E1)); +#121649=DIRECTION('',(0.E0,1.E0,0.E0)); +#121650=DIRECTION('',(0.E0,0.E0,-1.E0)); +#121651=AXIS2_PLACEMENT_3D('',#121648,#121649,#121650); +#121652=PLANE('',#121651); +#121653=ORIENTED_EDGE('',*,*,#60048,.T.); +#121654=ORIENTED_EDGE('',*,*,#121624,.T.); +#121655=ORIENTED_EDGE('',*,*,#121641,.T.); +#121656=ORIENTED_EDGE('',*,*,#121477,.T.); +#121657=EDGE_LOOP('',(#121653,#121654,#121655,#121656)); +#121658=FACE_OUTER_BOUND('',#121657,.F.); +#121660=CARTESIAN_POINT('',(-5.22E1,4.3225E0,-2.43925E1)); +#121661=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121662=DIRECTION('',(0.E0,0.E0,1.E0)); +#121663=AXIS2_PLACEMENT_3D('',#121660,#121661,#121662); +#121664=PLANE('',#121663); +#121665=ORIENTED_EDGE('',*,*,#60044,.F.); +#121666=ORIENTED_EDGE('',*,*,#121474,.T.); +#121668=ORIENTED_EDGE('',*,*,#121667,.F.); +#121669=ORIENTED_EDGE('',*,*,#121627,.T.); +#121670=EDGE_LOOP('',(#121665,#121666,#121668,#121669)); +#121671=FACE_OUTER_BOUND('',#121670,.F.); +#121673=CARTESIAN_POINT('',(-5.22E1,3.5225E0,-2.43925E1)); +#121674=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121675=DIRECTION('',(0.E0,-1.E0,0.E0)); +#121676=AXIS2_PLACEMENT_3D('',#121673,#121674,#121675); +#121677=CYLINDRICAL_SURFACE('',#121676,8.E-1); +#121678=ORIENTED_EDGE('',*,*,#121472,.T.); +#121679=ORIENTED_EDGE('',*,*,#121569,.F.); +#121680=ORIENTED_EDGE('',*,*,#121629,.T.); +#121681=ORIENTED_EDGE('',*,*,#121667,.T.); +#121682=EDGE_LOOP('',(#121678,#121679,#121680,#121681)); +#121683=FACE_OUTER_BOUND('',#121682,.F.); +#121685=CARTESIAN_POINT('',(-5.71E1,-1.35E1,-2.815E1)); +#121686=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121687=DIRECTION('',(0.E0,0.E0,-1.E0)); +#121688=AXIS2_PLACEMENT_3D('',#121685,#121686,#121687); +#121689=PLANE('',#121688); +#121690=ORIENTED_EDGE('',*,*,#58782,.T.); +#121691=ORIENTED_EDGE('',*,*,#58739,.T.); +#121692=ORIENTED_EDGE('',*,*,#57730,.F.); +#121694=ORIENTED_EDGE('',*,*,#121693,.F.); +#121695=EDGE_LOOP('',(#121690,#121691,#121692,#121694)); +#121696=FACE_OUTER_BOUND('',#121695,.F.); +#121698=CARTESIAN_POINT('',(-5.71E1,-1.35E1,-2.955E1)); +#121699=DIRECTION('',(0.E0,0.E0,1.E0)); +#121700=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121701=AXIS2_PLACEMENT_3D('',#121698,#121699,#121700); +#121702=PLANE('',#121701); +#121703=ORIENTED_EDGE('',*,*,#57728,.F.); +#121705=ORIENTED_EDGE('',*,*,#121704,.F.); +#121706=ORIENTED_EDGE('',*,*,#58784,.T.); +#121707=ORIENTED_EDGE('',*,*,#121693,.T.); +#121708=EDGE_LOOP('',(#121703,#121705,#121706,#121707)); +#121709=FACE_OUTER_BOUND('',#121708,.F.); +#121711=CARTESIAN_POINT('',(-6.26E1,-1.35E1,-2.955E1)); +#121712=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121713=DIRECTION('',(0.E0,0.E0,-1.E0)); +#121714=AXIS2_PLACEMENT_3D('',#121711,#121712,#121713); +#121715=PLANE('',#121714); +#121716=ORIENTED_EDGE('',*,*,#57726,.F.); +#121718=ORIENTED_EDGE('',*,*,#121717,.F.); +#121719=ORIENTED_EDGE('',*,*,#58786,.T.); +#121720=ORIENTED_EDGE('',*,*,#121704,.T.); +#121721=EDGE_LOOP('',(#121716,#121718,#121719,#121720)); +#121722=FACE_OUTER_BOUND('',#121721,.F.); +#121724=CARTESIAN_POINT('',(-6.26E1,-1.35E1,-3.295E1)); +#121725=DIRECTION('',(0.E0,0.E0,-1.E0)); +#121726=DIRECTION('',(1.E0,0.E0,0.E0)); +#121727=AXIS2_PLACEMENT_3D('',#121724,#121725,#121726); +#121728=PLANE('',#121727); +#121729=ORIENTED_EDGE('',*,*,#57724,.F.); +#121731=ORIENTED_EDGE('',*,*,#121730,.F.); +#121732=ORIENTED_EDGE('',*,*,#58788,.T.); +#121733=ORIENTED_EDGE('',*,*,#121717,.T.); +#121734=EDGE_LOOP('',(#121729,#121731,#121732,#121733)); +#121735=FACE_OUTER_BOUND('',#121734,.F.); +#121737=CARTESIAN_POINT('',(-5.92E1,-1.35E1,-3.295E1)); +#121738=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121739=DIRECTION('',(0.E0,0.E0,-1.E0)); +#121740=AXIS2_PLACEMENT_3D('',#121737,#121738,#121739); +#121741=PLANE('',#121740); +#121742=ORIENTED_EDGE('',*,*,#57722,.F.); +#121744=ORIENTED_EDGE('',*,*,#121743,.F.); +#121745=ORIENTED_EDGE('',*,*,#58790,.T.); +#121746=ORIENTED_EDGE('',*,*,#121730,.T.); +#121747=EDGE_LOOP('',(#121742,#121744,#121745,#121746)); +#121748=FACE_OUTER_BOUND('',#121747,.F.); +#121750=CARTESIAN_POINT('',(-5.92E1,-1.35E1,-3.495E1)); +#121751=DIRECTION('',(0.E0,0.E0,-1.E0)); +#121752=DIRECTION('',(1.E0,0.E0,0.E0)); +#121753=AXIS2_PLACEMENT_3D('',#121750,#121751,#121752); +#121754=PLANE('',#121753); +#121755=ORIENTED_EDGE('',*,*,#57720,.F.); +#121757=ORIENTED_EDGE('',*,*,#121756,.F.); +#121758=ORIENTED_EDGE('',*,*,#58792,.T.); +#121759=ORIENTED_EDGE('',*,*,#121743,.T.); +#121760=EDGE_LOOP('',(#121755,#121757,#121758,#121759)); +#121761=FACE_OUTER_BOUND('',#121760,.F.); +#121763=CARTESIAN_POINT('',(-4.65E1,-1.35E1,-3.495E1)); +#121764=DIRECTION('',(1.E0,0.E0,0.E0)); +#121765=DIRECTION('',(0.E0,0.E0,1.E0)); +#121766=AXIS2_PLACEMENT_3D('',#121763,#121764,#121765); +#121767=PLANE('',#121766); +#121768=ORIENTED_EDGE('',*,*,#57718,.F.); +#121770=ORIENTED_EDGE('',*,*,#121769,.F.); +#121771=ORIENTED_EDGE('',*,*,#58794,.T.); +#121772=ORIENTED_EDGE('',*,*,#121756,.T.); +#121773=EDGE_LOOP('',(#121768,#121770,#121771,#121772)); +#121774=FACE_OUTER_BOUND('',#121773,.F.); +#121776=CARTESIAN_POINT('',(-4.65E1,-1.35E1,-3.245E1)); +#121777=DIRECTION('',(0.E0,0.E0,-1.E0)); +#121778=DIRECTION('',(1.E0,0.E0,0.E0)); +#121779=AXIS2_PLACEMENT_3D('',#121776,#121777,#121778); +#121780=PLANE('',#121779); +#121781=ORIENTED_EDGE('',*,*,#57716,.F.); +#121783=ORIENTED_EDGE('',*,*,#121782,.F.); +#121784=ORIENTED_EDGE('',*,*,#58796,.T.); +#121785=ORIENTED_EDGE('',*,*,#121769,.T.); +#121786=EDGE_LOOP('',(#121781,#121783,#121784,#121785)); +#121787=FACE_OUTER_BOUND('',#121786,.F.); +#121789=CARTESIAN_POINT('',(5.67E1,-1.35E1,-3.245E1)); +#121790=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811866E-1)); +#121791=DIRECTION('',(7.071067811866E-1,0.E0,-7.071067811865E-1)); +#121792=AXIS2_PLACEMENT_3D('',#121789,#121790,#121791); +#121793=PLANE('',#121792); +#121794=ORIENTED_EDGE('',*,*,#57714,.F.); +#121796=ORIENTED_EDGE('',*,*,#121795,.F.); +#121797=ORIENTED_EDGE('',*,*,#58798,.T.); +#121798=ORIENTED_EDGE('',*,*,#121782,.T.); +#121799=EDGE_LOOP('',(#121794,#121796,#121797,#121798)); +#121800=FACE_OUTER_BOUND('',#121799,.F.); +#121802=CARTESIAN_POINT('',(5.72E1,-1.35E1,-3.295E1)); +#121803=DIRECTION('',(0.E0,0.E0,-1.E0)); +#121804=DIRECTION('',(1.E0,0.E0,0.E0)); +#121805=AXIS2_PLACEMENT_3D('',#121802,#121803,#121804); +#121806=PLANE('',#121805); +#121807=ORIENTED_EDGE('',*,*,#57712,.F.); +#121809=ORIENTED_EDGE('',*,*,#121808,.F.); +#121810=ORIENTED_EDGE('',*,*,#58800,.T.); +#121811=ORIENTED_EDGE('',*,*,#121795,.T.); +#121812=EDGE_LOOP('',(#121807,#121809,#121810,#121811)); +#121813=FACE_OUTER_BOUND('',#121812,.F.); +#121815=CARTESIAN_POINT('',(6.26E1,-1.35E1,-3.295E1)); +#121816=DIRECTION('',(1.E0,0.E0,0.E0)); +#121817=DIRECTION('',(0.E0,0.E0,1.E0)); +#121818=AXIS2_PLACEMENT_3D('',#121815,#121816,#121817); +#121819=PLANE('',#121818); +#121820=ORIENTED_EDGE('',*,*,#57710,.F.); +#121822=ORIENTED_EDGE('',*,*,#121821,.F.); +#121823=ORIENTED_EDGE('',*,*,#58802,.T.); +#121824=ORIENTED_EDGE('',*,*,#121808,.T.); +#121825=EDGE_LOOP('',(#121820,#121822,#121823,#121824)); +#121826=FACE_OUTER_BOUND('',#121825,.F.); +#121828=CARTESIAN_POINT('',(6.26E1,-1.35E1,-2.955E1)); +#121829=DIRECTION('',(0.E0,0.E0,1.E0)); +#121830=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121831=AXIS2_PLACEMENT_3D('',#121828,#121829,#121830); +#121832=PLANE('',#121831); +#121833=ORIENTED_EDGE('',*,*,#57708,.F.); +#121835=ORIENTED_EDGE('',*,*,#121834,.F.); +#121836=ORIENTED_EDGE('',*,*,#58804,.T.); +#121837=ORIENTED_EDGE('',*,*,#121821,.T.); +#121838=EDGE_LOOP('',(#121833,#121835,#121836,#121837)); +#121839=FACE_OUTER_BOUND('',#121838,.F.); +#121841=CARTESIAN_POINT('',(5.71E1,-1.35E1,-2.955E1)); +#121842=DIRECTION('',(1.E0,0.E0,0.E0)); +#121843=DIRECTION('',(0.E0,0.E0,1.E0)); +#121844=AXIS2_PLACEMENT_3D('',#121841,#121842,#121843); +#121845=PLANE('',#121844); +#121846=ORIENTED_EDGE('',*,*,#58806,.T.); +#121847=ORIENTED_EDGE('',*,*,#121834,.T.); +#121848=ORIENTED_EDGE('',*,*,#57706,.F.); +#121849=ORIENTED_EDGE('',*,*,#58714,.F.); +#121850=EDGE_LOOP('',(#121846,#121847,#121848,#121849)); +#121851=FACE_OUTER_BOUND('',#121850,.F.); +#121853=CLOSED_SHELL('',(#58546,#58561,#58576,#58590,#58602,#58615,#58626, +#58641,#58656,#58670,#58682,#58695,#58706,#58733,#58760,#59610,#59624,#59638, +#59652,#59666,#59680,#59702,#59720,#59733,#59752,#59778,#59791,#59804,#59816, +#59829,#59848,#59868,#59881,#59894,#59907,#59920,#59932,#60432,#60447,#60490, +#60505,#60523,#60541,#60559,#60573,#60588,#60644,#60659,#60674,#60685,#60697, +#60709,#60722,#60734,#60749,#60762,#60776,#60788,#60803,#60816,#60830,#60842, +#60857,#60915,#60932,#60991,#61009,#61024,#61038,#61050,#61062,#61076,#61089, +#61108,#61121,#61135,#61148,#61161,#61172,#61185,#61198,#61211,#61228,#61257, +#61270,#61282,#61297,#61350,#61364,#61378,#61406,#61420,#61433,#61447,#61466, +#61479,#61492,#61519,#61532,#61544,#61561,#61575,#61591,#61610,#61653,#61673, +#61691,#61710,#61725,#61741,#61756,#61771,#61783,#61797,#61810,#61825,#61844, +#61885,#61902,#61916,#61941,#61954,#61968,#61981,#61997,#62009,#62024,#62036, +#62052,#62067,#62084,#62103,#62117,#62132,#62148,#62161,#62185,#62198,#62213, +#62225,#62238,#62250,#62264,#62281,#62324,#62341,#62358,#62374,#62416,#62433, +#62451,#62466,#62479,#62493,#62506,#62519,#62532,#62544,#62554,#62565,#62579, +#62590,#62603,#62616,#62629,#62642,#62654,#62668,#62679,#62690,#62704,#62745, +#62758,#62771,#62784,#62796,#62817,#62832,#62852,#62890,#62916,#62929,#62949, +#62962,#62979,#62994,#63005,#63018,#63036,#63050,#63064,#63082,#63097,#63123, +#63151,#63170,#63186,#63244,#63266,#63281,#63296,#63329,#63346,#63359,#63374, +#63389,#63401,#63416,#63431,#63446,#63461,#63476,#63491,#63510,#63527,#63540, +#63561,#63585,#63609,#63623,#63638,#63655,#63672,#63687,#63704,#63720,#63737, +#63754,#63769,#63783,#63799,#63813,#63829,#63843,#63857,#63873,#63895,#63924, +#63946,#63962,#63976,#63990,#64004,#64030,#64049,#64068,#64085,#64099,#64115, +#64130,#64144,#64161,#64180,#64197,#64213,#64231,#64317,#64407,#64422,#64436, +#64450,#64464,#64478,#64492,#64506,#64519,#64550,#64565,#64580,#64594,#64634, +#64670,#64685,#64700,#64716,#64742,#64755,#64767,#64780,#64793,#64805,#64821, +#64835,#64848,#64862,#64876,#64890,#64904,#64918,#64931,#64957,#64972,#64986, +#65004,#65018,#65031,#65066,#65101,#65126,#65159,#65174,#65189,#65206,#65221, +#65234,#65254,#65272,#65290,#65308,#65322,#65337,#65354,#65368,#65381,#65403, +#65417,#65434,#65448,#65461,#65477,#65489,#65508,#65520,#65557,#65596,#65611, +#65626,#65642,#65656,#65679,#65692,#65705,#65718,#65730,#65742,#65756,#65869, +#65943,#65964,#65984,#65999,#66014,#66029,#66052,#66067,#66081,#66095,#66108, +#66120,#66136,#66151,#66176,#66189,#66202,#66214,#66229,#66243,#66256,#66269, +#66283,#66301,#66317,#66329,#66348,#66363,#66378,#66393,#66408,#66423,#66438, +#66453,#66468,#66483,#66498,#66512,#66526,#66545,#66560,#66575,#66590,#66605, +#66620,#66635,#66650,#66670,#66690,#66705,#66720,#66735,#66758,#66773,#66787, +#66801,#66814,#66826,#66842,#66857,#66882,#66895,#66908,#66920,#66935,#66949, +#66962,#66975,#66989,#67008,#67026,#67038,#67060,#67079,#67099,#67114,#67129, +#67144,#67167,#67182,#67196,#67210,#67223,#67235,#67251,#67266,#67291,#67304, +#67317,#67329,#67344,#67358,#67371,#67384,#67398,#67417,#67435,#67447,#67469, +#67488,#67508,#67523,#67538,#67553,#67576,#67591,#67605,#67619,#67632,#67644, +#67660,#67675,#67700,#67713,#67726,#67738,#67753,#67767,#67780,#67793,#67807, +#67826,#67844,#67856,#67878,#67897,#67917,#67932,#67947,#67962,#67985,#68000, +#68014,#68028,#68041,#68053,#68069,#68084,#68109,#68122,#68135,#68147,#68162, +#68176,#68189,#68202,#68216,#68235,#68253,#68265,#68287,#68306,#68326,#68341, +#68356,#68371,#68394,#68409,#68423,#68437,#68450,#68462,#68478,#68493,#68518, +#68531,#68544,#68556,#68571,#68585,#68598,#68611,#68625,#68644,#68662,#68674, +#68696,#68715,#68735,#68750,#68765,#68780,#68803,#68818,#68832,#68846,#68859, +#68871,#68887,#68902,#68927,#68940,#68953,#68965,#68980,#68994,#69007,#69020, +#69034,#69053,#69071,#69083,#69105,#69124,#69144,#69159,#69174,#69189,#69212, +#69227,#69241,#69255,#69268,#69280,#69296,#69311,#69336,#69349,#69362,#69374, +#69389,#69403,#69416,#69429,#69443,#69462,#69480,#69492,#69514,#69533,#69553, +#69568,#69583,#69598,#69621,#69636,#69650,#69664,#69677,#69689,#69705,#69720, +#69745,#69758,#69771,#69783,#69798,#69812,#69825,#69838,#69852,#69871,#69889, +#69901,#69923,#69942,#69962,#69977,#69992,#70007,#70030,#70045,#70059,#70073, +#70086,#70098,#70114,#70129,#70154,#70167,#70180,#70192,#70207,#70221,#70234, +#70247,#70261,#70280,#70298,#70310,#70332,#70351,#70371,#70386,#70401,#70416, +#70439,#70454,#70468,#70482,#70495,#70507,#70523,#70538,#70563,#70576,#70589, +#70601,#70616,#70630,#70643,#70656,#70670,#70689,#70707,#70719,#70741,#70760, +#70780,#70795,#70810,#70825,#70848,#70863,#70877,#70891,#70904,#70916,#70932, +#70947,#70972,#70985,#70998,#71010,#71025,#71039,#71052,#71065,#71079,#71098, +#71116,#71128,#71149,#71306,#71320,#71368,#71416,#72296,#72927,#72942,#72958, +#72976,#72995,#73009,#73022,#73037,#73051,#73067,#73080,#73096,#73111,#73127, +#73143,#73159,#73171,#73187,#73203,#73219,#73238,#73256,#73274,#73291,#73306, +#73319,#73333,#73346,#73360,#73373,#73387,#73399,#73418,#73436,#73454,#73471, +#73486,#73499,#73513,#73526,#73540,#73553,#73567,#73579,#73598,#73616,#73634, +#73649,#73662,#73676,#73689,#73704,#73718,#73733,#73745,#73759,#73778,#73796, +#73814,#73829,#73842,#73857,#73871,#73886,#73899,#73913,#73925,#73939,#73958, +#73976,#73994,#74009,#74022,#74037,#74051,#74066,#74079,#74093,#74105,#74119, +#74138,#74156,#74174,#74189,#74202,#74217,#74231,#74246,#74259,#74273,#74285, +#74299,#74314,#74328,#74342,#74356,#74370,#74384,#74397,#74412,#74534,#74556, +#74644,#74708,#74730,#74752,#74790,#74854,#74984,#75072,#75194,#75282,#75412, +#75426,#75439,#75452,#75465,#75478,#75491,#75504,#75517,#75530,#75543,#75556, +#75569,#75582,#75595,#75608,#75621,#75634,#75647,#75660,#75673,#75686,#75699, +#75712,#75725,#75738,#75751,#75764,#75777,#75790,#75803,#75816,#75829,#75842, +#75855,#75868,#75881,#75894,#75907,#75920,#75933,#75946,#75959,#75972,#75985, +#75998,#76011,#76024,#76037,#76050,#76063,#76076,#76089,#76102,#76115,#76128, +#76141,#76153,#76167,#76180,#76193,#76206,#76219,#76232,#76244,#76258,#76271, +#76284,#76297,#76310,#76323,#76336,#76349,#76362,#76375,#76388,#76401,#76414, +#76427,#76440,#76453,#76466,#76479,#76492,#76505,#76518,#76531,#76544,#76557, +#76570,#76583,#76596,#76609,#76622,#76635,#76648,#76661,#76674,#76687,#76700, +#76713,#76726,#76739,#76752,#76764,#76778,#76791,#76804,#76817,#76830,#76843, +#76856,#76869,#76882,#76895,#76908,#76921,#76934,#76947,#76960,#76973,#76986, +#76999,#77012,#77025,#77038,#77051,#77064,#77077,#77090,#77103,#77116,#77128, +#77142,#77155,#77168,#77181,#77194,#77207,#77219,#77233,#77246,#77259,#77272, +#77285,#77298,#77310,#77324,#77337,#77350,#77363,#77376,#77389,#77402,#77415, +#77428,#77441,#77453,#77467,#77480,#77492,#77506,#77519,#77532,#77545,#77558, +#77571,#77584,#77597,#77610,#77623,#77636,#77649,#77662,#77675,#77688,#77701, +#77714,#77727,#77740,#77753,#77766,#77779,#77792,#77805,#77818,#77831,#77844, +#77856,#77870,#77883,#77896,#77909,#77922,#77935,#77948,#77961,#77974,#77987, +#78000,#78013,#78026,#78039,#78052,#78065,#78078,#78091,#78104,#78117,#78130, +#78143,#78156,#78169,#78182,#78195,#78208,#78221,#78234,#78247,#78260,#78273, +#78286,#78299,#78312,#78325,#78338,#78351,#78364,#78376,#78390,#78403,#78416, +#78429,#78442,#78455,#78468,#78481,#78494,#78507,#78520,#78533,#78546,#78559, +#78572,#78585,#78598,#78611,#78624,#78636,#78650,#78663,#78676,#78689,#78702, +#78715,#78728,#78741,#78754,#78767,#78780,#78793,#78806,#78819,#78832,#78845, +#78858,#78871,#78884,#78897,#78910,#78923,#78936,#78949,#78962,#78975,#78988, +#79001,#79014,#79027,#79040,#79053,#79066,#79079,#79092,#79105,#79118,#79131, +#79144,#79156,#79170,#79183,#79196,#79209,#79222,#79235,#79248,#79261,#79274, +#79287,#79300,#79313,#79326,#79339,#79352,#79365,#79378,#79391,#79404,#79417, +#79430,#79443,#79456,#79469,#79482,#79495,#79508,#79521,#79534,#79547,#79560, +#79573,#79586,#79599,#79612,#79625,#79638,#79651,#79664,#79677,#79690,#79703, +#79716,#79729,#79742,#79755,#79768,#79781,#79794,#79807,#79820,#79833,#79846, +#79859,#79872,#79885,#79897,#79911,#79924,#79937,#79950,#79963,#79976,#79989, +#80002,#80015,#80028,#80041,#80054,#80067,#80080,#80093,#80106,#80119,#80132, +#80145,#80158,#80171,#80184,#80197,#80210,#80223,#80236,#80249,#80262,#80275, +#80288,#80301,#80314,#80327,#80340,#80353,#80366,#80379,#80392,#80405,#80417, +#80431,#80444,#80457,#80470,#80483,#80496,#80509,#80522,#80535,#80548,#80561, +#80574,#80587,#80600,#80613,#80626,#80639,#80652,#80665,#80678,#80691,#80704, +#80717,#80730,#80743,#80756,#80769,#80782,#80795,#80808,#80821,#80834,#80847, +#80860,#80873,#80886,#80899,#80912,#80925,#80937,#80951,#80964,#80977,#80990, +#81003,#81016,#81029,#81042,#81055,#81068,#81081,#81094,#81107,#81120,#81133, +#81146,#81159,#81172,#81185,#81197,#81212,#81231,#81246,#81259,#81273,#81291, +#81305,#81317,#81330,#81346,#81362,#81378,#81394,#81410,#81423,#81439,#81454, +#81468,#81482,#81495,#81507,#81523,#81537,#81551,#81568,#81582,#81596,#81609, +#81626,#81639,#81652,#81664,#81677,#81689,#81707,#81721,#81735,#81748,#81765, +#81778,#81791,#81803,#81818,#81832,#81846,#81859,#81871,#81887,#81901,#81915, +#81932,#81946,#81960,#81973,#81990,#82003,#82016,#82028,#82041,#82053,#82068, +#82082,#82099,#82114,#82139,#82154,#82168,#82182,#82195,#82211,#82223,#82238, +#82252,#82276,#82289,#82301,#82315,#82329,#82342,#82357,#82371,#82384,#82397, +#82413,#82432,#82449,#82464,#82479,#82503,#82518,#82532,#82546,#82559,#82571, +#82587,#82602,#82627,#82640,#82653,#82665,#82680,#82694,#82707,#82720,#82734, +#82754,#82769,#82782,#82795,#82808,#82866,#82880,#82901,#82920,#82937,#82952, +#82967,#82991,#83006,#83020,#83034,#83047,#83059,#83075,#83090,#83115,#83128, +#83141,#83153,#83168,#83182,#83195,#83208,#83222,#83242,#83257,#83270,#83283, +#83295,#83317,#83336,#83353,#83368,#83383,#83407,#83422,#83436,#83450,#83463, +#83475,#83491,#83506,#83531,#83544,#83557,#83569,#83584,#83598,#83611,#83624, +#83638,#83658,#83673,#83686,#83699,#83711,#83733,#83752,#83769,#83784,#83799, +#83823,#83838,#83852,#83866,#83879,#83891,#83907,#83922,#83947,#83960,#83973, +#83985,#84000,#84014,#84027,#84040,#84054,#84074,#84089,#84102,#84115,#84127, +#84149,#84168,#84185,#84200,#84215,#84239,#84254,#84268,#84282,#84295,#84307, +#84323,#84338,#84363,#84376,#84389,#84401,#84416,#84430,#84443,#84456,#84470, +#84490,#84505,#84518,#84531,#84543,#84565,#84584,#84601,#84616,#84631,#84655, +#84670,#84684,#84698,#84711,#84723,#84739,#84754,#84779,#84792,#84805,#84817, +#84832,#84846,#84859,#84872,#84886,#84906,#84921,#84934,#84947,#84959,#84981, +#85000,#85017,#85032,#85047,#85071,#85086,#85100,#85114,#85127,#85139,#85155, +#85170,#85195,#85208,#85221,#85233,#85248,#85262,#85275,#85288,#85302,#85320, +#85335,#85348,#85361,#85373,#85513,#85553,#85593,#85637,#85649,#85664,#85679, +#85694,#85719,#85734,#85748,#85762,#85775,#85791,#85803,#85818,#85832,#85856, +#85869,#85881,#85895,#85909,#85922,#85937,#85952,#85966,#85979,#85991,#86004, +#86016,#86034,#86046,#86061,#86076,#86091,#86116,#86131,#86145,#86159,#86172, +#86188,#86200,#86215,#86229,#86253,#86266,#86278,#86292,#86306,#86319,#86334, +#86349,#86363,#86376,#86388,#86401,#86413,#86431,#86443,#86458,#86473,#86488, +#86513,#86528,#86542,#86556,#86569,#86585,#86597,#86612,#86626,#86650,#86663, +#86675,#86689,#86703,#86716,#86731,#86746,#86760,#86773,#86785,#86798,#86810, +#86828,#86840,#86855,#86870,#86885,#86910,#86925,#86939,#86953,#86966,#86982, +#86994,#87009,#87023,#87047,#87060,#87072,#87086,#87100,#87113,#87128,#87143, +#87157,#87170,#87182,#87195,#87207,#87225,#87237,#87252,#87267,#87282,#87307, +#87322,#87336,#87350,#87363,#87379,#87391,#87406,#87420,#87444,#87457,#87469, +#87483,#87497,#87510,#87525,#87540,#87554,#87567,#87579,#87592,#87604,#87622, +#87634,#87649,#87664,#87679,#87704,#87719,#87733,#87747,#87760,#87776,#87788, +#87803,#87817,#87841,#87854,#87866,#87880,#87894,#87907,#87922,#87936,#87950, +#87963,#87975,#87988,#88000,#88013,#88025,#88038,#88050,#88063,#88075,#88088, +#88100,#88113,#88125,#88138,#88150,#88165,#88179,#88193,#88207,#88221,#88235, +#88249,#88263,#88277,#88291,#88305,#88319,#88333,#88347,#88361,#88375,#88389, +#88403,#88417,#88431,#88445,#88459,#88473,#88487,#88501,#88515,#88529,#88543, +#88557,#88571,#88585,#88599,#88613,#88626,#88664,#88686,#88774,#88838,#88860, +#88898,#89008,#89106,#89121,#89180,#89194,#89210,#89227,#89241,#89256,#89271, +#89286,#89311,#89326,#89340,#89354,#89367,#89383,#89395,#89410,#89424,#89448, +#89461,#89473,#89487,#89501,#89514,#89527,#89539,#89554,#89572,#89592,#89611, +#89627,#89642,#89660,#89673,#89685,#89700,#89716,#89731,#89756,#89771,#89785, +#89799,#89812,#89828,#89840,#89855,#89869,#89893,#89906,#89918,#89933,#89947, +#89960,#89973,#89986,#90002,#90017,#90035,#90048,#90060,#90075,#90092,#90107, +#90132,#90147,#90161,#90175,#90188,#90204,#90216,#90231,#90245,#90269,#90282, +#90294,#90309,#90323,#90336,#90349,#90362,#90378,#90393,#90411,#90424,#90436, +#90451,#90468,#90483,#90508,#90523,#90537,#90551,#90564,#90580,#90592,#90607, +#90621,#90645,#90658,#90670,#90685,#90699,#90712,#90725,#90738,#90754,#90769, +#90787,#90800,#90812,#90827,#90844,#90859,#90884,#90899,#90913,#90927,#90940, +#90956,#90968,#90983,#90997,#91021,#91034,#91046,#91061,#91075,#91088,#91101, +#91114,#91130,#91145,#91163,#91176,#91188,#91203,#91220,#91235,#91260,#91275, +#91289,#91303,#91316,#91332,#91344,#91359,#91373,#91397,#91410,#91422,#91437, +#91451,#91464,#91477,#91490,#91506,#91521,#91539,#91552,#91564,#91579,#91596, +#91611,#91636,#91651,#91665,#91679,#91692,#91708,#91720,#91735,#91749,#91773, +#91786,#91798,#91813,#91827,#91840,#91853,#91866,#91882,#91897,#91915,#91928, +#91940,#91955,#91972,#91987,#92012,#92027,#92041,#92055,#92068,#92084,#92096, +#92111,#92125,#92149,#92162,#92174,#92189,#92203,#92216,#92229,#92242,#92258, +#92273,#92291,#92304,#92316,#92331,#92348,#92363,#92388,#92403,#92417,#92431, +#92444,#92460,#92472,#92487,#92501,#92525,#92538,#92550,#92565,#92579,#92592, +#92605,#92618,#92634,#92649,#92667,#92680,#92692,#92707,#92724,#92739,#92764, +#92779,#92793,#92807,#92820,#92836,#92848,#92863,#92877,#92901,#92914,#92926, +#92941,#92955,#92968,#92981,#92994,#93010,#93025,#93043,#93056,#93068,#93083, +#93100,#93115,#93140,#93155,#93169,#93183,#93196,#93212,#93224,#93239,#93253, +#93277,#93290,#93302,#93317,#93331,#93344,#93357,#93370,#93385,#93400,#93413, +#93426,#93439,#93451,#93466,#93483,#93500,#93517,#93534,#93551,#93568,#93585, +#93602,#93619,#93636,#93653,#93670,#93687,#93704,#93721,#93738,#93755,#93772, +#93788,#93801,#93814,#93827,#93839,#93852,#93865,#93878,#93890,#93903,#93916, +#93929,#93941,#93954,#93967,#93980,#93992,#94005,#94018,#94031,#94043,#94056, +#94069,#94082,#94094,#94107,#94120,#94133,#94145,#94158,#94171,#94184,#94196, +#94209,#94222,#94235,#94247,#94261,#94275,#94290,#94305,#94330,#94345,#94359, +#94373,#94386,#94402,#94414,#94429,#94443,#94467,#94480,#94492,#94506,#94519, +#94532,#94545,#94557,#94571,#94583,#94595,#94609,#94622,#94636,#94648,#94661, +#94673,#94686,#94699,#94712,#94725,#94738,#94751,#94764,#94777,#94790,#94802, +#94816,#94829,#94841,#94855,#94868,#94881,#94894,#94907,#94920,#94932,#94946, +#94959,#94972,#94985,#94998,#95011,#95024,#95037,#95050,#95063,#95076,#95089, +#95102,#95115,#95128,#95141,#95154,#95167,#95180,#95193,#95206,#95219,#95232, +#95245,#95258,#95271,#95284,#95297,#95310,#95323,#95336,#95349,#95362,#95375, +#95388,#95401,#95414,#95427,#95440,#95452,#95466,#95479,#95492,#95505,#95518, +#95531,#95544,#95557,#95570,#95583,#95596,#95609,#95622,#95635,#95648,#95661, +#95674,#95687,#95700,#95713,#95726,#95739,#95752,#95765,#95778,#95791,#95804, +#95816,#95830,#95843,#95856,#95869,#95882,#95895,#95907,#95921,#95934,#95947, +#95960,#95973,#95986,#95999,#96012,#96025,#96038,#96050,#96064,#96077,#96089, +#96103,#96116,#96129,#96142,#96155,#96168,#96181,#96194,#96207,#96220,#96233, +#96246,#96259,#96272,#96285,#96297,#96311,#96324,#96337,#96350,#96363,#96376, +#96389,#96402,#96415,#96428,#96441,#96454,#96467,#96480,#96493,#96505,#96519, +#96532,#96545,#96558,#96571,#96584,#96597,#96610,#96623,#96636,#96649,#96662, +#96675,#96688,#96701,#96714,#96727,#96740,#96753,#96766,#96779,#96792,#96805, +#96818,#96831,#96843,#96857,#96870,#96883,#96896,#96909,#96922,#96935,#96948, +#96961,#96974,#96987,#97000,#97013,#97026,#97039,#97052,#97065,#97077,#97089, +#97101,#97113,#97125,#97137,#97149,#97161,#97173,#97185,#97197,#97209,#97221, +#97233,#97245,#97257,#97271,#97283,#97296,#97308,#97320,#97332,#97344,#97356, +#97368,#97380,#97392,#97404,#97416,#97428,#97440,#97452,#97468,#97480,#97492, +#97505,#97521,#97532,#97547,#97559,#97572,#97587,#97599,#97612,#97624,#97639, +#97654,#97670,#97694,#97707,#97720,#97732,#97746,#97776,#97791,#97803,#97817, +#97830,#97846,#97859,#97871,#97885,#97899,#97916,#97927,#97940,#97952,#97967, +#97984,#97998,#98011,#98030,#98047,#98059,#98072,#98084,#98097,#98110,#98122, +#98141,#98160,#98179,#98198,#98214,#98233,#98248,#98262,#98278,#98297,#98311, +#98328,#98343,#98357,#98371,#98385,#98398,#98412,#98425,#98439,#98452,#98466, +#98481,#98499,#98518,#98533,#98552,#98571,#98588,#98606,#98622,#98641,#98656, +#98670,#98686,#98705,#98719,#98732,#98748,#98765,#98780,#98802,#98818,#98833, +#98849,#98865,#98879,#98893,#98913,#98927,#98948,#98964,#98981,#99004,#99022, +#99041,#99057,#99071,#99087,#99102,#99116,#99133,#99152,#99167,#99181,#99196, +#99209,#99234,#99257,#99275,#99299,#99319,#99335,#99348,#99371,#99384,#99397, +#99410,#99425,#99437,#99449,#99461,#99472,#99487,#99500,#99525,#99540,#99553, +#99567,#99580,#99596,#99615,#99629,#99645,#99665,#99684,#99698,#99719,#99734, +#99752,#99765,#99779,#99792,#99805,#99818,#99831,#99844,#99859,#99877,#99896, +#99910,#99925,#99939,#99955,#99974,#99988,#100005,#100022,#100038,#100054, +#100070,#100083,#100108,#100132,#100158,#100178,#100202,#100219,#100238,#100254, +#100267,#100291,#100307,#100326,#100341,#100358,#100372,#100386,#100420,#100435, +#100449,#100466,#100480,#100492,#100505,#100519,#100536,#100556,#100571,#100585, +#100598,#100612,#100625,#100644,#100658,#100671,#100684,#100698,#100714,#100727, +#100740,#100756,#100775,#100787,#100801,#100848,#100863,#100876,#100889,#100903, +#100917,#100935,#100951,#100968,#100982,#100995,#101010,#101024,#101040,#101053, +#101065,#101079,#101092,#101105,#101118,#101135,#101148,#101161,#101173,#101186, +#101201,#101216,#101229,#101245,#101264,#101280,#101294,#101308,#101332,#101348, +#101367,#101382,#101395,#101408,#101423,#101435,#101447,#101460,#101475,#101487, +#101499,#101511,#101522,#101537,#101549,#101561,#101576,#101589,#101613,#101628, +#101640,#101654,#101668,#101682,#101696,#101708,#101737,#101752,#101766,#101783, +#101797,#101809,#101822,#101834,#101849,#101861,#101873,#101885,#101899,#101914, +#101928,#101942,#101959,#101972,#101985,#101998,#102013,#102025,#102037,#102052, +#102066,#102079,#102108,#102123,#102137,#102154,#102167,#102180,#102193,#102205, +#102219,#102232,#102246,#102260,#102272,#102284,#102299,#102312,#102335,#102349, +#102362,#102376,#102388,#102400,#102415,#102427,#102439,#102451,#102465,#102480, +#102494,#102508,#102520,#102533,#102546,#102560,#102577,#102590,#102603,#102616, +#102630,#102644,#102655,#102671,#102685,#102700,#102713,#102725,#102739,#102767, +#102783,#102796,#102808,#102821,#102834,#102853,#102867,#102881,#102894,#102908, +#102921,#102934,#102946,#102959,#102972,#102985,#102998,#103010,#103024,#103038, +#103052,#103067,#103086,#103102,#103116,#103132,#103144,#103156,#103168,#103181, +#103194,#103207,#103220,#103233,#103245,#103259,#103277,#103296,#103309,#103324, +#103336,#103348,#103362,#103375,#103389,#103404,#103416,#103430,#103444,#103457, +#103611,#103863,#103876,#103888,#103911,#103934,#103948,#103962,#103977,#103994, +#104008,#104023,#104037,#104050,#104065,#104077,#104094,#104107,#104122,#104142, +#104164,#104177,#104189,#104202,#104214,#104227,#104242,#104255,#104267,#104282, +#104295,#104307,#104320,#104332,#104355,#104378,#104392,#104406,#104421,#104438, +#104453,#104467,#104481,#104498,#104512,#104525,#104542,#104554,#104568,#104581, +#104593,#104610,#104623,#104636,#104656,#104676,#104689,#104701,#104714,#104726, +#104739,#104751,#104764,#104776,#104799,#104822,#104836,#104850,#104865,#104882, +#104896,#104911,#104925,#104942,#104955,#104970,#104982,#104995,#105012,#105025, +#105037,#105054,#105068,#105081,#105102,#105121,#105133,#105145,#105158,#105170, +#105183,#105195,#105208,#105220,#105243,#105266,#105280,#105294,#105309,#105326, +#105340,#105355,#105369,#105382,#105397,#105409,#105426,#105439,#105454,#105474, +#105496,#105509,#105521,#105534,#105546,#105559,#105574,#105587,#105599,#105614, +#105627,#105639,#105652,#105664,#105687,#105710,#105724,#105738,#105753,#105770, +#105784,#105799,#105813,#105830,#105843,#105858,#105870,#105883,#105900,#105913, +#105925,#105942,#105956,#105969,#105990,#106009,#106021,#106033,#106046,#106058, +#106071,#106083,#106096,#106108,#106131,#106154,#106168,#106182,#106197,#106214, +#106228,#106243,#106257,#106270,#106285,#106297,#106314,#106327,#106342,#106362, +#106384,#106397,#106409,#106422,#106434,#106447,#106462,#106475,#106487,#106502, +#106515,#106527,#106540,#106552,#106575,#106598,#106612,#106626,#106641,#106658, +#106672,#106687,#106701,#106718,#106731,#106746,#106758,#106771,#106788,#106801, +#106813,#106830,#106844,#106857,#106878,#106897,#106909,#106921,#106934,#106946, +#106959,#106971,#106984,#106996,#107019,#107042,#107056,#107070,#107085,#107102, +#107116,#107131,#107145,#107158,#107173,#107185,#107202,#107215,#107230,#107250, +#107272,#107285,#107297,#107310,#107322,#107335,#107350,#107363,#107375,#107390, +#107403,#107415,#107428,#107440,#107463,#107486,#107500,#107514,#107529,#107546, +#107560,#107575,#107589,#107606,#107619,#107634,#107646,#107659,#107676,#107689, +#107701,#107718,#107732,#107745,#107766,#107785,#107797,#107809,#107822,#107834, +#107847,#107859,#107872,#107884,#107907,#107930,#107944,#107958,#107973,#107990, +#108004,#108019,#108033,#108046,#108061,#108073,#108090,#108103,#108118,#108138, +#108160,#108173,#108185,#108198,#108210,#108223,#108238,#108251,#108263,#108278, +#108291,#108303,#108316,#108328,#108351,#108374,#108388,#108402,#108417,#108434, +#108448,#108463,#108477,#108494,#108507,#108522,#108534,#108547,#108564,#108577, +#108589,#108606,#108620,#108633,#108654,#108673,#108685,#108697,#108710,#108722, +#108735,#108747,#108760,#108772,#108795,#108818,#108832,#108846,#108861,#108878, +#108892,#108907,#108921,#108934,#108949,#108961,#108978,#108991,#109006,#109026, +#109048,#109061,#109073,#109086,#109098,#109111,#109126,#109139,#109151,#109166, +#109179,#109191,#109204,#109216,#109239,#109262,#109276,#109290,#109305,#109322, +#109336,#109351,#109365,#109382,#109395,#109410,#109422,#109435,#109452,#109465, +#109477,#109494,#109508,#109521,#109542,#109561,#109573,#109585,#109598,#109610, +#109623,#109635,#109648,#109660,#109683,#109706,#109720,#109734,#109749,#109766, +#109780,#109795,#109809,#109822,#109837,#109849,#109866,#109879,#109894,#109914, +#109936,#109949,#109961,#109974,#109986,#109999,#110014,#110027,#110039,#110054, +#110067,#110079,#110092,#110104,#110127,#110150,#110164,#110178,#110193,#110210, +#110224,#110239,#110253,#110270,#110283,#110298,#110310,#110323,#110340,#110353, +#110365,#110382,#110396,#110409,#110430,#110449,#110461,#110473,#110486,#110498, +#110511,#110523,#110536,#110548,#110571,#110594,#110608,#110622,#110637,#110654, +#110668,#110683,#110697,#110710,#110725,#110737,#110754,#110767,#110782,#110802, +#110824,#110837,#110849,#110862,#110874,#110887,#110902,#110915,#110927,#110942, +#110955,#110967,#110980,#110992,#111015,#111038,#111052,#111066,#111081,#111098, +#111112,#111127,#111141,#111158,#111171,#111186,#111198,#111211,#111228,#111241, +#111253,#111270,#111284,#111297,#111318,#111337,#111349,#111361,#111374,#111386, +#111399,#111411,#111424,#111436,#111459,#111482,#111496,#111510,#111525,#111542, +#111556,#111571,#111585,#111598,#111613,#111625,#111642,#111655,#111670,#111690, +#111712,#111725,#111737,#111750,#111762,#111775,#111790,#111803,#111815,#111830, +#111843,#111855,#111868,#111880,#111903,#111926,#111940,#111954,#111969,#111986, +#112000,#112015,#112029,#112046,#112059,#112074,#112086,#112099,#112116,#112129, +#112141,#112158,#112172,#112185,#112206,#112225,#112237,#112249,#112262,#112274, +#112287,#112299,#112312,#112324,#112347,#112370,#112384,#112398,#112413,#112430, +#112444,#112459,#112473,#112486,#112501,#112513,#112530,#112543,#112558,#112578, +#112600,#112613,#112625,#112638,#112650,#112663,#112678,#112691,#112703,#112718, +#112731,#112743,#112756,#112768,#112791,#112814,#112828,#112842,#112857,#112874, +#112888,#112903,#112917,#112934,#112947,#112962,#112974,#112987,#113004,#113017, +#113029,#113046,#113060,#113073,#113094,#113113,#113125,#113137,#113150,#113162, +#113175,#113187,#113200,#113212,#113235,#113258,#113272,#113286,#113301,#113318, +#113332,#113347,#113361,#113374,#113389,#113401,#113418,#113431,#113446,#113466, +#113488,#113501,#113513,#113526,#113538,#113551,#113566,#113579,#113591,#113606, +#113619,#113631,#113644,#113656,#113679,#113702,#113716,#113730,#113745,#113762, +#113776,#113791,#113805,#113822,#113835,#113850,#113862,#113875,#113892,#113905, +#113917,#113934,#113948,#113961,#113982,#114001,#114013,#114025,#114038,#114050, +#114063,#114075,#114088,#114100,#114123,#114146,#114160,#114174,#114189,#114206, +#114220,#114235,#114249,#114262,#114277,#114289,#114306,#114319,#114334,#114354, +#114376,#114389,#114401,#114414,#114426,#114439,#114454,#114467,#114479,#114494, +#114507,#114519,#114532,#114544,#114567,#114590,#114604,#114618,#114633,#114650, +#114664,#114679,#114693,#114710,#114723,#114738,#114750,#114763,#114780,#114793, +#114805,#114822,#114836,#114849,#114870,#114889,#114901,#114913,#114926,#114938, +#114951,#114963,#114976,#114988,#115011,#115034,#115048,#115062,#115077,#115094, +#115108,#115123,#115137,#115150,#115165,#115177,#115194,#115207,#115222,#115242, +#115264,#115277,#115289,#115302,#115314,#115327,#115342,#115355,#115367,#115382, +#115395,#115407,#115420,#115432,#115455,#115478,#115492,#115506,#115521,#115538, +#115552,#115567,#115581,#115598,#115611,#115626,#115638,#115651,#115668,#115681, +#115693,#115710,#115724,#115737,#115758,#115777,#115789,#115801,#115814,#115826, +#115839,#115851,#115864,#115876,#115899,#115922,#115936,#115950,#115965,#115982, +#115996,#116011,#116025,#116038,#116053,#116065,#116082,#116095,#116110,#116130, +#116152,#116165,#116177,#116190,#116202,#116215,#116230,#116243,#116255,#116270, +#116283,#116295,#116308,#116320,#116343,#116366,#116380,#116394,#116409,#116426, +#116440,#116455,#116469,#116486,#116499,#116514,#116526,#116539,#116556,#116569, +#116581,#116598,#116612,#116625,#116646,#116665,#116677,#116689,#116702,#116714, +#116727,#116739,#116752,#116764,#116787,#116810,#116824,#116838,#116853,#116870, +#116884,#116899,#116913,#116926,#116941,#116953,#116970,#116983,#116998,#117018, +#117040,#117053,#117065,#117078,#117090,#117103,#117118,#117131,#117143,#117158, +#117171,#117183,#117196,#117208,#117231,#117254,#117268,#117282,#117297,#117314, +#117328,#117343,#117357,#117374,#117387,#117402,#117414,#117427,#117444,#117457, +#117469,#117486,#117500,#117513,#117534,#117553,#117565,#117577,#117590,#117602, +#117615,#117627,#117640,#117652,#117675,#117698,#117712,#117726,#117741,#117758, +#117772,#117787,#117801,#117814,#117829,#117841,#117858,#117871,#117886,#117906, +#117928,#117941,#117953,#117966,#117978,#117991,#118006,#118019,#118031,#118046, +#118059,#118071,#118084,#118096,#118119,#118142,#118156,#118170,#118185,#118202, +#118216,#118231,#118245,#118262,#118275,#118290,#118302,#118315,#118332,#118345, +#118357,#118374,#118388,#118401,#118422,#118441,#118453,#118465,#118478,#118490, +#118503,#118515,#118528,#118540,#118563,#118586,#118600,#118614,#118629,#118646, +#118660,#118675,#118689,#118702,#118717,#118729,#118746,#118759,#118774,#118794, +#118816,#118829,#118841,#118854,#118866,#118879,#118894,#118907,#118919,#118934, +#118947,#118959,#118972,#118984,#119007,#119030,#119044,#119058,#119073,#119090, +#119104,#119119,#119133,#119150,#119163,#119178,#119190,#119203,#119220,#119233, +#119245,#119262,#119276,#119289,#119310,#119329,#119341,#119353,#119366,#119378, +#119391,#119403,#119416,#119428,#119451,#119474,#119488,#119502,#119517,#119534, +#119548,#119563,#119577,#119590,#119605,#119617,#119634,#119647,#119662,#119682, +#119704,#119717,#119729,#119742,#119754,#119767,#119782,#119795,#119807,#119822, +#119835,#119847,#119860,#119872,#119895,#119918,#119932,#119946,#119961,#119978, +#119992,#120007,#120021,#120038,#120051,#120066,#120078,#120091,#120108,#120121, +#120133,#120150,#120164,#120177,#120198,#120217,#120229,#120241,#120254,#120266, +#120279,#120291,#120304,#120316,#120339,#120362,#120376,#120390,#120405,#120422, +#120436,#120451,#120465,#120478,#120493,#120505,#120522,#120535,#120550,#120570, +#120592,#120605,#120617,#120630,#120642,#120655,#120670,#120683,#120695,#120710, +#120723,#120735,#120748,#120760,#120772,#120785,#120796,#120819,#120834,#120852, +#120866,#120880,#120893,#120905,#120923,#120936,#120956,#120969,#120981,#120994, +#121006,#121018,#121041,#121056,#121074,#121088,#121102,#121120,#121133,#121145, +#121158,#121178,#121191,#121203,#121216,#121228,#121240,#121263,#121277,#121292, +#121309,#121323,#121337,#121350,#121362,#121379,#121392,#121412,#121425,#121437, +#121450,#121462,#121485,#121499,#121514,#121531,#121545,#121559,#121576,#121589, +#121601,#121614,#121634,#121647,#121659,#121672,#121684,#121697,#121710,#121723, +#121736,#121749,#121762,#121775,#121788,#121801,#121814,#121827,#121840, +#121852)); +#121854=MANIFOLD_SOLID_BREP('',#121853); +#121857=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), +#121856); +#121858=(CONVERSION_BASED_UNIT('DEGREE',#121857)NAMED_UNIT(*)PLANE_ANGLE_UNIT( +)); +#121860=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(2.340788294680E-3),#121855, +'distance_accuracy_value', +'Maximum model space distance between geometric entities at asserted connectivities'); +#121863=APPLICATION_CONTEXT('automotive_design'); +#121864=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2001,#121863); +#121865=PRODUCT_DEFINITION_CONTEXT('part definition',#121863,'design'); +#121866=PRODUCT_CONTEXT('',#121863,'mechanical'); +#121867=PRODUCT('C-8-1393476-0','C-8-1393476-0','NOT SPECIFIED',(#121866)); +#121868=PRODUCT_DEFINITION_FORMATION('1','LAST_VERSION',#121867); +#121876=DERIVED_UNIT_ELEMENT(#121875,2.E0); +#121877=DERIVED_UNIT((#121876)); +#121878=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +3.751237903885E4),#121877); +#121883=DERIVED_UNIT_ELEMENT(#121882,3.E0); +#121884=DERIVED_UNIT((#121883)); +#121885=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +3.569391525709E4),#121884); +#121889=CARTESIAN_POINT('centre point',(2.010375790744E0,-1.051090765660E0, +-1.724321146373E1)); +#121894=DERIVED_UNIT_ELEMENT(#121893,2.E0); +#121895=DERIVED_UNIT((#121894)); +#121896=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +3.751237903885E4),#121895); +#121901=DERIVED_UNIT_ELEMENT(#121900,3.E0); +#121902=DERIVED_UNIT((#121901)); +#121903=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +3.569391525709E4),#121902); +#121907=CARTESIAN_POINT('centre point',(2.010375790744E0,-1.051090765660E0, +-1.724321146373E1)); +#121912=PRODUCT_RELATED_PRODUCT_CATEGORY('part','',(#121867)); +#1=COLOUR_RGB('',8.784E-1,9.49E-1,1.E0); +#6=CIRCLE('',#5,1.E0); +#11=CIRCLE('',#10,1.E0); +#16=CIRCLE('',#15,1.E0); +#21=CIRCLE('',#20,1.E0); +#1062=CIRCLE('',#1061,1.E0); +#1079=CIRCLE('',#1078,1.E0); +#1088=CIRCLE('',#1087,3.E-1); +#1093=CIRCLE('',#1092,3.E-1); +#1098=CIRCLE('',#1097,2.598076211353E-1); +#1103=CIRCLE('',#1102,2.598076211353E-1); +#1108=CIRCLE('',#1107,1.E0); +#1121=CIRCLE('',#1120,2.598076211353E-1); +#1130=CIRCLE('',#1129,2.598076211353E-1); +#1135=CIRCLE('',#1134,1.E0); +#1144=CIRCLE('',#1143,3.E-1); +#1149=CIRCLE('',#1148,3.E-1); +#1178=CIRCLE('',#1177,1.E0); +#1183=CIRCLE('',#1182,1.E0); +#2304=CIRCLE('',#2303,1.E0); +#2353=CIRCLE('',#2352,1.E0); +#2470=CIRCLE('',#2469,5.E0); +#2547=CIRCLE('',#2546,5.E0); +#3288=CIRCLE('',#3287,5.E-1); +#3293=CIRCLE('',#3292,5.E-1); +#3314=CIRCLE('',#3313,5.5E0); +#3331=CIRCLE('',#3330,5.5E0); +#3360=CIRCLE('',#3359,1.5E0); +#3365=CIRCLE('',#3364,1.5E0); +#3370=CIRCLE('',#3369,1.5E0); +#3375=CIRCLE('',#3374,1.5E0); +#3380=CIRCLE('',#3379,1.5E0); +#3385=CIRCLE('',#3384,1.5E0); +#3430=CIRCLE('',#3429,1.5E0); +#3439=CIRCLE('',#3438,5.E-1); +#3444=CIRCLE('',#3443,1.E0); +#3449=CIRCLE('',#3448,1.E0); +#3454=CIRCLE('',#3453,1.E0); +#3459=CIRCLE('',#3458,1.E0); +#3464=CIRCLE('',#3463,1.E0); +#3469=CIRCLE('',#3468,1.E0); +#3474=CIRCLE('',#3473,5.E-1); +#3479=CIRCLE('',#3478,1.5E0); +#3488=CIRCLE('',#3487,5.E-1); +#3493=CIRCLE('',#3492,5.E-1); +#3498=CIRCLE('',#3497,1.5E0); +#3507=CIRCLE('',#3506,1.5E0); +#3516=CIRCLE('',#3515,5.E-1); +#3521=CIRCLE('',#3520,5.E-1); +#3526=CIRCLE('',#3525,1.5E0); +#3535=CIRCLE('',#3534,1.5E0); +#3544=CIRCLE('',#3543,5.E-1); +#3557=CIRCLE('',#3556,5.E-1); +#3586=CIRCLE('',#3585,8.E-1); +#3715=CIRCLE('',#3714,8.E-1); +#3740=CIRCLE('',#3739,5.E-1); +#3769=CIRCLE('',#3768,5.E-1); +#3774=CIRCLE('',#3773,5.E-1); +#3779=CIRCLE('',#3778,5.E-1); +#3784=CIRCLE('',#3783,5.E-1); +#3798=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3785,#3786,#3787,#3788,#3789,#3790,#3791, +#3792,#3793,#3794,#3795,#3796,#3797),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#3812=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3799,#3800,#3801,#3802,#3803,#3804,#3805, +#3806,#3807,#3808,#3809,#3810,#3811),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#3825=CIRCLE('',#3824,5.E0); +#3830=CIRCLE('',#3829,5.E0); +#3835=CIRCLE('',#3834,5.E0); +#3853=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3840,#3841,#3842,#3843,#3844,#3845,#3846, +#3847,#3848,#3849,#3850,#3851,#3852),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#3866=CIRCLE('',#3865,5.E-1); +#3871=CIRCLE('',#3870,5.E-1); +#3876=CIRCLE('',#3875,5.E-1); +#3881=CIRCLE('',#3880,5.E-1); +#3926=CIRCLE('',#3925,2.E0); +#3935=CIRCLE('',#3934,2.9E0); +#3944=CIRCLE('',#3943,1.E0); +#4101=CIRCLE('',#4100,6.799996740018E-1); +#4110=CIRCLE('',#4109,6.799996740049E-1); +#4123=CIRCLE('',#4122,8.E-1); +#4152=CIRCLE('',#4151,8.E-1); +#4177=CIRCLE('',#4176,6.799996740018E-1); +#4186=CIRCLE('',#4185,6.799996740018E-1); +#4203=CIRCLE('',#4202,5.E-1); +#4220=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4204,#4205,#4206,#4207,#4208,#4209,#4210, +#4211,#4212,#4213,#4214,#4215,#4216,#4217,#4218,#4219),.UNSPECIFIED.,.F.,.F.,(4, +1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,7.692307692308E-2,1.538461538462E-1, +2.307692307692E-1,3.076923076923E-1,3.846153846154E-1,4.615384615385E-1, +5.384615384615E-1,6.153846153846E-1,6.923076923077E-1,7.692307692308E-1, +8.461538461538E-1,9.230769230769E-1,1.E0),.UNSPECIFIED.); +#4237=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4221,#4222,#4223,#4224,#4225,#4226,#4227, +#4228,#4229,#4230,#4231,#4232,#4233,#4234,#4235,#4236),.UNSPECIFIED.,.F.,.F.,(4, +1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,7.692307692308E-2,1.538461538462E-1, +2.307692307692E-1,3.076923076923E-1,3.846153846154E-1,4.615384615385E-1, +5.384615384615E-1,6.153846153846E-1,6.923076923077E-1,7.692307692308E-1, +8.461538461538E-1,9.230769230769E-1,1.E0),.UNSPECIFIED.); +#4251=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4238,#4239,#4240,#4241,#4242,#4243,#4244, +#4245,#4246,#4247,#4248,#4249,#4250),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#4282=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4272,#4273,#4274,#4275,#4276,#4277,#4278, +#4279,#4280,#4281),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0,6.25E-2, +1.25E-1,2.5E-1,3.75E-1,5.E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#4290=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4283,#4284,#4285,#4286,#4287,#4288, +#4289),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#4302=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4295,#4296,#4297,#4298,#4299,#4300, +#4301),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#4313=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4303,#4304,#4305,#4306,#4307,#4308,#4309, +#4310,#4311,#4312),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0,2.5E-1,5.E-1, +6.25E-1,7.5E-1,8.75E-1,9.375E-1,1.E0),.UNSPECIFIED.); +#4328=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4322,#4323,#4324,#4325,#4326,#4327), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#4342=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4329,#4330,#4331,#4332,#4333,#4334,#4335, +#4336,#4337,#4338,#4339,#4340,#4341),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#4352=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4343,#4344,#4345,#4346,#4347,#4348,#4349, +#4350,#4351),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#4363=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4357,#4358,#4359,#4360,#4361,#4362), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#4374=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4364,#4365,#4366,#4367,#4368,#4369,#4370, +#4371,#4372,#4373),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#4388=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4375,#4376,#4377,#4378,#4379,#4380,#4381, +#4382,#4383,#4384,#4385,#4386,#4387),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#4405=CIRCLE('',#4404,6.E-1); +#4410=CIRCLE('',#4409,6.E-1); +#4415=CIRCLE('',#4414,6.E-1); +#4420=CIRCLE('',#4419,6.E-1); +#4425=CIRCLE('',#4424,6.E-1); +#4430=CIRCLE('',#4429,6.E-1); +#4439=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4431,#4432,#4433,#4434,#4435,#4436,#4437, +#4438),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#4452=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4444,#4445,#4446,#4447,#4448,#4449,#4450, +#4451),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#4457=CIRCLE('',#4456,1.823509736837E0); +#4471=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4458,#4459,#4460,#4461,#4462,#4463,#4464, +#4465,#4466,#4467,#4468,#4469,#4470),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#4496=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4492,#4493,#4494,#4495),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#4505=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4501,#4502,#4503,#4504),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#4534=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4526,#4527,#4528,#4529,#4530,#4531,#4532, +#4533),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,8.75E-1, +1.E0),.UNSPECIFIED.); +#4555=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4547,#4548,#4549,#4550,#4551,#4552,#4553, +#4554),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,5.E-1,7.5E-1, +1.E0),.UNSPECIFIED.); +#4568=CIRCLE('',#4567,6.E-1); +#4573=CIRCLE('',#4572,6.E-1); +#4578=CIRCLE('',#4577,6.E-1); +#4591=CIRCLE('',#4590,1.223509736837E0); +#4608=CIRCLE('',#4607,1.223509736837E0); +#4631=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4625,#4626,#4627,#4628,#4629,#4630), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#4645=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4632,#4633,#4634,#4635,#4636,#4637,#4638, +#4639,#4640,#4641,#4642,#4643,#4644),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#4655=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4646,#4647,#4648,#4649,#4650,#4651,#4652, +#4653,#4654),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#4666=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4660,#4661,#4662,#4663,#4664,#4665), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#4677=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4667,#4668,#4669,#4670,#4671,#4672,#4673, +#4674,#4675,#4676),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#4691=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4678,#4679,#4680,#4681,#4682,#4683,#4684, +#4685,#4686,#4687,#4688,#4689,#4690),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#4700=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4692,#4693,#4694,#4695,#4696,#4697,#4698, +#4699),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,8.75E-1, +1.E0),.UNSPECIFIED.); +#4713=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4709,#4710,#4711,#4712),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#4726=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4722,#4723,#4724,#4725),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#4755=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4747,#4748,#4749,#4750,#4751,#4752,#4753, +#4754),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,5.E-1,7.5E-1, +1.E0),.UNSPECIFIED.); +#4768=CIRCLE('',#4767,6.E-1); +#4773=CIRCLE('',#4772,6.E-1); +#4778=CIRCLE('',#4777,6.E-1); +#4783=CIRCLE('',#4782,6.E-1); +#4788=CIRCLE('',#4787,6.E-1); +#4793=CIRCLE('',#4792,6.E-1); +#4802=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4794,#4795,#4796,#4797,#4798,#4799,#4800, +#4801),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#4807=CIRCLE('',#4806,1.823509736837E0); +#4816=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4808,#4809,#4810,#4811,#4812,#4813,#4814, +#4815),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#4834=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4821,#4822,#4823,#4824,#4825,#4826,#4827, +#4828,#4829,#4830,#4831,#4832,#4833),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#4862=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4855,#4856,#4857,#4858,#4859,#4860, +#4861),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#4873=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4863,#4864,#4865,#4866,#4867,#4868,#4869, +#4870,#4871,#4872),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0,2.5E-1,5.E-1, +6.25E-1,7.5E-1,8.75E-1,9.375E-1,1.E0),.UNSPECIFIED.); +#4896=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4886,#4887,#4888,#4889,#4890,#4891,#4892, +#4893,#4894,#4895),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0,6.25E-2, +1.25E-1,2.5E-1,3.75E-1,5.E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#4904=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4897,#4898,#4899,#4900,#4901,#4902, +#4903),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#4923=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4909,#4910,#4911,#4912,#4913,#4914,#4915, +#4916,#4917,#4918,#4919,#4920,#4921,#4922),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1, +1,1,1,1,4),(0.E0,9.090909090909E-2,1.818181818182E-1,2.727272727273E-1, +3.636363636364E-1,4.545454545455E-1,5.454545454545E-1,6.363636363636E-1, +7.272727272727E-1,8.181818181818E-1,9.090909090909E-1,1.E0),.UNSPECIFIED.); +#4944=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4940,#4941,#4942,#4943),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#4953=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4949,#4950,#4951,#4952),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#4974=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4958,#4959,#4960,#4961,#4962,#4963,#4964, +#4965,#4966,#4967,#4968,#4969,#4970,#4971,#4972,#4973),.UNSPECIFIED.,.F.,.F.,(4, +1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,7.692307692308E-2,1.538461538462E-1, +2.307692307692E-1,3.076923076923E-1,3.846153846154E-1,4.615384615385E-1, +5.384615384615E-1,6.153846153846E-1,6.923076923077E-1,7.692307692308E-1, +8.461538461538E-1,9.230769230769E-1,1.E0),.UNSPECIFIED.); +#4991=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4975,#4976,#4977,#4978,#4979,#4980,#4981, +#4982,#4983,#4984,#4985,#4986,#4987,#4988,#4989,#4990),.UNSPECIFIED.,.F.,.F.,(4, +1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,7.692307692308E-2,1.538461538462E-1, +2.307692307692E-1,3.076923076923E-1,3.846153846154E-1,4.615384615385E-1, +5.384615384615E-1,6.153846153846E-1,6.923076923077E-1,7.692307692308E-1, +8.461538461538E-1,9.230769230769E-1,1.E0),.UNSPECIFIED.); +#4996=CIRCLE('',#4995,5.E-1); +#5001=CIRCLE('',#5000,1.8E-1); +#5006=CIRCLE('',#5005,5.E-1); +#5011=CIRCLE('',#5010,5.E-1); +#5016=CIRCLE('',#5015,1.8E-1); +#5021=CIRCLE('',#5020,5.E-1); +#5026=CIRCLE('',#5025,1.823509736837E0); +#5031=CIRCLE('',#5030,1.823509736837E0); +#5036=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5032,#5033,#5034,#5035),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5045=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5041,#5042,#5043,#5044),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5054=CIRCLE('',#5053,6.E-1); +#5059=CIRCLE('',#5058,6.E-1); +#5064=CIRCLE('',#5063,6.E-1); +#5069=CIRCLE('',#5068,1.8E-1); +#5074=CIRCLE('',#5073,5.E-1); +#5079=CIRCLE('',#5078,5.E-1); +#5084=CIRCLE('',#5083,1.8E-1); +#5089=CIRCLE('',#5088,5.E-1); +#5094=CIRCLE('',#5093,6.E-1); +#5099=CIRCLE('',#5098,6.E-1); +#5104=CIRCLE('',#5103,6.E-1); +#5109=CIRCLE('',#5108,6.E-1); +#5114=CIRCLE('',#5113,6.E-1); +#5119=CIRCLE('',#5118,6.E-1); +#5229=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5224,#5225,#5226,#5227,#5228), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#5234=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5230,#5231,#5232,#5233),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5291=CIRCLE('',#5290,5.E-1); +#5300=CIRCLE('',#5299,5.E-1); +#5305=CIRCLE('',#5304,5.E-1); +#5331=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5326,#5327,#5328,#5329,#5330), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#5412=CIRCLE('',#5411,7.2E0); +#5429=CIRCLE('',#5428,1.E0); +#5438=CIRCLE('',#5437,4.E0); +#5447=CIRCLE('',#5446,1.3E1); +#5452=CIRCLE('',#5451,4.E0); +#5461=CIRCLE('',#5460,1.E0); +#5470=CIRCLE('',#5469,5.28E0); +#5491=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5483,#5484,#5485,#5486,#5487,#5488,#5489, +#5490),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#5496=CIRCLE('',#5495,5.E-1); +#5501=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5497,#5498,#5499,#5500),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5510=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5502,#5503,#5504,#5505,#5506,#5507,#5508, +#5509),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,5.E-1,7.5E-1, +1.E0),.UNSPECIFIED.); +#5548=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5539,#5540,#5541,#5542,#5543,#5544,#5545, +#5546,#5547),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,3.75E-1, +5.E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#5562=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5553,#5554,#5555,#5556,#5557,#5558,#5559, +#5560,#5561),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,2.5E-1,5.E-1,6.25E-1, +7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#5613=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5607,#5608,#5609,#5610,#5611,#5612), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,5.E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#5619=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5614,#5615,#5616,#5617,#5618), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#5648=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5636,#5637,#5638,#5639,#5640,#5641,#5642, +#5643,#5644,#5645,#5646,#5647),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#5669=CIRCLE('',#5668,5.5E0); +#5680=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5674,#5675,#5676,#5677,#5678,#5679), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5685=CIRCLE('',#5684,5.5E0); +#5706=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5694,#5695,#5696,#5697,#5698,#5699,#5700, +#5701,#5702,#5703,#5704,#5705),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#5715=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5707,#5708,#5709,#5710,#5711,#5712,#5713, +#5714),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,5.E-1,7.5E-1, +1.E0),.UNSPECIFIED.); +#5724=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5716,#5717,#5718,#5719,#5720,#5721,#5722, +#5723),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,8.75E-1, +1.E0),.UNSPECIFIED.); +#5829=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5821,#5822,#5823,#5824,#5825,#5826,#5827, +#5828),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,8.75E-1, +1.E0),.UNSPECIFIED.); +#5838=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5830,#5831,#5832,#5833,#5834,#5835,#5836, +#5837),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,5.E-1,7.5E-1, +1.E0),.UNSPECIFIED.); +#5843=CIRCLE('',#5842,3.E-1); +#5848=CIRCLE('',#5847,3.E-1); +#5869=CIRCLE('',#5868,5.5E0); +#5886=CIRCLE('',#5885,5.5E0); +#5903=CIRCLE('',#5902,5.5E0); +#5912=CIRCLE('',#5911,5.5E0); +#5925=CIRCLE('',#5924,3.E-1); +#5946=CIRCLE('',#5945,5.5E0); +#5963=CIRCLE('',#5962,5.5E0); +#5988=CIRCLE('',#5987,5.5E0); +#6001=CIRCLE('',#6000,5.5E0); +#6026=CIRCLE('',#6025,5.5E0); +#6035=CIRCLE('',#6034,5.5E0); +#6052=CIRCLE('',#6051,5.5E0); +#6073=CIRCLE('',#6072,5.5E0); +#6086=CIRCLE('',#6085,3.E-1); +#6095=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6087,#6088,#6089,#6090,#6091,#6092,#6093, +#6094),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,5.E-1,7.5E-1, +1.E0),.UNSPECIFIED.); +#6104=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6096,#6097,#6098,#6099,#6100,#6101,#6102, +#6103),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,8.75E-1, +1.E0),.UNSPECIFIED.); +#6109=CIRCLE('',#6108,3.E-1); +#6142=CIRCLE('',#6141,6.7E0); +#6159=CIRCLE('',#6158,7.2E0); +#6168=CIRCLE('',#6167,7.2E0); +#6197=CIRCLE('',#6196,6.7E0); +#6250=CIRCLE('',#6249,5.5E0); +#6271=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6267,#6268,#6269,#6270),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6297=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6292,#6293,#6294,#6295,#6296), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#6308=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6302,#6303,#6304,#6305,#6306,#6307), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,5.E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#6313=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6309,#6310,#6311,#6312),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6320=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6314,#6315,#6316,#6317,#6318,#6319), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#6325=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6321,#6322,#6323,#6324),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6336=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6330,#6331,#6332,#6333,#6334,#6335), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#6341=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6337,#6338,#6339,#6340),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6374=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6370,#6371,#6372,#6373),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6379=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6375,#6376,#6377,#6378),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6388=CIRCLE('',#6387,5.879999938897E0); +#6397=CIRCLE('',#6396,6.399998658900E0); +#6406=CIRCLE('',#6405,6.399998658900E0); +#6415=CIRCLE('',#6414,5.879995441173E0); +#6424=CIRCLE('',#6423,6.399998658900E0); +#6433=CIRCLE('',#6432,6.399998658900E0); +#6442=CIRCLE('',#6441,6.399998658900E0); +#6451=CIRCLE('',#6450,6.399998658900E0); +#6488=CIRCLE('',#6487,6.299999400682E0); +#6497=CIRCLE('',#6496,6.299999400682E0); +#6538=CIRCLE('',#6537,6.799999815072E0); +#6547=CIRCLE('',#6546,6.799998291336E0); +#6560=CIRCLE('',#6559,8.E-1); +#6597=CIRCLE('',#6596,6.299999400682E0); +#6606=CIRCLE('',#6605,6.299999400682E0); +#6643=CIRCLE('',#6642,8.E-1); +#6868=CIRCLE('',#6867,5.8E0); +#6881=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6873,#6874,#6875,#6876,#6877,#6878,#6879, +#6880),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,5.E-1,7.5E-1, +1.E0),.UNSPECIFIED.); +#6986=CIRCLE('',#6985,5.8E0); +#6995=CIRCLE('',#6994,3.E0); +#7004=CIRCLE('',#7003,3.E0); +#7013=CIRCLE('',#7012,5.8E0); +#7062=CIRCLE('',#7061,5.8E0); +#7071=CIRCLE('',#7070,3.E0); +#7080=CIRCLE('',#7079,3.E0); +#7089=CIRCLE('',#7088,5.8E0); +#7110=CIRCLE('',#7109,5.8E0); +#7119=CIRCLE('',#7118,3.E0); +#7128=CIRCLE('',#7127,3.E0); +#7137=CIRCLE('',#7136,5.8E0); +#7162=CIRCLE('',#7161,5.28E0); +#7171=CIRCLE('',#7170,4.E0); +#7180=CIRCLE('',#7179,6.E0); +#7189=CIRCLE('',#7188,5.28E0); +#7222=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7218,#7219,#7220,#7221),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#7239=CIRCLE('',#7238,5.8E0); +#7244=CIRCLE('',#7243,5.8E0); +#7257=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7249,#7250,#7251,#7252,#7253,#7254,#7255, +#7256),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,5.E-1,7.5E-1, +1.E0),.UNSPECIFIED.); +#7310=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7306,#7307,#7308,#7309),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#7383=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7379,#7380,#7381,#7382),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#7400=CIRCLE('',#7399,5.8E0); +#7405=CIRCLE('',#7404,5.8E0); +#7418=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7410,#7411,#7412,#7413,#7414,#7415,#7416, +#7417),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,5.E-1,7.5E-1, +1.E0),.UNSPECIFIED.); +#7427=CIRCLE('',#7426,5.8E0); +#7440=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7432,#7433,#7434,#7435,#7436,#7437,#7438, +#7439),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,8.75E-1, +1.E0),.UNSPECIFIED.); +#7445=CIRCLE('',#7444,5.8E0); +#7566=CIRCLE('',#7565,5.8E0); +#7575=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7567,#7568,#7569,#7570,#7571,#7572,#7573, +#7574),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,8.75E-1, +1.E0),.UNSPECIFIED.); +#7608=CIRCLE('',#7607,3.E0); +#7613=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7609,#7610,#7611,#7612),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#13646=CIRCLE('',#13645,3.E0); +#13655=CIRCLE('',#13654,6.E0); +#13884=CIRCLE('',#13883,3.E0); +#13893=CIRCLE('',#13892,3.E0); +#13974=CIRCLE('',#13973,3.E-1); +#13984=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13979,#13980,#13981,#13982,#13983), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#13990=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13985,#13986,#13987,#13988,#13989), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14000=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13995,#13996,#13997,#13998,#13999), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14006=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14001,#14002,#14003,#14004,#14005), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14011=CIRCLE('',#14010,3.E-1); +#14028=CIRCLE('',#14027,3.E-1); +#14045=CIRCLE('',#14044,3.E-1); +#14067=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14062,#14063,#14064,#14065,#14066), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14073=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14068,#14069,#14070,#14071,#14072), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14083=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14078,#14079,#14080,#14081,#14082), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14089=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14084,#14085,#14086,#14087,#14088), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14211=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14206,#14207,#14208,#14209,#14210), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14217=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14212,#14213,#14214,#14215,#14216), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14227=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14222,#14223,#14224,#14225,#14226), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14233=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14228,#14229,#14230,#14231,#14232), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14243=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14238,#14239,#14240,#14241,#14242), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14249=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14244,#14245,#14246,#14247,#14248), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14259=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14254,#14255,#14256,#14257,#14258), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14265=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14260,#14261,#14262,#14263,#14264), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14270=CIRCLE('',#14269,3.E-1); +#14287=CIRCLE('',#14286,3.E-1); +#14304=CIRCLE('',#14303,3.E-1); +#14321=CIRCLE('',#14320,3.E-1); +#14343=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14338,#14339,#14340,#14341,#14342), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14349=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14344,#14345,#14346,#14347,#14348), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14359=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14354,#14355,#14356,#14357,#14358), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14365=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14360,#14361,#14362,#14363,#14364), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14375=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14370,#14371,#14372,#14373,#14374), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14381=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14376,#14377,#14378,#14379,#14380), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14391=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14386,#14387,#14388,#14389,#14390), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14397=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14392,#14393,#14394,#14395,#14396), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14402=CIRCLE('',#14401,3.E-1); +#14419=CIRCLE('',#14418,3.E-1); +#14436=CIRCLE('',#14435,3.E-1); +#14453=CIRCLE('',#14452,3.E-1); +#14475=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14470,#14471,#14472,#14473,#14474), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14481=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14476,#14477,#14478,#14479,#14480), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14491=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14486,#14487,#14488,#14489,#14490), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14497=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14492,#14493,#14494,#14495,#14496), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14503=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14498,#14499,#14500,#14501,#14502), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14513=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14508,#14509,#14510,#14511,#14512), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14518=CIRCLE('',#14517,3.E-1); +#14535=CIRCLE('',#14534,3.E-1); +#14552=CIRCLE('',#14551,3.E-1); +#14569=CIRCLE('',#14568,3.E-1); +#14591=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14586,#14587,#14588,#14589,#14590), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14597=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14592,#14593,#14594,#14595,#14596), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14607=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14602,#14603,#14604,#14605,#14606), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14613=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14608,#14609,#14610,#14611,#14612), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14623=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14618,#14619,#14620,#14621,#14622), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14629=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14624,#14625,#14626,#14627,#14628), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14639=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14634,#14635,#14636,#14637,#14638), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14645=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14640,#14641,#14642,#14643,#14644), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14650=CIRCLE('',#14649,3.E-1); +#14667=CIRCLE('',#14666,3.E-1); +#14684=CIRCLE('',#14683,3.E-1); +#14701=CIRCLE('',#14700,3.E-1); +#14723=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14718,#14719,#14720,#14721,#14722), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14729=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14724,#14725,#14726,#14727,#14728), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14739=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14734,#14735,#14736,#14737,#14738), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14745=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14740,#14741,#14742,#14743,#14744), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14755=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14750,#14751,#14752,#14753,#14754), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14761=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14756,#14757,#14758,#14759,#14760), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14771=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14766,#14767,#14768,#14769,#14770), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14777=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14772,#14773,#14774,#14775,#14776), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14782=CIRCLE('',#14781,3.E-1); +#14799=CIRCLE('',#14798,3.E-1); +#14816=CIRCLE('',#14815,3.E-1); +#14833=CIRCLE('',#14832,3.E-1); +#14855=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14850,#14851,#14852,#14853,#14854), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14861=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14856,#14857,#14858,#14859,#14860), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14871=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14866,#14867,#14868,#14869,#14870), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14877=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14872,#14873,#14874,#14875,#14876), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14887=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14882,#14883,#14884,#14885,#14886), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14893=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14888,#14889,#14890,#14891,#14892), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14903=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14898,#14899,#14900,#14901,#14902), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14909=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14904,#14905,#14906,#14907,#14908), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14914=CIRCLE('',#14913,3.E-1); +#14931=CIRCLE('',#14930,3.E-1); +#14948=CIRCLE('',#14947,3.E-1); +#14965=CIRCLE('',#14964,3.E-1); +#14987=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14982,#14983,#14984,#14985,#14986), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#14993=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14988,#14989,#14990,#14991,#14992), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#28574=CIRCLE('',#28573,3.E0); +#28579=B_SPLINE_CURVE_WITH_KNOTS('',3,(#28575,#28576,#28577,#28578), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#28589=B_SPLINE_CURVE_WITH_KNOTS('',3,(#28584,#28585,#28586,#28587,#28588), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#28594=B_SPLINE_CURVE_WITH_KNOTS('',3,(#28590,#28591,#28592,#28593), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#28619=B_SPLINE_CURVE_WITH_KNOTS('',3,(#28615,#28616,#28617,#28618), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#28637=B_SPLINE_CURVE_WITH_KNOTS('',3,(#28632,#28633,#28634,#28635,#28636), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#28643=B_SPLINE_CURVE_WITH_KNOTS('',3,(#28638,#28639,#28640,#28641,#28642), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#28664=CIRCLE('',#28663,3.E0); +#28669=B_SPLINE_CURVE_WITH_KNOTS('',3,(#28665,#28666,#28667,#28668), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#28762=B_SPLINE_CURVE_WITH_KNOTS('',3,(#28754,#28755,#28756,#28757,#28758, +#28759,#28760,#28761),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1, +5.E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#28767=CIRCLE('',#28766,5.28E0); +#28860=B_SPLINE_CURVE_WITH_KNOTS('',3,(#28856,#28857,#28858,#28859), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#28869=CIRCLE('',#28868,5.28E0); +#28882=B_SPLINE_CURVE_WITH_KNOTS('',3,(#28874,#28875,#28876,#28877,#28878, +#28879,#28880,#28881),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.5E-1,5.E-1, +7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#28887=CIRCLE('',#28886,5.28E0); +#28916=B_SPLINE_CURVE_WITH_KNOTS('',3,(#28912,#28913,#28914,#28915), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#28969=CIRCLE('',#28968,5.8E0); +#28982=B_SPLINE_CURVE_WITH_KNOTS('',3,(#28974,#28975,#28976,#28977,#28978, +#28979,#28980,#28981),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.5E-1,5.E-1, +7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#28987=CIRCLE('',#28986,5.8E0); +#29044=CIRCLE('',#29043,1.399999609935E0); +#29065=CIRCLE('',#29064,1.399999609935E0); +#29086=CIRCLE('',#29085,1.399999609935E0); +#29107=CIRCLE('',#29106,1.399999609935E0); +#29116=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29112,#29113,#29114,#29115), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#29129=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29125,#29126,#29127,#29128), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#29138=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29134,#29135,#29136,#29137), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#29151=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29147,#29148,#29149,#29150), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#29180=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29176,#29177,#29178,#29179), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#29193=CIRCLE('',#29192,7.2E0); +#29202=CIRCLE('',#29201,7.2E0); +#29223=CIRCLE('',#29222,7.2E0); +#29236=CIRCLE('',#29235,5.5E0); +#29249=CIRCLE('',#29248,5.5E0); +#29254=CIRCLE('',#29253,5.5E0); +#29263=CIRCLE('',#29262,5.5E0); +#29272=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29268,#29269,#29270,#29271), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#29289=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29285,#29286,#29287,#29288), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#29314=CIRCLE('',#29313,1.399999609935E0); +#29331=CIRCLE('',#29330,1.399999609935E0); +#29356=CIRCLE('',#29355,1.399999609935E0); +#29377=CIRCLE('',#29376,1.399999609935E0); +#29386=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29382,#29383,#29384,#29385), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#29399=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29395,#29396,#29397,#29398), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#29408=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29404,#29405,#29406,#29407), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#29421=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29417,#29418,#29419,#29420), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#29442=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29438,#29439,#29440,#29441), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#29449=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29443,#29444,#29445,#29446,#29447, +#29448),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1, +6.666666666667E-1,1.E0),.UNSPECIFIED.); +#29462=CIRCLE('',#29461,5.5E0); +#29469=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29463,#29464,#29465,#29466,#29467, +#29468),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1, +6.666666666667E-1,1.E0),.UNSPECIFIED.); +#29478=CIRCLE('',#29477,5.5E0); +#29491=CIRCLE('',#29490,5.5E0); +#29496=CIRCLE('',#29495,5.5E0); +#29517=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29513,#29514,#29515,#29516), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#29526=CIRCLE('',#29525,6.7E0); +#29551=CIRCLE('',#29550,6.7E0); +#29576=CIRCLE('',#29575,6.7E0); +#29617=CIRCLE('',#29616,5.5E0); +#29638=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29634,#29635,#29636,#29637), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#29664=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29659,#29660,#29661,#29662,#29663), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#29675=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29669,#29670,#29671,#29672,#29673, +#29674),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,5.E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#29680=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29676,#29677,#29678,#29679), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#29687=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29681,#29682,#29683,#29684,#29685, +#29686),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1, +6.666666666667E-1,1.E0),.UNSPECIFIED.); +#29692=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29688,#29689,#29690,#29691), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#29703=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29697,#29698,#29699,#29700,#29701, +#29702),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1, +6.666666666667E-1,1.E0),.UNSPECIFIED.); +#29708=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29704,#29705,#29706,#29707), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#29733=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29729,#29730,#29731,#29732), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#29742=CIRCLE('',#29741,2.E0); +#29755=CIRCLE('',#29754,2.E0); +#29764=CIRCLE('',#29763,2.E0); +#29769=CIRCLE('',#29768,2.E0); +#29774=CIRCLE('',#29773,2.E0); +#29791=CIRCLE('',#29790,5.099999590667E0); +#29808=CIRCLE('',#29807,5.099999143442E0); +#29821=CIRCLE('',#29820,2.E0); +#29826=CIRCLE('',#29825,2.E0); +#29831=CIRCLE('',#29830,2.E0); +#29840=CIRCLE('',#29839,2.E0); +#29845=CIRCLE('',#29844,2.E0); +#29850=CIRCLE('',#29849,2.E0); +#29863=CIRCLE('',#29862,5.099999143442E0); +#29876=CIRCLE('',#29875,2.E0); +#29881=CIRCLE('',#29880,2.E0); +#29886=CIRCLE('',#29885,2.E0); +#29899=CIRCLE('',#29898,5.099999143442E0); +#29909=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29904,#29905,#29906,#29907,#29908), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#29916=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29910,#29911,#29912,#29913,#29914, +#29915),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,2.5E-1,5.E-1,1.E0),.UNSPECIFIED.); +#29937=CIRCLE('',#29936,2.E0); +#29950=CIRCLE('',#29949,2.E0); +#29968=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29963,#29964,#29965,#29966,#29967), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#29979=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29973,#29974,#29975,#29976,#29977, +#29978),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,5.E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#29988=CIRCLE('',#29987,2.E0); +#29997=CIRCLE('',#29996,1.699999836726E0); +#30002=CIRCLE('',#30001,1.699999836726E0); +#30007=CIRCLE('',#30006,1.699999836726E0); +#30012=CIRCLE('',#30011,1.699999836726E0); +#30017=CIRCLE('',#30016,1.699999836726E0); +#30022=CIRCLE('',#30021,1.699999836726E0); +#30027=CIRCLE('',#30026,1.699999836726E0); +#30032=CIRCLE('',#30031,1.699999836726E0); +#30045=CIRCLE('',#30044,2.E0); +#30058=CIRCLE('',#30057,2.E0); +#30064=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30059,#30060,#30061,#30062,#30063), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#30071=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30065,#30066,#30067,#30068,#30069, +#30070),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,2.5E-1,5.E-1,1.E0),.UNSPECIFIED.); +#30092=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30088,#30089,#30090,#30091), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#30101=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30097,#30098,#30099,#30100), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#30114=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30110,#30111,#30112,#30113), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#30143=CIRCLE('',#30142,5.5E0); +#30148=CIRCLE('',#30147,5.5E0); +#30165=CIRCLE('',#30164,5.5E0); +#30178=CIRCLE('',#30177,6.5E0); +#30223=CIRCLE('',#30222,6.7E0); +#30240=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30236,#30237,#30238,#30239), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#30249=CIRCLE('',#30248,6.7E0); +#30270=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30266,#30267,#30268,#30269), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#30287=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30283,#30284,#30285,#30286), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#30300=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30296,#30297,#30298,#30299), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#30309=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30305,#30306,#30307,#30308), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#30322=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30318,#30319,#30320,#30321), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#30351=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30347,#30348,#30349,#30350), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#30356=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30352,#30353,#30354,#30355), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#30377=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30373,#30374,#30375,#30376), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#30388=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30382,#30383,#30384,#30385,#30386, +#30387),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1, +6.666666666667E-1,1.E0),.UNSPECIFIED.); +#30394=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30389,#30390,#30391,#30392,#30393), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#30401=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30395,#30396,#30397,#30398,#30399, +#30400),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,2.5E-1,5.E-1,1.E0),.UNSPECIFIED.); +#30422=CIRCLE('',#30421,2.E0); +#30435=CIRCLE('',#30434,2.E0); +#30448=CIRCLE('',#30447,5.099999143442E0); +#30461=CIRCLE('',#30460,2.E0); +#30466=CIRCLE('',#30465,2.E0); +#30471=CIRCLE('',#30470,2.E0); +#30484=CIRCLE('',#30483,5.099999596630E0); +#30501=CIRCLE('',#30500,2.E0); +#30506=CIRCLE('',#30505,2.E0); +#30511=CIRCLE('',#30510,2.E0); +#30524=CIRCLE('',#30523,5.099999143442E0); +#30537=CIRCLE('',#30536,2.E0); +#30542=CIRCLE('',#30541,2.E0); +#30547=CIRCLE('',#30546,2.E0); +#30560=CIRCLE('',#30559,5.099999143442E0); +#30573=CIRCLE('',#30572,2.E0); +#30578=CIRCLE('',#30577,2.E0); +#30583=CIRCLE('',#30582,2.E0); +#30589=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30584,#30585,#30586,#30587,#30588), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#30600=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30594,#30595,#30596,#30597,#30598, +#30599),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,5.E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#30605=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30601,#30602,#30603,#30604), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#30630=CIRCLE('',#30629,2.E0); +#30643=CIRCLE('',#30642,2.E0); +#30649=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30644,#30645,#30646,#30647,#30648), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#30656=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30650,#30651,#30652,#30653,#30654, +#30655),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,2.5E-1,5.E-1,1.E0),.UNSPECIFIED.); +#30661=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30657,#30658,#30659,#30660), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#30686=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30682,#30683,#30684,#30685), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#30697=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30691,#30692,#30693,#30694,#30695, +#30696),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1, +6.666666666667E-1,1.E0),.UNSPECIFIED.); +#30704=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30698,#30699,#30700,#30701,#30702, +#30703),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1, +6.666666666667E-1,1.E0),.UNSPECIFIED.); +#30713=CIRCLE('',#30712,5.5E0); +#30726=CIRCLE('',#30725,5.5E0); +#30747=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30735,#30736,#30737,#30738,#30739, +#30740,#30741,#30742,#30743,#30744,#30745,#30746),.UNSPECIFIED.,.F.,.F.,(4,1,1, +1,1,1,1,1,1,4),(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1, +4.444444444444E-1,5.555555555556E-1,6.666666666667E-1,7.777777777778E-1, +8.888888888889E-1,1.E0),.UNSPECIFIED.); +#30756=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30748,#30749,#30750,#30751,#30752, +#30753,#30754,#30755),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1, +5.E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#30765=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30757,#30758,#30759,#30760,#30761, +#30762,#30763,#30764),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.5E-1,5.E-1, +7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#30778=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30766,#30767,#30768,#30769,#30770, +#30771,#30772,#30773,#30774,#30775,#30776,#30777),.UNSPECIFIED.,.F.,.F.,(4,1,1, +1,1,1,1,1,1,4),(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1, +4.444444444444E-1,5.555555555556E-1,6.666666666667E-1,7.777777777778E-1, +8.888888888889E-1,1.E0),.UNSPECIFIED.); +#30807=CIRCLE('',#30806,5.5E0); +#30832=CIRCLE('',#30831,5.5E0); +#30841=CIRCLE('',#30840,5.5E0); +#30858=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30854,#30855,#30856,#30857), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#30871=CIRCLE('',#30870,6.7E0); +#30912=CIRCLE('',#30911,6.5E0); +#30917=CIRCLE('',#30916,6.5E0); +#30922=CIRCLE('',#30921,6.5E0); +#30939=CIRCLE('',#30938,6.5E0); +#30964=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30960,#30961,#30962,#30963), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#30973=B_SPLINE_CURVE_WITH_KNOTS('',3,(#30969,#30970,#30971,#30972), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#30978=CIRCLE('',#30977,8.E-1); +#30987=CIRCLE('',#30986,7.2E0); +#31024=CIRCLE('',#31023,4.E0); +#31033=CIRCLE('',#31032,1.E0); +#31042=CIRCLE('',#31041,1.E0); +#31051=CIRCLE('',#31050,4.E0); +#31056=CIRCLE('',#31055,1.3E1); +#31061=CIRCLE('',#31060,6.5E0); +#31070=CIRCLE('',#31069,6.5E0); +#31079=CIRCLE('',#31078,6.5E0); +#31088=CIRCLE('',#31087,6.5E0); +#31101=CIRCLE('',#31100,8.E-1); +#31108=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31102,#31103,#31104,#31105,#31106, +#31107),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,5.E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#31115=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31109,#31110,#31111,#31112,#31113, +#31114),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,2.5E-1,5.E-1,1.E0),.UNSPECIFIED.); +#31169=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31160,#31161,#31162,#31163,#31164, +#31165,#31166,#31167,#31168),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0, +1.666666666667E-1,3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1, +1.E0),.UNSPECIFIED.); +#31179=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31174,#31175,#31176,#31177,#31178), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#31184=CIRCLE('',#31183,5.E-1); +#31189=CIRCLE('',#31188,5.E-1); +#31222=CIRCLE('',#31221,5.E-1); +#31239=CIRCLE('',#31238,6.5E0); +#31252=CIRCLE('',#31251,6.5E0); +#31309=CIRCLE('',#31308,6.5E0); +#31314=CIRCLE('',#31313,5.5E0); +#31323=CIRCLE('',#31322,5.5E0); +#31342=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31336,#31337,#31338,#31339,#31340, +#31341),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1, +6.666666666667E-1,1.E0),.UNSPECIFIED.); +#31349=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31343,#31344,#31345,#31346,#31347, +#31348),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1, +6.666666666667E-1,1.E0),.UNSPECIFIED.); +#31354=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31350,#31351,#31352,#31353), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#31359=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31355,#31356,#31357,#31358), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#31385=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31380,#31381,#31382,#31383,#31384), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#31396=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31390,#31391,#31392,#31393,#31394, +#31395),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,5.E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#31401=CIRCLE('',#31400,2.E0); +#31414=CIRCLE('',#31413,2.E0); +#31420=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31415,#31416,#31417,#31418,#31419), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#31427=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31421,#31422,#31423,#31424,#31425, +#31426),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,2.5E-1,5.E-1,1.E0),.UNSPECIFIED.); +#31432=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31428,#31429,#31430,#31431), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#31457=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31453,#31454,#31455,#31456), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#31470=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31466,#31467,#31468,#31469), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#31499=CIRCLE('',#31498,2.E0); +#31508=CIRCLE('',#31507,1.699999836726E0); +#31513=CIRCLE('',#31512,1.699999836726E0); +#31518=CIRCLE('',#31517,1.699999836726E0); +#31523=CIRCLE('',#31522,1.699999836726E0); +#31528=CIRCLE('',#31527,1.699999836726E0); +#31533=CIRCLE('',#31532,1.699999836726E0); +#31538=CIRCLE('',#31537,1.699999836726E0); +#31543=CIRCLE('',#31542,1.699999836726E0); +#31560=CIRCLE('',#31559,2.E0); +#31573=CIRCLE('',#31572,2.E0); +#31586=CIRCLE('',#31585,2.E0); +#31592=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31587,#31588,#31589,#31590,#31591), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#31599=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31593,#31594,#31595,#31596,#31597, +#31598),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,2.5E-1,5.E-1,1.E0),.UNSPECIFIED.); +#31620=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31616,#31617,#31618,#31619), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#31631=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31625,#31626,#31627,#31628,#31629, +#31630),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1, +6.666666666667E-1,1.E0),.UNSPECIFIED.); +#31638=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31632,#31633,#31634,#31635,#31636, +#31637),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1, +6.666666666667E-1,1.E0),.UNSPECIFIED.); +#31643=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31639,#31640,#31641,#31642), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#31649=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31644,#31645,#31646,#31647,#31648), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#31660=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31654,#31655,#31656,#31657,#31658, +#31659),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,5.E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#31681=CIRCLE('',#31680,5.5E0); +#31694=CIRCLE('',#31693,5.5E0); +#31715=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31703,#31704,#31705,#31706,#31707, +#31708,#31709,#31710,#31711,#31712,#31713,#31714),.UNSPECIFIED.,.F.,.F.,(4,1,1, +1,1,1,1,1,1,4),(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1, +4.444444444444E-1,5.555555555556E-1,6.666666666667E-1,7.777777777778E-1, +8.888888888889E-1,1.E0),.UNSPECIFIED.); +#31724=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31716,#31717,#31718,#31719,#31720, +#31721,#31722,#31723),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1, +5.E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#31733=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31725,#31726,#31727,#31728,#31729, +#31730,#31731,#31732),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.5E-1,5.E-1, +7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#31746=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31734,#31735,#31736,#31737,#31738, +#31739,#31740,#31741,#31742,#31743,#31744,#31745),.UNSPECIFIED.,.F.,.F.,(4,1,1, +1,1,1,1,1,1,4),(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1, +4.444444444444E-1,5.555555555556E-1,6.666666666667E-1,7.777777777778E-1, +8.888888888889E-1,1.E0),.UNSPECIFIED.); +#31775=CIRCLE('',#31774,2.E0); +#31792=CIRCLE('',#31791,2.E0); +#31801=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31797,#31798,#31799,#31800), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#31830=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31826,#31827,#31828,#31829), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#31835=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31831,#31832,#31833,#31834), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#31844=CIRCLE('',#31843,3.E-1); +#31853=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31845,#31846,#31847,#31848,#31849, +#31850,#31851,#31852),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1, +5.E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#31862=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31854,#31855,#31856,#31857,#31858, +#31859,#31860,#31861),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.5E-1,5.E-1, +7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#31867=CIRCLE('',#31866,3.E-1); +#31888=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31884,#31885,#31886,#31887), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#31914=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31909,#31910,#31911,#31912,#31913), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#31925=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31919,#31920,#31921,#31922,#31923, +#31924),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,5.E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#31930=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31926,#31927,#31928,#31929), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#31937=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31931,#31932,#31933,#31934,#31935, +#31936),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1, +6.666666666667E-1,1.E0),.UNSPECIFIED.); +#31942=CIRCLE('',#31941,5.5E0); +#31955=CIRCLE('',#31954,5.5E0); +#31984=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31972,#31973,#31974,#31975,#31976, +#31977,#31978,#31979,#31980,#31981,#31982,#31983),.UNSPECIFIED.,.F.,.F.,(4,1,1, +1,1,1,1,1,1,4),(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1, +4.444444444444E-1,5.555555555556E-1,6.666666666667E-1,7.777777777778E-1, +8.888888888889E-1,1.E0),.UNSPECIFIED.); +#31993=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31985,#31986,#31987,#31988,#31989, +#31990,#31991,#31992),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1, +5.E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#32002=B_SPLINE_CURVE_WITH_KNOTS('',3,(#31994,#31995,#31996,#31997,#31998, +#31999,#32000,#32001),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.5E-1,5.E-1, +7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#32015=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32003,#32004,#32005,#32006,#32007, +#32008,#32009,#32010,#32011,#32012,#32013,#32014),.UNSPECIFIED.,.F.,.F.,(4,1,1, +1,1,1,1,1,1,4),(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1, +4.444444444444E-1,5.555555555556E-1,6.666666666667E-1,7.777777777778E-1, +8.888888888889E-1,1.E0),.UNSPECIFIED.); +#32050=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32044,#32045,#32046,#32047,#32048, +#32049),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1, +6.666666666667E-1,1.E0),.UNSPECIFIED.); +#32055=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32051,#32052,#32053,#32054), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#32066=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32060,#32061,#32062,#32063,#32064, +#32065),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1, +6.666666666667E-1,1.E0),.UNSPECIFIED.); +#32071=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32067,#32068,#32069,#32070), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#32077=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32072,#32073,#32074,#32075,#32076), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#32088=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32082,#32083,#32084,#32085,#32086, +#32087),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,5.E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#32109=CIRCLE('',#32108,2.E0); +#32122=CIRCLE('',#32121,2.E0); +#32135=CIRCLE('',#32134,2.E0); +#32141=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32136,#32137,#32138,#32139,#32140), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#32148=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32142,#32143,#32144,#32145,#32146, +#32147),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,2.5E-1,5.E-1,1.E0),.UNSPECIFIED.); +#32169=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32165,#32166,#32167,#32168), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#32182=CIRCLE('',#32181,2.E0); +#32199=CIRCLE('',#32198,2.E0); +#32208=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32204,#32205,#32206,#32207), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#32219=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32213,#32214,#32215,#32216,#32217, +#32218),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1, +6.666666666667E-1,1.E0),.UNSPECIFIED.); +#32240=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32236,#32237,#32238,#32239), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#32245=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32241,#32242,#32243,#32244), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#32255=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32250,#32251,#32252,#32253,#32254), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#32262=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32256,#32257,#32258,#32259,#32260, +#32261),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,2.5E-1,5.E-1,1.E0),.UNSPECIFIED.); +#32283=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32279,#32280,#32281,#32282), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#32292=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32288,#32289,#32290,#32291), +.UNSPECIFIED.,.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#32301=CIRCLE('',#32300,3.E-1); +#32310=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32302,#32303,#32304,#32305,#32306, +#32307,#32308,#32309),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1, +5.E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#32319=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32311,#32312,#32313,#32314,#32315, +#32316,#32317,#32318),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.5E-1,5.E-1, +7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#32324=CIRCLE('',#32323,3.E-1); +#32345=CIRCLE('',#32344,5.28E0); +#32370=CIRCLE('',#32369,5.E-1); +#32391=CIRCLE('',#32390,5.E-1); +#32436=CIRCLE('',#32435,1.E0); +#32445=CIRCLE('',#32444,2.9E0); +#32454=CIRCLE('',#32453,2.E0); +#32487=CIRCLE('',#32486,5.E0); +#32492=CIRCLE('',#32491,5.E0); +#32497=CIRCLE('',#32496,5.E0); +#32515=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32502,#32503,#32504,#32505,#32506, +#32507,#32508,#32509,#32510,#32511,#32512,#32513,#32514),.UNSPECIFIED.,.F.,.F.,( +4,1,1,1,1,1,1,1,1,1,4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1, +9.E-1,1.E0),.UNSPECIFIED.); +#32545=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32532,#32533,#32534,#32535,#32536, +#32537,#32538,#32539,#32540,#32541,#32542,#32543,#32544),.UNSPECIFIED.,.F.,.F.,( +4,1,1,1,1,1,1,1,1,1,4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1, +9.E-1,1.E0),.UNSPECIFIED.); +#32550=CIRCLE('',#32549,5.E-1); +#32555=CIRCLE('',#32554,5.E-1); +#32560=CIRCLE('',#32559,5.E-1); +#32565=CIRCLE('',#32564,5.E-1); +#32570=CIRCLE('',#32569,5.E-1); +#32575=CIRCLE('',#32574,5.E-1); +#32580=CIRCLE('',#32579,5.E-1); +#32594=B_SPLINE_CURVE_WITH_KNOTS('',3,(#32581,#32582,#32583,#32584,#32585, +#32586,#32587,#32588,#32589,#32590,#32591,#32592,#32593),.UNSPECIFIED.,.F.,.F.,( +4,1,1,1,1,1,1,1,1,1,4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1, +9.E-1,1.E0),.UNSPECIFIED.); +#32611=CIRCLE('',#32610,5.E-1); +#32784=CIRCLE('',#32783,5.E-1); +#32793=CIRCLE('',#32792,5.E-1); +#33454=CIRCLE('',#33453,1.63E0); +#33467=CIRCLE('',#33466,1.E0); +#33484=CIRCLE('',#33483,1.13E0); +#33497=CIRCLE('',#33496,5.E-1); +#33582=CIRCLE('',#33581,1.E0); +#33595=CIRCLE('',#33594,1.63E0); +#33612=CIRCLE('',#33611,5.E-1); +#33625=CIRCLE('',#33624,1.13E0); +#33718=CIRCLE('',#33717,1.63E0); +#33731=CIRCLE('',#33730,1.E0); +#33748=CIRCLE('',#33747,1.13E0); +#33761=CIRCLE('',#33760,5.E-1); +#33898=CIRCLE('',#33897,1.E0); +#33911=CIRCLE('',#33910,1.63E0); +#33928=CIRCLE('',#33927,5.E-1); +#33941=CIRCLE('',#33940,1.13E0); +#33982=CIRCLE('',#33981,1.63E0); +#33995=CIRCLE('',#33994,1.E0); +#34012=CIRCLE('',#34011,1.13E0); +#34025=CIRCLE('',#34024,5.E-1); +#34158=CIRCLE('',#34157,1.E0); +#34171=CIRCLE('',#34170,1.63E0); +#34188=CIRCLE('',#34187,5.E-1); +#34201=CIRCLE('',#34200,1.13E0); +#34246=CIRCLE('',#34245,1.63E0); +#34259=CIRCLE('',#34258,1.E0); +#34276=CIRCLE('',#34275,1.13E0); +#34289=CIRCLE('',#34288,5.E-1); +#34374=CIRCLE('',#34373,1.E0); +#34387=CIRCLE('',#34386,1.63E0); +#34404=CIRCLE('',#34403,5.E-1); +#34417=CIRCLE('',#34416,1.13E0); +#34510=CIRCLE('',#34509,1.63E0); +#34523=CIRCLE('',#34522,1.E0); +#34540=CIRCLE('',#34539,1.13E0); +#34553=CIRCLE('',#34552,5.E-1); +#34686=CIRCLE('',#34685,1.E0); +#34699=CIRCLE('',#34698,1.63E0); +#34716=CIRCLE('',#34715,5.E-1); +#34729=CIRCLE('',#34728,1.13E0); +#34774=CIRCLE('',#34773,1.63E0); +#34787=CIRCLE('',#34786,1.E0); +#34804=CIRCLE('',#34803,1.13E0); +#34817=CIRCLE('',#34816,5.E-1); +#34902=CIRCLE('',#34901,1.E0); +#34915=CIRCLE('',#34914,1.63E0); +#34932=CIRCLE('',#34931,5.E-1); +#34945=CIRCLE('',#34944,1.13E0); +#35038=CIRCLE('',#35037,1.63E0); +#35051=CIRCLE('',#35050,1.E0); +#35068=CIRCLE('',#35067,1.13E0); +#35081=CIRCLE('',#35080,5.E-1); +#35214=CIRCLE('',#35213,1.E0); +#35227=CIRCLE('',#35226,1.63E0); +#35244=CIRCLE('',#35243,5.E-1); +#35257=CIRCLE('',#35256,1.13E0); +#35302=CIRCLE('',#35301,1.63E0); +#35315=CIRCLE('',#35314,1.E0); +#35332=CIRCLE('',#35331,1.13E0); +#35345=CIRCLE('',#35344,5.E-1); +#35430=CIRCLE('',#35429,1.E0); +#35443=CIRCLE('',#35442,1.63E0); +#35460=CIRCLE('',#35459,5.E-1); +#35473=CIRCLE('',#35472,1.13E0); +#35566=CIRCLE('',#35565,1.63E0); +#35579=CIRCLE('',#35578,1.E0); +#35596=CIRCLE('',#35595,1.13E0); +#35609=CIRCLE('',#35608,5.E-1); +#35742=CIRCLE('',#35741,1.E0); +#35755=CIRCLE('',#35754,1.63E0); +#35772=CIRCLE('',#35771,5.E-1); +#35785=CIRCLE('',#35784,1.13E0); +#35830=CIRCLE('',#35829,1.63E0); +#35843=CIRCLE('',#35842,1.E0); +#35860=CIRCLE('',#35859,1.13E0); +#35873=CIRCLE('',#35872,5.E-1); +#35958=CIRCLE('',#35957,1.E0); +#35971=CIRCLE('',#35970,1.63E0); +#35988=CIRCLE('',#35987,5.E-1); +#36001=CIRCLE('',#36000,1.13E0); +#36094=CIRCLE('',#36093,1.63E0); +#36107=CIRCLE('',#36106,1.E0); +#36124=CIRCLE('',#36123,1.13E0); +#36137=CIRCLE('',#36136,5.E-1); +#36270=CIRCLE('',#36269,1.E0); +#36283=CIRCLE('',#36282,1.63E0); +#36300=CIRCLE('',#36299,5.E-1); +#36313=CIRCLE('',#36312,1.13E0); +#36358=CIRCLE('',#36357,1.63E0); +#36371=CIRCLE('',#36370,1.E0); +#36388=CIRCLE('',#36387,1.13E0); +#36401=CIRCLE('',#36400,5.E-1); +#36486=CIRCLE('',#36485,1.E0); +#36499=CIRCLE('',#36498,1.63E0); +#36516=CIRCLE('',#36515,5.E-1); +#36529=CIRCLE('',#36528,1.13E0); +#36622=CIRCLE('',#36621,1.63E0); +#36635=CIRCLE('',#36634,1.E0); +#36652=CIRCLE('',#36651,1.13E0); +#36665=CIRCLE('',#36664,5.E-1); +#36798=CIRCLE('',#36797,1.E0); +#36811=CIRCLE('',#36810,1.63E0); +#36828=CIRCLE('',#36827,5.E-1); +#36841=CIRCLE('',#36840,1.13E0); +#36886=CIRCLE('',#36885,1.63E0); +#36899=CIRCLE('',#36898,1.E0); +#36916=CIRCLE('',#36915,1.13E0); +#36929=CIRCLE('',#36928,5.E-1); +#37014=CIRCLE('',#37013,1.E0); +#37027=CIRCLE('',#37026,1.63E0); +#37044=CIRCLE('',#37043,5.E-1); +#37057=CIRCLE('',#37056,1.13E0); +#37150=CIRCLE('',#37149,1.63E0); +#37163=CIRCLE('',#37162,1.E0); +#37180=CIRCLE('',#37179,1.13E0); +#37193=CIRCLE('',#37192,5.E-1); +#37326=CIRCLE('',#37325,1.E0); +#37339=CIRCLE('',#37338,1.63E0); +#37356=CIRCLE('',#37355,5.E-1); +#37369=CIRCLE('',#37368,1.13E0); +#37414=CIRCLE('',#37413,1.63E0); +#37427=CIRCLE('',#37426,1.E0); +#37444=CIRCLE('',#37443,1.13E0); +#37457=CIRCLE('',#37456,5.E-1); +#37542=CIRCLE('',#37541,1.E0); +#37555=CIRCLE('',#37554,1.63E0); +#37572=CIRCLE('',#37571,5.E-1); +#37585=CIRCLE('',#37584,1.13E0); +#37678=CIRCLE('',#37677,1.63E0); +#37691=CIRCLE('',#37690,1.E0); +#37708=CIRCLE('',#37707,1.13E0); +#37721=CIRCLE('',#37720,5.E-1); +#37854=CIRCLE('',#37853,1.E0); +#37867=CIRCLE('',#37866,1.63E0); +#37884=CIRCLE('',#37883,5.E-1); +#37897=CIRCLE('',#37896,1.13E0); +#37942=CIRCLE('',#37941,1.63E0); +#37955=CIRCLE('',#37954,1.E0); +#37972=CIRCLE('',#37971,1.13E0); +#37985=CIRCLE('',#37984,5.E-1); +#38070=CIRCLE('',#38069,1.E0); +#38083=CIRCLE('',#38082,1.63E0); +#38100=CIRCLE('',#38099,5.E-1); +#38113=CIRCLE('',#38112,1.13E0); +#38206=CIRCLE('',#38205,1.63E0); +#38219=CIRCLE('',#38218,1.E0); +#38236=CIRCLE('',#38235,1.13E0); +#38249=CIRCLE('',#38248,5.E-1); +#38382=CIRCLE('',#38381,1.E0); +#38395=CIRCLE('',#38394,1.63E0); +#38412=CIRCLE('',#38411,5.E-1); +#38425=CIRCLE('',#38424,1.13E0); +#38470=CIRCLE('',#38469,1.63E0); +#38483=CIRCLE('',#38482,1.E0); +#38500=CIRCLE('',#38499,1.13E0); +#38513=CIRCLE('',#38512,5.E-1); +#38598=CIRCLE('',#38597,1.E0); +#38611=CIRCLE('',#38610,1.63E0); +#38628=CIRCLE('',#38627,5.E-1); +#38641=CIRCLE('',#38640,1.13E0); +#38734=CIRCLE('',#38733,1.63E0); +#38747=CIRCLE('',#38746,1.E0); +#38764=CIRCLE('',#38763,1.13E0); +#38777=CIRCLE('',#38776,5.E-1); +#38910=CIRCLE('',#38909,1.E0); +#38923=CIRCLE('',#38922,1.63E0); +#38940=CIRCLE('',#38939,5.E-1); +#38953=CIRCLE('',#38952,1.13E0); +#38998=CIRCLE('',#38997,1.63E0); +#39011=CIRCLE('',#39010,1.E0); +#39028=CIRCLE('',#39027,1.13E0); +#39041=CIRCLE('',#39040,5.E-1); +#39126=CIRCLE('',#39125,1.E0); +#39139=CIRCLE('',#39138,1.63E0); +#39156=CIRCLE('',#39155,5.E-1); +#39169=CIRCLE('',#39168,1.13E0); +#39262=CIRCLE('',#39261,1.63E0); +#39275=CIRCLE('',#39274,1.E0); +#39292=CIRCLE('',#39291,1.13E0); +#39305=CIRCLE('',#39304,5.E-1); +#39438=CIRCLE('',#39437,1.E0); +#39451=CIRCLE('',#39450,1.63E0); +#39468=CIRCLE('',#39467,5.E-1); +#39481=CIRCLE('',#39480,1.13E0); +#39526=CIRCLE('',#39525,1.63E0); +#39539=CIRCLE('',#39538,1.E0); +#39556=CIRCLE('',#39555,1.13E0); +#39569=CIRCLE('',#39568,5.E-1); +#39654=CIRCLE('',#39653,1.E0); +#39667=CIRCLE('',#39666,1.63E0); +#39684=CIRCLE('',#39683,5.E-1); +#39697=CIRCLE('',#39696,1.13E0); +#39790=CIRCLE('',#39789,1.63E0); +#39803=CIRCLE('',#39802,1.E0); +#39820=CIRCLE('',#39819,1.13E0); +#39833=CIRCLE('',#39832,5.E-1); +#39966=CIRCLE('',#39965,1.E0); +#39979=CIRCLE('',#39978,1.63E0); +#39996=CIRCLE('',#39995,5.E-1); +#40009=CIRCLE('',#40008,1.13E0); +#40054=CIRCLE('',#40053,1.63E0); +#40067=CIRCLE('',#40066,1.E0); +#40084=CIRCLE('',#40083,1.13E0); +#40097=CIRCLE('',#40096,5.E-1); +#40182=CIRCLE('',#40181,1.E0); +#40195=CIRCLE('',#40194,1.63E0); +#40212=CIRCLE('',#40211,5.E-1); +#40225=CIRCLE('',#40224,1.13E0); +#40318=CIRCLE('',#40317,1.63E0); +#40331=CIRCLE('',#40330,1.E0); +#40348=CIRCLE('',#40347,1.13E0); +#40361=CIRCLE('',#40360,5.E-1); +#40494=CIRCLE('',#40493,1.E0); +#40507=CIRCLE('',#40506,1.63E0); +#40524=CIRCLE('',#40523,5.E-1); +#40537=CIRCLE('',#40536,1.13E0); +#40582=CIRCLE('',#40581,1.63E0); +#40595=CIRCLE('',#40594,1.E0); +#40612=CIRCLE('',#40611,1.13E0); +#40625=CIRCLE('',#40624,5.E-1); +#40710=CIRCLE('',#40709,1.E0); +#40723=CIRCLE('',#40722,1.63E0); +#40740=CIRCLE('',#40739,5.E-1); +#40753=CIRCLE('',#40752,1.13E0); +#40846=CIRCLE('',#40845,1.63E0); +#40859=CIRCLE('',#40858,1.E0); +#40876=CIRCLE('',#40875,1.13E0); +#40889=CIRCLE('',#40888,5.E-1); +#41022=CIRCLE('',#41021,1.E0); +#41035=CIRCLE('',#41034,1.63E0); +#41052=CIRCLE('',#41051,5.E-1); +#41065=CIRCLE('',#41064,1.13E0); +#41110=CIRCLE('',#41109,1.63E0); +#41123=CIRCLE('',#41122,1.E0); +#41140=CIRCLE('',#41139,1.13E0); +#41153=CIRCLE('',#41152,5.E-1); +#41238=CIRCLE('',#41237,1.E0); +#41251=CIRCLE('',#41250,1.63E0); +#41268=CIRCLE('',#41267,5.E-1); +#41281=CIRCLE('',#41280,1.13E0); +#41374=CIRCLE('',#41373,1.63E0); +#41387=CIRCLE('',#41386,1.E0); +#41404=CIRCLE('',#41403,1.13E0); +#41417=CIRCLE('',#41416,5.E-1); +#41550=CIRCLE('',#41549,1.E0); +#41563=CIRCLE('',#41562,1.63E0); +#41580=CIRCLE('',#41579,5.E-1); +#41593=CIRCLE('',#41592,1.13E0); +#41638=CIRCLE('',#41637,1.63E0); +#41651=CIRCLE('',#41650,1.E0); +#41668=CIRCLE('',#41667,1.13E0); +#41681=CIRCLE('',#41680,5.E-1); +#41766=CIRCLE('',#41765,1.E0); +#41779=CIRCLE('',#41778,1.63E0); +#41796=CIRCLE('',#41795,5.E-1); +#41809=CIRCLE('',#41808,1.13E0); +#41902=CIRCLE('',#41901,1.63E0); +#41915=CIRCLE('',#41914,1.E0); +#41932=CIRCLE('',#41931,1.13E0); +#41945=CIRCLE('',#41944,5.E-1); +#42078=CIRCLE('',#42077,1.E0); +#42091=CIRCLE('',#42090,1.63E0); +#42108=CIRCLE('',#42107,5.E-1); +#42121=CIRCLE('',#42120,1.13E0); +#42166=CIRCLE('',#42165,1.63E0); +#42179=CIRCLE('',#42178,1.E0); +#42196=CIRCLE('',#42195,1.13E0); +#42209=CIRCLE('',#42208,5.E-1); +#42294=CIRCLE('',#42293,1.E0); +#42307=CIRCLE('',#42306,1.63E0); +#42324=CIRCLE('',#42323,5.E-1); +#42337=CIRCLE('',#42336,1.13E0); +#42430=CIRCLE('',#42429,1.63E0); +#42443=CIRCLE('',#42442,1.E0); +#42460=CIRCLE('',#42459,1.13E0); +#42473=CIRCLE('',#42472,5.E-1); +#42606=CIRCLE('',#42605,1.E0); +#42619=CIRCLE('',#42618,1.63E0); +#42636=CIRCLE('',#42635,5.E-1); +#42649=CIRCLE('',#42648,1.13E0); +#42694=CIRCLE('',#42693,1.63E0); +#42707=CIRCLE('',#42706,1.E0); +#42724=CIRCLE('',#42723,1.13E0); +#42737=CIRCLE('',#42736,5.E-1); +#42822=CIRCLE('',#42821,1.E0); +#42835=CIRCLE('',#42834,1.63E0); +#42852=CIRCLE('',#42851,5.E-1); +#42865=CIRCLE('',#42864,1.13E0); +#42958=CIRCLE('',#42957,1.63E0); +#42971=CIRCLE('',#42970,1.E0); +#42988=CIRCLE('',#42987,1.13E0); +#43001=CIRCLE('',#43000,5.E-1); +#43134=CIRCLE('',#43133,1.E0); +#43147=CIRCLE('',#43146,1.63E0); +#43164=CIRCLE('',#43163,5.E-1); +#43177=CIRCLE('',#43176,1.13E0); +#43222=CIRCLE('',#43221,1.63E0); +#43235=CIRCLE('',#43234,1.E0); +#43252=CIRCLE('',#43251,1.13E0); +#43265=CIRCLE('',#43264,5.E-1); +#43350=CIRCLE('',#43349,1.E0); +#43363=CIRCLE('',#43362,1.63E0); +#43380=CIRCLE('',#43379,5.E-1); +#43393=CIRCLE('',#43392,1.13E0); +#43498=CIRCLE('',#43497,1.E0); +#43511=CIRCLE('',#43510,1.615E0); +#43576=CIRCLE('',#43575,1.615E0); +#43589=CIRCLE('',#43588,1.E0); +#43630=CIRCLE('',#43629,1.E0); +#43643=CIRCLE('',#43642,1.615E0); +#43708=CIRCLE('',#43707,1.615E0); +#43721=CIRCLE('',#43720,1.E0); +#43758=CIRCLE('',#43757,8.E-1); +#43771=CIRCLE('',#43770,1.415E0); +#43848=CIRCLE('',#43847,1.415E0); +#43861=CIRCLE('',#43860,8.E-1); +#43890=CIRCLE('',#43889,8.E-1); +#43903=CIRCLE('',#43902,1.415E0); +#43980=CIRCLE('',#43979,1.415E0); +#43993=CIRCLE('',#43992,8.E-1); +#57688=EDGE_CURVE('',#45647,#49762,#25,.T.); +#57690=EDGE_CURVE('',#49762,#49763,#2373,.T.); +#57692=EDGE_CURVE('',#45642,#49763,#2328,.T.); +#57694=EDGE_CURVE('',#45642,#45643,#29,.T.); +#57696=EDGE_CURVE('',#45643,#45674,#33,.T.); +#57698=EDGE_CURVE('',#45674,#45675,#37,.T.); +#57700=EDGE_CURVE('',#49719,#45675,#2299,.T.); +#57702=EDGE_CURVE('',#49718,#49719,#41,.T.); +#57704=EDGE_CURVE('',#49718,#45671,#45,.T.); +#57706=EDGE_CURVE('',#45671,#45669,#49,.T.); +#57708=EDGE_CURVE('',#45669,#45667,#53,.T.); +#57710=EDGE_CURVE('',#45667,#45665,#57,.T.); +#57712=EDGE_CURVE('',#45665,#45663,#61,.T.); +#57714=EDGE_CURVE('',#45663,#45661,#65,.T.); +#57716=EDGE_CURVE('',#45661,#45659,#69,.T.); +#57718=EDGE_CURVE('',#45659,#45657,#73,.T.); +#57720=EDGE_CURVE('',#45657,#45655,#77,.T.); +#57722=EDGE_CURVE('',#45655,#45653,#81,.T.); +#57724=EDGE_CURVE('',#45653,#45651,#85,.T.); +#57726=EDGE_CURVE('',#45651,#45649,#89,.T.); +#57728=EDGE_CURVE('',#45649,#45646,#93,.T.); +#57730=EDGE_CURVE('',#45646,#45647,#97,.T.); +#57734=EDGE_CURVE('',#45806,#45807,#16,.T.); +#57736=EDGE_CURVE('',#45807,#45806,#21,.T.); +#57740=EDGE_CURVE('',#45802,#45803,#6,.T.); +#57742=EDGE_CURVE('',#45803,#45802,#11,.T.); +#57746=EDGE_CURVE('',#51139,#51143,#101,.T.); +#57748=EDGE_CURVE('',#51139,#51149,#105,.T.); +#57750=EDGE_CURVE('',#51149,#51151,#109,.T.); +#57752=EDGE_CURVE('',#51143,#51151,#43547,.T.); +#57756=EDGE_CURVE('',#51159,#51155,#113,.T.); +#57758=EDGE_CURVE('',#51159,#51167,#117,.T.); +#57760=EDGE_CURVE('',#51167,#51165,#121,.T.); +#57762=EDGE_CURVE('',#51155,#51165,#43667,.T.); +#57766=EDGE_CURVE('',#51211,#51217,#125,.T.); +#57768=EDGE_CURVE('',#51211,#51225,#129,.T.); +#57770=EDGE_CURVE('',#51225,#51229,#133,.T.); +#57772=EDGE_CURVE('',#51217,#51229,#43815,.T.); +#57776=EDGE_CURVE('',#51249,#51243,#137,.T.); +#57778=EDGE_CURVE('',#51249,#51261,#141,.T.); +#57780=EDGE_CURVE('',#51261,#51257,#145,.T.); +#57782=EDGE_CURVE('',#51243,#51257,#43935,.T.); +#57786=EDGE_CURVE('',#51313,#51317,#149,.T.); +#57788=EDGE_CURVE('',#51317,#51305,#153,.T.); +#57790=EDGE_CURVE('',#51299,#51305,#157,.T.); +#57792=EDGE_CURVE('',#51313,#51299,#33525,.T.); +#57796=EDGE_CURVE('',#51337,#51341,#161,.T.); +#57798=EDGE_CURVE('',#51341,#51329,#165,.T.); +#57800=EDGE_CURVE('',#51323,#51329,#169,.T.); +#57802=EDGE_CURVE('',#51337,#51323,#33529,.T.); +#57806=EDGE_CURVE('',#51365,#51361,#173,.T.); +#57808=EDGE_CURVE('',#51361,#51347,#177,.T.); +#57810=EDGE_CURVE('',#51353,#51347,#181,.T.); +#57812=EDGE_CURVE('',#51365,#51353,#33841,.T.); +#57816=EDGE_CURVE('',#51389,#51385,#185,.T.); +#57818=EDGE_CURVE('',#51385,#51371,#189,.T.); +#57820=EDGE_CURVE('',#51377,#51371,#193,.T.); +#57822=EDGE_CURVE('',#51389,#51377,#33845,.T.); +#57826=EDGE_CURVE('',#51490,#51506,#197,.T.); +#57828=EDGE_CURVE('',#51506,#51507,#201,.T.); +#57830=EDGE_CURVE('',#51491,#51507,#205,.T.); +#57832=EDGE_CURVE('',#51490,#51491,#34053,.T.); +#57836=EDGE_CURVE('',#51494,#51510,#209,.T.); +#57838=EDGE_CURVE('',#51510,#51511,#213,.T.); +#57840=EDGE_CURVE('',#51495,#51511,#217,.T.); +#57842=EDGE_CURVE('',#51494,#51495,#34057,.T.); +#57846=EDGE_CURVE('',#51542,#51526,#221,.T.); +#57848=EDGE_CURVE('',#51526,#51527,#225,.T.); +#57850=EDGE_CURVE('',#51543,#51527,#229,.T.); +#57852=EDGE_CURVE('',#51542,#51543,#34333,.T.); +#57856=EDGE_CURVE('',#51538,#51522,#233,.T.); +#57858=EDGE_CURVE('',#51522,#51523,#237,.T.); +#57860=EDGE_CURVE('',#51539,#51523,#241,.T.); +#57862=EDGE_CURVE('',#51538,#51539,#34329,.T.); +#57866=EDGE_CURVE('',#51714,#51730,#245,.T.); +#57868=EDGE_CURVE('',#51730,#51731,#249,.T.); +#57870=EDGE_CURVE('',#51715,#51731,#253,.T.); +#57872=EDGE_CURVE('',#51714,#51715,#34581,.T.); +#57876=EDGE_CURVE('',#51718,#51734,#257,.T.); +#57878=EDGE_CURVE('',#51734,#51735,#261,.T.); +#57880=EDGE_CURVE('',#51719,#51735,#265,.T.); +#57882=EDGE_CURVE('',#51718,#51719,#34585,.T.); +#57886=EDGE_CURVE('',#51766,#51750,#269,.T.); +#57888=EDGE_CURVE('',#51750,#51751,#273,.T.); +#57890=EDGE_CURVE('',#51767,#51751,#277,.T.); +#57892=EDGE_CURVE('',#51766,#51767,#34861,.T.); +#57896=EDGE_CURVE('',#51762,#51746,#281,.T.); +#57898=EDGE_CURVE('',#51746,#51747,#285,.T.); +#57900=EDGE_CURVE('',#51763,#51747,#289,.T.); +#57902=EDGE_CURVE('',#51762,#51763,#34857,.T.); +#57906=EDGE_CURVE('',#51874,#51890,#293,.T.); +#57908=EDGE_CURVE('',#51890,#51891,#297,.T.); +#57910=EDGE_CURVE('',#51875,#51891,#301,.T.); +#57912=EDGE_CURVE('',#51874,#51875,#35109,.T.); +#57916=EDGE_CURVE('',#51878,#51894,#305,.T.); +#57918=EDGE_CURVE('',#51894,#51895,#309,.T.); +#57920=EDGE_CURVE('',#51879,#51895,#313,.T.); +#57922=EDGE_CURVE('',#51878,#51879,#35113,.T.); +#57926=EDGE_CURVE('',#51926,#51910,#317,.T.); +#57928=EDGE_CURVE('',#51910,#51911,#321,.T.); +#57930=EDGE_CURVE('',#51927,#51911,#325,.T.); +#57932=EDGE_CURVE('',#51926,#51927,#35389,.T.); +#57936=EDGE_CURVE('',#51922,#51906,#329,.T.); +#57938=EDGE_CURVE('',#51906,#51907,#333,.T.); +#57940=EDGE_CURVE('',#51923,#51907,#337,.T.); +#57942=EDGE_CURVE('',#51922,#51923,#35385,.T.); +#57946=EDGE_CURVE('',#52034,#52050,#341,.T.); +#57948=EDGE_CURVE('',#52050,#52051,#345,.T.); +#57950=EDGE_CURVE('',#52035,#52051,#349,.T.); +#57952=EDGE_CURVE('',#52034,#52035,#35637,.T.); +#57956=EDGE_CURVE('',#52038,#52054,#353,.T.); +#57958=EDGE_CURVE('',#52054,#52055,#357,.T.); +#57960=EDGE_CURVE('',#52039,#52055,#361,.T.); +#57962=EDGE_CURVE('',#52038,#52039,#35641,.T.); +#57966=EDGE_CURVE('',#52086,#52070,#365,.T.); +#57968=EDGE_CURVE('',#52070,#52071,#369,.T.); +#57970=EDGE_CURVE('',#52087,#52071,#373,.T.); +#57972=EDGE_CURVE('',#52086,#52087,#35917,.T.); +#57976=EDGE_CURVE('',#52082,#52066,#377,.T.); +#57978=EDGE_CURVE('',#52066,#52067,#381,.T.); +#57980=EDGE_CURVE('',#52083,#52067,#385,.T.); +#57982=EDGE_CURVE('',#52082,#52083,#35913,.T.); +#57986=EDGE_CURVE('',#52194,#52210,#389,.T.); +#57988=EDGE_CURVE('',#52210,#52211,#393,.T.); +#57990=EDGE_CURVE('',#52195,#52211,#397,.T.); +#57992=EDGE_CURVE('',#52194,#52195,#36165,.T.); +#57996=EDGE_CURVE('',#52198,#52214,#401,.T.); +#57998=EDGE_CURVE('',#52214,#52215,#405,.T.); +#58000=EDGE_CURVE('',#52199,#52215,#409,.T.); +#58002=EDGE_CURVE('',#52198,#52199,#36169,.T.); +#58006=EDGE_CURVE('',#52246,#52230,#413,.T.); +#58008=EDGE_CURVE('',#52230,#52231,#417,.T.); +#58010=EDGE_CURVE('',#52247,#52231,#421,.T.); +#58012=EDGE_CURVE('',#52246,#52247,#36445,.T.); +#58016=EDGE_CURVE('',#52242,#52226,#425,.T.); +#58018=EDGE_CURVE('',#52226,#52227,#429,.T.); +#58020=EDGE_CURVE('',#52243,#52227,#433,.T.); +#58022=EDGE_CURVE('',#52242,#52243,#36441,.T.); +#58026=EDGE_CURVE('',#52354,#52370,#437,.T.); +#58028=EDGE_CURVE('',#52370,#52371,#441,.T.); +#58030=EDGE_CURVE('',#52355,#52371,#445,.T.); +#58032=EDGE_CURVE('',#52354,#52355,#36693,.T.); +#58036=EDGE_CURVE('',#52358,#52374,#449,.T.); +#58038=EDGE_CURVE('',#52374,#52375,#453,.T.); +#58040=EDGE_CURVE('',#52359,#52375,#457,.T.); +#58042=EDGE_CURVE('',#52358,#52359,#36697,.T.); +#58046=EDGE_CURVE('',#52406,#52390,#461,.T.); +#58048=EDGE_CURVE('',#52390,#52391,#465,.T.); +#58050=EDGE_CURVE('',#52407,#52391,#469,.T.); +#58052=EDGE_CURVE('',#52406,#52407,#36973,.T.); +#58056=EDGE_CURVE('',#52402,#52386,#473,.T.); +#58058=EDGE_CURVE('',#52386,#52387,#477,.T.); +#58060=EDGE_CURVE('',#52403,#52387,#481,.T.); +#58062=EDGE_CURVE('',#52402,#52403,#36969,.T.); +#58066=EDGE_CURVE('',#52514,#52530,#485,.T.); +#58068=EDGE_CURVE('',#52530,#52531,#489,.T.); +#58070=EDGE_CURVE('',#52515,#52531,#493,.T.); +#58072=EDGE_CURVE('',#52514,#52515,#37221,.T.); +#58076=EDGE_CURVE('',#52518,#52534,#497,.T.); +#58078=EDGE_CURVE('',#52534,#52535,#501,.T.); +#58080=EDGE_CURVE('',#52519,#52535,#505,.T.); +#58082=EDGE_CURVE('',#52518,#52519,#37225,.T.); +#58086=EDGE_CURVE('',#52566,#52550,#509,.T.); +#58088=EDGE_CURVE('',#52550,#52551,#513,.T.); +#58090=EDGE_CURVE('',#52567,#52551,#517,.T.); +#58092=EDGE_CURVE('',#52566,#52567,#37501,.T.); +#58096=EDGE_CURVE('',#52562,#52546,#521,.T.); +#58098=EDGE_CURVE('',#52546,#52547,#525,.T.); +#58100=EDGE_CURVE('',#52563,#52547,#529,.T.); +#58102=EDGE_CURVE('',#52562,#52563,#37497,.T.); +#58106=EDGE_CURVE('',#52674,#52690,#533,.T.); +#58108=EDGE_CURVE('',#52690,#52691,#537,.T.); +#58110=EDGE_CURVE('',#52675,#52691,#541,.T.); +#58112=EDGE_CURVE('',#52674,#52675,#37749,.T.); +#58116=EDGE_CURVE('',#52678,#52694,#545,.T.); +#58118=EDGE_CURVE('',#52694,#52695,#549,.T.); +#58120=EDGE_CURVE('',#52679,#52695,#553,.T.); +#58122=EDGE_CURVE('',#52678,#52679,#37753,.T.); +#58126=EDGE_CURVE('',#52726,#52710,#557,.T.); +#58128=EDGE_CURVE('',#52710,#52711,#561,.T.); +#58130=EDGE_CURVE('',#52727,#52711,#565,.T.); +#58132=EDGE_CURVE('',#52726,#52727,#38029,.T.); +#58136=EDGE_CURVE('',#52722,#52706,#569,.T.); +#58138=EDGE_CURVE('',#52706,#52707,#573,.T.); +#58140=EDGE_CURVE('',#52723,#52707,#577,.T.); +#58142=EDGE_CURVE('',#52722,#52723,#38025,.T.); +#58146=EDGE_CURVE('',#52834,#52850,#581,.T.); +#58148=EDGE_CURVE('',#52850,#52851,#585,.T.); +#58150=EDGE_CURVE('',#52835,#52851,#589,.T.); +#58152=EDGE_CURVE('',#52834,#52835,#38277,.T.); +#58156=EDGE_CURVE('',#52838,#52854,#593,.T.); +#58158=EDGE_CURVE('',#52854,#52855,#597,.T.); +#58160=EDGE_CURVE('',#52839,#52855,#601,.T.); +#58162=EDGE_CURVE('',#52838,#52839,#38281,.T.); +#58166=EDGE_CURVE('',#52886,#52870,#605,.T.); +#58168=EDGE_CURVE('',#52870,#52871,#609,.T.); +#58170=EDGE_CURVE('',#52887,#52871,#613,.T.); +#58172=EDGE_CURVE('',#52886,#52887,#38557,.T.); +#58176=EDGE_CURVE('',#52882,#52866,#617,.T.); +#58178=EDGE_CURVE('',#52866,#52867,#621,.T.); +#58180=EDGE_CURVE('',#52883,#52867,#625,.T.); +#58182=EDGE_CURVE('',#52882,#52883,#38553,.T.); +#58186=EDGE_CURVE('',#52994,#53010,#629,.T.); +#58188=EDGE_CURVE('',#53010,#53011,#633,.T.); +#58190=EDGE_CURVE('',#52995,#53011,#637,.T.); +#58192=EDGE_CURVE('',#52994,#52995,#38805,.T.); +#58196=EDGE_CURVE('',#52998,#53014,#641,.T.); +#58198=EDGE_CURVE('',#53014,#53015,#645,.T.); +#58200=EDGE_CURVE('',#52999,#53015,#649,.T.); +#58202=EDGE_CURVE('',#52998,#52999,#38809,.T.); +#58206=EDGE_CURVE('',#53046,#53030,#653,.T.); +#58208=EDGE_CURVE('',#53030,#53031,#657,.T.); +#58210=EDGE_CURVE('',#53047,#53031,#661,.T.); +#58212=EDGE_CURVE('',#53046,#53047,#39085,.T.); +#58216=EDGE_CURVE('',#53042,#53026,#665,.T.); +#58218=EDGE_CURVE('',#53026,#53027,#669,.T.); +#58220=EDGE_CURVE('',#53043,#53027,#673,.T.); +#58222=EDGE_CURVE('',#53042,#53043,#39081,.T.); +#58226=EDGE_CURVE('',#53154,#53170,#677,.T.); +#58228=EDGE_CURVE('',#53170,#53171,#681,.T.); +#58230=EDGE_CURVE('',#53155,#53171,#685,.T.); +#58232=EDGE_CURVE('',#53154,#53155,#39333,.T.); +#58236=EDGE_CURVE('',#53158,#53174,#689,.T.); +#58238=EDGE_CURVE('',#53174,#53175,#693,.T.); +#58240=EDGE_CURVE('',#53159,#53175,#697,.T.); +#58242=EDGE_CURVE('',#53158,#53159,#39337,.T.); +#58246=EDGE_CURVE('',#53206,#53190,#701,.T.); +#58248=EDGE_CURVE('',#53190,#53191,#705,.T.); +#58250=EDGE_CURVE('',#53207,#53191,#709,.T.); +#58252=EDGE_CURVE('',#53206,#53207,#39613,.T.); +#58256=EDGE_CURVE('',#53202,#53186,#713,.T.); +#58258=EDGE_CURVE('',#53186,#53187,#717,.T.); +#58260=EDGE_CURVE('',#53203,#53187,#721,.T.); +#58262=EDGE_CURVE('',#53202,#53203,#39609,.T.); +#58266=EDGE_CURVE('',#53954,#53970,#725,.T.); +#58268=EDGE_CURVE('',#53970,#53971,#729,.T.); +#58270=EDGE_CURVE('',#53955,#53971,#733,.T.); +#58272=EDGE_CURVE('',#53954,#53955,#39861,.T.); +#58276=EDGE_CURVE('',#53958,#53974,#737,.T.); +#58278=EDGE_CURVE('',#53974,#53975,#741,.T.); +#58280=EDGE_CURVE('',#53959,#53975,#745,.T.); +#58282=EDGE_CURVE('',#53958,#53959,#39865,.T.); +#58286=EDGE_CURVE('',#54006,#53990,#749,.T.); +#58288=EDGE_CURVE('',#53990,#53991,#753,.T.); +#58290=EDGE_CURVE('',#54007,#53991,#757,.T.); +#58292=EDGE_CURVE('',#54006,#54007,#40141,.T.); +#58296=EDGE_CURVE('',#54002,#53986,#761,.T.); +#58298=EDGE_CURVE('',#53986,#53987,#765,.T.); +#58300=EDGE_CURVE('',#54003,#53987,#769,.T.); +#58302=EDGE_CURVE('',#54002,#54003,#40137,.T.); +#58306=EDGE_CURVE('',#54114,#54130,#773,.T.); +#58308=EDGE_CURVE('',#54130,#54131,#777,.T.); +#58310=EDGE_CURVE('',#54115,#54131,#781,.T.); +#58312=EDGE_CURVE('',#54114,#54115,#40389,.T.); +#58316=EDGE_CURVE('',#54118,#54134,#785,.T.); +#58318=EDGE_CURVE('',#54134,#54135,#789,.T.); +#58320=EDGE_CURVE('',#54119,#54135,#793,.T.); +#58322=EDGE_CURVE('',#54118,#54119,#40393,.T.); +#58326=EDGE_CURVE('',#54166,#54150,#797,.T.); +#58328=EDGE_CURVE('',#54150,#54151,#801,.T.); +#58330=EDGE_CURVE('',#54167,#54151,#805,.T.); +#58332=EDGE_CURVE('',#54166,#54167,#40669,.T.); +#58336=EDGE_CURVE('',#54162,#54146,#809,.T.); +#58338=EDGE_CURVE('',#54146,#54147,#813,.T.); +#58340=EDGE_CURVE('',#54163,#54147,#817,.T.); +#58342=EDGE_CURVE('',#54162,#54163,#40665,.T.); +#58346=EDGE_CURVE('',#54274,#54290,#821,.T.); +#58348=EDGE_CURVE('',#54290,#54291,#825,.T.); +#58350=EDGE_CURVE('',#54275,#54291,#829,.T.); +#58352=EDGE_CURVE('',#54274,#54275,#40917,.T.); +#58356=EDGE_CURVE('',#54278,#54294,#833,.T.); +#58358=EDGE_CURVE('',#54294,#54295,#837,.T.); +#58360=EDGE_CURVE('',#54279,#54295,#841,.T.); +#58362=EDGE_CURVE('',#54278,#54279,#40921,.T.); +#58366=EDGE_CURVE('',#54326,#54310,#845,.T.); +#58368=EDGE_CURVE('',#54310,#54311,#849,.T.); +#58370=EDGE_CURVE('',#54327,#54311,#853,.T.); +#58372=EDGE_CURVE('',#54326,#54327,#41197,.T.); +#58376=EDGE_CURVE('',#54322,#54306,#857,.T.); +#58378=EDGE_CURVE('',#54306,#54307,#861,.T.); +#58380=EDGE_CURVE('',#54323,#54307,#865,.T.); +#58382=EDGE_CURVE('',#54322,#54323,#41193,.T.); +#58386=EDGE_CURVE('',#54434,#54450,#869,.T.); +#58388=EDGE_CURVE('',#54450,#54451,#873,.T.); +#58390=EDGE_CURVE('',#54435,#54451,#877,.T.); +#58392=EDGE_CURVE('',#54434,#54435,#41445,.T.); +#58396=EDGE_CURVE('',#54438,#54454,#881,.T.); +#58398=EDGE_CURVE('',#54454,#54455,#885,.T.); +#58400=EDGE_CURVE('',#54439,#54455,#889,.T.); +#58402=EDGE_CURVE('',#54438,#54439,#41449,.T.); +#58406=EDGE_CURVE('',#54486,#54470,#893,.T.); +#58408=EDGE_CURVE('',#54470,#54471,#897,.T.); +#58410=EDGE_CURVE('',#54487,#54471,#901,.T.); +#58412=EDGE_CURVE('',#54486,#54487,#41725,.T.); +#58416=EDGE_CURVE('',#54482,#54466,#905,.T.); +#58418=EDGE_CURVE('',#54466,#54467,#909,.T.); +#58420=EDGE_CURVE('',#54483,#54467,#913,.T.); +#58422=EDGE_CURVE('',#54482,#54483,#41721,.T.); +#58426=EDGE_CURVE('',#54594,#54610,#917,.T.); +#58428=EDGE_CURVE('',#54610,#54611,#921,.T.); +#58430=EDGE_CURVE('',#54595,#54611,#925,.T.); +#58432=EDGE_CURVE('',#54594,#54595,#41973,.T.); +#58436=EDGE_CURVE('',#54598,#54614,#929,.T.); +#58438=EDGE_CURVE('',#54614,#54615,#933,.T.); +#58440=EDGE_CURVE('',#54599,#54615,#937,.T.); +#58442=EDGE_CURVE('',#54598,#54599,#41977,.T.); +#58446=EDGE_CURVE('',#54646,#54630,#941,.T.); +#58448=EDGE_CURVE('',#54630,#54631,#945,.T.); +#58450=EDGE_CURVE('',#54647,#54631,#949,.T.); +#58452=EDGE_CURVE('',#54646,#54647,#42253,.T.); +#58456=EDGE_CURVE('',#54642,#54626,#953,.T.); +#58458=EDGE_CURVE('',#54626,#54627,#957,.T.); +#58460=EDGE_CURVE('',#54643,#54627,#961,.T.); +#58462=EDGE_CURVE('',#54642,#54643,#42249,.T.); +#58466=EDGE_CURVE('',#54754,#54770,#965,.T.); +#58468=EDGE_CURVE('',#54770,#54771,#969,.T.); +#58470=EDGE_CURVE('',#54755,#54771,#973,.T.); +#58472=EDGE_CURVE('',#54754,#54755,#42501,.T.); +#58476=EDGE_CURVE('',#54758,#54774,#977,.T.); +#58478=EDGE_CURVE('',#54774,#54775,#981,.T.); +#58480=EDGE_CURVE('',#54759,#54775,#985,.T.); +#58482=EDGE_CURVE('',#54758,#54759,#42505,.T.); +#58486=EDGE_CURVE('',#54806,#54790,#989,.T.); +#58488=EDGE_CURVE('',#54790,#54791,#993,.T.); +#58490=EDGE_CURVE('',#54807,#54791,#997,.T.); +#58492=EDGE_CURVE('',#54806,#54807,#42781,.T.); +#58496=EDGE_CURVE('',#54802,#54786,#1001,.T.); +#58498=EDGE_CURVE('',#54786,#54787,#1005,.T.); +#58500=EDGE_CURVE('',#54803,#54787,#1009,.T.); +#58502=EDGE_CURVE('',#54802,#54803,#42777,.T.); +#58506=EDGE_CURVE('',#54914,#54930,#1013,.T.); +#58508=EDGE_CURVE('',#54930,#54931,#1017,.T.); +#58510=EDGE_CURVE('',#54915,#54931,#1021,.T.); +#58512=EDGE_CURVE('',#54914,#54915,#43029,.T.); +#58516=EDGE_CURVE('',#54918,#54934,#1025,.T.); +#58518=EDGE_CURVE('',#54934,#54935,#1029,.T.); +#58520=EDGE_CURVE('',#54919,#54935,#1033,.T.); +#58522=EDGE_CURVE('',#54918,#54919,#43033,.T.); +#58526=EDGE_CURVE('',#54966,#54950,#1037,.T.); +#58528=EDGE_CURVE('',#54950,#54951,#1041,.T.); +#58530=EDGE_CURVE('',#54967,#54951,#1045,.T.); +#58532=EDGE_CURVE('',#54966,#54967,#43309,.T.); +#58536=EDGE_CURVE('',#54962,#54946,#1049,.T.); +#58538=EDGE_CURVE('',#54946,#54947,#1053,.T.); +#58540=EDGE_CURVE('',#54963,#54947,#1057,.T.); +#58542=EDGE_CURVE('',#54962,#54963,#43305,.T.); +#58546=ADVANCED_FACE('',(#57733,#57739,#57745,#57755,#57765,#57775,#57785, +#57795,#57805,#57815,#57825,#57835,#57845,#57855,#57865,#57875,#57885,#57895, +#57905,#57915,#57925,#57935,#57945,#57955,#57965,#57975,#57985,#57995,#58005, +#58015,#58025,#58035,#58045,#58055,#58065,#58075,#58085,#58095,#58105,#58115, +#58125,#58135,#58145,#58155,#58165,#58175,#58185,#58195,#58205,#58215,#58225, +#58235,#58245,#58255,#58265,#58275,#58285,#58295,#58305,#58315,#58325,#58335, +#58345,#58355,#58365,#58375,#58385,#58395,#58405,#58415,#58425,#58435,#58445, +#58455,#58465,#58475,#58485,#58495,#58505,#58515,#58525,#58535,#58545),#57687, +.T.); +#58552=EDGE_CURVE('',#49788,#49789,#1062,.T.); +#58554=EDGE_CURVE('',#45803,#49789,#1083,.T.); +#58557=EDGE_CURVE('',#45802,#49788,#1066,.T.); +#58561=ADVANCED_FACE('',(#58560),#58551,.T.); +#58568=EDGE_CURVE('',#49788,#49785,#1074,.T.); +#58570=EDGE_CURVE('',#49782,#49785,#1103,.T.); +#58572=EDGE_CURVE('',#49789,#49782,#1070,.T.); +#58576=ADVANCED_FACE('',(#58575),#58566,.T.); +#58582=EDGE_CURVE('',#49789,#49788,#1079,.T.); +#58585=EDGE_CURVE('',#49782,#49785,#1098,.T.); +#58590=ADVANCED_FACE('',(#58589),#58581,.T.); +#58602=ADVANCED_FACE('',(#58601),#58595,.T.); +#58608=EDGE_CURVE('',#49782,#49783,#1088,.T.); +#58610=EDGE_CURVE('',#49785,#49783,#1093,.T.); +#58615=ADVANCED_FACE('',(#58614),#58607,.T.); +#58626=ADVANCED_FACE('',(#58625),#58620,.T.); +#58632=EDGE_CURVE('',#49798,#49799,#1108,.T.); +#58634=EDGE_CURVE('',#45807,#49799,#1139,.T.); +#58637=EDGE_CURVE('',#45806,#49798,#1112,.T.); +#58641=ADVANCED_FACE('',(#58640),#58631,.T.); +#58648=EDGE_CURVE('',#49793,#49798,#1116,.T.); +#58650=EDGE_CURVE('',#49793,#49795,#1121,.T.); +#58652=EDGE_CURVE('',#49795,#49799,#1125,.T.); +#58656=ADVANCED_FACE('',(#58655),#58646,.T.); +#58662=EDGE_CURVE('',#49799,#49798,#1135,.T.); +#58665=EDGE_CURVE('',#49793,#49795,#1130,.T.); +#58670=ADVANCED_FACE('',(#58669),#58661,.T.); +#58682=ADVANCED_FACE('',(#58681),#58675,.T.); +#58688=EDGE_CURVE('',#49792,#49793,#1144,.T.); +#58691=EDGE_CURVE('',#49792,#49795,#1149,.T.); +#58695=ADVANCED_FACE('',(#58694),#58687,.T.); +#58706=ADVANCED_FACE('',(#58705),#58700,.T.); +#58712=EDGE_CURVE('',#49766,#49767,#1207,.T.); +#58714=EDGE_CURVE('',#49766,#45671,#1153,.T.); +#58717=EDGE_CURVE('',#49730,#49718,#1157,.T.); +#58719=EDGE_CURVE('',#49730,#49731,#2271,.T.); +#58721=EDGE_CURVE('',#49739,#49731,#1161,.T.); +#58723=EDGE_CURVE('',#49711,#49739,#1165,.T.); +#58725=EDGE_CURVE('',#49710,#49711,#1169,.T.); +#58727=EDGE_CURVE('',#49737,#49710,#1173,.T.); +#58729=EDGE_CURVE('',#49767,#49737,#1178,.T.); +#58733=ADVANCED_FACE('',(#58732),#58711,.T.); +#58739=EDGE_CURVE('',#49771,#45647,#44013,.T.); +#58741=EDGE_CURVE('',#49770,#49771,#1239,.T.); +#58743=EDGE_CURVE('',#49747,#49770,#1183,.T.); +#58745=EDGE_CURVE('',#49745,#49747,#1187,.T.); +#58747=EDGE_CURVE('',#49743,#49745,#1191,.T.); +#58749=EDGE_CURVE('',#49742,#49743,#1195,.T.); +#58751=EDGE_CURVE('',#49723,#49742,#1199,.T.); +#58753=EDGE_CURVE('',#49722,#49723,#2385,.T.); +#58755=EDGE_CURVE('',#49762,#49722,#1203,.T.); +#58760=ADVANCED_FACE('',(#58759),#58738,.T.); +#58767=EDGE_CURVE('',#49775,#49767,#1211,.T.); +#58769=EDGE_CURVE('',#49774,#49775,#1215,.T.); +#58771=EDGE_CURVE('',#45703,#49774,#1219,.T.); +#58773=EDGE_CURVE('',#45702,#45703,#1223,.T.); +#58775=EDGE_CURVE('',#49779,#45702,#1227,.T.); +#58777=EDGE_CURVE('',#49778,#49779,#1231,.T.); +#58779=EDGE_CURVE('',#49778,#49770,#1235,.T.); +#58782=EDGE_CURVE('',#45679,#49771,#1243,.T.); +#58784=EDGE_CURVE('',#45678,#45679,#1247,.T.); +#58786=EDGE_CURVE('',#45681,#45678,#1251,.T.); +#58788=EDGE_CURVE('',#45683,#45681,#1255,.T.); +#58790=EDGE_CURVE('',#45685,#45683,#1259,.T.); +#58792=EDGE_CURVE('',#45687,#45685,#1263,.T.); +#58794=EDGE_CURVE('',#45689,#45687,#1267,.T.); +#58796=EDGE_CURVE('',#45691,#45689,#1271,.T.); +#58798=EDGE_CURVE('',#45693,#45691,#1275,.T.); +#58800=EDGE_CURVE('',#45695,#45693,#1279,.T.); +#58802=EDGE_CURVE('',#45697,#45695,#1283,.T.); +#58804=EDGE_CURVE('',#45699,#45697,#1287,.T.); +#58806=EDGE_CURVE('',#49766,#45699,#1291,.T.); +#58810=EDGE_CURVE('',#51174,#51175,#1295,.T.); +#58812=EDGE_CURVE('',#51170,#51174,#43613,.T.); +#58814=EDGE_CURVE('',#51170,#51171,#1299,.T.); +#58816=EDGE_CURVE('',#51171,#51175,#1303,.T.); +#58820=EDGE_CURVE('',#51186,#51187,#1307,.T.); +#58822=EDGE_CURVE('',#51182,#51186,#43745,.T.); +#58824=EDGE_CURVE('',#51182,#51183,#1311,.T.); +#58826=EDGE_CURVE('',#51183,#51187,#1315,.T.); +#58830=EDGE_CURVE('',#51227,#51231,#1319,.T.); +#58832=EDGE_CURVE('',#51213,#51227,#43779,.T.); +#58834=EDGE_CURVE('',#51213,#51219,#1323,.T.); +#58836=EDGE_CURVE('',#51219,#51231,#1327,.T.); +#58840=EDGE_CURVE('',#51263,#51259,#1331,.T.); +#58842=EDGE_CURVE('',#51251,#51263,#43911,.T.); +#58844=EDGE_CURVE('',#51251,#51245,#1335,.T.); +#58846=EDGE_CURVE('',#51245,#51259,#1339,.T.); +#58850=EDGE_CURVE('',#51301,#51307,#1343,.T.); +#58852=EDGE_CURVE('',#51319,#51307,#33565,.T.); +#58854=EDGE_CURVE('',#51315,#51319,#1347,.T.); +#58856=EDGE_CURVE('',#51315,#51301,#1351,.T.); +#58860=EDGE_CURVE('',#51325,#51331,#1355,.T.); +#58862=EDGE_CURVE('',#51343,#51331,#33569,.T.); +#58864=EDGE_CURVE('',#51339,#51343,#1359,.T.); +#58866=EDGE_CURVE('',#51339,#51325,#1363,.T.); +#58870=EDGE_CURVE('',#51355,#51349,#1367,.T.); +#58872=EDGE_CURVE('',#51363,#51349,#33885,.T.); +#58874=EDGE_CURVE('',#51367,#51363,#1371,.T.); +#58876=EDGE_CURVE('',#51367,#51355,#1375,.T.); +#58880=EDGE_CURVE('',#51379,#51373,#1379,.T.); +#58882=EDGE_CURVE('',#51387,#51373,#33881,.T.); +#58884=EDGE_CURVE('',#51391,#51387,#1383,.T.); +#58886=EDGE_CURVE('',#51391,#51379,#1387,.T.); +#58890=EDGE_CURVE('',#51499,#51515,#1391,.T.); +#58892=EDGE_CURVE('',#51514,#51515,#34209,.T.); +#58894=EDGE_CURVE('',#51498,#51514,#1395,.T.); +#58896=EDGE_CURVE('',#51498,#51499,#1399,.T.); +#58900=EDGE_CURVE('',#51503,#51519,#1403,.T.); +#58902=EDGE_CURVE('',#51518,#51519,#34213,.T.); +#58904=EDGE_CURVE('',#51502,#51518,#1407,.T.); +#58906=EDGE_CURVE('',#51502,#51503,#1411,.T.); +#58910=EDGE_CURVE('',#51551,#51535,#1415,.T.); +#58912=EDGE_CURVE('',#51534,#51535,#34361,.T.); +#58914=EDGE_CURVE('',#51550,#51534,#1419,.T.); +#58916=EDGE_CURVE('',#51550,#51551,#1423,.T.); +#58920=EDGE_CURVE('',#51547,#51531,#1427,.T.); +#58922=EDGE_CURVE('',#51530,#51531,#34357,.T.); +#58924=EDGE_CURVE('',#51546,#51530,#1431,.T.); +#58926=EDGE_CURVE('',#51546,#51547,#1435,.T.); +#58930=EDGE_CURVE('',#51723,#51739,#1439,.T.); +#58932=EDGE_CURVE('',#51738,#51739,#34737,.T.); +#58934=EDGE_CURVE('',#51722,#51738,#1443,.T.); +#58936=EDGE_CURVE('',#51722,#51723,#1447,.T.); +#58940=EDGE_CURVE('',#51727,#51743,#1451,.T.); +#58942=EDGE_CURVE('',#51742,#51743,#34741,.T.); +#58944=EDGE_CURVE('',#51726,#51742,#1455,.T.); +#58946=EDGE_CURVE('',#51726,#51727,#1459,.T.); +#58950=EDGE_CURVE('',#51775,#51759,#1463,.T.); +#58952=EDGE_CURVE('',#51758,#51759,#34889,.T.); +#58954=EDGE_CURVE('',#51774,#51758,#1467,.T.); +#58956=EDGE_CURVE('',#51774,#51775,#1471,.T.); +#58960=EDGE_CURVE('',#51771,#51755,#1475,.T.); +#58962=EDGE_CURVE('',#51754,#51755,#34885,.T.); +#58964=EDGE_CURVE('',#51770,#51754,#1479,.T.); +#58966=EDGE_CURVE('',#51770,#51771,#1483,.T.); +#58970=EDGE_CURVE('',#51883,#51899,#1487,.T.); +#58972=EDGE_CURVE('',#51898,#51899,#35265,.T.); +#58974=EDGE_CURVE('',#51882,#51898,#1491,.T.); +#58976=EDGE_CURVE('',#51882,#51883,#1495,.T.); +#58980=EDGE_CURVE('',#51887,#51903,#1499,.T.); +#58982=EDGE_CURVE('',#51902,#51903,#35269,.T.); +#58984=EDGE_CURVE('',#51886,#51902,#1503,.T.); +#58986=EDGE_CURVE('',#51886,#51887,#1507,.T.); +#58990=EDGE_CURVE('',#51935,#51919,#1511,.T.); +#58992=EDGE_CURVE('',#51918,#51919,#35417,.T.); +#58994=EDGE_CURVE('',#51934,#51918,#1515,.T.); +#58996=EDGE_CURVE('',#51934,#51935,#1519,.T.); +#59000=EDGE_CURVE('',#51931,#51915,#1523,.T.); +#59002=EDGE_CURVE('',#51914,#51915,#35413,.T.); +#59004=EDGE_CURVE('',#51930,#51914,#1527,.T.); +#59006=EDGE_CURVE('',#51930,#51931,#1531,.T.); +#59010=EDGE_CURVE('',#52043,#52059,#1535,.T.); +#59012=EDGE_CURVE('',#52058,#52059,#35793,.T.); +#59014=EDGE_CURVE('',#52042,#52058,#1539,.T.); +#59016=EDGE_CURVE('',#52042,#52043,#1543,.T.); +#59020=EDGE_CURVE('',#52047,#52063,#1547,.T.); +#59022=EDGE_CURVE('',#52062,#52063,#35797,.T.); +#59024=EDGE_CURVE('',#52046,#52062,#1551,.T.); +#59026=EDGE_CURVE('',#52046,#52047,#1555,.T.); +#59030=EDGE_CURVE('',#52095,#52079,#1559,.T.); +#59032=EDGE_CURVE('',#52078,#52079,#35945,.T.); +#59034=EDGE_CURVE('',#52094,#52078,#1563,.T.); +#59036=EDGE_CURVE('',#52094,#52095,#1567,.T.); +#59040=EDGE_CURVE('',#52091,#52075,#1571,.T.); +#59042=EDGE_CURVE('',#52074,#52075,#35941,.T.); +#59044=EDGE_CURVE('',#52090,#52074,#1575,.T.); +#59046=EDGE_CURVE('',#52090,#52091,#1579,.T.); +#59050=EDGE_CURVE('',#52203,#52219,#1583,.T.); +#59052=EDGE_CURVE('',#52218,#52219,#36321,.T.); +#59054=EDGE_CURVE('',#52202,#52218,#1587,.T.); +#59056=EDGE_CURVE('',#52202,#52203,#1591,.T.); +#59060=EDGE_CURVE('',#52207,#52223,#1595,.T.); +#59062=EDGE_CURVE('',#52222,#52223,#36325,.T.); +#59064=EDGE_CURVE('',#52206,#52222,#1599,.T.); +#59066=EDGE_CURVE('',#52206,#52207,#1603,.T.); +#59070=EDGE_CURVE('',#52255,#52239,#1607,.T.); +#59072=EDGE_CURVE('',#52238,#52239,#36473,.T.); +#59074=EDGE_CURVE('',#52254,#52238,#1611,.T.); +#59076=EDGE_CURVE('',#52254,#52255,#1615,.T.); +#59080=EDGE_CURVE('',#52251,#52235,#1619,.T.); +#59082=EDGE_CURVE('',#52234,#52235,#36469,.T.); +#59084=EDGE_CURVE('',#52250,#52234,#1623,.T.); +#59086=EDGE_CURVE('',#52250,#52251,#1627,.T.); +#59090=EDGE_CURVE('',#52363,#52379,#1631,.T.); +#59092=EDGE_CURVE('',#52378,#52379,#36849,.T.); +#59094=EDGE_CURVE('',#52362,#52378,#1635,.T.); +#59096=EDGE_CURVE('',#52362,#52363,#1639,.T.); +#59100=EDGE_CURVE('',#52367,#52383,#1643,.T.); +#59102=EDGE_CURVE('',#52382,#52383,#36853,.T.); +#59104=EDGE_CURVE('',#52366,#52382,#1647,.T.); +#59106=EDGE_CURVE('',#52366,#52367,#1651,.T.); +#59110=EDGE_CURVE('',#52415,#52399,#1655,.T.); +#59112=EDGE_CURVE('',#52398,#52399,#37001,.T.); +#59114=EDGE_CURVE('',#52414,#52398,#1659,.T.); +#59116=EDGE_CURVE('',#52414,#52415,#1663,.T.); +#59120=EDGE_CURVE('',#52411,#52395,#1667,.T.); +#59122=EDGE_CURVE('',#52394,#52395,#36997,.T.); +#59124=EDGE_CURVE('',#52410,#52394,#1671,.T.); +#59126=EDGE_CURVE('',#52410,#52411,#1675,.T.); +#59130=EDGE_CURVE('',#52523,#52539,#1679,.T.); +#59132=EDGE_CURVE('',#52538,#52539,#37377,.T.); +#59134=EDGE_CURVE('',#52522,#52538,#1683,.T.); +#59136=EDGE_CURVE('',#52522,#52523,#1687,.T.); +#59140=EDGE_CURVE('',#52527,#52543,#1691,.T.); +#59142=EDGE_CURVE('',#52542,#52543,#37381,.T.); +#59144=EDGE_CURVE('',#52526,#52542,#1695,.T.); +#59146=EDGE_CURVE('',#52526,#52527,#1699,.T.); +#59150=EDGE_CURVE('',#52575,#52559,#1703,.T.); +#59152=EDGE_CURVE('',#52558,#52559,#37529,.T.); +#59154=EDGE_CURVE('',#52574,#52558,#1707,.T.); +#59156=EDGE_CURVE('',#52574,#52575,#1711,.T.); +#59160=EDGE_CURVE('',#52571,#52555,#1715,.T.); +#59162=EDGE_CURVE('',#52554,#52555,#37525,.T.); +#59164=EDGE_CURVE('',#52570,#52554,#1719,.T.); +#59166=EDGE_CURVE('',#52570,#52571,#1723,.T.); +#59170=EDGE_CURVE('',#52683,#52699,#1727,.T.); +#59172=EDGE_CURVE('',#52698,#52699,#37905,.T.); +#59174=EDGE_CURVE('',#52682,#52698,#1731,.T.); +#59176=EDGE_CURVE('',#52682,#52683,#1735,.T.); +#59180=EDGE_CURVE('',#52687,#52703,#1739,.T.); +#59182=EDGE_CURVE('',#52702,#52703,#37909,.T.); +#59184=EDGE_CURVE('',#52686,#52702,#1743,.T.); +#59186=EDGE_CURVE('',#52686,#52687,#1747,.T.); +#59190=EDGE_CURVE('',#52735,#52719,#1751,.T.); +#59192=EDGE_CURVE('',#52718,#52719,#38057,.T.); +#59194=EDGE_CURVE('',#52734,#52718,#1755,.T.); +#59196=EDGE_CURVE('',#52734,#52735,#1759,.T.); +#59200=EDGE_CURVE('',#52731,#52715,#1763,.T.); +#59202=EDGE_CURVE('',#52714,#52715,#38053,.T.); +#59204=EDGE_CURVE('',#52730,#52714,#1767,.T.); +#59206=EDGE_CURVE('',#52730,#52731,#1771,.T.); +#59210=EDGE_CURVE('',#52843,#52859,#1775,.T.); +#59212=EDGE_CURVE('',#52858,#52859,#38433,.T.); +#59214=EDGE_CURVE('',#52842,#52858,#1779,.T.); +#59216=EDGE_CURVE('',#52842,#52843,#1783,.T.); +#59220=EDGE_CURVE('',#52847,#52863,#1787,.T.); +#59222=EDGE_CURVE('',#52862,#52863,#38437,.T.); +#59224=EDGE_CURVE('',#52846,#52862,#1791,.T.); +#59226=EDGE_CURVE('',#52846,#52847,#1795,.T.); +#59230=EDGE_CURVE('',#52895,#52879,#1799,.T.); +#59232=EDGE_CURVE('',#52878,#52879,#38585,.T.); +#59234=EDGE_CURVE('',#52894,#52878,#1803,.T.); +#59236=EDGE_CURVE('',#52894,#52895,#1807,.T.); +#59240=EDGE_CURVE('',#52891,#52875,#1811,.T.); +#59242=EDGE_CURVE('',#52874,#52875,#38581,.T.); +#59244=EDGE_CURVE('',#52890,#52874,#1815,.T.); +#59246=EDGE_CURVE('',#52890,#52891,#1819,.T.); +#59250=EDGE_CURVE('',#53003,#53019,#1823,.T.); +#59252=EDGE_CURVE('',#53018,#53019,#38961,.T.); +#59254=EDGE_CURVE('',#53002,#53018,#1827,.T.); +#59256=EDGE_CURVE('',#53002,#53003,#1831,.T.); +#59260=EDGE_CURVE('',#53007,#53023,#1835,.T.); +#59262=EDGE_CURVE('',#53022,#53023,#38965,.T.); +#59264=EDGE_CURVE('',#53006,#53022,#1839,.T.); +#59266=EDGE_CURVE('',#53006,#53007,#1843,.T.); +#59270=EDGE_CURVE('',#53055,#53039,#1847,.T.); +#59272=EDGE_CURVE('',#53038,#53039,#39113,.T.); +#59274=EDGE_CURVE('',#53054,#53038,#1851,.T.); +#59276=EDGE_CURVE('',#53054,#53055,#1855,.T.); +#59280=EDGE_CURVE('',#53051,#53035,#1859,.T.); +#59282=EDGE_CURVE('',#53034,#53035,#39109,.T.); +#59284=EDGE_CURVE('',#53050,#53034,#1863,.T.); +#59286=EDGE_CURVE('',#53050,#53051,#1867,.T.); +#59290=EDGE_CURVE('',#53163,#53179,#1871,.T.); +#59292=EDGE_CURVE('',#53178,#53179,#39489,.T.); +#59294=EDGE_CURVE('',#53162,#53178,#1875,.T.); +#59296=EDGE_CURVE('',#53162,#53163,#1879,.T.); +#59300=EDGE_CURVE('',#53167,#53183,#1883,.T.); +#59302=EDGE_CURVE('',#53182,#53183,#39493,.T.); +#59304=EDGE_CURVE('',#53166,#53182,#1887,.T.); +#59306=EDGE_CURVE('',#53166,#53167,#1891,.T.); +#59310=EDGE_CURVE('',#53215,#53199,#1895,.T.); +#59312=EDGE_CURVE('',#53198,#53199,#39641,.T.); +#59314=EDGE_CURVE('',#53214,#53198,#1899,.T.); +#59316=EDGE_CURVE('',#53214,#53215,#1903,.T.); +#59320=EDGE_CURVE('',#53211,#53195,#1907,.T.); +#59322=EDGE_CURVE('',#53194,#53195,#39637,.T.); +#59324=EDGE_CURVE('',#53210,#53194,#1911,.T.); +#59326=EDGE_CURVE('',#53210,#53211,#1915,.T.); +#59330=EDGE_CURVE('',#53963,#53979,#1919,.T.); +#59332=EDGE_CURVE('',#53978,#53979,#40017,.T.); +#59334=EDGE_CURVE('',#53962,#53978,#1923,.T.); +#59336=EDGE_CURVE('',#53962,#53963,#1927,.T.); +#59340=EDGE_CURVE('',#53967,#53983,#1931,.T.); +#59342=EDGE_CURVE('',#53982,#53983,#40021,.T.); +#59344=EDGE_CURVE('',#53966,#53982,#1935,.T.); +#59346=EDGE_CURVE('',#53966,#53967,#1939,.T.); +#59350=EDGE_CURVE('',#54015,#53999,#1943,.T.); +#59352=EDGE_CURVE('',#53998,#53999,#40169,.T.); +#59354=EDGE_CURVE('',#54014,#53998,#1947,.T.); +#59356=EDGE_CURVE('',#54014,#54015,#1951,.T.); +#59360=EDGE_CURVE('',#54011,#53995,#1955,.T.); +#59362=EDGE_CURVE('',#53994,#53995,#40165,.T.); +#59364=EDGE_CURVE('',#54010,#53994,#1959,.T.); +#59366=EDGE_CURVE('',#54010,#54011,#1963,.T.); +#59370=EDGE_CURVE('',#54123,#54139,#1967,.T.); +#59372=EDGE_CURVE('',#54138,#54139,#40545,.T.); +#59374=EDGE_CURVE('',#54122,#54138,#1971,.T.); +#59376=EDGE_CURVE('',#54122,#54123,#1975,.T.); +#59380=EDGE_CURVE('',#54127,#54143,#1979,.T.); +#59382=EDGE_CURVE('',#54142,#54143,#40549,.T.); +#59384=EDGE_CURVE('',#54126,#54142,#1983,.T.); +#59386=EDGE_CURVE('',#54126,#54127,#1987,.T.); +#59390=EDGE_CURVE('',#54175,#54159,#1991,.T.); +#59392=EDGE_CURVE('',#54158,#54159,#40697,.T.); +#59394=EDGE_CURVE('',#54174,#54158,#1995,.T.); +#59396=EDGE_CURVE('',#54174,#54175,#1999,.T.); +#59400=EDGE_CURVE('',#54171,#54155,#2003,.T.); +#59402=EDGE_CURVE('',#54154,#54155,#40693,.T.); +#59404=EDGE_CURVE('',#54170,#54154,#2007,.T.); +#59406=EDGE_CURVE('',#54170,#54171,#2011,.T.); +#59410=EDGE_CURVE('',#54283,#54299,#2015,.T.); +#59412=EDGE_CURVE('',#54298,#54299,#41073,.T.); +#59414=EDGE_CURVE('',#54282,#54298,#2019,.T.); +#59416=EDGE_CURVE('',#54282,#54283,#2023,.T.); +#59420=EDGE_CURVE('',#54287,#54303,#2027,.T.); +#59422=EDGE_CURVE('',#54302,#54303,#41077,.T.); +#59424=EDGE_CURVE('',#54286,#54302,#2031,.T.); +#59426=EDGE_CURVE('',#54286,#54287,#2035,.T.); +#59430=EDGE_CURVE('',#54335,#54319,#2039,.T.); +#59432=EDGE_CURVE('',#54318,#54319,#41225,.T.); +#59434=EDGE_CURVE('',#54334,#54318,#2043,.T.); +#59436=EDGE_CURVE('',#54334,#54335,#2047,.T.); +#59440=EDGE_CURVE('',#54331,#54315,#2051,.T.); +#59442=EDGE_CURVE('',#54314,#54315,#41221,.T.); +#59444=EDGE_CURVE('',#54330,#54314,#2055,.T.); +#59446=EDGE_CURVE('',#54330,#54331,#2059,.T.); +#59450=EDGE_CURVE('',#54443,#54459,#2063,.T.); +#59452=EDGE_CURVE('',#54458,#54459,#41601,.T.); +#59454=EDGE_CURVE('',#54442,#54458,#2067,.T.); +#59456=EDGE_CURVE('',#54442,#54443,#2071,.T.); +#59460=EDGE_CURVE('',#54447,#54463,#2075,.T.); +#59462=EDGE_CURVE('',#54462,#54463,#41605,.T.); +#59464=EDGE_CURVE('',#54446,#54462,#2079,.T.); +#59466=EDGE_CURVE('',#54446,#54447,#2083,.T.); +#59470=EDGE_CURVE('',#54495,#54479,#2087,.T.); +#59472=EDGE_CURVE('',#54478,#54479,#41753,.T.); +#59474=EDGE_CURVE('',#54494,#54478,#2091,.T.); +#59476=EDGE_CURVE('',#54494,#54495,#2095,.T.); +#59480=EDGE_CURVE('',#54491,#54475,#2099,.T.); +#59482=EDGE_CURVE('',#54474,#54475,#41749,.T.); +#59484=EDGE_CURVE('',#54490,#54474,#2103,.T.); +#59486=EDGE_CURVE('',#54490,#54491,#2107,.T.); +#59490=EDGE_CURVE('',#54603,#54619,#2111,.T.); +#59492=EDGE_CURVE('',#54618,#54619,#42129,.T.); +#59494=EDGE_CURVE('',#54602,#54618,#2115,.T.); +#59496=EDGE_CURVE('',#54602,#54603,#2119,.T.); +#59500=EDGE_CURVE('',#54607,#54623,#2123,.T.); +#59502=EDGE_CURVE('',#54622,#54623,#42133,.T.); +#59504=EDGE_CURVE('',#54606,#54622,#2127,.T.); +#59506=EDGE_CURVE('',#54606,#54607,#2131,.T.); +#59510=EDGE_CURVE('',#54655,#54639,#2135,.T.); +#59512=EDGE_CURVE('',#54638,#54639,#42281,.T.); +#59514=EDGE_CURVE('',#54654,#54638,#2139,.T.); +#59516=EDGE_CURVE('',#54654,#54655,#2143,.T.); +#59520=EDGE_CURVE('',#54651,#54635,#2147,.T.); +#59522=EDGE_CURVE('',#54634,#54635,#42277,.T.); +#59524=EDGE_CURVE('',#54650,#54634,#2151,.T.); +#59526=EDGE_CURVE('',#54650,#54651,#2155,.T.); +#59530=EDGE_CURVE('',#54763,#54779,#2159,.T.); +#59532=EDGE_CURVE('',#54778,#54779,#42657,.T.); +#59534=EDGE_CURVE('',#54762,#54778,#2163,.T.); +#59536=EDGE_CURVE('',#54762,#54763,#2167,.T.); +#59540=EDGE_CURVE('',#54767,#54783,#2171,.T.); +#59542=EDGE_CURVE('',#54782,#54783,#42661,.T.); +#59544=EDGE_CURVE('',#54766,#54782,#2175,.T.); +#59546=EDGE_CURVE('',#54766,#54767,#2179,.T.); +#59550=EDGE_CURVE('',#54815,#54799,#2183,.T.); +#59552=EDGE_CURVE('',#54798,#54799,#42809,.T.); +#59554=EDGE_CURVE('',#54814,#54798,#2187,.T.); +#59556=EDGE_CURVE('',#54814,#54815,#2191,.T.); +#59560=EDGE_CURVE('',#54811,#54795,#2195,.T.); +#59562=EDGE_CURVE('',#54794,#54795,#42805,.T.); +#59564=EDGE_CURVE('',#54810,#54794,#2199,.T.); +#59566=EDGE_CURVE('',#54810,#54811,#2203,.T.); +#59570=EDGE_CURVE('',#54923,#54939,#2207,.T.); +#59572=EDGE_CURVE('',#54938,#54939,#43185,.T.); +#59574=EDGE_CURVE('',#54922,#54938,#2211,.T.); +#59576=EDGE_CURVE('',#54922,#54923,#2215,.T.); +#59580=EDGE_CURVE('',#54927,#54943,#2219,.T.); +#59582=EDGE_CURVE('',#54942,#54943,#43189,.T.); +#59584=EDGE_CURVE('',#54926,#54942,#2223,.T.); +#59586=EDGE_CURVE('',#54926,#54927,#2227,.T.); +#59590=EDGE_CURVE('',#54975,#54959,#2231,.T.); +#59592=EDGE_CURVE('',#54958,#54959,#43337,.T.); +#59594=EDGE_CURVE('',#54974,#54958,#2235,.T.); +#59596=EDGE_CURVE('',#54974,#54975,#2239,.T.); +#59600=EDGE_CURVE('',#54971,#54955,#2243,.T.); +#59602=EDGE_CURVE('',#54954,#54955,#43333,.T.); +#59604=EDGE_CURVE('',#54970,#54954,#2247,.T.); +#59606=EDGE_CURVE('',#54970,#54971,#2251,.T.); +#59610=ADVANCED_FACE('',(#58809,#58819,#58829,#58839,#58849,#58859,#58869, +#58879,#58889,#58899,#58909,#58919,#58929,#58939,#58949,#58959,#58969,#58979, +#58989,#58999,#59009,#59019,#59029,#59039,#59049,#59059,#59069,#59079,#59089, +#59099,#59109,#59119,#59129,#59139,#59149,#59159,#59169,#59179,#59189,#59199, +#59209,#59219,#59229,#59239,#59249,#59259,#59269,#59279,#59289,#59299,#59309, +#59319,#59329,#59339,#59349,#59359,#59369,#59379,#59389,#59399,#59409,#59419, +#59429,#59439,#59449,#59459,#59469,#59479,#59489,#59499,#59509,#59519,#59529, +#59539,#59549,#59559,#59569,#59579,#59589,#59599,#59609),#58765,.F.); +#59618=EDGE_CURVE('',#49737,#49749,#2255,.T.); +#59620=EDGE_CURVE('',#49775,#49749,#2304,.T.); +#59624=ADVANCED_FACE('',(#59623),#59615,.F.); +#59631=EDGE_CURVE('',#49710,#49714,#2259,.T.); +#59633=EDGE_CURVE('',#49749,#49714,#2308,.T.); +#59638=ADVANCED_FACE('',(#59637),#59629,.T.); +#59645=EDGE_CURVE('',#49711,#49715,#2263,.T.); +#59647=EDGE_CURVE('',#49714,#49715,#2312,.T.); +#59652=ADVANCED_FACE('',(#59651),#59643,.T.); +#59659=EDGE_CURVE('',#49739,#49751,#2267,.T.); +#59661=EDGE_CURVE('',#49715,#49751,#2316,.T.); +#59666=ADVANCED_FACE('',(#59665),#59657,.T.); +#59672=EDGE_CURVE('',#49735,#49731,#2283,.T.); +#59674=EDGE_CURVE('',#49751,#49735,#2320,.T.); +#59680=ADVANCED_FACE('',(#59679),#59671,.T.); +#59687=EDGE_CURVE('',#49509,#49730,#2287,.T.); +#59689=EDGE_CURVE('',#49507,#49509,#3686,.T.); +#59691=EDGE_CURVE('',#49507,#49465,#2275,.T.); +#59693=EDGE_CURVE('',#49465,#49467,#2526,.T.); +#59695=EDGE_CURVE('',#49734,#49467,#2295,.T.); +#59697=EDGE_CURVE('',#49734,#49735,#2279,.T.); +#59702=ADVANCED_FACE('',(#59701),#59685,.F.); +#59709=EDGE_CURVE('',#49734,#49730,#2291,.T.); +#59712=EDGE_CURVE('',#49467,#49469,#2522,.T.); +#59714=EDGE_CURVE('',#49511,#49469,#32687,.T.); +#59716=EDGE_CURVE('',#49509,#49511,#3690,.T.); +#59720=ADVANCED_FACE('',(#59719),#59707,.F.); +#59728=EDGE_CURVE('',#49734,#49719,#2324,.T.); +#59733=ADVANCED_FACE('',(#59732),#59725,.T.); +#59740=EDGE_CURVE('',#49774,#45675,#2361,.T.); +#59752=ADVANCED_FACE('',(#59751),#59738,.T.); +#59759=EDGE_CURVE('',#49763,#49726,#2332,.T.); +#59761=EDGE_CURVE('',#49726,#49727,#2393,.T.); +#59763=EDGE_CURVE('',#49727,#49754,#2336,.T.); +#59765=EDGE_CURVE('',#49754,#49755,#2340,.T.); +#59767=EDGE_CURVE('',#49755,#49757,#2344,.T.); +#59769=EDGE_CURVE('',#49757,#49759,#2348,.T.); +#59771=EDGE_CURVE('',#49759,#49778,#2353,.T.); +#59774=EDGE_CURVE('',#49779,#45642,#2357,.T.); +#59778=ADVANCED_FACE('',(#59777),#59757,.T.); +#59787=EDGE_CURVE('',#45703,#45674,#2365,.T.); +#59791=ADVANCED_FACE('',(#59790),#59783,.T.); +#59798=EDGE_CURVE('',#45702,#45643,#2369,.T.); +#59804=ADVANCED_FACE('',(#59803),#59796,.T.); +#59816=ADVANCED_FACE('',(#59815),#59809,.T.); +#59822=EDGE_CURVE('',#49726,#49722,#2381,.T.); +#59829=ADVANCED_FACE('',(#59828),#59821,.T.); +#59835=EDGE_CURVE('',#49499,#49722,#2401,.T.); +#59837=EDGE_CURVE('',#49497,#49499,#3610,.T.); +#59839=EDGE_CURVE('',#49497,#49455,#2377,.T.); +#59841=EDGE_CURVE('',#49455,#49457,#2494,.T.); +#59843=EDGE_CURVE('',#49726,#49457,#2397,.T.); +#59848=ADVANCED_FACE('',(#59847),#59834,.F.); +#59855=EDGE_CURVE('',#49727,#49723,#2389,.T.); +#59859=EDGE_CURVE('',#49457,#49459,#2490,.T.); +#59861=EDGE_CURVE('',#49501,#49459,#3964,.T.); +#59863=EDGE_CURVE('',#49499,#49501,#3614,.T.); +#59868=ADVANCED_FACE('',(#59867),#59853,.F.); +#59876=EDGE_CURVE('',#49742,#49754,#2405,.T.); +#59881=ADVANCED_FACE('',(#59880),#59873,.T.); +#59888=EDGE_CURVE('',#49743,#49755,#2409,.T.); +#59894=ADVANCED_FACE('',(#59893),#59886,.T.); +#59901=EDGE_CURVE('',#49745,#49757,#2413,.T.); +#59907=ADVANCED_FACE('',(#59906),#59899,.T.); +#59914=EDGE_CURVE('',#49747,#49759,#2417,.T.); +#59920=ADVANCED_FACE('',(#59919),#59912,.T.); +#59932=ADVANCED_FACE('',(#59931),#59925,.F.); +#59938=EDGE_CURVE('',#49817,#49825,#2421,.T.); +#59940=EDGE_CURVE('',#49825,#49827,#2425,.T.); +#59942=EDGE_CURVE('',#49819,#49827,#2429,.T.); +#59944=EDGE_CURVE('',#49819,#44374,#2433,.T.); +#59946=EDGE_CURVE('',#44374,#44379,#2437,.T.); +#59948=EDGE_CURVE('',#44377,#44379,#2441,.T.); +#59950=EDGE_CURVE('',#44370,#44377,#2445,.T.); +#59952=EDGE_CURVE('',#44370,#49821,#2449,.T.); +#59954=EDGE_CURVE('',#49821,#49829,#2453,.T.); +#59956=EDGE_CURVE('',#49829,#49831,#2457,.T.); +#59958=EDGE_CURVE('',#49823,#49831,#2461,.T.); +#59960=EDGE_CURVE('',#49823,#44291,#2465,.T.); +#59962=EDGE_CURVE('',#44290,#44291,#2470,.T.); +#59964=EDGE_CURVE('',#44283,#44290,#2474,.T.); +#59966=EDGE_CURVE('',#44275,#44283,#2478,.T.); +#59968=EDGE_CURVE('',#49481,#44275,#3897,.T.); +#59970=EDGE_CURVE('',#49461,#49481,#2482,.T.); +#59972=EDGE_CURVE('',#49459,#49461,#2486,.T.); +#59976=EDGE_CURVE('',#49453,#49455,#2498,.T.); +#59978=EDGE_CURVE('',#49452,#49453,#2502,.T.); +#59980=EDGE_CURVE('',#49476,#49452,#2506,.T.); +#59982=EDGE_CURVE('',#49476,#49477,#3948,.T.); +#59984=EDGE_CURVE('',#49485,#49477,#32691,.T.); +#59986=EDGE_CURVE('',#49484,#49485,#32431,.T.); +#59988=EDGE_CURVE('',#49473,#49484,#2510,.T.); +#59990=EDGE_CURVE('',#49471,#49473,#2514,.T.); +#59992=EDGE_CURVE('',#49469,#49471,#2518,.T.); +#59996=EDGE_CURVE('',#49464,#49465,#2530,.T.); +#59998=EDGE_CURVE('',#49489,#49464,#2534,.T.); +#60000=EDGE_CURVE('',#49489,#44279,#32415,.T.); +#60002=EDGE_CURVE('',#44281,#44279,#2538,.T.); +#60004=EDGE_CURVE('',#44299,#44281,#2542,.T.); +#60006=EDGE_CURVE('',#44298,#44299,#2547,.T.); +#60008=EDGE_CURVE('',#44298,#49817,#2551,.T.); +#60012=EDGE_CURVE('',#45722,#45723,#2555,.T.); +#60014=EDGE_CURVE('',#45723,#45727,#2559,.T.); +#60016=EDGE_CURVE('',#45727,#45725,#2563,.T.); +#60018=EDGE_CURVE('',#45722,#45725,#2567,.T.); +#60022=EDGE_CURVE('',#45770,#45771,#2571,.T.); +#60024=EDGE_CURVE('',#45771,#45775,#2575,.T.); +#60026=EDGE_CURVE('',#45775,#45773,#2579,.T.); +#60028=EDGE_CURVE('',#45770,#45773,#2583,.T.); +#60032=EDGE_CURVE('',#45746,#45747,#2587,.T.); +#60034=EDGE_CURVE('',#45747,#45751,#2591,.T.); +#60036=EDGE_CURVE('',#45751,#45749,#2595,.T.); +#60038=EDGE_CURVE('',#45746,#45749,#2599,.T.); +#60042=EDGE_CURVE('',#45794,#45795,#2603,.T.); +#60044=EDGE_CURVE('',#45795,#45799,#2607,.T.); +#60046=EDGE_CURVE('',#45799,#45797,#2611,.T.); +#60048=EDGE_CURVE('',#45794,#45797,#2615,.T.); +#60052=EDGE_CURVE('',#51074,#51075,#2619,.T.); +#60054=EDGE_CURVE('',#51075,#51079,#2623,.T.); +#60056=EDGE_CURVE('',#51078,#51079,#2627,.T.); +#60058=EDGE_CURVE('',#51074,#51078,#2631,.T.); +#60062=EDGE_CURVE('',#51122,#51123,#2635,.T.); +#60064=EDGE_CURVE('',#51123,#51127,#2639,.T.); +#60066=EDGE_CURVE('',#51126,#51127,#2643,.T.); +#60068=EDGE_CURVE('',#51122,#51126,#2647,.T.); +#60072=EDGE_CURVE('',#51429,#51431,#2651,.T.); +#60074=EDGE_CURVE('',#51431,#51439,#2655,.T.); +#60076=EDGE_CURVE('',#51437,#51439,#2659,.T.); +#60078=EDGE_CURVE('',#51429,#51437,#2663,.T.); +#60082=EDGE_CURVE('',#51473,#51475,#2667,.T.); +#60084=EDGE_CURVE('',#51475,#51483,#2671,.T.); +#60086=EDGE_CURVE('',#51481,#51483,#2675,.T.); +#60088=EDGE_CURVE('',#51473,#51481,#2679,.T.); +#60092=EDGE_CURVE('',#51653,#51655,#2683,.T.); +#60094=EDGE_CURVE('',#51655,#51663,#2687,.T.); +#60096=EDGE_CURVE('',#51661,#51663,#2691,.T.); +#60098=EDGE_CURVE('',#51653,#51661,#2695,.T.); +#60102=EDGE_CURVE('',#51697,#51699,#2699,.T.); +#60104=EDGE_CURVE('',#51699,#51707,#2703,.T.); +#60106=EDGE_CURVE('',#51705,#51707,#2707,.T.); +#60108=EDGE_CURVE('',#51697,#51705,#2711,.T.); +#60112=EDGE_CURVE('',#51813,#51815,#2715,.T.); +#60114=EDGE_CURVE('',#51815,#51823,#2719,.T.); +#60116=EDGE_CURVE('',#51821,#51823,#2723,.T.); +#60118=EDGE_CURVE('',#51813,#51821,#2727,.T.); +#60122=EDGE_CURVE('',#51857,#51859,#2731,.T.); +#60124=EDGE_CURVE('',#51859,#51867,#2735,.T.); +#60126=EDGE_CURVE('',#51865,#51867,#2739,.T.); +#60128=EDGE_CURVE('',#51857,#51865,#2743,.T.); +#60132=EDGE_CURVE('',#51973,#51975,#2747,.T.); +#60134=EDGE_CURVE('',#51975,#51983,#2751,.T.); +#60136=EDGE_CURVE('',#51981,#51983,#2755,.T.); +#60138=EDGE_CURVE('',#51973,#51981,#2759,.T.); +#60142=EDGE_CURVE('',#52017,#52019,#2763,.T.); +#60144=EDGE_CURVE('',#52019,#52027,#2767,.T.); +#60146=EDGE_CURVE('',#52025,#52027,#2771,.T.); +#60148=EDGE_CURVE('',#52017,#52025,#2775,.T.); +#60152=EDGE_CURVE('',#52133,#52135,#2779,.T.); +#60154=EDGE_CURVE('',#52135,#52143,#2783,.T.); +#60156=EDGE_CURVE('',#52141,#52143,#2787,.T.); +#60158=EDGE_CURVE('',#52133,#52141,#2791,.T.); +#60162=EDGE_CURVE('',#52177,#52179,#2795,.T.); +#60164=EDGE_CURVE('',#52179,#52187,#2799,.T.); +#60166=EDGE_CURVE('',#52185,#52187,#2803,.T.); +#60168=EDGE_CURVE('',#52177,#52185,#2807,.T.); +#60172=EDGE_CURVE('',#52293,#52295,#2811,.T.); +#60174=EDGE_CURVE('',#52295,#52303,#2815,.T.); +#60176=EDGE_CURVE('',#52301,#52303,#2819,.T.); +#60178=EDGE_CURVE('',#52293,#52301,#2823,.T.); +#60182=EDGE_CURVE('',#52337,#52339,#2827,.T.); +#60184=EDGE_CURVE('',#52339,#52347,#2831,.T.); +#60186=EDGE_CURVE('',#52345,#52347,#2835,.T.); +#60188=EDGE_CURVE('',#52337,#52345,#2839,.T.); +#60192=EDGE_CURVE('',#52453,#52455,#2843,.T.); +#60194=EDGE_CURVE('',#52455,#52463,#2847,.T.); +#60196=EDGE_CURVE('',#52461,#52463,#2851,.T.); +#60198=EDGE_CURVE('',#52453,#52461,#2855,.T.); +#60202=EDGE_CURVE('',#52497,#52499,#2859,.T.); +#60204=EDGE_CURVE('',#52499,#52507,#2863,.T.); +#60206=EDGE_CURVE('',#52505,#52507,#2867,.T.); +#60208=EDGE_CURVE('',#52497,#52505,#2871,.T.); +#60212=EDGE_CURVE('',#52613,#52615,#2875,.T.); +#60214=EDGE_CURVE('',#52615,#52623,#2879,.T.); +#60216=EDGE_CURVE('',#52621,#52623,#2883,.T.); +#60218=EDGE_CURVE('',#52613,#52621,#2887,.T.); +#60222=EDGE_CURVE('',#52657,#52659,#2891,.T.); +#60224=EDGE_CURVE('',#52659,#52667,#2895,.T.); +#60226=EDGE_CURVE('',#52665,#52667,#2899,.T.); +#60228=EDGE_CURVE('',#52657,#52665,#2903,.T.); +#60232=EDGE_CURVE('',#52773,#52775,#2907,.T.); +#60234=EDGE_CURVE('',#52775,#52783,#2911,.T.); +#60236=EDGE_CURVE('',#52781,#52783,#2915,.T.); +#60238=EDGE_CURVE('',#52773,#52781,#2919,.T.); +#60242=EDGE_CURVE('',#52817,#52819,#2923,.T.); +#60244=EDGE_CURVE('',#52819,#52827,#2927,.T.); +#60246=EDGE_CURVE('',#52825,#52827,#2931,.T.); +#60248=EDGE_CURVE('',#52817,#52825,#2935,.T.); +#60252=EDGE_CURVE('',#52933,#52935,#2939,.T.); +#60254=EDGE_CURVE('',#52935,#52943,#2943,.T.); +#60256=EDGE_CURVE('',#52941,#52943,#2947,.T.); +#60258=EDGE_CURVE('',#52933,#52941,#2951,.T.); +#60262=EDGE_CURVE('',#52977,#52979,#2955,.T.); +#60264=EDGE_CURVE('',#52979,#52987,#2959,.T.); +#60266=EDGE_CURVE('',#52985,#52987,#2963,.T.); +#60268=EDGE_CURVE('',#52977,#52985,#2967,.T.); +#60272=EDGE_CURVE('',#53093,#53095,#2971,.T.); +#60274=EDGE_CURVE('',#53095,#53103,#2975,.T.); +#60276=EDGE_CURVE('',#53101,#53103,#2979,.T.); +#60278=EDGE_CURVE('',#53093,#53101,#2983,.T.); +#60282=EDGE_CURVE('',#53137,#53139,#2987,.T.); +#60284=EDGE_CURVE('',#53139,#53147,#2991,.T.); +#60286=EDGE_CURVE('',#53145,#53147,#2995,.T.); +#60288=EDGE_CURVE('',#53137,#53145,#2999,.T.); +#60292=EDGE_CURVE('',#53893,#53895,#3003,.T.); +#60294=EDGE_CURVE('',#53895,#53903,#3007,.T.); +#60296=EDGE_CURVE('',#53901,#53903,#3011,.T.); +#60298=EDGE_CURVE('',#53893,#53901,#3015,.T.); +#60302=EDGE_CURVE('',#53937,#53939,#3019,.T.); +#60304=EDGE_CURVE('',#53939,#53947,#3023,.T.); +#60306=EDGE_CURVE('',#53945,#53947,#3027,.T.); +#60308=EDGE_CURVE('',#53937,#53945,#3031,.T.); +#60312=EDGE_CURVE('',#54053,#54055,#3035,.T.); +#60314=EDGE_CURVE('',#54055,#54063,#3039,.T.); +#60316=EDGE_CURVE('',#54061,#54063,#3043,.T.); +#60318=EDGE_CURVE('',#54053,#54061,#3047,.T.); +#60322=EDGE_CURVE('',#54097,#54099,#3051,.T.); +#60324=EDGE_CURVE('',#54099,#54107,#3055,.T.); +#60326=EDGE_CURVE('',#54105,#54107,#3059,.T.); +#60328=EDGE_CURVE('',#54097,#54105,#3063,.T.); +#60332=EDGE_CURVE('',#54213,#54215,#3067,.T.); +#60334=EDGE_CURVE('',#54215,#54223,#3071,.T.); +#60336=EDGE_CURVE('',#54221,#54223,#3075,.T.); +#60338=EDGE_CURVE('',#54213,#54221,#3079,.T.); +#60342=EDGE_CURVE('',#54257,#54259,#3083,.T.); +#60344=EDGE_CURVE('',#54259,#54267,#3087,.T.); +#60346=EDGE_CURVE('',#54265,#54267,#3091,.T.); +#60348=EDGE_CURVE('',#54257,#54265,#3095,.T.); +#60352=EDGE_CURVE('',#54373,#54375,#3099,.T.); +#60354=EDGE_CURVE('',#54375,#54383,#3103,.T.); +#60356=EDGE_CURVE('',#54381,#54383,#3107,.T.); +#60358=EDGE_CURVE('',#54373,#54381,#3111,.T.); +#60362=EDGE_CURVE('',#54417,#54419,#3115,.T.); +#60364=EDGE_CURVE('',#54419,#54427,#3119,.T.); +#60366=EDGE_CURVE('',#54425,#54427,#3123,.T.); +#60368=EDGE_CURVE('',#54417,#54425,#3127,.T.); +#60372=EDGE_CURVE('',#54533,#54535,#3131,.T.); +#60374=EDGE_CURVE('',#54535,#54543,#3135,.T.); +#60376=EDGE_CURVE('',#54541,#54543,#3139,.T.); +#60378=EDGE_CURVE('',#54533,#54541,#3143,.T.); +#60382=EDGE_CURVE('',#54577,#54579,#3147,.T.); +#60384=EDGE_CURVE('',#54579,#54587,#3151,.T.); +#60386=EDGE_CURVE('',#54585,#54587,#3155,.T.); +#60388=EDGE_CURVE('',#54577,#54585,#3159,.T.); +#60392=EDGE_CURVE('',#54693,#54695,#3163,.T.); +#60394=EDGE_CURVE('',#54695,#54703,#3167,.T.); +#60396=EDGE_CURVE('',#54701,#54703,#3171,.T.); +#60398=EDGE_CURVE('',#54693,#54701,#3175,.T.); +#60402=EDGE_CURVE('',#54737,#54739,#3179,.T.); +#60404=EDGE_CURVE('',#54739,#54747,#3183,.T.); +#60406=EDGE_CURVE('',#54745,#54747,#3187,.T.); +#60408=EDGE_CURVE('',#54737,#54745,#3191,.T.); +#60412=EDGE_CURVE('',#54853,#54855,#3195,.T.); +#60414=EDGE_CURVE('',#54855,#54863,#3199,.T.); +#60416=EDGE_CURVE('',#54861,#54863,#3203,.T.); +#60418=EDGE_CURVE('',#54853,#54861,#3207,.T.); +#60422=EDGE_CURVE('',#54897,#54899,#3211,.T.); +#60424=EDGE_CURVE('',#54899,#54907,#3215,.T.); +#60426=EDGE_CURVE('',#54905,#54907,#3219,.T.); +#60428=EDGE_CURVE('',#54897,#54905,#3223,.T.); +#60432=ADVANCED_FACE('',(#60011,#60021,#60031,#60041,#60051,#60061,#60071, +#60081,#60091,#60101,#60111,#60121,#60131,#60141,#60151,#60161,#60171,#60181, +#60191,#60201,#60211,#60221,#60231,#60241,#60251,#60261,#60271,#60281,#60291, +#60301,#60311,#60321,#60331,#60341,#60351,#60361,#60371,#60381,#60391,#60401, +#60411,#60421,#60431),#59937,.F.); +#60438=EDGE_CURVE('',#49817,#49810,#3271,.T.); +#60440=EDGE_CURVE('',#49810,#49802,#32813,.T.); +#60442=EDGE_CURVE('',#49825,#49802,#33441,.T.); +#60447=ADVANCED_FACE('',(#60446),#60437,.T.); +#60453=EDGE_CURVE('',#55545,#55543,#3227,.T.); +#60455=EDGE_CURVE('',#45813,#55543,#3297,.T.); +#60457=EDGE_CURVE('',#45813,#44293,#3231,.T.); +#60459=EDGE_CURVE('',#44293,#44291,#3235,.T.); +#60462=EDGE_CURVE('',#49823,#49815,#3239,.T.); +#60464=EDGE_CURVE('',#49814,#49815,#3243,.T.); +#60466=EDGE_CURVE('',#49821,#49814,#3247,.T.); +#60469=EDGE_CURVE('',#44370,#44371,#3251,.T.); +#60471=EDGE_CURVE('',#44371,#44375,#3255,.T.); +#60473=EDGE_CURVE('',#44374,#44375,#3259,.T.); +#60476=EDGE_CURVE('',#49819,#49811,#3263,.T.); +#60478=EDGE_CURVE('',#49810,#49811,#3267,.T.); +#60482=EDGE_CURVE('',#44303,#44298,#3275,.T.); +#60484=EDGE_CURVE('',#44303,#45817,#3279,.T.); +#60486=EDGE_CURVE('',#45817,#55545,#3283,.T.); +#60490=ADVANCED_FACE('',(#60489),#60452,.F.); +#60496=EDGE_CURVE('',#55542,#55543,#3288,.T.); +#60499=EDGE_CURVE('',#55545,#55547,#32784,.T.); +#60501=EDGE_CURVE('',#55547,#55542,#3343,.T.); +#60505=ADVANCED_FACE('',(#60504),#60495,.T.); +#60512=EDGE_CURVE('',#55542,#55555,#3355,.T.); +#60514=EDGE_CURVE('',#55553,#55555,#3293,.T.); +#60516=EDGE_CURVE('',#45812,#55553,#3405,.T.); +#60518=EDGE_CURVE('',#45812,#45813,#3650,.T.); +#60523=ADVANCED_FACE('',(#60522),#60510,.T.); +#60529=EDGE_CURVE('',#55446,#55447,#3301,.T.); +#60531=EDGE_CURVE('',#55465,#55446,#3305,.T.); +#60533=EDGE_CURVE('',#55461,#55465,#3309,.T.); +#60535=EDGE_CURVE('',#55455,#55461,#3314,.T.); +#60537=EDGE_CURVE('',#55447,#55455,#3318,.T.); +#60541=ADVANCED_FACE('',(#60540),#60528,.T.); +#60547=EDGE_CURVE('',#55490,#55491,#3322,.T.); +#60549=EDGE_CURVE('',#55501,#55490,#3326,.T.); +#60551=EDGE_CURVE('',#55505,#55501,#3331,.T.); +#60553=EDGE_CURVE('',#55507,#55505,#3335,.T.); +#60555=EDGE_CURVE('',#55491,#55507,#3339,.T.); +#60559=ADVANCED_FACE('',(#60558),#60546,.T.); +#60566=EDGE_CURVE('',#55550,#55547,#3347,.T.); +#60568=EDGE_CURVE('',#55555,#55550,#3351,.T.); +#60573=ADVANCED_FACE('',(#60572),#60564,.T.); +#60579=EDGE_CURVE('',#55442,#55443,#3397,.T.); +#60581=EDGE_CURVE('',#55442,#55446,#3779,.T.); +#60584=EDGE_CURVE('',#55447,#55443,#3881,.T.); +#60588=ADVANCED_FACE('',(#60587),#60578,.T.); +#60594=EDGE_CURVE('',#55435,#55433,#3389,.T.); +#60596=EDGE_CURVE('',#55471,#55433,#3552,.T.); +#60598=EDGE_CURVE('',#55442,#55471,#3393,.T.); +#60601=EDGE_CURVE('',#55443,#55449,#3401,.T.); +#60603=EDGE_CURVE('',#45812,#55449,#3642,.T.); +#60606=EDGE_CURVE('',#55553,#55551,#3409,.T.); +#60608=EDGE_CURVE('',#45816,#55551,#32788,.T.); +#60610=EDGE_CURVE('',#55497,#45816,#3748,.T.); +#60612=EDGE_CURVE('',#55486,#55497,#3413,.T.); +#60614=EDGE_CURVE('',#55486,#55487,#3417,.T.); +#60616=EDGE_CURVE('',#55487,#55513,#3421,.T.); +#60618=EDGE_CURVE('',#55479,#55513,#3654,.T.); +#60620=EDGE_CURVE('',#55479,#55439,#3425,.T.); +#60622=EDGE_CURVE('',#55439,#55435,#5282,.T.); +#60626=EDGE_CURVE('',#44350,#44351,#3370,.T.); +#60628=EDGE_CURVE('',#44351,#44350,#3375,.T.); +#60632=EDGE_CURVE('',#44354,#44355,#3380,.T.); +#60634=EDGE_CURVE('',#44355,#44354,#3385,.T.); +#60638=EDGE_CURVE('',#44346,#44347,#3360,.T.); +#60640=EDGE_CURVE('',#44347,#44346,#3365,.T.); +#60644=ADVANCED_FACE('',(#60625,#60631,#60637,#60643),#60593,.F.); +#60650=EDGE_CURVE('',#55523,#55522,#3430,.T.); +#60652=EDGE_CURVE('',#44347,#55522,#3483,.T.); +#60655=EDGE_CURVE('',#44346,#55523,#3434,.T.); +#60659=ADVANCED_FACE('',(#60658),#60649,.T.); +#60665=EDGE_CURVE('',#55519,#55518,#3449,.T.); +#60667=EDGE_CURVE('',#55518,#55522,#3474,.T.); +#60670=EDGE_CURVE('',#55519,#55523,#3439,.T.); +#60674=ADVANCED_FACE('',(#60673),#60664,.T.); +#60680=EDGE_CURVE('',#55518,#55519,#3444,.T.); +#60685=ADVANCED_FACE('',(#60684),#60679,.T.); +#60691=EDGE_CURVE('',#55526,#55527,#3454,.T.); +#60693=EDGE_CURVE('',#55527,#55526,#3459,.T.); +#60697=ADVANCED_FACE('',(#60696),#60690,.T.); +#60703=EDGE_CURVE('',#55534,#55535,#3464,.T.); +#60705=EDGE_CURVE('',#55535,#55534,#3469,.T.); +#60709=ADVANCED_FACE('',(#60708),#60702,.T.); +#60717=EDGE_CURVE('',#55522,#55523,#3479,.T.); +#60722=ADVANCED_FACE('',(#60721),#60714,.T.); +#60734=ADVANCED_FACE('',(#60733),#60727,.T.); +#60741=EDGE_CURVE('',#55527,#55531,#3493,.T.); +#60743=EDGE_CURVE('',#55530,#55531,#3507,.T.); +#60745=EDGE_CURVE('',#55526,#55530,#3488,.T.); +#60749=ADVANCED_FACE('',(#60748),#60739,.T.); +#60757=EDGE_CURVE('',#55531,#55530,#3498,.T.); +#60762=ADVANCED_FACE('',(#60761),#60754,.T.); +#60769=EDGE_CURVE('',#44355,#55530,#3511,.T.); +#60772=EDGE_CURVE('',#44354,#55531,#3502,.T.); +#60776=ADVANCED_FACE('',(#60775),#60767,.T.); +#60788=ADVANCED_FACE('',(#60787),#60781,.T.); +#60795=EDGE_CURVE('',#55535,#55539,#3521,.T.); +#60797=EDGE_CURVE('',#55538,#55539,#3535,.T.); +#60799=EDGE_CURVE('',#55534,#55538,#3516,.T.); +#60803=ADVANCED_FACE('',(#60802),#60793,.T.); +#60811=EDGE_CURVE('',#55539,#55538,#3526,.T.); +#60816=ADVANCED_FACE('',(#60815),#60808,.T.); +#60823=EDGE_CURVE('',#44351,#55538,#3539,.T.); +#60826=EDGE_CURVE('',#44350,#55539,#3530,.T.); +#60830=ADVANCED_FACE('',(#60829),#60821,.T.); +#60842=ADVANCED_FACE('',(#60841),#60835,.T.); +#60848=EDGE_CURVE('',#55432,#55433,#3557,.T.); +#60851=EDGE_CURVE('',#55435,#55437,#3544,.T.); +#60853=EDGE_CURVE('',#55437,#55432,#5223,.T.); +#60857=ADVANCED_FACE('',(#60856),#60847,.T.); +#60863=EDGE_CURVE('',#55469,#45809,#3548,.T.); +#60865=EDGE_CURVE('',#55471,#55469,#3784,.T.); +#60869=EDGE_CURVE('',#44109,#55432,#3561,.T.); +#60871=EDGE_CURVE('',#44203,#44109,#3565,.T.); +#60873=EDGE_CURVE('',#44203,#44191,#3569,.T.); +#60875=EDGE_CURVE('',#44191,#44233,#3996,.T.); +#60877=EDGE_CURVE('',#44233,#44245,#3573,.T.); +#60879=EDGE_CURVE('',#44245,#44247,#3577,.T.); +#60881=EDGE_CURVE('',#44247,#44249,#3581,.T.); +#60883=EDGE_CURVE('',#44249,#44251,#3586,.T.); +#60885=EDGE_CURVE('',#44235,#44251,#3590,.T.); +#60887=EDGE_CURVE('',#44235,#44265,#3980,.T.); +#60889=EDGE_CURVE('',#44265,#49492,#3594,.T.); +#60891=EDGE_CURVE('',#49492,#49493,#3598,.T.); +#60893=EDGE_CURVE('',#49493,#49495,#3602,.T.); +#60895=EDGE_CURVE('',#49495,#49497,#3606,.T.); +#60899=EDGE_CURVE('',#49501,#49503,#3618,.T.); +#60901=EDGE_CURVE('',#49503,#49480,#3622,.T.); +#60903=EDGE_CURVE('',#49480,#44274,#3626,.T.); +#60905=EDGE_CURVE('',#44274,#44287,#3630,.T.); +#60907=EDGE_CURVE('',#44287,#44295,#3634,.T.); +#60909=EDGE_CURVE('',#45183,#44295,#3830,.T.); +#60911=EDGE_CURVE('',#45183,#45809,#3638,.T.); +#60915=ADVANCED_FACE('',(#60914),#60862,.F.); +#60922=EDGE_CURVE('',#55453,#55449,#3866,.T.); +#60924=EDGE_CURVE('',#55453,#44333,#3646,.T.); +#60926=EDGE_CURVE('',#44293,#44333,#3835,.T.); +#60932=ADVANCED_FACE('',(#60931),#60920,.F.); +#60939=EDGE_CURVE('',#55515,#55513,#32565,.T.); +#60941=EDGE_CURVE('',#55515,#45819,#3658,.T.); +#60943=EDGE_CURVE('',#45819,#44335,#3662,.T.); +#60945=EDGE_CURVE('',#44301,#44335,#32497,.T.); +#60947=EDGE_CURVE('',#44301,#44285,#3666,.T.); +#60949=EDGE_CURVE('',#44285,#44278,#3670,.T.); +#60951=EDGE_CURVE('',#49488,#44278,#3674,.T.); +#60953=EDGE_CURVE('',#49488,#49505,#3678,.T.); +#60955=EDGE_CURVE('',#49505,#49507,#3682,.T.); +#60959=EDGE_CURVE('',#49511,#49513,#3694,.T.); +#60961=EDGE_CURVE('',#49513,#49515,#3698,.T.); +#60963=EDGE_CURVE('',#49515,#49517,#3702,.T.); +#60965=EDGE_CURVE('',#44267,#49517,#3706,.T.); +#60967=EDGE_CURVE('',#44267,#44229,#4036,.T.); +#60969=EDGE_CURVE('',#44229,#44237,#3710,.T.); +#60971=EDGE_CURVE('',#44237,#44239,#3715,.T.); +#60973=EDGE_CURVE('',#44239,#44241,#3719,.T.); +#60975=EDGE_CURVE('',#44241,#44243,#3723,.T.); +#60977=EDGE_CURVE('',#44231,#44243,#3727,.T.); +#60979=EDGE_CURVE('',#44231,#44182,#4020,.T.); +#60981=EDGE_CURVE('',#44194,#44182,#5187,.T.); +#60983=EDGE_CURVE('',#44107,#44194,#3731,.T.); +#60985=EDGE_CURVE('',#55481,#44107,#3735,.T.); +#60987=EDGE_CURVE('',#55479,#55481,#3740,.T.); +#60991=ADVANCED_FACE('',(#60990),#60937,.F.); +#60997=EDGE_CURVE('',#55495,#45185,#3744,.T.); +#60999=EDGE_CURVE('',#55497,#55495,#32611,.T.); +#61002=EDGE_CURVE('',#45816,#45817,#3752,.T.); +#61005=EDGE_CURVE('',#45185,#44303,#32492,.T.); +#61009=ADVANCED_FACE('',(#61008),#60996,.F.); +#61015=EDGE_CURVE('',#55463,#55467,#3756,.T.); +#61017=EDGE_CURVE('',#55467,#55469,#3760,.T.); +#61020=EDGE_CURVE('',#45809,#55463,#3764,.T.); +#61024=ADVANCED_FACE('',(#61023),#61014,.T.); +#61031=EDGE_CURVE('',#55446,#55467,#3774,.T.); +#61034=EDGE_CURVE('',#55463,#55465,#3812,.T.); +#61038=ADVANCED_FACE('',(#61037),#61029,.T.); +#61044=EDGE_CURVE('',#55467,#55442,#3769,.T.); +#61050=ADVANCED_FACE('',(#61049),#61043,.T.); +#61062=ADVANCED_FACE('',(#61061),#61055,.T.); +#61068=EDGE_CURVE('',#55459,#55463,#3816,.T.); +#61070=EDGE_CURVE('',#55461,#55459,#3798,.T.); +#61076=ADVANCED_FACE('',(#61075),#61067,.T.); +#61085=EDGE_CURVE('',#45183,#55459,#3820,.T.); +#61089=ADVANCED_FACE('',(#61088),#61081,.T.); +#61095=EDGE_CURVE('',#55457,#55459,#3825,.T.); +#61099=EDGE_CURVE('',#44295,#44290,#3885,.T.); +#61104=EDGE_CURVE('',#44333,#55457,#3839,.T.); +#61108=ADVANCED_FACE('',(#61107),#61094,.F.); +#61115=EDGE_CURVE('',#55457,#55455,#3853,.T.); +#61121=ADVANCED_FACE('',(#61120),#61113,.T.); +#61129=EDGE_CURVE('',#55452,#55457,#3861,.T.); +#61131=EDGE_CURVE('',#55452,#55447,#3871,.T.); +#61135=ADVANCED_FACE('',(#61134),#61126,.T.); +#61141=EDGE_CURVE('',#55452,#55453,#3857,.T.); +#61148=ADVANCED_FACE('',(#61147),#61140,.T.); +#61155=EDGE_CURVE('',#55443,#55452,#3876,.T.); +#61161=ADVANCED_FACE('',(#61160),#61153,.T.); +#61172=ADVANCED_FACE('',(#61171),#61166,.T.); +#61180=EDGE_CURVE('',#44287,#44283,#3889,.T.); +#61185=ADVANCED_FACE('',(#61184),#61177,.F.); +#61191=EDGE_CURVE('',#44274,#44275,#3901,.T.); +#61198=ADVANCED_FACE('',(#61197),#61190,.F.); +#61204=EDGE_CURVE('',#49480,#49481,#3893,.T.); +#61211=ADVANCED_FACE('',(#61210),#61203,.T.); +#61217=EDGE_CURVE('',#49552,#49553,#3905,.T.); +#61219=EDGE_CURVE('',#49552,#49519,#3909,.T.); +#61221=EDGE_CURVE('',#49492,#49519,#3913,.T.); +#61224=EDGE_CURVE('',#44265,#49553,#3976,.T.); +#61228=ADVANCED_FACE('',(#61227),#61216,.T.); +#61234=EDGE_CURVE('',#49564,#49565,#3917,.T.); +#61236=EDGE_CURVE('',#49564,#44262,#4064,.T.); +#61238=EDGE_CURVE('',#44269,#44262,#3921,.T.); +#61240=EDGE_CURVE('',#48840,#44269,#3926,.T.); +#61242=EDGE_CURVE('',#48838,#48840,#3930,.T.); +#61244=EDGE_CURVE('',#44254,#48838,#3935,.T.); +#61246=EDGE_CURVE('',#44254,#44255,#3939,.T.); +#61248=EDGE_CURVE('',#44255,#49477,#3944,.T.); +#61251=EDGE_CURVE('',#49523,#49476,#3952,.T.); +#61253=EDGE_CURVE('',#49565,#49523,#3956,.T.); +#61257=ADVANCED_FACE('',(#61256),#61233,.T.); +#61265=EDGE_CURVE('',#49503,#49461,#3960,.T.); +#61270=ADVANCED_FACE('',(#61269),#61262,.F.); +#61282=ADVANCED_FACE('',(#61281),#61275,.F.); +#61289=EDGE_CURVE('',#49553,#49555,#3972,.T.); +#61291=EDGE_CURVE('',#49557,#49555,#3968,.T.); +#61293=EDGE_CURVE('',#49552,#49557,#32767,.T.); +#61297=ADVANCED_FACE('',(#61296),#61287,.T.); +#61306=EDGE_CURVE('',#44235,#44223,#3984,.T.); +#61308=EDGE_CURVE('',#44222,#44223,#3988,.T.); +#61310=EDGE_CURVE('',#44233,#44222,#3992,.T.); +#61313=EDGE_CURVE('',#44189,#44191,#4000,.T.); +#61315=EDGE_CURVE('',#44187,#44189,#4004,.T.); +#61317=EDGE_CURVE('',#44185,#44187,#4008,.T.); +#61319=EDGE_CURVE('',#44183,#44185,#4012,.T.); +#61321=EDGE_CURVE('',#44182,#44183,#4016,.T.); +#61324=EDGE_CURVE('',#44231,#44227,#4024,.T.); +#61326=EDGE_CURVE('',#44226,#44227,#4028,.T.); +#61328=EDGE_CURVE('',#44229,#44226,#4032,.T.); +#61331=EDGE_CURVE('',#44267,#49580,#4040,.T.); +#61333=EDGE_CURVE('',#49577,#49580,#4044,.T.); +#61335=EDGE_CURVE('',#49571,#49577,#4048,.T.); +#61337=EDGE_CURVE('',#49569,#49571,#4052,.T.); +#61339=EDGE_CURVE('',#49569,#44263,#4056,.T.); +#61341=EDGE_CURVE('',#44262,#44263,#4060,.T.); +#61344=EDGE_CURVE('',#49561,#49564,#4068,.T.); +#61346=EDGE_CURVE('',#49555,#49561,#4072,.T.); +#61350=ADVANCED_FACE('',(#61349),#61302,.T.); +#61358=EDGE_CURVE('',#44251,#44219,#4076,.T.); +#61360=EDGE_CURVE('',#44219,#44223,#4118,.T.); +#61364=ADVANCED_FACE('',(#61363),#61355,.F.); +#61370=EDGE_CURVE('',#44249,#44217,#4080,.T.); +#61372=EDGE_CURVE('',#44217,#44219,#4123,.T.); +#61378=ADVANCED_FACE('',(#61377),#61369,.F.); +#61384=EDGE_CURVE('',#44247,#44215,#4084,.T.); +#61386=EDGE_CURVE('',#44215,#44217,#4127,.T.); +#61392=EDGE_CURVE('',#49593,#49594,#4088,.T.); +#61394=EDGE_CURVE('',#49594,#49596,#4092,.T.); +#61396=EDGE_CURVE('',#49596,#49603,#4096,.T.); +#61398=EDGE_CURVE('',#49610,#49603,#4101,.T.); +#61400=EDGE_CURVE('',#49610,#49611,#4105,.T.); +#61402=EDGE_CURVE('',#49593,#49611,#4110,.T.); +#61406=ADVANCED_FACE('',(#61391,#61405),#61383,.F.); +#61412=EDGE_CURVE('',#44245,#44214,#4114,.T.); +#61414=EDGE_CURVE('',#44214,#44215,#4131,.T.); +#61420=ADVANCED_FACE('',(#61419),#61411,.F.); +#61427=EDGE_CURVE('',#44222,#44214,#4135,.T.); +#61433=ADVANCED_FACE('',(#61432),#61425,.F.); +#61447=ADVANCED_FACE('',(#61446),#61438,.T.); +#61454=EDGE_CURVE('',#44211,#44227,#4139,.T.); +#61456=EDGE_CURVE('',#44209,#44211,#4143,.T.); +#61458=EDGE_CURVE('',#44207,#44209,#4147,.T.); +#61460=EDGE_CURVE('',#44206,#44207,#4152,.T.); +#61462=EDGE_CURVE('',#44226,#44206,#4156,.T.); +#61466=ADVANCED_FACE('',(#61465),#61452,.T.); +#61474=EDGE_CURVE('',#44243,#44211,#4160,.T.); +#61479=ADVANCED_FACE('',(#61478),#61471,.F.); +#61485=EDGE_CURVE('',#44241,#44209,#4164,.T.); +#61492=ADVANCED_FACE('',(#61491),#61484,.F.); +#61498=EDGE_CURVE('',#44239,#44207,#4168,.T.); +#61505=EDGE_CURVE('',#49614,#49615,#4172,.T.); +#61507=EDGE_CURVE('',#49628,#49615,#4177,.T.); +#61509=EDGE_CURVE('',#49628,#49629,#4181,.T.); +#61511=EDGE_CURVE('',#49639,#49629,#4186,.T.); +#61513=EDGE_CURVE('',#49639,#49640,#4190,.T.); +#61515=EDGE_CURVE('',#49640,#49614,#4194,.T.); +#61519=ADVANCED_FACE('',(#61504,#61518),#61497,.F.); +#61525=EDGE_CURVE('',#44237,#44206,#4198,.T.); +#61532=ADVANCED_FACE('',(#61531),#61524,.F.); +#61544=ADVANCED_FACE('',(#61543),#61537,.F.); +#61551=EDGE_CURVE('',#49616,#49614,#4220,.T.); +#61553=EDGE_CURVE('',#49616,#49617,#5036,.T.); +#61555=EDGE_CURVE('',#49617,#49619,#4846,.T.); +#61557=EDGE_CURVE('',#49615,#49619,#4203,.T.); +#61561=ADVANCED_FACE('',(#61560),#61549,.F.); +#61568=EDGE_CURVE('',#49636,#49640,#4237,.T.); +#61570=EDGE_CURVE('',#49636,#49616,#5040,.T.); +#61575=ADVANCED_FACE('',(#61574),#61566,.F.); +#61581=EDGE_CURVE('',#49633,#49635,#4259,.T.); +#61583=EDGE_CURVE('',#49635,#49636,#5045,.T.); +#61587=EDGE_CURVE('',#49633,#49639,#5089,.T.); +#61591=ADVANCED_FACE('',(#61590),#61580,.F.); +#61597=EDGE_CURVE('',#49675,#49676,#4251,.T.); +#61599=EDGE_CURVE('',#45188,#49675,#4820,.T.); +#61601=EDGE_CURVE('',#49635,#45188,#4255,.T.); +#61604=EDGE_CURVE('',#49631,#49633,#4263,.T.); +#61606=EDGE_CURVE('',#49631,#49676,#4267,.T.); +#61610=ADVANCED_FACE('',(#61609),#61596,.T.); +#61645=EDGE_CURVE('',#49677,#49676,#4302,.T.); +#61647=EDGE_CURVE('',#49678,#49677,#4788,.T.); +#61649=EDGE_CURVE('',#49678,#49675,#4802,.T.); +#61653=ADVANCED_FACE('',(#61652),#61643,.T.); +#61659=EDGE_CURVE('',#49654,#49652,#4271,.T.); +#61661=EDGE_CURVE('',#49652,#49605,#4525,.T.); +#61663=EDGE_CURVE('',#49604,#49605,#4282,.T.); +#61665=EDGE_CURVE('',#49659,#49604,#4475,.T.); +#61667=EDGE_CURVE('',#49659,#49656,#4290,.T.); +#61669=EDGE_CURVE('',#49656,#49654,#4294,.T.); +#61673=ADVANCED_FACE('',(#61672),#61658,.F.); +#61681=EDGE_CURVE('',#49630,#49631,#4313,.T.); +#61683=EDGE_CURVE('',#49693,#49630,#4730,.T.); +#61685=EDGE_CURVE('',#49693,#49698,#4317,.T.); +#61687=EDGE_CURVE('',#49698,#49677,#4321,.T.); +#61691=ADVANCED_FACE('',(#61690),#61678,.F.); +#61697=EDGE_CURVE('',#49649,#49650,#4328,.T.); +#61699=EDGE_CURVE('',#49650,#49651,#4534,.T.); +#61701=EDGE_CURVE('',#49651,#49652,#4496,.T.); +#61704=EDGE_CURVE('',#49643,#49654,#4415,.T.); +#61706=EDGE_CURVE('',#49643,#49649,#4400,.T.); +#61710=ADVANCED_FACE('',(#61709),#61696,.T.); +#61717=EDGE_CURVE('',#49649,#45194,#4342,.T.); +#61719=EDGE_CURVE('',#45192,#45194,#4352,.T.); +#61721=EDGE_CURVE('',#45192,#49650,#4356,.T.); +#61725=ADVANCED_FACE('',(#61724),#61715,.T.); +#61731=EDGE_CURVE('',#49673,#49674,#4363,.T.); +#61733=EDGE_CURVE('',#45195,#49673,#4563,.T.); +#61735=EDGE_CURVE('',#45194,#45195,#4374,.T.); +#61737=EDGE_CURVE('',#45194,#49674,#4388,.T.); +#61741=ADVANCED_FACE('',(#61740),#61730,.T.); +#61747=EDGE_CURVE('',#49643,#49644,#4392,.T.); +#61749=EDGE_CURVE('',#49674,#49644,#4396,.T.); +#61756=ADVANCED_FACE('',(#61755),#61746,.F.); +#61763=EDGE_CURVE('',#49647,#49643,#4410,.T.); +#61765=EDGE_CURVE('',#49647,#49648,#4582,.T.); +#61767=EDGE_CURVE('',#49644,#49648,#4573,.T.); +#61771=ADVANCED_FACE('',(#61770),#61761,.T.); +#61777=EDGE_CURVE('',#49654,#49647,#4405,.T.); +#61783=ADVANCED_FACE('',(#61782),#61776,.T.); +#61790=EDGE_CURVE('',#49658,#49656,#4425,.T.); +#61792=EDGE_CURVE('',#49658,#49647,#4586,.T.); +#61797=ADVANCED_FACE('',(#61796),#61788,.T.); +#61803=EDGE_CURVE('',#49661,#49658,#4420,.T.); +#61806=EDGE_CURVE('',#49656,#49661,#4430,.T.); +#61810=ADVANCED_FACE('',(#61809),#61802,.T.); +#61816=EDGE_CURVE('',#49663,#49661,#4457,.T.); +#61818=EDGE_CURVE('',#49665,#49663,#5059,.T.); +#61820=EDGE_CURVE('',#49665,#49658,#4591,.T.); +#61825=ADVANCED_FACE('',(#61824),#61815,.T.); +#61831=EDGE_CURVE('',#49660,#49661,#4439,.T.); +#61833=EDGE_CURVE('',#44391,#49660,#4491,.T.); +#61835=EDGE_CURVE('',#44390,#44391,#5026,.T.); +#61837=EDGE_CURVE('',#44390,#49666,#4443,.T.); +#61839=EDGE_CURVE('',#49663,#49666,#4452,.T.); +#61844=ADVANCED_FACE('',(#61843),#61830,.T.); +#61878=EDGE_CURVE('',#49659,#49660,#4471,.T.); +#61885=ADVANCED_FACE('',(#61884),#61877,.T.); +#61893=EDGE_CURVE('',#49604,#49601,#4479,.T.); +#61895=EDGE_CURVE('',#49599,#49601,#4483,.T.); +#61897=EDGE_CURVE('',#44391,#49599,#4487,.T.); +#61902=ADVANCED_FACE('',(#61901),#61890,.T.); +#61909=EDGE_CURVE('',#49605,#49607,#4521,.T.); +#61911=EDGE_CURVE('',#49601,#49607,#5001,.T.); +#61916=ADVANCED_FACE('',(#61915),#61907,.T.); +#61923=EDGE_CURVE('',#45193,#49651,#4542,.T.); +#61925=EDGE_CURVE('',#45197,#45193,#4546,.T.); +#61927=EDGE_CURVE('',#45197,#49672,#4500,.T.); +#61929=EDGE_CURVE('',#49671,#49672,#4505,.T.); +#61931=EDGE_CURVE('',#49582,#49671,#4509,.T.); +#61933=EDGE_CURVE('',#49587,#49582,#4513,.T.); +#61935=EDGE_CURVE('',#49607,#49587,#4517,.T.); +#61941=ADVANCED_FACE('',(#61940),#61921,.T.); +#61949=EDGE_CURVE('',#45192,#45193,#4538,.T.); +#61954=ADVANCED_FACE('',(#61953),#61946,.T.); +#61963=EDGE_CURVE('',#45195,#45197,#4559,.T.); +#61968=ADVANCED_FACE('',(#61967),#61959,.F.); +#61974=EDGE_CURVE('',#49672,#49673,#4555,.T.); +#61981=ADVANCED_FACE('',(#61980),#61973,.T.); +#61991=EDGE_CURVE('',#49670,#49644,#4568,.T.); +#61993=EDGE_CURVE('',#49671,#49670,#4877,.T.); +#61997=ADVANCED_FACE('',(#61996),#61986,.T.); +#62005=EDGE_CURVE('',#49648,#49670,#4578,.T.); +#62009=ADVANCED_FACE('',(#62008),#62002,.T.); +#62015=EDGE_CURVE('',#49648,#49665,#4595,.T.); +#62017=EDGE_CURVE('',#49668,#49665,#5054,.T.); +#62019=EDGE_CURVE('',#49670,#49668,#4881,.T.); +#62024=ADVANCED_FACE('',(#62023),#62014,.T.); +#62036=ADVANCED_FACE('',(#62035),#62029,.T.); +#62042=EDGE_CURVE('',#49691,#49692,#4599,.T.); +#62044=EDGE_CURVE('',#49704,#49691,#4603,.T.); +#62046=EDGE_CURVE('',#49700,#49704,#4608,.T.); +#62048=EDGE_CURVE('',#49692,#49700,#4612,.T.); +#62052=ADVANCED_FACE('',(#62051),#62041,.T.); +#62058=EDGE_CURVE('',#49686,#49688,#4620,.T.); +#62060=EDGE_CURVE('',#49691,#49686,#5114,.T.); +#62063=EDGE_CURVE('',#49688,#49692,#4778,.T.); +#62067=ADVANCED_FACE('',(#62066),#62057,.T.); +#62073=EDGE_CURVE('',#49686,#49679,#4616,.T.); +#62076=EDGE_CURVE('',#49696,#49688,#4624,.T.); +#62078=EDGE_CURVE('',#45202,#49696,#4691,.T.); +#62080=EDGE_CURVE('',#49679,#45202,#4645,.T.); +#62084=ADVANCED_FACE('',(#62083),#62072,.F.); +#62090=EDGE_CURVE('',#49679,#49680,#4631,.T.); +#62092=EDGE_CURVE('',#49680,#49681,#4700,.T.); +#62094=EDGE_CURVE('',#49681,#49682,#4713,.T.); +#62096=EDGE_CURVE('',#49684,#49682,#4885,.T.); +#62098=EDGE_CURVE('',#49686,#49684,#5119,.T.); +#62103=ADVANCED_FACE('',(#62102),#62089,.T.); +#62111=EDGE_CURVE('',#45200,#45202,#4655,.T.); +#62113=EDGE_CURVE('',#45200,#49680,#4659,.T.); +#62117=ADVANCED_FACE('',(#62116),#62108,.T.); +#62123=EDGE_CURVE('',#49695,#49696,#4666,.T.); +#62125=EDGE_CURVE('',#45203,#49695,#4763,.T.); +#62127=EDGE_CURVE('',#45202,#45203,#4677,.T.); +#62132=ADVANCED_FACE('',(#62131),#62122,.T.); +#62138=EDGE_CURVE('',#45200,#45201,#4704,.T.); +#62142=EDGE_CURVE('',#45203,#45205,#4759,.T.); +#62144=EDGE_CURVE('',#45201,#45205,#4717,.T.); +#62148=ADVANCED_FACE('',(#62147),#62137,.F.); +#62157=EDGE_CURVE('',#45201,#49681,#4708,.T.); +#62161=ADVANCED_FACE('',(#62160),#62153,.T.); +#62170=EDGE_CURVE('',#45205,#49694,#4721,.T.); +#62172=EDGE_CURVE('',#49693,#49694,#4726,.T.); +#62175=EDGE_CURVE('',#49630,#49625,#4734,.T.); +#62177=EDGE_CURVE('',#49623,#49625,#4738,.T.); +#62179=EDGE_CURVE('',#49621,#49623,#4742,.T.); +#62181=EDGE_CURVE('',#49621,#49682,#4746,.T.); +#62185=ADVANCED_FACE('',(#62184),#62166,.T.); +#62191=EDGE_CURVE('',#49694,#49695,#4755,.T.); +#62198=ADVANCED_FACE('',(#62197),#62190,.T.); +#62208=EDGE_CURVE('',#49698,#49688,#4773,.T.); +#62213=ADVANCED_FACE('',(#62212),#62203,.T.); +#62219=EDGE_CURVE('',#49692,#49698,#4768,.T.); +#62225=ADVANCED_FACE('',(#62224),#62218,.T.); +#62232=EDGE_CURVE('',#49677,#49700,#4793,.T.); +#62238=ADVANCED_FACE('',(#62237),#62230,.T.); +#62244=EDGE_CURVE('',#49700,#49678,#4783,.T.); +#62250=ADVANCED_FACE('',(#62249),#62243,.T.); +#62256=EDGE_CURVE('',#49678,#49702,#4807,.T.); +#62260=EDGE_CURVE('',#49702,#49704,#5104,.T.); +#62264=ADVANCED_FACE('',(#62263),#62255,.T.); +#62272=EDGE_CURVE('',#49706,#49702,#4816,.T.); +#62274=EDGE_CURVE('',#45189,#49706,#4854,.T.); +#62276=EDGE_CURVE('',#45188,#45189,#5031,.T.); +#62281=ADVANCED_FACE('',(#62280),#62269,.T.); +#62315=EDGE_CURVE('',#49705,#49706,#4834,.T.); +#62318=EDGE_CURVE('',#49707,#49702,#5099,.T.); +#62320=EDGE_CURVE('',#49705,#49707,#4904,.T.); +#62324=ADVANCED_FACE('',(#62323),#62314,.T.); +#62331=EDGE_CURVE('',#49705,#49620,#4838,.T.); +#62333=EDGE_CURVE('',#49620,#49619,#4842,.T.); +#62336=EDGE_CURVE('',#49617,#45189,#4850,.T.); +#62341=ADVANCED_FACE('',(#62340),#62329,.T.); +#62347=EDGE_CURVE('',#49668,#49667,#4862,.T.); +#62349=EDGE_CURVE('',#49583,#49667,#4939,.T.); +#62351=EDGE_CURVE('',#49582,#49583,#4873,.T.); +#62358=ADVANCED_FACE('',(#62357),#62346,.F.); +#62366=EDGE_CURVE('',#49620,#49621,#4896,.T.); +#62370=EDGE_CURVE('',#49707,#49684,#4908,.T.); +#62374=ADVANCED_FACE('',(#62373),#62363,.F.); +#62408=EDGE_CURVE('',#49666,#49667,#4923,.T.); +#62411=EDGE_CURVE('',#49663,#49668,#5064,.T.); +#62416=ADVANCED_FACE('',(#62415),#62407,.T.); +#62424=EDGE_CURVE('',#44390,#49589,#4927,.T.); +#62426=EDGE_CURVE('',#49585,#49589,#4931,.T.); +#62428=EDGE_CURVE('',#49585,#49583,#4935,.T.); +#62433=ADVANCED_FACE('',(#62432),#62421,.T.); +#62439=EDGE_CURVE('',#49589,#49590,#4944,.T.); +#62442=EDGE_CURVE('',#44391,#44390,#4948,.T.); +#62445=EDGE_CURVE('',#49598,#49599,#4953,.T.); +#62447=EDGE_CURVE('',#49590,#49598,#4957,.T.); +#62451=ADVANCED_FACE('',(#62450),#62438,.F.); +#62459=EDGE_CURVE('',#49590,#49594,#4974,.T.); +#62462=EDGE_CURVE('',#49585,#49593,#5021,.T.); +#62466=ADVANCED_FACE('',(#62465),#62456,.F.); +#62475=EDGE_CURVE('',#49598,#49596,#4991,.T.); +#62479=ADVANCED_FACE('',(#62478),#62471,.F.); +#62487=EDGE_CURVE('',#49603,#49601,#4996,.T.); +#62493=ADVANCED_FACE('',(#62492),#62484,.F.); +#62502=EDGE_CURVE('',#49607,#49610,#5006,.T.); +#62506=ADVANCED_FACE('',(#62505),#62498,.F.); +#62515=EDGE_CURVE('',#49611,#49587,#5011,.T.); +#62519=ADVANCED_FACE('',(#62518),#62511,.F.); +#62527=EDGE_CURVE('',#49587,#49585,#5016,.T.); +#62532=ADVANCED_FACE('',(#62531),#62524,.F.); +#62544=ADVANCED_FACE('',(#62543),#62537,.T.); +#62554=ADVANCED_FACE('',(#62553),#62549,.F.); +#62560=EDGE_CURVE('',#45188,#45189,#5049,.T.); +#62565=ADVANCED_FACE('',(#62564),#62559,.F.); +#62579=ADVANCED_FACE('',(#62578),#62570,.F.); +#62590=ADVANCED_FACE('',(#62589),#62584,.T.); +#62598=EDGE_CURVE('',#49619,#49623,#5069,.T.); +#62603=ADVANCED_FACE('',(#62602),#62595,.T.); +#62612=EDGE_CURVE('',#49623,#49628,#5074,.T.); +#62616=ADVANCED_FACE('',(#62615),#62608,.F.); +#62623=EDGE_CURVE('',#49629,#49625,#5079,.T.); +#62629=ADVANCED_FACE('',(#62628),#62621,.F.); +#62637=EDGE_CURVE('',#49625,#49633,#5084,.T.); +#62642=ADVANCED_FACE('',(#62641),#62634,.F.); +#62654=ADVANCED_FACE('',(#62653),#62647,.T.); +#62661=EDGE_CURVE('',#49704,#49707,#5094,.T.); +#62664=EDGE_CURVE('',#49684,#49691,#5109,.T.); +#62668=ADVANCED_FACE('',(#62667),#62659,.T.); +#62679=ADVANCED_FACE('',(#62678),#62673,.T.); +#62690=ADVANCED_FACE('',(#62689),#62684,.T.); +#62696=EDGE_CURVE('',#44203,#44201,#5143,.T.); +#62698=EDGE_CURVE('',#44201,#44189,#5123,.T.); +#62704=ADVANCED_FACE('',(#62703),#62695,.T.); +#62710=EDGE_CURVE('',#44194,#44195,#5127,.T.); +#62712=EDGE_CURVE('',#44195,#44197,#5131,.T.); +#62714=EDGE_CURVE('',#44197,#44199,#5135,.T.); +#62716=EDGE_CURVE('',#44199,#44201,#5139,.T.); +#62720=EDGE_CURVE('',#44096,#44109,#5219,.T.); +#62722=EDGE_CURVE('',#44096,#44097,#5147,.T.); +#62724=EDGE_CURVE('',#44097,#44099,#5151,.T.); +#62726=EDGE_CURVE('',#44099,#44101,#5155,.T.); +#62728=EDGE_CURVE('',#44101,#44117,#5159,.T.); +#62730=EDGE_CURVE('',#44116,#44117,#5163,.T.); +#62732=EDGE_CURVE('',#44116,#44134,#5167,.T.); +#62734=EDGE_CURVE('',#44134,#44135,#5171,.T.); +#62736=EDGE_CURVE('',#44135,#44137,#5175,.T.); +#62738=EDGE_CURVE('',#44106,#44137,#5179,.T.); +#62740=EDGE_CURVE('',#44106,#44107,#5183,.T.); +#62745=ADVANCED_FACE('',(#62744),#62709,.T.); +#62754=EDGE_CURVE('',#44195,#44183,#5191,.T.); +#62758=ADVANCED_FACE('',(#62757),#62750,.T.); +#62767=EDGE_CURVE('',#44197,#44185,#5195,.T.); +#62771=ADVANCED_FACE('',(#62770),#62763,.T.); +#62780=EDGE_CURVE('',#44199,#44187,#5199,.T.); +#62784=ADVANCED_FACE('',(#62783),#62776,.T.); +#62796=ADVANCED_FACE('',(#62795),#62789,.T.); +#62802=EDGE_CURVE('',#55427,#55425,#5203,.T.); +#62804=EDGE_CURVE('',#55425,#44158,#5207,.T.); +#62806=EDGE_CURVE('',#44103,#44158,#5211,.T.); +#62808=EDGE_CURVE('',#44096,#44103,#5215,.T.); +#62813=EDGE_CURVE('',#55427,#55437,#5278,.T.); +#62817=ADVANCED_FACE('',(#62816),#62801,.T.); +#62823=EDGE_CURVE('',#55424,#55425,#5229,.T.); +#62826=EDGE_CURVE('',#55427,#55429,#5300,.T.); +#62828=EDGE_CURVE('',#55429,#55424,#5238,.T.); +#62832=ADVANCED_FACE('',(#62831),#62822,.T.); +#62839=EDGE_CURVE('',#55424,#44171,#5274,.T.); +#62841=EDGE_CURVE('',#44171,#44169,#5387,.T.); +#62843=EDGE_CURVE('',#44169,#44166,#5234,.T.); +#62845=EDGE_CURVE('',#44159,#44166,#32353,.T.); +#62847=EDGE_CURVE('',#44158,#44159,#32357,.T.); +#62852=ADVANCED_FACE('',(#62851),#62837,.F.); +#62859=EDGE_CURVE('',#55429,#44331,#5242,.T.); +#62861=EDGE_CURVE('',#44331,#44327,#5246,.T.); +#62863=EDGE_CURVE('',#44326,#44327,#5250,.T.); +#62865=EDGE_CURVE('',#44329,#44326,#5254,.T.); +#62867=EDGE_CURVE('',#44329,#55475,#5258,.T.); +#62869=EDGE_CURVE('',#55475,#55473,#5262,.T.); +#62871=EDGE_CURVE('',#55473,#44155,#5266,.T.); +#62873=EDGE_CURVE('',#44139,#44155,#5355,.T.); +#62875=EDGE_CURVE('',#44145,#44139,#5420,.T.); +#62877=EDGE_CURVE('',#44306,#44145,#31234,.T.); +#62879=EDGE_CURVE('',#44306,#44307,#5270,.T.); +#62881=EDGE_CURVE('',#44143,#44307,#31205,.T.); +#62883=EDGE_CURVE('',#44142,#44143,#5474,.T.); +#62885=EDGE_CURVE('',#44171,#44142,#5391,.T.); +#62890=ADVANCED_FACE('',(#62889),#62857,.T.); +#62899=EDGE_CURVE('',#55483,#55439,#5305,.T.); +#62901=EDGE_CURVE('',#55483,#55477,#5286,.T.); +#62903=EDGE_CURVE('',#55475,#55477,#5291,.T.); +#62906=EDGE_CURVE('',#44317,#44329,#32378,.T.); +#62908=EDGE_CURVE('',#44317,#44323,#5295,.T.); +#62910=EDGE_CURVE('',#44323,#44331,#32382,.T.); +#62916=ADVANCED_FACE('',(#62915),#62895,.T.); +#62924=EDGE_CURVE('',#55481,#55483,#5313,.T.); +#62929=ADVANCED_FACE('',(#62928),#62921,.T.); +#62935=EDGE_CURVE('',#55477,#55472,#5309,.T.); +#62941=EDGE_CURVE('',#44106,#44131,#5317,.T.); +#62943=EDGE_CURVE('',#44153,#44131,#5321,.T.); +#62945=EDGE_CURVE('',#55472,#44153,#5325,.T.); +#62949=ADVANCED_FACE('',(#62948),#62934,.T.); +#62955=EDGE_CURVE('',#55472,#55473,#5331,.T.); +#62962=ADVANCED_FACE('',(#62961),#62954,.T.); +#62970=EDGE_CURVE('',#44153,#44151,#5335,.T.); +#62972=EDGE_CURVE('',#44150,#44151,#5347,.T.); +#62974=EDGE_CURVE('',#44150,#44155,#5359,.T.); +#62979=ADVANCED_FACE('',(#62978),#62967,.F.); +#62987=EDGE_CURVE('',#44137,#44131,#5343,.T.); +#62990=EDGE_CURVE('',#44135,#44151,#5339,.T.); +#62994=ADVANCED_FACE('',(#62993),#62984,.F.); +#63005=ADVANCED_FACE('',(#63004),#62999,.F.); +#63014=EDGE_CURVE('',#44134,#44150,#5351,.T.); +#63018=ADVANCED_FACE('',(#63017),#63010,.F.); +#63028=EDGE_CURVE('',#44112,#44116,#5379,.T.); +#63030=EDGE_CURVE('',#44119,#44112,#5363,.T.); +#63032=EDGE_CURVE('',#44119,#44139,#5367,.T.); +#63036=ADVANCED_FACE('',(#63035),#63023,.F.); +#63043=EDGE_CURVE('',#44113,#44117,#5371,.T.); +#63045=EDGE_CURVE('',#44112,#44113,#5375,.T.); +#63050=ADVANCED_FACE('',(#63049),#63041,.F.); +#63056=EDGE_CURVE('',#44169,#44162,#5383,.T.); +#63060=EDGE_CURVE('',#44142,#44162,#5395,.T.); +#63064=ADVANCED_FACE('',(#63063),#63055,.F.); +#63070=EDGE_CURVE('',#44167,#44163,#5399,.T.); +#63072=EDGE_CURVE('',#44163,#44121,#5403,.T.); +#63074=EDGE_CURVE('',#44121,#44113,#31284,.T.); +#63078=EDGE_CURVE('',#44101,#44167,#5407,.T.); +#63082=ADVANCED_FACE('',(#63081),#63069,.F.); +#63088=EDGE_CURVE('',#44162,#44163,#5470,.T.); +#63091=EDGE_CURVE('',#44166,#44167,#32345,.T.); +#63097=ADVANCED_FACE('',(#63096),#63087,.T.); +#63103=EDGE_CURVE('',#55559,#44343,#5412,.T.); +#63105=EDGE_CURVE('',#55564,#55559,#5496,.T.); +#63107=EDGE_CURVE('',#55564,#44145,#5416,.T.); +#63111=EDGE_CURVE('',#44093,#44119,#5424,.T.); +#63113=EDGE_CURVE('',#44092,#44093,#5429,.T.); +#63115=EDGE_CURVE('',#44092,#44089,#5433,.T.); +#63117=EDGE_CURVE('',#44088,#44089,#5438,.T.); +#63119=EDGE_CURVE('',#44343,#44088,#31155,.T.); +#63123=ADVANCED_FACE('',(#63122),#63102,.T.); +#63129=EDGE_CURVE('',#55574,#44143,#5442,.T.); +#63131=EDGE_CURVE('',#55571,#55574,#31184,.T.); +#63133=EDGE_CURVE('',#44337,#55571,#5447,.T.); +#63135=EDGE_CURVE('',#44085,#44337,#31135,.T.); +#63137=EDGE_CURVE('',#44084,#44085,#5452,.T.); +#63139=EDGE_CURVE('',#44084,#44081,#5456,.T.); +#63141=EDGE_CURVE('',#44080,#44081,#5461,.T.); +#63143=EDGE_CURVE('',#44121,#44080,#5465,.T.); +#63151=ADVANCED_FACE('',(#63150),#63128,.T.); +#63157=EDGE_CURVE('',#55558,#55559,#5478,.T.); +#63160=EDGE_CURVE('',#44343,#44593,#5482,.T.); +#63162=EDGE_CURVE('',#44593,#48750,#30987,.T.); +#63164=EDGE_CURVE('',#48752,#48750,#5635,.T.); +#63166=EDGE_CURVE('',#48752,#55558,#5510,.T.); +#63170=ADVANCED_FACE('',(#63169),#63156,.T.); +#63177=EDGE_CURVE('',#55558,#55560,#5501,.T.); +#63179=EDGE_CURVE('',#55560,#55563,#5491,.T.); +#63181=EDGE_CURVE('',#55563,#55564,#31217,.T.); +#63186=ADVANCED_FACE('',(#63185),#63175,.T.); +#63194=EDGE_CURVE('',#49171,#48752,#5514,.T.); +#63196=EDGE_CURVE('',#49170,#49171,#5518,.T.); +#63198=EDGE_CURVE('',#49170,#49167,#5522,.T.); +#63200=EDGE_CURVE('',#49166,#49167,#5526,.T.); +#63202=EDGE_CURVE('',#49166,#49163,#5530,.T.); +#63204=EDGE_CURVE('',#49162,#49163,#5534,.T.); +#63206=EDGE_CURVE('',#49162,#44386,#5538,.T.); +#63208=EDGE_CURVE('',#44386,#44387,#5548,.T.); +#63210=EDGE_CURVE('',#44384,#44387,#5552,.T.); +#63212=EDGE_CURVE('',#44384,#44385,#5562,.T.); +#63214=EDGE_CURVE('',#44385,#49149,#5566,.T.); +#63216=EDGE_CURVE('',#49152,#49149,#5570,.T.); +#63218=EDGE_CURVE('',#49152,#49153,#5574,.T.); +#63220=EDGE_CURVE('',#49159,#49153,#5578,.T.); +#63222=EDGE_CURVE('',#49159,#49142,#5582,.T.); +#63224=EDGE_CURVE('',#49146,#49142,#5586,.T.); +#63226=EDGE_CURVE('',#49146,#49157,#5590,.T.); +#63228=EDGE_CURVE('',#49156,#49157,#5594,.T.); +#63230=EDGE_CURVE('',#49156,#49178,#5598,.T.); +#63232=EDGE_CURVE('',#49178,#49205,#5602,.T.); +#63234=EDGE_CURVE('',#49205,#48748,#5606,.T.); +#63236=EDGE_CURVE('',#48747,#48748,#5613,.T.); +#63238=EDGE_CURVE('',#55578,#48747,#5619,.T.); +#63240=EDGE_CURVE('',#55578,#55560,#5623,.T.); +#63244=ADVANCED_FACE('',(#63243),#63191,.T.); +#63250=EDGE_CURVE('',#49253,#49255,#5627,.T.); +#63252=EDGE_CURVE('',#49215,#49253,#5631,.T.); +#63254=EDGE_CURVE('',#49215,#49171,#5748,.T.); +#63258=EDGE_CURVE('',#48750,#49198,#30982,.T.); +#63260=EDGE_CURVE('',#49198,#49199,#6060,.T.); +#63262=EDGE_CURVE('',#49199,#49255,#5673,.T.); +#63266=ADVANCED_FACE('',(#63265),#63249,.F.); +#63272=EDGE_CURVE('',#49251,#49252,#5652,.T.); +#63274=EDGE_CURVE('',#49251,#49253,#5715,.T.); +#63277=EDGE_CURVE('',#49255,#49252,#5648,.T.); +#63281=ADVANCED_FACE('',(#63280),#63271,.T.); +#63288=EDGE_CURVE('',#49252,#49262,#5669,.T.); +#63290=EDGE_CURVE('',#49260,#49262,#5656,.T.); +#63292=EDGE_CURVE('',#49260,#49251,#5660,.T.); +#63296=ADVANCED_FACE('',(#63295),#63286,.T.); +#63302=EDGE_CURVE('',#49201,#49259,#5664,.T.); +#63304=EDGE_CURVE('',#49262,#49259,#5706,.T.); +#63309=EDGE_CURVE('',#44427,#49199,#6073,.T.); +#63311=EDGE_CURVE('',#44427,#49013,#31003,.T.); +#63313=EDGE_CURVE('',#48977,#49013,#5680,.T.); +#63315=EDGE_CURVE('',#48977,#48978,#5685,.T.); +#63317=EDGE_CURVE('',#49132,#48978,#31817,.T.); +#63319=EDGE_CURVE('',#49087,#49132,#31835,.T.); +#63321=EDGE_CURVE('',#45247,#49087,#30832,.T.); +#63323=EDGE_CURVE('',#45246,#45247,#29596,.T.); +#63325=EDGE_CURVE('',#49201,#45246,#6052,.T.); +#63329=ADVANCED_FACE('',(#63328),#63301,.T.); +#63335=EDGE_CURVE('',#49258,#49259,#5689,.T.); +#63338=EDGE_CURVE('',#49170,#49201,#6047,.T.); +#63340=EDGE_CURVE('',#49170,#49214,#5744,.T.); +#63342=EDGE_CURVE('',#49258,#49214,#5693,.T.); +#63346=ADVANCED_FACE('',(#63345),#63334,.T.); +#63353=EDGE_CURVE('',#49258,#49260,#5724,.T.); +#63359=ADVANCED_FACE('',(#63358),#63351,.T.); +#63369=EDGE_CURVE('',#49214,#49215,#5740,.T.); +#63374=ADVANCED_FACE('',(#63373),#63364,.T.); +#63380=EDGE_CURVE('',#49210,#49211,#5728,.T.); +#63382=EDGE_CURVE('',#49205,#49210,#5732,.T.); +#63385=EDGE_CURVE('',#49211,#49178,#5736,.T.); +#63389=ADVANCED_FACE('',(#63388),#63379,.T.); +#63401=ADVANCED_FACE('',(#63400),#63394,.T.); +#63407=EDGE_CURVE('',#49218,#49219,#5752,.T.); +#63409=EDGE_CURVE('',#49166,#49218,#5756,.T.); +#63412=EDGE_CURVE('',#49219,#49167,#5760,.T.); +#63416=ADVANCED_FACE('',(#63415),#63406,.T.); +#63422=EDGE_CURVE('',#49222,#49223,#5764,.T.); +#63424=EDGE_CURVE('',#49162,#49222,#5768,.T.); +#63427=EDGE_CURVE('',#49223,#49163,#5772,.T.); +#63431=ADVANCED_FACE('',(#63430),#63421,.T.); +#63437=EDGE_CURVE('',#49226,#49227,#5776,.T.); +#63439=EDGE_CURVE('',#49152,#49226,#5780,.T.); +#63442=EDGE_CURVE('',#49227,#49149,#5784,.T.); +#63446=ADVANCED_FACE('',(#63445),#63436,.T.); +#63452=EDGE_CURVE('',#49230,#49231,#5788,.T.); +#63454=EDGE_CURVE('',#49159,#49230,#5792,.T.); +#63457=EDGE_CURVE('',#49231,#49153,#5796,.T.); +#63461=ADVANCED_FACE('',(#63460),#63451,.T.); +#63467=EDGE_CURVE('',#49234,#49235,#5800,.T.); +#63469=EDGE_CURVE('',#49146,#49234,#5804,.T.); +#63472=EDGE_CURVE('',#49235,#49142,#5808,.T.); +#63476=ADVANCED_FACE('',(#63475),#63466,.T.); +#63482=EDGE_CURVE('',#49238,#49239,#5812,.T.); +#63484=EDGE_CURVE('',#49156,#49238,#5816,.T.); +#63487=EDGE_CURVE('',#49239,#49157,#5820,.T.); +#63491=ADVANCED_FACE('',(#63490),#63481,.T.); +#63497=EDGE_CURVE('',#49246,#49248,#5829,.T.); +#63499=EDGE_CURVE('',#49246,#49210,#31268,.T.); +#63502=EDGE_CURVE('',#49211,#49351,#5933,.T.); +#63504=EDGE_CURVE('',#49349,#49351,#5838,.T.); +#63506=EDGE_CURVE('',#49248,#49349,#5860,.T.); +#63510=ADVANCED_FACE('',(#63509),#63496,.T.); +#63516=EDGE_CURVE('',#49242,#49243,#5848,.T.); +#63518=EDGE_CURVE('',#49243,#49247,#5843,.T.); +#63520=EDGE_CURVE('',#49246,#49247,#31260,.T.); +#63523=EDGE_CURVE('',#49248,#49242,#5856,.T.); +#63527=ADVANCED_FACE('',(#63526),#63515,.T.); +#63534=EDGE_CURVE('',#49242,#49207,#5852,.T.); +#63536=EDGE_CURVE('',#49207,#49243,#29193,.T.); +#63540=ADVANCED_FACE('',(#63539),#63532,.F.); +#63548=EDGE_CURVE('',#49349,#49350,#5864,.T.); +#63550=EDGE_CURVE('',#45235,#49350,#6237,.T.); +#63552=EDGE_CURVE('',#45235,#49041,#5869,.T.); +#63554=EDGE_CURVE('',#49040,#49041,#5873,.T.); +#63556=EDGE_CURVE('',#49040,#49207,#29197,.T.); +#63561=ADVANCED_FACE('',(#63560),#63545,.T.); +#63567=EDGE_CURVE('',#49293,#49294,#5877,.T.); +#63569=EDGE_CURVE('',#48756,#49294,#6180,.T.); +#63571=EDGE_CURVE('',#49074,#48756,#30253,.T.); +#63573=EDGE_CURVE('',#49079,#49074,#5881,.T.); +#63575=EDGE_CURVE('',#49079,#45239,#5886,.T.); +#63577=EDGE_CURVE('',#49304,#45239,#6205,.T.); +#63579=EDGE_CURVE('',#49302,#49304,#5890,.T.); +#63581=EDGE_CURVE('',#49302,#49293,#5894,.T.); +#63585=ADVANCED_FACE('',(#63584),#63566,.T.); +#63591=EDGE_CURVE('',#49321,#49322,#5898,.T.); +#63593=EDGE_CURVE('',#45243,#49322,#6213,.T.); +#63595=EDGE_CURVE('',#45243,#49083,#5903,.T.); +#63597=EDGE_CURVE('',#49082,#49083,#5907,.T.); +#63599=EDGE_CURVE('',#49082,#45231,#5912,.T.); +#63601=EDGE_CURVE('',#49332,#45231,#6229,.T.); +#63603=EDGE_CURVE('',#49330,#49332,#5916,.T.); +#63605=EDGE_CURVE('',#49330,#49321,#5920,.T.); +#63609=ADVANCED_FACE('',(#63608),#63590,.T.); +#63617=EDGE_CURVE('',#49351,#49353,#5929,.T.); +#63619=EDGE_CURVE('',#49353,#49350,#5925,.T.); +#63623=ADVANCED_FACE('',(#63622),#63614,.T.); +#63632=EDGE_CURVE('',#49178,#49179,#6009,.T.); +#63634=EDGE_CURVE('',#49353,#49179,#6241,.T.); +#63638=ADVANCED_FACE('',(#63637),#63628,.T.); +#63644=EDGE_CURVE('',#49142,#49143,#5937,.T.); +#63647=EDGE_CURVE('',#49159,#49183,#5941,.T.); +#63649=EDGE_CURVE('',#49183,#45242,#5946,.T.); +#63651=EDGE_CURVE('',#49143,#45242,#5950,.T.); +#63655=ADVANCED_FACE('',(#63654),#63643,.T.); +#63661=EDGE_CURVE('',#49146,#49147,#5954,.T.); +#63663=EDGE_CURVE('',#45230,#49147,#5958,.T.); +#63665=EDGE_CURVE('',#45230,#49173,#5963,.T.); +#63667=EDGE_CURVE('',#49157,#49173,#5967,.T.); +#63672=ADVANCED_FACE('',(#63671),#63660,.T.); +#63679=EDGE_CURVE('',#48768,#44385,#30907,.T.); +#63681=EDGE_CURVE('',#49185,#48768,#5971,.T.); +#63683=EDGE_CURVE('',#49149,#49185,#5975,.T.); +#63687=ADVANCED_FACE('',(#63686),#63677,.T.); +#63694=EDGE_CURVE('',#49152,#49187,#5979,.T.); +#63696=EDGE_CURVE('',#45238,#49187,#5983,.T.); +#63698=EDGE_CURVE('',#45238,#49181,#5988,.T.); +#63700=EDGE_CURVE('',#49153,#49181,#5992,.T.); +#63704=ADVANCED_FACE('',(#63703),#63692,.T.); +#63710=EDGE_CURVE('',#49156,#49175,#5996,.T.); +#63712=EDGE_CURVE('',#49175,#45234,#6001,.T.); +#63714=EDGE_CURVE('',#49179,#45234,#6005,.T.); +#63720=ADVANCED_FACE('',(#63719),#63709,.T.); +#63726=EDGE_CURVE('',#49163,#49189,#6013,.T.); +#63729=EDGE_CURVE('',#49166,#49195,#6017,.T.); +#63731=EDGE_CURVE('',#49195,#45250,#6021,.T.); +#63733=EDGE_CURVE('',#45250,#49189,#6026,.T.); +#63737=ADVANCED_FACE('',(#63736),#63725,.T.); +#63743=EDGE_CURVE('',#49162,#49191,#6030,.T.); +#63745=EDGE_CURVE('',#49191,#44430,#6035,.T.); +#63747=EDGE_CURVE('',#44430,#44431,#6039,.T.); +#63749=EDGE_CURVE('',#44386,#44431,#30934,.T.); +#63754=ADVANCED_FACE('',(#63753),#63742,.T.); +#63760=EDGE_CURVE('',#49167,#49193,#6043,.T.); +#63765=EDGE_CURVE('',#45246,#49193,#6056,.T.); +#63769=ADVANCED_FACE('',(#63768),#63759,.T.); +#63776=EDGE_CURVE('',#44400,#49198,#6064,.T.); +#63778=EDGE_CURVE('',#44427,#44400,#6068,.T.); +#63783=ADVANCED_FACE('',(#63782),#63774,.T.); +#63789=EDGE_CURVE('',#49323,#49325,#6077,.T.); +#63791=EDGE_CURVE('',#49235,#49323,#6081,.T.); +#63795=EDGE_CURVE('',#49325,#49143,#6217,.T.); +#63799=ADVANCED_FACE('',(#63798),#63788,.T.); +#63806=EDGE_CURVE('',#49321,#49323,#6095,.T.); +#63809=EDGE_CURVE('',#49325,#49322,#6086,.T.); +#63813=ADVANCED_FACE('',(#63812),#63804,.T.); +#63821=EDGE_CURVE('',#49328,#49330,#6104,.T.); +#63823=EDGE_CURVE('',#49328,#49234,#6117,.T.); +#63829=ADVANCED_FACE('',(#63828),#63818,.T.); +#63835=EDGE_CURVE('',#49328,#49329,#6113,.T.); +#63839=EDGE_CURVE('',#49332,#49329,#6109,.T.); +#63843=ADVANCED_FACE('',(#63842),#63834,.T.); +#63850=EDGE_CURVE('',#49329,#49147,#6225,.T.); +#63857=ADVANCED_FACE('',(#63856),#63848,.T.); +#63863=EDGE_CURVE('',#45208,#45217,#6121,.T.); +#63865=EDGE_CURVE('',#45213,#45208,#30169,.T.); +#63867=EDGE_CURVE('',#45213,#45223,#6125,.T.); +#63869=EDGE_CURVE('',#45223,#45217,#6129,.T.); +#63873=ADVANCED_FACE('',(#63872),#63862,.T.); +#63879=EDGE_CURVE('',#45209,#45219,#6133,.T.); +#63881=EDGE_CURVE('',#45219,#45225,#6137,.T.); +#63883=EDGE_CURVE('',#45225,#48760,#6142,.T.); +#63885=EDGE_CURVE('',#48760,#48762,#6146,.T.); +#63887=EDGE_CURVE('',#48762,#48758,#6150,.T.); +#63889=EDGE_CURVE('',#45227,#48758,#30178,.T.); +#63891=EDGE_CURVE('',#45209,#45227,#30152,.T.); +#63895=ADVANCED_FACE('',(#63894),#63878,.T.); +#63901=EDGE_CURVE('',#49247,#49203,#6154,.T.); +#63904=EDGE_CURVE('',#49243,#44358,#6159,.T.); +#63906=EDGE_CURVE('',#44358,#44359,#6163,.T.); +#63908=EDGE_CURVE('',#44359,#44361,#6168,.T.); +#63910=EDGE_CURVE('',#44361,#45221,#6172,.T.); +#63912=EDGE_CURVE('',#45212,#45221,#6176,.T.); +#63914=EDGE_CURVE('',#44367,#45212,#30160,.T.); +#63916=EDGE_CURVE('',#44365,#44367,#31252,.T.); +#63918=EDGE_CURVE('',#44364,#44365,#31243,.T.); +#63920=EDGE_CURVE('',#49203,#44364,#31239,.T.); +#63924=ADVANCED_FACE('',(#63923),#63900,.T.); +#63931=EDGE_CURVE('',#49297,#49294,#32301,.T.); +#63933=EDGE_CURVE('',#49297,#49185,#6184,.T.); +#63936=EDGE_CURVE('',#48767,#48768,#30912,.T.); +#63938=EDGE_CURVE('',#48767,#48764,#6188,.T.); +#63940=EDGE_CURVE('',#48764,#48755,#6192,.T.); +#63942=EDGE_CURVE('',#48755,#48756,#6197,.T.); +#63946=ADVANCED_FACE('',(#63945),#63929,.T.); +#63952=EDGE_CURVE('',#49301,#49187,#6201,.T.); +#63954=EDGE_CURVE('',#49304,#49301,#32324,.T.); +#63957=EDGE_CURVE('',#45238,#45239,#6209,.T.); +#63962=ADVANCED_FACE('',(#63961),#63951,.T.); +#63972=EDGE_CURVE('',#45242,#45243,#6221,.T.); +#63976=ADVANCED_FACE('',(#63975),#63967,.T.); +#63985=EDGE_CURVE('',#45230,#45231,#6233,.T.); +#63990=ADVANCED_FACE('',(#63989),#63981,.T.); +#64000=EDGE_CURVE('',#45234,#45235,#6245,.T.); +#64004=ADVANCED_FACE('',(#64003),#63995,.T.); +#64010=EDGE_CURVE('',#49126,#45574,#6262,.T.); +#64012=EDGE_CURVE('',#49065,#49126,#30240,.T.); +#64014=EDGE_CURVE('',#45219,#49065,#29263,.T.); +#64017=EDGE_CURVE('',#45208,#45209,#30148,.T.); +#64020=EDGE_CURVE('',#49058,#45217,#29249,.T.); +#64022=EDGE_CURVE('',#49122,#49058,#6374,.T.); +#64024=EDGE_CURVE('',#49012,#49122,#6361,.T.); +#64026=EDGE_CURVE('',#49012,#45574,#6250,.T.); +#64030=ADVANCED_FACE('',(#64029),#64009,.T.); +#64036=EDGE_CURVE('',#48857,#48855,#6254,.T.); +#64038=EDGE_CURVE('',#48855,#45563,#6258,.T.); +#64040=EDGE_CURVE('',#45887,#45563,#6505,.T.); +#64042=EDGE_CURVE('',#49126,#45887,#30244,.T.); +#64045=EDGE_CURVE('',#45574,#48857,#6266,.T.); +#64049=ADVANCED_FACE('',(#64048),#64035,.T.); +#64055=EDGE_CURVE('',#48853,#48854,#6271,.T.); +#64057=EDGE_CURVE('',#48854,#48855,#32292,.T.); +#64060=EDGE_CURVE('',#48857,#48858,#6313,.T.); +#64062=EDGE_CURVE('',#48858,#48859,#6297,.T.); +#64064=EDGE_CURVE('',#48859,#48853,#6283,.T.); +#64068=ADVANCED_FACE('',(#64067),#64054,.T.); +#64075=EDGE_CURVE('',#45423,#48853,#6291,.T.); +#64077=EDGE_CURVE('',#45419,#45423,#6275,.T.); +#64079=EDGE_CURVE('',#45419,#45425,#29351,.T.); +#64081=EDGE_CURVE('',#48854,#45425,#6279,.T.); +#64085=ADVANCED_FACE('',(#64084),#64073,.T.); +#64092=EDGE_CURVE('',#48859,#45517,#6287,.T.); +#64094=EDGE_CURVE('',#45517,#45423,#32126,.T.); +#64099=ADVANCED_FACE('',(#64098),#64090,.T.); +#64106=EDGE_CURVE('',#48858,#45474,#6301,.T.); +#64108=EDGE_CURVE('',#45474,#45475,#29890,.T.); +#64110=EDGE_CURVE('',#45475,#45517,#6308,.T.); +#64115=ADVANCED_FACE('',(#64114),#64104,.T.); +#64123=EDGE_CURVE('',#45574,#45575,#6320,.T.); +#64125=EDGE_CURVE('',#45575,#45474,#29894,.T.); +#64130=ADVANCED_FACE('',(#64129),#64120,.T.); +#64138=EDGE_CURVE('',#49012,#49010,#6336,.T.); +#64140=EDGE_CURVE('',#49010,#45575,#29899,.T.); +#64144=ADVANCED_FACE('',(#64143),#64135,.T.); +#64150=EDGE_CURVE('',#48865,#48867,#6325,.T.); +#64152=EDGE_CURVE('',#48865,#45471,#6329,.T.); +#64154=EDGE_CURVE('',#45471,#49010,#29903,.T.); +#64157=EDGE_CURVE('',#48867,#49012,#6357,.T.); +#64161=ADVANCED_FACE('',(#64160),#64149,.T.); +#64167=EDGE_CURVE('',#48860,#48861,#6341,.T.); +#64169=EDGE_CURVE('',#48861,#48862,#32169,.T.); +#64171=EDGE_CURVE('',#48864,#48862,#32152,.T.); +#64173=EDGE_CURVE('',#48864,#48865,#32141,.T.); +#64176=EDGE_CURVE('',#48867,#48860,#6353,.T.); +#64180=ADVANCED_FACE('',(#64179),#64166,.T.); +#64187=EDGE_CURVE('',#45565,#48860,#6365,.T.); +#64189=EDGE_CURVE('',#45565,#45414,#6345,.T.); +#64191=EDGE_CURVE('',#45414,#45415,#29343,.T.); +#64193=EDGE_CURVE('',#48861,#45415,#6349,.T.); +#64197=ADVANCED_FACE('',(#64196),#64185,.T.); +#64206=EDGE_CURVE('',#45889,#49122,#6369,.T.); +#64208=EDGE_CURVE('',#45889,#45565,#6513,.T.); +#64213=ADVANCED_FACE('',(#64212),#64202,.T.); +#64219=EDGE_CURVE('',#45889,#45891,#6517,.T.); +#64223=EDGE_CURVE('',#49058,#49059,#29244,.T.); +#64225=EDGE_CURVE('',#49059,#49123,#6379,.T.); +#64227=EDGE_CURVE('',#49123,#45891,#6383,.T.); +#64231=ADVANCED_FACE('',(#64230),#64218,.T.); +#64237=EDGE_CURVE('',#45318,#45331,#6459,.T.); +#64239=EDGE_CURVE('',#45543,#45318,#29120,.T.); +#64241=EDGE_CURVE('',#45901,#45543,#6463,.T.); +#64243=EDGE_CURVE('',#45901,#45907,#6467,.T.); +#64245=EDGE_CURVE('',#45907,#45545,#6471,.T.); +#64247=EDGE_CURVE('',#45347,#45545,#30326,.T.); +#64249=EDGE_CURVE('',#45334,#45347,#6475,.T.); +#64251=EDGE_CURVE('',#45547,#45334,#30291,.T.); +#64253=EDGE_CURVE('',#45909,#45547,#6479,.T.); +#64255=EDGE_CURVE('',#45909,#49077,#6483,.T.); +#64257=EDGE_CURVE('',#49077,#49071,#6488,.T.); +#64259=EDGE_CURVE('',#49071,#49069,#6492,.T.); +#64261=EDGE_CURVE('',#49069,#49061,#6497,.T.); +#64263=EDGE_CURVE('',#49061,#45887,#6501,.T.); +#64266=EDGE_CURVE('',#45427,#45563,#32296,.T.); +#64268=EDGE_CURVE('',#45414,#45427,#6509,.T.); +#64273=EDGE_CURVE('',#45891,#45567,#6521,.T.); +#64275=EDGE_CURVE('',#45443,#45567,#30118,.T.); +#64277=EDGE_CURVE('',#45430,#45443,#6525,.T.); +#64279=EDGE_CURVE('',#45569,#45430,#29293,.T.); +#64281=EDGE_CURVE('',#45893,#45569,#6529,.T.); +#64283=EDGE_CURVE('',#45893,#49053,#6533,.T.); +#64285=EDGE_CURVE('',#49053,#49049,#6538,.T.); +#64287=EDGE_CURVE('',#49049,#49045,#6542,.T.); +#64289=EDGE_CURVE('',#49045,#49037,#6547,.T.); +#64291=EDGE_CURVE('',#49037,#45899,#6551,.T.); +#64293=EDGE_CURVE('',#45899,#45541,#6555,.T.); +#64295=EDGE_CURVE('',#45331,#45541,#29155,.T.); +#64299=EDGE_CURVE('',#45822,#45823,#6388,.T.); +#64301=EDGE_CURVE('',#45845,#45822,#6392,.T.); +#64303=EDGE_CURVE('',#45841,#45845,#6397,.T.); +#64305=EDGE_CURVE('',#45837,#45841,#6401,.T.); +#64307=EDGE_CURVE('',#45835,#45837,#6406,.T.); +#64309=EDGE_CURVE('',#45833,#45835,#6410,.T.); +#64311=EDGE_CURVE('',#45829,#45833,#6415,.T.); +#64313=EDGE_CURVE('',#45823,#45829,#6419,.T.); +#64317=ADVANCED_FACE('',(#64298,#64316),#64236,.T.); +#64323=EDGE_CURVE('',#49018,#49019,#6560,.T.); +#64325=EDGE_CURVE('',#45885,#49018,#29508,.T.); +#64327=EDGE_CURVE('',#45885,#45557,#6564,.T.); +#64329=EDGE_CURVE('',#45395,#45557,#29425,.T.); +#64331=EDGE_CURVE('',#45382,#45395,#6568,.T.); +#64333=EDGE_CURVE('',#45559,#45382,#29390,.T.); +#64335=EDGE_CURVE('',#45883,#45559,#6572,.T.); +#64337=EDGE_CURVE('',#45883,#45877,#6576,.T.); +#64339=EDGE_CURVE('',#45877,#45561,#6580,.T.); +#64341=EDGE_CURVE('',#45399,#45561,#32287,.T.); +#64343=EDGE_CURVE('',#45411,#45399,#6584,.T.); +#64345=EDGE_CURVE('',#45881,#45411,#29712,.T.); +#64347=EDGE_CURVE('',#45880,#45881,#6588,.T.); +#64349=EDGE_CURVE('',#45880,#49105,#6592,.T.); +#64351=EDGE_CURVE('',#49105,#49099,#6597,.T.); +#64353=EDGE_CURVE('',#49099,#49097,#6601,.T.); +#64355=EDGE_CURVE('',#49097,#49089,#6606,.T.); +#64357=EDGE_CURVE('',#49089,#45903,#6610,.T.); +#64359=EDGE_CURVE('',#45903,#45549,#6614,.T.); +#64361=EDGE_CURVE('',#45363,#45549,#30968,.T.); +#64363=EDGE_CURVE('',#45350,#45363,#6618,.T.); +#64365=EDGE_CURVE('',#45551,#45350,#30665,.T.); +#64367=EDGE_CURVE('',#45905,#45551,#6622,.T.); +#64369=EDGE_CURVE('',#45905,#45897,#6626,.T.); +#64371=EDGE_CURVE('',#45897,#45553,#6630,.T.); +#64373=EDGE_CURVE('',#45379,#45553,#31805,.T.); +#64375=EDGE_CURVE('',#45366,#45379,#6634,.T.); +#64377=EDGE_CURVE('',#45555,#45366,#31436,.T.); +#64379=EDGE_CURVE('',#45895,#45555,#6638,.T.); +#64381=EDGE_CURVE('',#49035,#45895,#30815,.T.); +#64383=EDGE_CURVE('',#49034,#49035,#6643,.T.); +#64385=EDGE_CURVE('',#49019,#49034,#6647,.T.); +#64389=EDGE_CURVE('',#45850,#45851,#6424,.T.); +#64391=EDGE_CURVE('',#45873,#45850,#6428,.T.); +#64393=EDGE_CURVE('',#45869,#45873,#6433,.T.); +#64395=EDGE_CURVE('',#45865,#45869,#6437,.T.); +#64397=EDGE_CURVE('',#45863,#45865,#6442,.T.); +#64399=EDGE_CURVE('',#45861,#45863,#6446,.T.); +#64401=EDGE_CURVE('',#45857,#45861,#6451,.T.); +#64403=EDGE_CURVE('',#45851,#45857,#6455,.T.); +#64407=ADVANCED_FACE('',(#64388,#64406),#64322,.T.); +#64414=EDGE_CURVE('',#45823,#45827,#6655,.T.); +#64416=EDGE_CURVE('',#45826,#45827,#28887,.T.); +#64418=EDGE_CURVE('',#45822,#45826,#6651,.T.); +#64422=ADVANCED_FACE('',(#64421),#64412,.F.); +#64429=EDGE_CURVE('',#45829,#45831,#6659,.T.); +#64431=EDGE_CURVE('',#45827,#45831,#28787,.T.); +#64436=ADVANCED_FACE('',(#64435),#64427,.T.); +#64443=EDGE_CURVE('',#45833,#44403,#6663,.T.); +#64445=EDGE_CURVE('',#45831,#44403,#28767,.T.); +#64450=ADVANCED_FACE('',(#64449),#64441,.F.); +#64457=EDGE_CURVE('',#45835,#44405,#6667,.T.); +#64459=EDGE_CURVE('',#44403,#44405,#28717,.T.); +#64464=ADVANCED_FACE('',(#64463),#64455,.T.); +#64471=EDGE_CURVE('',#45837,#45839,#6671,.T.); +#64473=EDGE_CURVE('',#44405,#45839,#7445,.T.); +#64478=ADVANCED_FACE('',(#64477),#64469,.F.); +#64485=EDGE_CURVE('',#45841,#45843,#6675,.T.); +#64487=EDGE_CURVE('',#45839,#45843,#7358,.T.); +#64492=ADVANCED_FACE('',(#64491),#64483,.T.); +#64499=EDGE_CURVE('',#45845,#45847,#6679,.T.); +#64501=EDGE_CURVE('',#45843,#45847,#7405,.T.); +#64506=ADVANCED_FACE('',(#64505),#64497,.F.); +#64514=EDGE_CURVE('',#45847,#45826,#6695,.T.); +#64519=ADVANCED_FACE('',(#64518),#64511,.T.); +#64525=EDGE_CURVE('',#44466,#44467,#6683,.T.); +#64527=EDGE_CURVE('',#44497,#44466,#6687,.T.); +#64529=EDGE_CURVE('',#44497,#45977,#6691,.T.); +#64531=EDGE_CURVE('',#45847,#45977,#7409,.T.); +#64534=EDGE_CURVE('',#45826,#45976,#6699,.T.); +#64536=EDGE_CURVE('',#45976,#44503,#6703,.T.); +#64538=EDGE_CURVE('',#44503,#44471,#6707,.T.); +#64540=EDGE_CURVE('',#44470,#44471,#6711,.T.); +#64542=EDGE_CURVE('',#44501,#44470,#6715,.T.); +#64544=EDGE_CURVE('',#44501,#44499,#6719,.T.); +#64546=EDGE_CURVE('',#44499,#44467,#6723,.T.); +#64550=ADVANCED_FACE('',(#64549),#64524,.F.); +#64556=EDGE_CURVE('',#44487,#44467,#6727,.T.); +#64558=EDGE_CURVE('',#44485,#44487,#29003,.T.); +#64560=EDGE_CURVE('',#44485,#44466,#28999,.T.); +#64565=ADVANCED_FACE('',(#64564),#64555,.F.); +#64571=EDGE_CURVE('',#44493,#44470,#29011,.T.); +#64574=EDGE_CURVE('',#44495,#44471,#29023,.T.); +#64576=EDGE_CURVE('',#44493,#44495,#29015,.T.); +#64580=ADVANCED_FACE('',(#64579),#64570,.F.); +#64588=EDGE_CURVE('',#44499,#44507,#6767,.T.); +#64590=EDGE_CURVE('',#44487,#44507,#6731,.T.); +#64594=ADVANCED_FACE('',(#64593),#64585,.T.); +#64600=EDGE_CURVE('',#44549,#44555,#6735,.T.); +#64602=EDGE_CURVE('',#44555,#44523,#6739,.T.); +#64604=EDGE_CURVE('',#44521,#44523,#6743,.T.); +#64606=EDGE_CURVE('',#45980,#44521,#6827,.T.); +#64608=EDGE_CURVE('',#45979,#45980,#28982,.T.); +#64610=EDGE_CURVE('',#45979,#45996,#7008,.T.); +#64612=EDGE_CURVE('',#45993,#45996,#28643,.T.); +#64614=EDGE_CURVE('',#45993,#45994,#13888,.T.); +#64616=EDGE_CURVE('',#45995,#45994,#28637,.T.); +#64618=EDGE_CURVE('',#45995,#45982,#7114,.T.); +#64620=EDGE_CURVE('',#45981,#45982,#6881,.T.); +#64622=EDGE_CURVE('',#44551,#45981,#6815,.T.); +#64624=EDGE_CURVE('',#44551,#44557,#6747,.T.); +#64626=EDGE_CURVE('',#44557,#44559,#6751,.T.); +#64628=EDGE_CURVE('',#44553,#44559,#6755,.T.); +#64630=EDGE_CURVE('',#44549,#44553,#6799,.T.); +#64634=ADVANCED_FACE('',(#64633),#64599,.T.); +#64640=EDGE_CURVE('',#44497,#44505,#6759,.T.); +#64642=EDGE_CURVE('',#44505,#44507,#6763,.T.); +#64646=EDGE_CURVE('',#44501,#44509,#6771,.T.); +#64648=EDGE_CURVE('',#44509,#44511,#6775,.T.); +#64650=EDGE_CURVE('',#44503,#44511,#6779,.T.); +#64653=EDGE_CURVE('',#45975,#45976,#28882,.T.); +#64655=EDGE_CURVE('',#45975,#45984,#7184,.T.); +#64657=EDGE_CURVE('',#45989,#45984,#28594,.T.); +#64659=EDGE_CURVE('',#45989,#45990,#13650,.T.); +#64661=EDGE_CURVE('',#45986,#45990,#28589,.T.); +#64663=EDGE_CURVE('',#45986,#45978,#7066,.T.); +#64665=EDGE_CURVE('',#45977,#45978,#7418,.T.); +#64670=ADVANCED_FACE('',(#64669),#64639,.T.); +#64676=EDGE_CURVE('',#44537,#44529,#6783,.T.); +#64678=EDGE_CURVE('',#44537,#44555,#6839,.T.); +#64681=EDGE_CURVE('',#44549,#44529,#6795,.T.); +#64685=ADVANCED_FACE('',(#64684),#64675,.T.); +#64692=EDGE_CURVE('',#44529,#44515,#6791,.T.); +#64694=EDGE_CURVE('',#44514,#44515,#6831,.T.); +#64696=EDGE_CURVE('',#44537,#44514,#6843,.T.); +#64700=ADVANCED_FACE('',(#64699),#64690,.F.); +#64706=EDGE_CURVE('',#44541,#44527,#6847,.T.); +#64708=EDGE_CURVE('',#44539,#44541,#6855,.T.); +#64710=EDGE_CURVE('',#44539,#44526,#6863,.T.); +#64712=EDGE_CURVE('',#44526,#44527,#6807,.T.); +#64716=ADVANCED_FACE('',(#64715),#64705,.F.); +#64722=EDGE_CURVE('',#44521,#44515,#6787,.T.); +#64727=EDGE_CURVE('',#44553,#44527,#6803,.T.); +#64730=EDGE_CURVE('',#44551,#44526,#6811,.T.); +#64733=EDGE_CURVE('',#45875,#45981,#6872,.T.); +#64735=EDGE_CURVE('',#45875,#45854,#6819,.T.); +#64737=EDGE_CURVE('',#45854,#45980,#6823,.T.); +#64742=ADVANCED_FACE('',(#64741),#64721,.F.); +#64751=EDGE_CURVE('',#44514,#44523,#6835,.T.); +#64755=ADVANCED_FACE('',(#64754),#64747,.T.); +#64767=ADVANCED_FACE('',(#64766),#64760,.T.); +#64776=EDGE_CURVE('',#44541,#44559,#6851,.T.); +#64780=ADVANCED_FACE('',(#64779),#64772,.T.); +#64789=EDGE_CURVE('',#44539,#44557,#6859,.T.); +#64793=ADVANCED_FACE('',(#64792),#64785,.T.); +#64805=ADVANCED_FACE('',(#64804),#64798,.T.); +#64811=EDGE_CURVE('',#45871,#45875,#6868,.T.); +#64815=EDGE_CURVE('',#45982,#45998,#7110,.T.); +#64817=EDGE_CURVE('',#45871,#45998,#6937,.T.); +#64821=ADVANCED_FACE('',(#64820),#64810,.F.); +#64828=EDGE_CURVE('',#45873,#45875,#6889,.T.); +#64831=EDGE_CURVE('',#45869,#45871,#6885,.T.); +#64835=ADVANCED_FACE('',(#64834),#64826,.F.); +#64842=EDGE_CURVE('',#45850,#45854,#6893,.T.); +#64848=ADVANCED_FACE('',(#64847),#64840,.T.); +#64855=EDGE_CURVE('',#45851,#45855,#6897,.T.); +#64857=EDGE_CURVE('',#45854,#45855,#28987,.T.); +#64862=ADVANCED_FACE('',(#64861),#64853,.F.); +#64869=EDGE_CURVE('',#45857,#45859,#6901,.T.); +#64871=EDGE_CURVE('',#45855,#45859,#28960,.T.); +#64876=ADVANCED_FACE('',(#64875),#64867,.T.); +#64883=EDGE_CURVE('',#45861,#44409,#6905,.T.); +#64885=EDGE_CURVE('',#45859,#44409,#7244,.T.); +#64890=ADVANCED_FACE('',(#64889),#64881,.F.); +#64897=EDGE_CURVE('',#45863,#44407,#6909,.T.); +#64899=EDGE_CURVE('',#44409,#44407,#7529,.T.); +#64904=ADVANCED_FACE('',(#64903),#64895,.T.); +#64911=EDGE_CURVE('',#45865,#45867,#6913,.T.); +#64913=EDGE_CURVE('',#44407,#45867,#7566,.T.); +#64918=ADVANCED_FACE('',(#64917),#64909,.F.); +#64926=EDGE_CURVE('',#45867,#45871,#6933,.T.); +#64931=ADVANCED_FACE('',(#64930),#64923,.T.); +#64937=EDGE_CURVE('',#48828,#49445,#6917,.T.); +#64939=EDGE_CURVE('',#49449,#49445,#6949,.T.); +#64941=EDGE_CURVE('',#49449,#49441,#6921,.T.); +#64943=EDGE_CURVE('',#49439,#49441,#6965,.T.); +#64945=EDGE_CURVE('',#48823,#49439,#6925,.T.); +#64947=EDGE_CURVE('',#48823,#45968,#7141,.T.); +#64949=EDGE_CURVE('',#45968,#45867,#6929,.T.); +#64953=EDGE_CURVE('',#45998,#48828,#7105,.T.); +#64957=ADVANCED_FACE('',(#64956),#64936,.F.); +#64963=EDGE_CURVE('',#49444,#49445,#6941,.T.); +#64966=EDGE_CURVE('',#48828,#48826,#7101,.T.); +#64968=EDGE_CURVE('',#48826,#49444,#6945,.T.); +#64972=ADVANCED_FACE('',(#64971),#64962,.T.); +#64979=EDGE_CURVE('',#49444,#49447,#6957,.T.); +#64981=EDGE_CURVE('',#49447,#49449,#28815,.T.); +#64986=ADVANCED_FACE('',(#64985),#64977,.T.); +#64992=EDGE_CURVE('',#49436,#49437,#6953,.T.); +#64994=EDGE_CURVE('',#49436,#49447,#28819,.T.); +#64998=EDGE_CURVE('',#48824,#48826,#7097,.T.); +#65000=EDGE_CURVE('',#48824,#49437,#6961,.T.); +#65004=ADVANCED_FACE('',(#65003),#64991,.T.); +#65011=EDGE_CURVE('',#49439,#49437,#6969,.T.); +#65014=EDGE_CURVE('',#49441,#49436,#28811,.T.); +#65018=ADVANCED_FACE('',(#65017),#65009,.T.); +#65026=EDGE_CURVE('',#48823,#48824,#7093,.T.); +#65031=ADVANCED_FACE('',(#65030),#65023,.T.); +#65037=EDGE_CURVE('',#46033,#46034,#6973,.T.); +#65039=EDGE_CURVE('',#46034,#46036,#6977,.T.); +#65041=EDGE_CURVE('',#46036,#46038,#6981,.T.); +#65043=EDGE_CURVE('',#44179,#46038,#6986,.T.); +#65045=EDGE_CURVE('',#44179,#45972,#6990,.T.); +#65047=EDGE_CURVE('',#45972,#45948,#6995,.T.); +#65049=EDGE_CURVE('',#45948,#45949,#6999,.T.); +#65051=EDGE_CURVE('',#45949,#45996,#7004,.T.); +#65054=EDGE_CURVE('',#46041,#45979,#7013,.T.); +#65056=EDGE_CURVE('',#46041,#46042,#7017,.T.); +#65058=EDGE_CURVE('',#46042,#46044,#7021,.T.); +#65060=EDGE_CURVE('',#46046,#46044,#7025,.T.); +#65062=EDGE_CURVE('',#46033,#46046,#7029,.T.); +#65066=ADVANCED_FACE('',(#65065),#65036,.T.); +#65072=EDGE_CURVE('',#48803,#48804,#7033,.T.); +#65074=EDGE_CURVE('',#48804,#48806,#7037,.T.); +#65076=EDGE_CURVE('',#48806,#48808,#7041,.T.); +#65078=EDGE_CURVE('',#48811,#48808,#7045,.T.); +#65080=EDGE_CURVE('',#48811,#48812,#7049,.T.); +#65082=EDGE_CURVE('',#48812,#48814,#7053,.T.); +#65084=EDGE_CURVE('',#48814,#48816,#7057,.T.); +#65086=EDGE_CURVE('',#45978,#48816,#7062,.T.); +#65089=EDGE_CURVE('',#45986,#45956,#7071,.T.); +#65091=EDGE_CURVE('',#45956,#46030,#7075,.T.); +#65093=EDGE_CURVE('',#46030,#45965,#7080,.T.); +#65095=EDGE_CURVE('',#45965,#44174,#7084,.T.); +#65097=EDGE_CURVE('',#48803,#44174,#7089,.T.); +#65101=ADVANCED_FACE('',(#65100),#65071,.T.); +#65113=EDGE_CURVE('',#45995,#45944,#7119,.T.); +#65115=EDGE_CURVE('',#45944,#45945,#7123,.T.); +#65117=EDGE_CURVE('',#45945,#45970,#7128,.T.); +#65119=EDGE_CURVE('',#45970,#44176,#7132,.T.); +#65121=EDGE_CURVE('',#45968,#44176,#7137,.T.); +#65126=ADVANCED_FACE('',(#65125),#65106,.T.); +#65132=EDGE_CURVE('',#48831,#48832,#7145,.T.); +#65134=EDGE_CURVE('',#48832,#48834,#7149,.T.); +#65136=EDGE_CURVE('',#48834,#48836,#7153,.T.); +#65138=EDGE_CURVE('',#48774,#48836,#7157,.T.); +#65140=EDGE_CURVE('',#44173,#48774,#7162,.T.); +#65142=EDGE_CURVE('',#44173,#45963,#7166,.T.); +#65144=EDGE_CURVE('',#45963,#45974,#7171,.T.); +#65146=EDGE_CURVE('',#45974,#45952,#7175,.T.); +#65148=EDGE_CURVE('',#45952,#45984,#7180,.T.); +#65151=EDGE_CURVE('',#48771,#45975,#7189,.T.); +#65153=EDGE_CURVE('',#48771,#48772,#7193,.T.); +#65155=EDGE_CURVE('',#48831,#48772,#7197,.T.); +#65159=ADVANCED_FACE('',(#65158),#65131,.T.); +#65165=EDGE_CURVE('',#49431,#49429,#7201,.T.); +#65167=EDGE_CURVE('',#49429,#46034,#7213,.T.); +#65170=EDGE_CURVE('',#46033,#49431,#28948,.T.); +#65174=ADVANCED_FACE('',(#65173),#65164,.T.); +#65180=EDGE_CURVE('',#49428,#49429,#7217,.T.); +#65183=EDGE_CURVE('',#49431,#49433,#7205,.T.); +#65185=EDGE_CURVE('',#49433,#49428,#7305,.T.); +#65189=ADVANCED_FACE('',(#65188),#65179,.T.); +#65195=EDGE_CURVE('',#49420,#49423,#7209,.T.); +#65197=EDGE_CURVE('',#49420,#46036,#7230,.T.); +#65202=EDGE_CURVE('',#49428,#49423,#7301,.T.); +#65206=ADVANCED_FACE('',(#65205),#65194,.T.); +#65212=EDGE_CURVE('',#49420,#49421,#7226,.T.); +#65215=EDGE_CURVE('',#49423,#49425,#7297,.T.); +#65217=EDGE_CURVE('',#49425,#49421,#7222,.T.); +#65221=ADVANCED_FACE('',(#65220),#65211,.T.); +#65228=EDGE_CURVE('',#46038,#49421,#7234,.T.); +#65234=ADVANCED_FACE('',(#65233),#65226,.T.); +#65242=EDGE_CURVE('',#49425,#46048,#7239,.T.); +#65244=EDGE_CURVE('',#45859,#46048,#28964,.T.); +#65247=EDGE_CURVE('',#44409,#44178,#7248,.T.); +#65249=EDGE_CURVE('',#44178,#44179,#7257,.T.); +#65254=ADVANCED_FACE('',(#65253),#65239,.F.); +#65260=EDGE_CURVE('',#49377,#49372,#7261,.T.); +#65262=EDGE_CURVE('',#48820,#49377,#7427,.T.); +#65264=EDGE_CURVE('',#49385,#48820,#7350,.T.); +#65266=EDGE_CURVE('',#49383,#49385,#7265,.T.); +#65268=EDGE_CURVE('',#49372,#49383,#7269,.T.); +#65272=ADVANCED_FACE('',(#65271),#65259,.T.); +#65278=EDGE_CURVE('',#49393,#49388,#7273,.T.); +#65280=EDGE_CURVE('',#48818,#49393,#7338,.T.); +#65282=EDGE_CURVE('',#49401,#48818,#7400,.T.); +#65284=EDGE_CURVE('',#49399,#49401,#7277,.T.); +#65286=EDGE_CURVE('',#49388,#49399,#7281,.T.); +#65290=ADVANCED_FACE('',(#65289),#65277,.T.); +#65296=EDGE_CURVE('',#49409,#49404,#7285,.T.); +#65298=EDGE_CURVE('',#46050,#49409,#28969,.T.); +#65300=EDGE_CURVE('',#49417,#46050,#28956,.T.); +#65302=EDGE_CURVE('',#49415,#49417,#7289,.T.); +#65304=EDGE_CURVE('',#49404,#49415,#7293,.T.); +#65308=ADVANCED_FACE('',(#65307),#65295,.T.); +#65317=EDGE_CURVE('',#46048,#49433,#28944,.T.); +#65322=ADVANCED_FACE('',(#65321),#65313,.T.); +#65328=EDGE_CURVE('',#49372,#49373,#7314,.T.); +#65330=EDGE_CURVE('',#49375,#49373,#7449,.T.); +#65332=EDGE_CURVE('',#49375,#49377,#7310,.T.); +#65337=ADVANCED_FACE('',(#65336),#65327,.T.); +#65345=EDGE_CURVE('',#49380,#49383,#7318,.T.); +#65347=EDGE_CURVE('',#49380,#48806,#7334,.T.); +#65350=EDGE_CURVE('',#49373,#48804,#7322,.T.); +#65354=ADVANCED_FACE('',(#65353),#65342,.T.); +#65360=EDGE_CURVE('',#49380,#49381,#7330,.T.); +#65364=EDGE_CURVE('',#49385,#49381,#7326,.T.); +#65368=ADVANCED_FACE('',(#65367),#65359,.T.); +#65375=EDGE_CURVE('',#48808,#49381,#7346,.T.); +#65381=ADVANCED_FACE('',(#65380),#65373,.T.); +#65388=EDGE_CURVE('',#49391,#49393,#7366,.T.); +#65390=EDGE_CURVE('',#48811,#49391,#7342,.T.); +#65396=EDGE_CURVE('',#48820,#45839,#7354,.T.); +#65399=EDGE_CURVE('',#45843,#48818,#7362,.T.); +#65403=ADVANCED_FACE('',(#65402),#65386,.F.); +#65409=EDGE_CURVE('',#49388,#49389,#7370,.T.); +#65411=EDGE_CURVE('',#49391,#49389,#7422,.T.); +#65417=ADVANCED_FACE('',(#65416),#65408,.T.); +#65425=EDGE_CURVE('',#49396,#49399,#7374,.T.); +#65427=EDGE_CURVE('',#49396,#48814,#7391,.T.); +#65430=EDGE_CURVE('',#49389,#48812,#7378,.T.); +#65434=ADVANCED_FACE('',(#65433),#65422,.T.); +#65440=EDGE_CURVE('',#49396,#49397,#7387,.T.); +#65444=EDGE_CURVE('',#49401,#49397,#7383,.T.); +#65448=ADVANCED_FACE('',(#65447),#65439,.T.); +#65455=EDGE_CURVE('',#48816,#49397,#7395,.T.); +#65461=ADVANCED_FACE('',(#65460),#65453,.T.); +#65477=ADVANCED_FACE('',(#65476),#65466,.F.); +#65489=ADVANCED_FACE('',(#65488),#65482,.T.); +#65497=EDGE_CURVE('',#48803,#49375,#7431,.T.); +#65500=EDGE_CURVE('',#44174,#44175,#7440,.T.); +#65502=EDGE_CURVE('',#44405,#44175,#28721,.T.); +#65508=ADVANCED_FACE('',(#65507),#65494,.F.); +#65520=ADVANCED_FACE('',(#65519),#65513,.T.); +#65526=EDGE_CURVE('',#44434,#44435,#7453,.T.); +#65528=EDGE_CURVE('',#44435,#44439,#7457,.T.); +#65530=EDGE_CURVE('',#44438,#44439,#7461,.T.); +#65532=EDGE_CURVE('',#44442,#44438,#7553,.T.); +#65534=EDGE_CURVE('',#44442,#44443,#7465,.T.); +#65536=EDGE_CURVE('',#44443,#44447,#7469,.T.); +#65538=EDGE_CURVE('',#44446,#44447,#7473,.T.); +#65540=EDGE_CURVE('',#44177,#44446,#7537,.T.); +#65542=EDGE_CURVE('',#44176,#44177,#7575,.T.); +#65545=EDGE_CURVE('',#46006,#45970,#7613,.T.); +#65547=EDGE_CURVE('',#46005,#46006,#7477,.T.); +#65549=EDGE_CURVE('',#45972,#46005,#28669,.T.); +#65553=EDGE_CURVE('',#44434,#44178,#7525,.T.); +#65557=ADVANCED_FACE('',(#65556),#65525,.T.); +#65563=EDGE_CURVE('',#44450,#44451,#7481,.T.); +#65565=EDGE_CURVE('',#44451,#44455,#7485,.T.); +#65567=EDGE_CURVE('',#44454,#44455,#7489,.T.); +#65569=EDGE_CURVE('',#44175,#44454,#28725,.T.); +#65573=EDGE_CURVE('',#46002,#45965,#28579,.T.); +#65575=EDGE_CURVE('',#46001,#46002,#7493,.T.); +#65577=EDGE_CURVE('',#45966,#46001,#28614,.T.); +#65579=EDGE_CURVE('',#45963,#45966,#28619,.T.); +#65582=EDGE_CURVE('',#44172,#44173,#28762,.T.); +#65584=EDGE_CURVE('',#44458,#44172,#28713,.T.); +#65586=EDGE_CURVE('',#44458,#44459,#7497,.T.); +#65588=EDGE_CURVE('',#44459,#44463,#7501,.T.); +#65590=EDGE_CURVE('',#44462,#44463,#7505,.T.); +#65592=EDGE_CURVE('',#44450,#44462,#28697,.T.); +#65596=ADVANCED_FACE('',(#65595),#65562,.T.); +#65602=EDGE_CURVE('',#44518,#44519,#7509,.T.); +#65604=EDGE_CURVE('',#44519,#44435,#7599,.T.); +#65607=EDGE_CURVE('',#44434,#44518,#7521,.T.); +#65611=ADVANCED_FACE('',(#65610),#65601,.T.); +#65617=EDGE_CURVE('',#44535,#44543,#7513,.T.); +#65619=EDGE_CURVE('',#44519,#44543,#7603,.T.); +#65622=EDGE_CURVE('',#44535,#44518,#7561,.T.); +#65626=ADVANCED_FACE('',(#65625),#65616,.F.); +#65632=EDGE_CURVE('',#44533,#44545,#7595,.T.); +#65634=EDGE_CURVE('',#44532,#44533,#7545,.T.); +#65636=EDGE_CURVE('',#44532,#44547,#7579,.T.); +#65638=EDGE_CURVE('',#44545,#44547,#7587,.T.); +#65642=ADVANCED_FACE('',(#65641),#65631,.F.); +#65649=EDGE_CURVE('',#44438,#44535,#7557,.T.); +#65652=EDGE_CURVE('',#44543,#44439,#7517,.T.); +#65656=ADVANCED_FACE('',(#65655),#65647,.T.); +#65666=EDGE_CURVE('',#44407,#44177,#7533,.T.); +#65669=EDGE_CURVE('',#44446,#44532,#7541,.T.); +#65672=EDGE_CURVE('',#44442,#44533,#7549,.T.); +#65679=ADVANCED_FACE('',(#65678),#65661,.F.); +#65692=ADVANCED_FACE('',(#65691),#65684,.F.); +#65701=EDGE_CURVE('',#44547,#44447,#7583,.T.); +#65705=ADVANCED_FACE('',(#65704),#65697,.T.); +#65714=EDGE_CURVE('',#44545,#44443,#7591,.T.); +#65718=ADVANCED_FACE('',(#65717),#65710,.T.); +#65730=ADVANCED_FACE('',(#65729),#65723,.T.); +#65742=ADVANCED_FACE('',(#65741),#65735,.T.); +#65748=EDGE_CURVE('',#46019,#46006,#7608,.T.); +#65752=EDGE_CURVE('',#45945,#46019,#7617,.T.); +#65756=ADVANCED_FACE('',(#65755),#65747,.F.); +#65762=EDGE_CURVE('',#48355,#48356,#7621,.T.); +#65764=EDGE_CURVE('',#48355,#48253,#8261,.T.); +#65766=EDGE_CURVE('',#48253,#48254,#7625,.T.); +#65768=EDGE_CURVE('',#48250,#48254,#7629,.T.); +#65770=EDGE_CURVE('',#48249,#48250,#7633,.T.); +#65772=EDGE_CURVE('',#48249,#48145,#8245,.T.); +#65774=EDGE_CURVE('',#48145,#48146,#7637,.T.); +#65776=EDGE_CURVE('',#48142,#48146,#7641,.T.); +#65778=EDGE_CURVE('',#48141,#48142,#7645,.T.); +#65780=EDGE_CURVE('',#48141,#48037,#8229,.T.); +#65782=EDGE_CURVE('',#48037,#48038,#7649,.T.); +#65784=EDGE_CURVE('',#48034,#48038,#7653,.T.); +#65786=EDGE_CURVE('',#48033,#48034,#7657,.T.); +#65788=EDGE_CURVE('',#48033,#47929,#8213,.T.); +#65790=EDGE_CURVE('',#47929,#47930,#7661,.T.); +#65792=EDGE_CURVE('',#47926,#47930,#7665,.T.); +#65794=EDGE_CURVE('',#47925,#47926,#7669,.T.); +#65796=EDGE_CURVE('',#47925,#47821,#8197,.T.); +#65798=EDGE_CURVE('',#47821,#47822,#7673,.T.); +#65800=EDGE_CURVE('',#47818,#47822,#7677,.T.); +#65802=EDGE_CURVE('',#47817,#47818,#7681,.T.); +#65804=EDGE_CURVE('',#47817,#47713,#8181,.T.); +#65806=EDGE_CURVE('',#47713,#47714,#7685,.T.); +#65808=EDGE_CURVE('',#47710,#47714,#7689,.T.); +#65810=EDGE_CURVE('',#47709,#47710,#7693,.T.); +#65812=EDGE_CURVE('',#47709,#47605,#8165,.T.); +#65814=EDGE_CURVE('',#47605,#47606,#7697,.T.); +#65816=EDGE_CURVE('',#47602,#47606,#7701,.T.); +#65818=EDGE_CURVE('',#47601,#47602,#7705,.T.); +#65820=EDGE_CURVE('',#47601,#47497,#8149,.T.); +#65822=EDGE_CURVE('',#47497,#47498,#7709,.T.); +#65824=EDGE_CURVE('',#47494,#47498,#7713,.T.); +#65826=EDGE_CURVE('',#47493,#47494,#7717,.T.); +#65828=EDGE_CURVE('',#47493,#47389,#8133,.T.); +#65830=EDGE_CURVE('',#47389,#47390,#7721,.T.); +#65832=EDGE_CURVE('',#47386,#47390,#7725,.T.); +#65834=EDGE_CURVE('',#47385,#47386,#7729,.T.); +#65836=EDGE_CURVE('',#47385,#47281,#8117,.T.); +#65838=EDGE_CURVE('',#47281,#47282,#7733,.T.); +#65840=EDGE_CURVE('',#47278,#47282,#7737,.T.); +#65842=EDGE_CURVE('',#47277,#47278,#7741,.T.); +#65844=EDGE_CURVE('',#47277,#46289,#8101,.T.); +#65846=EDGE_CURVE('',#46289,#46290,#7745,.T.); +#65848=EDGE_CURVE('',#46286,#46290,#7749,.T.); +#65850=EDGE_CURVE('',#46285,#46286,#7753,.T.); +#65852=EDGE_CURVE('',#46285,#46008,#8085,.T.); +#65854=EDGE_CURVE('',#46001,#46008,#28602,.T.); +#65857=EDGE_CURVE('',#46013,#46002,#28574,.T.); +#65859=EDGE_CURVE('',#46013,#46014,#28561,.T.); +#65861=EDGE_CURVE('',#46014,#48359,#8269,.T.); +#65863=EDGE_CURVE('',#48359,#48360,#7757,.T.); +#65865=EDGE_CURVE('',#48356,#48360,#7761,.T.); +#65869=ADVANCED_FACE('',(#65868),#65761,.T.); +#65875=EDGE_CURVE('',#51014,#51015,#7765,.T.); +#65877=EDGE_CURVE('',#51014,#50980,#8381,.T.); +#65879=EDGE_CURVE('',#50980,#50981,#7769,.T.); +#65881=EDGE_CURVE('',#50977,#50981,#7773,.T.); +#65883=EDGE_CURVE('',#50976,#50977,#7777,.T.); +#65885=EDGE_CURVE('',#50976,#50940,#8365,.T.); +#65887=EDGE_CURVE('',#50940,#50941,#7781,.T.); +#65889=EDGE_CURVE('',#50937,#50941,#7785,.T.); +#65891=EDGE_CURVE('',#50936,#50937,#7789,.T.); +#65893=EDGE_CURVE('',#50936,#50900,#8349,.T.); +#65895=EDGE_CURVE('',#50900,#50901,#7793,.T.); +#65897=EDGE_CURVE('',#50897,#50901,#7797,.T.); +#65899=EDGE_CURVE('',#50896,#50897,#7801,.T.); +#65901=EDGE_CURVE('',#50896,#50860,#8333,.T.); +#65903=EDGE_CURVE('',#50860,#50861,#7805,.T.); +#65905=EDGE_CURVE('',#50857,#50861,#7809,.T.); +#65907=EDGE_CURVE('',#50856,#50857,#7813,.T.); +#65909=EDGE_CURVE('',#50856,#50820,#8317,.T.); +#65911=EDGE_CURVE('',#50820,#50821,#7817,.T.); +#65913=EDGE_CURVE('',#50817,#50821,#7821,.T.); +#65915=EDGE_CURVE('',#50816,#50817,#7825,.T.); +#65917=EDGE_CURVE('',#50816,#46377,#8301,.T.); +#65919=EDGE_CURVE('',#46377,#46378,#7829,.T.); +#65921=EDGE_CURVE('',#46374,#46378,#7833,.T.); +#65923=EDGE_CURVE('',#46373,#46374,#7837,.T.); +#65925=EDGE_CURVE('',#46373,#46026,#8285,.T.); +#65927=EDGE_CURVE('',#46024,#46026,#28651,.T.); +#65929=EDGE_CURVE('',#46005,#46024,#28664,.T.); +#65933=EDGE_CURVE('',#46019,#46020,#28623,.T.); +#65935=EDGE_CURVE('',#46020,#51018,#8389,.T.); +#65937=EDGE_CURVE('',#51018,#51019,#7841,.T.); +#65939=EDGE_CURVE('',#51015,#51019,#7845,.T.); +#65943=ADVANCED_FACE('',(#65942),#65874,.T.); +#65949=EDGE_CURVE('',#48385,#48386,#7849,.T.); +#65951=EDGE_CURVE('',#48385,#48333,#7853,.T.); +#65953=EDGE_CURVE('',#48333,#48380,#7857,.T.); +#65955=EDGE_CURVE('',#48362,#48380,#11017,.T.); +#65957=EDGE_CURVE('',#48355,#48362,#8249,.T.); +#65960=EDGE_CURVE('',#48356,#48386,#7861,.T.); +#65964=ADVANCED_FACE('',(#65963),#65948,.F.); +#65970=EDGE_CURVE('',#48411,#48412,#7865,.T.); +#65972=EDGE_CURVE('',#48411,#48337,#7869,.T.); +#65974=EDGE_CURVE('',#48337,#48382,#7873,.T.); +#65976=EDGE_CURVE('',#48382,#48342,#26809,.T.); +#65978=EDGE_CURVE('',#48341,#48342,#13349,.T.); +#65980=EDGE_CURVE('',#48341,#48412,#7877,.T.); +#65984=ADVANCED_FACE('',(#65983),#65969,.F.); +#65991=EDGE_CURVE('',#48386,#48390,#7885,.T.); +#65993=EDGE_CURVE('',#48389,#48390,#7889,.T.); +#65995=EDGE_CURVE('',#48389,#48385,#28677,.T.); +#65999=ADVANCED_FACE('',(#65998),#65989,.T.); +#66005=EDGE_CURVE('',#48396,#48398,#7881,.T.); +#66007=EDGE_CURVE('',#48396,#48390,#7893,.T.); +#66010=EDGE_CURVE('',#48398,#48386,#8069,.T.); +#66014=ADVANCED_FACE('',(#66013),#66004,.T.); +#66020=EDGE_CURVE('',#48396,#48394,#7897,.T.); +#66023=EDGE_CURVE('',#48398,#48392,#8037,.T.); +#66025=EDGE_CURVE('',#48392,#48394,#28681,.T.); +#66029=ADVANCED_FACE('',(#66028),#66019,.T.); +#66038=EDGE_CURVE('',#48394,#48389,#7901,.T.); +#66042=EDGE_CURVE('',#48365,#48366,#7905,.T.); +#66044=EDGE_CURVE('',#48366,#48368,#7929,.T.); +#66046=EDGE_CURVE('',#48368,#48370,#7921,.T.); +#66048=EDGE_CURVE('',#48370,#48365,#7913,.T.); +#66052=ADVANCED_FACE('',(#66041,#66051),#66034,.T.); +#66059=EDGE_CURVE('',#48365,#48317,#7909,.T.); +#66061=EDGE_CURVE('',#48317,#48318,#7937,.T.); +#66063=EDGE_CURVE('',#48366,#48318,#7933,.T.); +#66067=ADVANCED_FACE('',(#66066),#66057,.T.); +#66074=EDGE_CURVE('',#48370,#48322,#7917,.T.); +#66076=EDGE_CURVE('',#48322,#48317,#7941,.T.); +#66081=ADVANCED_FACE('',(#66080),#66072,.T.); +#66088=EDGE_CURVE('',#48368,#48320,#7925,.T.); +#66090=EDGE_CURVE('',#48320,#48322,#7945,.T.); +#66095=ADVANCED_FACE('',(#66094),#66086,.T.); +#66103=EDGE_CURVE('',#48318,#48320,#7949,.T.); +#66108=ADVANCED_FACE('',(#66107),#66100,.T.); +#66120=ADVANCED_FACE('',(#66119),#66113,.T.); +#66126=EDGE_CURVE('',#48325,#48326,#7953,.T.); +#66128=EDGE_CURVE('',#48330,#48325,#7957,.T.); +#66130=EDGE_CURVE('',#48328,#48330,#7961,.T.); +#66132=EDGE_CURVE('',#48326,#48328,#7965,.T.); +#66136=ADVANCED_FACE('',(#66135),#66125,.T.); +#66142=EDGE_CURVE('',#48373,#48374,#7969,.T.); +#66144=EDGE_CURVE('',#48373,#48325,#7973,.T.); +#66147=EDGE_CURVE('',#48374,#48326,#7997,.T.); +#66151=ADVANCED_FACE('',(#66150),#66141,.T.); +#66157=EDGE_CURVE('',#48401,#48402,#7977,.T.); +#66159=EDGE_CURVE('',#48402,#48414,#7981,.T.); +#66161=EDGE_CURVE('',#48414,#48408,#7985,.T.); +#66163=EDGE_CURVE('',#48401,#48408,#7989,.T.); +#66168=EDGE_CURVE('',#48374,#48376,#7993,.T.); +#66170=EDGE_CURVE('',#48376,#48378,#8001,.T.); +#66172=EDGE_CURVE('',#48378,#48373,#8009,.T.); +#66176=ADVANCED_FACE('',(#66166,#66175),#66156,.T.); +#66185=EDGE_CURVE('',#48376,#48328,#8005,.T.); +#66189=ADVANCED_FACE('',(#66188),#66181,.T.); +#66198=EDGE_CURVE('',#48378,#48330,#8013,.T.); +#66202=ADVANCED_FACE('',(#66201),#66194,.T.); +#66214=ADVANCED_FACE('',(#66213),#66207,.T.); +#66221=EDGE_CURVE('',#48401,#48405,#8021,.T.); +#66223=EDGE_CURVE('',#48405,#48406,#8053,.T.); +#66225=EDGE_CURVE('',#48402,#48406,#8017,.T.); +#66229=ADVANCED_FACE('',(#66228),#66219,.T.); +#66236=EDGE_CURVE('',#48408,#48412,#8025,.T.); +#66238=EDGE_CURVE('',#48405,#48412,#28557,.T.); +#66243=ADVANCED_FACE('',(#66242),#66234,.T.); +#66252=EDGE_CURVE('',#48414,#48411,#8029,.T.); +#66256=ADVANCED_FACE('',(#66255),#66248,.T.); +#66262=EDGE_CURVE('',#48406,#48411,#8033,.T.); +#66269=ADVANCED_FACE('',(#66268),#66261,.T.); +#66276=EDGE_CURVE('',#48406,#48338,#8065,.T.); +#66278=EDGE_CURVE('',#48337,#48338,#26805,.T.); +#66283=ADVANCED_FACE('',(#66282),#66274,.T.); +#66290=EDGE_CURVE('',#48360,#48398,#8041,.T.); +#66293=EDGE_CURVE('',#48359,#48350,#8265,.T.); +#66295=EDGE_CURVE('',#48334,#48350,#8045,.T.); +#66297=EDGE_CURVE('',#48392,#48334,#8049,.T.); +#66301=ADVANCED_FACE('',(#66300),#66288,.T.); +#66308=EDGE_CURVE('',#48345,#48405,#8057,.T.); +#66310=EDGE_CURVE('',#48345,#48346,#13629,.T.); +#66312=EDGE_CURVE('',#48338,#48346,#8061,.T.); +#66317=ADVANCED_FACE('',(#66316),#66306,.T.); +#66329=ADVANCED_FACE('',(#66328),#66322,.T.); +#66335=EDGE_CURVE('',#46285,#46292,#8073,.T.); +#66337=EDGE_CURVE('',#49931,#46292,#8077,.T.); +#66339=EDGE_CURVE('',#49930,#49931,#8081,.T.); +#66341=EDGE_CURVE('',#46010,#49930,#13663,.T.); +#66343=EDGE_CURVE('',#46008,#46010,#28606,.T.); +#66348=ADVANCED_FACE('',(#66347),#66334,.T.); +#66354=EDGE_CURVE('',#47277,#47284,#8089,.T.); +#66356=EDGE_CURVE('',#46294,#47284,#8093,.T.); +#66358=EDGE_CURVE('',#46289,#46294,#8097,.T.); +#66363=ADVANCED_FACE('',(#66362),#66353,.T.); +#66369=EDGE_CURVE('',#47385,#47392,#8105,.T.); +#66371=EDGE_CURVE('',#47286,#47392,#8109,.T.); +#66373=EDGE_CURVE('',#47281,#47286,#8113,.T.); +#66378=ADVANCED_FACE('',(#66377),#66368,.T.); +#66384=EDGE_CURVE('',#47493,#47500,#8121,.T.); +#66386=EDGE_CURVE('',#47394,#47500,#8125,.T.); +#66388=EDGE_CURVE('',#47389,#47394,#8129,.T.); +#66393=ADVANCED_FACE('',(#66392),#66383,.T.); +#66399=EDGE_CURVE('',#47601,#47608,#8137,.T.); +#66401=EDGE_CURVE('',#47502,#47608,#8141,.T.); +#66403=EDGE_CURVE('',#47497,#47502,#8145,.T.); +#66408=ADVANCED_FACE('',(#66407),#66398,.T.); +#66414=EDGE_CURVE('',#47709,#47716,#8153,.T.); +#66416=EDGE_CURVE('',#47610,#47716,#8157,.T.); +#66418=EDGE_CURVE('',#47605,#47610,#8161,.T.); +#66423=ADVANCED_FACE('',(#66422),#66413,.T.); +#66429=EDGE_CURVE('',#47817,#47824,#8169,.T.); +#66431=EDGE_CURVE('',#47718,#47824,#8173,.T.); +#66433=EDGE_CURVE('',#47713,#47718,#8177,.T.); +#66438=ADVANCED_FACE('',(#66437),#66428,.T.); +#66444=EDGE_CURVE('',#47925,#47932,#8185,.T.); +#66446=EDGE_CURVE('',#47826,#47932,#8189,.T.); +#66448=EDGE_CURVE('',#47821,#47826,#8193,.T.); +#66453=ADVANCED_FACE('',(#66452),#66443,.T.); +#66459=EDGE_CURVE('',#48033,#48040,#8201,.T.); +#66461=EDGE_CURVE('',#47934,#48040,#8205,.T.); +#66463=EDGE_CURVE('',#47929,#47934,#8209,.T.); +#66468=ADVANCED_FACE('',(#66467),#66458,.T.); +#66474=EDGE_CURVE('',#48141,#48148,#8217,.T.); +#66476=EDGE_CURVE('',#48042,#48148,#8221,.T.); +#66478=EDGE_CURVE('',#48037,#48042,#8225,.T.); +#66483=ADVANCED_FACE('',(#66482),#66473,.T.); +#66489=EDGE_CURVE('',#48249,#48256,#8233,.T.); +#66491=EDGE_CURVE('',#48150,#48256,#8237,.T.); +#66493=EDGE_CURVE('',#48145,#48150,#8241,.T.); +#66498=ADVANCED_FACE('',(#66497),#66488,.T.); +#66505=EDGE_CURVE('',#48258,#48362,#8253,.T.); +#66507=EDGE_CURVE('',#48253,#48258,#8257,.T.); +#66512=ADVANCED_FACE('',(#66511),#66503,.T.); +#66520=EDGE_CURVE('',#46014,#46016,#28569,.T.); +#66522=EDGE_CURVE('',#48350,#46016,#13637,.T.); +#66526=ADVANCED_FACE('',(#66525),#66517,.T.); +#66532=EDGE_CURVE('',#46373,#46380,#8273,.T.); +#66534=EDGE_CURVE('',#50129,#46380,#8277,.T.); +#66536=EDGE_CURVE('',#50128,#50129,#8281,.T.); +#66538=EDGE_CURVE('',#46028,#50128,#13901,.T.); +#66540=EDGE_CURVE('',#46026,#46028,#28655,.T.); +#66545=ADVANCED_FACE('',(#66544),#66531,.T.); +#66551=EDGE_CURVE('',#50816,#50823,#8289,.T.); +#66553=EDGE_CURVE('',#46382,#50823,#8293,.T.); +#66555=EDGE_CURVE('',#46377,#46382,#8297,.T.); +#66560=ADVANCED_FACE('',(#66559),#66550,.T.); +#66566=EDGE_CURVE('',#50856,#50863,#8305,.T.); +#66568=EDGE_CURVE('',#50825,#50863,#8309,.T.); +#66570=EDGE_CURVE('',#50820,#50825,#8313,.T.); +#66575=ADVANCED_FACE('',(#66574),#66565,.T.); +#66581=EDGE_CURVE('',#50896,#50903,#8321,.T.); +#66583=EDGE_CURVE('',#50865,#50903,#8325,.T.); +#66585=EDGE_CURVE('',#50860,#50865,#8329,.T.); +#66590=ADVANCED_FACE('',(#66589),#66580,.T.); +#66596=EDGE_CURVE('',#50936,#50943,#8337,.T.); +#66598=EDGE_CURVE('',#50905,#50943,#8341,.T.); +#66600=EDGE_CURVE('',#50900,#50905,#8345,.T.); +#66605=ADVANCED_FACE('',(#66604),#66595,.T.); +#66611=EDGE_CURVE('',#50976,#50983,#8353,.T.); +#66613=EDGE_CURVE('',#50945,#50983,#8357,.T.); +#66615=EDGE_CURVE('',#50940,#50945,#8361,.T.); +#66620=ADVANCED_FACE('',(#66619),#66610,.T.); +#66626=EDGE_CURVE('',#51014,#51021,#8369,.T.); +#66628=EDGE_CURVE('',#50985,#51021,#8373,.T.); +#66630=EDGE_CURVE('',#50980,#50985,#8377,.T.); +#66635=ADVANCED_FACE('',(#66634),#66625,.T.); +#66641=EDGE_CURVE('',#51018,#50771,#8385,.T.); +#66644=EDGE_CURVE('',#46020,#46022,#28631,.T.); +#66646=EDGE_CURVE('',#50771,#46022,#13875,.T.); +#66650=ADVANCED_FACE('',(#66649),#66640,.T.); +#66656=EDGE_CURVE('',#46305,#46306,#8393,.T.); +#66658=EDGE_CURVE('',#46305,#46245,#8397,.T.); +#66660=EDGE_CURVE('',#46245,#46296,#8401,.T.); +#66662=EDGE_CURVE('',#46292,#46296,#27641,.T.); +#66666=EDGE_CURVE('',#46286,#46306,#8405,.T.); +#66670=ADVANCED_FACE('',(#66669),#66655,.F.); +#66676=EDGE_CURVE('',#46331,#46332,#8409,.T.); +#66678=EDGE_CURVE('',#46331,#46249,#8413,.T.); +#66680=EDGE_CURVE('',#46249,#46298,#8417,.T.); +#66682=EDGE_CURVE('',#46298,#46270,#24413,.T.); +#66684=EDGE_CURVE('',#46269,#46270,#13525,.T.); +#66686=EDGE_CURVE('',#46269,#46332,#8421,.T.); +#66690=ADVANCED_FACE('',(#66689),#66675,.F.); +#66697=EDGE_CURVE('',#46306,#46310,#8429,.T.); +#66699=EDGE_CURVE('',#46309,#46310,#8433,.T.); +#66701=EDGE_CURVE('',#46309,#46305,#27669,.T.); +#66705=ADVANCED_FACE('',(#66704),#66695,.T.); +#66711=EDGE_CURVE('',#46316,#46318,#8425,.T.); +#66713=EDGE_CURVE('',#46316,#46310,#8437,.T.); +#66716=EDGE_CURVE('',#46318,#46306,#8613,.T.); +#66720=ADVANCED_FACE('',(#66719),#66710,.T.); +#66726=EDGE_CURVE('',#46316,#46314,#8441,.T.); +#66729=EDGE_CURVE('',#46318,#46312,#8581,.T.); +#66731=EDGE_CURVE('',#46312,#46314,#27673,.T.); +#66735=ADVANCED_FACE('',(#66734),#66725,.T.); +#66744=EDGE_CURVE('',#46314,#46309,#8445,.T.); +#66748=EDGE_CURVE('',#46253,#46254,#8449,.T.); +#66750=EDGE_CURVE('',#46254,#46256,#8473,.T.); +#66752=EDGE_CURVE('',#46256,#46258,#8465,.T.); +#66754=EDGE_CURVE('',#46258,#46253,#8457,.T.); +#66758=ADVANCED_FACE('',(#66747,#66757),#66740,.T.); +#66765=EDGE_CURVE('',#46253,#45594,#8453,.T.); +#66767=EDGE_CURVE('',#45594,#45595,#8481,.T.); +#66769=EDGE_CURVE('',#46254,#45595,#8477,.T.); +#66773=ADVANCED_FACE('',(#66772),#66763,.T.); +#66780=EDGE_CURVE('',#46258,#45599,#8461,.T.); +#66782=EDGE_CURVE('',#45599,#45594,#8485,.T.); +#66787=ADVANCED_FACE('',(#66786),#66778,.T.); +#66794=EDGE_CURVE('',#46256,#45597,#8469,.T.); +#66796=EDGE_CURVE('',#45597,#45599,#8489,.T.); +#66801=ADVANCED_FACE('',(#66800),#66792,.T.); +#66809=EDGE_CURVE('',#45595,#45597,#8493,.T.); +#66814=ADVANCED_FACE('',(#66813),#66806,.T.); +#66826=ADVANCED_FACE('',(#66825),#66819,.T.); +#66832=EDGE_CURVE('',#45602,#45603,#8497,.T.); +#66834=EDGE_CURVE('',#45607,#45602,#8501,.T.); +#66836=EDGE_CURVE('',#45605,#45607,#8505,.T.); +#66838=EDGE_CURVE('',#45603,#45605,#8509,.T.); +#66842=ADVANCED_FACE('',(#66841),#66831,.T.); +#66848=EDGE_CURVE('',#46261,#46262,#8513,.T.); +#66850=EDGE_CURVE('',#46261,#45602,#8517,.T.); +#66853=EDGE_CURVE('',#46262,#45603,#8541,.T.); +#66857=ADVANCED_FACE('',(#66856),#66847,.T.); +#66863=EDGE_CURVE('',#46321,#46322,#8521,.T.); +#66865=EDGE_CURVE('',#46322,#46334,#8525,.T.); +#66867=EDGE_CURVE('',#46334,#46328,#8529,.T.); +#66869=EDGE_CURVE('',#46321,#46328,#8533,.T.); +#66874=EDGE_CURVE('',#46262,#46264,#8537,.T.); +#66876=EDGE_CURVE('',#46264,#46266,#8545,.T.); +#66878=EDGE_CURVE('',#46266,#46261,#8553,.T.); +#66882=ADVANCED_FACE('',(#66872,#66881),#66862,.T.); +#66891=EDGE_CURVE('',#46264,#45605,#8549,.T.); +#66895=ADVANCED_FACE('',(#66894),#66887,.T.); +#66904=EDGE_CURVE('',#46266,#45607,#8557,.T.); +#66908=ADVANCED_FACE('',(#66907),#66900,.T.); +#66920=ADVANCED_FACE('',(#66919),#66913,.T.); +#66927=EDGE_CURVE('',#46321,#46325,#8565,.T.); +#66929=EDGE_CURVE('',#46325,#46326,#8597,.T.); +#66931=EDGE_CURVE('',#46322,#46326,#8561,.T.); +#66935=ADVANCED_FACE('',(#66934),#66925,.T.); +#66942=EDGE_CURVE('',#46328,#46332,#8569,.T.); +#66944=EDGE_CURVE('',#46325,#46332,#28553,.T.); +#66949=ADVANCED_FACE('',(#66948),#66940,.T.); +#66958=EDGE_CURVE('',#46334,#46331,#8573,.T.); +#66962=ADVANCED_FACE('',(#66961),#66954,.T.); +#66968=EDGE_CURVE('',#46326,#46331,#8577,.T.); +#66975=ADVANCED_FACE('',(#66974),#66967,.T.); +#66982=EDGE_CURVE('',#46326,#46250,#8609,.T.); +#66984=EDGE_CURVE('',#46249,#46250,#24429,.T.); +#66989=ADVANCED_FACE('',(#66988),#66980,.T.); +#66996=EDGE_CURVE('',#46290,#46318,#8585,.T.); +#67000=EDGE_CURVE('',#46294,#46300,#8629,.T.); +#67002=EDGE_CURVE('',#46246,#46300,#8589,.T.); +#67004=EDGE_CURVE('',#46312,#46246,#8593,.T.); +#67008=ADVANCED_FACE('',(#67007),#66994,.T.); +#67015=EDGE_CURVE('',#46273,#46325,#8601,.T.); +#67017=EDGE_CURVE('',#46273,#46274,#13517,.T.); +#67019=EDGE_CURVE('',#46302,#46274,#24453,.T.); +#67021=EDGE_CURVE('',#46250,#46302,#8605,.T.); +#67026=ADVANCED_FACE('',(#67025),#67013,.T.); +#67038=ADVANCED_FACE('',(#67037),#67031,.T.); +#67044=EDGE_CURVE('',#47284,#47304,#8617,.T.); +#67046=EDGE_CURVE('',#50335,#47304,#8621,.T.); +#67048=EDGE_CURVE('',#50335,#46457,#24473,.T.); +#67050=EDGE_CURVE('',#49847,#46457,#27609,.T.); +#67052=EDGE_CURVE('',#50331,#49847,#27421,.T.); +#67054=EDGE_CURVE('',#50331,#46300,#8625,.T.); +#67060=ADVANCED_FACE('',(#67059),#67043,.T.); +#67066=EDGE_CURVE('',#47313,#47314,#8633,.T.); +#67068=EDGE_CURVE('',#47313,#47253,#8637,.T.); +#67070=EDGE_CURVE('',#47253,#47304,#8641,.T.); +#67075=EDGE_CURVE('',#47278,#47314,#8645,.T.); +#67079=ADVANCED_FACE('',(#67078),#67065,.F.); +#67085=EDGE_CURVE('',#47339,#47340,#8649,.T.); +#67087=EDGE_CURVE('',#47339,#47257,#8653,.T.); +#67089=EDGE_CURVE('',#47257,#47306,#8657,.T.); +#67091=EDGE_CURVE('',#47306,#47262,#24433,.T.); +#67093=EDGE_CURVE('',#47261,#47262,#13509,.T.); +#67095=EDGE_CURVE('',#47261,#47340,#8661,.T.); +#67099=ADVANCED_FACE('',(#67098),#67084,.F.); +#67106=EDGE_CURVE('',#47314,#47318,#8669,.T.); +#67108=EDGE_CURVE('',#47317,#47318,#8673,.T.); +#67110=EDGE_CURVE('',#47317,#47313,#24497,.T.); +#67114=ADVANCED_FACE('',(#67113),#67104,.T.); +#67120=EDGE_CURVE('',#47324,#47326,#8665,.T.); +#67122=EDGE_CURVE('',#47324,#47318,#8677,.T.); +#67125=EDGE_CURVE('',#47326,#47314,#8853,.T.); +#67129=ADVANCED_FACE('',(#67128),#67119,.T.); +#67135=EDGE_CURVE('',#47324,#47322,#8681,.T.); +#67138=EDGE_CURVE('',#47326,#47320,#8821,.T.); +#67140=EDGE_CURVE('',#47320,#47322,#24501,.T.); +#67144=ADVANCED_FACE('',(#67143),#67134,.T.); +#67153=EDGE_CURVE('',#47322,#47317,#8685,.T.); +#67157=EDGE_CURVE('',#47289,#47290,#8689,.T.); +#67159=EDGE_CURVE('',#47290,#47292,#8713,.T.); +#67161=EDGE_CURVE('',#47292,#47294,#8705,.T.); +#67163=EDGE_CURVE('',#47294,#47289,#8697,.T.); +#67167=ADVANCED_FACE('',(#67156,#67166),#67149,.T.); +#67174=EDGE_CURVE('',#47289,#47237,#8693,.T.); +#67176=EDGE_CURVE('',#47237,#47238,#8721,.T.); +#67178=EDGE_CURVE('',#47290,#47238,#8717,.T.); +#67182=ADVANCED_FACE('',(#67181),#67172,.T.); +#67189=EDGE_CURVE('',#47294,#47242,#8701,.T.); +#67191=EDGE_CURVE('',#47242,#47237,#8725,.T.); +#67196=ADVANCED_FACE('',(#67195),#67187,.T.); +#67203=EDGE_CURVE('',#47292,#47240,#8709,.T.); +#67205=EDGE_CURVE('',#47240,#47242,#8729,.T.); +#67210=ADVANCED_FACE('',(#67209),#67201,.T.); +#67218=EDGE_CURVE('',#47238,#47240,#8733,.T.); +#67223=ADVANCED_FACE('',(#67222),#67215,.T.); +#67235=ADVANCED_FACE('',(#67234),#67228,.T.); +#67241=EDGE_CURVE('',#47245,#47246,#8737,.T.); +#67243=EDGE_CURVE('',#47250,#47245,#8741,.T.); +#67245=EDGE_CURVE('',#47248,#47250,#8745,.T.); +#67247=EDGE_CURVE('',#47246,#47248,#8749,.T.); +#67251=ADVANCED_FACE('',(#67250),#67240,.T.); +#67257=EDGE_CURVE('',#47297,#47298,#8753,.T.); +#67259=EDGE_CURVE('',#47297,#47245,#8757,.T.); +#67262=EDGE_CURVE('',#47298,#47246,#8781,.T.); +#67266=ADVANCED_FACE('',(#67265),#67256,.T.); +#67272=EDGE_CURVE('',#47329,#47330,#8761,.T.); +#67274=EDGE_CURVE('',#47330,#47342,#8765,.T.); +#67276=EDGE_CURVE('',#47342,#47336,#8769,.T.); +#67278=EDGE_CURVE('',#47329,#47336,#8773,.T.); +#67283=EDGE_CURVE('',#47298,#47300,#8777,.T.); +#67285=EDGE_CURVE('',#47300,#47302,#8785,.T.); +#67287=EDGE_CURVE('',#47302,#47297,#8793,.T.); +#67291=ADVANCED_FACE('',(#67281,#67290),#67271,.T.); +#67300=EDGE_CURVE('',#47300,#47248,#8789,.T.); +#67304=ADVANCED_FACE('',(#67303),#67296,.T.); +#67313=EDGE_CURVE('',#47302,#47250,#8797,.T.); +#67317=ADVANCED_FACE('',(#67316),#67309,.T.); +#67329=ADVANCED_FACE('',(#67328),#67322,.T.); +#67336=EDGE_CURVE('',#47329,#47333,#8805,.T.); +#67338=EDGE_CURVE('',#47333,#47334,#8837,.T.); +#67340=EDGE_CURVE('',#47330,#47334,#8801,.T.); +#67344=ADVANCED_FACE('',(#67343),#67334,.T.); +#67351=EDGE_CURVE('',#47336,#47340,#8809,.T.); +#67353=EDGE_CURVE('',#47333,#47340,#28549,.T.); +#67358=ADVANCED_FACE('',(#67357),#67349,.T.); +#67367=EDGE_CURVE('',#47342,#47339,#8813,.T.); +#67371=ADVANCED_FACE('',(#67370),#67363,.T.); +#67377=EDGE_CURVE('',#47334,#47339,#8817,.T.); +#67384=ADVANCED_FACE('',(#67383),#67376,.T.); +#67391=EDGE_CURVE('',#47334,#47258,#8849,.T.); +#67393=EDGE_CURVE('',#47257,#47258,#24457,.T.); +#67398=ADVANCED_FACE('',(#67397),#67389,.T.); +#67405=EDGE_CURVE('',#47282,#47326,#8825,.T.); +#67409=EDGE_CURVE('',#47286,#47308,#8869,.T.); +#67411=EDGE_CURVE('',#47254,#47308,#8829,.T.); +#67413=EDGE_CURVE('',#47320,#47254,#8833,.T.); +#67417=ADVANCED_FACE('',(#67416),#67403,.T.); +#67424=EDGE_CURVE('',#47265,#47333,#8841,.T.); +#67426=EDGE_CURVE('',#47265,#47266,#13501,.T.); +#67428=EDGE_CURVE('',#47310,#47266,#27081,.T.); +#67430=EDGE_CURVE('',#47258,#47310,#8845,.T.); +#67435=ADVANCED_FACE('',(#67434),#67422,.T.); +#67447=ADVANCED_FACE('',(#67446),#67440,.T.); +#67453=EDGE_CURVE('',#47392,#47412,#8857,.T.); +#67455=EDGE_CURVE('',#50343,#47412,#8861,.T.); +#67457=EDGE_CURVE('',#50343,#46505,#24701,.T.); +#67459=EDGE_CURVE('',#49855,#46505,#27405,.T.); +#67461=EDGE_CURVE('',#50339,#49855,#24509,.T.); +#67463=EDGE_CURVE('',#50339,#47308,#8865,.T.); +#67469=ADVANCED_FACE('',(#67468),#67452,.T.); +#67475=EDGE_CURVE('',#47421,#47422,#8873,.T.); +#67477=EDGE_CURVE('',#47421,#47361,#8877,.T.); +#67479=EDGE_CURVE('',#47361,#47412,#8881,.T.); +#67484=EDGE_CURVE('',#47386,#47422,#8885,.T.); +#67488=ADVANCED_FACE('',(#67487),#67474,.F.); +#67494=EDGE_CURVE('',#47447,#47448,#8889,.T.); +#67496=EDGE_CURVE('',#47447,#47365,#8893,.T.); +#67498=EDGE_CURVE('',#47365,#47414,#8897,.T.); +#67500=EDGE_CURVE('',#47414,#47370,#27061,.T.); +#67502=EDGE_CURVE('',#47369,#47370,#13493,.T.); +#67504=EDGE_CURVE('',#47369,#47448,#8901,.T.); +#67508=ADVANCED_FACE('',(#67507),#67493,.F.); +#67515=EDGE_CURVE('',#47422,#47426,#8909,.T.); +#67517=EDGE_CURVE('',#47425,#47426,#8913,.T.); +#67519=EDGE_CURVE('',#47425,#47421,#24725,.T.); +#67523=ADVANCED_FACE('',(#67522),#67513,.T.); +#67529=EDGE_CURVE('',#47432,#47434,#8905,.T.); +#67531=EDGE_CURVE('',#47432,#47426,#8917,.T.); +#67534=EDGE_CURVE('',#47434,#47422,#9093,.T.); +#67538=ADVANCED_FACE('',(#67537),#67528,.T.); +#67544=EDGE_CURVE('',#47432,#47430,#8921,.T.); +#67547=EDGE_CURVE('',#47434,#47428,#9061,.T.); +#67549=EDGE_CURVE('',#47428,#47430,#24729,.T.); +#67553=ADVANCED_FACE('',(#67552),#67543,.T.); +#67562=EDGE_CURVE('',#47430,#47425,#8925,.T.); +#67566=EDGE_CURVE('',#47397,#47398,#8929,.T.); +#67568=EDGE_CURVE('',#47398,#47400,#8953,.T.); +#67570=EDGE_CURVE('',#47400,#47402,#8945,.T.); +#67572=EDGE_CURVE('',#47402,#47397,#8937,.T.); +#67576=ADVANCED_FACE('',(#67565,#67575),#67558,.T.); +#67583=EDGE_CURVE('',#47397,#47345,#8933,.T.); +#67585=EDGE_CURVE('',#47345,#47346,#8961,.T.); +#67587=EDGE_CURVE('',#47398,#47346,#8957,.T.); +#67591=ADVANCED_FACE('',(#67590),#67581,.T.); +#67598=EDGE_CURVE('',#47402,#47350,#8941,.T.); +#67600=EDGE_CURVE('',#47350,#47345,#8965,.T.); +#67605=ADVANCED_FACE('',(#67604),#67596,.T.); +#67612=EDGE_CURVE('',#47400,#47348,#8949,.T.); +#67614=EDGE_CURVE('',#47348,#47350,#8969,.T.); +#67619=ADVANCED_FACE('',(#67618),#67610,.T.); +#67627=EDGE_CURVE('',#47346,#47348,#8973,.T.); +#67632=ADVANCED_FACE('',(#67631),#67624,.T.); +#67644=ADVANCED_FACE('',(#67643),#67637,.T.); +#67650=EDGE_CURVE('',#47353,#47354,#8977,.T.); +#67652=EDGE_CURVE('',#47358,#47353,#8981,.T.); +#67654=EDGE_CURVE('',#47356,#47358,#8985,.T.); +#67656=EDGE_CURVE('',#47354,#47356,#8989,.T.); +#67660=ADVANCED_FACE('',(#67659),#67649,.T.); +#67666=EDGE_CURVE('',#47405,#47406,#8993,.T.); +#67668=EDGE_CURVE('',#47405,#47353,#8997,.T.); +#67671=EDGE_CURVE('',#47406,#47354,#9021,.T.); +#67675=ADVANCED_FACE('',(#67674),#67665,.T.); +#67681=EDGE_CURVE('',#47437,#47438,#9001,.T.); +#67683=EDGE_CURVE('',#47438,#47450,#9005,.T.); +#67685=EDGE_CURVE('',#47450,#47444,#9009,.T.); +#67687=EDGE_CURVE('',#47437,#47444,#9013,.T.); +#67692=EDGE_CURVE('',#47406,#47408,#9017,.T.); +#67694=EDGE_CURVE('',#47408,#47410,#9025,.T.); +#67696=EDGE_CURVE('',#47410,#47405,#9033,.T.); +#67700=ADVANCED_FACE('',(#67690,#67699),#67680,.T.); +#67709=EDGE_CURVE('',#47408,#47356,#9029,.T.); +#67713=ADVANCED_FACE('',(#67712),#67705,.T.); +#67722=EDGE_CURVE('',#47410,#47358,#9037,.T.); +#67726=ADVANCED_FACE('',(#67725),#67718,.T.); +#67738=ADVANCED_FACE('',(#67737),#67731,.T.); +#67745=EDGE_CURVE('',#47437,#47441,#9045,.T.); +#67747=EDGE_CURVE('',#47441,#47442,#9077,.T.); +#67749=EDGE_CURVE('',#47438,#47442,#9041,.T.); +#67753=ADVANCED_FACE('',(#67752),#67743,.T.); +#67760=EDGE_CURVE('',#47444,#47448,#9049,.T.); +#67762=EDGE_CURVE('',#47441,#47448,#28545,.T.); +#67767=ADVANCED_FACE('',(#67766),#67758,.T.); +#67776=EDGE_CURVE('',#47450,#47447,#9053,.T.); +#67780=ADVANCED_FACE('',(#67779),#67772,.T.); +#67786=EDGE_CURVE('',#47442,#47447,#9057,.T.); +#67793=ADVANCED_FACE('',(#67792),#67785,.T.); +#67800=EDGE_CURVE('',#47442,#47366,#9089,.T.); +#67802=EDGE_CURVE('',#47365,#47366,#27057,.T.); +#67807=ADVANCED_FACE('',(#67806),#67798,.T.); +#67814=EDGE_CURVE('',#47390,#47434,#9065,.T.); +#67818=EDGE_CURVE('',#47394,#47416,#9109,.T.); +#67820=EDGE_CURVE('',#47362,#47416,#9069,.T.); +#67822=EDGE_CURVE('',#47428,#47362,#9073,.T.); +#67826=ADVANCED_FACE('',(#67825),#67812,.T.); +#67833=EDGE_CURVE('',#47373,#47441,#9081,.T.); +#67835=EDGE_CURVE('',#47373,#47374,#13485,.T.); +#67837=EDGE_CURVE('',#47418,#47374,#27053,.T.); +#67839=EDGE_CURVE('',#47366,#47418,#9085,.T.); +#67844=ADVANCED_FACE('',(#67843),#67831,.T.); +#67856=ADVANCED_FACE('',(#67855),#67849,.T.); +#67862=EDGE_CURVE('',#47500,#47520,#9097,.T.); +#67864=EDGE_CURVE('',#50351,#47520,#9101,.T.); +#67866=EDGE_CURVE('',#50351,#46553,#24929,.T.); +#67868=EDGE_CURVE('',#49863,#46553,#27369,.T.); +#67870=EDGE_CURVE('',#50347,#49863,#24737,.T.); +#67872=EDGE_CURVE('',#50347,#47416,#9105,.T.); +#67878=ADVANCED_FACE('',(#67877),#67861,.T.); +#67884=EDGE_CURVE('',#47529,#47530,#9113,.T.); +#67886=EDGE_CURVE('',#47529,#47469,#9117,.T.); +#67888=EDGE_CURVE('',#47469,#47520,#9121,.T.); +#67893=EDGE_CURVE('',#47494,#47530,#9125,.T.); +#67897=ADVANCED_FACE('',(#67896),#67883,.F.); +#67903=EDGE_CURVE('',#47555,#47556,#9129,.T.); +#67905=EDGE_CURVE('',#47555,#47473,#9133,.T.); +#67907=EDGE_CURVE('',#47473,#47522,#9137,.T.); +#67909=EDGE_CURVE('',#47522,#47478,#27033,.T.); +#67911=EDGE_CURVE('',#47477,#47478,#13477,.T.); +#67913=EDGE_CURVE('',#47477,#47556,#9141,.T.); +#67917=ADVANCED_FACE('',(#67916),#67902,.F.); +#67924=EDGE_CURVE('',#47530,#47534,#9149,.T.); +#67926=EDGE_CURVE('',#47533,#47534,#9153,.T.); +#67928=EDGE_CURVE('',#47533,#47529,#24953,.T.); +#67932=ADVANCED_FACE('',(#67931),#67922,.T.); +#67938=EDGE_CURVE('',#47540,#47542,#9145,.T.); +#67940=EDGE_CURVE('',#47540,#47534,#9157,.T.); +#67943=EDGE_CURVE('',#47542,#47530,#9333,.T.); +#67947=ADVANCED_FACE('',(#67946),#67937,.T.); +#67953=EDGE_CURVE('',#47540,#47538,#9161,.T.); +#67956=EDGE_CURVE('',#47542,#47536,#9301,.T.); +#67958=EDGE_CURVE('',#47536,#47538,#24957,.T.); +#67962=ADVANCED_FACE('',(#67961),#67952,.T.); +#67971=EDGE_CURVE('',#47538,#47533,#9165,.T.); +#67975=EDGE_CURVE('',#47505,#47506,#9169,.T.); +#67977=EDGE_CURVE('',#47506,#47508,#9193,.T.); +#67979=EDGE_CURVE('',#47508,#47510,#9185,.T.); +#67981=EDGE_CURVE('',#47510,#47505,#9177,.T.); +#67985=ADVANCED_FACE('',(#67974,#67984),#67967,.T.); +#67992=EDGE_CURVE('',#47505,#47453,#9173,.T.); +#67994=EDGE_CURVE('',#47453,#47454,#9201,.T.); +#67996=EDGE_CURVE('',#47506,#47454,#9197,.T.); +#68000=ADVANCED_FACE('',(#67999),#67990,.T.); +#68007=EDGE_CURVE('',#47510,#47458,#9181,.T.); +#68009=EDGE_CURVE('',#47458,#47453,#9205,.T.); +#68014=ADVANCED_FACE('',(#68013),#68005,.T.); +#68021=EDGE_CURVE('',#47508,#47456,#9189,.T.); +#68023=EDGE_CURVE('',#47456,#47458,#9209,.T.); +#68028=ADVANCED_FACE('',(#68027),#68019,.T.); +#68036=EDGE_CURVE('',#47454,#47456,#9213,.T.); +#68041=ADVANCED_FACE('',(#68040),#68033,.T.); +#68053=ADVANCED_FACE('',(#68052),#68046,.T.); +#68059=EDGE_CURVE('',#47461,#47462,#9217,.T.); +#68061=EDGE_CURVE('',#47466,#47461,#9221,.T.); +#68063=EDGE_CURVE('',#47464,#47466,#9225,.T.); +#68065=EDGE_CURVE('',#47462,#47464,#9229,.T.); +#68069=ADVANCED_FACE('',(#68068),#68058,.T.); +#68075=EDGE_CURVE('',#47513,#47514,#9233,.T.); +#68077=EDGE_CURVE('',#47513,#47461,#9237,.T.); +#68080=EDGE_CURVE('',#47514,#47462,#9261,.T.); +#68084=ADVANCED_FACE('',(#68083),#68074,.T.); +#68090=EDGE_CURVE('',#47545,#47546,#9241,.T.); +#68092=EDGE_CURVE('',#47546,#47558,#9245,.T.); +#68094=EDGE_CURVE('',#47558,#47552,#9249,.T.); +#68096=EDGE_CURVE('',#47545,#47552,#9253,.T.); +#68101=EDGE_CURVE('',#47514,#47516,#9257,.T.); +#68103=EDGE_CURVE('',#47516,#47518,#9265,.T.); +#68105=EDGE_CURVE('',#47518,#47513,#9273,.T.); +#68109=ADVANCED_FACE('',(#68099,#68108),#68089,.T.); +#68118=EDGE_CURVE('',#47516,#47464,#9269,.T.); +#68122=ADVANCED_FACE('',(#68121),#68114,.T.); +#68131=EDGE_CURVE('',#47518,#47466,#9277,.T.); +#68135=ADVANCED_FACE('',(#68134),#68127,.T.); +#68147=ADVANCED_FACE('',(#68146),#68140,.T.); +#68154=EDGE_CURVE('',#47545,#47549,#9285,.T.); +#68156=EDGE_CURVE('',#47549,#47550,#9317,.T.); +#68158=EDGE_CURVE('',#47546,#47550,#9281,.T.); +#68162=ADVANCED_FACE('',(#68161),#68152,.T.); +#68169=EDGE_CURVE('',#47552,#47556,#9289,.T.); +#68171=EDGE_CURVE('',#47549,#47556,#28541,.T.); +#68176=ADVANCED_FACE('',(#68175),#68167,.T.); +#68185=EDGE_CURVE('',#47558,#47555,#9293,.T.); +#68189=ADVANCED_FACE('',(#68188),#68181,.T.); +#68195=EDGE_CURVE('',#47550,#47555,#9297,.T.); +#68202=ADVANCED_FACE('',(#68201),#68194,.T.); +#68209=EDGE_CURVE('',#47550,#47474,#9329,.T.); +#68211=EDGE_CURVE('',#47473,#47474,#27029,.T.); +#68216=ADVANCED_FACE('',(#68215),#68207,.T.); +#68223=EDGE_CURVE('',#47498,#47542,#9305,.T.); +#68227=EDGE_CURVE('',#47502,#47524,#9349,.T.); +#68229=EDGE_CURVE('',#47470,#47524,#9309,.T.); +#68231=EDGE_CURVE('',#47536,#47470,#9313,.T.); +#68235=ADVANCED_FACE('',(#68234),#68221,.T.); +#68242=EDGE_CURVE('',#47481,#47549,#9321,.T.); +#68244=EDGE_CURVE('',#47481,#47482,#13469,.T.); +#68246=EDGE_CURVE('',#47526,#47482,#27025,.T.); +#68248=EDGE_CURVE('',#47474,#47526,#9325,.T.); +#68253=ADVANCED_FACE('',(#68252),#68240,.T.); +#68265=ADVANCED_FACE('',(#68264),#68258,.T.); +#68271=EDGE_CURVE('',#47608,#47628,#9337,.T.); +#68273=EDGE_CURVE('',#50359,#47628,#9341,.T.); +#68275=EDGE_CURVE('',#50359,#46601,#25157,.T.); +#68277=EDGE_CURVE('',#49871,#46601,#27333,.T.); +#68279=EDGE_CURVE('',#50355,#49871,#24965,.T.); +#68281=EDGE_CURVE('',#50355,#47524,#9345,.T.); +#68287=ADVANCED_FACE('',(#68286),#68270,.T.); +#68293=EDGE_CURVE('',#47637,#47638,#9353,.T.); +#68295=EDGE_CURVE('',#47637,#47577,#9357,.T.); +#68297=EDGE_CURVE('',#47577,#47628,#9361,.T.); +#68302=EDGE_CURVE('',#47602,#47638,#9365,.T.); +#68306=ADVANCED_FACE('',(#68305),#68292,.F.); +#68312=EDGE_CURVE('',#47663,#47664,#9369,.T.); +#68314=EDGE_CURVE('',#47663,#47581,#9373,.T.); +#68316=EDGE_CURVE('',#47581,#47630,#9377,.T.); +#68318=EDGE_CURVE('',#47630,#47586,#27005,.T.); +#68320=EDGE_CURVE('',#47585,#47586,#13461,.T.); +#68322=EDGE_CURVE('',#47585,#47664,#9381,.T.); +#68326=ADVANCED_FACE('',(#68325),#68311,.F.); +#68333=EDGE_CURVE('',#47638,#47642,#9389,.T.); +#68335=EDGE_CURVE('',#47641,#47642,#9393,.T.); +#68337=EDGE_CURVE('',#47641,#47637,#25181,.T.); +#68341=ADVANCED_FACE('',(#68340),#68331,.T.); +#68347=EDGE_CURVE('',#47648,#47650,#9385,.T.); +#68349=EDGE_CURVE('',#47648,#47642,#9397,.T.); +#68352=EDGE_CURVE('',#47650,#47638,#9573,.T.); +#68356=ADVANCED_FACE('',(#68355),#68346,.T.); +#68362=EDGE_CURVE('',#47648,#47646,#9401,.T.); +#68365=EDGE_CURVE('',#47650,#47644,#9541,.T.); +#68367=EDGE_CURVE('',#47644,#47646,#25185,.T.); +#68371=ADVANCED_FACE('',(#68370),#68361,.T.); +#68380=EDGE_CURVE('',#47646,#47641,#9405,.T.); +#68384=EDGE_CURVE('',#47613,#47614,#9409,.T.); +#68386=EDGE_CURVE('',#47614,#47616,#9433,.T.); +#68388=EDGE_CURVE('',#47616,#47618,#9425,.T.); +#68390=EDGE_CURVE('',#47618,#47613,#9417,.T.); +#68394=ADVANCED_FACE('',(#68383,#68393),#68376,.T.); +#68401=EDGE_CURVE('',#47613,#47561,#9413,.T.); +#68403=EDGE_CURVE('',#47561,#47562,#9441,.T.); +#68405=EDGE_CURVE('',#47614,#47562,#9437,.T.); +#68409=ADVANCED_FACE('',(#68408),#68399,.T.); +#68416=EDGE_CURVE('',#47618,#47566,#9421,.T.); +#68418=EDGE_CURVE('',#47566,#47561,#9445,.T.); +#68423=ADVANCED_FACE('',(#68422),#68414,.T.); +#68430=EDGE_CURVE('',#47616,#47564,#9429,.T.); +#68432=EDGE_CURVE('',#47564,#47566,#9449,.T.); +#68437=ADVANCED_FACE('',(#68436),#68428,.T.); +#68445=EDGE_CURVE('',#47562,#47564,#9453,.T.); +#68450=ADVANCED_FACE('',(#68449),#68442,.T.); +#68462=ADVANCED_FACE('',(#68461),#68455,.T.); +#68468=EDGE_CURVE('',#47569,#47570,#9457,.T.); +#68470=EDGE_CURVE('',#47574,#47569,#9461,.T.); +#68472=EDGE_CURVE('',#47572,#47574,#9465,.T.); +#68474=EDGE_CURVE('',#47570,#47572,#9469,.T.); +#68478=ADVANCED_FACE('',(#68477),#68467,.T.); +#68484=EDGE_CURVE('',#47621,#47622,#9473,.T.); +#68486=EDGE_CURVE('',#47621,#47569,#9477,.T.); +#68489=EDGE_CURVE('',#47622,#47570,#9501,.T.); +#68493=ADVANCED_FACE('',(#68492),#68483,.T.); +#68499=EDGE_CURVE('',#47653,#47654,#9481,.T.); +#68501=EDGE_CURVE('',#47654,#47666,#9485,.T.); +#68503=EDGE_CURVE('',#47666,#47660,#9489,.T.); +#68505=EDGE_CURVE('',#47653,#47660,#9493,.T.); +#68510=EDGE_CURVE('',#47622,#47624,#9497,.T.); +#68512=EDGE_CURVE('',#47624,#47626,#9505,.T.); +#68514=EDGE_CURVE('',#47626,#47621,#9513,.T.); +#68518=ADVANCED_FACE('',(#68508,#68517),#68498,.T.); +#68527=EDGE_CURVE('',#47624,#47572,#9509,.T.); +#68531=ADVANCED_FACE('',(#68530),#68523,.T.); +#68540=EDGE_CURVE('',#47626,#47574,#9517,.T.); +#68544=ADVANCED_FACE('',(#68543),#68536,.T.); +#68556=ADVANCED_FACE('',(#68555),#68549,.T.); +#68563=EDGE_CURVE('',#47653,#47657,#9525,.T.); +#68565=EDGE_CURVE('',#47657,#47658,#9557,.T.); +#68567=EDGE_CURVE('',#47654,#47658,#9521,.T.); +#68571=ADVANCED_FACE('',(#68570),#68561,.T.); +#68578=EDGE_CURVE('',#47660,#47664,#9529,.T.); +#68580=EDGE_CURVE('',#47657,#47664,#28537,.T.); +#68585=ADVANCED_FACE('',(#68584),#68576,.T.); +#68594=EDGE_CURVE('',#47666,#47663,#9533,.T.); +#68598=ADVANCED_FACE('',(#68597),#68590,.T.); +#68604=EDGE_CURVE('',#47658,#47663,#9537,.T.); +#68611=ADVANCED_FACE('',(#68610),#68603,.T.); +#68618=EDGE_CURVE('',#47658,#47582,#9569,.T.); +#68620=EDGE_CURVE('',#47581,#47582,#27001,.T.); +#68625=ADVANCED_FACE('',(#68624),#68616,.T.); +#68632=EDGE_CURVE('',#47606,#47650,#9545,.T.); +#68636=EDGE_CURVE('',#47610,#47632,#9589,.T.); +#68638=EDGE_CURVE('',#47578,#47632,#9549,.T.); +#68640=EDGE_CURVE('',#47644,#47578,#9553,.T.); +#68644=ADVANCED_FACE('',(#68643),#68630,.T.); +#68651=EDGE_CURVE('',#47589,#47657,#9561,.T.); +#68653=EDGE_CURVE('',#47589,#47590,#13453,.T.); +#68655=EDGE_CURVE('',#47634,#47590,#26997,.T.); +#68657=EDGE_CURVE('',#47582,#47634,#9565,.T.); +#68662=ADVANCED_FACE('',(#68661),#68649,.T.); +#68674=ADVANCED_FACE('',(#68673),#68667,.T.); +#68680=EDGE_CURVE('',#47716,#47736,#9577,.T.); +#68682=EDGE_CURVE('',#50367,#47736,#9581,.T.); +#68684=EDGE_CURVE('',#50367,#46649,#25385,.T.); +#68686=EDGE_CURVE('',#49879,#46649,#27297,.T.); +#68688=EDGE_CURVE('',#50363,#49879,#25193,.T.); +#68690=EDGE_CURVE('',#50363,#47632,#9585,.T.); +#68696=ADVANCED_FACE('',(#68695),#68679,.T.); +#68702=EDGE_CURVE('',#47745,#47746,#9593,.T.); +#68704=EDGE_CURVE('',#47745,#47685,#9597,.T.); +#68706=EDGE_CURVE('',#47685,#47736,#9601,.T.); +#68711=EDGE_CURVE('',#47710,#47746,#9605,.T.); +#68715=ADVANCED_FACE('',(#68714),#68701,.F.); +#68721=EDGE_CURVE('',#47771,#47772,#9609,.T.); +#68723=EDGE_CURVE('',#47771,#47689,#9613,.T.); +#68725=EDGE_CURVE('',#47689,#47738,#9617,.T.); +#68727=EDGE_CURVE('',#47738,#47694,#26977,.T.); +#68729=EDGE_CURVE('',#47693,#47694,#13445,.T.); +#68731=EDGE_CURVE('',#47693,#47772,#9621,.T.); +#68735=ADVANCED_FACE('',(#68734),#68720,.F.); +#68742=EDGE_CURVE('',#47746,#47750,#9629,.T.); +#68744=EDGE_CURVE('',#47749,#47750,#9633,.T.); +#68746=EDGE_CURVE('',#47749,#47745,#25409,.T.); +#68750=ADVANCED_FACE('',(#68749),#68740,.T.); +#68756=EDGE_CURVE('',#47756,#47758,#9625,.T.); +#68758=EDGE_CURVE('',#47756,#47750,#9637,.T.); +#68761=EDGE_CURVE('',#47758,#47746,#9813,.T.); +#68765=ADVANCED_FACE('',(#68764),#68755,.T.); +#68771=EDGE_CURVE('',#47756,#47754,#9641,.T.); +#68774=EDGE_CURVE('',#47758,#47752,#9781,.T.); +#68776=EDGE_CURVE('',#47752,#47754,#25413,.T.); +#68780=ADVANCED_FACE('',(#68779),#68770,.T.); +#68789=EDGE_CURVE('',#47754,#47749,#9645,.T.); +#68793=EDGE_CURVE('',#47721,#47722,#9649,.T.); +#68795=EDGE_CURVE('',#47722,#47724,#9673,.T.); +#68797=EDGE_CURVE('',#47724,#47726,#9665,.T.); +#68799=EDGE_CURVE('',#47726,#47721,#9657,.T.); +#68803=ADVANCED_FACE('',(#68792,#68802),#68785,.T.); +#68810=EDGE_CURVE('',#47721,#47669,#9653,.T.); +#68812=EDGE_CURVE('',#47669,#47670,#9681,.T.); +#68814=EDGE_CURVE('',#47722,#47670,#9677,.T.); +#68818=ADVANCED_FACE('',(#68817),#68808,.T.); +#68825=EDGE_CURVE('',#47726,#47674,#9661,.T.); +#68827=EDGE_CURVE('',#47674,#47669,#9685,.T.); +#68832=ADVANCED_FACE('',(#68831),#68823,.T.); +#68839=EDGE_CURVE('',#47724,#47672,#9669,.T.); +#68841=EDGE_CURVE('',#47672,#47674,#9689,.T.); +#68846=ADVANCED_FACE('',(#68845),#68837,.T.); +#68854=EDGE_CURVE('',#47670,#47672,#9693,.T.); +#68859=ADVANCED_FACE('',(#68858),#68851,.T.); +#68871=ADVANCED_FACE('',(#68870),#68864,.T.); +#68877=EDGE_CURVE('',#47677,#47678,#9697,.T.); +#68879=EDGE_CURVE('',#47682,#47677,#9701,.T.); +#68881=EDGE_CURVE('',#47680,#47682,#9705,.T.); +#68883=EDGE_CURVE('',#47678,#47680,#9709,.T.); +#68887=ADVANCED_FACE('',(#68886),#68876,.T.); +#68893=EDGE_CURVE('',#47729,#47730,#9713,.T.); +#68895=EDGE_CURVE('',#47729,#47677,#9717,.T.); +#68898=EDGE_CURVE('',#47730,#47678,#9741,.T.); +#68902=ADVANCED_FACE('',(#68901),#68892,.T.); +#68908=EDGE_CURVE('',#47761,#47762,#9721,.T.); +#68910=EDGE_CURVE('',#47762,#47774,#9725,.T.); +#68912=EDGE_CURVE('',#47774,#47768,#9729,.T.); +#68914=EDGE_CURVE('',#47761,#47768,#9733,.T.); +#68919=EDGE_CURVE('',#47730,#47732,#9737,.T.); +#68921=EDGE_CURVE('',#47732,#47734,#9745,.T.); +#68923=EDGE_CURVE('',#47734,#47729,#9753,.T.); +#68927=ADVANCED_FACE('',(#68917,#68926),#68907,.T.); +#68936=EDGE_CURVE('',#47732,#47680,#9749,.T.); +#68940=ADVANCED_FACE('',(#68939),#68932,.T.); +#68949=EDGE_CURVE('',#47734,#47682,#9757,.T.); +#68953=ADVANCED_FACE('',(#68952),#68945,.T.); +#68965=ADVANCED_FACE('',(#68964),#68958,.T.); +#68972=EDGE_CURVE('',#47761,#47765,#9765,.T.); +#68974=EDGE_CURVE('',#47765,#47766,#9797,.T.); +#68976=EDGE_CURVE('',#47762,#47766,#9761,.T.); +#68980=ADVANCED_FACE('',(#68979),#68970,.T.); +#68987=EDGE_CURVE('',#47768,#47772,#9769,.T.); +#68989=EDGE_CURVE('',#47765,#47772,#28533,.T.); +#68994=ADVANCED_FACE('',(#68993),#68985,.T.); +#69003=EDGE_CURVE('',#47774,#47771,#9773,.T.); +#69007=ADVANCED_FACE('',(#69006),#68999,.T.); +#69013=EDGE_CURVE('',#47766,#47771,#9777,.T.); +#69020=ADVANCED_FACE('',(#69019),#69012,.T.); +#69027=EDGE_CURVE('',#47766,#47690,#9809,.T.); +#69029=EDGE_CURVE('',#47689,#47690,#26973,.T.); +#69034=ADVANCED_FACE('',(#69033),#69025,.T.); +#69041=EDGE_CURVE('',#47714,#47758,#9785,.T.); +#69045=EDGE_CURVE('',#47718,#47740,#9829,.T.); +#69047=EDGE_CURVE('',#47686,#47740,#9789,.T.); +#69049=EDGE_CURVE('',#47752,#47686,#9793,.T.); +#69053=ADVANCED_FACE('',(#69052),#69039,.T.); +#69060=EDGE_CURVE('',#47697,#47765,#9801,.T.); +#69062=EDGE_CURVE('',#47697,#47698,#13437,.T.); +#69064=EDGE_CURVE('',#47742,#47698,#26969,.T.); +#69066=EDGE_CURVE('',#47690,#47742,#9805,.T.); +#69071=ADVANCED_FACE('',(#69070),#69058,.T.); +#69083=ADVANCED_FACE('',(#69082),#69076,.T.); +#69089=EDGE_CURVE('',#47824,#47844,#9817,.T.); +#69091=EDGE_CURVE('',#50375,#47844,#9821,.T.); +#69093=EDGE_CURVE('',#50375,#46697,#25613,.T.); +#69095=EDGE_CURVE('',#49887,#46697,#27261,.T.); +#69097=EDGE_CURVE('',#50371,#49887,#25421,.T.); +#69099=EDGE_CURVE('',#50371,#47740,#9825,.T.); +#69105=ADVANCED_FACE('',(#69104),#69088,.T.); +#69111=EDGE_CURVE('',#47853,#47854,#9833,.T.); +#69113=EDGE_CURVE('',#47853,#47793,#9837,.T.); +#69115=EDGE_CURVE('',#47793,#47844,#9841,.T.); +#69120=EDGE_CURVE('',#47818,#47854,#9845,.T.); +#69124=ADVANCED_FACE('',(#69123),#69110,.F.); +#69130=EDGE_CURVE('',#47879,#47880,#9849,.T.); +#69132=EDGE_CURVE('',#47879,#47797,#9853,.T.); +#69134=EDGE_CURVE('',#47797,#47846,#9857,.T.); +#69136=EDGE_CURVE('',#47846,#47802,#26949,.T.); +#69138=EDGE_CURVE('',#47801,#47802,#13429,.T.); +#69140=EDGE_CURVE('',#47801,#47880,#9861,.T.); +#69144=ADVANCED_FACE('',(#69143),#69129,.F.); +#69151=EDGE_CURVE('',#47854,#47858,#9869,.T.); +#69153=EDGE_CURVE('',#47857,#47858,#9873,.T.); +#69155=EDGE_CURVE('',#47857,#47853,#25637,.T.); +#69159=ADVANCED_FACE('',(#69158),#69149,.T.); +#69165=EDGE_CURVE('',#47864,#47866,#9865,.T.); +#69167=EDGE_CURVE('',#47864,#47858,#9877,.T.); +#69170=EDGE_CURVE('',#47866,#47854,#10053,.T.); +#69174=ADVANCED_FACE('',(#69173),#69164,.T.); +#69180=EDGE_CURVE('',#47864,#47862,#9881,.T.); +#69183=EDGE_CURVE('',#47866,#47860,#10021,.T.); +#69185=EDGE_CURVE('',#47860,#47862,#25641,.T.); +#69189=ADVANCED_FACE('',(#69188),#69179,.T.); +#69198=EDGE_CURVE('',#47862,#47857,#9885,.T.); +#69202=EDGE_CURVE('',#47829,#47830,#9889,.T.); +#69204=EDGE_CURVE('',#47830,#47832,#9913,.T.); +#69206=EDGE_CURVE('',#47832,#47834,#9905,.T.); +#69208=EDGE_CURVE('',#47834,#47829,#9897,.T.); +#69212=ADVANCED_FACE('',(#69201,#69211),#69194,.T.); +#69219=EDGE_CURVE('',#47829,#47777,#9893,.T.); +#69221=EDGE_CURVE('',#47777,#47778,#9921,.T.); +#69223=EDGE_CURVE('',#47830,#47778,#9917,.T.); +#69227=ADVANCED_FACE('',(#69226),#69217,.T.); +#69234=EDGE_CURVE('',#47834,#47782,#9901,.T.); +#69236=EDGE_CURVE('',#47782,#47777,#9925,.T.); +#69241=ADVANCED_FACE('',(#69240),#69232,.T.); +#69248=EDGE_CURVE('',#47832,#47780,#9909,.T.); +#69250=EDGE_CURVE('',#47780,#47782,#9929,.T.); +#69255=ADVANCED_FACE('',(#69254),#69246,.T.); +#69263=EDGE_CURVE('',#47778,#47780,#9933,.T.); +#69268=ADVANCED_FACE('',(#69267),#69260,.T.); +#69280=ADVANCED_FACE('',(#69279),#69273,.T.); +#69286=EDGE_CURVE('',#47785,#47786,#9937,.T.); +#69288=EDGE_CURVE('',#47790,#47785,#9941,.T.); +#69290=EDGE_CURVE('',#47788,#47790,#9945,.T.); +#69292=EDGE_CURVE('',#47786,#47788,#9949,.T.); +#69296=ADVANCED_FACE('',(#69295),#69285,.T.); +#69302=EDGE_CURVE('',#47837,#47838,#9953,.T.); +#69304=EDGE_CURVE('',#47837,#47785,#9957,.T.); +#69307=EDGE_CURVE('',#47838,#47786,#9981,.T.); +#69311=ADVANCED_FACE('',(#69310),#69301,.T.); +#69317=EDGE_CURVE('',#47869,#47870,#9961,.T.); +#69319=EDGE_CURVE('',#47870,#47882,#9965,.T.); +#69321=EDGE_CURVE('',#47882,#47876,#9969,.T.); +#69323=EDGE_CURVE('',#47869,#47876,#9973,.T.); +#69328=EDGE_CURVE('',#47838,#47840,#9977,.T.); +#69330=EDGE_CURVE('',#47840,#47842,#9985,.T.); +#69332=EDGE_CURVE('',#47842,#47837,#9993,.T.); +#69336=ADVANCED_FACE('',(#69326,#69335),#69316,.T.); +#69345=EDGE_CURVE('',#47840,#47788,#9989,.T.); +#69349=ADVANCED_FACE('',(#69348),#69341,.T.); +#69358=EDGE_CURVE('',#47842,#47790,#9997,.T.); +#69362=ADVANCED_FACE('',(#69361),#69354,.T.); +#69374=ADVANCED_FACE('',(#69373),#69367,.T.); +#69381=EDGE_CURVE('',#47869,#47873,#10005,.T.); +#69383=EDGE_CURVE('',#47873,#47874,#10037,.T.); +#69385=EDGE_CURVE('',#47870,#47874,#10001,.T.); +#69389=ADVANCED_FACE('',(#69388),#69379,.T.); +#69396=EDGE_CURVE('',#47876,#47880,#10009,.T.); +#69398=EDGE_CURVE('',#47873,#47880,#28529,.T.); +#69403=ADVANCED_FACE('',(#69402),#69394,.T.); +#69412=EDGE_CURVE('',#47882,#47879,#10013,.T.); +#69416=ADVANCED_FACE('',(#69415),#69408,.T.); +#69422=EDGE_CURVE('',#47874,#47879,#10017,.T.); +#69429=ADVANCED_FACE('',(#69428),#69421,.T.); +#69436=EDGE_CURVE('',#47874,#47798,#10049,.T.); +#69438=EDGE_CURVE('',#47797,#47798,#26945,.T.); +#69443=ADVANCED_FACE('',(#69442),#69434,.T.); +#69450=EDGE_CURVE('',#47822,#47866,#10025,.T.); +#69454=EDGE_CURVE('',#47826,#47848,#10069,.T.); +#69456=EDGE_CURVE('',#47794,#47848,#10029,.T.); +#69458=EDGE_CURVE('',#47860,#47794,#10033,.T.); +#69462=ADVANCED_FACE('',(#69461),#69448,.T.); +#69469=EDGE_CURVE('',#47805,#47873,#10041,.T.); +#69471=EDGE_CURVE('',#47805,#47806,#13421,.T.); +#69473=EDGE_CURVE('',#47850,#47806,#26941,.T.); +#69475=EDGE_CURVE('',#47798,#47850,#10045,.T.); +#69480=ADVANCED_FACE('',(#69479),#69467,.T.); +#69492=ADVANCED_FACE('',(#69491),#69485,.T.); +#69498=EDGE_CURVE('',#47932,#47952,#10057,.T.); +#69500=EDGE_CURVE('',#50383,#47952,#10061,.T.); +#69502=EDGE_CURVE('',#50383,#46745,#25841,.T.); +#69504=EDGE_CURVE('',#49895,#46745,#27225,.T.); +#69506=EDGE_CURVE('',#50379,#49895,#25649,.T.); +#69508=EDGE_CURVE('',#50379,#47848,#10065,.T.); +#69514=ADVANCED_FACE('',(#69513),#69497,.T.); +#69520=EDGE_CURVE('',#47961,#47962,#10073,.T.); +#69522=EDGE_CURVE('',#47961,#47901,#10077,.T.); +#69524=EDGE_CURVE('',#47901,#47952,#10081,.T.); +#69529=EDGE_CURVE('',#47926,#47962,#10085,.T.); +#69533=ADVANCED_FACE('',(#69532),#69519,.F.); +#69539=EDGE_CURVE('',#47987,#47988,#10089,.T.); +#69541=EDGE_CURVE('',#47987,#47905,#10093,.T.); +#69543=EDGE_CURVE('',#47905,#47954,#10097,.T.); +#69545=EDGE_CURVE('',#47954,#47910,#26921,.T.); +#69547=EDGE_CURVE('',#47909,#47910,#13413,.T.); +#69549=EDGE_CURVE('',#47909,#47988,#10101,.T.); +#69553=ADVANCED_FACE('',(#69552),#69538,.F.); +#69560=EDGE_CURVE('',#47962,#47966,#10109,.T.); +#69562=EDGE_CURVE('',#47965,#47966,#10113,.T.); +#69564=EDGE_CURVE('',#47965,#47961,#25865,.T.); +#69568=ADVANCED_FACE('',(#69567),#69558,.T.); +#69574=EDGE_CURVE('',#47972,#47974,#10105,.T.); +#69576=EDGE_CURVE('',#47972,#47966,#10117,.T.); +#69579=EDGE_CURVE('',#47974,#47962,#10293,.T.); +#69583=ADVANCED_FACE('',(#69582),#69573,.T.); +#69589=EDGE_CURVE('',#47972,#47970,#10121,.T.); +#69592=EDGE_CURVE('',#47974,#47968,#10261,.T.); +#69594=EDGE_CURVE('',#47968,#47970,#25869,.T.); +#69598=ADVANCED_FACE('',(#69597),#69588,.T.); +#69607=EDGE_CURVE('',#47970,#47965,#10125,.T.); +#69611=EDGE_CURVE('',#47937,#47938,#10129,.T.); +#69613=EDGE_CURVE('',#47938,#47940,#10153,.T.); +#69615=EDGE_CURVE('',#47940,#47942,#10145,.T.); +#69617=EDGE_CURVE('',#47942,#47937,#10137,.T.); +#69621=ADVANCED_FACE('',(#69610,#69620),#69603,.T.); +#69628=EDGE_CURVE('',#47937,#47885,#10133,.T.); +#69630=EDGE_CURVE('',#47885,#47886,#10161,.T.); +#69632=EDGE_CURVE('',#47938,#47886,#10157,.T.); +#69636=ADVANCED_FACE('',(#69635),#69626,.T.); +#69643=EDGE_CURVE('',#47942,#47890,#10141,.T.); +#69645=EDGE_CURVE('',#47890,#47885,#10165,.T.); +#69650=ADVANCED_FACE('',(#69649),#69641,.T.); +#69657=EDGE_CURVE('',#47940,#47888,#10149,.T.); +#69659=EDGE_CURVE('',#47888,#47890,#10169,.T.); +#69664=ADVANCED_FACE('',(#69663),#69655,.T.); +#69672=EDGE_CURVE('',#47886,#47888,#10173,.T.); +#69677=ADVANCED_FACE('',(#69676),#69669,.T.); +#69689=ADVANCED_FACE('',(#69688),#69682,.T.); +#69695=EDGE_CURVE('',#47893,#47894,#10177,.T.); +#69697=EDGE_CURVE('',#47898,#47893,#10181,.T.); +#69699=EDGE_CURVE('',#47896,#47898,#10185,.T.); +#69701=EDGE_CURVE('',#47894,#47896,#10189,.T.); +#69705=ADVANCED_FACE('',(#69704),#69694,.T.); +#69711=EDGE_CURVE('',#47945,#47946,#10193,.T.); +#69713=EDGE_CURVE('',#47945,#47893,#10197,.T.); +#69716=EDGE_CURVE('',#47946,#47894,#10221,.T.); +#69720=ADVANCED_FACE('',(#69719),#69710,.T.); +#69726=EDGE_CURVE('',#47977,#47978,#10201,.T.); +#69728=EDGE_CURVE('',#47978,#47990,#10205,.T.); +#69730=EDGE_CURVE('',#47990,#47984,#10209,.T.); +#69732=EDGE_CURVE('',#47977,#47984,#10213,.T.); +#69737=EDGE_CURVE('',#47946,#47948,#10217,.T.); +#69739=EDGE_CURVE('',#47948,#47950,#10225,.T.); +#69741=EDGE_CURVE('',#47950,#47945,#10233,.T.); +#69745=ADVANCED_FACE('',(#69735,#69744),#69725,.T.); +#69754=EDGE_CURVE('',#47948,#47896,#10229,.T.); +#69758=ADVANCED_FACE('',(#69757),#69750,.T.); +#69767=EDGE_CURVE('',#47950,#47898,#10237,.T.); +#69771=ADVANCED_FACE('',(#69770),#69763,.T.); +#69783=ADVANCED_FACE('',(#69782),#69776,.T.); +#69790=EDGE_CURVE('',#47977,#47981,#10245,.T.); +#69792=EDGE_CURVE('',#47981,#47982,#10277,.T.); +#69794=EDGE_CURVE('',#47978,#47982,#10241,.T.); +#69798=ADVANCED_FACE('',(#69797),#69788,.T.); +#69805=EDGE_CURVE('',#47984,#47988,#10249,.T.); +#69807=EDGE_CURVE('',#47981,#47988,#28525,.T.); +#69812=ADVANCED_FACE('',(#69811),#69803,.T.); +#69821=EDGE_CURVE('',#47990,#47987,#10253,.T.); +#69825=ADVANCED_FACE('',(#69824),#69817,.T.); +#69831=EDGE_CURVE('',#47982,#47987,#10257,.T.); +#69838=ADVANCED_FACE('',(#69837),#69830,.T.); +#69845=EDGE_CURVE('',#47982,#47906,#10289,.T.); +#69847=EDGE_CURVE('',#47905,#47906,#26917,.T.); +#69852=ADVANCED_FACE('',(#69851),#69843,.T.); +#69859=EDGE_CURVE('',#47930,#47974,#10265,.T.); +#69863=EDGE_CURVE('',#47934,#47956,#10309,.T.); +#69865=EDGE_CURVE('',#47902,#47956,#10269,.T.); +#69867=EDGE_CURVE('',#47968,#47902,#10273,.T.); +#69871=ADVANCED_FACE('',(#69870),#69857,.T.); +#69878=EDGE_CURVE('',#47913,#47981,#10281,.T.); +#69880=EDGE_CURVE('',#47913,#47914,#13405,.T.); +#69882=EDGE_CURVE('',#47958,#47914,#26913,.T.); +#69884=EDGE_CURVE('',#47906,#47958,#10285,.T.); +#69889=ADVANCED_FACE('',(#69888),#69876,.T.); +#69901=ADVANCED_FACE('',(#69900),#69894,.T.); +#69907=EDGE_CURVE('',#48040,#48060,#10297,.T.); +#69909=EDGE_CURVE('',#50391,#48060,#10301,.T.); +#69911=EDGE_CURVE('',#50391,#46793,#26069,.T.); +#69913=EDGE_CURVE('',#49903,#46793,#27189,.T.); +#69915=EDGE_CURVE('',#50387,#49903,#25877,.T.); +#69917=EDGE_CURVE('',#50387,#47956,#10305,.T.); +#69923=ADVANCED_FACE('',(#69922),#69906,.T.); +#69929=EDGE_CURVE('',#48069,#48070,#10313,.T.); +#69931=EDGE_CURVE('',#48069,#48009,#10317,.T.); +#69933=EDGE_CURVE('',#48009,#48060,#10321,.T.); +#69938=EDGE_CURVE('',#48034,#48070,#10325,.T.); +#69942=ADVANCED_FACE('',(#69941),#69928,.F.); +#69948=EDGE_CURVE('',#48095,#48096,#10329,.T.); +#69950=EDGE_CURVE('',#48095,#48013,#10333,.T.); +#69952=EDGE_CURVE('',#48013,#48062,#10337,.T.); +#69954=EDGE_CURVE('',#48062,#48018,#26893,.T.); +#69956=EDGE_CURVE('',#48017,#48018,#13397,.T.); +#69958=EDGE_CURVE('',#48017,#48096,#10341,.T.); +#69962=ADVANCED_FACE('',(#69961),#69947,.F.); +#69969=EDGE_CURVE('',#48070,#48074,#10349,.T.); +#69971=EDGE_CURVE('',#48073,#48074,#10353,.T.); +#69973=EDGE_CURVE('',#48073,#48069,#26093,.T.); +#69977=ADVANCED_FACE('',(#69976),#69967,.T.); +#69983=EDGE_CURVE('',#48080,#48082,#10345,.T.); +#69985=EDGE_CURVE('',#48080,#48074,#10357,.T.); +#69988=EDGE_CURVE('',#48082,#48070,#10533,.T.); +#69992=ADVANCED_FACE('',(#69991),#69982,.T.); +#69998=EDGE_CURVE('',#48080,#48078,#10361,.T.); +#70001=EDGE_CURVE('',#48082,#48076,#10501,.T.); +#70003=EDGE_CURVE('',#48076,#48078,#26097,.T.); +#70007=ADVANCED_FACE('',(#70006),#69997,.T.); +#70016=EDGE_CURVE('',#48078,#48073,#10365,.T.); +#70020=EDGE_CURVE('',#48045,#48046,#10369,.T.); +#70022=EDGE_CURVE('',#48046,#48048,#10393,.T.); +#70024=EDGE_CURVE('',#48048,#48050,#10385,.T.); +#70026=EDGE_CURVE('',#48050,#48045,#10377,.T.); +#70030=ADVANCED_FACE('',(#70019,#70029),#70012,.T.); +#70037=EDGE_CURVE('',#48045,#47993,#10373,.T.); +#70039=EDGE_CURVE('',#47993,#47994,#10401,.T.); +#70041=EDGE_CURVE('',#48046,#47994,#10397,.T.); +#70045=ADVANCED_FACE('',(#70044),#70035,.T.); +#70052=EDGE_CURVE('',#48050,#47998,#10381,.T.); +#70054=EDGE_CURVE('',#47998,#47993,#10405,.T.); +#70059=ADVANCED_FACE('',(#70058),#70050,.T.); +#70066=EDGE_CURVE('',#48048,#47996,#10389,.T.); +#70068=EDGE_CURVE('',#47996,#47998,#10409,.T.); +#70073=ADVANCED_FACE('',(#70072),#70064,.T.); +#70081=EDGE_CURVE('',#47994,#47996,#10413,.T.); +#70086=ADVANCED_FACE('',(#70085),#70078,.T.); +#70098=ADVANCED_FACE('',(#70097),#70091,.T.); +#70104=EDGE_CURVE('',#48001,#48002,#10417,.T.); +#70106=EDGE_CURVE('',#48006,#48001,#10421,.T.); +#70108=EDGE_CURVE('',#48004,#48006,#10425,.T.); +#70110=EDGE_CURVE('',#48002,#48004,#10429,.T.); +#70114=ADVANCED_FACE('',(#70113),#70103,.T.); +#70120=EDGE_CURVE('',#48053,#48054,#10433,.T.); +#70122=EDGE_CURVE('',#48053,#48001,#10437,.T.); +#70125=EDGE_CURVE('',#48054,#48002,#10461,.T.); +#70129=ADVANCED_FACE('',(#70128),#70119,.T.); +#70135=EDGE_CURVE('',#48085,#48086,#10441,.T.); +#70137=EDGE_CURVE('',#48086,#48098,#10445,.T.); +#70139=EDGE_CURVE('',#48098,#48092,#10449,.T.); +#70141=EDGE_CURVE('',#48085,#48092,#10453,.T.); +#70146=EDGE_CURVE('',#48054,#48056,#10457,.T.); +#70148=EDGE_CURVE('',#48056,#48058,#10465,.T.); +#70150=EDGE_CURVE('',#48058,#48053,#10473,.T.); +#70154=ADVANCED_FACE('',(#70144,#70153),#70134,.T.); +#70163=EDGE_CURVE('',#48056,#48004,#10469,.T.); +#70167=ADVANCED_FACE('',(#70166),#70159,.T.); +#70176=EDGE_CURVE('',#48058,#48006,#10477,.T.); +#70180=ADVANCED_FACE('',(#70179),#70172,.T.); +#70192=ADVANCED_FACE('',(#70191),#70185,.T.); +#70199=EDGE_CURVE('',#48085,#48089,#10485,.T.); +#70201=EDGE_CURVE('',#48089,#48090,#10517,.T.); +#70203=EDGE_CURVE('',#48086,#48090,#10481,.T.); +#70207=ADVANCED_FACE('',(#70206),#70197,.T.); +#70214=EDGE_CURVE('',#48092,#48096,#10489,.T.); +#70216=EDGE_CURVE('',#48089,#48096,#28521,.T.); +#70221=ADVANCED_FACE('',(#70220),#70212,.T.); +#70230=EDGE_CURVE('',#48098,#48095,#10493,.T.); +#70234=ADVANCED_FACE('',(#70233),#70226,.T.); +#70240=EDGE_CURVE('',#48090,#48095,#10497,.T.); +#70247=ADVANCED_FACE('',(#70246),#70239,.T.); +#70254=EDGE_CURVE('',#48090,#48014,#10529,.T.); +#70256=EDGE_CURVE('',#48013,#48014,#26889,.T.); +#70261=ADVANCED_FACE('',(#70260),#70252,.T.); +#70268=EDGE_CURVE('',#48038,#48082,#10505,.T.); +#70272=EDGE_CURVE('',#48042,#48064,#10549,.T.); +#70274=EDGE_CURVE('',#48010,#48064,#10509,.T.); +#70276=EDGE_CURVE('',#48076,#48010,#10513,.T.); +#70280=ADVANCED_FACE('',(#70279),#70266,.T.); +#70287=EDGE_CURVE('',#48021,#48089,#10521,.T.); +#70289=EDGE_CURVE('',#48021,#48022,#13389,.T.); +#70291=EDGE_CURVE('',#48066,#48022,#26885,.T.); +#70293=EDGE_CURVE('',#48014,#48066,#10525,.T.); +#70298=ADVANCED_FACE('',(#70297),#70285,.T.); +#70310=ADVANCED_FACE('',(#70309),#70303,.T.); +#70316=EDGE_CURVE('',#48148,#48168,#10537,.T.); +#70318=EDGE_CURVE('',#50399,#48168,#10541,.T.); +#70320=EDGE_CURVE('',#50399,#46841,#26297,.T.); +#70322=EDGE_CURVE('',#49911,#46841,#27153,.T.); +#70324=EDGE_CURVE('',#50395,#49911,#26105,.T.); +#70326=EDGE_CURVE('',#50395,#48064,#10545,.T.); +#70332=ADVANCED_FACE('',(#70331),#70315,.T.); +#70338=EDGE_CURVE('',#48177,#48178,#10553,.T.); +#70340=EDGE_CURVE('',#48177,#48117,#10557,.T.); +#70342=EDGE_CURVE('',#48117,#48168,#10561,.T.); +#70347=EDGE_CURVE('',#48142,#48178,#10565,.T.); +#70351=ADVANCED_FACE('',(#70350),#70337,.F.); +#70357=EDGE_CURVE('',#48203,#48204,#10569,.T.); +#70359=EDGE_CURVE('',#48203,#48121,#10573,.T.); +#70361=EDGE_CURVE('',#48121,#48170,#10577,.T.); +#70363=EDGE_CURVE('',#48170,#48126,#26865,.T.); +#70365=EDGE_CURVE('',#48125,#48126,#13381,.T.); +#70367=EDGE_CURVE('',#48125,#48204,#10581,.T.); +#70371=ADVANCED_FACE('',(#70370),#70356,.F.); +#70378=EDGE_CURVE('',#48178,#48182,#10589,.T.); +#70380=EDGE_CURVE('',#48181,#48182,#10593,.T.); +#70382=EDGE_CURVE('',#48181,#48177,#26321,.T.); +#70386=ADVANCED_FACE('',(#70385),#70376,.T.); +#70392=EDGE_CURVE('',#48188,#48190,#10585,.T.); +#70394=EDGE_CURVE('',#48188,#48182,#10597,.T.); +#70397=EDGE_CURVE('',#48190,#48178,#10773,.T.); +#70401=ADVANCED_FACE('',(#70400),#70391,.T.); +#70407=EDGE_CURVE('',#48188,#48186,#10601,.T.); +#70410=EDGE_CURVE('',#48190,#48184,#10741,.T.); +#70412=EDGE_CURVE('',#48184,#48186,#26325,.T.); +#70416=ADVANCED_FACE('',(#70415),#70406,.T.); +#70425=EDGE_CURVE('',#48186,#48181,#10605,.T.); +#70429=EDGE_CURVE('',#48153,#48154,#10609,.T.); +#70431=EDGE_CURVE('',#48154,#48156,#10633,.T.); +#70433=EDGE_CURVE('',#48156,#48158,#10625,.T.); +#70435=EDGE_CURVE('',#48158,#48153,#10617,.T.); +#70439=ADVANCED_FACE('',(#70428,#70438),#70421,.T.); +#70446=EDGE_CURVE('',#48153,#48101,#10613,.T.); +#70448=EDGE_CURVE('',#48101,#48102,#10641,.T.); +#70450=EDGE_CURVE('',#48154,#48102,#10637,.T.); +#70454=ADVANCED_FACE('',(#70453),#70444,.T.); +#70461=EDGE_CURVE('',#48158,#48106,#10621,.T.); +#70463=EDGE_CURVE('',#48106,#48101,#10645,.T.); +#70468=ADVANCED_FACE('',(#70467),#70459,.T.); +#70475=EDGE_CURVE('',#48156,#48104,#10629,.T.); +#70477=EDGE_CURVE('',#48104,#48106,#10649,.T.); +#70482=ADVANCED_FACE('',(#70481),#70473,.T.); +#70490=EDGE_CURVE('',#48102,#48104,#10653,.T.); +#70495=ADVANCED_FACE('',(#70494),#70487,.T.); +#70507=ADVANCED_FACE('',(#70506),#70500,.T.); +#70513=EDGE_CURVE('',#48109,#48110,#10657,.T.); +#70515=EDGE_CURVE('',#48114,#48109,#10661,.T.); +#70517=EDGE_CURVE('',#48112,#48114,#10665,.T.); +#70519=EDGE_CURVE('',#48110,#48112,#10669,.T.); +#70523=ADVANCED_FACE('',(#70522),#70512,.T.); +#70529=EDGE_CURVE('',#48161,#48162,#10673,.T.); +#70531=EDGE_CURVE('',#48161,#48109,#10677,.T.); +#70534=EDGE_CURVE('',#48162,#48110,#10701,.T.); +#70538=ADVANCED_FACE('',(#70537),#70528,.T.); +#70544=EDGE_CURVE('',#48193,#48194,#10681,.T.); +#70546=EDGE_CURVE('',#48194,#48206,#10685,.T.); +#70548=EDGE_CURVE('',#48206,#48200,#10689,.T.); +#70550=EDGE_CURVE('',#48193,#48200,#10693,.T.); +#70555=EDGE_CURVE('',#48162,#48164,#10697,.T.); +#70557=EDGE_CURVE('',#48164,#48166,#10705,.T.); +#70559=EDGE_CURVE('',#48166,#48161,#10713,.T.); +#70563=ADVANCED_FACE('',(#70553,#70562),#70543,.T.); +#70572=EDGE_CURVE('',#48164,#48112,#10709,.T.); +#70576=ADVANCED_FACE('',(#70575),#70568,.T.); +#70585=EDGE_CURVE('',#48166,#48114,#10717,.T.); +#70589=ADVANCED_FACE('',(#70588),#70581,.T.); +#70601=ADVANCED_FACE('',(#70600),#70594,.T.); +#70608=EDGE_CURVE('',#48193,#48197,#10725,.T.); +#70610=EDGE_CURVE('',#48197,#48198,#10757,.T.); +#70612=EDGE_CURVE('',#48194,#48198,#10721,.T.); +#70616=ADVANCED_FACE('',(#70615),#70606,.T.); +#70623=EDGE_CURVE('',#48200,#48204,#10729,.T.); +#70625=EDGE_CURVE('',#48197,#48204,#28517,.T.); +#70630=ADVANCED_FACE('',(#70629),#70621,.T.); +#70639=EDGE_CURVE('',#48206,#48203,#10733,.T.); +#70643=ADVANCED_FACE('',(#70642),#70635,.T.); +#70649=EDGE_CURVE('',#48198,#48203,#10737,.T.); +#70656=ADVANCED_FACE('',(#70655),#70648,.T.); +#70663=EDGE_CURVE('',#48198,#48122,#10769,.T.); +#70665=EDGE_CURVE('',#48121,#48122,#26861,.T.); +#70670=ADVANCED_FACE('',(#70669),#70661,.T.); +#70677=EDGE_CURVE('',#48146,#48190,#10745,.T.); +#70681=EDGE_CURVE('',#48150,#48172,#10789,.T.); +#70683=EDGE_CURVE('',#48118,#48172,#10749,.T.); +#70685=EDGE_CURVE('',#48184,#48118,#10753,.T.); +#70689=ADVANCED_FACE('',(#70688),#70675,.T.); +#70696=EDGE_CURVE('',#48129,#48197,#10761,.T.); +#70698=EDGE_CURVE('',#48129,#48130,#13373,.T.); +#70700=EDGE_CURVE('',#48174,#48130,#26857,.T.); +#70702=EDGE_CURVE('',#48122,#48174,#10765,.T.); +#70707=ADVANCED_FACE('',(#70706),#70694,.T.); +#70719=ADVANCED_FACE('',(#70718),#70712,.T.); +#70725=EDGE_CURVE('',#48256,#48276,#10777,.T.); +#70727=EDGE_CURVE('',#50407,#48276,#10781,.T.); +#70729=EDGE_CURVE('',#50407,#46889,#26525,.T.); +#70731=EDGE_CURVE('',#49919,#46889,#27117,.T.); +#70733=EDGE_CURVE('',#50403,#49919,#26333,.T.); +#70735=EDGE_CURVE('',#50403,#48172,#10785,.T.); +#70741=ADVANCED_FACE('',(#70740),#70724,.T.); +#70747=EDGE_CURVE('',#48285,#48286,#10793,.T.); +#70749=EDGE_CURVE('',#48285,#48225,#10797,.T.); +#70751=EDGE_CURVE('',#48225,#48276,#10801,.T.); +#70756=EDGE_CURVE('',#48250,#48286,#10805,.T.); +#70760=ADVANCED_FACE('',(#70759),#70746,.F.); +#70766=EDGE_CURVE('',#48311,#48312,#10809,.T.); +#70768=EDGE_CURVE('',#48311,#48229,#10813,.T.); +#70770=EDGE_CURVE('',#48229,#48278,#10817,.T.); +#70772=EDGE_CURVE('',#48278,#48234,#26837,.T.); +#70774=EDGE_CURVE('',#48233,#48234,#13365,.T.); +#70776=EDGE_CURVE('',#48233,#48312,#10821,.T.); +#70780=ADVANCED_FACE('',(#70779),#70765,.F.); +#70787=EDGE_CURVE('',#48286,#48290,#10829,.T.); +#70789=EDGE_CURVE('',#48289,#48290,#10833,.T.); +#70791=EDGE_CURVE('',#48289,#48285,#26549,.T.); +#70795=ADVANCED_FACE('',(#70794),#70785,.T.); +#70801=EDGE_CURVE('',#48296,#48298,#10825,.T.); +#70803=EDGE_CURVE('',#48296,#48290,#10837,.T.); +#70806=EDGE_CURVE('',#48298,#48286,#11013,.T.); +#70810=ADVANCED_FACE('',(#70809),#70800,.T.); +#70816=EDGE_CURVE('',#48296,#48294,#10841,.T.); +#70819=EDGE_CURVE('',#48298,#48292,#10981,.T.); +#70821=EDGE_CURVE('',#48292,#48294,#26553,.T.); +#70825=ADVANCED_FACE('',(#70824),#70815,.T.); +#70834=EDGE_CURVE('',#48294,#48289,#10845,.T.); +#70838=EDGE_CURVE('',#48261,#48262,#10849,.T.); +#70840=EDGE_CURVE('',#48262,#48264,#10873,.T.); +#70842=EDGE_CURVE('',#48264,#48266,#10865,.T.); +#70844=EDGE_CURVE('',#48266,#48261,#10857,.T.); +#70848=ADVANCED_FACE('',(#70837,#70847),#70830,.T.); +#70855=EDGE_CURVE('',#48261,#48209,#10853,.T.); +#70857=EDGE_CURVE('',#48209,#48210,#10881,.T.); +#70859=EDGE_CURVE('',#48262,#48210,#10877,.T.); +#70863=ADVANCED_FACE('',(#70862),#70853,.T.); +#70870=EDGE_CURVE('',#48266,#48214,#10861,.T.); +#70872=EDGE_CURVE('',#48214,#48209,#10885,.T.); +#70877=ADVANCED_FACE('',(#70876),#70868,.T.); +#70884=EDGE_CURVE('',#48264,#48212,#10869,.T.); +#70886=EDGE_CURVE('',#48212,#48214,#10889,.T.); +#70891=ADVANCED_FACE('',(#70890),#70882,.T.); +#70899=EDGE_CURVE('',#48210,#48212,#10893,.T.); +#70904=ADVANCED_FACE('',(#70903),#70896,.T.); +#70916=ADVANCED_FACE('',(#70915),#70909,.T.); +#70922=EDGE_CURVE('',#48217,#48218,#10897,.T.); +#70924=EDGE_CURVE('',#48222,#48217,#10901,.T.); +#70926=EDGE_CURVE('',#48220,#48222,#10905,.T.); +#70928=EDGE_CURVE('',#48218,#48220,#10909,.T.); +#70932=ADVANCED_FACE('',(#70931),#70921,.T.); +#70938=EDGE_CURVE('',#48269,#48270,#10913,.T.); +#70940=EDGE_CURVE('',#48269,#48217,#10917,.T.); +#70943=EDGE_CURVE('',#48270,#48218,#10941,.T.); +#70947=ADVANCED_FACE('',(#70946),#70937,.T.); +#70953=EDGE_CURVE('',#48301,#48302,#10921,.T.); +#70955=EDGE_CURVE('',#48302,#48314,#10925,.T.); +#70957=EDGE_CURVE('',#48314,#48308,#10929,.T.); +#70959=EDGE_CURVE('',#48301,#48308,#10933,.T.); +#70964=EDGE_CURVE('',#48270,#48272,#10937,.T.); +#70966=EDGE_CURVE('',#48272,#48274,#10945,.T.); +#70968=EDGE_CURVE('',#48274,#48269,#10953,.T.); +#70972=ADVANCED_FACE('',(#70962,#70971),#70952,.T.); +#70981=EDGE_CURVE('',#48272,#48220,#10949,.T.); +#70985=ADVANCED_FACE('',(#70984),#70977,.T.); +#70994=EDGE_CURVE('',#48274,#48222,#10957,.T.); +#70998=ADVANCED_FACE('',(#70997),#70990,.T.); +#71010=ADVANCED_FACE('',(#71009),#71003,.T.); +#71017=EDGE_CURVE('',#48301,#48305,#10965,.T.); +#71019=EDGE_CURVE('',#48305,#48306,#10997,.T.); +#71021=EDGE_CURVE('',#48302,#48306,#10961,.T.); +#71025=ADVANCED_FACE('',(#71024),#71015,.T.); +#71032=EDGE_CURVE('',#48308,#48312,#10969,.T.); +#71034=EDGE_CURVE('',#48305,#48312,#28513,.T.); +#71039=ADVANCED_FACE('',(#71038),#71030,.T.); +#71048=EDGE_CURVE('',#48314,#48311,#10973,.T.); +#71052=ADVANCED_FACE('',(#71051),#71044,.T.); +#71058=EDGE_CURVE('',#48306,#48311,#10977,.T.); +#71065=ADVANCED_FACE('',(#71064),#71057,.T.); +#71072=EDGE_CURVE('',#48306,#48230,#11009,.T.); +#71074=EDGE_CURVE('',#48229,#48230,#26833,.T.); +#71079=ADVANCED_FACE('',(#71078),#71070,.T.); +#71086=EDGE_CURVE('',#48254,#48298,#10985,.T.); +#71090=EDGE_CURVE('',#48258,#48280,#11029,.T.); +#71092=EDGE_CURVE('',#48226,#48280,#10989,.T.); +#71094=EDGE_CURVE('',#48292,#48226,#10993,.T.); +#71098=ADVANCED_FACE('',(#71097),#71084,.T.); +#71105=EDGE_CURVE('',#48237,#48305,#11001,.T.); +#71107=EDGE_CURVE('',#48237,#48238,#13357,.T.); +#71109=EDGE_CURVE('',#48282,#48238,#26829,.T.); +#71111=EDGE_CURVE('',#48230,#48282,#11005,.T.); +#71116=ADVANCED_FACE('',(#71115),#71103,.T.); +#71128=ADVANCED_FACE('',(#71127),#71121,.T.); +#71135=EDGE_CURVE('',#50415,#48380,#11021,.T.); +#71137=EDGE_CURVE('',#50415,#46937,#26753,.T.); +#71139=EDGE_CURVE('',#49927,#46937,#26801,.T.); +#71141=EDGE_CURVE('',#50411,#49927,#26561,.T.); +#71143=EDGE_CURVE('',#50411,#48280,#11025,.T.); +#71149=ADVANCED_FACE('',(#71148),#71133,.T.); +#71155=EDGE_CURVE('',#48349,#48350,#13759,.T.); +#71157=EDGE_CURVE('',#50415,#48349,#26741,.T.); +#71161=EDGE_CURVE('',#48333,#48334,#11033,.T.); +#71166=EDGE_CURVE('',#57329,#57330,#11037,.T.); +#71168=EDGE_CURVE('',#57330,#57332,#11041,.T.); +#71170=EDGE_CURVE('',#57332,#57334,#11045,.T.); +#71172=EDGE_CURVE('',#57334,#57336,#11049,.T.); +#71174=EDGE_CURVE('',#57336,#57338,#11053,.T.); +#71176=EDGE_CURVE('',#57338,#57340,#11057,.T.); +#71178=EDGE_CURVE('',#57340,#57342,#11061,.T.); +#71180=EDGE_CURVE('',#57342,#57344,#11065,.T.); +#71182=EDGE_CURVE('',#57344,#57346,#11069,.T.); +#71184=EDGE_CURVE('',#57346,#57348,#11073,.T.); +#71186=EDGE_CURVE('',#57348,#57350,#11077,.T.); +#71188=EDGE_CURVE('',#57350,#57352,#11081,.T.); +#71190=EDGE_CURVE('',#57352,#57354,#11085,.T.); +#71192=EDGE_CURVE('',#57354,#57356,#11089,.T.); +#71194=EDGE_CURVE('',#57356,#57358,#11093,.T.); +#71196=EDGE_CURVE('',#57358,#57360,#11097,.T.); +#71198=EDGE_CURVE('',#57360,#57362,#11101,.T.); +#71200=EDGE_CURVE('',#57362,#57364,#11105,.T.); +#71202=EDGE_CURVE('',#57364,#57366,#11109,.T.); +#71204=EDGE_CURVE('',#57366,#57368,#11113,.T.); +#71206=EDGE_CURVE('',#57368,#57370,#11117,.T.); +#71208=EDGE_CURVE('',#57370,#57372,#11121,.T.); +#71210=EDGE_CURVE('',#57372,#57374,#11125,.T.); +#71212=EDGE_CURVE('',#57374,#57376,#11129,.T.); +#71214=EDGE_CURVE('',#57376,#57378,#11133,.T.); +#71216=EDGE_CURVE('',#57378,#57380,#11137,.T.); +#71218=EDGE_CURVE('',#57380,#57382,#11141,.T.); +#71220=EDGE_CURVE('',#57382,#57384,#11145,.T.); +#71222=EDGE_CURVE('',#57384,#57386,#11149,.T.); +#71224=EDGE_CURVE('',#57386,#57388,#11153,.T.); +#71226=EDGE_CURVE('',#57388,#57390,#11157,.T.); +#71228=EDGE_CURVE('',#57390,#57392,#11161,.T.); +#71230=EDGE_CURVE('',#57392,#57394,#11165,.T.); +#71232=EDGE_CURVE('',#57394,#57396,#11169,.T.); +#71234=EDGE_CURVE('',#57396,#57398,#11173,.T.); +#71236=EDGE_CURVE('',#57398,#57400,#11177,.T.); +#71238=EDGE_CURVE('',#57400,#57402,#11181,.T.); +#71240=EDGE_CURVE('',#57402,#57404,#11185,.T.); +#71242=EDGE_CURVE('',#57404,#57406,#11189,.T.); +#71244=EDGE_CURVE('',#57406,#57329,#11193,.T.); +#71248=EDGE_CURVE('',#57409,#57410,#11197,.T.); +#71250=EDGE_CURVE('',#57410,#57412,#11201,.T.); +#71252=EDGE_CURVE('',#57412,#57414,#11205,.T.); +#71254=EDGE_CURVE('',#57414,#57416,#11209,.T.); +#71256=EDGE_CURVE('',#57416,#57418,#11213,.T.); +#71258=EDGE_CURVE('',#57418,#57420,#11217,.T.); +#71260=EDGE_CURVE('',#57420,#57422,#11221,.T.); +#71262=EDGE_CURVE('',#57422,#57424,#11225,.T.); +#71264=EDGE_CURVE('',#57424,#57426,#11229,.T.); +#71266=EDGE_CURVE('',#57426,#57428,#11233,.T.); +#71268=EDGE_CURVE('',#57428,#57430,#11237,.T.); +#71270=EDGE_CURVE('',#57430,#57432,#11241,.T.); +#71272=EDGE_CURVE('',#57432,#57434,#11245,.T.); +#71274=EDGE_CURVE('',#57434,#57436,#11249,.T.); +#71276=EDGE_CURVE('',#57436,#57438,#11253,.T.); +#71278=EDGE_CURVE('',#57438,#57440,#11257,.T.); +#71280=EDGE_CURVE('',#57440,#57442,#11261,.T.); +#71282=EDGE_CURVE('',#57442,#57444,#11265,.T.); +#71284=EDGE_CURVE('',#57444,#57446,#11269,.T.); +#71286=EDGE_CURVE('',#57446,#57448,#11273,.T.); +#71288=EDGE_CURVE('',#57448,#57450,#11277,.T.); +#71290=EDGE_CURVE('',#57450,#57452,#11281,.T.); +#71292=EDGE_CURVE('',#57452,#57454,#11285,.T.); +#71294=EDGE_CURVE('',#57454,#57456,#11289,.T.); +#71296=EDGE_CURVE('',#57456,#57458,#11293,.T.); +#71298=EDGE_CURVE('',#57458,#57460,#11297,.T.); +#71300=EDGE_CURVE('',#57460,#57462,#11301,.T.); +#71302=EDGE_CURVE('',#57462,#57409,#11305,.T.); +#71306=ADVANCED_FACE('',(#71165,#71247,#71305),#71154,.T.); +#71312=EDGE_CURVE('',#56229,#56230,#12077,.T.); +#71314=EDGE_CURVE('',#56230,#56232,#12081,.T.); +#71316=EDGE_CURVE('',#56232,#56229,#12085,.T.); +#71320=ADVANCED_FACE('',(#71319),#71311,.T.); +#71326=EDGE_CURVE('',#56371,#56372,#12361,.T.); +#71328=EDGE_CURVE('',#56372,#56374,#12365,.T.); +#71330=EDGE_CURVE('',#56374,#56376,#12369,.T.); +#71332=EDGE_CURVE('',#56376,#56378,#12373,.T.); +#71334=EDGE_CURVE('',#56378,#56380,#12377,.T.); +#71336=EDGE_CURVE('',#56380,#56382,#12381,.T.); +#71338=EDGE_CURVE('',#56382,#56384,#12385,.T.); +#71340=EDGE_CURVE('',#56384,#56386,#12389,.T.); +#71342=EDGE_CURVE('',#56386,#56388,#12393,.T.); +#71344=EDGE_CURVE('',#56388,#56390,#12397,.T.); +#71346=EDGE_CURVE('',#56390,#56392,#12401,.T.); +#71348=EDGE_CURVE('',#56392,#56394,#12405,.T.); +#71350=EDGE_CURVE('',#56394,#56396,#12409,.T.); +#71352=EDGE_CURVE('',#56396,#56398,#12413,.T.); +#71354=EDGE_CURVE('',#56398,#56400,#12417,.T.); +#71356=EDGE_CURVE('',#56400,#56402,#12421,.T.); +#71358=EDGE_CURVE('',#56402,#56404,#12425,.T.); +#71360=EDGE_CURVE('',#56404,#56406,#12429,.T.); +#71362=EDGE_CURVE('',#56406,#56408,#12433,.T.); +#71364=EDGE_CURVE('',#56408,#56371,#12437,.T.); +#71368=ADVANCED_FACE('',(#71367),#71325,.T.); +#71374=EDGE_CURVE('',#56765,#56766,#13149,.T.); +#71376=EDGE_CURVE('',#56766,#56768,#13153,.T.); +#71378=EDGE_CURVE('',#56768,#56770,#13157,.T.); +#71380=EDGE_CURVE('',#56770,#56772,#13161,.T.); +#71382=EDGE_CURVE('',#56772,#56774,#13165,.T.); +#71384=EDGE_CURVE('',#56774,#56776,#13169,.T.); +#71386=EDGE_CURVE('',#56776,#56778,#13173,.T.); +#71388=EDGE_CURVE('',#56778,#56780,#13177,.T.); +#71390=EDGE_CURVE('',#56780,#56782,#13181,.T.); +#71392=EDGE_CURVE('',#56782,#56784,#13185,.T.); +#71394=EDGE_CURVE('',#56784,#56786,#13189,.T.); +#71396=EDGE_CURVE('',#56786,#56788,#13193,.T.); +#71398=EDGE_CURVE('',#56788,#56790,#13197,.T.); +#71400=EDGE_CURVE('',#56790,#56792,#13201,.T.); +#71402=EDGE_CURVE('',#56792,#56794,#13205,.T.); +#71404=EDGE_CURVE('',#56794,#56796,#13209,.T.); +#71406=EDGE_CURVE('',#56796,#56798,#13213,.T.); +#71408=EDGE_CURVE('',#56798,#56800,#13217,.T.); +#71410=EDGE_CURVE('',#56800,#56802,#13221,.T.); +#71412=EDGE_CURVE('',#56802,#56765,#13225,.T.); +#71416=ADVANCED_FACE('',(#71415),#71373,.T.); +#71423=EDGE_CURVE('',#45957,#46016,#13641,.T.); +#71425=EDGE_CURVE('',#45990,#45957,#13646,.T.); +#71428=EDGE_CURVE('',#45953,#45989,#13655,.T.); +#71430=EDGE_CURVE('',#46010,#45953,#13659,.T.); +#71433=EDGE_CURVE('',#49938,#49930,#24241,.T.); +#71435=EDGE_CURVE('',#49938,#49939,#13667,.T.); +#71437=EDGE_CURVE('',#46277,#49939,#24205,.T.); +#71439=EDGE_CURVE('',#46277,#46278,#13671,.T.); +#71441=EDGE_CURVE('',#49956,#46278,#27429,.T.); +#71443=EDGE_CURVE('',#49956,#49957,#13675,.T.); +#71445=EDGE_CURVE('',#47269,#49957,#24465,.T.); +#71447=EDGE_CURVE('',#47269,#47270,#13679,.T.); +#71449=EDGE_CURVE('',#49972,#47270,#24517,.T.); +#71451=EDGE_CURVE('',#49972,#49973,#13683,.T.); +#71453=EDGE_CURVE('',#47377,#49973,#24693,.T.); +#71455=EDGE_CURVE('',#47377,#47378,#13687,.T.); +#71457=EDGE_CURVE('',#49988,#47378,#24745,.T.); +#71459=EDGE_CURVE('',#49988,#49989,#13691,.T.); +#71461=EDGE_CURVE('',#47485,#49989,#24921,.T.); +#71463=EDGE_CURVE('',#47485,#47486,#13695,.T.); +#71465=EDGE_CURVE('',#50004,#47486,#24973,.T.); +#71467=EDGE_CURVE('',#50004,#50005,#13699,.T.); +#71469=EDGE_CURVE('',#47593,#50005,#25149,.T.); +#71471=EDGE_CURVE('',#47593,#47594,#13703,.T.); +#71473=EDGE_CURVE('',#50020,#47594,#25201,.T.); +#71475=EDGE_CURVE('',#50020,#50021,#13707,.T.); +#71477=EDGE_CURVE('',#47701,#50021,#25377,.T.); +#71479=EDGE_CURVE('',#47701,#47702,#13711,.T.); +#71481=EDGE_CURVE('',#50036,#47702,#25429,.T.); +#71483=EDGE_CURVE('',#50036,#50037,#13715,.T.); +#71485=EDGE_CURVE('',#47809,#50037,#25605,.T.); +#71487=EDGE_CURVE('',#47809,#47810,#13719,.T.); +#71489=EDGE_CURVE('',#50052,#47810,#25657,.T.); +#71491=EDGE_CURVE('',#50052,#50053,#13723,.T.); +#71493=EDGE_CURVE('',#47917,#50053,#25833,.T.); +#71495=EDGE_CURVE('',#47917,#47918,#13727,.T.); +#71497=EDGE_CURVE('',#50068,#47918,#25885,.T.); +#71499=EDGE_CURVE('',#50068,#50069,#13731,.T.); +#71501=EDGE_CURVE('',#48025,#50069,#26061,.T.); +#71503=EDGE_CURVE('',#48025,#48026,#13735,.T.); +#71505=EDGE_CURVE('',#50084,#48026,#26113,.T.); +#71507=EDGE_CURVE('',#50084,#50085,#13739,.T.); +#71509=EDGE_CURVE('',#48133,#50085,#26289,.T.); +#71511=EDGE_CURVE('',#48133,#48134,#13743,.T.); +#71513=EDGE_CURVE('',#50100,#48134,#26341,.T.); +#71515=EDGE_CURVE('',#50100,#50101,#13747,.T.); +#71517=EDGE_CURVE('',#48241,#50101,#26517,.T.); +#71519=EDGE_CURVE('',#48241,#48242,#13751,.T.); +#71521=EDGE_CURVE('',#50116,#48242,#26569,.T.); +#71523=EDGE_CURVE('',#50116,#50117,#13755,.T.); +#71525=EDGE_CURVE('',#48349,#50117,#26745,.T.); +#71530=EDGE_CURVE('',#48638,#48418,#11309,.T.); +#71532=EDGE_CURVE('',#48422,#48418,#13974,.T.); +#71534=EDGE_CURVE('',#48422,#48426,#11313,.T.); +#71536=EDGE_CURVE('',#48430,#48426,#14045,.T.); +#71538=EDGE_CURVE('',#48430,#48626,#11317,.T.); +#71540=EDGE_CURVE('',#48630,#48626,#14028,.T.); +#71542=EDGE_CURVE('',#48630,#48634,#11321,.T.); +#71544=EDGE_CURVE('',#48638,#48634,#14011,.T.); +#71548=EDGE_CURVE('',#48446,#48434,#11325,.T.); +#71550=EDGE_CURVE('',#48438,#48434,#14518,.T.); +#71552=EDGE_CURVE('',#48438,#48458,#11329,.T.); +#71554=EDGE_CURVE('',#48462,#48458,#14569,.T.); +#71556=EDGE_CURVE('',#48462,#48450,#11333,.T.); +#71558=EDGE_CURVE('',#48454,#48450,#14552,.T.); +#71560=EDGE_CURVE('',#48454,#48442,#11337,.T.); +#71562=EDGE_CURVE('',#48446,#48442,#14535,.T.); +#71566=EDGE_CURVE('',#48470,#48490,#11341,.T.); +#71568=EDGE_CURVE('',#48494,#48490,#14419,.T.); +#71570=EDGE_CURVE('',#48494,#48482,#11345,.T.); +#71572=EDGE_CURVE('',#48486,#48482,#14402,.T.); +#71574=EDGE_CURVE('',#48486,#48474,#11349,.T.); +#71576=EDGE_CURVE('',#48478,#48474,#14453,.T.); +#71578=EDGE_CURVE('',#48478,#48466,#11353,.T.); +#71580=EDGE_CURVE('',#48470,#48466,#14436,.T.); +#71584=EDGE_CURVE('',#48502,#48522,#11357,.T.); +#71586=EDGE_CURVE('',#48526,#48522,#14287,.T.); +#71588=EDGE_CURVE('',#48526,#48514,#11361,.T.); +#71590=EDGE_CURVE('',#48518,#48514,#14270,.T.); +#71592=EDGE_CURVE('',#48518,#48506,#11365,.T.); +#71594=EDGE_CURVE('',#48510,#48506,#14321,.T.); +#71596=EDGE_CURVE('',#48510,#48498,#11369,.T.); +#71598=EDGE_CURVE('',#48502,#48498,#14304,.T.); +#71602=EDGE_CURVE('',#44891,#44893,#11421,.T.); +#71604=EDGE_CURVE('',#44893,#44895,#11425,.T.); +#71606=EDGE_CURVE('',#44895,#44897,#11429,.T.); +#71608=EDGE_CURVE('',#44897,#44899,#11433,.T.); +#71610=EDGE_CURVE('',#44899,#44901,#11437,.T.); +#71612=EDGE_CURVE('',#44901,#44903,#11441,.T.); +#71614=EDGE_CURVE('',#44903,#44891,#11445,.T.); +#71618=EDGE_CURVE('',#44905,#44907,#11449,.T.); +#71620=EDGE_CURVE('',#44907,#44909,#11453,.T.); +#71622=EDGE_CURVE('',#44909,#44911,#11457,.T.); +#71624=EDGE_CURVE('',#44911,#44913,#11461,.T.); +#71626=EDGE_CURVE('',#44913,#44915,#11465,.T.); +#71628=EDGE_CURVE('',#44915,#44917,#11469,.T.); +#71630=EDGE_CURVE('',#44917,#44919,#11473,.T.); +#71632=EDGE_CURVE('',#44919,#44921,#11477,.T.); +#71634=EDGE_CURVE('',#44921,#44923,#11481,.T.); +#71636=EDGE_CURVE('',#44923,#44925,#11485,.T.); +#71638=EDGE_CURVE('',#44925,#44927,#11489,.T.); +#71640=EDGE_CURVE('',#44927,#44929,#11493,.T.); +#71642=EDGE_CURVE('',#44929,#44931,#11497,.T.); +#71644=EDGE_CURVE('',#44931,#44933,#11501,.T.); +#71646=EDGE_CURVE('',#44933,#44935,#11505,.T.); +#71648=EDGE_CURVE('',#44935,#44937,#11509,.T.); +#71650=EDGE_CURVE('',#44937,#44939,#11513,.T.); +#71652=EDGE_CURVE('',#44939,#44941,#11517,.T.); +#71654=EDGE_CURVE('',#44941,#44943,#11521,.T.); +#71656=EDGE_CURVE('',#44943,#44945,#11525,.T.); +#71658=EDGE_CURVE('',#44945,#44947,#11529,.T.); +#71660=EDGE_CURVE('',#44947,#44949,#11533,.T.); +#71662=EDGE_CURVE('',#44949,#44951,#11537,.T.); +#71664=EDGE_CURVE('',#44951,#44953,#11541,.T.); +#71666=EDGE_CURVE('',#44953,#44955,#11545,.T.); +#71668=EDGE_CURVE('',#44955,#44957,#11549,.T.); +#71670=EDGE_CURVE('',#44957,#44959,#11553,.T.); +#71672=EDGE_CURVE('',#44959,#44961,#11557,.T.); +#71674=EDGE_CURVE('',#44961,#44963,#11561,.T.); +#71676=EDGE_CURVE('',#44963,#44965,#11565,.T.); +#71678=EDGE_CURVE('',#44965,#44967,#11569,.T.); +#71680=EDGE_CURVE('',#44967,#44969,#11573,.T.); +#71682=EDGE_CURVE('',#44969,#44971,#11577,.T.); +#71684=EDGE_CURVE('',#44971,#44973,#11581,.T.); +#71686=EDGE_CURVE('',#44973,#44975,#11585,.T.); +#71688=EDGE_CURVE('',#44975,#44977,#11589,.T.); +#71690=EDGE_CURVE('',#44977,#44979,#11593,.T.); +#71692=EDGE_CURVE('',#44979,#44981,#11597,.T.); +#71694=EDGE_CURVE('',#44981,#44983,#11601,.T.); +#71696=EDGE_CURVE('',#44983,#44985,#11605,.T.); +#71698=EDGE_CURVE('',#44985,#44987,#11609,.T.); +#71700=EDGE_CURVE('',#44987,#44989,#11613,.T.); +#71702=EDGE_CURVE('',#44989,#44991,#11617,.T.); +#71704=EDGE_CURVE('',#44991,#44993,#11621,.T.); +#71706=EDGE_CURVE('',#44993,#44995,#11625,.T.); +#71708=EDGE_CURVE('',#44995,#44997,#11629,.T.); +#71710=EDGE_CURVE('',#44997,#44999,#11633,.T.); +#71712=EDGE_CURVE('',#44999,#45001,#11637,.T.); +#71714=EDGE_CURVE('',#45001,#45003,#11641,.T.); +#71716=EDGE_CURVE('',#45003,#45005,#11645,.T.); +#71718=EDGE_CURVE('',#45005,#45007,#11649,.T.); +#71720=EDGE_CURVE('',#45007,#45009,#11653,.T.); +#71722=EDGE_CURVE('',#45009,#45011,#11657,.T.); +#71724=EDGE_CURVE('',#45011,#45013,#11661,.T.); +#71726=EDGE_CURVE('',#45013,#45015,#11665,.T.); +#71728=EDGE_CURVE('',#45015,#45017,#11669,.T.); +#71730=EDGE_CURVE('',#45017,#44905,#11673,.T.); +#71734=EDGE_CURVE('',#45019,#45021,#11677,.T.); +#71736=EDGE_CURVE('',#45021,#45023,#11681,.T.); +#71738=EDGE_CURVE('',#45023,#45025,#11685,.T.); +#71740=EDGE_CURVE('',#45025,#45027,#11689,.T.); +#71742=EDGE_CURVE('',#45027,#45029,#11693,.T.); +#71744=EDGE_CURVE('',#45029,#45031,#11697,.T.); +#71746=EDGE_CURVE('',#45031,#45019,#11701,.T.); +#71750=EDGE_CURVE('',#45033,#45035,#11705,.T.); +#71752=EDGE_CURVE('',#45035,#45037,#11709,.T.); +#71754=EDGE_CURVE('',#45037,#45039,#11713,.T.); +#71756=EDGE_CURVE('',#45039,#45041,#11717,.T.); +#71758=EDGE_CURVE('',#45041,#45043,#11721,.T.); +#71760=EDGE_CURVE('',#45043,#45045,#11725,.T.); +#71762=EDGE_CURVE('',#45045,#45047,#11729,.T.); +#71764=EDGE_CURVE('',#45047,#45049,#11733,.T.); +#71766=EDGE_CURVE('',#45049,#45051,#11737,.T.); +#71768=EDGE_CURVE('',#45051,#45053,#11741,.T.); +#71770=EDGE_CURVE('',#45053,#45055,#11745,.T.); +#71772=EDGE_CURVE('',#45055,#45057,#11749,.T.); +#71774=EDGE_CURVE('',#45057,#45059,#11753,.T.); +#71776=EDGE_CURVE('',#45059,#45061,#11757,.T.); +#71778=EDGE_CURVE('',#45061,#45063,#11761,.T.); +#71780=EDGE_CURVE('',#45063,#45065,#11765,.T.); +#71782=EDGE_CURVE('',#45065,#45067,#11769,.T.); +#71784=EDGE_CURVE('',#45067,#45069,#11773,.T.); +#71786=EDGE_CURVE('',#45069,#45071,#11777,.T.); +#71788=EDGE_CURVE('',#45071,#45073,#11781,.T.); +#71790=EDGE_CURVE('',#45073,#45075,#11785,.T.); +#71792=EDGE_CURVE('',#45075,#45077,#11789,.T.); +#71794=EDGE_CURVE('',#45077,#45079,#11793,.T.); +#71796=EDGE_CURVE('',#45079,#45081,#11797,.T.); +#71798=EDGE_CURVE('',#45081,#45083,#11801,.T.); +#71800=EDGE_CURVE('',#45083,#45085,#11805,.T.); +#71802=EDGE_CURVE('',#45085,#45087,#11809,.T.); +#71804=EDGE_CURVE('',#45087,#45089,#11813,.T.); +#71806=EDGE_CURVE('',#45089,#45091,#11817,.T.); +#71808=EDGE_CURVE('',#45091,#45093,#11821,.T.); +#71810=EDGE_CURVE('',#45093,#45095,#11825,.T.); +#71812=EDGE_CURVE('',#45095,#45097,#11829,.T.); +#71814=EDGE_CURVE('',#45097,#45099,#11833,.T.); +#71816=EDGE_CURVE('',#45099,#45101,#11837,.T.); +#71818=EDGE_CURVE('',#45101,#45103,#11841,.T.); +#71820=EDGE_CURVE('',#45103,#45105,#11845,.T.); +#71822=EDGE_CURVE('',#45105,#45107,#11849,.T.); +#71824=EDGE_CURVE('',#45107,#45109,#11853,.T.); +#71826=EDGE_CURVE('',#45109,#45111,#11857,.T.); +#71828=EDGE_CURVE('',#45111,#45033,#11861,.T.); +#71832=EDGE_CURVE('',#45113,#45115,#11865,.T.); +#71834=EDGE_CURVE('',#45115,#45117,#11869,.T.); +#71836=EDGE_CURVE('',#45117,#45119,#11873,.T.); +#71838=EDGE_CURVE('',#45119,#45121,#11877,.T.); +#71840=EDGE_CURVE('',#45121,#45123,#11881,.T.); +#71842=EDGE_CURVE('',#45123,#45125,#11885,.T.); +#71844=EDGE_CURVE('',#45125,#45127,#11889,.T.); +#71846=EDGE_CURVE('',#45127,#45129,#11893,.T.); +#71848=EDGE_CURVE('',#45129,#45131,#11897,.T.); +#71850=EDGE_CURVE('',#45131,#45133,#11901,.T.); +#71852=EDGE_CURVE('',#45133,#45135,#11905,.T.); +#71854=EDGE_CURVE('',#45135,#45137,#11909,.T.); +#71856=EDGE_CURVE('',#45137,#45139,#11913,.T.); +#71858=EDGE_CURVE('',#45139,#45141,#11917,.T.); +#71860=EDGE_CURVE('',#45141,#45143,#11921,.T.); +#71862=EDGE_CURVE('',#45143,#45145,#11925,.T.); +#71864=EDGE_CURVE('',#45145,#45147,#11929,.T.); +#71866=EDGE_CURVE('',#45147,#45149,#11933,.T.); +#71868=EDGE_CURVE('',#45149,#45151,#11937,.T.); +#71870=EDGE_CURVE('',#45151,#45153,#11941,.T.); +#71872=EDGE_CURVE('',#45153,#45155,#11945,.T.); +#71874=EDGE_CURVE('',#45155,#45157,#11949,.T.); +#71876=EDGE_CURVE('',#45157,#45159,#11953,.T.); +#71878=EDGE_CURVE('',#45159,#45161,#11957,.T.); +#71880=EDGE_CURVE('',#45161,#45163,#11961,.T.); +#71882=EDGE_CURVE('',#45163,#45165,#11965,.T.); +#71884=EDGE_CURVE('',#45165,#45167,#11969,.T.); +#71886=EDGE_CURVE('',#45167,#45113,#11973,.T.); +#71890=EDGE_CURVE('',#45169,#45171,#11977,.T.); +#71892=EDGE_CURVE('',#45171,#45173,#11981,.T.); +#71894=EDGE_CURVE('',#45173,#45175,#11985,.T.); +#71896=EDGE_CURVE('',#45175,#45177,#11989,.T.); +#71898=EDGE_CURVE('',#45177,#45179,#11993,.T.); +#71900=EDGE_CURVE('',#45179,#45181,#11997,.T.); +#71902=EDGE_CURVE('',#45181,#45169,#12001,.T.); +#71906=EDGE_CURVE('',#56193,#56194,#12005,.T.); +#71908=EDGE_CURVE('',#56194,#56196,#12009,.T.); +#71910=EDGE_CURVE('',#56196,#56198,#12013,.T.); +#71912=EDGE_CURVE('',#56198,#56200,#12017,.T.); +#71914=EDGE_CURVE('',#56200,#56202,#12021,.T.); +#71916=EDGE_CURVE('',#56202,#56204,#12025,.T.); +#71918=EDGE_CURVE('',#56204,#56193,#12029,.T.); +#71922=EDGE_CURVE('',#56207,#56208,#12033,.T.); +#71924=EDGE_CURVE('',#56208,#56210,#12037,.T.); +#71926=EDGE_CURVE('',#56210,#56212,#12041,.T.); +#71928=EDGE_CURVE('',#56212,#56214,#12045,.T.); +#71930=EDGE_CURVE('',#56214,#56216,#12049,.T.); +#71932=EDGE_CURVE('',#56216,#56218,#12053,.T.); +#71934=EDGE_CURVE('',#56218,#56220,#12057,.T.); +#71936=EDGE_CURVE('',#56220,#56222,#12061,.T.); +#71938=EDGE_CURVE('',#56222,#56224,#12065,.T.); +#71940=EDGE_CURVE('',#56224,#56226,#12069,.T.); +#71942=EDGE_CURVE('',#56226,#56207,#12073,.T.); +#71946=EDGE_CURVE('',#56235,#56236,#12089,.T.); +#71948=EDGE_CURVE('',#56236,#56238,#12093,.T.); +#71950=EDGE_CURVE('',#56238,#56240,#12097,.T.); +#71952=EDGE_CURVE('',#56240,#56242,#12101,.T.); +#71954=EDGE_CURVE('',#56242,#56244,#12105,.T.); +#71956=EDGE_CURVE('',#56244,#56246,#12109,.T.); +#71958=EDGE_CURVE('',#56246,#56248,#12113,.T.); +#71960=EDGE_CURVE('',#56248,#56250,#12117,.T.); +#71962=EDGE_CURVE('',#56250,#56252,#12121,.T.); +#71964=EDGE_CURVE('',#56252,#56254,#12125,.T.); +#71966=EDGE_CURVE('',#56254,#56256,#12129,.T.); +#71968=EDGE_CURVE('',#56256,#56258,#12133,.T.); +#71970=EDGE_CURVE('',#56258,#56260,#12137,.T.); +#71972=EDGE_CURVE('',#56260,#56262,#12141,.T.); +#71974=EDGE_CURVE('',#56262,#56264,#12145,.T.); +#71976=EDGE_CURVE('',#56264,#56266,#12149,.T.); +#71978=EDGE_CURVE('',#56266,#56268,#12153,.T.); +#71980=EDGE_CURVE('',#56268,#56270,#12157,.T.); +#71982=EDGE_CURVE('',#56270,#56272,#12161,.T.); +#71984=EDGE_CURVE('',#56272,#56274,#12165,.T.); +#71986=EDGE_CURVE('',#56274,#56276,#12169,.T.); +#71988=EDGE_CURVE('',#56276,#56278,#12173,.T.); +#71990=EDGE_CURVE('',#56278,#56280,#12177,.T.); +#71992=EDGE_CURVE('',#56280,#56282,#12181,.T.); +#71994=EDGE_CURVE('',#56282,#56284,#12185,.T.); +#71996=EDGE_CURVE('',#56284,#56286,#12189,.T.); +#71998=EDGE_CURVE('',#56286,#56288,#12193,.T.); +#72000=EDGE_CURVE('',#56288,#56235,#12197,.T.); +#72004=EDGE_CURVE('',#56291,#56292,#12201,.T.); +#72006=EDGE_CURVE('',#56292,#56294,#12205,.T.); +#72008=EDGE_CURVE('',#56294,#56296,#12209,.T.); +#72010=EDGE_CURVE('',#56296,#56298,#12213,.T.); +#72012=EDGE_CURVE('',#56298,#56300,#12217,.T.); +#72014=EDGE_CURVE('',#56300,#56302,#12221,.T.); +#72016=EDGE_CURVE('',#56302,#56304,#12225,.T.); +#72018=EDGE_CURVE('',#56304,#56306,#12229,.T.); +#72020=EDGE_CURVE('',#56306,#56308,#12233,.T.); +#72022=EDGE_CURVE('',#56308,#56310,#12237,.T.); +#72024=EDGE_CURVE('',#56310,#56312,#12241,.T.); +#72026=EDGE_CURVE('',#56312,#56314,#12245,.T.); +#72028=EDGE_CURVE('',#56314,#56316,#12249,.T.); +#72030=EDGE_CURVE('',#56316,#56318,#12253,.T.); +#72032=EDGE_CURVE('',#56318,#56320,#12257,.T.); +#72034=EDGE_CURVE('',#56320,#56322,#12261,.T.); +#72036=EDGE_CURVE('',#56322,#56324,#12265,.T.); +#72038=EDGE_CURVE('',#56324,#56326,#12269,.T.); +#72040=EDGE_CURVE('',#56326,#56328,#12273,.T.); +#72042=EDGE_CURVE('',#56328,#56330,#12277,.T.); +#72044=EDGE_CURVE('',#56330,#56332,#12281,.T.); +#72046=EDGE_CURVE('',#56332,#56334,#12285,.T.); +#72048=EDGE_CURVE('',#56334,#56336,#12289,.T.); +#72050=EDGE_CURVE('',#56336,#56338,#12293,.T.); +#72052=EDGE_CURVE('',#56338,#56340,#12297,.T.); +#72054=EDGE_CURVE('',#56340,#56342,#12301,.T.); +#72056=EDGE_CURVE('',#56342,#56344,#12305,.T.); +#72058=EDGE_CURVE('',#56344,#56346,#12309,.T.); +#72060=EDGE_CURVE('',#56346,#56348,#12313,.T.); +#72062=EDGE_CURVE('',#56348,#56350,#12317,.T.); +#72064=EDGE_CURVE('',#56350,#56352,#12321,.T.); +#72066=EDGE_CURVE('',#56352,#56354,#12325,.T.); +#72068=EDGE_CURVE('',#56354,#56356,#12329,.T.); +#72070=EDGE_CURVE('',#56356,#56358,#12333,.T.); +#72072=EDGE_CURVE('',#56358,#56360,#12337,.T.); +#72074=EDGE_CURVE('',#56360,#56362,#12341,.T.); +#72076=EDGE_CURVE('',#56362,#56364,#12345,.T.); +#72078=EDGE_CURVE('',#56364,#56366,#12349,.T.); +#72080=EDGE_CURVE('',#56366,#56368,#12353,.T.); +#72082=EDGE_CURVE('',#56368,#56291,#12357,.T.); +#72086=EDGE_CURVE('',#46085,#46086,#13229,.T.); +#72088=EDGE_CURVE('',#46086,#46088,#13233,.T.); +#72090=EDGE_CURVE('',#46088,#46090,#13237,.T.); +#72092=EDGE_CURVE('',#46090,#46085,#13241,.T.); +#72096=EDGE_CURVE('',#46093,#46094,#13245,.T.); +#72098=EDGE_CURVE('',#46094,#46096,#13249,.T.); +#72100=EDGE_CURVE('',#46096,#46098,#13253,.T.); +#72102=EDGE_CURVE('',#46098,#46093,#13257,.T.); +#72106=EDGE_CURVE('',#46101,#46102,#13261,.T.); +#72108=EDGE_CURVE('',#46102,#46104,#13265,.T.); +#72110=EDGE_CURVE('',#46104,#46106,#13269,.T.); +#72112=EDGE_CURVE('',#46106,#46101,#13273,.T.); +#72116=EDGE_CURVE('',#46109,#46110,#13277,.T.); +#72118=EDGE_CURVE('',#46110,#46112,#13281,.T.); +#72120=EDGE_CURVE('',#46112,#46114,#13285,.T.); +#72122=EDGE_CURVE('',#46114,#46109,#13289,.T.); +#72127=EDGE_CURVE('',#48342,#48238,#13353,.T.); +#72130=EDGE_CURVE('',#48233,#48237,#13361,.T.); +#72133=EDGE_CURVE('',#48234,#48130,#13369,.T.); +#72136=EDGE_CURVE('',#48125,#48129,#13377,.T.); +#72139=EDGE_CURVE('',#48126,#48022,#13385,.T.); +#72142=EDGE_CURVE('',#48017,#48021,#13393,.T.); +#72145=EDGE_CURVE('',#48018,#47914,#13401,.T.); +#72148=EDGE_CURVE('',#47909,#47913,#13409,.T.); +#72151=EDGE_CURVE('',#47910,#47806,#13417,.T.); +#72154=EDGE_CURVE('',#47801,#47805,#13425,.T.); +#72157=EDGE_CURVE('',#47802,#47698,#13433,.T.); +#72160=EDGE_CURVE('',#47693,#47697,#13441,.T.); +#72163=EDGE_CURVE('',#47694,#47590,#13449,.T.); +#72166=EDGE_CURVE('',#47585,#47589,#13457,.T.); +#72169=EDGE_CURVE('',#47586,#47482,#13465,.T.); +#72172=EDGE_CURVE('',#47477,#47481,#13473,.T.); +#72175=EDGE_CURVE('',#47478,#47374,#13481,.T.); +#72178=EDGE_CURVE('',#47369,#47373,#13489,.T.); +#72181=EDGE_CURVE('',#47370,#47266,#13497,.T.); +#72184=EDGE_CURVE('',#47261,#47265,#13505,.T.); +#72187=EDGE_CURVE('',#47262,#46274,#13513,.T.); +#72190=EDGE_CURVE('',#46269,#46273,#13521,.T.); +#72193=EDGE_CURVE('',#46270,#49949,#13529,.T.); +#72195=EDGE_CURVE('',#49934,#49949,#24253,.T.); +#72197=EDGE_CURVE('',#49934,#49935,#13533,.T.); +#72199=EDGE_CURVE('',#46281,#49935,#24221,.T.); +#72201=EDGE_CURVE('',#46281,#46282,#13537,.T.); +#72203=EDGE_CURVE('',#49952,#46282,#27441,.T.); +#72205=EDGE_CURVE('',#49952,#49953,#13541,.T.); +#72207=EDGE_CURVE('',#47273,#49953,#24481,.T.); +#72209=EDGE_CURVE('',#47273,#47274,#13545,.T.); +#72211=EDGE_CURVE('',#49968,#47274,#24529,.T.); +#72213=EDGE_CURVE('',#49968,#49969,#13549,.T.); +#72215=EDGE_CURVE('',#47381,#49969,#24709,.T.); +#72217=EDGE_CURVE('',#47381,#47382,#13553,.T.); +#72219=EDGE_CURVE('',#49984,#47382,#24757,.T.); +#72221=EDGE_CURVE('',#49984,#49985,#13557,.T.); +#72223=EDGE_CURVE('',#47489,#49985,#24937,.T.); +#72225=EDGE_CURVE('',#47489,#47490,#13561,.T.); +#72227=EDGE_CURVE('',#50000,#47490,#24985,.T.); +#72229=EDGE_CURVE('',#50000,#50001,#13565,.T.); +#72231=EDGE_CURVE('',#47597,#50001,#25165,.T.); +#72233=EDGE_CURVE('',#47597,#47598,#13569,.T.); +#72235=EDGE_CURVE('',#50016,#47598,#25213,.T.); +#72237=EDGE_CURVE('',#50016,#50017,#13573,.T.); +#72239=EDGE_CURVE('',#47705,#50017,#25393,.T.); +#72241=EDGE_CURVE('',#47705,#47706,#13577,.T.); +#72243=EDGE_CURVE('',#50032,#47706,#25441,.T.); +#72245=EDGE_CURVE('',#50032,#50033,#13581,.T.); +#72247=EDGE_CURVE('',#47813,#50033,#25621,.T.); +#72249=EDGE_CURVE('',#47813,#47814,#13585,.T.); +#72251=EDGE_CURVE('',#50048,#47814,#25669,.T.); +#72253=EDGE_CURVE('',#50048,#50049,#13589,.T.); +#72255=EDGE_CURVE('',#47921,#50049,#25849,.T.); +#72257=EDGE_CURVE('',#47921,#47922,#13593,.T.); +#72259=EDGE_CURVE('',#50064,#47922,#25897,.T.); +#72261=EDGE_CURVE('',#50064,#50065,#13597,.T.); +#72263=EDGE_CURVE('',#48029,#50065,#26077,.T.); +#72265=EDGE_CURVE('',#48029,#48030,#13601,.T.); +#72267=EDGE_CURVE('',#50080,#48030,#26125,.T.); +#72269=EDGE_CURVE('',#50080,#50081,#13605,.T.); +#72271=EDGE_CURVE('',#48137,#50081,#26305,.T.); +#72273=EDGE_CURVE('',#48137,#48138,#13609,.T.); +#72275=EDGE_CURVE('',#50096,#48138,#26353,.T.); +#72277=EDGE_CURVE('',#50096,#50097,#13613,.T.); +#72279=EDGE_CURVE('',#48245,#50097,#26533,.T.); +#72281=EDGE_CURVE('',#48245,#48246,#13617,.T.); +#72283=EDGE_CURVE('',#50112,#48246,#26581,.T.); +#72285=EDGE_CURVE('',#50112,#50113,#13621,.T.); +#72287=EDGE_CURVE('',#48352,#50113,#26761,.T.); +#72289=EDGE_CURVE('',#48352,#48346,#13625,.T.); +#72292=EDGE_CURVE('',#48341,#48345,#13633,.T.); +#72296=ADVANCED_FACE('',(#71529,#71547,#71565,#71583,#71601,#71617,#71733, +#71749,#71831,#71889,#71905,#71921,#71945,#72003,#72085,#72095,#72105,#72115, +#72125,#72295),#71421,.T.); +#72303=EDGE_CURVE('',#45959,#46022,#13879,.T.); +#72305=EDGE_CURVE('',#45994,#45959,#13884,.T.); +#72308=EDGE_CURVE('',#45961,#45993,#13893,.T.); +#72310=EDGE_CURVE('',#46028,#45961,#13897,.T.); +#72313=EDGE_CURVE('',#50174,#50128,#19273,.T.); +#72315=EDGE_CURVE('',#50174,#50175,#13905,.T.); +#72317=EDGE_CURVE('',#46369,#50175,#19113,.T.); +#72319=EDGE_CURVE('',#46369,#46370,#13909,.T.); +#72321=EDGE_CURVE('',#50196,#46370,#22973,.T.); +#72323=EDGE_CURVE('',#50196,#50197,#13913,.T.); +#72325=EDGE_CURVE('',#50812,#50197,#22797,.T.); +#72327=EDGE_CURVE('',#50812,#50813,#13917,.T.); +#72329=EDGE_CURVE('',#50218,#50813,#22729,.T.); +#72331=EDGE_CURVE('',#50218,#50219,#13921,.T.); +#72333=EDGE_CURVE('',#50852,#50219,#22553,.T.); +#72335=EDGE_CURVE('',#50852,#50853,#13925,.T.); +#72337=EDGE_CURVE('',#50240,#50853,#22485,.T.); +#72339=EDGE_CURVE('',#50240,#50241,#13929,.T.); +#72341=EDGE_CURVE('',#50892,#50241,#22309,.T.); +#72343=EDGE_CURVE('',#50892,#50893,#13933,.T.); +#72345=EDGE_CURVE('',#50262,#50893,#22241,.T.); +#72347=EDGE_CURVE('',#50262,#50263,#13937,.T.); +#72349=EDGE_CURVE('',#50932,#50263,#22065,.T.); +#72351=EDGE_CURVE('',#50932,#50933,#13941,.T.); +#72353=EDGE_CURVE('',#50284,#50933,#21997,.T.); +#72355=EDGE_CURVE('',#50284,#50285,#13945,.T.); +#72357=EDGE_CURVE('',#50972,#50285,#21821,.T.); +#72359=EDGE_CURVE('',#50972,#50973,#13949,.T.); +#72361=EDGE_CURVE('',#50306,#50973,#21753,.T.); +#72363=EDGE_CURVE('',#50306,#50307,#13953,.T.); +#72365=EDGE_CURVE('',#51011,#50307,#21577,.T.); +#72367=EDGE_CURVE('',#51011,#50771,#13957,.T.); +#72371=EDGE_CURVE('',#48534,#48554,#11373,.T.); +#72373=EDGE_CURVE('',#48558,#48554,#14948,.T.); +#72375=EDGE_CURVE('',#48558,#48546,#11377,.T.); +#72377=EDGE_CURVE('',#48550,#48546,#14931,.T.); +#72379=EDGE_CURVE('',#48550,#48538,#11381,.T.); +#72381=EDGE_CURVE('',#48542,#48538,#14914,.T.); +#72383=EDGE_CURVE('',#48542,#48530,#11385,.T.); +#72385=EDGE_CURVE('',#48534,#48530,#14965,.T.); +#72389=EDGE_CURVE('',#48566,#48586,#11389,.T.); +#72391=EDGE_CURVE('',#48590,#48586,#14816,.T.); +#72393=EDGE_CURVE('',#48590,#48578,#11393,.T.); +#72395=EDGE_CURVE('',#48582,#48578,#14799,.T.); +#72397=EDGE_CURVE('',#48582,#48570,#11397,.T.); +#72399=EDGE_CURVE('',#48574,#48570,#14782,.T.); +#72401=EDGE_CURVE('',#48574,#48562,#11401,.T.); +#72403=EDGE_CURVE('',#48566,#48562,#14833,.T.); +#72407=EDGE_CURVE('',#48598,#48618,#11405,.T.); +#72409=EDGE_CURVE('',#48622,#48618,#14684,.T.); +#72411=EDGE_CURVE('',#48622,#48610,#11409,.T.); +#72413=EDGE_CURVE('',#48614,#48610,#14667,.T.); +#72415=EDGE_CURVE('',#48614,#48602,#11413,.T.); +#72417=EDGE_CURVE('',#48606,#48602,#14650,.T.); +#72419=EDGE_CURVE('',#48606,#48594,#11417,.T.); +#72421=EDGE_CURVE('',#48598,#48594,#14701,.T.); +#72425=EDGE_CURVE('',#56411,#56412,#12441,.T.); +#72427=EDGE_CURVE('',#56412,#56414,#12445,.T.); +#72429=EDGE_CURVE('',#56414,#56416,#12449,.T.); +#72431=EDGE_CURVE('',#56416,#56418,#12453,.T.); +#72433=EDGE_CURVE('',#56418,#56420,#12457,.T.); +#72435=EDGE_CURVE('',#56420,#56422,#12461,.T.); +#72437=EDGE_CURVE('',#56422,#56424,#12465,.T.); +#72439=EDGE_CURVE('',#56424,#56426,#12469,.T.); +#72441=EDGE_CURVE('',#56426,#56428,#12473,.T.); +#72443=EDGE_CURVE('',#56428,#56430,#12477,.T.); +#72445=EDGE_CURVE('',#56430,#56432,#12481,.T.); +#72447=EDGE_CURVE('',#56432,#56434,#12485,.T.); +#72449=EDGE_CURVE('',#56434,#56436,#12489,.T.); +#72451=EDGE_CURVE('',#56436,#56438,#12493,.T.); +#72453=EDGE_CURVE('',#56438,#56440,#12497,.T.); +#72455=EDGE_CURVE('',#56440,#56442,#12501,.T.); +#72457=EDGE_CURVE('',#56442,#56444,#12505,.T.); +#72459=EDGE_CURVE('',#56444,#56446,#12509,.T.); +#72461=EDGE_CURVE('',#56446,#56448,#12513,.T.); +#72463=EDGE_CURVE('',#56448,#56450,#12517,.T.); +#72465=EDGE_CURVE('',#56450,#56452,#12521,.T.); +#72467=EDGE_CURVE('',#56452,#56454,#12525,.T.); +#72469=EDGE_CURVE('',#56454,#56456,#12529,.T.); +#72471=EDGE_CURVE('',#56456,#56458,#12533,.T.); +#72473=EDGE_CURVE('',#56458,#56460,#12537,.T.); +#72475=EDGE_CURVE('',#56460,#56462,#12541,.T.); +#72477=EDGE_CURVE('',#56462,#56464,#12545,.T.); +#72479=EDGE_CURVE('',#56464,#56466,#12549,.T.); +#72481=EDGE_CURVE('',#56466,#56468,#12553,.T.); +#72483=EDGE_CURVE('',#56468,#56470,#12557,.T.); +#72485=EDGE_CURVE('',#56470,#56472,#12561,.T.); +#72487=EDGE_CURVE('',#56472,#56474,#12565,.T.); +#72489=EDGE_CURVE('',#56474,#56476,#12569,.T.); +#72491=EDGE_CURVE('',#56476,#56478,#12573,.T.); +#72493=EDGE_CURVE('',#56478,#56480,#12577,.T.); +#72495=EDGE_CURVE('',#56480,#56482,#12581,.T.); +#72497=EDGE_CURVE('',#56482,#56484,#12585,.T.); +#72499=EDGE_CURVE('',#56484,#56486,#12589,.T.); +#72501=EDGE_CURVE('',#56486,#56488,#12593,.T.); +#72503=EDGE_CURVE('',#56488,#56411,#12597,.T.); +#72507=EDGE_CURVE('',#56491,#56492,#12601,.T.); +#72509=EDGE_CURVE('',#56492,#56494,#12605,.T.); +#72511=EDGE_CURVE('',#56494,#56496,#12609,.T.); +#72513=EDGE_CURVE('',#56496,#56498,#12613,.T.); +#72515=EDGE_CURVE('',#56498,#56500,#12617,.T.); +#72517=EDGE_CURVE('',#56500,#56502,#12621,.T.); +#72519=EDGE_CURVE('',#56502,#56504,#12625,.T.); +#72521=EDGE_CURVE('',#56504,#56506,#12629,.T.); +#72523=EDGE_CURVE('',#56506,#56508,#12633,.T.); +#72525=EDGE_CURVE('',#56508,#56510,#12637,.T.); +#72527=EDGE_CURVE('',#56510,#56512,#12641,.T.); +#72529=EDGE_CURVE('',#56512,#56514,#12645,.T.); +#72531=EDGE_CURVE('',#56514,#56516,#12649,.T.); +#72533=EDGE_CURVE('',#56516,#56518,#12653,.T.); +#72535=EDGE_CURVE('',#56518,#56520,#12657,.T.); +#72537=EDGE_CURVE('',#56520,#56522,#12661,.T.); +#72539=EDGE_CURVE('',#56522,#56524,#12665,.T.); +#72541=EDGE_CURVE('',#56524,#56526,#12669,.T.); +#72543=EDGE_CURVE('',#56526,#56528,#12673,.T.); +#72545=EDGE_CURVE('',#56528,#56530,#12677,.T.); +#72547=EDGE_CURVE('',#56530,#56532,#12681,.T.); +#72549=EDGE_CURVE('',#56532,#56534,#12685,.T.); +#72551=EDGE_CURVE('',#56534,#56536,#12689,.T.); +#72553=EDGE_CURVE('',#56536,#56538,#12693,.T.); +#72555=EDGE_CURVE('',#56538,#56540,#12697,.T.); +#72557=EDGE_CURVE('',#56540,#56542,#12701,.T.); +#72559=EDGE_CURVE('',#56542,#56544,#12705,.T.); +#72561=EDGE_CURVE('',#56544,#56546,#12709,.T.); +#72563=EDGE_CURVE('',#56546,#56548,#12713,.T.); +#72565=EDGE_CURVE('',#56548,#56550,#12717,.T.); +#72567=EDGE_CURVE('',#56550,#56552,#12721,.T.); +#72569=EDGE_CURVE('',#56552,#56554,#12725,.T.); +#72571=EDGE_CURVE('',#56554,#56556,#12729,.T.); +#72573=EDGE_CURVE('',#56556,#56558,#12733,.T.); +#72575=EDGE_CURVE('',#56558,#56560,#12737,.T.); +#72577=EDGE_CURVE('',#56560,#56562,#12741,.T.); +#72579=EDGE_CURVE('',#56562,#56564,#12745,.T.); +#72581=EDGE_CURVE('',#56564,#56566,#12749,.T.); +#72583=EDGE_CURVE('',#56566,#56568,#12753,.T.); +#72585=EDGE_CURVE('',#56568,#56570,#12757,.T.); +#72587=EDGE_CURVE('',#56570,#56572,#12761,.T.); +#72589=EDGE_CURVE('',#56572,#56574,#12765,.T.); +#72591=EDGE_CURVE('',#56574,#56576,#12769,.T.); +#72593=EDGE_CURVE('',#56576,#56578,#12773,.T.); +#72595=EDGE_CURVE('',#56578,#56580,#12777,.T.); +#72597=EDGE_CURVE('',#56580,#56582,#12781,.T.); +#72599=EDGE_CURVE('',#56582,#56584,#12785,.T.); +#72601=EDGE_CURVE('',#56584,#56586,#12789,.T.); +#72603=EDGE_CURVE('',#56586,#56588,#12793,.T.); +#72605=EDGE_CURVE('',#56588,#56590,#12797,.T.); +#72607=EDGE_CURVE('',#56590,#56592,#12801,.T.); +#72609=EDGE_CURVE('',#56592,#56594,#12805,.T.); +#72611=EDGE_CURVE('',#56594,#56596,#12809,.T.); +#72613=EDGE_CURVE('',#56596,#56598,#12813,.T.); +#72615=EDGE_CURVE('',#56598,#56600,#12817,.T.); +#72617=EDGE_CURVE('',#56600,#56602,#12821,.T.); +#72619=EDGE_CURVE('',#56602,#56491,#12825,.T.); +#72623=EDGE_CURVE('',#56605,#56606,#12829,.T.); +#72625=EDGE_CURVE('',#56606,#56608,#12833,.T.); +#72627=EDGE_CURVE('',#56608,#56610,#12837,.T.); +#72629=EDGE_CURVE('',#56610,#56612,#12841,.T.); +#72631=EDGE_CURVE('',#56612,#56614,#12845,.T.); +#72633=EDGE_CURVE('',#56614,#56616,#12849,.T.); +#72635=EDGE_CURVE('',#56616,#56618,#12853,.T.); +#72637=EDGE_CURVE('',#56618,#56620,#12857,.T.); +#72639=EDGE_CURVE('',#56620,#56622,#12861,.T.); +#72641=EDGE_CURVE('',#56622,#56624,#12865,.T.); +#72643=EDGE_CURVE('',#56624,#56626,#12869,.T.); +#72645=EDGE_CURVE('',#56626,#56628,#12873,.T.); +#72647=EDGE_CURVE('',#56628,#56630,#12877,.T.); +#72649=EDGE_CURVE('',#56630,#56632,#12881,.T.); +#72651=EDGE_CURVE('',#56632,#56634,#12885,.T.); +#72653=EDGE_CURVE('',#56634,#56636,#12889,.T.); +#72655=EDGE_CURVE('',#56636,#56638,#12893,.T.); +#72657=EDGE_CURVE('',#56638,#56640,#12897,.T.); +#72659=EDGE_CURVE('',#56640,#56642,#12901,.T.); +#72661=EDGE_CURVE('',#56642,#56644,#12905,.T.); +#72663=EDGE_CURVE('',#56644,#56646,#12909,.T.); +#72665=EDGE_CURVE('',#56646,#56648,#12913,.T.); +#72667=EDGE_CURVE('',#56648,#56650,#12917,.T.); +#72669=EDGE_CURVE('',#56650,#56652,#12921,.T.); +#72671=EDGE_CURVE('',#56652,#56654,#12925,.T.); +#72673=EDGE_CURVE('',#56654,#56656,#12929,.T.); +#72675=EDGE_CURVE('',#56656,#56658,#12933,.T.); +#72677=EDGE_CURVE('',#56658,#56660,#12937,.T.); +#72679=EDGE_CURVE('',#56660,#56662,#12941,.T.); +#72681=EDGE_CURVE('',#56662,#56664,#12945,.T.); +#72683=EDGE_CURVE('',#56664,#56666,#12949,.T.); +#72685=EDGE_CURVE('',#56666,#56668,#12953,.T.); +#72687=EDGE_CURVE('',#56668,#56670,#12957,.T.); +#72689=EDGE_CURVE('',#56670,#56672,#12961,.T.); +#72691=EDGE_CURVE('',#56672,#56674,#12965,.T.); +#72693=EDGE_CURVE('',#56674,#56676,#12969,.T.); +#72695=EDGE_CURVE('',#56676,#56678,#12973,.T.); +#72697=EDGE_CURVE('',#56678,#56680,#12977,.T.); +#72699=EDGE_CURVE('',#56680,#56682,#12981,.T.); +#72701=EDGE_CURVE('',#56682,#56605,#12985,.T.); +#72705=EDGE_CURVE('',#56685,#56686,#12989,.T.); +#72707=EDGE_CURVE('',#56686,#56688,#12993,.T.); +#72709=EDGE_CURVE('',#56688,#56690,#12997,.T.); +#72711=EDGE_CURVE('',#56690,#56692,#13001,.T.); +#72713=EDGE_CURVE('',#56692,#56694,#13005,.T.); +#72715=EDGE_CURVE('',#56694,#56696,#13009,.T.); +#72717=EDGE_CURVE('',#56696,#56698,#13013,.T.); +#72719=EDGE_CURVE('',#56698,#56700,#13017,.T.); +#72721=EDGE_CURVE('',#56700,#56702,#13021,.T.); +#72723=EDGE_CURVE('',#56702,#56704,#13025,.T.); +#72725=EDGE_CURVE('',#56704,#56706,#13029,.T.); +#72727=EDGE_CURVE('',#56706,#56708,#13033,.T.); +#72729=EDGE_CURVE('',#56708,#56710,#13037,.T.); +#72731=EDGE_CURVE('',#56710,#56712,#13041,.T.); +#72733=EDGE_CURVE('',#56712,#56714,#13045,.T.); +#72735=EDGE_CURVE('',#56714,#56716,#13049,.T.); +#72737=EDGE_CURVE('',#56716,#56718,#13053,.T.); +#72739=EDGE_CURVE('',#56718,#56720,#13057,.T.); +#72741=EDGE_CURVE('',#56720,#56722,#13061,.T.); +#72743=EDGE_CURVE('',#56722,#56724,#13065,.T.); +#72745=EDGE_CURVE('',#56724,#56726,#13069,.T.); +#72747=EDGE_CURVE('',#56726,#56728,#13073,.T.); +#72749=EDGE_CURVE('',#56728,#56730,#13077,.T.); +#72751=EDGE_CURVE('',#56730,#56732,#13081,.T.); +#72753=EDGE_CURVE('',#56732,#56734,#13085,.T.); +#72755=EDGE_CURVE('',#56734,#56736,#13089,.T.); +#72757=EDGE_CURVE('',#56736,#56738,#13093,.T.); +#72759=EDGE_CURVE('',#56738,#56740,#13097,.T.); +#72761=EDGE_CURVE('',#56740,#56742,#13101,.T.); +#72763=EDGE_CURVE('',#56742,#56744,#13105,.T.); +#72765=EDGE_CURVE('',#56744,#56746,#13109,.T.); +#72767=EDGE_CURVE('',#56746,#56748,#13113,.T.); +#72769=EDGE_CURVE('',#56748,#56750,#13117,.T.); +#72771=EDGE_CURVE('',#56750,#56752,#13121,.T.); +#72773=EDGE_CURVE('',#56752,#56754,#13125,.T.); +#72775=EDGE_CURVE('',#56754,#56756,#13129,.T.); +#72777=EDGE_CURVE('',#56756,#56758,#13133,.T.); +#72779=EDGE_CURVE('',#56758,#56760,#13137,.T.); +#72781=EDGE_CURVE('',#56760,#56762,#13141,.T.); +#72783=EDGE_CURVE('',#56762,#56685,#13145,.T.); +#72787=EDGE_CURVE('',#50178,#50179,#13293,.T.); +#72789=EDGE_CURVE('',#50182,#50179,#19285,.T.); +#72791=EDGE_CURVE('',#50182,#50183,#13297,.T.); +#72793=EDGE_CURVE('',#50178,#50183,#19105,.T.); +#72797=EDGE_CURVE('',#50200,#50201,#13301,.T.); +#72799=EDGE_CURVE('',#50204,#50201,#22957,.T.); +#72801=EDGE_CURVE('',#50204,#50205,#13305,.T.); +#72803=EDGE_CURVE('',#50200,#50205,#22789,.T.); +#72807=EDGE_CURVE('',#50222,#50223,#13309,.T.); +#72809=EDGE_CURVE('',#50226,#50223,#22713,.T.); +#72811=EDGE_CURVE('',#50226,#50227,#13313,.T.); +#72813=EDGE_CURVE('',#50222,#50227,#22545,.T.); +#72817=EDGE_CURVE('',#50244,#50245,#13317,.T.); +#72819=EDGE_CURVE('',#50248,#50245,#22469,.T.); +#72821=EDGE_CURVE('',#50248,#50249,#13321,.T.); +#72823=EDGE_CURVE('',#50244,#50249,#22301,.T.); +#72827=EDGE_CURVE('',#50266,#50267,#13325,.T.); +#72829=EDGE_CURVE('',#50270,#50267,#22225,.T.); +#72831=EDGE_CURVE('',#50270,#50271,#13329,.T.); +#72833=EDGE_CURVE('',#50266,#50271,#22057,.T.); +#72837=EDGE_CURVE('',#50288,#50289,#13333,.T.); +#72839=EDGE_CURVE('',#50292,#50289,#21981,.T.); +#72841=EDGE_CURVE('',#50292,#50293,#13337,.T.); +#72843=EDGE_CURVE('',#50288,#50293,#21813,.T.); +#72847=EDGE_CURVE('',#50310,#50311,#13341,.T.); +#72849=EDGE_CURVE('',#50314,#50311,#21737,.T.); +#72851=EDGE_CURVE('',#50314,#50315,#13345,.T.); +#72853=EDGE_CURVE('',#50310,#50315,#21569,.T.); +#72857=EDGE_CURVE('',#46361,#46362,#13763,.T.); +#72859=EDGE_CURVE('',#46362,#46366,#13767,.T.); +#72861=EDGE_CURVE('',#46365,#46366,#13771,.T.); +#72863=EDGE_CURVE('',#46361,#46365,#13775,.T.); +#72867=EDGE_CURVE('',#50807,#50449,#13779,.T.); +#72869=EDGE_CURVE('',#50449,#50453,#13783,.T.); +#72871=EDGE_CURVE('',#50809,#50453,#13787,.T.); +#72873=EDGE_CURVE('',#50807,#50809,#13791,.T.); +#72877=EDGE_CURVE('',#50847,#50513,#13795,.T.); +#72879=EDGE_CURVE('',#50513,#50517,#13799,.T.); +#72881=EDGE_CURVE('',#50849,#50517,#13803,.T.); +#72883=EDGE_CURVE('',#50847,#50849,#13807,.T.); +#72887=EDGE_CURVE('',#50887,#50577,#13811,.T.); +#72889=EDGE_CURVE('',#50577,#50581,#13815,.T.); +#72891=EDGE_CURVE('',#50889,#50581,#13819,.T.); +#72893=EDGE_CURVE('',#50887,#50889,#13823,.T.); +#72897=EDGE_CURVE('',#50927,#50641,#13827,.T.); +#72899=EDGE_CURVE('',#50641,#50645,#13831,.T.); +#72901=EDGE_CURVE('',#50929,#50645,#13835,.T.); +#72903=EDGE_CURVE('',#50927,#50929,#13839,.T.); +#72907=EDGE_CURVE('',#50967,#50705,#13843,.T.); +#72909=EDGE_CURVE('',#50705,#50709,#13847,.T.); +#72911=EDGE_CURVE('',#50969,#50709,#13851,.T.); +#72913=EDGE_CURVE('',#50967,#50969,#13855,.T.); +#72917=EDGE_CURVE('',#51007,#50769,#13859,.T.); +#72919=EDGE_CURVE('',#50769,#50773,#13863,.T.); +#72921=EDGE_CURVE('',#51009,#50773,#13867,.T.); +#72923=EDGE_CURVE('',#51007,#51009,#13871,.T.); +#72927=ADVANCED_FACE('',(#72370,#72388,#72406,#72424,#72506,#72622,#72704, +#72786,#72796,#72806,#72816,#72826,#72836,#72846,#72856,#72866,#72876,#72886, +#72896,#72906,#72916,#72926),#72301,.T.); +#72933=EDGE_CURVE('',#48417,#48418,#13961,.T.); +#72936=EDGE_CURVE('',#48637,#48638,#13965,.T.); +#72938=EDGE_CURVE('',#48637,#48417,#13969,.T.); +#72942=ADVANCED_FACE('',(#72941),#72932,.T.); +#72949=EDGE_CURVE('',#48417,#48735,#13984,.T.); +#72951=EDGE_CURVE('',#48735,#48421,#14073,.T.); +#72953=EDGE_CURVE('',#48421,#48422,#14049,.T.); +#72958=ADVANCED_FACE('',(#72957),#72947,.T.); +#72964=EDGE_CURVE('',#48673,#48674,#13994,.T.); +#72966=EDGE_CURVE('',#48674,#48728,#14149,.T.); +#72968=EDGE_CURVE('',#48735,#48728,#13978,.T.); +#72972=EDGE_CURVE('',#48673,#48637,#13990,.T.); +#72976=ADVANCED_FACE('',(#72975),#72963,.T.); +#72983=EDGE_CURVE('',#48633,#48673,#14000,.T.); +#72985=EDGE_CURVE('',#48629,#48633,#14019,.T.); +#72987=EDGE_CURVE('',#48677,#48629,#14006,.T.); +#72989=EDGE_CURVE('',#48677,#48678,#14077,.T.); +#72991=EDGE_CURVE('',#48678,#48674,#14153,.T.); +#72995=ADVANCED_FACE('',(#72994),#72981,.T.); +#73001=EDGE_CURVE('',#48633,#48634,#14023,.T.); +#73009=ADVANCED_FACE('',(#73008),#73000,.T.); +#73015=EDGE_CURVE('',#48629,#48630,#14015,.T.); +#73022=ADVANCED_FACE('',(#73021),#73014,.T.); +#73028=EDGE_CURVE('',#48625,#48626,#14040,.T.); +#73030=EDGE_CURVE('',#48625,#48677,#14083,.T.); +#73037=ADVANCED_FACE('',(#73036),#73027,.T.); +#73043=EDGE_CURVE('',#48429,#48430,#14032,.T.); +#73045=EDGE_CURVE('',#48429,#48625,#14036,.T.); +#73051=ADVANCED_FACE('',(#73050),#73042,.T.); +#73057=EDGE_CURVE('',#48425,#48426,#14057,.T.); +#73059=EDGE_CURVE('',#48425,#48681,#14067,.T.); +#73061=EDGE_CURVE('',#48681,#48429,#14089,.T.); +#73067=ADVANCED_FACE('',(#73066),#73056,.T.); +#73074=EDGE_CURVE('',#48421,#48425,#14053,.T.); +#73080=ADVANCED_FACE('',(#73079),#73072,.T.); +#73086=EDGE_CURVE('',#48681,#48682,#14061,.T.); +#73092=EDGE_CURVE('',#48728,#48682,#14145,.T.); +#73096=ADVANCED_FACE('',(#73095),#73085,.T.); +#73107=EDGE_CURVE('',#48682,#48678,#14141,.T.); +#73111=ADVANCED_FACE('',(#73110),#73101,.T.); +#73117=EDGE_CURVE('',#48650,#48646,#14093,.T.); +#73119=EDGE_CURVE('',#48642,#48650,#14097,.T.); +#73121=EDGE_CURVE('',#48720,#48642,#14101,.T.); +#73123=EDGE_CURVE('',#48646,#48720,#14105,.T.); +#73127=ADVANCED_FACE('',(#73126),#73116,.T.); +#73133=EDGE_CURVE('',#48662,#48658,#14109,.T.); +#73135=EDGE_CURVE('',#48654,#48662,#14113,.T.); +#73137=EDGE_CURVE('',#48722,#48654,#14117,.T.); +#73139=EDGE_CURVE('',#48658,#48722,#14121,.T.); +#73143=ADVANCED_FACE('',(#73142),#73132,.T.); +#73149=EDGE_CURVE('',#48670,#48666,#14125,.T.); +#73151=EDGE_CURVE('',#48726,#48670,#14129,.T.); +#73153=EDGE_CURVE('',#48724,#48726,#14133,.T.); +#73155=EDGE_CURVE('',#48666,#48724,#14137,.T.); +#73159=ADVANCED_FACE('',(#73158),#73148,.T.); +#73171=ADVANCED_FACE('',(#73170),#73164,.T.); +#73177=EDGE_CURVE('',#48690,#48694,#14157,.T.); +#73179=EDGE_CURVE('',#48686,#48690,#14161,.T.); +#73181=EDGE_CURVE('',#48730,#48686,#14165,.T.); +#73183=EDGE_CURVE('',#48694,#48730,#14169,.T.); +#73187=ADVANCED_FACE('',(#73186),#73176,.T.); +#73193=EDGE_CURVE('',#48702,#48706,#14173,.T.); +#73195=EDGE_CURVE('',#48698,#48702,#14177,.T.); +#73197=EDGE_CURVE('',#48732,#48698,#14181,.T.); +#73199=EDGE_CURVE('',#48706,#48732,#14185,.T.); +#73203=ADVANCED_FACE('',(#73202),#73192,.T.); +#73209=EDGE_CURVE('',#48714,#48718,#14189,.T.); +#73211=EDGE_CURVE('',#48710,#48714,#14193,.T.); +#73213=EDGE_CURVE('',#48734,#48710,#14197,.T.); +#73215=EDGE_CURVE('',#48718,#48734,#14201,.T.); +#73219=ADVANCED_FACE('',(#73218),#73208,.T.); +#73225=EDGE_CURVE('',#48645,#48646,#14205,.T.); +#73227=EDGE_CURVE('',#48505,#48645,#14211,.T.); +#73229=EDGE_CURVE('',#48517,#48505,#14333,.T.); +#73231=EDGE_CURVE('',#48649,#48517,#14217,.T.); +#73233=EDGE_CURVE('',#48649,#48650,#14253,.T.); +#73238=ADVANCED_FACE('',(#73237),#73224,.T.); +#73246=EDGE_CURVE('',#48739,#48720,#14221,.T.); +#73248=EDGE_CURVE('',#48497,#48739,#14227,.T.); +#73250=EDGE_CURVE('',#48509,#48497,#14316,.T.); +#73252=EDGE_CURVE('',#48645,#48509,#14233,.T.); +#73256=ADVANCED_FACE('',(#73255),#73243,.T.); +#73262=EDGE_CURVE('',#48641,#48642,#14237,.T.); +#73264=EDGE_CURVE('',#48521,#48641,#14243,.T.); +#73266=EDGE_CURVE('',#48501,#48521,#14295,.T.); +#73268=EDGE_CURVE('',#48739,#48501,#14249,.T.); +#73274=ADVANCED_FACE('',(#73273),#73261,.T.); +#73283=EDGE_CURVE('',#48513,#48649,#14259,.T.); +#73285=EDGE_CURVE('',#48525,#48513,#14282,.T.); +#73287=EDGE_CURVE('',#48641,#48525,#14265,.T.); +#73291=ADVANCED_FACE('',(#73290),#73279,.T.); +#73297=EDGE_CURVE('',#48513,#48514,#14274,.T.); +#73301=EDGE_CURVE('',#48517,#48518,#14329,.T.); +#73306=ADVANCED_FACE('',(#73305),#73296,.T.); +#73314=EDGE_CURVE('',#48525,#48526,#14278,.T.); +#73319=ADVANCED_FACE('',(#73318),#73311,.T.); +#73325=EDGE_CURVE('',#48521,#48522,#14299,.T.); +#73333=ADVANCED_FACE('',(#73332),#73324,.T.); +#73339=EDGE_CURVE('',#48501,#48502,#14291,.T.); +#73346=ADVANCED_FACE('',(#73345),#73338,.T.); +#73352=EDGE_CURVE('',#48497,#48498,#14308,.T.); +#73360=ADVANCED_FACE('',(#73359),#73351,.T.); +#73368=EDGE_CURVE('',#48509,#48510,#14312,.T.); +#73373=ADVANCED_FACE('',(#73372),#73365,.T.); +#73379=EDGE_CURVE('',#48505,#48506,#14325,.T.); +#73387=ADVANCED_FACE('',(#73386),#73378,.T.); +#73399=ADVANCED_FACE('',(#73398),#73392,.T.); +#73405=EDGE_CURVE('',#48657,#48658,#14337,.T.); +#73407=EDGE_CURVE('',#48473,#48657,#14343,.T.); +#73409=EDGE_CURVE('',#48485,#48473,#14465,.T.); +#73411=EDGE_CURVE('',#48661,#48485,#14349,.T.); +#73413=EDGE_CURVE('',#48661,#48662,#14385,.T.); +#73418=ADVANCED_FACE('',(#73417),#73404,.T.); +#73426=EDGE_CURVE('',#48738,#48722,#14353,.T.); +#73428=EDGE_CURVE('',#48465,#48738,#14359,.T.); +#73430=EDGE_CURVE('',#48477,#48465,#14448,.T.); +#73432=EDGE_CURVE('',#48657,#48477,#14365,.T.); +#73436=ADVANCED_FACE('',(#73435),#73423,.T.); +#73442=EDGE_CURVE('',#48653,#48654,#14369,.T.); +#73444=EDGE_CURVE('',#48489,#48653,#14375,.T.); +#73446=EDGE_CURVE('',#48469,#48489,#14427,.T.); +#73448=EDGE_CURVE('',#48738,#48469,#14381,.T.); +#73454=ADVANCED_FACE('',(#73453),#73441,.T.); +#73463=EDGE_CURVE('',#48481,#48661,#14391,.T.); +#73465=EDGE_CURVE('',#48493,#48481,#14414,.T.); +#73467=EDGE_CURVE('',#48653,#48493,#14397,.T.); +#73471=ADVANCED_FACE('',(#73470),#73459,.T.); +#73477=EDGE_CURVE('',#48481,#48482,#14406,.T.); +#73481=EDGE_CURVE('',#48485,#48486,#14461,.T.); +#73486=ADVANCED_FACE('',(#73485),#73476,.T.); +#73494=EDGE_CURVE('',#48493,#48494,#14410,.T.); +#73499=ADVANCED_FACE('',(#73498),#73491,.T.); +#73505=EDGE_CURVE('',#48489,#48490,#14431,.T.); +#73513=ADVANCED_FACE('',(#73512),#73504,.T.); +#73519=EDGE_CURVE('',#48469,#48470,#14423,.T.); +#73526=ADVANCED_FACE('',(#73525),#73518,.T.); +#73532=EDGE_CURVE('',#48465,#48466,#14440,.T.); +#73540=ADVANCED_FACE('',(#73539),#73531,.T.); +#73548=EDGE_CURVE('',#48477,#48478,#14444,.T.); +#73553=ADVANCED_FACE('',(#73552),#73545,.T.); +#73559=EDGE_CURVE('',#48473,#48474,#14457,.T.); +#73567=ADVANCED_FACE('',(#73566),#73558,.T.); +#73579=ADVANCED_FACE('',(#73578),#73572,.T.); +#73585=EDGE_CURVE('',#48665,#48666,#14469,.T.); +#73587=EDGE_CURVE('',#48441,#48665,#14475,.T.); +#73589=EDGE_CURVE('',#48453,#48441,#14547,.T.); +#73591=EDGE_CURVE('',#48669,#48453,#14481,.T.); +#73593=EDGE_CURVE('',#48669,#48670,#14585,.T.); +#73598=ADVANCED_FACE('',(#73597),#73584,.T.); +#73606=EDGE_CURVE('',#48736,#48724,#14485,.T.); +#73608=EDGE_CURVE('',#48433,#48736,#14491,.T.); +#73610=EDGE_CURVE('',#48445,#48433,#14530,.T.); +#73612=EDGE_CURVE('',#48665,#48445,#14497,.T.); +#73616=ADVANCED_FACE('',(#73615),#73603,.T.); +#73622=EDGE_CURVE('',#48736,#48437,#14503,.T.); +#73626=EDGE_CURVE('',#48737,#48726,#14507,.T.); +#73628=EDGE_CURVE('',#48457,#48737,#14513,.T.); +#73630=EDGE_CURVE('',#48437,#48457,#14577,.T.); +#73634=ADVANCED_FACE('',(#73633),#73621,.T.); +#73640=EDGE_CURVE('',#48433,#48434,#14522,.T.); +#73644=EDGE_CURVE('',#48437,#48438,#14573,.T.); +#73649=ADVANCED_FACE('',(#73648),#73639,.T.); +#73657=EDGE_CURVE('',#48445,#48446,#14526,.T.); +#73662=ADVANCED_FACE('',(#73661),#73654,.T.); +#73668=EDGE_CURVE('',#48441,#48442,#14539,.T.); +#73676=ADVANCED_FACE('',(#73675),#73667,.T.); +#73684=EDGE_CURVE('',#48453,#48454,#14543,.T.); +#73689=ADVANCED_FACE('',(#73688),#73681,.T.); +#73695=EDGE_CURVE('',#48449,#48450,#14556,.T.); +#73697=EDGE_CURVE('',#48449,#48669,#14591,.T.); +#73704=ADVANCED_FACE('',(#73703),#73694,.T.); +#73712=EDGE_CURVE('',#48461,#48462,#14560,.T.); +#73714=EDGE_CURVE('',#48461,#48449,#14564,.T.); +#73718=ADVANCED_FACE('',(#73717),#73709,.T.); +#73724=EDGE_CURVE('',#48457,#48458,#14581,.T.); +#73727=EDGE_CURVE('',#48737,#48461,#14597,.T.); +#73733=ADVANCED_FACE('',(#73732),#73723,.T.); +#73745=ADVANCED_FACE('',(#73744),#73738,.T.); +#73759=ADVANCED_FACE('',(#73758),#73750,.T.); +#73765=EDGE_CURVE('',#48689,#48690,#14617,.T.); +#73768=EDGE_CURVE('',#48693,#48694,#14601,.T.); +#73770=EDGE_CURVE('',#48617,#48693,#14607,.T.); +#73772=EDGE_CURVE('',#48597,#48617,#14692,.T.); +#73774=EDGE_CURVE('',#48689,#48597,#14613,.T.); +#73778=ADVANCED_FACE('',(#73777),#73764,.T.); +#73784=EDGE_CURVE('',#48685,#48686,#14633,.T.); +#73788=EDGE_CURVE('',#48593,#48689,#14623,.T.); +#73790=EDGE_CURVE('',#48605,#48593,#14713,.T.); +#73792=EDGE_CURVE('',#48685,#48605,#14629,.T.); +#73796=ADVANCED_FACE('',(#73795),#73783,.T.); +#73803=EDGE_CURVE('',#48601,#48685,#14639,.T.); +#73805=EDGE_CURVE('',#48613,#48601,#14662,.T.); +#73807=EDGE_CURVE('',#48742,#48613,#14645,.T.); +#73809=EDGE_CURVE('',#48742,#48730,#14717,.T.); +#73814=ADVANCED_FACE('',(#73813),#73801,.T.); +#73820=EDGE_CURVE('',#48601,#48602,#14654,.T.); +#73824=EDGE_CURVE('',#48605,#48606,#14709,.T.); +#73829=ADVANCED_FACE('',(#73828),#73819,.T.); +#73837=EDGE_CURVE('',#48613,#48614,#14658,.T.); +#73842=ADVANCED_FACE('',(#73841),#73834,.T.); +#73848=EDGE_CURVE('',#48609,#48610,#14671,.T.); +#73850=EDGE_CURVE('',#48609,#48742,#14723,.T.); +#73857=ADVANCED_FACE('',(#73856),#73847,.T.); +#73865=EDGE_CURVE('',#48621,#48622,#14675,.T.); +#73867=EDGE_CURVE('',#48621,#48609,#14679,.T.); +#73871=ADVANCED_FACE('',(#73870),#73862,.T.); +#73877=EDGE_CURVE('',#48617,#48618,#14696,.T.); +#73880=EDGE_CURVE('',#48693,#48621,#14729,.T.); +#73886=ADVANCED_FACE('',(#73885),#73876,.T.); +#73892=EDGE_CURVE('',#48597,#48598,#14688,.T.); +#73899=ADVANCED_FACE('',(#73898),#73891,.T.); +#73905=EDGE_CURVE('',#48593,#48594,#14705,.T.); +#73913=ADVANCED_FACE('',(#73912),#73904,.T.); +#73925=ADVANCED_FACE('',(#73924),#73918,.T.); +#73939=ADVANCED_FACE('',(#73938),#73930,.T.); +#73945=EDGE_CURVE('',#48701,#48702,#14749,.T.); +#73948=EDGE_CURVE('',#48705,#48706,#14733,.T.); +#73950=EDGE_CURVE('',#48585,#48705,#14739,.T.); +#73952=EDGE_CURVE('',#48565,#48585,#14824,.T.); +#73954=EDGE_CURVE('',#48701,#48565,#14745,.T.); +#73958=ADVANCED_FACE('',(#73957),#73944,.T.); +#73964=EDGE_CURVE('',#48697,#48698,#14765,.T.); +#73968=EDGE_CURVE('',#48561,#48701,#14755,.T.); +#73970=EDGE_CURVE('',#48573,#48561,#14845,.T.); +#73972=EDGE_CURVE('',#48697,#48573,#14761,.T.); +#73976=ADVANCED_FACE('',(#73975),#73963,.T.); +#73983=EDGE_CURVE('',#48569,#48697,#14771,.T.); +#73985=EDGE_CURVE('',#48581,#48569,#14794,.T.); +#73987=EDGE_CURVE('',#48741,#48581,#14777,.T.); +#73989=EDGE_CURVE('',#48741,#48732,#14849,.T.); +#73994=ADVANCED_FACE('',(#73993),#73981,.T.); +#74000=EDGE_CURVE('',#48569,#48570,#14786,.T.); +#74004=EDGE_CURVE('',#48573,#48574,#14841,.T.); +#74009=ADVANCED_FACE('',(#74008),#73999,.T.); +#74017=EDGE_CURVE('',#48581,#48582,#14790,.T.); +#74022=ADVANCED_FACE('',(#74021),#74014,.T.); +#74028=EDGE_CURVE('',#48577,#48578,#14803,.T.); +#74030=EDGE_CURVE('',#48577,#48741,#14855,.T.); +#74037=ADVANCED_FACE('',(#74036),#74027,.T.); +#74045=EDGE_CURVE('',#48589,#48590,#14807,.T.); +#74047=EDGE_CURVE('',#48589,#48577,#14811,.T.); +#74051=ADVANCED_FACE('',(#74050),#74042,.T.); +#74057=EDGE_CURVE('',#48585,#48586,#14828,.T.); +#74060=EDGE_CURVE('',#48705,#48589,#14861,.T.); +#74066=ADVANCED_FACE('',(#74065),#74056,.T.); +#74072=EDGE_CURVE('',#48565,#48566,#14820,.T.); +#74079=ADVANCED_FACE('',(#74078),#74071,.T.); +#74085=EDGE_CURVE('',#48561,#48562,#14837,.T.); +#74093=ADVANCED_FACE('',(#74092),#74084,.T.); +#74105=ADVANCED_FACE('',(#74104),#74098,.T.); +#74119=ADVANCED_FACE('',(#74118),#74110,.T.); +#74125=EDGE_CURVE('',#48713,#48714,#14881,.T.); +#74128=EDGE_CURVE('',#48717,#48718,#14865,.T.); +#74130=EDGE_CURVE('',#48553,#48717,#14871,.T.); +#74132=EDGE_CURVE('',#48533,#48553,#14956,.T.); +#74134=EDGE_CURVE('',#48713,#48533,#14877,.T.); +#74138=ADVANCED_FACE('',(#74137),#74124,.T.); +#74144=EDGE_CURVE('',#48709,#48710,#14897,.T.); +#74148=EDGE_CURVE('',#48529,#48713,#14887,.T.); +#74150=EDGE_CURVE('',#48541,#48529,#14977,.T.); +#74152=EDGE_CURVE('',#48709,#48541,#14893,.T.); +#74156=ADVANCED_FACE('',(#74155),#74143,.T.); +#74163=EDGE_CURVE('',#48537,#48709,#14903,.T.); +#74165=EDGE_CURVE('',#48549,#48537,#14926,.T.); +#74167=EDGE_CURVE('',#48740,#48549,#14909,.T.); +#74169=EDGE_CURVE('',#48740,#48734,#14981,.T.); +#74174=ADVANCED_FACE('',(#74173),#74161,.T.); +#74180=EDGE_CURVE('',#48537,#48538,#14918,.T.); +#74184=EDGE_CURVE('',#48541,#48542,#14973,.T.); +#74189=ADVANCED_FACE('',(#74188),#74179,.T.); +#74197=EDGE_CURVE('',#48549,#48550,#14922,.T.); +#74202=ADVANCED_FACE('',(#74201),#74194,.T.); +#74208=EDGE_CURVE('',#48545,#48546,#14935,.T.); +#74210=EDGE_CURVE('',#48545,#48740,#14987,.T.); +#74217=ADVANCED_FACE('',(#74216),#74207,.T.); +#74225=EDGE_CURVE('',#48557,#48558,#14939,.T.); +#74227=EDGE_CURVE('',#48557,#48545,#14943,.T.); +#74231=ADVANCED_FACE('',(#74230),#74222,.T.); +#74237=EDGE_CURVE('',#48553,#48554,#14960,.T.); +#74240=EDGE_CURVE('',#48717,#48557,#14993,.T.); +#74246=ADVANCED_FACE('',(#74245),#74236,.T.); +#74252=EDGE_CURVE('',#48533,#48534,#14952,.T.); +#74259=ADVANCED_FACE('',(#74258),#74251,.T.); +#74265=EDGE_CURVE('',#48529,#48530,#14969,.T.); +#74273=ADVANCED_FACE('',(#74272),#74264,.T.); +#74285=ADVANCED_FACE('',(#74284),#74278,.T.); +#74299=ADVANCED_FACE('',(#74298),#74290,.T.); +#74305=EDGE_CURVE('',#44891,#44600,#14997,.T.); +#74307=EDGE_CURVE('',#44600,#44601,#15025,.T.); +#74309=EDGE_CURVE('',#44893,#44601,#15021,.T.); +#74314=ADVANCED_FACE('',(#74313),#74304,.T.); +#74322=EDGE_CURVE('',#44903,#44611,#15001,.T.); +#74324=EDGE_CURVE('',#44611,#44600,#15029,.T.); +#74328=ADVANCED_FACE('',(#74327),#74319,.T.); +#74334=EDGE_CURVE('',#44901,#44609,#15005,.T.); +#74336=EDGE_CURVE('',#44609,#44611,#15033,.T.); +#74342=ADVANCED_FACE('',(#74341),#74333,.T.); +#74348=EDGE_CURVE('',#44899,#44607,#15009,.T.); +#74350=EDGE_CURVE('',#44607,#44609,#15037,.T.); +#74356=ADVANCED_FACE('',(#74355),#74347,.T.); +#74362=EDGE_CURVE('',#44897,#44605,#15013,.T.); +#74364=EDGE_CURVE('',#44605,#44607,#15041,.T.); +#74370=ADVANCED_FACE('',(#74369),#74361,.T.); +#74376=EDGE_CURVE('',#44895,#44603,#15017,.T.); +#74378=EDGE_CURVE('',#44603,#44605,#15045,.T.); +#74384=ADVANCED_FACE('',(#74383),#74375,.T.); +#74391=EDGE_CURVE('',#44601,#44603,#15049,.T.); +#74397=ADVANCED_FACE('',(#74396),#74389,.T.); +#74412=ADVANCED_FACE('',(#74411),#74402,.T.); +#74418=EDGE_CURVE('',#44614,#44615,#15053,.T.); +#74420=EDGE_CURVE('',#44725,#44614,#15057,.T.); +#74422=EDGE_CURVE('',#44723,#44725,#15061,.T.); +#74424=EDGE_CURVE('',#44721,#44723,#15065,.T.); +#74426=EDGE_CURVE('',#44719,#44721,#15069,.T.); +#74428=EDGE_CURVE('',#44717,#44719,#15073,.T.); +#74430=EDGE_CURVE('',#44715,#44717,#15077,.T.); +#74432=EDGE_CURVE('',#44713,#44715,#15081,.T.); +#74434=EDGE_CURVE('',#44711,#44713,#15085,.T.); +#74436=EDGE_CURVE('',#44709,#44711,#15089,.T.); +#74438=EDGE_CURVE('',#44707,#44709,#15093,.T.); +#74440=EDGE_CURVE('',#44705,#44707,#15097,.T.); +#74442=EDGE_CURVE('',#44703,#44705,#15101,.T.); +#74444=EDGE_CURVE('',#44701,#44703,#15105,.T.); +#74446=EDGE_CURVE('',#44699,#44701,#15109,.T.); +#74448=EDGE_CURVE('',#44697,#44699,#15113,.T.); +#74450=EDGE_CURVE('',#44695,#44697,#15117,.T.); +#74452=EDGE_CURVE('',#44693,#44695,#15121,.T.); +#74454=EDGE_CURVE('',#44691,#44693,#15125,.T.); +#74456=EDGE_CURVE('',#44689,#44691,#15129,.T.); +#74458=EDGE_CURVE('',#44687,#44689,#15133,.T.); +#74460=EDGE_CURVE('',#44685,#44687,#15137,.T.); +#74462=EDGE_CURVE('',#44683,#44685,#15141,.T.); +#74464=EDGE_CURVE('',#44681,#44683,#15145,.T.); +#74466=EDGE_CURVE('',#44679,#44681,#15149,.T.); +#74468=EDGE_CURVE('',#44677,#44679,#15153,.T.); +#74470=EDGE_CURVE('',#44675,#44677,#15157,.T.); +#74472=EDGE_CURVE('',#44673,#44675,#15161,.T.); +#74474=EDGE_CURVE('',#44671,#44673,#15165,.T.); +#74476=EDGE_CURVE('',#44669,#44671,#15169,.T.); +#74478=EDGE_CURVE('',#44667,#44669,#15173,.T.); +#74480=EDGE_CURVE('',#44665,#44667,#15177,.T.); +#74482=EDGE_CURVE('',#44663,#44665,#15181,.T.); +#74484=EDGE_CURVE('',#44661,#44663,#15185,.T.); +#74486=EDGE_CURVE('',#44659,#44661,#15189,.T.); +#74488=EDGE_CURVE('',#44657,#44659,#15193,.T.); +#74490=EDGE_CURVE('',#44655,#44657,#15197,.T.); +#74492=EDGE_CURVE('',#44653,#44655,#15201,.T.); +#74494=EDGE_CURVE('',#44651,#44653,#15205,.T.); +#74496=EDGE_CURVE('',#44649,#44651,#15209,.T.); +#74498=EDGE_CURVE('',#44647,#44649,#15213,.T.); +#74500=EDGE_CURVE('',#44645,#44647,#15217,.T.); +#74502=EDGE_CURVE('',#44643,#44645,#15221,.T.); +#74504=EDGE_CURVE('',#44641,#44643,#15225,.T.); +#74506=EDGE_CURVE('',#44639,#44641,#15229,.T.); +#74508=EDGE_CURVE('',#44637,#44639,#15233,.T.); +#74510=EDGE_CURVE('',#44635,#44637,#15237,.T.); +#74512=EDGE_CURVE('',#44633,#44635,#15241,.T.); +#74514=EDGE_CURVE('',#44631,#44633,#15245,.T.); +#74516=EDGE_CURVE('',#44629,#44631,#15249,.T.); +#74518=EDGE_CURVE('',#44627,#44629,#15253,.T.); +#74520=EDGE_CURVE('',#44625,#44627,#15257,.T.); +#74522=EDGE_CURVE('',#44623,#44625,#15261,.T.); +#74524=EDGE_CURVE('',#44621,#44623,#15265,.T.); +#74526=EDGE_CURVE('',#44619,#44621,#15269,.T.); +#74528=EDGE_CURVE('',#44617,#44619,#15273,.T.); +#74530=EDGE_CURVE('',#44615,#44617,#15277,.T.); +#74534=ADVANCED_FACE('',(#74533),#74417,.T.); +#74540=EDGE_CURVE('',#44728,#44729,#15281,.T.); +#74542=EDGE_CURVE('',#44739,#44728,#15285,.T.); +#74544=EDGE_CURVE('',#44737,#44739,#15289,.T.); +#74546=EDGE_CURVE('',#44735,#44737,#15293,.T.); +#74548=EDGE_CURVE('',#44733,#44735,#15297,.T.); +#74550=EDGE_CURVE('',#44731,#44733,#15301,.T.); +#74552=EDGE_CURVE('',#44729,#44731,#15305,.T.); +#74556=ADVANCED_FACE('',(#74555),#74539,.T.); +#74562=EDGE_CURVE('',#44742,#44743,#15309,.T.); +#74564=EDGE_CURVE('',#44819,#44742,#15313,.T.); +#74566=EDGE_CURVE('',#44817,#44819,#15317,.T.); +#74568=EDGE_CURVE('',#44815,#44817,#15321,.T.); +#74570=EDGE_CURVE('',#44813,#44815,#15325,.T.); +#74572=EDGE_CURVE('',#44811,#44813,#15329,.T.); +#74574=EDGE_CURVE('',#44809,#44811,#15333,.T.); +#74576=EDGE_CURVE('',#44807,#44809,#15337,.T.); +#74578=EDGE_CURVE('',#44805,#44807,#15341,.T.); +#74580=EDGE_CURVE('',#44803,#44805,#15345,.T.); +#74582=EDGE_CURVE('',#44801,#44803,#15349,.T.); +#74584=EDGE_CURVE('',#44799,#44801,#15353,.T.); +#74586=EDGE_CURVE('',#44797,#44799,#15357,.T.); +#74588=EDGE_CURVE('',#44795,#44797,#15361,.T.); +#74590=EDGE_CURVE('',#44793,#44795,#15365,.T.); +#74592=EDGE_CURVE('',#44791,#44793,#15369,.T.); +#74594=EDGE_CURVE('',#44789,#44791,#15373,.T.); +#74596=EDGE_CURVE('',#44787,#44789,#15377,.T.); +#74598=EDGE_CURVE('',#44785,#44787,#15381,.T.); +#74600=EDGE_CURVE('',#44783,#44785,#15385,.T.); +#74602=EDGE_CURVE('',#44781,#44783,#15389,.T.); +#74604=EDGE_CURVE('',#44779,#44781,#15393,.T.); +#74606=EDGE_CURVE('',#44777,#44779,#15397,.T.); +#74608=EDGE_CURVE('',#44775,#44777,#15401,.T.); +#74610=EDGE_CURVE('',#44773,#44775,#15405,.T.); +#74612=EDGE_CURVE('',#44771,#44773,#15409,.T.); +#74614=EDGE_CURVE('',#44769,#44771,#15413,.T.); +#74616=EDGE_CURVE('',#44767,#44769,#15417,.T.); +#74618=EDGE_CURVE('',#44765,#44767,#15421,.T.); +#74620=EDGE_CURVE('',#44763,#44765,#15425,.T.); +#74622=EDGE_CURVE('',#44761,#44763,#15429,.T.); +#74624=EDGE_CURVE('',#44759,#44761,#15433,.T.); +#74626=EDGE_CURVE('',#44757,#44759,#15437,.T.); +#74628=EDGE_CURVE('',#44755,#44757,#15441,.T.); +#74630=EDGE_CURVE('',#44753,#44755,#15445,.T.); +#74632=EDGE_CURVE('',#44751,#44753,#15449,.T.); +#74634=EDGE_CURVE('',#44749,#44751,#15453,.T.); +#74636=EDGE_CURVE('',#44747,#44749,#15457,.T.); +#74638=EDGE_CURVE('',#44745,#44747,#15461,.T.); +#74640=EDGE_CURVE('',#44743,#44745,#15465,.T.); +#74644=ADVANCED_FACE('',(#74643),#74561,.T.); +#74650=EDGE_CURVE('',#44822,#44823,#15469,.T.); +#74652=EDGE_CURVE('',#44875,#44822,#15473,.T.); +#74654=EDGE_CURVE('',#44873,#44875,#15477,.T.); +#74656=EDGE_CURVE('',#44871,#44873,#15481,.T.); +#74658=EDGE_CURVE('',#44869,#44871,#15485,.T.); +#74660=EDGE_CURVE('',#44867,#44869,#15489,.T.); +#74662=EDGE_CURVE('',#44865,#44867,#15493,.T.); +#74664=EDGE_CURVE('',#44863,#44865,#15497,.T.); +#74666=EDGE_CURVE('',#44861,#44863,#15501,.T.); +#74668=EDGE_CURVE('',#44859,#44861,#15505,.T.); +#74670=EDGE_CURVE('',#44857,#44859,#15509,.T.); +#74672=EDGE_CURVE('',#44855,#44857,#15513,.T.); +#74674=EDGE_CURVE('',#44853,#44855,#15517,.T.); +#74676=EDGE_CURVE('',#44851,#44853,#15521,.T.); +#74678=EDGE_CURVE('',#44849,#44851,#15525,.T.); +#74680=EDGE_CURVE('',#44847,#44849,#15529,.T.); +#74682=EDGE_CURVE('',#44845,#44847,#15533,.T.); +#74684=EDGE_CURVE('',#44843,#44845,#15537,.T.); +#74686=EDGE_CURVE('',#44841,#44843,#15541,.T.); +#74688=EDGE_CURVE('',#44839,#44841,#15545,.T.); +#74690=EDGE_CURVE('',#44837,#44839,#15549,.T.); +#74692=EDGE_CURVE('',#44835,#44837,#15553,.T.); +#74694=EDGE_CURVE('',#44833,#44835,#15557,.T.); +#74696=EDGE_CURVE('',#44831,#44833,#15561,.T.); +#74698=EDGE_CURVE('',#44829,#44831,#15565,.T.); +#74700=EDGE_CURVE('',#44827,#44829,#15569,.T.); +#74702=EDGE_CURVE('',#44825,#44827,#15573,.T.); +#74704=EDGE_CURVE('',#44823,#44825,#15577,.T.); +#74708=ADVANCED_FACE('',(#74707),#74649,.T.); +#74714=EDGE_CURVE('',#44878,#44879,#15581,.T.); +#74716=EDGE_CURVE('',#44889,#44878,#15585,.T.); +#74718=EDGE_CURVE('',#44887,#44889,#15589,.T.); +#74720=EDGE_CURVE('',#44885,#44887,#15593,.T.); +#74722=EDGE_CURVE('',#44883,#44885,#15597,.T.); +#74724=EDGE_CURVE('',#44881,#44883,#15601,.T.); +#74726=EDGE_CURVE('',#44879,#44881,#15605,.T.); +#74730=ADVANCED_FACE('',(#74729),#74713,.T.); +#74736=EDGE_CURVE('',#55581,#55582,#15609,.T.); +#74738=EDGE_CURVE('',#55592,#55581,#15613,.T.); +#74740=EDGE_CURVE('',#55590,#55592,#15617,.T.); +#74742=EDGE_CURVE('',#55588,#55590,#15621,.T.); +#74744=EDGE_CURVE('',#55586,#55588,#15625,.T.); +#74746=EDGE_CURVE('',#55584,#55586,#15629,.T.); +#74748=EDGE_CURVE('',#55582,#55584,#15633,.T.); +#74752=ADVANCED_FACE('',(#74751),#74735,.T.); +#74758=EDGE_CURVE('',#55595,#55596,#15637,.T.); +#74760=EDGE_CURVE('',#55614,#55595,#15641,.T.); +#74762=EDGE_CURVE('',#55612,#55614,#15645,.T.); +#74764=EDGE_CURVE('',#55610,#55612,#15649,.T.); +#74766=EDGE_CURVE('',#55608,#55610,#15653,.T.); +#74768=EDGE_CURVE('',#55606,#55608,#15657,.T.); +#74770=EDGE_CURVE('',#55604,#55606,#15661,.T.); +#74772=EDGE_CURVE('',#55602,#55604,#15665,.T.); +#74774=EDGE_CURVE('',#55600,#55602,#15669,.T.); +#74776=EDGE_CURVE('',#55598,#55600,#15673,.T.); +#74778=EDGE_CURVE('',#55596,#55598,#15677,.T.); +#74782=EDGE_CURVE('',#55617,#55618,#15681,.T.); +#74784=EDGE_CURVE('',#55620,#55617,#15685,.T.); +#74786=EDGE_CURVE('',#55618,#55620,#15689,.T.); +#74790=ADVANCED_FACE('',(#74781,#74789),#74757,.T.); +#74796=EDGE_CURVE('',#55623,#55624,#15693,.T.); +#74798=EDGE_CURVE('',#55676,#55623,#15697,.T.); +#74800=EDGE_CURVE('',#55674,#55676,#15701,.T.); +#74802=EDGE_CURVE('',#55672,#55674,#15705,.T.); +#74804=EDGE_CURVE('',#55670,#55672,#15709,.T.); +#74806=EDGE_CURVE('',#55668,#55670,#15713,.T.); +#74808=EDGE_CURVE('',#55666,#55668,#15717,.T.); +#74810=EDGE_CURVE('',#55664,#55666,#15721,.T.); +#74812=EDGE_CURVE('',#55662,#55664,#15725,.T.); +#74814=EDGE_CURVE('',#55660,#55662,#15729,.T.); +#74816=EDGE_CURVE('',#55658,#55660,#15733,.T.); +#74818=EDGE_CURVE('',#55656,#55658,#15737,.T.); +#74820=EDGE_CURVE('',#55654,#55656,#15741,.T.); +#74822=EDGE_CURVE('',#55652,#55654,#15745,.T.); +#74824=EDGE_CURVE('',#55650,#55652,#15749,.T.); +#74826=EDGE_CURVE('',#55648,#55650,#15753,.T.); +#74828=EDGE_CURVE('',#55646,#55648,#15757,.T.); +#74830=EDGE_CURVE('',#55644,#55646,#15761,.T.); +#74832=EDGE_CURVE('',#55642,#55644,#15765,.T.); +#74834=EDGE_CURVE('',#55640,#55642,#15769,.T.); +#74836=EDGE_CURVE('',#55638,#55640,#15773,.T.); +#74838=EDGE_CURVE('',#55636,#55638,#15777,.T.); +#74840=EDGE_CURVE('',#55634,#55636,#15781,.T.); +#74842=EDGE_CURVE('',#55632,#55634,#15785,.T.); +#74844=EDGE_CURVE('',#55630,#55632,#15789,.T.); +#74846=EDGE_CURVE('',#55628,#55630,#15793,.T.); +#74848=EDGE_CURVE('',#55626,#55628,#15797,.T.); +#74850=EDGE_CURVE('',#55624,#55626,#15801,.T.); +#74854=ADVANCED_FACE('',(#74853),#74795,.T.); +#74860=EDGE_CURVE('',#55679,#55680,#15805,.T.); +#74862=EDGE_CURVE('',#55756,#55679,#15809,.T.); +#74864=EDGE_CURVE('',#55754,#55756,#15813,.T.); +#74866=EDGE_CURVE('',#55752,#55754,#15817,.T.); +#74868=EDGE_CURVE('',#55750,#55752,#15821,.T.); +#74870=EDGE_CURVE('',#55748,#55750,#15825,.T.); +#74872=EDGE_CURVE('',#55746,#55748,#15829,.T.); +#74874=EDGE_CURVE('',#55744,#55746,#15833,.T.); +#74876=EDGE_CURVE('',#55742,#55744,#15837,.T.); +#74878=EDGE_CURVE('',#55740,#55742,#15841,.T.); +#74880=EDGE_CURVE('',#55738,#55740,#15845,.T.); +#74882=EDGE_CURVE('',#55736,#55738,#15849,.T.); +#74884=EDGE_CURVE('',#55734,#55736,#15853,.T.); +#74886=EDGE_CURVE('',#55732,#55734,#15857,.T.); +#74888=EDGE_CURVE('',#55730,#55732,#15861,.T.); +#74890=EDGE_CURVE('',#55728,#55730,#15865,.T.); +#74892=EDGE_CURVE('',#55726,#55728,#15869,.T.); +#74894=EDGE_CURVE('',#55724,#55726,#15873,.T.); +#74896=EDGE_CURVE('',#55722,#55724,#15877,.T.); +#74898=EDGE_CURVE('',#55720,#55722,#15881,.T.); +#74900=EDGE_CURVE('',#55718,#55720,#15885,.T.); +#74902=EDGE_CURVE('',#55716,#55718,#15889,.T.); +#74904=EDGE_CURVE('',#55714,#55716,#15893,.T.); +#74906=EDGE_CURVE('',#55712,#55714,#15897,.T.); +#74908=EDGE_CURVE('',#55710,#55712,#15901,.T.); +#74910=EDGE_CURVE('',#55708,#55710,#15905,.T.); +#74912=EDGE_CURVE('',#55706,#55708,#15909,.T.); +#74914=EDGE_CURVE('',#55704,#55706,#15913,.T.); +#74916=EDGE_CURVE('',#55702,#55704,#15917,.T.); +#74918=EDGE_CURVE('',#55700,#55702,#15921,.T.); +#74920=EDGE_CURVE('',#55698,#55700,#15925,.T.); +#74922=EDGE_CURVE('',#55696,#55698,#15929,.T.); +#74924=EDGE_CURVE('',#55694,#55696,#15933,.T.); +#74926=EDGE_CURVE('',#55692,#55694,#15937,.T.); +#74928=EDGE_CURVE('',#55690,#55692,#15941,.T.); +#74930=EDGE_CURVE('',#55688,#55690,#15945,.T.); +#74932=EDGE_CURVE('',#55686,#55688,#15949,.T.); +#74934=EDGE_CURVE('',#55684,#55686,#15953,.T.); +#74936=EDGE_CURVE('',#55682,#55684,#15957,.T.); +#74938=EDGE_CURVE('',#55680,#55682,#15961,.T.); +#74942=EDGE_CURVE('',#55759,#55760,#15965,.T.); +#74944=EDGE_CURVE('',#55796,#55759,#15969,.T.); +#74946=EDGE_CURVE('',#55794,#55796,#15973,.T.); +#74948=EDGE_CURVE('',#55792,#55794,#15977,.T.); +#74950=EDGE_CURVE('',#55790,#55792,#15981,.T.); +#74952=EDGE_CURVE('',#55788,#55790,#15985,.T.); +#74954=EDGE_CURVE('',#55786,#55788,#15989,.T.); +#74956=EDGE_CURVE('',#55784,#55786,#15993,.T.); +#74958=EDGE_CURVE('',#55782,#55784,#15997,.T.); +#74960=EDGE_CURVE('',#55780,#55782,#16001,.T.); +#74962=EDGE_CURVE('',#55778,#55780,#16005,.T.); +#74964=EDGE_CURVE('',#55776,#55778,#16009,.T.); +#74966=EDGE_CURVE('',#55774,#55776,#16013,.T.); +#74968=EDGE_CURVE('',#55772,#55774,#16017,.T.); +#74970=EDGE_CURVE('',#55770,#55772,#16021,.T.); +#74972=EDGE_CURVE('',#55768,#55770,#16025,.T.); +#74974=EDGE_CURVE('',#55766,#55768,#16029,.T.); +#74976=EDGE_CURVE('',#55764,#55766,#16033,.T.); +#74978=EDGE_CURVE('',#55762,#55764,#16037,.T.); +#74980=EDGE_CURVE('',#55760,#55762,#16041,.T.); +#74984=ADVANCED_FACE('',(#74941,#74983),#74859,.T.); +#74990=EDGE_CURVE('',#55799,#55800,#16045,.T.); +#74992=EDGE_CURVE('',#55876,#55799,#16049,.T.); +#74994=EDGE_CURVE('',#55874,#55876,#16053,.T.); +#74996=EDGE_CURVE('',#55872,#55874,#16057,.T.); +#74998=EDGE_CURVE('',#55870,#55872,#16061,.T.); +#75000=EDGE_CURVE('',#55868,#55870,#16065,.T.); +#75002=EDGE_CURVE('',#55866,#55868,#16069,.T.); +#75004=EDGE_CURVE('',#55864,#55866,#16073,.T.); +#75006=EDGE_CURVE('',#55862,#55864,#16077,.T.); +#75008=EDGE_CURVE('',#55860,#55862,#16081,.T.); +#75010=EDGE_CURVE('',#55858,#55860,#16085,.T.); +#75012=EDGE_CURVE('',#55856,#55858,#16089,.T.); +#75014=EDGE_CURVE('',#55854,#55856,#16093,.T.); +#75016=EDGE_CURVE('',#55852,#55854,#16097,.T.); +#75018=EDGE_CURVE('',#55850,#55852,#16101,.T.); +#75020=EDGE_CURVE('',#55848,#55850,#16105,.T.); +#75022=EDGE_CURVE('',#55846,#55848,#16109,.T.); +#75024=EDGE_CURVE('',#55844,#55846,#16113,.T.); +#75026=EDGE_CURVE('',#55842,#55844,#16117,.T.); +#75028=EDGE_CURVE('',#55840,#55842,#16121,.T.); +#75030=EDGE_CURVE('',#55838,#55840,#16125,.T.); +#75032=EDGE_CURVE('',#55836,#55838,#16129,.T.); +#75034=EDGE_CURVE('',#55834,#55836,#16133,.T.); +#75036=EDGE_CURVE('',#55832,#55834,#16137,.T.); +#75038=EDGE_CURVE('',#55830,#55832,#16141,.T.); +#75040=EDGE_CURVE('',#55828,#55830,#16145,.T.); +#75042=EDGE_CURVE('',#55826,#55828,#16149,.T.); +#75044=EDGE_CURVE('',#55824,#55826,#16153,.T.); +#75046=EDGE_CURVE('',#55822,#55824,#16157,.T.); +#75048=EDGE_CURVE('',#55820,#55822,#16161,.T.); +#75050=EDGE_CURVE('',#55818,#55820,#16165,.T.); +#75052=EDGE_CURVE('',#55816,#55818,#16169,.T.); +#75054=EDGE_CURVE('',#55814,#55816,#16173,.T.); +#75056=EDGE_CURVE('',#55812,#55814,#16177,.T.); +#75058=EDGE_CURVE('',#55810,#55812,#16181,.T.); +#75060=EDGE_CURVE('',#55808,#55810,#16185,.T.); +#75062=EDGE_CURVE('',#55806,#55808,#16189,.T.); +#75064=EDGE_CURVE('',#55804,#55806,#16193,.T.); +#75066=EDGE_CURVE('',#55802,#55804,#16197,.T.); +#75068=EDGE_CURVE('',#55800,#55802,#16201,.T.); +#75072=ADVANCED_FACE('',(#75071),#74989,.T.); +#75078=EDGE_CURVE('',#55879,#55880,#16205,.T.); +#75080=EDGE_CURVE('',#55990,#55879,#16209,.T.); +#75082=EDGE_CURVE('',#55988,#55990,#16213,.T.); +#75084=EDGE_CURVE('',#55986,#55988,#16217,.T.); +#75086=EDGE_CURVE('',#55984,#55986,#16221,.T.); +#75088=EDGE_CURVE('',#55982,#55984,#16225,.T.); +#75090=EDGE_CURVE('',#55980,#55982,#16229,.T.); +#75092=EDGE_CURVE('',#55978,#55980,#16233,.T.); +#75094=EDGE_CURVE('',#55976,#55978,#16237,.T.); +#75096=EDGE_CURVE('',#55974,#55976,#16241,.T.); +#75098=EDGE_CURVE('',#55972,#55974,#16245,.T.); +#75100=EDGE_CURVE('',#55970,#55972,#16249,.T.); +#75102=EDGE_CURVE('',#55968,#55970,#16253,.T.); +#75104=EDGE_CURVE('',#55966,#55968,#16257,.T.); +#75106=EDGE_CURVE('',#55964,#55966,#16261,.T.); +#75108=EDGE_CURVE('',#55962,#55964,#16265,.T.); +#75110=EDGE_CURVE('',#55960,#55962,#16269,.T.); +#75112=EDGE_CURVE('',#55958,#55960,#16273,.T.); +#75114=EDGE_CURVE('',#55956,#55958,#16277,.T.); +#75116=EDGE_CURVE('',#55954,#55956,#16281,.T.); +#75118=EDGE_CURVE('',#55952,#55954,#16285,.T.); +#75120=EDGE_CURVE('',#55950,#55952,#16289,.T.); +#75122=EDGE_CURVE('',#55948,#55950,#16293,.T.); +#75124=EDGE_CURVE('',#55946,#55948,#16297,.T.); +#75126=EDGE_CURVE('',#55944,#55946,#16301,.T.); +#75128=EDGE_CURVE('',#55942,#55944,#16305,.T.); +#75130=EDGE_CURVE('',#55940,#55942,#16309,.T.); +#75132=EDGE_CURVE('',#55938,#55940,#16313,.T.); +#75134=EDGE_CURVE('',#55936,#55938,#16317,.T.); +#75136=EDGE_CURVE('',#55934,#55936,#16321,.T.); +#75138=EDGE_CURVE('',#55932,#55934,#16325,.T.); +#75140=EDGE_CURVE('',#55930,#55932,#16329,.T.); +#75142=EDGE_CURVE('',#55928,#55930,#16333,.T.); +#75144=EDGE_CURVE('',#55926,#55928,#16337,.T.); +#75146=EDGE_CURVE('',#55924,#55926,#16341,.T.); +#75148=EDGE_CURVE('',#55922,#55924,#16345,.T.); +#75150=EDGE_CURVE('',#55920,#55922,#16349,.T.); +#75152=EDGE_CURVE('',#55918,#55920,#16353,.T.); +#75154=EDGE_CURVE('',#55916,#55918,#16357,.T.); +#75156=EDGE_CURVE('',#55914,#55916,#16361,.T.); +#75158=EDGE_CURVE('',#55912,#55914,#16365,.T.); +#75160=EDGE_CURVE('',#55910,#55912,#16369,.T.); +#75162=EDGE_CURVE('',#55908,#55910,#16373,.T.); +#75164=EDGE_CURVE('',#55906,#55908,#16377,.T.); +#75166=EDGE_CURVE('',#55904,#55906,#16381,.T.); +#75168=EDGE_CURVE('',#55902,#55904,#16385,.T.); +#75170=EDGE_CURVE('',#55900,#55902,#16389,.T.); +#75172=EDGE_CURVE('',#55898,#55900,#16393,.T.); +#75174=EDGE_CURVE('',#55896,#55898,#16397,.T.); +#75176=EDGE_CURVE('',#55894,#55896,#16401,.T.); +#75178=EDGE_CURVE('',#55892,#55894,#16405,.T.); +#75180=EDGE_CURVE('',#55890,#55892,#16409,.T.); +#75182=EDGE_CURVE('',#55888,#55890,#16413,.T.); +#75184=EDGE_CURVE('',#55886,#55888,#16417,.T.); +#75186=EDGE_CURVE('',#55884,#55886,#16421,.T.); +#75188=EDGE_CURVE('',#55882,#55884,#16425,.T.); +#75190=EDGE_CURVE('',#55880,#55882,#16429,.T.); +#75194=ADVANCED_FACE('',(#75193),#75077,.T.); +#75200=EDGE_CURVE('',#55993,#55994,#16433,.T.); +#75202=EDGE_CURVE('',#56070,#55993,#16437,.T.); +#75204=EDGE_CURVE('',#56068,#56070,#16441,.T.); +#75206=EDGE_CURVE('',#56066,#56068,#16445,.T.); +#75208=EDGE_CURVE('',#56064,#56066,#16449,.T.); +#75210=EDGE_CURVE('',#56062,#56064,#16453,.T.); +#75212=EDGE_CURVE('',#56060,#56062,#16457,.T.); +#75214=EDGE_CURVE('',#56058,#56060,#16461,.T.); +#75216=EDGE_CURVE('',#56056,#56058,#16465,.T.); +#75218=EDGE_CURVE('',#56054,#56056,#16469,.T.); +#75220=EDGE_CURVE('',#56052,#56054,#16473,.T.); +#75222=EDGE_CURVE('',#56050,#56052,#16477,.T.); +#75224=EDGE_CURVE('',#56048,#56050,#16481,.T.); +#75226=EDGE_CURVE('',#56046,#56048,#16485,.T.); +#75228=EDGE_CURVE('',#56044,#56046,#16489,.T.); +#75230=EDGE_CURVE('',#56042,#56044,#16493,.T.); +#75232=EDGE_CURVE('',#56040,#56042,#16497,.T.); +#75234=EDGE_CURVE('',#56038,#56040,#16501,.T.); +#75236=EDGE_CURVE('',#56036,#56038,#16505,.T.); +#75238=EDGE_CURVE('',#56034,#56036,#16509,.T.); +#75240=EDGE_CURVE('',#56032,#56034,#16513,.T.); +#75242=EDGE_CURVE('',#56030,#56032,#16517,.T.); +#75244=EDGE_CURVE('',#56028,#56030,#16521,.T.); +#75246=EDGE_CURVE('',#56026,#56028,#16525,.T.); +#75248=EDGE_CURVE('',#56024,#56026,#16529,.T.); +#75250=EDGE_CURVE('',#56022,#56024,#16533,.T.); +#75252=EDGE_CURVE('',#56020,#56022,#16537,.T.); +#75254=EDGE_CURVE('',#56018,#56020,#16541,.T.); +#75256=EDGE_CURVE('',#56016,#56018,#16545,.T.); +#75258=EDGE_CURVE('',#56014,#56016,#16549,.T.); +#75260=EDGE_CURVE('',#56012,#56014,#16553,.T.); +#75262=EDGE_CURVE('',#56010,#56012,#16557,.T.); +#75264=EDGE_CURVE('',#56008,#56010,#16561,.T.); +#75266=EDGE_CURVE('',#56006,#56008,#16565,.T.); +#75268=EDGE_CURVE('',#56004,#56006,#16569,.T.); +#75270=EDGE_CURVE('',#56002,#56004,#16573,.T.); +#75272=EDGE_CURVE('',#56000,#56002,#16577,.T.); +#75274=EDGE_CURVE('',#55998,#56000,#16581,.T.); +#75276=EDGE_CURVE('',#55996,#55998,#16585,.T.); +#75278=EDGE_CURVE('',#55994,#55996,#16589,.T.); +#75282=ADVANCED_FACE('',(#75281),#75199,.T.); +#75288=EDGE_CURVE('',#56073,#56074,#16593,.T.); +#75290=EDGE_CURVE('',#56150,#56073,#16597,.T.); +#75292=EDGE_CURVE('',#56148,#56150,#16601,.T.); +#75294=EDGE_CURVE('',#56146,#56148,#16605,.T.); +#75296=EDGE_CURVE('',#56144,#56146,#16609,.T.); +#75298=EDGE_CURVE('',#56142,#56144,#16613,.T.); +#75300=EDGE_CURVE('',#56140,#56142,#16617,.T.); +#75302=EDGE_CURVE('',#56138,#56140,#16621,.T.); +#75304=EDGE_CURVE('',#56136,#56138,#16625,.T.); +#75306=EDGE_CURVE('',#56134,#56136,#16629,.T.); +#75308=EDGE_CURVE('',#56132,#56134,#16633,.T.); +#75310=EDGE_CURVE('',#56130,#56132,#16637,.T.); +#75312=EDGE_CURVE('',#56128,#56130,#16641,.T.); +#75314=EDGE_CURVE('',#56126,#56128,#16645,.T.); +#75316=EDGE_CURVE('',#56124,#56126,#16649,.T.); +#75318=EDGE_CURVE('',#56122,#56124,#16653,.T.); +#75320=EDGE_CURVE('',#56120,#56122,#16657,.T.); +#75322=EDGE_CURVE('',#56118,#56120,#16661,.T.); +#75324=EDGE_CURVE('',#56116,#56118,#16665,.T.); +#75326=EDGE_CURVE('',#56114,#56116,#16669,.T.); +#75328=EDGE_CURVE('',#56112,#56114,#16673,.T.); +#75330=EDGE_CURVE('',#56110,#56112,#16677,.T.); +#75332=EDGE_CURVE('',#56108,#56110,#16681,.T.); +#75334=EDGE_CURVE('',#56106,#56108,#16685,.T.); +#75336=EDGE_CURVE('',#56104,#56106,#16689,.T.); +#75338=EDGE_CURVE('',#56102,#56104,#16693,.T.); +#75340=EDGE_CURVE('',#56100,#56102,#16697,.T.); +#75342=EDGE_CURVE('',#56098,#56100,#16701,.T.); +#75344=EDGE_CURVE('',#56096,#56098,#16705,.T.); +#75346=EDGE_CURVE('',#56094,#56096,#16709,.T.); +#75348=EDGE_CURVE('',#56092,#56094,#16713,.T.); +#75350=EDGE_CURVE('',#56090,#56092,#16717,.T.); +#75352=EDGE_CURVE('',#56088,#56090,#16721,.T.); +#75354=EDGE_CURVE('',#56086,#56088,#16725,.T.); +#75356=EDGE_CURVE('',#56084,#56086,#16729,.T.); +#75358=EDGE_CURVE('',#56082,#56084,#16733,.T.); +#75360=EDGE_CURVE('',#56080,#56082,#16737,.T.); +#75362=EDGE_CURVE('',#56078,#56080,#16741,.T.); +#75364=EDGE_CURVE('',#56076,#56078,#16745,.T.); +#75366=EDGE_CURVE('',#56074,#56076,#16749,.T.); +#75370=EDGE_CURVE('',#56153,#56154,#16753,.T.); +#75372=EDGE_CURVE('',#56190,#56153,#16757,.T.); +#75374=EDGE_CURVE('',#56188,#56190,#16761,.T.); +#75376=EDGE_CURVE('',#56186,#56188,#16765,.T.); +#75378=EDGE_CURVE('',#56184,#56186,#16769,.T.); +#75380=EDGE_CURVE('',#56182,#56184,#16773,.T.); +#75382=EDGE_CURVE('',#56180,#56182,#16777,.T.); +#75384=EDGE_CURVE('',#56178,#56180,#16781,.T.); +#75386=EDGE_CURVE('',#56176,#56178,#16785,.T.); +#75388=EDGE_CURVE('',#56174,#56176,#16789,.T.); +#75390=EDGE_CURVE('',#56172,#56174,#16793,.T.); +#75392=EDGE_CURVE('',#56170,#56172,#16797,.T.); +#75394=EDGE_CURVE('',#56168,#56170,#16801,.T.); +#75396=EDGE_CURVE('',#56166,#56168,#16805,.T.); +#75398=EDGE_CURVE('',#56164,#56166,#16809,.T.); +#75400=EDGE_CURVE('',#56162,#56164,#16813,.T.); +#75402=EDGE_CURVE('',#56160,#56162,#16817,.T.); +#75404=EDGE_CURVE('',#56158,#56160,#16821,.T.); +#75406=EDGE_CURVE('',#56156,#56158,#16825,.T.); +#75408=EDGE_CURVE('',#56154,#56156,#16829,.T.); +#75412=ADVANCED_FACE('',(#75369,#75411),#75287,.T.); +#75418=EDGE_CURVE('',#44905,#44614,#16833,.T.); +#75421=EDGE_CURVE('',#44907,#44615,#17057,.T.); +#75426=ADVANCED_FACE('',(#75425),#75417,.T.); +#75434=EDGE_CURVE('',#45017,#44725,#16837,.T.); +#75439=ADVANCED_FACE('',(#75438),#75431,.T.); +#75445=EDGE_CURVE('',#45015,#44723,#16841,.T.); +#75452=ADVANCED_FACE('',(#75451),#75444,.T.); +#75458=EDGE_CURVE('',#45013,#44721,#16845,.T.); +#75465=ADVANCED_FACE('',(#75464),#75457,.T.); +#75471=EDGE_CURVE('',#45011,#44719,#16849,.T.); +#75478=ADVANCED_FACE('',(#75477),#75470,.T.); +#75484=EDGE_CURVE('',#45009,#44717,#16853,.T.); +#75491=ADVANCED_FACE('',(#75490),#75483,.T.); +#75497=EDGE_CURVE('',#45007,#44715,#16857,.T.); +#75504=ADVANCED_FACE('',(#75503),#75496,.T.); +#75510=EDGE_CURVE('',#45005,#44713,#16861,.T.); +#75517=ADVANCED_FACE('',(#75516),#75509,.T.); +#75523=EDGE_CURVE('',#45003,#44711,#16865,.T.); +#75530=ADVANCED_FACE('',(#75529),#75522,.T.); +#75536=EDGE_CURVE('',#45001,#44709,#16869,.T.); +#75543=ADVANCED_FACE('',(#75542),#75535,.T.); +#75549=EDGE_CURVE('',#44999,#44707,#16873,.T.); +#75556=ADVANCED_FACE('',(#75555),#75548,.T.); +#75562=EDGE_CURVE('',#44997,#44705,#16877,.T.); +#75569=ADVANCED_FACE('',(#75568),#75561,.T.); +#75575=EDGE_CURVE('',#44995,#44703,#16881,.T.); +#75582=ADVANCED_FACE('',(#75581),#75574,.T.); +#75588=EDGE_CURVE('',#44993,#44701,#16885,.T.); +#75595=ADVANCED_FACE('',(#75594),#75587,.T.); +#75601=EDGE_CURVE('',#44991,#44699,#16889,.T.); +#75608=ADVANCED_FACE('',(#75607),#75600,.T.); +#75614=EDGE_CURVE('',#44989,#44697,#16893,.T.); +#75621=ADVANCED_FACE('',(#75620),#75613,.T.); +#75627=EDGE_CURVE('',#44987,#44695,#16897,.T.); +#75634=ADVANCED_FACE('',(#75633),#75626,.T.); +#75640=EDGE_CURVE('',#44985,#44693,#16901,.T.); +#75647=ADVANCED_FACE('',(#75646),#75639,.T.); +#75653=EDGE_CURVE('',#44983,#44691,#16905,.T.); +#75660=ADVANCED_FACE('',(#75659),#75652,.T.); +#75666=EDGE_CURVE('',#44981,#44689,#16909,.T.); +#75673=ADVANCED_FACE('',(#75672),#75665,.T.); +#75679=EDGE_CURVE('',#44979,#44687,#16913,.T.); +#75686=ADVANCED_FACE('',(#75685),#75678,.T.); +#75692=EDGE_CURVE('',#44977,#44685,#16917,.T.); +#75699=ADVANCED_FACE('',(#75698),#75691,.T.); +#75705=EDGE_CURVE('',#44975,#44683,#16921,.T.); +#75712=ADVANCED_FACE('',(#75711),#75704,.T.); +#75718=EDGE_CURVE('',#44973,#44681,#16925,.T.); +#75725=ADVANCED_FACE('',(#75724),#75717,.T.); +#75731=EDGE_CURVE('',#44971,#44679,#16929,.T.); +#75738=ADVANCED_FACE('',(#75737),#75730,.T.); +#75744=EDGE_CURVE('',#44969,#44677,#16933,.T.); +#75751=ADVANCED_FACE('',(#75750),#75743,.T.); +#75757=EDGE_CURVE('',#44967,#44675,#16937,.T.); +#75764=ADVANCED_FACE('',(#75763),#75756,.T.); +#75770=EDGE_CURVE('',#44965,#44673,#16941,.T.); +#75777=ADVANCED_FACE('',(#75776),#75769,.T.); +#75783=EDGE_CURVE('',#44963,#44671,#16945,.T.); +#75790=ADVANCED_FACE('',(#75789),#75782,.T.); +#75796=EDGE_CURVE('',#44961,#44669,#16949,.T.); +#75803=ADVANCED_FACE('',(#75802),#75795,.T.); +#75809=EDGE_CURVE('',#44959,#44667,#16953,.T.); +#75816=ADVANCED_FACE('',(#75815),#75808,.T.); +#75822=EDGE_CURVE('',#44957,#44665,#16957,.T.); +#75829=ADVANCED_FACE('',(#75828),#75821,.T.); +#75835=EDGE_CURVE('',#44955,#44663,#16961,.T.); +#75842=ADVANCED_FACE('',(#75841),#75834,.T.); +#75848=EDGE_CURVE('',#44953,#44661,#16965,.T.); +#75855=ADVANCED_FACE('',(#75854),#75847,.T.); +#75861=EDGE_CURVE('',#44951,#44659,#16969,.T.); +#75868=ADVANCED_FACE('',(#75867),#75860,.T.); +#75874=EDGE_CURVE('',#44949,#44657,#16973,.T.); +#75881=ADVANCED_FACE('',(#75880),#75873,.T.); +#75887=EDGE_CURVE('',#44947,#44655,#16977,.T.); +#75894=ADVANCED_FACE('',(#75893),#75886,.T.); +#75900=EDGE_CURVE('',#44945,#44653,#16981,.T.); +#75907=ADVANCED_FACE('',(#75906),#75899,.T.); +#75913=EDGE_CURVE('',#44943,#44651,#16985,.T.); +#75920=ADVANCED_FACE('',(#75919),#75912,.T.); +#75926=EDGE_CURVE('',#44941,#44649,#16989,.T.); +#75933=ADVANCED_FACE('',(#75932),#75925,.T.); +#75939=EDGE_CURVE('',#44939,#44647,#16993,.T.); +#75946=ADVANCED_FACE('',(#75945),#75938,.T.); +#75952=EDGE_CURVE('',#44937,#44645,#16997,.T.); +#75959=ADVANCED_FACE('',(#75958),#75951,.T.); +#75965=EDGE_CURVE('',#44935,#44643,#17001,.T.); +#75972=ADVANCED_FACE('',(#75971),#75964,.T.); +#75978=EDGE_CURVE('',#44933,#44641,#17005,.T.); +#75985=ADVANCED_FACE('',(#75984),#75977,.T.); +#75991=EDGE_CURVE('',#44931,#44639,#17009,.T.); +#75998=ADVANCED_FACE('',(#75997),#75990,.T.); +#76004=EDGE_CURVE('',#44929,#44637,#17013,.T.); +#76011=ADVANCED_FACE('',(#76010),#76003,.T.); +#76017=EDGE_CURVE('',#44927,#44635,#17017,.T.); +#76024=ADVANCED_FACE('',(#76023),#76016,.T.); +#76030=EDGE_CURVE('',#44925,#44633,#17021,.T.); +#76037=ADVANCED_FACE('',(#76036),#76029,.T.); +#76043=EDGE_CURVE('',#44923,#44631,#17025,.T.); +#76050=ADVANCED_FACE('',(#76049),#76042,.T.); +#76056=EDGE_CURVE('',#44921,#44629,#17029,.T.); +#76063=ADVANCED_FACE('',(#76062),#76055,.T.); +#76069=EDGE_CURVE('',#44919,#44627,#17033,.T.); +#76076=ADVANCED_FACE('',(#76075),#76068,.T.); +#76082=EDGE_CURVE('',#44917,#44625,#17037,.T.); +#76089=ADVANCED_FACE('',(#76088),#76081,.T.); +#76095=EDGE_CURVE('',#44915,#44623,#17041,.T.); +#76102=ADVANCED_FACE('',(#76101),#76094,.T.); +#76108=EDGE_CURVE('',#44913,#44621,#17045,.T.); +#76115=ADVANCED_FACE('',(#76114),#76107,.T.); +#76121=EDGE_CURVE('',#44911,#44619,#17049,.T.); +#76128=ADVANCED_FACE('',(#76127),#76120,.T.); +#76134=EDGE_CURVE('',#44909,#44617,#17053,.T.); +#76141=ADVANCED_FACE('',(#76140),#76133,.T.); +#76153=ADVANCED_FACE('',(#76152),#76146,.T.); +#76159=EDGE_CURVE('',#45019,#44728,#17061,.T.); +#76162=EDGE_CURVE('',#45021,#44729,#17085,.T.); +#76167=ADVANCED_FACE('',(#76166),#76158,.T.); +#76175=EDGE_CURVE('',#45031,#44739,#17065,.T.); +#76180=ADVANCED_FACE('',(#76179),#76172,.T.); +#76186=EDGE_CURVE('',#45029,#44737,#17069,.T.); +#76193=ADVANCED_FACE('',(#76192),#76185,.T.); +#76199=EDGE_CURVE('',#45027,#44735,#17073,.T.); +#76206=ADVANCED_FACE('',(#76205),#76198,.T.); +#76212=EDGE_CURVE('',#45025,#44733,#17077,.T.); +#76219=ADVANCED_FACE('',(#76218),#76211,.T.); +#76225=EDGE_CURVE('',#45023,#44731,#17081,.T.); +#76232=ADVANCED_FACE('',(#76231),#76224,.T.); +#76244=ADVANCED_FACE('',(#76243),#76237,.T.); +#76250=EDGE_CURVE('',#45033,#44742,#17089,.T.); +#76253=EDGE_CURVE('',#45035,#44743,#17245,.T.); +#76258=ADVANCED_FACE('',(#76257),#76249,.T.); +#76266=EDGE_CURVE('',#45111,#44819,#17093,.T.); +#76271=ADVANCED_FACE('',(#76270),#76263,.T.); +#76277=EDGE_CURVE('',#45109,#44817,#17097,.T.); +#76284=ADVANCED_FACE('',(#76283),#76276,.T.); +#76290=EDGE_CURVE('',#45107,#44815,#17101,.T.); +#76297=ADVANCED_FACE('',(#76296),#76289,.T.); +#76303=EDGE_CURVE('',#45105,#44813,#17105,.T.); +#76310=ADVANCED_FACE('',(#76309),#76302,.T.); +#76316=EDGE_CURVE('',#45103,#44811,#17109,.T.); +#76323=ADVANCED_FACE('',(#76322),#76315,.T.); +#76329=EDGE_CURVE('',#45101,#44809,#17113,.T.); +#76336=ADVANCED_FACE('',(#76335),#76328,.T.); +#76342=EDGE_CURVE('',#45099,#44807,#17117,.T.); +#76349=ADVANCED_FACE('',(#76348),#76341,.T.); +#76355=EDGE_CURVE('',#45097,#44805,#17121,.T.); +#76362=ADVANCED_FACE('',(#76361),#76354,.T.); +#76368=EDGE_CURVE('',#45095,#44803,#17125,.T.); +#76375=ADVANCED_FACE('',(#76374),#76367,.T.); +#76381=EDGE_CURVE('',#45093,#44801,#17129,.T.); +#76388=ADVANCED_FACE('',(#76387),#76380,.T.); +#76394=EDGE_CURVE('',#45091,#44799,#17133,.T.); +#76401=ADVANCED_FACE('',(#76400),#76393,.T.); +#76407=EDGE_CURVE('',#45089,#44797,#17137,.T.); +#76414=ADVANCED_FACE('',(#76413),#76406,.T.); +#76420=EDGE_CURVE('',#45087,#44795,#17141,.T.); +#76427=ADVANCED_FACE('',(#76426),#76419,.T.); +#76433=EDGE_CURVE('',#45085,#44793,#17145,.T.); +#76440=ADVANCED_FACE('',(#76439),#76432,.T.); +#76446=EDGE_CURVE('',#45083,#44791,#17149,.T.); +#76453=ADVANCED_FACE('',(#76452),#76445,.T.); +#76459=EDGE_CURVE('',#45081,#44789,#17153,.T.); +#76466=ADVANCED_FACE('',(#76465),#76458,.T.); +#76472=EDGE_CURVE('',#45079,#44787,#17157,.T.); +#76479=ADVANCED_FACE('',(#76478),#76471,.T.); +#76485=EDGE_CURVE('',#45077,#44785,#17161,.T.); +#76492=ADVANCED_FACE('',(#76491),#76484,.T.); +#76498=EDGE_CURVE('',#45075,#44783,#17165,.T.); +#76505=ADVANCED_FACE('',(#76504),#76497,.T.); +#76511=EDGE_CURVE('',#45073,#44781,#17169,.T.); +#76518=ADVANCED_FACE('',(#76517),#76510,.T.); +#76524=EDGE_CURVE('',#45071,#44779,#17173,.T.); +#76531=ADVANCED_FACE('',(#76530),#76523,.T.); +#76537=EDGE_CURVE('',#45069,#44777,#17177,.T.); +#76544=ADVANCED_FACE('',(#76543),#76536,.T.); +#76550=EDGE_CURVE('',#45067,#44775,#17181,.T.); +#76557=ADVANCED_FACE('',(#76556),#76549,.T.); +#76563=EDGE_CURVE('',#45065,#44773,#17185,.T.); +#76570=ADVANCED_FACE('',(#76569),#76562,.T.); +#76576=EDGE_CURVE('',#45063,#44771,#17189,.T.); +#76583=ADVANCED_FACE('',(#76582),#76575,.T.); +#76589=EDGE_CURVE('',#45061,#44769,#17193,.T.); +#76596=ADVANCED_FACE('',(#76595),#76588,.T.); +#76602=EDGE_CURVE('',#45059,#44767,#17197,.T.); +#76609=ADVANCED_FACE('',(#76608),#76601,.T.); +#76615=EDGE_CURVE('',#45057,#44765,#17201,.T.); +#76622=ADVANCED_FACE('',(#76621),#76614,.T.); +#76628=EDGE_CURVE('',#45055,#44763,#17205,.T.); +#76635=ADVANCED_FACE('',(#76634),#76627,.T.); +#76641=EDGE_CURVE('',#45053,#44761,#17209,.T.); +#76648=ADVANCED_FACE('',(#76647),#76640,.T.); +#76654=EDGE_CURVE('',#45051,#44759,#17213,.T.); +#76661=ADVANCED_FACE('',(#76660),#76653,.T.); +#76667=EDGE_CURVE('',#45049,#44757,#17217,.T.); +#76674=ADVANCED_FACE('',(#76673),#76666,.T.); +#76680=EDGE_CURVE('',#45047,#44755,#17221,.T.); +#76687=ADVANCED_FACE('',(#76686),#76679,.T.); +#76693=EDGE_CURVE('',#45045,#44753,#17225,.T.); +#76700=ADVANCED_FACE('',(#76699),#76692,.T.); +#76706=EDGE_CURVE('',#45043,#44751,#17229,.T.); +#76713=ADVANCED_FACE('',(#76712),#76705,.T.); +#76719=EDGE_CURVE('',#45041,#44749,#17233,.T.); +#76726=ADVANCED_FACE('',(#76725),#76718,.T.); +#76732=EDGE_CURVE('',#45039,#44747,#17237,.T.); +#76739=ADVANCED_FACE('',(#76738),#76731,.T.); +#76745=EDGE_CURVE('',#45037,#44745,#17241,.T.); +#76752=ADVANCED_FACE('',(#76751),#76744,.T.); +#76764=ADVANCED_FACE('',(#76763),#76757,.T.); +#76770=EDGE_CURVE('',#45113,#44822,#17249,.T.); +#76773=EDGE_CURVE('',#45115,#44823,#17357,.T.); +#76778=ADVANCED_FACE('',(#76777),#76769,.T.); +#76786=EDGE_CURVE('',#45167,#44875,#17253,.T.); +#76791=ADVANCED_FACE('',(#76790),#76783,.T.); +#76797=EDGE_CURVE('',#45165,#44873,#17257,.T.); +#76804=ADVANCED_FACE('',(#76803),#76796,.T.); +#76810=EDGE_CURVE('',#45163,#44871,#17261,.T.); +#76817=ADVANCED_FACE('',(#76816),#76809,.T.); +#76823=EDGE_CURVE('',#45161,#44869,#17265,.T.); +#76830=ADVANCED_FACE('',(#76829),#76822,.T.); +#76836=EDGE_CURVE('',#45159,#44867,#17269,.T.); +#76843=ADVANCED_FACE('',(#76842),#76835,.T.); +#76849=EDGE_CURVE('',#45157,#44865,#17273,.T.); +#76856=ADVANCED_FACE('',(#76855),#76848,.T.); +#76862=EDGE_CURVE('',#45155,#44863,#17277,.T.); +#76869=ADVANCED_FACE('',(#76868),#76861,.T.); +#76875=EDGE_CURVE('',#45153,#44861,#17281,.T.); +#76882=ADVANCED_FACE('',(#76881),#76874,.T.); +#76888=EDGE_CURVE('',#45151,#44859,#17285,.T.); +#76895=ADVANCED_FACE('',(#76894),#76887,.T.); +#76901=EDGE_CURVE('',#45149,#44857,#17289,.T.); +#76908=ADVANCED_FACE('',(#76907),#76900,.T.); +#76914=EDGE_CURVE('',#45147,#44855,#17293,.T.); +#76921=ADVANCED_FACE('',(#76920),#76913,.T.); +#76927=EDGE_CURVE('',#45145,#44853,#17297,.T.); +#76934=ADVANCED_FACE('',(#76933),#76926,.T.); +#76940=EDGE_CURVE('',#45143,#44851,#17301,.T.); +#76947=ADVANCED_FACE('',(#76946),#76939,.T.); +#76953=EDGE_CURVE('',#45141,#44849,#17305,.T.); +#76960=ADVANCED_FACE('',(#76959),#76952,.T.); +#76966=EDGE_CURVE('',#45139,#44847,#17309,.T.); +#76973=ADVANCED_FACE('',(#76972),#76965,.T.); +#76979=EDGE_CURVE('',#45137,#44845,#17313,.T.); +#76986=ADVANCED_FACE('',(#76985),#76978,.T.); +#76992=EDGE_CURVE('',#45135,#44843,#17317,.T.); +#76999=ADVANCED_FACE('',(#76998),#76991,.T.); +#77005=EDGE_CURVE('',#45133,#44841,#17321,.T.); +#77012=ADVANCED_FACE('',(#77011),#77004,.T.); +#77018=EDGE_CURVE('',#45131,#44839,#17325,.T.); +#77025=ADVANCED_FACE('',(#77024),#77017,.T.); +#77031=EDGE_CURVE('',#45129,#44837,#17329,.T.); +#77038=ADVANCED_FACE('',(#77037),#77030,.T.); +#77044=EDGE_CURVE('',#45127,#44835,#17333,.T.); +#77051=ADVANCED_FACE('',(#77050),#77043,.T.); +#77057=EDGE_CURVE('',#45125,#44833,#17337,.T.); +#77064=ADVANCED_FACE('',(#77063),#77056,.T.); +#77070=EDGE_CURVE('',#45123,#44831,#17341,.T.); +#77077=ADVANCED_FACE('',(#77076),#77069,.T.); +#77083=EDGE_CURVE('',#45121,#44829,#17345,.T.); +#77090=ADVANCED_FACE('',(#77089),#77082,.T.); +#77096=EDGE_CURVE('',#45119,#44827,#17349,.T.); +#77103=ADVANCED_FACE('',(#77102),#77095,.T.); +#77109=EDGE_CURVE('',#45117,#44825,#17353,.T.); +#77116=ADVANCED_FACE('',(#77115),#77108,.T.); +#77128=ADVANCED_FACE('',(#77127),#77121,.T.); +#77134=EDGE_CURVE('',#45169,#44878,#17361,.T.); +#77137=EDGE_CURVE('',#45171,#44879,#17385,.T.); +#77142=ADVANCED_FACE('',(#77141),#77133,.T.); +#77150=EDGE_CURVE('',#45181,#44889,#17365,.T.); +#77155=ADVANCED_FACE('',(#77154),#77147,.T.); +#77161=EDGE_CURVE('',#45179,#44887,#17369,.T.); +#77168=ADVANCED_FACE('',(#77167),#77160,.T.); +#77174=EDGE_CURVE('',#45177,#44885,#17373,.T.); +#77181=ADVANCED_FACE('',(#77180),#77173,.T.); +#77187=EDGE_CURVE('',#45175,#44883,#17377,.T.); +#77194=ADVANCED_FACE('',(#77193),#77186,.T.); +#77200=EDGE_CURVE('',#45173,#44881,#17381,.T.); +#77207=ADVANCED_FACE('',(#77206),#77199,.T.); +#77219=ADVANCED_FACE('',(#77218),#77212,.T.); +#77226=EDGE_CURVE('',#56193,#55581,#17389,.T.); +#77229=EDGE_CURVE('',#56194,#55582,#17413,.T.); +#77233=ADVANCED_FACE('',(#77232),#77224,.T.); +#77240=EDGE_CURVE('',#56204,#55592,#17393,.T.); +#77246=ADVANCED_FACE('',(#77245),#77238,.T.); +#77253=EDGE_CURVE('',#56202,#55590,#17397,.T.); +#77259=ADVANCED_FACE('',(#77258),#77251,.T.); +#77266=EDGE_CURVE('',#56200,#55588,#17401,.T.); +#77272=ADVANCED_FACE('',(#77271),#77264,.T.); +#77279=EDGE_CURVE('',#56198,#55586,#17405,.T.); +#77285=ADVANCED_FACE('',(#77284),#77277,.T.); +#77292=EDGE_CURVE('',#56196,#55584,#17409,.T.); +#77298=ADVANCED_FACE('',(#77297),#77290,.T.); +#77310=ADVANCED_FACE('',(#77309),#77303,.T.); +#77317=EDGE_CURVE('',#56207,#55595,#17417,.T.); +#77320=EDGE_CURVE('',#56208,#55596,#17457,.T.); +#77324=ADVANCED_FACE('',(#77323),#77315,.T.); +#77331=EDGE_CURVE('',#56226,#55614,#17421,.T.); +#77337=ADVANCED_FACE('',(#77336),#77329,.T.); +#77344=EDGE_CURVE('',#56224,#55612,#17425,.T.); +#77350=ADVANCED_FACE('',(#77349),#77342,.T.); +#77357=EDGE_CURVE('',#56222,#55610,#17429,.T.); +#77363=ADVANCED_FACE('',(#77362),#77355,.T.); +#77370=EDGE_CURVE('',#56220,#55608,#17433,.T.); +#77376=ADVANCED_FACE('',(#77375),#77368,.T.); +#77383=EDGE_CURVE('',#56218,#55606,#17437,.T.); +#77389=ADVANCED_FACE('',(#77388),#77381,.T.); +#77396=EDGE_CURVE('',#56216,#55604,#17441,.T.); +#77402=ADVANCED_FACE('',(#77401),#77394,.T.); +#77409=EDGE_CURVE('',#56214,#55602,#17445,.T.); +#77415=ADVANCED_FACE('',(#77414),#77407,.T.); +#77422=EDGE_CURVE('',#56212,#55600,#17449,.T.); +#77428=ADVANCED_FACE('',(#77427),#77420,.T.); +#77435=EDGE_CURVE('',#56210,#55598,#17453,.T.); +#77441=ADVANCED_FACE('',(#77440),#77433,.T.); +#77453=ADVANCED_FACE('',(#77452),#77446,.T.); +#77460=EDGE_CURVE('',#56229,#55617,#17461,.T.); +#77463=EDGE_CURVE('',#56230,#55618,#17469,.T.); +#77467=ADVANCED_FACE('',(#77466),#77458,.T.); +#77474=EDGE_CURVE('',#56232,#55620,#17465,.T.); +#77480=ADVANCED_FACE('',(#77479),#77472,.T.); +#77492=ADVANCED_FACE('',(#77491),#77485,.T.); +#77499=EDGE_CURVE('',#56235,#55623,#17473,.T.); +#77502=EDGE_CURVE('',#56236,#55624,#17581,.T.); +#77506=ADVANCED_FACE('',(#77505),#77497,.T.); +#77513=EDGE_CURVE('',#56288,#55676,#17477,.T.); +#77519=ADVANCED_FACE('',(#77518),#77511,.T.); +#77526=EDGE_CURVE('',#56286,#55674,#17481,.T.); +#77532=ADVANCED_FACE('',(#77531),#77524,.T.); +#77539=EDGE_CURVE('',#56284,#55672,#17485,.T.); +#77545=ADVANCED_FACE('',(#77544),#77537,.T.); +#77552=EDGE_CURVE('',#56282,#55670,#17489,.T.); +#77558=ADVANCED_FACE('',(#77557),#77550,.T.); +#77565=EDGE_CURVE('',#56280,#55668,#17493,.T.); +#77571=ADVANCED_FACE('',(#77570),#77563,.T.); +#77578=EDGE_CURVE('',#56278,#55666,#17497,.T.); +#77584=ADVANCED_FACE('',(#77583),#77576,.T.); +#77591=EDGE_CURVE('',#56276,#55664,#17501,.T.); +#77597=ADVANCED_FACE('',(#77596),#77589,.T.); +#77604=EDGE_CURVE('',#56274,#55662,#17505,.T.); +#77610=ADVANCED_FACE('',(#77609),#77602,.T.); +#77617=EDGE_CURVE('',#56272,#55660,#17509,.T.); +#77623=ADVANCED_FACE('',(#77622),#77615,.T.); +#77630=EDGE_CURVE('',#56270,#55658,#17513,.T.); +#77636=ADVANCED_FACE('',(#77635),#77628,.T.); +#77643=EDGE_CURVE('',#56268,#55656,#17517,.T.); +#77649=ADVANCED_FACE('',(#77648),#77641,.T.); +#77656=EDGE_CURVE('',#56266,#55654,#17521,.T.); +#77662=ADVANCED_FACE('',(#77661),#77654,.T.); +#77669=EDGE_CURVE('',#56264,#55652,#17525,.T.); +#77675=ADVANCED_FACE('',(#77674),#77667,.T.); +#77682=EDGE_CURVE('',#56262,#55650,#17529,.T.); +#77688=ADVANCED_FACE('',(#77687),#77680,.T.); +#77695=EDGE_CURVE('',#56260,#55648,#17533,.T.); +#77701=ADVANCED_FACE('',(#77700),#77693,.T.); +#77708=EDGE_CURVE('',#56258,#55646,#17537,.T.); +#77714=ADVANCED_FACE('',(#77713),#77706,.T.); +#77721=EDGE_CURVE('',#56256,#55644,#17541,.T.); +#77727=ADVANCED_FACE('',(#77726),#77719,.T.); +#77734=EDGE_CURVE('',#56254,#55642,#17545,.T.); +#77740=ADVANCED_FACE('',(#77739),#77732,.T.); +#77747=EDGE_CURVE('',#56252,#55640,#17549,.T.); +#77753=ADVANCED_FACE('',(#77752),#77745,.T.); +#77760=EDGE_CURVE('',#56250,#55638,#17553,.T.); +#77766=ADVANCED_FACE('',(#77765),#77758,.T.); +#77773=EDGE_CURVE('',#56248,#55636,#17557,.T.); +#77779=ADVANCED_FACE('',(#77778),#77771,.T.); +#77786=EDGE_CURVE('',#56246,#55634,#17561,.T.); +#77792=ADVANCED_FACE('',(#77791),#77784,.T.); +#77799=EDGE_CURVE('',#56244,#55632,#17565,.T.); +#77805=ADVANCED_FACE('',(#77804),#77797,.T.); +#77812=EDGE_CURVE('',#56242,#55630,#17569,.T.); +#77818=ADVANCED_FACE('',(#77817),#77810,.T.); +#77825=EDGE_CURVE('',#56240,#55628,#17573,.T.); +#77831=ADVANCED_FACE('',(#77830),#77823,.T.); +#77838=EDGE_CURVE('',#56238,#55626,#17577,.T.); +#77844=ADVANCED_FACE('',(#77843),#77836,.T.); +#77856=ADVANCED_FACE('',(#77855),#77849,.T.); +#77863=EDGE_CURVE('',#56291,#55679,#17585,.T.); +#77866=EDGE_CURVE('',#56292,#55680,#17741,.T.); +#77870=ADVANCED_FACE('',(#77869),#77861,.T.); +#77877=EDGE_CURVE('',#56368,#55756,#17589,.T.); +#77883=ADVANCED_FACE('',(#77882),#77875,.T.); +#77890=EDGE_CURVE('',#56366,#55754,#17593,.T.); +#77896=ADVANCED_FACE('',(#77895),#77888,.T.); +#77903=EDGE_CURVE('',#56364,#55752,#17597,.T.); +#77909=ADVANCED_FACE('',(#77908),#77901,.T.); +#77916=EDGE_CURVE('',#56362,#55750,#17601,.T.); +#77922=ADVANCED_FACE('',(#77921),#77914,.T.); +#77929=EDGE_CURVE('',#56360,#55748,#17605,.T.); +#77935=ADVANCED_FACE('',(#77934),#77927,.T.); +#77942=EDGE_CURVE('',#56358,#55746,#17609,.T.); +#77948=ADVANCED_FACE('',(#77947),#77940,.T.); +#77955=EDGE_CURVE('',#56356,#55744,#17613,.T.); +#77961=ADVANCED_FACE('',(#77960),#77953,.T.); +#77968=EDGE_CURVE('',#56354,#55742,#17617,.T.); +#77974=ADVANCED_FACE('',(#77973),#77966,.T.); +#77981=EDGE_CURVE('',#56352,#55740,#17621,.T.); +#77987=ADVANCED_FACE('',(#77986),#77979,.T.); +#77994=EDGE_CURVE('',#56350,#55738,#17625,.T.); +#78000=ADVANCED_FACE('',(#77999),#77992,.T.); +#78007=EDGE_CURVE('',#56348,#55736,#17629,.T.); +#78013=ADVANCED_FACE('',(#78012),#78005,.T.); +#78020=EDGE_CURVE('',#56346,#55734,#17633,.T.); +#78026=ADVANCED_FACE('',(#78025),#78018,.T.); +#78033=EDGE_CURVE('',#56344,#55732,#17637,.T.); +#78039=ADVANCED_FACE('',(#78038),#78031,.T.); +#78046=EDGE_CURVE('',#56342,#55730,#17641,.T.); +#78052=ADVANCED_FACE('',(#78051),#78044,.T.); +#78059=EDGE_CURVE('',#56340,#55728,#17645,.T.); +#78065=ADVANCED_FACE('',(#78064),#78057,.T.); +#78072=EDGE_CURVE('',#56338,#55726,#17649,.T.); +#78078=ADVANCED_FACE('',(#78077),#78070,.T.); +#78085=EDGE_CURVE('',#56336,#55724,#17653,.T.); +#78091=ADVANCED_FACE('',(#78090),#78083,.T.); +#78098=EDGE_CURVE('',#56334,#55722,#17657,.T.); +#78104=ADVANCED_FACE('',(#78103),#78096,.T.); +#78111=EDGE_CURVE('',#56332,#55720,#17661,.T.); +#78117=ADVANCED_FACE('',(#78116),#78109,.T.); +#78124=EDGE_CURVE('',#56330,#55718,#17665,.T.); +#78130=ADVANCED_FACE('',(#78129),#78122,.T.); +#78137=EDGE_CURVE('',#56328,#55716,#17669,.T.); +#78143=ADVANCED_FACE('',(#78142),#78135,.T.); +#78150=EDGE_CURVE('',#56326,#55714,#17673,.T.); +#78156=ADVANCED_FACE('',(#78155),#78148,.T.); +#78163=EDGE_CURVE('',#56324,#55712,#17677,.T.); +#78169=ADVANCED_FACE('',(#78168),#78161,.T.); +#78176=EDGE_CURVE('',#56322,#55710,#17681,.T.); +#78182=ADVANCED_FACE('',(#78181),#78174,.T.); +#78189=EDGE_CURVE('',#56320,#55708,#17685,.T.); +#78195=ADVANCED_FACE('',(#78194),#78187,.T.); +#78202=EDGE_CURVE('',#56318,#55706,#17689,.T.); +#78208=ADVANCED_FACE('',(#78207),#78200,.T.); +#78215=EDGE_CURVE('',#56316,#55704,#17693,.T.); +#78221=ADVANCED_FACE('',(#78220),#78213,.T.); +#78228=EDGE_CURVE('',#56314,#55702,#17697,.T.); +#78234=ADVANCED_FACE('',(#78233),#78226,.T.); +#78241=EDGE_CURVE('',#56312,#55700,#17701,.T.); +#78247=ADVANCED_FACE('',(#78246),#78239,.T.); +#78254=EDGE_CURVE('',#56310,#55698,#17705,.T.); +#78260=ADVANCED_FACE('',(#78259),#78252,.T.); +#78267=EDGE_CURVE('',#56308,#55696,#17709,.T.); +#78273=ADVANCED_FACE('',(#78272),#78265,.T.); +#78280=EDGE_CURVE('',#56306,#55694,#17713,.T.); +#78286=ADVANCED_FACE('',(#78285),#78278,.T.); +#78293=EDGE_CURVE('',#56304,#55692,#17717,.T.); +#78299=ADVANCED_FACE('',(#78298),#78291,.T.); +#78306=EDGE_CURVE('',#56302,#55690,#17721,.T.); +#78312=ADVANCED_FACE('',(#78311),#78304,.T.); +#78319=EDGE_CURVE('',#56300,#55688,#17725,.T.); +#78325=ADVANCED_FACE('',(#78324),#78317,.T.); +#78332=EDGE_CURVE('',#56298,#55686,#17729,.T.); +#78338=ADVANCED_FACE('',(#78337),#78330,.T.); +#78345=EDGE_CURVE('',#56296,#55684,#17733,.T.); +#78351=ADVANCED_FACE('',(#78350),#78343,.T.); +#78358=EDGE_CURVE('',#56294,#55682,#17737,.T.); +#78364=ADVANCED_FACE('',(#78363),#78356,.T.); +#78376=ADVANCED_FACE('',(#78375),#78369,.T.); +#78383=EDGE_CURVE('',#56371,#55759,#17745,.T.); +#78386=EDGE_CURVE('',#56372,#55760,#17821,.T.); +#78390=ADVANCED_FACE('',(#78389),#78381,.T.); +#78397=EDGE_CURVE('',#56408,#55796,#17749,.T.); +#78403=ADVANCED_FACE('',(#78402),#78395,.T.); +#78410=EDGE_CURVE('',#56406,#55794,#17753,.T.); +#78416=ADVANCED_FACE('',(#78415),#78408,.T.); +#78423=EDGE_CURVE('',#56404,#55792,#17757,.T.); +#78429=ADVANCED_FACE('',(#78428),#78421,.T.); +#78436=EDGE_CURVE('',#56402,#55790,#17761,.T.); +#78442=ADVANCED_FACE('',(#78441),#78434,.T.); +#78449=EDGE_CURVE('',#56400,#55788,#17765,.T.); +#78455=ADVANCED_FACE('',(#78454),#78447,.T.); +#78462=EDGE_CURVE('',#56398,#55786,#17769,.T.); +#78468=ADVANCED_FACE('',(#78467),#78460,.T.); +#78475=EDGE_CURVE('',#56396,#55784,#17773,.T.); +#78481=ADVANCED_FACE('',(#78480),#78473,.T.); +#78488=EDGE_CURVE('',#56394,#55782,#17777,.T.); +#78494=ADVANCED_FACE('',(#78493),#78486,.T.); +#78501=EDGE_CURVE('',#56392,#55780,#17781,.T.); +#78507=ADVANCED_FACE('',(#78506),#78499,.T.); +#78514=EDGE_CURVE('',#56390,#55778,#17785,.T.); +#78520=ADVANCED_FACE('',(#78519),#78512,.T.); +#78527=EDGE_CURVE('',#56388,#55776,#17789,.T.); +#78533=ADVANCED_FACE('',(#78532),#78525,.T.); +#78540=EDGE_CURVE('',#56386,#55774,#17793,.T.); +#78546=ADVANCED_FACE('',(#78545),#78538,.T.); +#78553=EDGE_CURVE('',#56384,#55772,#17797,.T.); +#78559=ADVANCED_FACE('',(#78558),#78551,.T.); +#78566=EDGE_CURVE('',#56382,#55770,#17801,.T.); +#78572=ADVANCED_FACE('',(#78571),#78564,.T.); +#78579=EDGE_CURVE('',#56380,#55768,#17805,.T.); +#78585=ADVANCED_FACE('',(#78584),#78577,.T.); +#78592=EDGE_CURVE('',#56378,#55766,#17809,.T.); +#78598=ADVANCED_FACE('',(#78597),#78590,.T.); +#78605=EDGE_CURVE('',#56376,#55764,#17813,.T.); +#78611=ADVANCED_FACE('',(#78610),#78603,.T.); +#78618=EDGE_CURVE('',#56374,#55762,#17817,.T.); +#78624=ADVANCED_FACE('',(#78623),#78616,.T.); +#78636=ADVANCED_FACE('',(#78635),#78629,.T.); +#78643=EDGE_CURVE('',#56411,#55799,#17825,.T.); +#78646=EDGE_CURVE('',#56412,#55800,#17981,.T.); +#78650=ADVANCED_FACE('',(#78649),#78641,.T.); +#78657=EDGE_CURVE('',#56488,#55876,#17829,.T.); +#78663=ADVANCED_FACE('',(#78662),#78655,.T.); +#78670=EDGE_CURVE('',#56486,#55874,#17833,.T.); +#78676=ADVANCED_FACE('',(#78675),#78668,.T.); +#78683=EDGE_CURVE('',#56484,#55872,#17837,.T.); +#78689=ADVANCED_FACE('',(#78688),#78681,.T.); +#78696=EDGE_CURVE('',#56482,#55870,#17841,.T.); +#78702=ADVANCED_FACE('',(#78701),#78694,.T.); +#78709=EDGE_CURVE('',#56480,#55868,#17845,.T.); +#78715=ADVANCED_FACE('',(#78714),#78707,.T.); +#78722=EDGE_CURVE('',#56478,#55866,#17849,.T.); +#78728=ADVANCED_FACE('',(#78727),#78720,.T.); +#78735=EDGE_CURVE('',#56476,#55864,#17853,.T.); +#78741=ADVANCED_FACE('',(#78740),#78733,.T.); +#78748=EDGE_CURVE('',#56474,#55862,#17857,.T.); +#78754=ADVANCED_FACE('',(#78753),#78746,.T.); +#78761=EDGE_CURVE('',#56472,#55860,#17861,.T.); +#78767=ADVANCED_FACE('',(#78766),#78759,.T.); +#78774=EDGE_CURVE('',#56470,#55858,#17865,.T.); +#78780=ADVANCED_FACE('',(#78779),#78772,.T.); +#78787=EDGE_CURVE('',#56468,#55856,#17869,.T.); +#78793=ADVANCED_FACE('',(#78792),#78785,.T.); +#78800=EDGE_CURVE('',#56466,#55854,#17873,.T.); +#78806=ADVANCED_FACE('',(#78805),#78798,.T.); +#78813=EDGE_CURVE('',#56464,#55852,#17877,.T.); +#78819=ADVANCED_FACE('',(#78818),#78811,.T.); +#78826=EDGE_CURVE('',#56462,#55850,#17881,.T.); +#78832=ADVANCED_FACE('',(#78831),#78824,.T.); +#78839=EDGE_CURVE('',#56460,#55848,#17885,.T.); +#78845=ADVANCED_FACE('',(#78844),#78837,.T.); +#78852=EDGE_CURVE('',#56458,#55846,#17889,.T.); +#78858=ADVANCED_FACE('',(#78857),#78850,.T.); +#78865=EDGE_CURVE('',#56456,#55844,#17893,.T.); +#78871=ADVANCED_FACE('',(#78870),#78863,.T.); +#78878=EDGE_CURVE('',#56454,#55842,#17897,.T.); +#78884=ADVANCED_FACE('',(#78883),#78876,.T.); +#78891=EDGE_CURVE('',#56452,#55840,#17901,.T.); +#78897=ADVANCED_FACE('',(#78896),#78889,.T.); +#78904=EDGE_CURVE('',#56450,#55838,#17905,.T.); +#78910=ADVANCED_FACE('',(#78909),#78902,.T.); +#78917=EDGE_CURVE('',#56448,#55836,#17909,.T.); +#78923=ADVANCED_FACE('',(#78922),#78915,.T.); +#78930=EDGE_CURVE('',#56446,#55834,#17913,.T.); +#78936=ADVANCED_FACE('',(#78935),#78928,.T.); +#78943=EDGE_CURVE('',#56444,#55832,#17917,.T.); +#78949=ADVANCED_FACE('',(#78948),#78941,.T.); +#78956=EDGE_CURVE('',#56442,#55830,#17921,.T.); +#78962=ADVANCED_FACE('',(#78961),#78954,.T.); +#78969=EDGE_CURVE('',#56440,#55828,#17925,.T.); +#78975=ADVANCED_FACE('',(#78974),#78967,.T.); +#78982=EDGE_CURVE('',#56438,#55826,#17929,.T.); +#78988=ADVANCED_FACE('',(#78987),#78980,.T.); +#78995=EDGE_CURVE('',#56436,#55824,#17933,.T.); +#79001=ADVANCED_FACE('',(#79000),#78993,.T.); +#79008=EDGE_CURVE('',#56434,#55822,#17937,.T.); +#79014=ADVANCED_FACE('',(#79013),#79006,.T.); +#79021=EDGE_CURVE('',#56432,#55820,#17941,.T.); +#79027=ADVANCED_FACE('',(#79026),#79019,.T.); +#79034=EDGE_CURVE('',#56430,#55818,#17945,.T.); +#79040=ADVANCED_FACE('',(#79039),#79032,.T.); +#79047=EDGE_CURVE('',#56428,#55816,#17949,.T.); +#79053=ADVANCED_FACE('',(#79052),#79045,.T.); +#79060=EDGE_CURVE('',#56426,#55814,#17953,.T.); +#79066=ADVANCED_FACE('',(#79065),#79058,.T.); +#79073=EDGE_CURVE('',#56424,#55812,#17957,.T.); +#79079=ADVANCED_FACE('',(#79078),#79071,.T.); +#79086=EDGE_CURVE('',#56422,#55810,#17961,.T.); +#79092=ADVANCED_FACE('',(#79091),#79084,.T.); +#79099=EDGE_CURVE('',#56420,#55808,#17965,.T.); +#79105=ADVANCED_FACE('',(#79104),#79097,.T.); +#79112=EDGE_CURVE('',#56418,#55806,#17969,.T.); +#79118=ADVANCED_FACE('',(#79117),#79110,.T.); +#79125=EDGE_CURVE('',#56416,#55804,#17973,.T.); +#79131=ADVANCED_FACE('',(#79130),#79123,.T.); +#79138=EDGE_CURVE('',#56414,#55802,#17977,.T.); +#79144=ADVANCED_FACE('',(#79143),#79136,.T.); +#79156=ADVANCED_FACE('',(#79155),#79149,.T.); +#79163=EDGE_CURVE('',#56491,#55879,#17985,.T.); +#79166=EDGE_CURVE('',#56492,#55880,#18209,.T.); +#79170=ADVANCED_FACE('',(#79169),#79161,.T.); +#79177=EDGE_CURVE('',#56602,#55990,#17989,.T.); +#79183=ADVANCED_FACE('',(#79182),#79175,.T.); +#79190=EDGE_CURVE('',#56600,#55988,#17993,.T.); +#79196=ADVANCED_FACE('',(#79195),#79188,.T.); +#79203=EDGE_CURVE('',#56598,#55986,#17997,.T.); +#79209=ADVANCED_FACE('',(#79208),#79201,.T.); +#79216=EDGE_CURVE('',#56596,#55984,#18001,.T.); +#79222=ADVANCED_FACE('',(#79221),#79214,.T.); +#79229=EDGE_CURVE('',#56594,#55982,#18005,.T.); +#79235=ADVANCED_FACE('',(#79234),#79227,.T.); +#79242=EDGE_CURVE('',#56592,#55980,#18009,.T.); +#79248=ADVANCED_FACE('',(#79247),#79240,.T.); +#79255=EDGE_CURVE('',#56590,#55978,#18013,.T.); +#79261=ADVANCED_FACE('',(#79260),#79253,.T.); +#79268=EDGE_CURVE('',#56588,#55976,#18017,.T.); +#79274=ADVANCED_FACE('',(#79273),#79266,.T.); +#79281=EDGE_CURVE('',#56586,#55974,#18021,.T.); +#79287=ADVANCED_FACE('',(#79286),#79279,.T.); +#79294=EDGE_CURVE('',#56584,#55972,#18025,.T.); +#79300=ADVANCED_FACE('',(#79299),#79292,.T.); +#79307=EDGE_CURVE('',#56582,#55970,#18029,.T.); +#79313=ADVANCED_FACE('',(#79312),#79305,.T.); +#79320=EDGE_CURVE('',#56580,#55968,#18033,.T.); +#79326=ADVANCED_FACE('',(#79325),#79318,.T.); +#79333=EDGE_CURVE('',#56578,#55966,#18037,.T.); +#79339=ADVANCED_FACE('',(#79338),#79331,.T.); +#79346=EDGE_CURVE('',#56576,#55964,#18041,.T.); +#79352=ADVANCED_FACE('',(#79351),#79344,.T.); +#79359=EDGE_CURVE('',#56574,#55962,#18045,.T.); +#79365=ADVANCED_FACE('',(#79364),#79357,.T.); +#79372=EDGE_CURVE('',#56572,#55960,#18049,.T.); +#79378=ADVANCED_FACE('',(#79377),#79370,.T.); +#79385=EDGE_CURVE('',#56570,#55958,#18053,.T.); +#79391=ADVANCED_FACE('',(#79390),#79383,.T.); +#79398=EDGE_CURVE('',#56568,#55956,#18057,.T.); +#79404=ADVANCED_FACE('',(#79403),#79396,.T.); +#79411=EDGE_CURVE('',#56566,#55954,#18061,.T.); +#79417=ADVANCED_FACE('',(#79416),#79409,.T.); +#79424=EDGE_CURVE('',#56564,#55952,#18065,.T.); +#79430=ADVANCED_FACE('',(#79429),#79422,.T.); +#79437=EDGE_CURVE('',#56562,#55950,#18069,.T.); +#79443=ADVANCED_FACE('',(#79442),#79435,.T.); +#79450=EDGE_CURVE('',#56560,#55948,#18073,.T.); +#79456=ADVANCED_FACE('',(#79455),#79448,.T.); +#79463=EDGE_CURVE('',#56558,#55946,#18077,.T.); +#79469=ADVANCED_FACE('',(#79468),#79461,.T.); +#79476=EDGE_CURVE('',#56556,#55944,#18081,.T.); +#79482=ADVANCED_FACE('',(#79481),#79474,.T.); +#79489=EDGE_CURVE('',#56554,#55942,#18085,.T.); +#79495=ADVANCED_FACE('',(#79494),#79487,.T.); +#79502=EDGE_CURVE('',#56552,#55940,#18089,.T.); +#79508=ADVANCED_FACE('',(#79507),#79500,.T.); +#79515=EDGE_CURVE('',#56550,#55938,#18093,.T.); +#79521=ADVANCED_FACE('',(#79520),#79513,.T.); +#79528=EDGE_CURVE('',#56548,#55936,#18097,.T.); +#79534=ADVANCED_FACE('',(#79533),#79526,.T.); +#79541=EDGE_CURVE('',#56546,#55934,#18101,.T.); +#79547=ADVANCED_FACE('',(#79546),#79539,.T.); +#79554=EDGE_CURVE('',#56544,#55932,#18105,.T.); +#79560=ADVANCED_FACE('',(#79559),#79552,.T.); +#79567=EDGE_CURVE('',#56542,#55930,#18109,.T.); +#79573=ADVANCED_FACE('',(#79572),#79565,.T.); +#79580=EDGE_CURVE('',#56540,#55928,#18113,.T.); +#79586=ADVANCED_FACE('',(#79585),#79578,.T.); +#79593=EDGE_CURVE('',#56538,#55926,#18117,.T.); +#79599=ADVANCED_FACE('',(#79598),#79591,.T.); +#79606=EDGE_CURVE('',#56536,#55924,#18121,.T.); +#79612=ADVANCED_FACE('',(#79611),#79604,.T.); +#79619=EDGE_CURVE('',#56534,#55922,#18125,.T.); +#79625=ADVANCED_FACE('',(#79624),#79617,.T.); +#79632=EDGE_CURVE('',#56532,#55920,#18129,.T.); +#79638=ADVANCED_FACE('',(#79637),#79630,.T.); +#79645=EDGE_CURVE('',#56530,#55918,#18133,.T.); +#79651=ADVANCED_FACE('',(#79650),#79643,.T.); +#79658=EDGE_CURVE('',#56528,#55916,#18137,.T.); +#79664=ADVANCED_FACE('',(#79663),#79656,.T.); +#79671=EDGE_CURVE('',#56526,#55914,#18141,.T.); +#79677=ADVANCED_FACE('',(#79676),#79669,.T.); +#79684=EDGE_CURVE('',#56524,#55912,#18145,.T.); +#79690=ADVANCED_FACE('',(#79689),#79682,.T.); +#79697=EDGE_CURVE('',#56522,#55910,#18149,.T.); +#79703=ADVANCED_FACE('',(#79702),#79695,.T.); +#79710=EDGE_CURVE('',#56520,#55908,#18153,.T.); +#79716=ADVANCED_FACE('',(#79715),#79708,.T.); +#79723=EDGE_CURVE('',#56518,#55906,#18157,.T.); +#79729=ADVANCED_FACE('',(#79728),#79721,.T.); +#79736=EDGE_CURVE('',#56516,#55904,#18161,.T.); +#79742=ADVANCED_FACE('',(#79741),#79734,.T.); +#79749=EDGE_CURVE('',#56514,#55902,#18165,.T.); +#79755=ADVANCED_FACE('',(#79754),#79747,.T.); +#79762=EDGE_CURVE('',#56512,#55900,#18169,.T.); +#79768=ADVANCED_FACE('',(#79767),#79760,.T.); +#79775=EDGE_CURVE('',#56510,#55898,#18173,.T.); +#79781=ADVANCED_FACE('',(#79780),#79773,.T.); +#79788=EDGE_CURVE('',#56508,#55896,#18177,.T.); +#79794=ADVANCED_FACE('',(#79793),#79786,.T.); +#79801=EDGE_CURVE('',#56506,#55894,#18181,.T.); +#79807=ADVANCED_FACE('',(#79806),#79799,.T.); +#79814=EDGE_CURVE('',#56504,#55892,#18185,.T.); +#79820=ADVANCED_FACE('',(#79819),#79812,.T.); +#79827=EDGE_CURVE('',#56502,#55890,#18189,.T.); +#79833=ADVANCED_FACE('',(#79832),#79825,.T.); +#79840=EDGE_CURVE('',#56500,#55888,#18193,.T.); +#79846=ADVANCED_FACE('',(#79845),#79838,.T.); +#79853=EDGE_CURVE('',#56498,#55886,#18197,.T.); +#79859=ADVANCED_FACE('',(#79858),#79851,.T.); +#79866=EDGE_CURVE('',#56496,#55884,#18201,.T.); +#79872=ADVANCED_FACE('',(#79871),#79864,.T.); +#79879=EDGE_CURVE('',#56494,#55882,#18205,.T.); +#79885=ADVANCED_FACE('',(#79884),#79877,.T.); +#79897=ADVANCED_FACE('',(#79896),#79890,.T.); +#79904=EDGE_CURVE('',#56605,#55993,#18213,.T.); +#79907=EDGE_CURVE('',#56606,#55994,#18369,.T.); +#79911=ADVANCED_FACE('',(#79910),#79902,.T.); +#79918=EDGE_CURVE('',#56682,#56070,#18217,.T.); +#79924=ADVANCED_FACE('',(#79923),#79916,.T.); +#79931=EDGE_CURVE('',#56680,#56068,#18221,.T.); +#79937=ADVANCED_FACE('',(#79936),#79929,.T.); +#79944=EDGE_CURVE('',#56678,#56066,#18225,.T.); +#79950=ADVANCED_FACE('',(#79949),#79942,.T.); +#79957=EDGE_CURVE('',#56676,#56064,#18229,.T.); +#79963=ADVANCED_FACE('',(#79962),#79955,.T.); +#79970=EDGE_CURVE('',#56674,#56062,#18233,.T.); +#79976=ADVANCED_FACE('',(#79975),#79968,.T.); +#79983=EDGE_CURVE('',#56672,#56060,#18237,.T.); +#79989=ADVANCED_FACE('',(#79988),#79981,.T.); +#79996=EDGE_CURVE('',#56670,#56058,#18241,.T.); +#80002=ADVANCED_FACE('',(#80001),#79994,.T.); +#80009=EDGE_CURVE('',#56668,#56056,#18245,.T.); +#80015=ADVANCED_FACE('',(#80014),#80007,.T.); +#80022=EDGE_CURVE('',#56666,#56054,#18249,.T.); +#80028=ADVANCED_FACE('',(#80027),#80020,.T.); +#80035=EDGE_CURVE('',#56664,#56052,#18253,.T.); +#80041=ADVANCED_FACE('',(#80040),#80033,.T.); +#80048=EDGE_CURVE('',#56662,#56050,#18257,.T.); +#80054=ADVANCED_FACE('',(#80053),#80046,.T.); +#80061=EDGE_CURVE('',#56660,#56048,#18261,.T.); +#80067=ADVANCED_FACE('',(#80066),#80059,.T.); +#80074=EDGE_CURVE('',#56658,#56046,#18265,.T.); +#80080=ADVANCED_FACE('',(#80079),#80072,.T.); +#80087=EDGE_CURVE('',#56656,#56044,#18269,.T.); +#80093=ADVANCED_FACE('',(#80092),#80085,.T.); +#80100=EDGE_CURVE('',#56654,#56042,#18273,.T.); +#80106=ADVANCED_FACE('',(#80105),#80098,.T.); +#80113=EDGE_CURVE('',#56652,#56040,#18277,.T.); +#80119=ADVANCED_FACE('',(#80118),#80111,.T.); +#80126=EDGE_CURVE('',#56650,#56038,#18281,.T.); +#80132=ADVANCED_FACE('',(#80131),#80124,.T.); +#80139=EDGE_CURVE('',#56648,#56036,#18285,.T.); +#80145=ADVANCED_FACE('',(#80144),#80137,.T.); +#80152=EDGE_CURVE('',#56646,#56034,#18289,.T.); +#80158=ADVANCED_FACE('',(#80157),#80150,.T.); +#80165=EDGE_CURVE('',#56644,#56032,#18293,.T.); +#80171=ADVANCED_FACE('',(#80170),#80163,.T.); +#80178=EDGE_CURVE('',#56642,#56030,#18297,.T.); +#80184=ADVANCED_FACE('',(#80183),#80176,.T.); +#80191=EDGE_CURVE('',#56640,#56028,#18301,.T.); +#80197=ADVANCED_FACE('',(#80196),#80189,.T.); +#80204=EDGE_CURVE('',#56638,#56026,#18305,.T.); +#80210=ADVANCED_FACE('',(#80209),#80202,.T.); +#80217=EDGE_CURVE('',#56636,#56024,#18309,.T.); +#80223=ADVANCED_FACE('',(#80222),#80215,.T.); +#80230=EDGE_CURVE('',#56634,#56022,#18313,.T.); +#80236=ADVANCED_FACE('',(#80235),#80228,.T.); +#80243=EDGE_CURVE('',#56632,#56020,#18317,.T.); +#80249=ADVANCED_FACE('',(#80248),#80241,.T.); +#80256=EDGE_CURVE('',#56630,#56018,#18321,.T.); +#80262=ADVANCED_FACE('',(#80261),#80254,.T.); +#80269=EDGE_CURVE('',#56628,#56016,#18325,.T.); +#80275=ADVANCED_FACE('',(#80274),#80267,.T.); +#80282=EDGE_CURVE('',#56626,#56014,#18329,.T.); +#80288=ADVANCED_FACE('',(#80287),#80280,.T.); +#80295=EDGE_CURVE('',#56624,#56012,#18333,.T.); +#80301=ADVANCED_FACE('',(#80300),#80293,.T.); +#80308=EDGE_CURVE('',#56622,#56010,#18337,.T.); +#80314=ADVANCED_FACE('',(#80313),#80306,.T.); +#80321=EDGE_CURVE('',#56620,#56008,#18341,.T.); +#80327=ADVANCED_FACE('',(#80326),#80319,.T.); +#80334=EDGE_CURVE('',#56618,#56006,#18345,.T.); +#80340=ADVANCED_FACE('',(#80339),#80332,.T.); +#80347=EDGE_CURVE('',#56616,#56004,#18349,.T.); +#80353=ADVANCED_FACE('',(#80352),#80345,.T.); +#80360=EDGE_CURVE('',#56614,#56002,#18353,.T.); +#80366=ADVANCED_FACE('',(#80365),#80358,.T.); +#80373=EDGE_CURVE('',#56612,#56000,#18357,.T.); +#80379=ADVANCED_FACE('',(#80378),#80371,.T.); +#80386=EDGE_CURVE('',#56610,#55998,#18361,.T.); +#80392=ADVANCED_FACE('',(#80391),#80384,.T.); +#80399=EDGE_CURVE('',#56608,#55996,#18365,.T.); +#80405=ADVANCED_FACE('',(#80404),#80397,.T.); +#80417=ADVANCED_FACE('',(#80416),#80410,.T.); +#80424=EDGE_CURVE('',#56685,#56073,#18373,.T.); +#80427=EDGE_CURVE('',#56686,#56074,#18529,.T.); +#80431=ADVANCED_FACE('',(#80430),#80422,.T.); +#80438=EDGE_CURVE('',#56762,#56150,#18377,.T.); +#80444=ADVANCED_FACE('',(#80443),#80436,.T.); +#80451=EDGE_CURVE('',#56760,#56148,#18381,.T.); +#80457=ADVANCED_FACE('',(#80456),#80449,.T.); +#80464=EDGE_CURVE('',#56758,#56146,#18385,.T.); +#80470=ADVANCED_FACE('',(#80469),#80462,.T.); +#80477=EDGE_CURVE('',#56756,#56144,#18389,.T.); +#80483=ADVANCED_FACE('',(#80482),#80475,.T.); +#80490=EDGE_CURVE('',#56754,#56142,#18393,.T.); +#80496=ADVANCED_FACE('',(#80495),#80488,.T.); +#80503=EDGE_CURVE('',#56752,#56140,#18397,.T.); +#80509=ADVANCED_FACE('',(#80508),#80501,.T.); +#80516=EDGE_CURVE('',#56750,#56138,#18401,.T.); +#80522=ADVANCED_FACE('',(#80521),#80514,.T.); +#80529=EDGE_CURVE('',#56748,#56136,#18405,.T.); +#80535=ADVANCED_FACE('',(#80534),#80527,.T.); +#80542=EDGE_CURVE('',#56746,#56134,#18409,.T.); +#80548=ADVANCED_FACE('',(#80547),#80540,.T.); +#80555=EDGE_CURVE('',#56744,#56132,#18413,.T.); +#80561=ADVANCED_FACE('',(#80560),#80553,.T.); +#80568=EDGE_CURVE('',#56742,#56130,#18417,.T.); +#80574=ADVANCED_FACE('',(#80573),#80566,.T.); +#80581=EDGE_CURVE('',#56740,#56128,#18421,.T.); +#80587=ADVANCED_FACE('',(#80586),#80579,.T.); +#80594=EDGE_CURVE('',#56738,#56126,#18425,.T.); +#80600=ADVANCED_FACE('',(#80599),#80592,.T.); +#80607=EDGE_CURVE('',#56736,#56124,#18429,.T.); +#80613=ADVANCED_FACE('',(#80612),#80605,.T.); +#80620=EDGE_CURVE('',#56734,#56122,#18433,.T.); +#80626=ADVANCED_FACE('',(#80625),#80618,.T.); +#80633=EDGE_CURVE('',#56732,#56120,#18437,.T.); +#80639=ADVANCED_FACE('',(#80638),#80631,.T.); +#80646=EDGE_CURVE('',#56730,#56118,#18441,.T.); +#80652=ADVANCED_FACE('',(#80651),#80644,.T.); +#80659=EDGE_CURVE('',#56728,#56116,#18445,.T.); +#80665=ADVANCED_FACE('',(#80664),#80657,.T.); +#80672=EDGE_CURVE('',#56726,#56114,#18449,.T.); +#80678=ADVANCED_FACE('',(#80677),#80670,.T.); +#80685=EDGE_CURVE('',#56724,#56112,#18453,.T.); +#80691=ADVANCED_FACE('',(#80690),#80683,.T.); +#80698=EDGE_CURVE('',#56722,#56110,#18457,.T.); +#80704=ADVANCED_FACE('',(#80703),#80696,.T.); +#80711=EDGE_CURVE('',#56720,#56108,#18461,.T.); +#80717=ADVANCED_FACE('',(#80716),#80709,.T.); +#80724=EDGE_CURVE('',#56718,#56106,#18465,.T.); +#80730=ADVANCED_FACE('',(#80729),#80722,.T.); +#80737=EDGE_CURVE('',#56716,#56104,#18469,.T.); +#80743=ADVANCED_FACE('',(#80742),#80735,.T.); +#80750=EDGE_CURVE('',#56714,#56102,#18473,.T.); +#80756=ADVANCED_FACE('',(#80755),#80748,.T.); +#80763=EDGE_CURVE('',#56712,#56100,#18477,.T.); +#80769=ADVANCED_FACE('',(#80768),#80761,.T.); +#80776=EDGE_CURVE('',#56710,#56098,#18481,.T.); +#80782=ADVANCED_FACE('',(#80781),#80774,.T.); +#80789=EDGE_CURVE('',#56708,#56096,#18485,.T.); +#80795=ADVANCED_FACE('',(#80794),#80787,.T.); +#80802=EDGE_CURVE('',#56706,#56094,#18489,.T.); +#80808=ADVANCED_FACE('',(#80807),#80800,.T.); +#80815=EDGE_CURVE('',#56704,#56092,#18493,.T.); +#80821=ADVANCED_FACE('',(#80820),#80813,.T.); +#80828=EDGE_CURVE('',#56702,#56090,#18497,.T.); +#80834=ADVANCED_FACE('',(#80833),#80826,.T.); +#80841=EDGE_CURVE('',#56700,#56088,#18501,.T.); +#80847=ADVANCED_FACE('',(#80846),#80839,.T.); +#80854=EDGE_CURVE('',#56698,#56086,#18505,.T.); +#80860=ADVANCED_FACE('',(#80859),#80852,.T.); +#80867=EDGE_CURVE('',#56696,#56084,#18509,.T.); +#80873=ADVANCED_FACE('',(#80872),#80865,.T.); +#80880=EDGE_CURVE('',#56694,#56082,#18513,.T.); +#80886=ADVANCED_FACE('',(#80885),#80878,.T.); +#80893=EDGE_CURVE('',#56692,#56080,#18517,.T.); +#80899=ADVANCED_FACE('',(#80898),#80891,.T.); +#80906=EDGE_CURVE('',#56690,#56078,#18521,.T.); +#80912=ADVANCED_FACE('',(#80911),#80904,.T.); +#80919=EDGE_CURVE('',#56688,#56076,#18525,.T.); +#80925=ADVANCED_FACE('',(#80924),#80917,.T.); +#80937=ADVANCED_FACE('',(#80936),#80930,.T.); +#80944=EDGE_CURVE('',#56765,#56153,#18533,.T.); +#80947=EDGE_CURVE('',#56766,#56154,#18609,.T.); +#80951=ADVANCED_FACE('',(#80950),#80942,.T.); +#80958=EDGE_CURVE('',#56802,#56190,#18537,.T.); +#80964=ADVANCED_FACE('',(#80963),#80956,.T.); +#80971=EDGE_CURVE('',#56800,#56188,#18541,.T.); +#80977=ADVANCED_FACE('',(#80976),#80969,.T.); +#80984=EDGE_CURVE('',#56798,#56186,#18545,.T.); +#80990=ADVANCED_FACE('',(#80989),#80982,.T.); +#80997=EDGE_CURVE('',#56796,#56184,#18549,.T.); +#81003=ADVANCED_FACE('',(#81002),#80995,.T.); +#81010=EDGE_CURVE('',#56794,#56182,#18553,.T.); +#81016=ADVANCED_FACE('',(#81015),#81008,.T.); +#81023=EDGE_CURVE('',#56792,#56180,#18557,.T.); +#81029=ADVANCED_FACE('',(#81028),#81021,.T.); +#81036=EDGE_CURVE('',#56790,#56178,#18561,.T.); +#81042=ADVANCED_FACE('',(#81041),#81034,.T.); +#81049=EDGE_CURVE('',#56788,#56176,#18565,.T.); +#81055=ADVANCED_FACE('',(#81054),#81047,.T.); +#81062=EDGE_CURVE('',#56786,#56174,#18569,.T.); +#81068=ADVANCED_FACE('',(#81067),#81060,.T.); +#81075=EDGE_CURVE('',#56784,#56172,#18573,.T.); +#81081=ADVANCED_FACE('',(#81080),#81073,.T.); +#81088=EDGE_CURVE('',#56782,#56170,#18577,.T.); +#81094=ADVANCED_FACE('',(#81093),#81086,.T.); +#81101=EDGE_CURVE('',#56780,#56168,#18581,.T.); +#81107=ADVANCED_FACE('',(#81106),#81099,.T.); +#81114=EDGE_CURVE('',#56778,#56166,#18585,.T.); +#81120=ADVANCED_FACE('',(#81119),#81112,.T.); +#81127=EDGE_CURVE('',#56776,#56164,#18589,.T.); +#81133=ADVANCED_FACE('',(#81132),#81125,.T.); +#81140=EDGE_CURVE('',#56774,#56162,#18593,.T.); +#81146=ADVANCED_FACE('',(#81145),#81138,.T.); +#81153=EDGE_CURVE('',#56772,#56160,#18597,.T.); +#81159=ADVANCED_FACE('',(#81158),#81151,.T.); +#81166=EDGE_CURVE('',#56770,#56158,#18601,.T.); +#81172=ADVANCED_FACE('',(#81171),#81164,.T.); +#81179=EDGE_CURVE('',#56768,#56156,#18605,.T.); +#81185=ADVANCED_FACE('',(#81184),#81177,.T.); +#81197=ADVANCED_FACE('',(#81196),#81190,.T.); +#81203=EDGE_CURVE('',#46122,#46117,#18613,.T.); +#81205=EDGE_CURVE('',#46086,#46117,#18657,.T.); +#81208=EDGE_CURVE('',#46085,#46122,#18617,.T.); +#81212=ADVANCED_FACE('',(#81211),#81202,.T.); +#81219=EDGE_CURVE('',#46122,#46148,#18625,.T.); +#81221=EDGE_CURVE('',#46148,#46070,#18733,.T.); +#81223=EDGE_CURVE('',#46070,#46073,#18765,.T.); +#81225=EDGE_CURVE('',#46073,#46152,#18669,.T.); +#81227=EDGE_CURVE('',#46117,#46152,#18621,.T.); +#81231=ADVANCED_FACE('',(#81230),#81217,.T.); +#81237=EDGE_CURVE('',#46120,#46122,#18629,.T.); +#81239=EDGE_CURVE('',#46120,#46150,#18645,.T.); +#81241=EDGE_CURVE('',#46150,#46148,#18737,.T.); +#81246=ADVANCED_FACE('',(#81245),#81236,.T.); +#81255=EDGE_CURVE('',#46090,#46120,#18633,.T.); +#81259=ADVANCED_FACE('',(#81258),#81251,.T.); +#81265=EDGE_CURVE('',#46118,#46120,#18637,.T.); +#81269=EDGE_CURVE('',#46088,#46118,#18641,.T.); +#81273=ADVANCED_FACE('',(#81272),#81264,.T.); +#81280=EDGE_CURVE('',#46118,#46154,#18649,.T.); +#81282=EDGE_CURVE('',#46154,#46074,#18661,.T.); +#81284=EDGE_CURVE('',#46074,#46069,#18781,.T.); +#81286=EDGE_CURVE('',#46069,#46150,#18741,.T.); +#81291=ADVANCED_FACE('',(#81290),#81278,.T.); +#81297=EDGE_CURVE('',#46117,#46118,#18653,.T.); +#81300=EDGE_CURVE('',#46152,#46154,#18665,.T.); +#81305=ADVANCED_FACE('',(#81304),#81296,.T.); +#81317=ADVANCED_FACE('',(#81316),#81310,.T.); +#81326=EDGE_CURVE('',#46073,#46074,#18757,.T.); +#81330=ADVANCED_FACE('',(#81329),#81322,.T.); +#81336=EDGE_CURVE('',#46160,#46082,#18673,.T.); +#81338=EDGE_CURVE('',#46158,#46160,#18677,.T.); +#81340=EDGE_CURVE('',#46081,#46158,#18681,.T.); +#81342=EDGE_CURVE('',#46081,#46082,#18893,.T.); +#81346=ADVANCED_FACE('',(#81345),#81335,.T.); +#81352=EDGE_CURVE('',#46168,#46058,#18685,.T.); +#81354=EDGE_CURVE('',#46164,#46168,#18689,.T.); +#81356=EDGE_CURVE('',#46057,#46164,#18693,.T.); +#81358=EDGE_CURVE('',#46057,#46058,#18973,.T.); +#81362=ADVANCED_FACE('',(#81361),#81351,.T.); +#81368=EDGE_CURVE('',#46178,#46066,#18697,.T.); +#81370=EDGE_CURVE('',#46176,#46178,#18701,.T.); +#81372=EDGE_CURVE('',#46065,#46176,#18705,.T.); +#81374=EDGE_CURVE('',#46065,#46066,#19085,.T.); +#81378=ADVANCED_FACE('',(#81377),#81367,.T.); +#81384=EDGE_CURVE('',#46053,#46054,#18957,.T.); +#81386=EDGE_CURVE('',#46166,#46054,#18709,.T.); +#81388=EDGE_CURVE('',#46170,#46166,#18713,.T.); +#81390=EDGE_CURVE('',#46053,#46170,#18717,.T.); +#81394=ADVANCED_FACE('',(#81393),#81383,.T.); +#81400=EDGE_CURVE('',#46061,#46062,#19013,.T.); +#81402=EDGE_CURVE('',#46172,#46062,#18721,.T.); +#81404=EDGE_CURVE('',#46174,#46172,#18725,.T.); +#81406=EDGE_CURVE('',#46061,#46174,#18729,.T.); +#81410=ADVANCED_FACE('',(#81409),#81399,.T.); +#81416=EDGE_CURVE('',#46069,#46070,#18773,.T.); +#81423=ADVANCED_FACE('',(#81422),#81415,.T.); +#81429=EDGE_CURVE('',#46077,#46078,#18821,.T.); +#81431=EDGE_CURVE('',#46156,#46078,#18745,.T.); +#81433=EDGE_CURVE('',#46162,#46156,#18749,.T.); +#81435=EDGE_CURVE('',#46077,#46162,#18753,.T.); +#81439=ADVANCED_FACE('',(#81438),#81428,.T.); +#81446=EDGE_CURVE('',#46073,#44581,#18761,.T.); +#81448=EDGE_CURVE('',#44581,#44583,#18797,.T.); +#81450=EDGE_CURVE('',#46074,#44583,#18785,.T.); +#81454=ADVANCED_FACE('',(#81453),#81444,.T.); +#81461=EDGE_CURVE('',#46070,#44579,#18769,.T.); +#81463=EDGE_CURVE('',#44579,#44581,#18801,.T.); +#81468=ADVANCED_FACE('',(#81467),#81459,.T.); +#81475=EDGE_CURVE('',#46069,#44578,#18777,.T.); +#81477=EDGE_CURVE('',#44578,#44579,#18789,.T.); +#81482=ADVANCED_FACE('',(#81481),#81473,.T.); +#81490=EDGE_CURVE('',#44583,#44578,#18793,.T.); +#81495=ADVANCED_FACE('',(#81494),#81487,.T.); +#81507=ADVANCED_FACE('',(#81506),#81500,.T.); +#81513=EDGE_CURVE('',#44586,#44587,#18805,.T.); +#81515=EDGE_CURVE('',#44591,#44586,#18809,.T.); +#81517=EDGE_CURVE('',#44589,#44591,#18813,.T.); +#81519=EDGE_CURVE('',#44587,#44589,#18817,.T.); +#81523=ADVANCED_FACE('',(#81522),#81512,.T.); +#81530=EDGE_CURVE('',#46077,#44586,#18825,.T.); +#81533=EDGE_CURVE('',#46078,#44587,#18889,.T.); +#81537=ADVANCED_FACE('',(#81536),#81528,.T.); +#81543=EDGE_CURVE('',#46082,#46077,#18829,.T.); +#81545=EDGE_CURVE('',#46082,#44591,#18833,.T.); +#81551=ADVANCED_FACE('',(#81550),#81542,.T.); +#81557=EDGE_CURVE('',#46125,#46126,#18841,.T.); +#81559=EDGE_CURVE('',#46125,#46160,#18881,.T.); +#81564=EDGE_CURVE('',#46126,#46162,#18837,.T.); +#81568=ADVANCED_FACE('',(#81567),#81556,.T.); +#81575=EDGE_CURVE('',#46098,#46126,#18853,.T.); +#81578=EDGE_CURVE('',#46096,#46125,#18845,.T.); +#81582=ADVANCED_FACE('',(#81581),#81573,.T.); +#81588=EDGE_CURVE('',#46126,#46130,#18849,.T.); +#81590=EDGE_CURVE('',#46093,#46130,#18869,.T.); +#81596=ADVANCED_FACE('',(#81595),#81587,.T.); +#81605=EDGE_CURVE('',#46130,#46156,#18857,.T.); +#81609=ADVANCED_FACE('',(#81608),#81601,.T.); +#81615=EDGE_CURVE('',#46130,#46128,#18865,.T.); +#81619=EDGE_CURVE('',#46078,#46081,#18885,.T.); +#81622=EDGE_CURVE('',#46128,#46158,#18861,.T.); +#81626=ADVANCED_FACE('',(#81625),#81614,.T.); +#81633=EDGE_CURVE('',#46094,#46128,#18877,.T.); +#81639=ADVANCED_FACE('',(#81638),#81631,.T.); +#81645=EDGE_CURVE('',#46128,#46125,#18873,.T.); +#81652=ADVANCED_FACE('',(#81651),#81644,.T.); +#81664=ADVANCED_FACE('',(#81663),#81657,.T.); +#81673=EDGE_CURVE('',#46081,#44589,#18897,.T.); +#81677=ADVANCED_FACE('',(#81676),#81669,.T.); +#81689=ADVANCED_FACE('',(#81688),#81682,.T.); +#81695=EDGE_CURVE('',#46133,#46134,#18905,.T.); +#81697=EDGE_CURVE('',#46133,#46168,#18945,.T.); +#81700=EDGE_CURVE('',#46058,#46053,#18965,.T.); +#81703=EDGE_CURVE('',#46134,#46170,#18901,.T.); +#81707=ADVANCED_FACE('',(#81706),#81694,.T.); +#81714=EDGE_CURVE('',#46106,#46134,#18917,.T.); +#81717=EDGE_CURVE('',#46104,#46133,#18909,.T.); +#81721=ADVANCED_FACE('',(#81720),#81712,.T.); +#81727=EDGE_CURVE('',#46134,#46136,#18913,.T.); +#81729=EDGE_CURVE('',#46101,#46136,#18933,.T.); +#81735=ADVANCED_FACE('',(#81734),#81726,.T.); +#81744=EDGE_CURVE('',#46136,#46166,#18921,.T.); +#81748=ADVANCED_FACE('',(#81747),#81740,.T.); +#81754=EDGE_CURVE('',#46136,#46138,#18929,.T.); +#81758=EDGE_CURVE('',#46054,#46057,#18949,.T.); +#81761=EDGE_CURVE('',#46138,#46164,#18925,.T.); +#81765=ADVANCED_FACE('',(#81764),#81753,.T.); +#81772=EDGE_CURVE('',#46102,#46138,#18941,.T.); +#81778=ADVANCED_FACE('',(#81777),#81770,.T.); +#81784=EDGE_CURVE('',#46138,#46133,#18937,.T.); +#81791=ADVANCED_FACE('',(#81790),#81783,.T.); +#81803=ADVANCED_FACE('',(#81802),#81796,.T.); +#81810=EDGE_CURVE('',#46054,#44563,#18953,.T.); +#81812=EDGE_CURVE('',#44563,#44565,#18993,.T.); +#81814=EDGE_CURVE('',#46057,#44565,#18977,.T.); +#81818=ADVANCED_FACE('',(#81817),#81808,.T.); +#81825=EDGE_CURVE('',#46053,#44562,#18961,.T.); +#81827=EDGE_CURVE('',#44562,#44563,#18981,.T.); +#81832=ADVANCED_FACE('',(#81831),#81823,.T.); +#81839=EDGE_CURVE('',#46058,#44567,#18969,.T.); +#81841=EDGE_CURVE('',#44567,#44562,#18985,.T.); +#81846=ADVANCED_FACE('',(#81845),#81837,.T.); +#81854=EDGE_CURVE('',#44565,#44567,#18989,.T.); +#81859=ADVANCED_FACE('',(#81858),#81851,.T.); +#81871=ADVANCED_FACE('',(#81870),#81864,.T.); +#81877=EDGE_CURVE('',#44570,#44571,#18997,.T.); +#81879=EDGE_CURVE('',#44575,#44570,#19001,.T.); +#81881=EDGE_CURVE('',#44573,#44575,#19005,.T.); +#81883=EDGE_CURVE('',#44571,#44573,#19009,.T.); +#81887=ADVANCED_FACE('',(#81886),#81876,.T.); +#81894=EDGE_CURVE('',#46061,#44570,#19017,.T.); +#81897=EDGE_CURVE('',#46062,#44571,#19081,.T.); +#81901=ADVANCED_FACE('',(#81900),#81892,.T.); +#81907=EDGE_CURVE('',#46066,#46061,#19021,.T.); +#81909=EDGE_CURVE('',#46066,#44575,#19025,.T.); +#81915=ADVANCED_FACE('',(#81914),#81906,.T.); +#81921=EDGE_CURVE('',#46142,#46144,#19033,.T.); +#81923=EDGE_CURVE('',#46142,#46178,#19073,.T.); +#81928=EDGE_CURVE('',#46144,#46174,#19029,.T.); +#81932=ADVANCED_FACE('',(#81931),#81920,.T.); +#81939=EDGE_CURVE('',#46114,#46144,#19045,.T.); +#81942=EDGE_CURVE('',#46112,#46142,#19037,.T.); +#81946=ADVANCED_FACE('',(#81945),#81937,.T.); +#81952=EDGE_CURVE('',#46144,#46146,#19041,.T.); +#81954=EDGE_CURVE('',#46109,#46146,#19061,.T.); +#81960=ADVANCED_FACE('',(#81959),#81951,.T.); +#81969=EDGE_CURVE('',#46146,#46172,#19049,.T.); +#81973=ADVANCED_FACE('',(#81972),#81965,.T.); +#81979=EDGE_CURVE('',#46146,#46141,#19057,.T.); +#81983=EDGE_CURVE('',#46062,#46065,#19077,.T.); +#81986=EDGE_CURVE('',#46141,#46176,#19053,.T.); +#81990=ADVANCED_FACE('',(#81989),#81978,.T.); +#81997=EDGE_CURVE('',#46110,#46141,#19069,.T.); +#82003=ADVANCED_FACE('',(#82002),#81995,.T.); +#82009=EDGE_CURVE('',#46141,#46142,#19065,.T.); +#82016=ADVANCED_FACE('',(#82015),#82008,.T.); +#82028=ADVANCED_FACE('',(#82027),#82021,.T.); +#82037=EDGE_CURVE('',#46065,#44573,#19089,.T.); +#82041=ADVANCED_FACE('',(#82040),#82033,.T.); +#82053=ADVANCED_FACE('',(#82052),#82046,.T.); +#82059=EDGE_CURVE('',#50178,#46241,#19093,.T.); +#82061=EDGE_CURVE('',#46241,#50188,#28485,.T.); +#82063=EDGE_CURVE('',#50188,#50179,#19097,.T.); +#82068=ADVANCED_FACE('',(#82067),#82058,.T.); +#82074=EDGE_CURVE('',#46241,#46242,#19101,.T.); +#82078=EDGE_CURVE('',#46242,#50183,#28505,.T.); +#82082=ADVANCED_FACE('',(#82081),#82073,.T.); +#82088=EDGE_CURVE('',#50419,#46369,#19109,.T.); +#82091=EDGE_CURVE('',#46230,#50175,#19261,.T.); +#82093=EDGE_CURVE('',#46229,#46230,#19117,.T.); +#82095=EDGE_CURVE('',#50419,#46229,#19121,.T.); +#82099=ADVANCED_FACE('',(#82098),#82087,.T.); +#82105=EDGE_CURVE('',#46237,#46238,#19125,.T.); +#82107=EDGE_CURVE('',#46237,#46241,#28477,.T.); +#82110=EDGE_CURVE('',#46242,#46238,#28489,.T.); +#82114=ADVANCED_FACE('',(#82113),#82104,.T.); +#82121=EDGE_CURVE('',#50191,#46238,#28501,.T.); +#82123=EDGE_CURVE('',#50191,#50189,#19129,.T.); +#82125=EDGE_CURVE('',#50189,#46237,#19133,.T.); +#82129=EDGE_CURVE('',#46224,#46226,#19141,.T.); +#82131=EDGE_CURVE('',#46226,#46220,#19161,.T.); +#82133=EDGE_CURVE('',#46220,#46222,#19157,.T.); +#82135=EDGE_CURVE('',#46222,#46224,#19149,.T.); +#82139=ADVANCED_FACE('',(#82128,#82138),#82119,.T.); +#82145=EDGE_CURVE('',#46224,#45621,#19137,.T.); +#82147=EDGE_CURVE('',#45621,#45623,#19193,.T.); +#82149=EDGE_CURVE('',#46226,#45623,#19165,.T.); +#82154=ADVANCED_FACE('',(#82153),#82144,.T.); +#82160=EDGE_CURVE('',#46222,#45619,#19145,.T.); +#82162=EDGE_CURVE('',#45619,#45621,#19197,.T.); +#82168=ADVANCED_FACE('',(#82167),#82159,.T.); +#82174=EDGE_CURVE('',#46220,#45618,#19153,.T.); +#82176=EDGE_CURVE('',#45618,#45619,#19185,.T.); +#82182=ADVANCED_FACE('',(#82181),#82173,.T.); +#82191=EDGE_CURVE('',#45623,#45618,#19189,.T.); +#82195=ADVANCED_FACE('',(#82194),#82187,.T.); +#82201=EDGE_CURVE('',#45610,#45611,#19169,.T.); +#82203=EDGE_CURVE('',#45615,#45610,#19173,.T.); +#82205=EDGE_CURVE('',#45613,#45615,#19177,.T.); +#82207=EDGE_CURVE('',#45611,#45613,#19181,.T.); +#82211=ADVANCED_FACE('',(#82210),#82200,.T.); +#82223=ADVANCED_FACE('',(#82222),#82216,.T.); +#82229=EDGE_CURVE('',#46212,#45610,#19201,.T.); +#82232=EDGE_CURVE('',#46214,#45611,#19237,.T.); +#82234=EDGE_CURVE('',#46212,#46214,#19205,.T.); +#82238=ADVANCED_FACE('',(#82237),#82228,.T.); +#82245=EDGE_CURVE('',#46218,#46212,#19209,.T.); +#82247=EDGE_CURVE('',#46218,#45615,#19213,.T.); +#82252=ADVANCED_FACE('',(#82251),#82243,.T.); +#82258=EDGE_CURVE('',#46233,#46234,#19217,.T.); +#82260=EDGE_CURVE('',#50135,#46234,#19257,.T.); +#82262=EDGE_CURVE('',#50135,#50133,#19221,.T.); +#82264=EDGE_CURVE('',#50133,#46233,#19225,.T.); +#82268=EDGE_CURVE('',#46216,#46218,#19233,.T.); +#82272=EDGE_CURVE('',#46214,#46216,#19241,.T.); +#82276=ADVANCED_FACE('',(#82267,#82275),#82257,.T.); +#82282=EDGE_CURVE('',#46216,#45613,#19229,.T.); +#82289=ADVANCED_FACE('',(#82288),#82281,.T.); +#82301=ADVANCED_FACE('',(#82300),#82294,.T.); +#82308=EDGE_CURVE('',#46230,#46234,#19245,.T.); +#82311=EDGE_CURVE('',#46233,#46229,#19289,.T.); +#82315=ADVANCED_FACE('',(#82314),#82306,.T.); +#82322=EDGE_CURVE('',#50185,#46230,#19249,.T.); +#82324=EDGE_CURVE('',#50135,#50185,#19253,.T.); +#82329=ADVANCED_FACE('',(#82328),#82320,.T.); +#82338=EDGE_CURVE('',#50174,#50185,#19265,.T.); +#82342=ADVANCED_FACE('',(#82341),#82334,.T.); +#82348=EDGE_CURVE('',#50185,#50131,#19269,.T.); +#82353=EDGE_CURVE('',#50129,#50131,#19277,.T.); +#82357=ADVANCED_FACE('',(#82356),#82347,.F.); +#82363=EDGE_CURVE('',#50193,#50188,#19281,.T.); +#82365=EDGE_CURVE('',#50182,#50193,#28509,.T.); +#82371=ADVANCED_FACE('',(#82370),#82362,.F.); +#82377=EDGE_CURVE('',#50131,#50133,#19293,.T.); +#82384=ADVANCED_FACE('',(#82383),#82376,.T.); +#82393=EDGE_CURVE('',#50131,#46229,#19297,.T.); +#82397=ADVANCED_FACE('',(#82396),#82389,.T.); +#82403=EDGE_CURVE('',#46380,#46384,#19301,.T.); +#82405=EDGE_CURVE('',#50419,#46384,#19305,.T.); +#82413=ADVANCED_FACE('',(#82412),#82402,.T.); +#82419=EDGE_CURVE('',#46399,#46400,#19309,.T.); +#82421=EDGE_CURVE('',#46399,#46337,#19313,.T.); +#82423=EDGE_CURVE('',#46337,#46384,#19317,.T.); +#82428=EDGE_CURVE('',#46374,#46400,#19321,.T.); +#82432=ADVANCED_FACE('',(#82431),#82418,.F.); +#82438=EDGE_CURVE('',#46415,#46416,#19325,.T.); +#82440=EDGE_CURVE('',#46415,#46341,#19329,.T.); +#82442=EDGE_CURVE('',#46341,#46362,#19333,.T.); +#82445=EDGE_CURVE('',#46361,#46416,#19337,.T.); +#82449=ADVANCED_FACE('',(#82448),#82437,.F.); +#82456=EDGE_CURVE('',#46396,#46400,#19341,.T.); +#82458=EDGE_CURVE('',#46402,#46396,#19357,.T.); +#82460=EDGE_CURVE('',#46402,#46399,#19529,.T.); +#82464=ADVANCED_FACE('',(#82463),#82454,.T.); +#82470=EDGE_CURVE('',#46389,#46396,#19361,.T.); +#82473=EDGE_CURVE('',#46393,#46400,#28465,.T.); +#82475=EDGE_CURVE('',#46389,#46393,#19345,.T.); +#82479=ADVANCED_FACE('',(#82478),#82469,.T.); +#82485=EDGE_CURVE('',#46389,#46390,#19349,.T.); +#82487=EDGE_CURVE('',#46390,#46402,#19353,.T.); +#82493=EDGE_CURVE('',#46345,#46346,#19365,.T.); +#82495=EDGE_CURVE('',#46346,#46348,#19389,.T.); +#82497=EDGE_CURVE('',#46348,#46350,#19381,.T.); +#82499=EDGE_CURVE('',#46350,#46345,#19373,.T.); +#82503=ADVANCED_FACE('',(#82492,#82502),#82484,.T.); +#82510=EDGE_CURVE('',#46345,#45626,#19369,.T.); +#82512=EDGE_CURVE('',#45626,#45627,#19397,.T.); +#82514=EDGE_CURVE('',#46346,#45627,#19393,.T.); +#82518=ADVANCED_FACE('',(#82517),#82508,.T.); +#82525=EDGE_CURVE('',#46350,#45631,#19377,.T.); +#82527=EDGE_CURVE('',#45631,#45626,#19401,.T.); +#82532=ADVANCED_FACE('',(#82531),#82523,.T.); +#82539=EDGE_CURVE('',#46348,#45629,#19385,.T.); +#82541=EDGE_CURVE('',#45629,#45631,#19405,.T.); +#82546=ADVANCED_FACE('',(#82545),#82537,.T.); +#82554=EDGE_CURVE('',#45627,#45629,#19409,.T.); +#82559=ADVANCED_FACE('',(#82558),#82551,.T.); +#82571=ADVANCED_FACE('',(#82570),#82564,.T.); +#82577=EDGE_CURVE('',#45634,#45635,#19413,.T.); +#82579=EDGE_CURVE('',#45639,#45634,#19417,.T.); +#82581=EDGE_CURVE('',#45637,#45639,#19421,.T.); +#82583=EDGE_CURVE('',#45635,#45637,#19425,.T.); +#82587=ADVANCED_FACE('',(#82586),#82576,.T.); +#82593=EDGE_CURVE('',#46353,#46354,#19429,.T.); +#82595=EDGE_CURVE('',#46353,#45634,#19433,.T.); +#82598=EDGE_CURVE('',#46354,#45635,#19457,.T.); +#82602=ADVANCED_FACE('',(#82601),#82592,.T.); +#82608=EDGE_CURVE('',#46405,#46406,#19437,.T.); +#82610=EDGE_CURVE('',#46406,#46418,#19441,.T.); +#82612=EDGE_CURVE('',#46418,#46412,#19445,.T.); +#82614=EDGE_CURVE('',#46405,#46412,#19449,.T.); +#82619=EDGE_CURVE('',#46354,#46356,#19453,.T.); +#82621=EDGE_CURVE('',#46356,#46358,#19461,.T.); +#82623=EDGE_CURVE('',#46358,#46353,#19469,.T.); +#82627=ADVANCED_FACE('',(#82617,#82626),#82607,.T.); +#82636=EDGE_CURVE('',#46356,#45637,#19465,.T.); +#82640=ADVANCED_FACE('',(#82639),#82632,.T.); +#82649=EDGE_CURVE('',#46358,#45639,#19473,.T.); +#82653=ADVANCED_FACE('',(#82652),#82645,.T.); +#82665=ADVANCED_FACE('',(#82664),#82658,.T.); +#82672=EDGE_CURVE('',#46405,#46409,#19477,.T.); +#82674=EDGE_CURVE('',#46409,#46410,#19513,.T.); +#82676=EDGE_CURVE('',#46410,#46406,#19489,.T.); +#82680=ADVANCED_FACE('',(#82679),#82670,.T.); +#82687=EDGE_CURVE('',#46412,#46416,#19481,.T.); +#82689=EDGE_CURVE('',#46409,#46416,#28469,.T.); +#82694=ADVANCED_FACE('',(#82693),#82685,.T.); +#82703=EDGE_CURVE('',#46418,#46415,#19485,.T.); +#82707=ADVANCED_FACE('',(#82706),#82699,.T.); +#82713=EDGE_CURVE('',#46410,#46415,#19493,.T.); +#82720=ADVANCED_FACE('',(#82719),#82712,.T.); +#82727=EDGE_CURVE('',#46410,#46342,#19525,.T.); +#82729=EDGE_CURVE('',#46341,#46342,#28473,.T.); +#82734=ADVANCED_FACE('',(#82733),#82725,.T.); +#82740=EDGE_CURVE('',#46393,#46394,#19497,.T.); +#82742=EDGE_CURVE('',#46378,#46393,#19501,.T.); +#82746=EDGE_CURVE('',#46382,#46386,#19641,.T.); +#82748=EDGE_CURVE('',#46338,#46386,#19505,.T.); +#82750=EDGE_CURVE('',#46394,#46338,#19509,.T.); +#82754=ADVANCED_FACE('',(#82753),#82739,.T.); +#82761=EDGE_CURVE('',#46365,#46409,#19517,.T.); +#82764=EDGE_CURVE('',#46342,#46366,#19521,.T.); +#82769=ADVANCED_FACE('',(#82768),#82759,.T.); +#82778=EDGE_CURVE('',#46394,#46390,#19533,.T.); +#82782=ADVANCED_FACE('',(#82781),#82774,.T.); +#82788=EDGE_CURVE('',#46394,#46399,#19537,.T.); +#82795=ADVANCED_FACE('',(#82794),#82787,.T.); +#82803=EDGE_CURVE('',#46337,#46338,#19541,.T.); +#82808=ADVANCED_FACE('',(#82807),#82800,.T.); +#82820=EDGE_CURVE('',#50421,#46386,#19637,.T.); +#82822=EDGE_CURVE('',#50421,#46370,#22961,.T.); +#82826=EDGE_CURVE('',#57287,#57288,#19545,.T.); +#82828=EDGE_CURVE('',#57288,#57290,#19549,.T.); +#82830=EDGE_CURVE('',#57290,#57292,#19553,.T.); +#82832=EDGE_CURVE('',#57292,#57294,#19557,.T.); +#82834=EDGE_CURVE('',#57294,#57296,#19561,.T.); +#82836=EDGE_CURVE('',#57296,#57298,#19565,.T.); +#82838=EDGE_CURVE('',#57298,#57287,#19569,.T.); +#82842=EDGE_CURVE('',#57301,#57302,#19573,.T.); +#82844=EDGE_CURVE('',#57302,#57304,#19577,.T.); +#82846=EDGE_CURVE('',#57304,#57306,#19581,.T.); +#82848=EDGE_CURVE('',#57306,#57308,#19585,.T.); +#82850=EDGE_CURVE('',#57308,#57310,#19589,.T.); +#82852=EDGE_CURVE('',#57310,#57312,#19593,.T.); +#82854=EDGE_CURVE('',#57312,#57314,#19597,.T.); +#82856=EDGE_CURVE('',#57314,#57316,#19601,.T.); +#82858=EDGE_CURVE('',#57316,#57318,#19605,.T.); +#82860=EDGE_CURVE('',#57318,#57320,#19609,.T.); +#82862=EDGE_CURVE('',#57320,#57301,#19613,.T.); +#82866=ADVANCED_FACE('',(#82825,#82841,#82865),#82813,.T.); +#82872=EDGE_CURVE('',#57323,#57324,#19617,.T.); +#82874=EDGE_CURVE('',#57324,#57326,#19621,.T.); +#82876=EDGE_CURVE('',#57326,#57323,#19625,.T.); +#82880=ADVANCED_FACE('',(#82879),#82871,.T.); +#82886=EDGE_CURVE('',#50823,#50447,#19629,.T.); +#82888=EDGE_CURVE('',#50827,#50447,#19633,.T.); +#82890=EDGE_CURVE('',#50827,#46981,#22805,.T.); +#82892=EDGE_CURVE('',#50137,#46981,#23029,.T.); +#82894=EDGE_CURVE('',#50421,#50137,#22965,.T.); +#82901=ADVANCED_FACE('',(#82900),#82885,.T.); +#82907=EDGE_CURVE('',#50457,#50461,#19645,.T.); +#82909=EDGE_CURVE('',#50457,#50440,#19649,.T.); +#82911=EDGE_CURVE('',#50440,#50447,#19653,.T.); +#82916=EDGE_CURVE('',#50817,#50461,#19657,.T.); +#82920=ADVANCED_FACE('',(#82919),#82906,.F.); +#82926=EDGE_CURVE('',#50473,#50477,#19661,.T.); +#82928=EDGE_CURVE('',#50473,#50444,#19665,.T.); +#82930=EDGE_CURVE('',#50444,#50449,#19669,.T.); +#82933=EDGE_CURVE('',#50807,#50477,#19673,.T.); +#82937=ADVANCED_FACE('',(#82936),#82925,.F.); +#82943=EDGE_CURVE('',#50456,#50457,#19681,.T.); +#82946=EDGE_CURVE('',#50460,#50461,#19677,.T.); +#82948=EDGE_CURVE('',#50456,#50460,#19697,.T.); +#82952=ADVANCED_FACE('',(#82951),#82942,.T.); +#82959=EDGE_CURVE('',#50469,#50456,#19693,.T.); +#82961=EDGE_CURVE('',#50468,#50469,#19685,.T.); +#82963=EDGE_CURVE('',#50468,#50457,#22781,.T.); +#82967=ADVANCED_FACE('',(#82966),#82957,.T.); +#82973=EDGE_CURVE('',#50464,#50469,#19689,.T.); +#82977=EDGE_CURVE('',#50464,#50460,#19701,.T.); +#82981=EDGE_CURVE('',#50832,#50833,#19705,.T.); +#82983=EDGE_CURVE('',#50833,#50835,#19729,.T.); +#82985=EDGE_CURVE('',#50835,#50837,#19721,.T.); +#82987=EDGE_CURVE('',#50837,#50832,#19713,.T.); +#82991=ADVANCED_FACE('',(#82980,#82990),#82972,.T.); +#82998=EDGE_CURVE('',#50832,#50424,#19709,.T.); +#83000=EDGE_CURVE('',#50424,#50425,#19737,.T.); +#83002=EDGE_CURVE('',#50833,#50425,#19733,.T.); +#83006=ADVANCED_FACE('',(#83005),#82996,.T.); +#83013=EDGE_CURVE('',#50837,#50429,#19717,.T.); +#83015=EDGE_CURVE('',#50429,#50424,#19741,.T.); +#83020=ADVANCED_FACE('',(#83019),#83011,.T.); +#83027=EDGE_CURVE('',#50835,#50427,#19725,.T.); +#83029=EDGE_CURVE('',#50427,#50429,#19745,.T.); +#83034=ADVANCED_FACE('',(#83033),#83025,.T.); +#83042=EDGE_CURVE('',#50425,#50427,#19749,.T.); +#83047=ADVANCED_FACE('',(#83046),#83039,.T.); +#83059=ADVANCED_FACE('',(#83058),#83052,.T.); +#83065=EDGE_CURVE('',#50432,#50433,#19753,.T.); +#83067=EDGE_CURVE('',#50437,#50432,#19757,.T.); +#83069=EDGE_CURVE('',#50435,#50437,#19761,.T.); +#83071=EDGE_CURVE('',#50433,#50435,#19765,.T.); +#83075=ADVANCED_FACE('',(#83074),#83064,.T.); +#83081=EDGE_CURVE('',#50840,#50841,#19769,.T.); +#83083=EDGE_CURVE('',#50840,#50432,#19773,.T.); +#83086=EDGE_CURVE('',#50841,#50433,#19797,.T.); +#83090=ADVANCED_FACE('',(#83089),#83080,.T.); +#83096=EDGE_CURVE('',#50480,#50485,#19777,.T.); +#83098=EDGE_CURVE('',#50485,#50472,#19781,.T.); +#83100=EDGE_CURVE('',#50472,#50476,#19785,.T.); +#83102=EDGE_CURVE('',#50480,#50476,#19789,.T.); +#83107=EDGE_CURVE('',#50841,#50843,#19793,.T.); +#83109=EDGE_CURVE('',#50843,#50845,#19801,.T.); +#83111=EDGE_CURVE('',#50845,#50840,#19809,.T.); +#83115=ADVANCED_FACE('',(#83105,#83114),#83095,.T.); +#83124=EDGE_CURVE('',#50843,#50435,#19805,.T.); +#83128=ADVANCED_FACE('',(#83127),#83120,.T.); +#83137=EDGE_CURVE('',#50845,#50437,#19813,.T.); +#83141=ADVANCED_FACE('',(#83140),#83133,.T.); +#83153=ADVANCED_FACE('',(#83152),#83146,.T.); +#83159=EDGE_CURVE('',#50480,#50481,#19817,.T.); +#83161=EDGE_CURVE('',#50481,#50484,#19853,.T.); +#83163=EDGE_CURVE('',#50484,#50485,#19829,.T.); +#83168=ADVANCED_FACE('',(#83167),#83158,.T.); +#83174=EDGE_CURVE('',#50476,#50477,#19821,.T.); +#83176=EDGE_CURVE('',#50481,#50477,#28457,.T.); +#83182=ADVANCED_FACE('',(#83181),#83173,.T.); +#83188=EDGE_CURVE('',#50472,#50473,#19825,.T.); +#83195=ADVANCED_FACE('',(#83194),#83187,.T.); +#83204=EDGE_CURVE('',#50484,#50473,#19833,.T.); +#83208=ADVANCED_FACE('',(#83207),#83200,.T.); +#83215=EDGE_CURVE('',#50484,#50445,#19865,.T.); +#83217=EDGE_CURVE('',#50444,#50445,#28461,.T.); +#83222=ADVANCED_FACE('',(#83221),#83213,.T.); +#83228=EDGE_CURVE('',#50465,#50468,#19837,.T.); +#83230=EDGE_CURVE('',#50821,#50465,#19841,.T.); +#83234=EDGE_CURVE('',#50825,#50451,#19889,.T.); +#83236=EDGE_CURVE('',#50441,#50451,#19845,.T.); +#83238=EDGE_CURVE('',#50468,#50441,#19849,.T.); +#83242=ADVANCED_FACE('',(#83241),#83227,.T.); +#83249=EDGE_CURVE('',#50809,#50481,#19857,.T.); +#83252=EDGE_CURVE('',#50445,#50453,#19861,.T.); +#83257=ADVANCED_FACE('',(#83256),#83247,.T.); +#83263=EDGE_CURVE('',#50464,#50465,#19869,.T.); +#83270=ADVANCED_FACE('',(#83269),#83262,.T.); +#83277=EDGE_CURVE('',#50465,#50461,#19873,.T.); +#83283=ADVANCED_FACE('',(#83282),#83275,.T.); +#83295=ADVANCED_FACE('',(#83294),#83288,.T.); +#83301=EDGE_CURVE('',#50863,#50511,#19877,.T.); +#83303=EDGE_CURVE('',#50867,#50511,#19881,.T.); +#83305=EDGE_CURVE('',#50867,#47029,#22561,.T.); +#83307=EDGE_CURVE('',#50143,#47029,#23041,.T.); +#83309=EDGE_CURVE('',#50829,#50143,#22721,.T.); +#83311=EDGE_CURVE('',#50829,#50451,#19885,.T.); +#83317=ADVANCED_FACE('',(#83316),#83300,.T.); +#83323=EDGE_CURVE('',#50521,#50525,#19893,.T.); +#83325=EDGE_CURVE('',#50521,#50504,#19897,.T.); +#83327=EDGE_CURVE('',#50504,#50511,#19901,.T.); +#83332=EDGE_CURVE('',#50857,#50525,#19905,.T.); +#83336=ADVANCED_FACE('',(#83335),#83322,.F.); +#83342=EDGE_CURVE('',#50537,#50541,#19909,.T.); +#83344=EDGE_CURVE('',#50537,#50508,#19913,.T.); +#83346=EDGE_CURVE('',#50508,#50513,#19917,.T.); +#83349=EDGE_CURVE('',#50847,#50541,#19921,.T.); +#83353=ADVANCED_FACE('',(#83352),#83341,.F.); +#83359=EDGE_CURVE('',#50520,#50521,#19929,.T.); +#83362=EDGE_CURVE('',#50524,#50525,#19925,.T.); +#83364=EDGE_CURVE('',#50520,#50524,#19945,.T.); +#83368=ADVANCED_FACE('',(#83367),#83358,.T.); +#83375=EDGE_CURVE('',#50533,#50520,#19941,.T.); +#83377=EDGE_CURVE('',#50532,#50533,#19933,.T.); +#83379=EDGE_CURVE('',#50532,#50521,#22537,.T.); +#83383=ADVANCED_FACE('',(#83382),#83373,.T.); +#83389=EDGE_CURVE('',#50528,#50533,#19937,.T.); +#83393=EDGE_CURVE('',#50528,#50524,#19949,.T.); +#83397=EDGE_CURVE('',#50872,#50873,#19953,.T.); +#83399=EDGE_CURVE('',#50873,#50875,#19977,.T.); +#83401=EDGE_CURVE('',#50875,#50877,#19969,.T.); +#83403=EDGE_CURVE('',#50877,#50872,#19961,.T.); +#83407=ADVANCED_FACE('',(#83396,#83406),#83388,.T.); +#83414=EDGE_CURVE('',#50872,#50488,#19957,.T.); +#83416=EDGE_CURVE('',#50488,#50489,#19985,.T.); +#83418=EDGE_CURVE('',#50873,#50489,#19981,.T.); +#83422=ADVANCED_FACE('',(#83421),#83412,.T.); +#83429=EDGE_CURVE('',#50877,#50493,#19965,.T.); +#83431=EDGE_CURVE('',#50493,#50488,#19989,.T.); +#83436=ADVANCED_FACE('',(#83435),#83427,.T.); +#83443=EDGE_CURVE('',#50875,#50491,#19973,.T.); +#83445=EDGE_CURVE('',#50491,#50493,#19993,.T.); +#83450=ADVANCED_FACE('',(#83449),#83441,.T.); +#83458=EDGE_CURVE('',#50489,#50491,#19997,.T.); +#83463=ADVANCED_FACE('',(#83462),#83455,.T.); +#83475=ADVANCED_FACE('',(#83474),#83468,.T.); +#83481=EDGE_CURVE('',#50496,#50497,#20001,.T.); +#83483=EDGE_CURVE('',#50501,#50496,#20005,.T.); +#83485=EDGE_CURVE('',#50499,#50501,#20009,.T.); +#83487=EDGE_CURVE('',#50497,#50499,#20013,.T.); +#83491=ADVANCED_FACE('',(#83490),#83480,.T.); +#83497=EDGE_CURVE('',#50880,#50881,#20017,.T.); +#83499=EDGE_CURVE('',#50880,#50496,#20021,.T.); +#83502=EDGE_CURVE('',#50881,#50497,#20045,.T.); +#83506=ADVANCED_FACE('',(#83505),#83496,.T.); +#83512=EDGE_CURVE('',#50544,#50549,#20025,.T.); +#83514=EDGE_CURVE('',#50549,#50536,#20029,.T.); +#83516=EDGE_CURVE('',#50536,#50540,#20033,.T.); +#83518=EDGE_CURVE('',#50544,#50540,#20037,.T.); +#83523=EDGE_CURVE('',#50881,#50883,#20041,.T.); +#83525=EDGE_CURVE('',#50883,#50885,#20049,.T.); +#83527=EDGE_CURVE('',#50885,#50880,#20057,.T.); +#83531=ADVANCED_FACE('',(#83521,#83530),#83511,.T.); +#83540=EDGE_CURVE('',#50883,#50499,#20053,.T.); +#83544=ADVANCED_FACE('',(#83543),#83536,.T.); +#83553=EDGE_CURVE('',#50885,#50501,#20061,.T.); +#83557=ADVANCED_FACE('',(#83556),#83549,.T.); +#83569=ADVANCED_FACE('',(#83568),#83562,.T.); +#83575=EDGE_CURVE('',#50544,#50545,#20065,.T.); +#83577=EDGE_CURVE('',#50545,#50548,#20101,.T.); +#83579=EDGE_CURVE('',#50548,#50549,#20077,.T.); +#83584=ADVANCED_FACE('',(#83583),#83574,.T.); +#83590=EDGE_CURVE('',#50540,#50541,#20069,.T.); +#83592=EDGE_CURVE('',#50545,#50541,#28449,.T.); +#83598=ADVANCED_FACE('',(#83597),#83589,.T.); +#83604=EDGE_CURVE('',#50536,#50537,#20073,.T.); +#83611=ADVANCED_FACE('',(#83610),#83603,.T.); +#83620=EDGE_CURVE('',#50548,#50537,#20081,.T.); +#83624=ADVANCED_FACE('',(#83623),#83616,.T.); +#83631=EDGE_CURVE('',#50548,#50509,#20113,.T.); +#83633=EDGE_CURVE('',#50508,#50509,#28453,.T.); +#83638=ADVANCED_FACE('',(#83637),#83629,.T.); +#83644=EDGE_CURVE('',#50529,#50532,#20085,.T.); +#83646=EDGE_CURVE('',#50861,#50529,#20089,.T.); +#83650=EDGE_CURVE('',#50865,#50515,#20137,.T.); +#83652=EDGE_CURVE('',#50505,#50515,#20093,.T.); +#83654=EDGE_CURVE('',#50532,#50505,#20097,.T.); +#83658=ADVANCED_FACE('',(#83657),#83643,.T.); +#83665=EDGE_CURVE('',#50849,#50545,#20105,.T.); +#83668=EDGE_CURVE('',#50509,#50517,#20109,.T.); +#83673=ADVANCED_FACE('',(#83672),#83663,.T.); +#83679=EDGE_CURVE('',#50528,#50529,#20117,.T.); +#83686=ADVANCED_FACE('',(#83685),#83678,.T.); +#83693=EDGE_CURVE('',#50529,#50525,#20121,.T.); +#83699=ADVANCED_FACE('',(#83698),#83691,.T.); +#83711=ADVANCED_FACE('',(#83710),#83704,.T.); +#83717=EDGE_CURVE('',#50903,#50575,#20125,.T.); +#83719=EDGE_CURVE('',#50907,#50575,#20129,.T.); +#83721=EDGE_CURVE('',#50907,#47077,#22317,.T.); +#83723=EDGE_CURVE('',#50149,#47077,#23053,.T.); +#83725=EDGE_CURVE('',#50869,#50149,#22477,.T.); +#83727=EDGE_CURVE('',#50869,#50515,#20133,.T.); +#83733=ADVANCED_FACE('',(#83732),#83716,.T.); +#83739=EDGE_CURVE('',#50585,#50589,#20141,.T.); +#83741=EDGE_CURVE('',#50585,#50568,#20145,.T.); +#83743=EDGE_CURVE('',#50568,#50575,#20149,.T.); +#83748=EDGE_CURVE('',#50897,#50589,#20153,.T.); +#83752=ADVANCED_FACE('',(#83751),#83738,.F.); +#83758=EDGE_CURVE('',#50601,#50605,#20157,.T.); +#83760=EDGE_CURVE('',#50601,#50572,#20161,.T.); +#83762=EDGE_CURVE('',#50572,#50577,#20165,.T.); +#83765=EDGE_CURVE('',#50887,#50605,#20169,.T.); +#83769=ADVANCED_FACE('',(#83768),#83757,.F.); +#83775=EDGE_CURVE('',#50584,#50585,#20177,.T.); +#83778=EDGE_CURVE('',#50588,#50589,#20173,.T.); +#83780=EDGE_CURVE('',#50584,#50588,#20193,.T.); +#83784=ADVANCED_FACE('',(#83783),#83774,.T.); +#83791=EDGE_CURVE('',#50597,#50584,#20189,.T.); +#83793=EDGE_CURVE('',#50596,#50597,#20181,.T.); +#83795=EDGE_CURVE('',#50596,#50585,#22293,.T.); +#83799=ADVANCED_FACE('',(#83798),#83789,.T.); +#83805=EDGE_CURVE('',#50592,#50597,#20185,.T.); +#83809=EDGE_CURVE('',#50592,#50588,#20197,.T.); +#83813=EDGE_CURVE('',#50912,#50913,#20201,.T.); +#83815=EDGE_CURVE('',#50913,#50915,#20225,.T.); +#83817=EDGE_CURVE('',#50915,#50917,#20217,.T.); +#83819=EDGE_CURVE('',#50917,#50912,#20209,.T.); +#83823=ADVANCED_FACE('',(#83812,#83822),#83804,.T.); +#83830=EDGE_CURVE('',#50912,#50552,#20205,.T.); +#83832=EDGE_CURVE('',#50552,#50553,#20233,.T.); +#83834=EDGE_CURVE('',#50913,#50553,#20229,.T.); +#83838=ADVANCED_FACE('',(#83837),#83828,.T.); +#83845=EDGE_CURVE('',#50917,#50557,#20213,.T.); +#83847=EDGE_CURVE('',#50557,#50552,#20237,.T.); +#83852=ADVANCED_FACE('',(#83851),#83843,.T.); +#83859=EDGE_CURVE('',#50915,#50555,#20221,.T.); +#83861=EDGE_CURVE('',#50555,#50557,#20241,.T.); +#83866=ADVANCED_FACE('',(#83865),#83857,.T.); +#83874=EDGE_CURVE('',#50553,#50555,#20245,.T.); +#83879=ADVANCED_FACE('',(#83878),#83871,.T.); +#83891=ADVANCED_FACE('',(#83890),#83884,.T.); +#83897=EDGE_CURVE('',#50560,#50561,#20249,.T.); +#83899=EDGE_CURVE('',#50565,#50560,#20253,.T.); +#83901=EDGE_CURVE('',#50563,#50565,#20257,.T.); +#83903=EDGE_CURVE('',#50561,#50563,#20261,.T.); +#83907=ADVANCED_FACE('',(#83906),#83896,.T.); +#83913=EDGE_CURVE('',#50920,#50921,#20265,.T.); +#83915=EDGE_CURVE('',#50920,#50560,#20269,.T.); +#83918=EDGE_CURVE('',#50921,#50561,#20293,.T.); +#83922=ADVANCED_FACE('',(#83921),#83912,.T.); +#83928=EDGE_CURVE('',#50608,#50613,#20273,.T.); +#83930=EDGE_CURVE('',#50613,#50600,#20277,.T.); +#83932=EDGE_CURVE('',#50600,#50604,#20281,.T.); +#83934=EDGE_CURVE('',#50608,#50604,#20285,.T.); +#83939=EDGE_CURVE('',#50921,#50923,#20289,.T.); +#83941=EDGE_CURVE('',#50923,#50925,#20297,.T.); +#83943=EDGE_CURVE('',#50925,#50920,#20305,.T.); +#83947=ADVANCED_FACE('',(#83937,#83946),#83927,.T.); +#83956=EDGE_CURVE('',#50923,#50563,#20301,.T.); +#83960=ADVANCED_FACE('',(#83959),#83952,.T.); +#83969=EDGE_CURVE('',#50925,#50565,#20309,.T.); +#83973=ADVANCED_FACE('',(#83972),#83965,.T.); +#83985=ADVANCED_FACE('',(#83984),#83978,.T.); +#83991=EDGE_CURVE('',#50608,#50609,#20313,.T.); +#83993=EDGE_CURVE('',#50609,#50612,#20349,.T.); +#83995=EDGE_CURVE('',#50612,#50613,#20325,.T.); +#84000=ADVANCED_FACE('',(#83999),#83990,.T.); +#84006=EDGE_CURVE('',#50604,#50605,#20317,.T.); +#84008=EDGE_CURVE('',#50609,#50605,#28441,.T.); +#84014=ADVANCED_FACE('',(#84013),#84005,.T.); +#84020=EDGE_CURVE('',#50600,#50601,#20321,.T.); +#84027=ADVANCED_FACE('',(#84026),#84019,.T.); +#84036=EDGE_CURVE('',#50612,#50601,#20329,.T.); +#84040=ADVANCED_FACE('',(#84039),#84032,.T.); +#84047=EDGE_CURVE('',#50612,#50573,#20361,.T.); +#84049=EDGE_CURVE('',#50572,#50573,#28445,.T.); +#84054=ADVANCED_FACE('',(#84053),#84045,.T.); +#84060=EDGE_CURVE('',#50593,#50596,#20333,.T.); +#84062=EDGE_CURVE('',#50901,#50593,#20337,.T.); +#84066=EDGE_CURVE('',#50905,#50579,#20385,.T.); +#84068=EDGE_CURVE('',#50569,#50579,#20341,.T.); +#84070=EDGE_CURVE('',#50596,#50569,#20345,.T.); +#84074=ADVANCED_FACE('',(#84073),#84059,.T.); +#84081=EDGE_CURVE('',#50889,#50609,#20353,.T.); +#84084=EDGE_CURVE('',#50573,#50581,#20357,.T.); +#84089=ADVANCED_FACE('',(#84088),#84079,.T.); +#84095=EDGE_CURVE('',#50592,#50593,#20365,.T.); +#84102=ADVANCED_FACE('',(#84101),#84094,.T.); +#84109=EDGE_CURVE('',#50593,#50589,#20369,.T.); +#84115=ADVANCED_FACE('',(#84114),#84107,.T.); +#84127=ADVANCED_FACE('',(#84126),#84120,.T.); +#84133=EDGE_CURVE('',#50943,#50639,#20373,.T.); +#84135=EDGE_CURVE('',#50947,#50639,#20377,.T.); +#84137=EDGE_CURVE('',#50947,#47125,#22073,.T.); +#84139=EDGE_CURVE('',#50155,#47125,#23065,.T.); +#84141=EDGE_CURVE('',#50909,#50155,#22233,.T.); +#84143=EDGE_CURVE('',#50909,#50579,#20381,.T.); +#84149=ADVANCED_FACE('',(#84148),#84132,.T.); +#84155=EDGE_CURVE('',#50649,#50653,#20389,.T.); +#84157=EDGE_CURVE('',#50649,#50632,#20393,.T.); +#84159=EDGE_CURVE('',#50632,#50639,#20397,.T.); +#84164=EDGE_CURVE('',#50937,#50653,#20401,.T.); +#84168=ADVANCED_FACE('',(#84167),#84154,.F.); +#84174=EDGE_CURVE('',#50665,#50669,#20405,.T.); +#84176=EDGE_CURVE('',#50665,#50636,#20409,.T.); +#84178=EDGE_CURVE('',#50636,#50641,#20413,.T.); +#84181=EDGE_CURVE('',#50927,#50669,#20417,.T.); +#84185=ADVANCED_FACE('',(#84184),#84173,.F.); +#84191=EDGE_CURVE('',#50648,#50649,#20425,.T.); +#84194=EDGE_CURVE('',#50652,#50653,#20421,.T.); +#84196=EDGE_CURVE('',#50648,#50652,#20441,.T.); +#84200=ADVANCED_FACE('',(#84199),#84190,.T.); +#84207=EDGE_CURVE('',#50661,#50648,#20437,.T.); +#84209=EDGE_CURVE('',#50660,#50661,#20429,.T.); +#84211=EDGE_CURVE('',#50660,#50649,#22049,.T.); +#84215=ADVANCED_FACE('',(#84214),#84205,.T.); +#84221=EDGE_CURVE('',#50656,#50661,#20433,.T.); +#84225=EDGE_CURVE('',#50656,#50652,#20445,.T.); +#84229=EDGE_CURVE('',#50952,#50953,#20449,.T.); +#84231=EDGE_CURVE('',#50953,#50955,#20473,.T.); +#84233=EDGE_CURVE('',#50955,#50957,#20465,.T.); +#84235=EDGE_CURVE('',#50957,#50952,#20457,.T.); +#84239=ADVANCED_FACE('',(#84228,#84238),#84220,.T.); +#84246=EDGE_CURVE('',#50952,#50616,#20453,.T.); +#84248=EDGE_CURVE('',#50616,#50617,#20481,.T.); +#84250=EDGE_CURVE('',#50953,#50617,#20477,.T.); +#84254=ADVANCED_FACE('',(#84253),#84244,.T.); +#84261=EDGE_CURVE('',#50957,#50621,#20461,.T.); +#84263=EDGE_CURVE('',#50621,#50616,#20485,.T.); +#84268=ADVANCED_FACE('',(#84267),#84259,.T.); +#84275=EDGE_CURVE('',#50955,#50619,#20469,.T.); +#84277=EDGE_CURVE('',#50619,#50621,#20489,.T.); +#84282=ADVANCED_FACE('',(#84281),#84273,.T.); +#84290=EDGE_CURVE('',#50617,#50619,#20493,.T.); +#84295=ADVANCED_FACE('',(#84294),#84287,.T.); +#84307=ADVANCED_FACE('',(#84306),#84300,.T.); +#84313=EDGE_CURVE('',#50624,#50625,#20497,.T.); +#84315=EDGE_CURVE('',#50629,#50624,#20501,.T.); +#84317=EDGE_CURVE('',#50627,#50629,#20505,.T.); +#84319=EDGE_CURVE('',#50625,#50627,#20509,.T.); +#84323=ADVANCED_FACE('',(#84322),#84312,.T.); +#84329=EDGE_CURVE('',#50960,#50961,#20513,.T.); +#84331=EDGE_CURVE('',#50960,#50624,#20517,.T.); +#84334=EDGE_CURVE('',#50961,#50625,#20541,.T.); +#84338=ADVANCED_FACE('',(#84337),#84328,.T.); +#84344=EDGE_CURVE('',#50672,#50677,#20521,.T.); +#84346=EDGE_CURVE('',#50677,#50664,#20525,.T.); +#84348=EDGE_CURVE('',#50664,#50668,#20529,.T.); +#84350=EDGE_CURVE('',#50672,#50668,#20533,.T.); +#84355=EDGE_CURVE('',#50961,#50963,#20537,.T.); +#84357=EDGE_CURVE('',#50963,#50965,#20545,.T.); +#84359=EDGE_CURVE('',#50965,#50960,#20553,.T.); +#84363=ADVANCED_FACE('',(#84353,#84362),#84343,.T.); +#84372=EDGE_CURVE('',#50963,#50627,#20549,.T.); +#84376=ADVANCED_FACE('',(#84375),#84368,.T.); +#84385=EDGE_CURVE('',#50965,#50629,#20557,.T.); +#84389=ADVANCED_FACE('',(#84388),#84381,.T.); +#84401=ADVANCED_FACE('',(#84400),#84394,.T.); +#84407=EDGE_CURVE('',#50672,#50673,#20561,.T.); +#84409=EDGE_CURVE('',#50673,#50676,#20597,.T.); +#84411=EDGE_CURVE('',#50676,#50677,#20573,.T.); +#84416=ADVANCED_FACE('',(#84415),#84406,.T.); +#84422=EDGE_CURVE('',#50668,#50669,#20565,.T.); +#84424=EDGE_CURVE('',#50673,#50669,#28433,.T.); +#84430=ADVANCED_FACE('',(#84429),#84421,.T.); +#84436=EDGE_CURVE('',#50664,#50665,#20569,.T.); +#84443=ADVANCED_FACE('',(#84442),#84435,.T.); +#84452=EDGE_CURVE('',#50676,#50665,#20577,.T.); +#84456=ADVANCED_FACE('',(#84455),#84448,.T.); +#84463=EDGE_CURVE('',#50676,#50637,#20609,.T.); +#84465=EDGE_CURVE('',#50636,#50637,#28437,.T.); +#84470=ADVANCED_FACE('',(#84469),#84461,.T.); +#84476=EDGE_CURVE('',#50657,#50660,#20581,.T.); +#84478=EDGE_CURVE('',#50941,#50657,#20585,.T.); +#84482=EDGE_CURVE('',#50945,#50643,#20633,.T.); +#84484=EDGE_CURVE('',#50633,#50643,#20589,.T.); +#84486=EDGE_CURVE('',#50660,#50633,#20593,.T.); +#84490=ADVANCED_FACE('',(#84489),#84475,.T.); +#84497=EDGE_CURVE('',#50929,#50673,#20601,.T.); +#84500=EDGE_CURVE('',#50637,#50645,#20605,.T.); +#84505=ADVANCED_FACE('',(#84504),#84495,.T.); +#84511=EDGE_CURVE('',#50656,#50657,#20613,.T.); +#84518=ADVANCED_FACE('',(#84517),#84510,.T.); +#84525=EDGE_CURVE('',#50657,#50653,#20617,.T.); +#84531=ADVANCED_FACE('',(#84530),#84523,.T.); +#84543=ADVANCED_FACE('',(#84542),#84536,.T.); +#84549=EDGE_CURVE('',#50983,#50703,#20621,.T.); +#84551=EDGE_CURVE('',#50987,#50703,#20625,.T.); +#84553=EDGE_CURVE('',#50987,#47173,#21829,.T.); +#84555=EDGE_CURVE('',#50161,#47173,#23077,.T.); +#84557=EDGE_CURVE('',#50949,#50161,#21989,.T.); +#84559=EDGE_CURVE('',#50949,#50643,#20629,.T.); +#84565=ADVANCED_FACE('',(#84564),#84548,.T.); +#84571=EDGE_CURVE('',#50713,#50717,#20637,.T.); +#84573=EDGE_CURVE('',#50713,#50696,#20641,.T.); +#84575=EDGE_CURVE('',#50696,#50703,#20645,.T.); +#84580=EDGE_CURVE('',#50977,#50717,#20649,.T.); +#84584=ADVANCED_FACE('',(#84583),#84570,.F.); +#84590=EDGE_CURVE('',#50729,#50733,#20653,.T.); +#84592=EDGE_CURVE('',#50729,#50700,#20657,.T.); +#84594=EDGE_CURVE('',#50700,#50705,#20661,.T.); +#84597=EDGE_CURVE('',#50967,#50733,#20665,.T.); +#84601=ADVANCED_FACE('',(#84600),#84589,.F.); +#84607=EDGE_CURVE('',#50712,#50713,#20673,.T.); +#84610=EDGE_CURVE('',#50716,#50717,#20669,.T.); +#84612=EDGE_CURVE('',#50712,#50716,#20689,.T.); +#84616=ADVANCED_FACE('',(#84615),#84606,.T.); +#84623=EDGE_CURVE('',#50725,#50712,#20685,.T.); +#84625=EDGE_CURVE('',#50724,#50725,#20677,.T.); +#84627=EDGE_CURVE('',#50724,#50713,#21805,.T.); +#84631=ADVANCED_FACE('',(#84630),#84621,.T.); +#84637=EDGE_CURVE('',#50720,#50725,#20681,.T.); +#84641=EDGE_CURVE('',#50720,#50716,#20693,.T.); +#84645=EDGE_CURVE('',#50992,#50993,#20697,.T.); +#84647=EDGE_CURVE('',#50993,#50995,#20721,.T.); +#84649=EDGE_CURVE('',#50995,#50997,#20713,.T.); +#84651=EDGE_CURVE('',#50997,#50992,#20705,.T.); +#84655=ADVANCED_FACE('',(#84644,#84654),#84636,.T.); +#84662=EDGE_CURVE('',#50992,#50680,#20701,.T.); +#84664=EDGE_CURVE('',#50680,#50681,#20729,.T.); +#84666=EDGE_CURVE('',#50993,#50681,#20725,.T.); +#84670=ADVANCED_FACE('',(#84669),#84660,.T.); +#84677=EDGE_CURVE('',#50997,#50685,#20709,.T.); +#84679=EDGE_CURVE('',#50685,#50680,#20733,.T.); +#84684=ADVANCED_FACE('',(#84683),#84675,.T.); +#84691=EDGE_CURVE('',#50995,#50683,#20717,.T.); +#84693=EDGE_CURVE('',#50683,#50685,#20737,.T.); +#84698=ADVANCED_FACE('',(#84697),#84689,.T.); +#84706=EDGE_CURVE('',#50681,#50683,#20741,.T.); +#84711=ADVANCED_FACE('',(#84710),#84703,.T.); +#84723=ADVANCED_FACE('',(#84722),#84716,.T.); +#84729=EDGE_CURVE('',#50688,#50689,#20745,.T.); +#84731=EDGE_CURVE('',#50693,#50688,#20749,.T.); +#84733=EDGE_CURVE('',#50691,#50693,#20753,.T.); +#84735=EDGE_CURVE('',#50689,#50691,#20757,.T.); +#84739=ADVANCED_FACE('',(#84738),#84728,.T.); +#84745=EDGE_CURVE('',#51000,#51001,#20761,.T.); +#84747=EDGE_CURVE('',#51000,#50688,#20765,.T.); +#84750=EDGE_CURVE('',#51001,#50689,#20789,.T.); +#84754=ADVANCED_FACE('',(#84753),#84744,.T.); +#84760=EDGE_CURVE('',#50736,#50741,#20769,.T.); +#84762=EDGE_CURVE('',#50741,#50728,#20773,.T.); +#84764=EDGE_CURVE('',#50728,#50732,#20777,.T.); +#84766=EDGE_CURVE('',#50736,#50732,#20781,.T.); +#84771=EDGE_CURVE('',#51001,#51003,#20785,.T.); +#84773=EDGE_CURVE('',#51003,#51005,#20793,.T.); +#84775=EDGE_CURVE('',#51005,#51000,#20801,.T.); +#84779=ADVANCED_FACE('',(#84769,#84778),#84759,.T.); +#84788=EDGE_CURVE('',#51003,#50691,#20797,.T.); +#84792=ADVANCED_FACE('',(#84791),#84784,.T.); +#84801=EDGE_CURVE('',#51005,#50693,#20805,.T.); +#84805=ADVANCED_FACE('',(#84804),#84797,.T.); +#84817=ADVANCED_FACE('',(#84816),#84810,.T.); +#84823=EDGE_CURVE('',#50736,#50737,#20809,.T.); +#84825=EDGE_CURVE('',#50737,#50740,#20845,.T.); +#84827=EDGE_CURVE('',#50740,#50741,#20821,.T.); +#84832=ADVANCED_FACE('',(#84831),#84822,.T.); +#84838=EDGE_CURVE('',#50732,#50733,#20813,.T.); +#84840=EDGE_CURVE('',#50737,#50733,#28425,.T.); +#84846=ADVANCED_FACE('',(#84845),#84837,.T.); +#84852=EDGE_CURVE('',#50728,#50729,#20817,.T.); +#84859=ADVANCED_FACE('',(#84858),#84851,.T.); +#84868=EDGE_CURVE('',#50740,#50729,#20825,.T.); +#84872=ADVANCED_FACE('',(#84871),#84864,.T.); +#84879=EDGE_CURVE('',#50740,#50701,#20857,.T.); +#84881=EDGE_CURVE('',#50700,#50701,#28429,.T.); +#84886=ADVANCED_FACE('',(#84885),#84877,.T.); +#84892=EDGE_CURVE('',#50721,#50724,#20829,.T.); +#84894=EDGE_CURVE('',#50981,#50721,#20833,.T.); +#84898=EDGE_CURVE('',#50985,#50707,#20881,.T.); +#84900=EDGE_CURVE('',#50697,#50707,#20837,.T.); +#84902=EDGE_CURVE('',#50724,#50697,#20841,.T.); +#84906=ADVANCED_FACE('',(#84905),#84891,.T.); +#84913=EDGE_CURVE('',#50969,#50737,#20849,.T.); +#84916=EDGE_CURVE('',#50701,#50709,#20853,.T.); +#84921=ADVANCED_FACE('',(#84920),#84911,.T.); +#84927=EDGE_CURVE('',#50720,#50721,#20861,.T.); +#84934=ADVANCED_FACE('',(#84933),#84926,.T.); +#84941=EDGE_CURVE('',#50721,#50717,#20865,.T.); +#84947=ADVANCED_FACE('',(#84946),#84939,.T.); +#84959=ADVANCED_FACE('',(#84958),#84952,.T.); +#84965=EDGE_CURVE('',#51021,#50767,#20869,.T.); +#84967=EDGE_CURVE('',#51023,#50767,#20873,.T.); +#84969=EDGE_CURVE('',#51023,#47221,#21585,.T.); +#84971=EDGE_CURVE('',#50167,#47221,#23089,.T.); +#84973=EDGE_CURVE('',#50989,#50167,#21745,.T.); +#84975=EDGE_CURVE('',#50989,#50707,#20877,.T.); +#84981=ADVANCED_FACE('',(#84980),#84964,.T.); +#84987=EDGE_CURVE('',#50777,#50781,#20885,.T.); +#84989=EDGE_CURVE('',#50777,#50760,#20889,.T.); +#84991=EDGE_CURVE('',#50760,#50767,#20893,.T.); +#84996=EDGE_CURVE('',#51015,#50781,#20897,.T.); +#85000=ADVANCED_FACE('',(#84999),#84986,.F.); +#85006=EDGE_CURVE('',#50793,#50797,#20901,.T.); +#85008=EDGE_CURVE('',#50793,#50764,#20905,.T.); +#85010=EDGE_CURVE('',#50764,#50769,#20909,.T.); +#85013=EDGE_CURVE('',#51007,#50797,#20913,.T.); +#85017=ADVANCED_FACE('',(#85016),#85005,.F.); +#85023=EDGE_CURVE('',#50776,#50777,#20921,.T.); +#85026=EDGE_CURVE('',#50780,#50781,#20917,.T.); +#85028=EDGE_CURVE('',#50776,#50780,#20937,.T.); +#85032=ADVANCED_FACE('',(#85031),#85022,.T.); +#85039=EDGE_CURVE('',#50789,#50776,#20933,.T.); +#85041=EDGE_CURVE('',#50788,#50789,#20925,.T.); +#85043=EDGE_CURVE('',#50788,#50777,#21561,.T.); +#85047=ADVANCED_FACE('',(#85046),#85037,.T.); +#85053=EDGE_CURVE('',#50784,#50789,#20929,.T.); +#85057=EDGE_CURVE('',#50784,#50780,#20941,.T.); +#85061=EDGE_CURVE('',#51026,#51027,#20945,.T.); +#85063=EDGE_CURVE('',#51027,#51029,#20969,.T.); +#85065=EDGE_CURVE('',#51029,#51031,#20961,.T.); +#85067=EDGE_CURVE('',#51031,#51026,#20953,.T.); +#85071=ADVANCED_FACE('',(#85060,#85070),#85052,.T.); +#85078=EDGE_CURVE('',#51026,#50744,#20949,.T.); +#85080=EDGE_CURVE('',#50744,#50745,#20977,.T.); +#85082=EDGE_CURVE('',#51027,#50745,#20973,.T.); +#85086=ADVANCED_FACE('',(#85085),#85076,.T.); +#85093=EDGE_CURVE('',#51031,#50749,#20957,.T.); +#85095=EDGE_CURVE('',#50749,#50744,#20981,.T.); +#85100=ADVANCED_FACE('',(#85099),#85091,.T.); +#85107=EDGE_CURVE('',#51029,#50747,#20965,.T.); +#85109=EDGE_CURVE('',#50747,#50749,#20985,.T.); +#85114=ADVANCED_FACE('',(#85113),#85105,.T.); +#85122=EDGE_CURVE('',#50745,#50747,#20989,.T.); +#85127=ADVANCED_FACE('',(#85126),#85119,.T.); +#85139=ADVANCED_FACE('',(#85138),#85132,.T.); +#85145=EDGE_CURVE('',#50752,#50753,#20993,.T.); +#85147=EDGE_CURVE('',#50757,#50752,#20997,.T.); +#85149=EDGE_CURVE('',#50755,#50757,#21001,.T.); +#85151=EDGE_CURVE('',#50753,#50755,#21005,.T.); +#85155=ADVANCED_FACE('',(#85154),#85144,.T.); +#85161=EDGE_CURVE('',#51034,#51035,#21009,.T.); +#85163=EDGE_CURVE('',#51034,#50752,#21013,.T.); +#85166=EDGE_CURVE('',#51035,#50753,#21037,.T.); +#85170=ADVANCED_FACE('',(#85169),#85160,.T.); +#85176=EDGE_CURVE('',#50800,#50805,#21017,.T.); +#85178=EDGE_CURVE('',#50805,#50792,#21021,.T.); +#85180=EDGE_CURVE('',#50792,#50796,#21025,.T.); +#85182=EDGE_CURVE('',#50800,#50796,#21029,.T.); +#85187=EDGE_CURVE('',#51035,#51037,#21033,.T.); +#85189=EDGE_CURVE('',#51037,#51039,#21041,.T.); +#85191=EDGE_CURVE('',#51039,#51034,#21049,.T.); +#85195=ADVANCED_FACE('',(#85185,#85194),#85175,.T.); +#85204=EDGE_CURVE('',#51037,#50755,#21045,.T.); +#85208=ADVANCED_FACE('',(#85207),#85200,.T.); +#85217=EDGE_CURVE('',#51039,#50757,#21053,.T.); +#85221=ADVANCED_FACE('',(#85220),#85213,.T.); +#85233=ADVANCED_FACE('',(#85232),#85226,.T.); +#85239=EDGE_CURVE('',#50800,#50801,#21057,.T.); +#85241=EDGE_CURVE('',#50801,#50804,#21093,.T.); +#85243=EDGE_CURVE('',#50804,#50805,#21069,.T.); +#85248=ADVANCED_FACE('',(#85247),#85238,.T.); +#85254=EDGE_CURVE('',#50796,#50797,#21061,.T.); +#85256=EDGE_CURVE('',#50801,#50797,#28417,.T.); +#85262=ADVANCED_FACE('',(#85261),#85253,.T.); +#85268=EDGE_CURVE('',#50792,#50793,#21065,.T.); +#85275=ADVANCED_FACE('',(#85274),#85267,.T.); +#85284=EDGE_CURVE('',#50804,#50793,#21073,.T.); +#85288=ADVANCED_FACE('',(#85287),#85280,.T.); +#85295=EDGE_CURVE('',#50804,#50765,#21105,.T.); +#85297=EDGE_CURVE('',#50764,#50765,#28421,.T.); +#85302=ADVANCED_FACE('',(#85301),#85293,.T.); +#85308=EDGE_CURVE('',#50785,#50788,#21077,.T.); +#85310=EDGE_CURVE('',#51019,#50785,#21081,.T.); +#85314=EDGE_CURVE('',#50761,#50771,#21085,.T.); +#85316=EDGE_CURVE('',#50788,#50761,#21089,.T.); +#85320=ADVANCED_FACE('',(#85319),#85307,.T.); +#85327=EDGE_CURVE('',#51009,#50801,#21097,.T.); +#85330=EDGE_CURVE('',#50765,#50773,#21101,.T.); +#85335=ADVANCED_FACE('',(#85334),#85325,.T.); +#85341=EDGE_CURVE('',#50784,#50785,#21109,.T.); +#85348=ADVANCED_FACE('',(#85347),#85340,.T.); +#85355=EDGE_CURVE('',#50785,#50781,#21113,.T.); +#85361=ADVANCED_FACE('',(#85360),#85353,.T.); +#85373=ADVANCED_FACE('',(#85372),#85366,.T.); +#85380=EDGE_CURVE('',#50760,#50761,#21117,.T.); +#85384=EDGE_CURVE('',#51023,#51011,#21573,.T.); +#85389=EDGE_CURVE('',#57465,#57466,#21121,.T.); +#85391=EDGE_CURVE('',#57466,#57468,#21125,.T.); +#85393=EDGE_CURVE('',#57468,#57470,#21129,.T.); +#85395=EDGE_CURVE('',#57470,#57472,#21133,.T.); +#85397=EDGE_CURVE('',#57472,#57474,#21137,.T.); +#85399=EDGE_CURVE('',#57474,#57476,#21141,.T.); +#85401=EDGE_CURVE('',#57476,#57478,#21145,.T.); +#85403=EDGE_CURVE('',#57478,#57480,#21149,.T.); +#85405=EDGE_CURVE('',#57480,#57482,#21153,.T.); +#85407=EDGE_CURVE('',#57482,#57484,#21157,.T.); +#85409=EDGE_CURVE('',#57484,#57486,#21161,.T.); +#85411=EDGE_CURVE('',#57486,#57488,#21165,.T.); +#85413=EDGE_CURVE('',#57488,#57490,#21169,.T.); +#85415=EDGE_CURVE('',#57490,#57492,#21173,.T.); +#85417=EDGE_CURVE('',#57492,#57494,#21177,.T.); +#85419=EDGE_CURVE('',#57494,#57496,#21181,.T.); +#85421=EDGE_CURVE('',#57496,#57498,#21185,.T.); +#85423=EDGE_CURVE('',#57498,#57500,#21189,.T.); +#85425=EDGE_CURVE('',#57500,#57502,#21193,.T.); +#85427=EDGE_CURVE('',#57502,#57504,#21197,.T.); +#85429=EDGE_CURVE('',#57504,#57506,#21201,.T.); +#85431=EDGE_CURVE('',#57506,#57508,#21205,.T.); +#85433=EDGE_CURVE('',#57508,#57510,#21209,.T.); +#85435=EDGE_CURVE('',#57510,#57512,#21213,.T.); +#85437=EDGE_CURVE('',#57512,#57514,#21217,.T.); +#85439=EDGE_CURVE('',#57514,#57516,#21221,.T.); +#85441=EDGE_CURVE('',#57516,#57518,#21225,.T.); +#85443=EDGE_CURVE('',#57518,#57520,#21229,.T.); +#85445=EDGE_CURVE('',#57520,#57522,#21233,.T.); +#85447=EDGE_CURVE('',#57522,#57524,#21237,.T.); +#85449=EDGE_CURVE('',#57524,#57526,#21241,.T.); +#85451=EDGE_CURVE('',#57526,#57528,#21245,.T.); +#85453=EDGE_CURVE('',#57528,#57530,#21249,.T.); +#85455=EDGE_CURVE('',#57530,#57465,#21253,.T.); +#85459=EDGE_CURVE('',#57597,#57598,#21385,.T.); +#85461=EDGE_CURVE('',#57598,#57600,#21389,.T.); +#85463=EDGE_CURVE('',#57600,#57602,#21393,.T.); +#85465=EDGE_CURVE('',#57602,#57604,#21397,.T.); +#85467=EDGE_CURVE('',#57604,#57606,#21401,.T.); +#85469=EDGE_CURVE('',#57606,#57608,#21405,.T.); +#85471=EDGE_CURVE('',#57608,#57610,#21409,.T.); +#85473=EDGE_CURVE('',#57610,#57612,#21413,.T.); +#85475=EDGE_CURVE('',#57612,#57614,#21417,.T.); +#85477=EDGE_CURVE('',#57614,#57616,#21421,.T.); +#85479=EDGE_CURVE('',#57616,#57618,#21425,.T.); +#85481=EDGE_CURVE('',#57618,#57620,#21429,.T.); +#85483=EDGE_CURVE('',#57620,#57622,#21433,.T.); +#85485=EDGE_CURVE('',#57622,#57624,#21437,.T.); +#85487=EDGE_CURVE('',#57624,#57626,#21441,.T.); +#85489=EDGE_CURVE('',#57626,#57628,#21445,.T.); +#85491=EDGE_CURVE('',#57628,#57630,#21449,.T.); +#85493=EDGE_CURVE('',#57630,#57632,#21453,.T.); +#85495=EDGE_CURVE('',#57632,#57634,#21457,.T.); +#85497=EDGE_CURVE('',#57634,#57636,#21461,.T.); +#85499=EDGE_CURVE('',#57636,#57638,#21465,.T.); +#85501=EDGE_CURVE('',#57638,#57640,#21469,.T.); +#85503=EDGE_CURVE('',#57640,#57642,#21473,.T.); +#85505=EDGE_CURVE('',#57642,#57644,#21477,.T.); +#85507=EDGE_CURVE('',#57644,#57646,#21481,.T.); +#85509=EDGE_CURVE('',#57646,#57597,#21485,.T.); +#85513=ADVANCED_FACE('',(#85388,#85458,#85512),#85378,.T.); +#85519=EDGE_CURVE('',#57533,#57534,#21257,.T.); +#85521=EDGE_CURVE('',#57534,#57536,#21261,.T.); +#85523=EDGE_CURVE('',#57536,#57538,#21265,.T.); +#85525=EDGE_CURVE('',#57538,#57540,#21269,.T.); +#85527=EDGE_CURVE('',#57540,#57542,#21273,.T.); +#85529=EDGE_CURVE('',#57542,#57544,#21277,.T.); +#85531=EDGE_CURVE('',#57544,#57546,#21281,.T.); +#85533=EDGE_CURVE('',#57546,#57548,#21285,.T.); +#85535=EDGE_CURVE('',#57548,#57550,#21289,.T.); +#85537=EDGE_CURVE('',#57550,#57552,#21293,.T.); +#85539=EDGE_CURVE('',#57552,#57554,#21297,.T.); +#85541=EDGE_CURVE('',#57554,#57556,#21301,.T.); +#85543=EDGE_CURVE('',#57556,#57558,#21305,.T.); +#85545=EDGE_CURVE('',#57558,#57560,#21309,.T.); +#85547=EDGE_CURVE('',#57560,#57562,#21313,.T.); +#85549=EDGE_CURVE('',#57562,#57533,#21317,.T.); +#85553=ADVANCED_FACE('',(#85552),#85518,.T.); +#85559=EDGE_CURVE('',#57565,#57566,#21321,.T.); +#85561=EDGE_CURVE('',#57566,#57568,#21325,.T.); +#85563=EDGE_CURVE('',#57568,#57570,#21329,.T.); +#85565=EDGE_CURVE('',#57570,#57572,#21333,.T.); +#85567=EDGE_CURVE('',#57572,#57574,#21337,.T.); +#85569=EDGE_CURVE('',#57574,#57576,#21341,.T.); +#85571=EDGE_CURVE('',#57576,#57578,#21345,.T.); +#85573=EDGE_CURVE('',#57578,#57580,#21349,.T.); +#85575=EDGE_CURVE('',#57580,#57582,#21353,.T.); +#85577=EDGE_CURVE('',#57582,#57584,#21357,.T.); +#85579=EDGE_CURVE('',#57584,#57586,#21361,.T.); +#85581=EDGE_CURVE('',#57586,#57588,#21365,.T.); +#85583=EDGE_CURVE('',#57588,#57590,#21369,.T.); +#85585=EDGE_CURVE('',#57590,#57592,#21373,.T.); +#85587=EDGE_CURVE('',#57592,#57594,#21377,.T.); +#85589=EDGE_CURVE('',#57594,#57565,#21381,.T.); +#85593=ADVANCED_FACE('',(#85592),#85558,.T.); +#85599=EDGE_CURVE('',#57649,#57650,#21489,.T.); +#85601=EDGE_CURVE('',#57650,#57652,#21493,.T.); +#85603=EDGE_CURVE('',#57652,#57654,#21497,.T.); +#85605=EDGE_CURVE('',#57654,#57656,#21501,.T.); +#85607=EDGE_CURVE('',#57656,#57658,#21505,.T.); +#85609=EDGE_CURVE('',#57658,#57660,#21509,.T.); +#85611=EDGE_CURVE('',#57660,#57662,#21513,.T.); +#85613=EDGE_CURVE('',#57662,#57664,#21517,.T.); +#85615=EDGE_CURVE('',#57664,#57666,#21521,.T.); +#85617=EDGE_CURVE('',#57666,#57668,#21525,.T.); +#85619=EDGE_CURVE('',#57668,#57670,#21529,.T.); +#85621=EDGE_CURVE('',#57670,#57672,#21533,.T.); +#85623=EDGE_CURVE('',#57672,#57674,#21537,.T.); +#85625=EDGE_CURVE('',#57674,#57676,#21541,.T.); +#85627=EDGE_CURVE('',#57676,#57678,#21545,.T.); +#85629=EDGE_CURVE('',#57678,#57680,#21549,.T.); +#85631=EDGE_CURVE('',#57680,#57682,#21553,.T.); +#85633=EDGE_CURVE('',#57682,#57649,#21557,.T.); +#85637=ADVANCED_FACE('',(#85636),#85598,.T.); +#85649=ADVANCED_FACE('',(#85648),#85642,.T.); +#85655=EDGE_CURVE('',#47233,#47234,#21565,.T.); +#85657=EDGE_CURVE('',#50310,#47233,#21769,.T.); +#85660=EDGE_CURVE('',#47234,#50315,#21793,.T.); +#85664=ADVANCED_FACE('',(#85663),#85654,.T.); +#85672=EDGE_CURVE('',#47222,#50307,#21725,.T.); +#85674=EDGE_CURVE('',#47221,#47222,#21581,.T.); +#85679=ADVANCED_FACE('',(#85678),#85669,.T.); +#85685=EDGE_CURVE('',#47229,#47230,#21589,.T.); +#85687=EDGE_CURVE('',#47229,#47233,#21757,.T.); +#85690=EDGE_CURVE('',#47234,#47230,#21777,.T.); +#85694=ADVANCED_FACE('',(#85693),#85684,.T.); +#85701=EDGE_CURVE('',#50323,#47230,#21789,.T.); +#85703=EDGE_CURVE('',#50323,#50321,#21593,.T.); +#85705=EDGE_CURVE('',#50321,#47229,#21597,.T.); +#85709=EDGE_CURVE('',#47216,#47218,#21605,.T.); +#85711=EDGE_CURVE('',#47218,#47212,#21625,.T.); +#85713=EDGE_CURVE('',#47212,#47214,#21621,.T.); +#85715=EDGE_CURVE('',#47214,#47216,#21613,.T.); +#85719=ADVANCED_FACE('',(#85708,#85718),#85699,.T.); +#85725=EDGE_CURVE('',#47216,#47200,#21601,.T.); +#85727=EDGE_CURVE('',#47200,#47202,#21657,.T.); +#85729=EDGE_CURVE('',#47218,#47202,#21629,.T.); +#85734=ADVANCED_FACE('',(#85733),#85724,.T.); +#85740=EDGE_CURVE('',#47214,#47198,#21609,.T.); +#85742=EDGE_CURVE('',#47198,#47200,#21661,.T.); +#85748=ADVANCED_FACE('',(#85747),#85739,.T.); +#85754=EDGE_CURVE('',#47212,#47197,#21617,.T.); +#85756=EDGE_CURVE('',#47197,#47198,#21649,.T.); +#85762=ADVANCED_FACE('',(#85761),#85753,.T.); +#85771=EDGE_CURVE('',#47202,#47197,#21653,.T.); +#85775=ADVANCED_FACE('',(#85774),#85767,.T.); +#85781=EDGE_CURVE('',#47189,#47190,#21633,.T.); +#85783=EDGE_CURVE('',#47194,#47189,#21637,.T.); +#85785=EDGE_CURVE('',#47192,#47194,#21641,.T.); +#85787=EDGE_CURVE('',#47190,#47192,#21645,.T.); +#85791=ADVANCED_FACE('',(#85790),#85780,.T.); +#85803=ADVANCED_FACE('',(#85802),#85796,.T.); +#85809=EDGE_CURVE('',#47204,#47189,#21665,.T.); +#85812=EDGE_CURVE('',#47206,#47190,#21701,.T.); +#85814=EDGE_CURVE('',#47204,#47206,#21669,.T.); +#85818=ADVANCED_FACE('',(#85817),#85808,.T.); +#85825=EDGE_CURVE('',#47210,#47204,#21673,.T.); +#85827=EDGE_CURVE('',#47210,#47194,#21677,.T.); +#85832=ADVANCED_FACE('',(#85831),#85823,.T.); +#85838=EDGE_CURVE('',#47225,#47226,#21681,.T.); +#85840=EDGE_CURVE('',#50171,#47226,#21721,.T.); +#85842=EDGE_CURVE('',#50171,#50169,#21685,.T.); +#85844=EDGE_CURVE('',#50169,#47225,#21689,.T.); +#85848=EDGE_CURVE('',#47208,#47210,#21697,.T.); +#85852=EDGE_CURVE('',#47206,#47208,#21705,.T.); +#85856=ADVANCED_FACE('',(#85847,#85855),#85837,.T.); +#85862=EDGE_CURVE('',#47208,#47192,#21693,.T.); +#85869=ADVANCED_FACE('',(#85868),#85861,.T.); +#85881=ADVANCED_FACE('',(#85880),#85874,.T.); +#85888=EDGE_CURVE('',#47222,#47226,#21709,.T.); +#85891=EDGE_CURVE('',#47225,#47221,#23081,.T.); +#85895=ADVANCED_FACE('',(#85894),#85886,.T.); +#85902=EDGE_CURVE('',#50317,#47222,#21713,.T.); +#85904=EDGE_CURVE('',#50171,#50317,#21717,.T.); +#85909=ADVANCED_FACE('',(#85908),#85900,.T.); +#85918=EDGE_CURVE('',#50306,#50317,#21729,.T.); +#85922=ADVANCED_FACE('',(#85921),#85914,.T.); +#85928=EDGE_CURVE('',#50325,#50320,#21733,.T.); +#85930=EDGE_CURVE('',#50314,#50325,#21797,.T.); +#85933=EDGE_CURVE('',#50320,#50311,#21773,.T.); +#85937=ADVANCED_FACE('',(#85936),#85927,.F.); +#85943=EDGE_CURVE('',#50989,#50973,#21741,.T.); +#85946=EDGE_CURVE('',#50317,#50167,#21749,.T.); +#85952=ADVANCED_FACE('',(#85951),#85942,.F.); +#85958=EDGE_CURVE('',#50320,#50321,#21761,.T.); +#85961=EDGE_CURVE('',#50323,#50325,#21785,.T.); +#85966=ADVANCED_FACE('',(#85965),#85957,.T.); +#85975=EDGE_CURVE('',#47233,#50320,#21765,.T.); +#85979=ADVANCED_FACE('',(#85978),#85971,.T.); +#85991=ADVANCED_FACE('',(#85990),#85984,.T.); +#85998=EDGE_CURVE('',#50325,#47234,#21781,.T.); +#86004=ADVANCED_FACE('',(#86003),#85996,.T.); +#86016=ADVANCED_FACE('',(#86015),#86009,.T.); +#86023=EDGE_CURVE('',#50696,#50697,#21801,.T.); +#86029=EDGE_CURVE('',#50987,#50972,#21817,.T.); +#86034=ADVANCED_FACE('',(#86033),#86021,.T.); +#86046=ADVANCED_FACE('',(#86045),#86039,.T.); +#86052=EDGE_CURVE('',#47185,#47186,#21809,.T.); +#86054=EDGE_CURVE('',#50288,#47185,#22013,.T.); +#86057=EDGE_CURVE('',#47186,#50293,#22037,.T.); +#86061=ADVANCED_FACE('',(#86060),#86051,.T.); +#86069=EDGE_CURVE('',#47174,#50285,#21969,.T.); +#86071=EDGE_CURVE('',#47173,#47174,#21825,.T.); +#86076=ADVANCED_FACE('',(#86075),#86066,.T.); +#86082=EDGE_CURVE('',#47181,#47182,#21833,.T.); +#86084=EDGE_CURVE('',#47181,#47185,#22001,.T.); +#86087=EDGE_CURVE('',#47186,#47182,#22021,.T.); +#86091=ADVANCED_FACE('',(#86090),#86081,.T.); +#86098=EDGE_CURVE('',#50301,#47182,#22033,.T.); +#86100=EDGE_CURVE('',#50301,#50299,#21837,.T.); +#86102=EDGE_CURVE('',#50299,#47181,#21841,.T.); +#86106=EDGE_CURVE('',#47168,#47170,#21849,.T.); +#86108=EDGE_CURVE('',#47170,#47164,#21869,.T.); +#86110=EDGE_CURVE('',#47164,#47166,#21865,.T.); +#86112=EDGE_CURVE('',#47166,#47168,#21857,.T.); +#86116=ADVANCED_FACE('',(#86105,#86115),#86096,.T.); +#86122=EDGE_CURVE('',#47168,#47152,#21845,.T.); +#86124=EDGE_CURVE('',#47152,#47154,#21901,.T.); +#86126=EDGE_CURVE('',#47170,#47154,#21873,.T.); +#86131=ADVANCED_FACE('',(#86130),#86121,.T.); +#86137=EDGE_CURVE('',#47166,#47150,#21853,.T.); +#86139=EDGE_CURVE('',#47150,#47152,#21905,.T.); +#86145=ADVANCED_FACE('',(#86144),#86136,.T.); +#86151=EDGE_CURVE('',#47164,#47149,#21861,.T.); +#86153=EDGE_CURVE('',#47149,#47150,#21893,.T.); +#86159=ADVANCED_FACE('',(#86158),#86150,.T.); +#86168=EDGE_CURVE('',#47154,#47149,#21897,.T.); +#86172=ADVANCED_FACE('',(#86171),#86164,.T.); +#86178=EDGE_CURVE('',#47141,#47142,#21877,.T.); +#86180=EDGE_CURVE('',#47146,#47141,#21881,.T.); +#86182=EDGE_CURVE('',#47144,#47146,#21885,.T.); +#86184=EDGE_CURVE('',#47142,#47144,#21889,.T.); +#86188=ADVANCED_FACE('',(#86187),#86177,.T.); +#86200=ADVANCED_FACE('',(#86199),#86193,.T.); +#86206=EDGE_CURVE('',#47156,#47141,#21909,.T.); +#86209=EDGE_CURVE('',#47158,#47142,#21945,.T.); +#86211=EDGE_CURVE('',#47156,#47158,#21913,.T.); +#86215=ADVANCED_FACE('',(#86214),#86205,.T.); +#86222=EDGE_CURVE('',#47162,#47156,#21917,.T.); +#86224=EDGE_CURVE('',#47162,#47146,#21921,.T.); +#86229=ADVANCED_FACE('',(#86228),#86220,.T.); +#86235=EDGE_CURVE('',#47177,#47178,#21925,.T.); +#86237=EDGE_CURVE('',#50165,#47178,#21965,.T.); +#86239=EDGE_CURVE('',#50165,#50163,#21929,.T.); +#86241=EDGE_CURVE('',#50163,#47177,#21933,.T.); +#86245=EDGE_CURVE('',#47160,#47162,#21941,.T.); +#86249=EDGE_CURVE('',#47158,#47160,#21949,.T.); +#86253=ADVANCED_FACE('',(#86244,#86252),#86234,.T.); +#86259=EDGE_CURVE('',#47160,#47144,#21937,.T.); +#86266=ADVANCED_FACE('',(#86265),#86258,.T.); +#86278=ADVANCED_FACE('',(#86277),#86271,.T.); +#86285=EDGE_CURVE('',#47174,#47178,#21953,.T.); +#86288=EDGE_CURVE('',#47177,#47173,#23069,.T.); +#86292=ADVANCED_FACE('',(#86291),#86283,.T.); +#86299=EDGE_CURVE('',#50295,#47174,#21957,.T.); +#86301=EDGE_CURVE('',#50165,#50295,#21961,.T.); +#86306=ADVANCED_FACE('',(#86305),#86297,.T.); +#86315=EDGE_CURVE('',#50284,#50295,#21973,.T.); +#86319=ADVANCED_FACE('',(#86318),#86311,.T.); +#86325=EDGE_CURVE('',#50303,#50298,#21977,.T.); +#86327=EDGE_CURVE('',#50292,#50303,#22041,.T.); +#86330=EDGE_CURVE('',#50298,#50289,#22017,.T.); +#86334=ADVANCED_FACE('',(#86333),#86324,.F.); +#86340=EDGE_CURVE('',#50949,#50933,#21985,.T.); +#86343=EDGE_CURVE('',#50295,#50161,#21993,.T.); +#86349=ADVANCED_FACE('',(#86348),#86339,.F.); +#86355=EDGE_CURVE('',#50298,#50299,#22005,.T.); +#86358=EDGE_CURVE('',#50301,#50303,#22029,.T.); +#86363=ADVANCED_FACE('',(#86362),#86354,.T.); +#86372=EDGE_CURVE('',#47185,#50298,#22009,.T.); +#86376=ADVANCED_FACE('',(#86375),#86368,.T.); +#86388=ADVANCED_FACE('',(#86387),#86381,.T.); +#86395=EDGE_CURVE('',#50303,#47186,#22025,.T.); +#86401=ADVANCED_FACE('',(#86400),#86393,.T.); +#86413=ADVANCED_FACE('',(#86412),#86406,.T.); +#86420=EDGE_CURVE('',#50632,#50633,#22045,.T.); +#86426=EDGE_CURVE('',#50947,#50932,#22061,.T.); +#86431=ADVANCED_FACE('',(#86430),#86418,.T.); +#86443=ADVANCED_FACE('',(#86442),#86436,.T.); +#86449=EDGE_CURVE('',#47137,#47138,#22053,.T.); +#86451=EDGE_CURVE('',#50266,#47137,#22257,.T.); +#86454=EDGE_CURVE('',#47138,#50271,#22281,.T.); +#86458=ADVANCED_FACE('',(#86457),#86448,.T.); +#86466=EDGE_CURVE('',#47126,#50263,#22213,.T.); +#86468=EDGE_CURVE('',#47125,#47126,#22069,.T.); +#86473=ADVANCED_FACE('',(#86472),#86463,.T.); +#86479=EDGE_CURVE('',#47133,#47134,#22077,.T.); +#86481=EDGE_CURVE('',#47133,#47137,#22245,.T.); +#86484=EDGE_CURVE('',#47138,#47134,#22265,.T.); +#86488=ADVANCED_FACE('',(#86487),#86478,.T.); +#86495=EDGE_CURVE('',#50279,#47134,#22277,.T.); +#86497=EDGE_CURVE('',#50279,#50277,#22081,.T.); +#86499=EDGE_CURVE('',#50277,#47133,#22085,.T.); +#86503=EDGE_CURVE('',#47120,#47122,#22093,.T.); +#86505=EDGE_CURVE('',#47122,#47116,#22113,.T.); +#86507=EDGE_CURVE('',#47116,#47118,#22109,.T.); +#86509=EDGE_CURVE('',#47118,#47120,#22101,.T.); +#86513=ADVANCED_FACE('',(#86502,#86512),#86493,.T.); +#86519=EDGE_CURVE('',#47120,#47104,#22089,.T.); +#86521=EDGE_CURVE('',#47104,#47106,#22145,.T.); +#86523=EDGE_CURVE('',#47122,#47106,#22117,.T.); +#86528=ADVANCED_FACE('',(#86527),#86518,.T.); +#86534=EDGE_CURVE('',#47118,#47102,#22097,.T.); +#86536=EDGE_CURVE('',#47102,#47104,#22149,.T.); +#86542=ADVANCED_FACE('',(#86541),#86533,.T.); +#86548=EDGE_CURVE('',#47116,#47101,#22105,.T.); +#86550=EDGE_CURVE('',#47101,#47102,#22137,.T.); +#86556=ADVANCED_FACE('',(#86555),#86547,.T.); +#86565=EDGE_CURVE('',#47106,#47101,#22141,.T.); +#86569=ADVANCED_FACE('',(#86568),#86561,.T.); +#86575=EDGE_CURVE('',#47093,#47094,#22121,.T.); +#86577=EDGE_CURVE('',#47098,#47093,#22125,.T.); +#86579=EDGE_CURVE('',#47096,#47098,#22129,.T.); +#86581=EDGE_CURVE('',#47094,#47096,#22133,.T.); +#86585=ADVANCED_FACE('',(#86584),#86574,.T.); +#86597=ADVANCED_FACE('',(#86596),#86590,.T.); +#86603=EDGE_CURVE('',#47108,#47093,#22153,.T.); +#86606=EDGE_CURVE('',#47110,#47094,#22189,.T.); +#86608=EDGE_CURVE('',#47108,#47110,#22157,.T.); +#86612=ADVANCED_FACE('',(#86611),#86602,.T.); +#86619=EDGE_CURVE('',#47114,#47108,#22161,.T.); +#86621=EDGE_CURVE('',#47114,#47098,#22165,.T.); +#86626=ADVANCED_FACE('',(#86625),#86617,.T.); +#86632=EDGE_CURVE('',#47129,#47130,#22169,.T.); +#86634=EDGE_CURVE('',#50159,#47130,#22209,.T.); +#86636=EDGE_CURVE('',#50159,#50157,#22173,.T.); +#86638=EDGE_CURVE('',#50157,#47129,#22177,.T.); +#86642=EDGE_CURVE('',#47112,#47114,#22185,.T.); +#86646=EDGE_CURVE('',#47110,#47112,#22193,.T.); +#86650=ADVANCED_FACE('',(#86641,#86649),#86631,.T.); +#86656=EDGE_CURVE('',#47112,#47096,#22181,.T.); +#86663=ADVANCED_FACE('',(#86662),#86655,.T.); +#86675=ADVANCED_FACE('',(#86674),#86668,.T.); +#86682=EDGE_CURVE('',#47126,#47130,#22197,.T.); +#86685=EDGE_CURVE('',#47129,#47125,#23057,.T.); +#86689=ADVANCED_FACE('',(#86688),#86680,.T.); +#86696=EDGE_CURVE('',#50273,#47126,#22201,.T.); +#86698=EDGE_CURVE('',#50159,#50273,#22205,.T.); +#86703=ADVANCED_FACE('',(#86702),#86694,.T.); +#86712=EDGE_CURVE('',#50262,#50273,#22217,.T.); +#86716=ADVANCED_FACE('',(#86715),#86708,.T.); +#86722=EDGE_CURVE('',#50281,#50276,#22221,.T.); +#86724=EDGE_CURVE('',#50270,#50281,#22285,.T.); +#86727=EDGE_CURVE('',#50276,#50267,#22261,.T.); +#86731=ADVANCED_FACE('',(#86730),#86721,.F.); +#86737=EDGE_CURVE('',#50909,#50893,#22229,.T.); +#86740=EDGE_CURVE('',#50273,#50155,#22237,.T.); +#86746=ADVANCED_FACE('',(#86745),#86736,.F.); +#86752=EDGE_CURVE('',#50276,#50277,#22249,.T.); +#86755=EDGE_CURVE('',#50279,#50281,#22273,.T.); +#86760=ADVANCED_FACE('',(#86759),#86751,.T.); +#86769=EDGE_CURVE('',#47137,#50276,#22253,.T.); +#86773=ADVANCED_FACE('',(#86772),#86765,.T.); +#86785=ADVANCED_FACE('',(#86784),#86778,.T.); +#86792=EDGE_CURVE('',#50281,#47138,#22269,.T.); +#86798=ADVANCED_FACE('',(#86797),#86790,.T.); +#86810=ADVANCED_FACE('',(#86809),#86803,.T.); +#86817=EDGE_CURVE('',#50568,#50569,#22289,.T.); +#86823=EDGE_CURVE('',#50907,#50892,#22305,.T.); +#86828=ADVANCED_FACE('',(#86827),#86815,.T.); +#86840=ADVANCED_FACE('',(#86839),#86833,.T.); +#86846=EDGE_CURVE('',#47089,#47090,#22297,.T.); +#86848=EDGE_CURVE('',#50244,#47089,#22501,.T.); +#86851=EDGE_CURVE('',#47090,#50249,#22525,.T.); +#86855=ADVANCED_FACE('',(#86854),#86845,.T.); +#86863=EDGE_CURVE('',#47078,#50241,#22457,.T.); +#86865=EDGE_CURVE('',#47077,#47078,#22313,.T.); +#86870=ADVANCED_FACE('',(#86869),#86860,.T.); +#86876=EDGE_CURVE('',#47085,#47086,#22321,.T.); +#86878=EDGE_CURVE('',#47085,#47089,#22489,.T.); +#86881=EDGE_CURVE('',#47090,#47086,#22509,.T.); +#86885=ADVANCED_FACE('',(#86884),#86875,.T.); +#86892=EDGE_CURVE('',#50257,#47086,#22521,.T.); +#86894=EDGE_CURVE('',#50257,#50255,#22325,.T.); +#86896=EDGE_CURVE('',#50255,#47085,#22329,.T.); +#86900=EDGE_CURVE('',#47072,#47074,#22337,.T.); +#86902=EDGE_CURVE('',#47074,#47068,#22357,.T.); +#86904=EDGE_CURVE('',#47068,#47070,#22353,.T.); +#86906=EDGE_CURVE('',#47070,#47072,#22345,.T.); +#86910=ADVANCED_FACE('',(#86899,#86909),#86890,.T.); +#86916=EDGE_CURVE('',#47072,#47056,#22333,.T.); +#86918=EDGE_CURVE('',#47056,#47058,#22389,.T.); +#86920=EDGE_CURVE('',#47074,#47058,#22361,.T.); +#86925=ADVANCED_FACE('',(#86924),#86915,.T.); +#86931=EDGE_CURVE('',#47070,#47054,#22341,.T.); +#86933=EDGE_CURVE('',#47054,#47056,#22393,.T.); +#86939=ADVANCED_FACE('',(#86938),#86930,.T.); +#86945=EDGE_CURVE('',#47068,#47053,#22349,.T.); +#86947=EDGE_CURVE('',#47053,#47054,#22381,.T.); +#86953=ADVANCED_FACE('',(#86952),#86944,.T.); +#86962=EDGE_CURVE('',#47058,#47053,#22385,.T.); +#86966=ADVANCED_FACE('',(#86965),#86958,.T.); +#86972=EDGE_CURVE('',#47045,#47046,#22365,.T.); +#86974=EDGE_CURVE('',#47050,#47045,#22369,.T.); +#86976=EDGE_CURVE('',#47048,#47050,#22373,.T.); +#86978=EDGE_CURVE('',#47046,#47048,#22377,.T.); +#86982=ADVANCED_FACE('',(#86981),#86971,.T.); +#86994=ADVANCED_FACE('',(#86993),#86987,.T.); +#87000=EDGE_CURVE('',#47060,#47045,#22397,.T.); +#87003=EDGE_CURVE('',#47062,#47046,#22433,.T.); +#87005=EDGE_CURVE('',#47060,#47062,#22401,.T.); +#87009=ADVANCED_FACE('',(#87008),#86999,.T.); +#87016=EDGE_CURVE('',#47066,#47060,#22405,.T.); +#87018=EDGE_CURVE('',#47066,#47050,#22409,.T.); +#87023=ADVANCED_FACE('',(#87022),#87014,.T.); +#87029=EDGE_CURVE('',#47081,#47082,#22413,.T.); +#87031=EDGE_CURVE('',#50153,#47082,#22453,.T.); +#87033=EDGE_CURVE('',#50153,#50151,#22417,.T.); +#87035=EDGE_CURVE('',#50151,#47081,#22421,.T.); +#87039=EDGE_CURVE('',#47064,#47066,#22429,.T.); +#87043=EDGE_CURVE('',#47062,#47064,#22437,.T.); +#87047=ADVANCED_FACE('',(#87038,#87046),#87028,.T.); +#87053=EDGE_CURVE('',#47064,#47048,#22425,.T.); +#87060=ADVANCED_FACE('',(#87059),#87052,.T.); +#87072=ADVANCED_FACE('',(#87071),#87065,.T.); +#87079=EDGE_CURVE('',#47078,#47082,#22441,.T.); +#87082=EDGE_CURVE('',#47081,#47077,#23045,.T.); +#87086=ADVANCED_FACE('',(#87085),#87077,.T.); +#87093=EDGE_CURVE('',#50251,#47078,#22445,.T.); +#87095=EDGE_CURVE('',#50153,#50251,#22449,.T.); +#87100=ADVANCED_FACE('',(#87099),#87091,.T.); +#87109=EDGE_CURVE('',#50240,#50251,#22461,.T.); +#87113=ADVANCED_FACE('',(#87112),#87105,.T.); +#87119=EDGE_CURVE('',#50259,#50254,#22465,.T.); +#87121=EDGE_CURVE('',#50248,#50259,#22529,.T.); +#87124=EDGE_CURVE('',#50254,#50245,#22505,.T.); +#87128=ADVANCED_FACE('',(#87127),#87118,.F.); +#87134=EDGE_CURVE('',#50869,#50853,#22473,.T.); +#87137=EDGE_CURVE('',#50251,#50149,#22481,.T.); +#87143=ADVANCED_FACE('',(#87142),#87133,.F.); +#87149=EDGE_CURVE('',#50254,#50255,#22493,.T.); +#87152=EDGE_CURVE('',#50257,#50259,#22517,.T.); +#87157=ADVANCED_FACE('',(#87156),#87148,.T.); +#87166=EDGE_CURVE('',#47089,#50254,#22497,.T.); +#87170=ADVANCED_FACE('',(#87169),#87162,.T.); +#87182=ADVANCED_FACE('',(#87181),#87175,.T.); +#87189=EDGE_CURVE('',#50259,#47090,#22513,.T.); +#87195=ADVANCED_FACE('',(#87194),#87187,.T.); +#87207=ADVANCED_FACE('',(#87206),#87200,.T.); +#87214=EDGE_CURVE('',#50504,#50505,#22533,.T.); +#87220=EDGE_CURVE('',#50867,#50852,#22549,.T.); +#87225=ADVANCED_FACE('',(#87224),#87212,.T.); +#87237=ADVANCED_FACE('',(#87236),#87230,.T.); +#87243=EDGE_CURVE('',#47041,#47042,#22541,.T.); +#87245=EDGE_CURVE('',#50222,#47041,#22745,.T.); +#87248=EDGE_CURVE('',#47042,#50227,#22769,.T.); +#87252=ADVANCED_FACE('',(#87251),#87242,.T.); +#87260=EDGE_CURVE('',#47030,#50219,#22701,.T.); +#87262=EDGE_CURVE('',#47029,#47030,#22557,.T.); +#87267=ADVANCED_FACE('',(#87266),#87257,.T.); +#87273=EDGE_CURVE('',#47037,#47038,#22565,.T.); +#87275=EDGE_CURVE('',#47037,#47041,#22733,.T.); +#87278=EDGE_CURVE('',#47042,#47038,#22753,.T.); +#87282=ADVANCED_FACE('',(#87281),#87272,.T.); +#87289=EDGE_CURVE('',#50235,#47038,#22765,.T.); +#87291=EDGE_CURVE('',#50235,#50233,#22569,.T.); +#87293=EDGE_CURVE('',#50233,#47037,#22573,.T.); +#87297=EDGE_CURVE('',#47024,#47026,#22581,.T.); +#87299=EDGE_CURVE('',#47026,#47020,#22601,.T.); +#87301=EDGE_CURVE('',#47020,#47022,#22597,.T.); +#87303=EDGE_CURVE('',#47022,#47024,#22589,.T.); +#87307=ADVANCED_FACE('',(#87296,#87306),#87287,.T.); +#87313=EDGE_CURVE('',#47024,#47008,#22577,.T.); +#87315=EDGE_CURVE('',#47008,#47010,#22633,.T.); +#87317=EDGE_CURVE('',#47026,#47010,#22605,.T.); +#87322=ADVANCED_FACE('',(#87321),#87312,.T.); +#87328=EDGE_CURVE('',#47022,#47006,#22585,.T.); +#87330=EDGE_CURVE('',#47006,#47008,#22637,.T.); +#87336=ADVANCED_FACE('',(#87335),#87327,.T.); +#87342=EDGE_CURVE('',#47020,#47005,#22593,.T.); +#87344=EDGE_CURVE('',#47005,#47006,#22625,.T.); +#87350=ADVANCED_FACE('',(#87349),#87341,.T.); +#87359=EDGE_CURVE('',#47010,#47005,#22629,.T.); +#87363=ADVANCED_FACE('',(#87362),#87355,.T.); +#87369=EDGE_CURVE('',#46997,#46998,#22609,.T.); +#87371=EDGE_CURVE('',#47002,#46997,#22613,.T.); +#87373=EDGE_CURVE('',#47000,#47002,#22617,.T.); +#87375=EDGE_CURVE('',#46998,#47000,#22621,.T.); +#87379=ADVANCED_FACE('',(#87378),#87368,.T.); +#87391=ADVANCED_FACE('',(#87390),#87384,.T.); +#87397=EDGE_CURVE('',#47012,#46997,#22641,.T.); +#87400=EDGE_CURVE('',#47014,#46998,#22677,.T.); +#87402=EDGE_CURVE('',#47012,#47014,#22645,.T.); +#87406=ADVANCED_FACE('',(#87405),#87396,.T.); +#87413=EDGE_CURVE('',#47018,#47012,#22649,.T.); +#87415=EDGE_CURVE('',#47018,#47002,#22653,.T.); +#87420=ADVANCED_FACE('',(#87419),#87411,.T.); +#87426=EDGE_CURVE('',#47033,#47034,#22657,.T.); +#87428=EDGE_CURVE('',#50147,#47034,#22697,.T.); +#87430=EDGE_CURVE('',#50147,#50145,#22661,.T.); +#87432=EDGE_CURVE('',#50145,#47033,#22665,.T.); +#87436=EDGE_CURVE('',#47016,#47018,#22673,.T.); +#87440=EDGE_CURVE('',#47014,#47016,#22681,.T.); +#87444=ADVANCED_FACE('',(#87435,#87443),#87425,.T.); +#87450=EDGE_CURVE('',#47016,#47000,#22669,.T.); +#87457=ADVANCED_FACE('',(#87456),#87449,.T.); +#87469=ADVANCED_FACE('',(#87468),#87462,.T.); +#87476=EDGE_CURVE('',#47030,#47034,#22685,.T.); +#87479=EDGE_CURVE('',#47033,#47029,#23033,.T.); +#87483=ADVANCED_FACE('',(#87482),#87474,.T.); +#87490=EDGE_CURVE('',#50229,#47030,#22689,.T.); +#87492=EDGE_CURVE('',#50147,#50229,#22693,.T.); +#87497=ADVANCED_FACE('',(#87496),#87488,.T.); +#87506=EDGE_CURVE('',#50218,#50229,#22705,.T.); +#87510=ADVANCED_FACE('',(#87509),#87502,.T.); +#87516=EDGE_CURVE('',#50237,#50232,#22709,.T.); +#87518=EDGE_CURVE('',#50226,#50237,#22773,.T.); +#87521=EDGE_CURVE('',#50232,#50223,#22749,.T.); +#87525=ADVANCED_FACE('',(#87524),#87515,.F.); +#87531=EDGE_CURVE('',#50829,#50813,#22717,.T.); +#87534=EDGE_CURVE('',#50229,#50143,#22725,.T.); +#87540=ADVANCED_FACE('',(#87539),#87530,.F.); +#87546=EDGE_CURVE('',#50232,#50233,#22737,.T.); +#87549=EDGE_CURVE('',#50235,#50237,#22761,.T.); +#87554=ADVANCED_FACE('',(#87553),#87545,.T.); +#87563=EDGE_CURVE('',#47041,#50232,#22741,.T.); +#87567=ADVANCED_FACE('',(#87566),#87559,.T.); +#87579=ADVANCED_FACE('',(#87578),#87572,.T.); +#87586=EDGE_CURVE('',#50237,#47042,#22757,.T.); +#87592=ADVANCED_FACE('',(#87591),#87584,.T.); +#87604=ADVANCED_FACE('',(#87603),#87597,.T.); +#87611=EDGE_CURVE('',#50440,#50441,#22777,.T.); +#87617=EDGE_CURVE('',#50827,#50812,#22793,.T.); +#87622=ADVANCED_FACE('',(#87621),#87609,.T.); +#87634=ADVANCED_FACE('',(#87633),#87627,.T.); +#87640=EDGE_CURVE('',#46993,#46994,#22785,.T.); +#87642=EDGE_CURVE('',#50200,#46993,#22989,.T.); +#87645=EDGE_CURVE('',#46994,#50205,#23013,.T.); +#87649=ADVANCED_FACE('',(#87648),#87639,.T.); +#87657=EDGE_CURVE('',#46982,#50197,#22945,.T.); +#87659=EDGE_CURVE('',#46981,#46982,#22801,.T.); +#87664=ADVANCED_FACE('',(#87663),#87654,.T.); +#87670=EDGE_CURVE('',#46989,#46990,#22809,.T.); +#87672=EDGE_CURVE('',#46989,#46993,#22977,.T.); +#87675=EDGE_CURVE('',#46994,#46990,#22997,.T.); +#87679=ADVANCED_FACE('',(#87678),#87669,.T.); +#87686=EDGE_CURVE('',#50213,#46990,#23009,.T.); +#87688=EDGE_CURVE('',#50213,#50211,#22813,.T.); +#87690=EDGE_CURVE('',#50211,#46989,#22817,.T.); +#87694=EDGE_CURVE('',#46976,#46978,#22825,.T.); +#87696=EDGE_CURVE('',#46978,#46972,#22845,.T.); +#87698=EDGE_CURVE('',#46972,#46974,#22841,.T.); +#87700=EDGE_CURVE('',#46974,#46976,#22833,.T.); +#87704=ADVANCED_FACE('',(#87693,#87703),#87684,.T.); +#87710=EDGE_CURVE('',#46976,#46960,#22821,.T.); +#87712=EDGE_CURVE('',#46960,#46962,#22877,.T.); +#87714=EDGE_CURVE('',#46978,#46962,#22849,.T.); +#87719=ADVANCED_FACE('',(#87718),#87709,.T.); +#87725=EDGE_CURVE('',#46974,#46958,#22829,.T.); +#87727=EDGE_CURVE('',#46958,#46960,#22881,.T.); +#87733=ADVANCED_FACE('',(#87732),#87724,.T.); +#87739=EDGE_CURVE('',#46972,#46957,#22837,.T.); +#87741=EDGE_CURVE('',#46957,#46958,#22869,.T.); +#87747=ADVANCED_FACE('',(#87746),#87738,.T.); +#87756=EDGE_CURVE('',#46962,#46957,#22873,.T.); +#87760=ADVANCED_FACE('',(#87759),#87752,.T.); +#87766=EDGE_CURVE('',#46949,#46950,#22853,.T.); +#87768=EDGE_CURVE('',#46954,#46949,#22857,.T.); +#87770=EDGE_CURVE('',#46952,#46954,#22861,.T.); +#87772=EDGE_CURVE('',#46950,#46952,#22865,.T.); +#87776=ADVANCED_FACE('',(#87775),#87765,.T.); +#87788=ADVANCED_FACE('',(#87787),#87781,.T.); +#87794=EDGE_CURVE('',#46964,#46949,#22885,.T.); +#87797=EDGE_CURVE('',#46966,#46950,#22921,.T.); +#87799=EDGE_CURVE('',#46964,#46966,#22889,.T.); +#87803=ADVANCED_FACE('',(#87802),#87793,.T.); +#87810=EDGE_CURVE('',#46970,#46964,#22893,.T.); +#87812=EDGE_CURVE('',#46970,#46954,#22897,.T.); +#87817=ADVANCED_FACE('',(#87816),#87808,.T.); +#87823=EDGE_CURVE('',#46985,#46986,#22901,.T.); +#87825=EDGE_CURVE('',#50141,#46986,#22941,.T.); +#87827=EDGE_CURVE('',#50141,#50139,#22905,.T.); +#87829=EDGE_CURVE('',#50139,#46985,#22909,.T.); +#87833=EDGE_CURVE('',#46968,#46970,#22917,.T.); +#87837=EDGE_CURVE('',#46966,#46968,#22925,.T.); +#87841=ADVANCED_FACE('',(#87832,#87840),#87822,.T.); +#87847=EDGE_CURVE('',#46968,#46952,#22913,.T.); +#87854=ADVANCED_FACE('',(#87853),#87846,.T.); +#87866=ADVANCED_FACE('',(#87865),#87859,.T.); +#87873=EDGE_CURVE('',#46982,#46986,#22929,.T.); +#87876=EDGE_CURVE('',#46985,#46981,#23021,.T.); +#87880=ADVANCED_FACE('',(#87879),#87871,.T.); +#87887=EDGE_CURVE('',#50207,#46982,#22933,.T.); +#87889=EDGE_CURVE('',#50141,#50207,#22937,.T.); +#87894=ADVANCED_FACE('',(#87893),#87885,.T.); +#87903=EDGE_CURVE('',#50196,#50207,#22949,.T.); +#87907=ADVANCED_FACE('',(#87906),#87899,.T.); +#87913=EDGE_CURVE('',#50215,#50210,#22953,.T.); +#87915=EDGE_CURVE('',#50204,#50215,#23017,.T.); +#87918=EDGE_CURVE('',#50210,#50201,#22993,.T.); +#87922=ADVANCED_FACE('',(#87921),#87912,.F.); +#87930=EDGE_CURVE('',#50207,#50137,#22969,.T.); +#87936=ADVANCED_FACE('',(#87935),#87927,.F.); +#87942=EDGE_CURVE('',#50210,#50211,#22981,.T.); +#87945=EDGE_CURVE('',#50213,#50215,#23005,.T.); +#87950=ADVANCED_FACE('',(#87949),#87941,.T.); +#87959=EDGE_CURVE('',#46993,#50210,#22985,.T.); +#87963=ADVANCED_FACE('',(#87962),#87955,.T.); +#87975=ADVANCED_FACE('',(#87974),#87968,.T.); +#87982=EDGE_CURVE('',#50215,#46994,#23001,.T.); +#87988=ADVANCED_FACE('',(#87987),#87980,.T.); +#88000=ADVANCED_FACE('',(#87999),#87993,.T.); +#88006=EDGE_CURVE('',#50137,#50139,#23025,.T.); +#88013=ADVANCED_FACE('',(#88012),#88005,.T.); +#88025=ADVANCED_FACE('',(#88024),#88018,.T.); +#88031=EDGE_CURVE('',#50143,#50145,#23037,.T.); +#88038=ADVANCED_FACE('',(#88037),#88030,.T.); +#88050=ADVANCED_FACE('',(#88049),#88043,.T.); +#88056=EDGE_CURVE('',#50149,#50151,#23049,.T.); +#88063=ADVANCED_FACE('',(#88062),#88055,.T.); +#88075=ADVANCED_FACE('',(#88074),#88068,.T.); +#88081=EDGE_CURVE('',#50155,#50157,#23061,.T.); +#88088=ADVANCED_FACE('',(#88087),#88080,.T.); +#88100=ADVANCED_FACE('',(#88099),#88093,.T.); +#88106=EDGE_CURVE('',#50161,#50163,#23073,.T.); +#88113=ADVANCED_FACE('',(#88112),#88105,.T.); +#88125=ADVANCED_FACE('',(#88124),#88118,.T.); +#88131=EDGE_CURVE('',#50167,#50169,#23085,.T.); +#88138=ADVANCED_FACE('',(#88137),#88130,.T.); +#88150=ADVANCED_FACE('',(#88149),#88143,.T.); +#88157=EDGE_CURVE('',#57465,#57025,#23093,.T.); +#88159=EDGE_CURVE('',#57025,#57026,#23669,.T.); +#88161=EDGE_CURVE('',#57466,#57026,#23225,.T.); +#88165=ADVANCED_FACE('',(#88164),#88155,.T.); +#88172=EDGE_CURVE('',#57530,#57090,#23097,.T.); +#88174=EDGE_CURVE('',#57090,#57025,#23673,.T.); +#88179=ADVANCED_FACE('',(#88178),#88170,.T.); +#88186=EDGE_CURVE('',#57528,#57088,#23101,.T.); +#88188=EDGE_CURVE('',#57088,#57090,#23677,.T.); +#88193=ADVANCED_FACE('',(#88192),#88184,.T.); +#88200=EDGE_CURVE('',#57526,#57086,#23105,.T.); +#88202=EDGE_CURVE('',#57086,#57088,#23681,.T.); +#88207=ADVANCED_FACE('',(#88206),#88198,.T.); +#88214=EDGE_CURVE('',#57524,#57084,#23109,.T.); +#88216=EDGE_CURVE('',#57084,#57086,#23685,.T.); +#88221=ADVANCED_FACE('',(#88220),#88212,.T.); +#88228=EDGE_CURVE('',#57522,#57082,#23113,.T.); +#88230=EDGE_CURVE('',#57082,#57084,#23689,.T.); +#88235=ADVANCED_FACE('',(#88234),#88226,.T.); +#88242=EDGE_CURVE('',#57520,#57080,#23117,.T.); +#88244=EDGE_CURVE('',#57080,#57082,#23693,.T.); +#88249=ADVANCED_FACE('',(#88248),#88240,.T.); +#88256=EDGE_CURVE('',#57518,#57078,#23121,.T.); +#88258=EDGE_CURVE('',#57078,#57080,#23697,.T.); +#88263=ADVANCED_FACE('',(#88262),#88254,.T.); +#88270=EDGE_CURVE('',#57516,#57076,#23125,.T.); +#88272=EDGE_CURVE('',#57076,#57078,#23701,.T.); +#88277=ADVANCED_FACE('',(#88276),#88268,.T.); +#88284=EDGE_CURVE('',#57514,#57074,#23129,.T.); +#88286=EDGE_CURVE('',#57074,#57076,#23705,.T.); +#88291=ADVANCED_FACE('',(#88290),#88282,.T.); +#88298=EDGE_CURVE('',#57512,#57072,#23133,.T.); +#88300=EDGE_CURVE('',#57072,#57074,#23709,.T.); +#88305=ADVANCED_FACE('',(#88304),#88296,.T.); +#88312=EDGE_CURVE('',#57510,#57070,#23137,.T.); +#88314=EDGE_CURVE('',#57070,#57072,#23713,.T.); +#88319=ADVANCED_FACE('',(#88318),#88310,.T.); +#88326=EDGE_CURVE('',#57508,#57068,#23141,.T.); +#88328=EDGE_CURVE('',#57068,#57070,#23717,.T.); +#88333=ADVANCED_FACE('',(#88332),#88324,.T.); +#88340=EDGE_CURVE('',#57506,#57066,#23145,.T.); +#88342=EDGE_CURVE('',#57066,#57068,#23721,.T.); +#88347=ADVANCED_FACE('',(#88346),#88338,.T.); +#88354=EDGE_CURVE('',#57504,#57064,#23149,.T.); +#88356=EDGE_CURVE('',#57064,#57066,#23725,.T.); +#88361=ADVANCED_FACE('',(#88360),#88352,.T.); +#88368=EDGE_CURVE('',#57502,#57062,#23153,.T.); +#88370=EDGE_CURVE('',#57062,#57064,#23729,.T.); +#88375=ADVANCED_FACE('',(#88374),#88366,.T.); +#88382=EDGE_CURVE('',#57500,#57060,#23157,.T.); +#88384=EDGE_CURVE('',#57060,#57062,#23733,.T.); +#88389=ADVANCED_FACE('',(#88388),#88380,.T.); +#88396=EDGE_CURVE('',#57498,#57058,#23161,.T.); +#88398=EDGE_CURVE('',#57058,#57060,#23737,.T.); +#88403=ADVANCED_FACE('',(#88402),#88394,.T.); +#88410=EDGE_CURVE('',#57496,#57056,#23165,.T.); +#88412=EDGE_CURVE('',#57056,#57058,#23741,.T.); +#88417=ADVANCED_FACE('',(#88416),#88408,.T.); +#88424=EDGE_CURVE('',#57494,#57054,#23169,.T.); +#88426=EDGE_CURVE('',#57054,#57056,#23745,.T.); +#88431=ADVANCED_FACE('',(#88430),#88422,.T.); +#88438=EDGE_CURVE('',#57492,#57052,#23173,.T.); +#88440=EDGE_CURVE('',#57052,#57054,#23749,.T.); +#88445=ADVANCED_FACE('',(#88444),#88436,.T.); +#88452=EDGE_CURVE('',#57490,#57050,#23177,.T.); +#88454=EDGE_CURVE('',#57050,#57052,#23753,.T.); +#88459=ADVANCED_FACE('',(#88458),#88450,.T.); +#88466=EDGE_CURVE('',#57488,#57048,#23181,.T.); +#88468=EDGE_CURVE('',#57048,#57050,#23757,.T.); +#88473=ADVANCED_FACE('',(#88472),#88464,.T.); +#88480=EDGE_CURVE('',#57486,#57046,#23185,.T.); +#88482=EDGE_CURVE('',#57046,#57048,#23761,.T.); +#88487=ADVANCED_FACE('',(#88486),#88478,.T.); +#88494=EDGE_CURVE('',#57484,#57044,#23189,.T.); +#88496=EDGE_CURVE('',#57044,#57046,#23765,.T.); +#88501=ADVANCED_FACE('',(#88500),#88492,.T.); +#88508=EDGE_CURVE('',#57482,#57042,#23193,.T.); +#88510=EDGE_CURVE('',#57042,#57044,#23769,.T.); +#88515=ADVANCED_FACE('',(#88514),#88506,.T.); +#88522=EDGE_CURVE('',#57480,#57040,#23197,.T.); +#88524=EDGE_CURVE('',#57040,#57042,#23773,.T.); +#88529=ADVANCED_FACE('',(#88528),#88520,.T.); +#88536=EDGE_CURVE('',#57478,#57038,#23201,.T.); +#88538=EDGE_CURVE('',#57038,#57040,#23777,.T.); +#88543=ADVANCED_FACE('',(#88542),#88534,.T.); +#88550=EDGE_CURVE('',#57476,#57036,#23205,.T.); +#88552=EDGE_CURVE('',#57036,#57038,#23781,.T.); +#88557=ADVANCED_FACE('',(#88556),#88548,.T.); +#88564=EDGE_CURVE('',#57474,#57034,#23209,.T.); +#88566=EDGE_CURVE('',#57034,#57036,#23785,.T.); +#88571=ADVANCED_FACE('',(#88570),#88562,.T.); +#88578=EDGE_CURVE('',#57472,#57032,#23213,.T.); +#88580=EDGE_CURVE('',#57032,#57034,#23789,.T.); +#88585=ADVANCED_FACE('',(#88584),#88576,.T.); +#88592=EDGE_CURVE('',#57470,#57030,#23217,.T.); +#88594=EDGE_CURVE('',#57030,#57032,#23793,.T.); +#88599=ADVANCED_FACE('',(#88598),#88590,.T.); +#88606=EDGE_CURVE('',#57468,#57028,#23221,.T.); +#88608=EDGE_CURVE('',#57028,#57030,#23797,.T.); +#88613=ADVANCED_FACE('',(#88612),#88604,.T.); +#88621=EDGE_CURVE('',#57026,#57028,#23801,.T.); +#88626=ADVANCED_FACE('',(#88625),#88618,.T.); +#88632=EDGE_CURVE('',#56805,#56806,#23229,.T.); +#88634=EDGE_CURVE('',#56824,#56805,#23233,.T.); +#88636=EDGE_CURVE('',#56822,#56824,#23237,.T.); +#88638=EDGE_CURVE('',#56820,#56822,#23241,.T.); +#88640=EDGE_CURVE('',#56818,#56820,#23245,.T.); +#88642=EDGE_CURVE('',#56816,#56818,#23249,.T.); +#88644=EDGE_CURVE('',#56814,#56816,#23253,.T.); +#88646=EDGE_CURVE('',#56812,#56814,#23257,.T.); +#88648=EDGE_CURVE('',#56810,#56812,#23261,.T.); +#88650=EDGE_CURVE('',#56808,#56810,#23265,.T.); +#88652=EDGE_CURVE('',#56806,#56808,#23269,.T.); +#88656=EDGE_CURVE('',#56827,#56828,#23273,.T.); +#88658=EDGE_CURVE('',#56830,#56827,#23277,.T.); +#88660=EDGE_CURVE('',#56828,#56830,#23281,.T.); +#88664=ADVANCED_FACE('',(#88655,#88663),#88631,.T.); +#88670=EDGE_CURVE('',#56833,#56834,#23285,.T.); +#88672=EDGE_CURVE('',#56844,#56833,#23289,.T.); +#88674=EDGE_CURVE('',#56842,#56844,#23293,.T.); +#88676=EDGE_CURVE('',#56840,#56842,#23297,.T.); +#88678=EDGE_CURVE('',#56838,#56840,#23301,.T.); +#88680=EDGE_CURVE('',#56836,#56838,#23305,.T.); +#88682=EDGE_CURVE('',#56834,#56836,#23309,.T.); +#88686=ADVANCED_FACE('',(#88685),#88669,.T.); +#88692=EDGE_CURVE('',#56847,#56848,#23313,.T.); +#88694=EDGE_CURVE('',#56924,#56847,#23317,.T.); +#88696=EDGE_CURVE('',#56922,#56924,#23321,.T.); +#88698=EDGE_CURVE('',#56920,#56922,#23325,.T.); +#88700=EDGE_CURVE('',#56918,#56920,#23329,.T.); +#88702=EDGE_CURVE('',#56916,#56918,#23333,.T.); +#88704=EDGE_CURVE('',#56914,#56916,#23337,.T.); +#88706=EDGE_CURVE('',#56912,#56914,#23341,.T.); +#88708=EDGE_CURVE('',#56910,#56912,#23345,.T.); +#88710=EDGE_CURVE('',#56908,#56910,#23349,.T.); +#88712=EDGE_CURVE('',#56906,#56908,#23353,.T.); +#88714=EDGE_CURVE('',#56904,#56906,#23357,.T.); +#88716=EDGE_CURVE('',#56902,#56904,#23361,.T.); +#88718=EDGE_CURVE('',#56900,#56902,#23365,.T.); +#88720=EDGE_CURVE('',#56898,#56900,#23369,.T.); +#88722=EDGE_CURVE('',#56896,#56898,#23373,.T.); +#88724=EDGE_CURVE('',#56894,#56896,#23377,.T.); +#88726=EDGE_CURVE('',#56892,#56894,#23381,.T.); +#88728=EDGE_CURVE('',#56890,#56892,#23385,.T.); +#88730=EDGE_CURVE('',#56888,#56890,#23389,.T.); +#88732=EDGE_CURVE('',#56886,#56888,#23393,.T.); +#88734=EDGE_CURVE('',#56884,#56886,#23397,.T.); +#88736=EDGE_CURVE('',#56882,#56884,#23401,.T.); +#88738=EDGE_CURVE('',#56880,#56882,#23405,.T.); +#88740=EDGE_CURVE('',#56878,#56880,#23409,.T.); +#88742=EDGE_CURVE('',#56876,#56878,#23413,.T.); +#88744=EDGE_CURVE('',#56874,#56876,#23417,.T.); +#88746=EDGE_CURVE('',#56872,#56874,#23421,.T.); +#88748=EDGE_CURVE('',#56870,#56872,#23425,.T.); +#88750=EDGE_CURVE('',#56868,#56870,#23429,.T.); +#88752=EDGE_CURVE('',#56866,#56868,#23433,.T.); +#88754=EDGE_CURVE('',#56864,#56866,#23437,.T.); +#88756=EDGE_CURVE('',#56862,#56864,#23441,.T.); +#88758=EDGE_CURVE('',#56860,#56862,#23445,.T.); +#88760=EDGE_CURVE('',#56858,#56860,#23449,.T.); +#88762=EDGE_CURVE('',#56856,#56858,#23453,.T.); +#88764=EDGE_CURVE('',#56854,#56856,#23457,.T.); +#88766=EDGE_CURVE('',#56852,#56854,#23461,.T.); +#88768=EDGE_CURVE('',#56850,#56852,#23465,.T.); +#88770=EDGE_CURVE('',#56848,#56850,#23469,.T.); +#88774=ADVANCED_FACE('',(#88773),#88691,.T.); +#88780=EDGE_CURVE('',#56927,#56928,#23473,.T.); +#88782=EDGE_CURVE('',#56980,#56927,#23477,.T.); +#88784=EDGE_CURVE('',#56978,#56980,#23481,.T.); +#88786=EDGE_CURVE('',#56976,#56978,#23485,.T.); +#88788=EDGE_CURVE('',#56974,#56976,#23489,.T.); +#88790=EDGE_CURVE('',#56972,#56974,#23493,.T.); +#88792=EDGE_CURVE('',#56970,#56972,#23497,.T.); +#88794=EDGE_CURVE('',#56968,#56970,#23501,.T.); +#88796=EDGE_CURVE('',#56966,#56968,#23505,.T.); +#88798=EDGE_CURVE('',#56964,#56966,#23509,.T.); +#88800=EDGE_CURVE('',#56962,#56964,#23513,.T.); +#88802=EDGE_CURVE('',#56960,#56962,#23517,.T.); +#88804=EDGE_CURVE('',#56958,#56960,#23521,.T.); +#88806=EDGE_CURVE('',#56956,#56958,#23525,.T.); +#88808=EDGE_CURVE('',#56954,#56956,#23529,.T.); +#88810=EDGE_CURVE('',#56952,#56954,#23533,.T.); +#88812=EDGE_CURVE('',#56950,#56952,#23537,.T.); +#88814=EDGE_CURVE('',#56948,#56950,#23541,.T.); +#88816=EDGE_CURVE('',#56946,#56948,#23545,.T.); +#88818=EDGE_CURVE('',#56944,#56946,#23549,.T.); +#88820=EDGE_CURVE('',#56942,#56944,#23553,.T.); +#88822=EDGE_CURVE('',#56940,#56942,#23557,.T.); +#88824=EDGE_CURVE('',#56938,#56940,#23561,.T.); +#88826=EDGE_CURVE('',#56936,#56938,#23565,.T.); +#88828=EDGE_CURVE('',#56934,#56936,#23569,.T.); +#88830=EDGE_CURVE('',#56932,#56934,#23573,.T.); +#88832=EDGE_CURVE('',#56930,#56932,#23577,.T.); +#88834=EDGE_CURVE('',#56928,#56930,#23581,.T.); +#88838=ADVANCED_FACE('',(#88837),#88779,.T.); +#88844=EDGE_CURVE('',#56983,#56984,#23585,.T.); +#88846=EDGE_CURVE('',#56994,#56983,#23589,.T.); +#88848=EDGE_CURVE('',#56992,#56994,#23593,.T.); +#88850=EDGE_CURVE('',#56990,#56992,#23597,.T.); +#88852=EDGE_CURVE('',#56988,#56990,#23601,.T.); +#88854=EDGE_CURVE('',#56986,#56988,#23605,.T.); +#88856=EDGE_CURVE('',#56984,#56986,#23609,.T.); +#88860=ADVANCED_FACE('',(#88859),#88843,.T.); +#88866=EDGE_CURVE('',#56997,#56998,#23613,.T.); +#88868=EDGE_CURVE('',#57016,#56997,#23617,.T.); +#88870=EDGE_CURVE('',#57014,#57016,#23621,.T.); +#88872=EDGE_CURVE('',#57012,#57014,#23625,.T.); +#88874=EDGE_CURVE('',#57010,#57012,#23629,.T.); +#88876=EDGE_CURVE('',#57008,#57010,#23633,.T.); +#88878=EDGE_CURVE('',#57006,#57008,#23637,.T.); +#88880=EDGE_CURVE('',#57004,#57006,#23641,.T.); +#88882=EDGE_CURVE('',#57002,#57004,#23645,.T.); +#88884=EDGE_CURVE('',#57000,#57002,#23649,.T.); +#88886=EDGE_CURVE('',#56998,#57000,#23653,.T.); +#88890=EDGE_CURVE('',#57019,#57020,#23657,.T.); +#88892=EDGE_CURVE('',#57022,#57019,#23661,.T.); +#88894=EDGE_CURVE('',#57020,#57022,#23665,.T.); +#88898=ADVANCED_FACE('',(#88889,#88897),#88865,.T.); +#88940=EDGE_CURVE('',#57093,#57094,#23805,.T.); +#88942=EDGE_CURVE('',#57122,#57093,#23809,.T.); +#88944=EDGE_CURVE('',#57120,#57122,#23813,.T.); +#88946=EDGE_CURVE('',#57118,#57120,#23817,.T.); +#88948=EDGE_CURVE('',#57116,#57118,#23821,.T.); +#88950=EDGE_CURVE('',#57114,#57116,#23825,.T.); +#88952=EDGE_CURVE('',#57112,#57114,#23829,.T.); +#88954=EDGE_CURVE('',#57110,#57112,#23833,.T.); +#88956=EDGE_CURVE('',#57108,#57110,#23837,.T.); +#88958=EDGE_CURVE('',#57106,#57108,#23841,.T.); +#88960=EDGE_CURVE('',#57104,#57106,#23845,.T.); +#88962=EDGE_CURVE('',#57102,#57104,#23849,.T.); +#88964=EDGE_CURVE('',#57100,#57102,#23853,.T.); +#88966=EDGE_CURVE('',#57098,#57100,#23857,.T.); +#88968=EDGE_CURVE('',#57096,#57098,#23861,.T.); +#88970=EDGE_CURVE('',#57094,#57096,#23865,.T.); +#88974=EDGE_CURVE('',#57125,#57126,#23869,.T.); +#88976=EDGE_CURVE('',#57154,#57125,#23873,.T.); +#88978=EDGE_CURVE('',#57152,#57154,#23877,.T.); +#88980=EDGE_CURVE('',#57150,#57152,#23881,.T.); +#88982=EDGE_CURVE('',#57148,#57150,#23885,.T.); +#88984=EDGE_CURVE('',#57146,#57148,#23889,.T.); +#88986=EDGE_CURVE('',#57144,#57146,#23893,.T.); +#88988=EDGE_CURVE('',#57142,#57144,#23897,.T.); +#88990=EDGE_CURVE('',#57140,#57142,#23901,.T.); +#88992=EDGE_CURVE('',#57138,#57140,#23905,.T.); +#88994=EDGE_CURVE('',#57136,#57138,#23909,.T.); +#88996=EDGE_CURVE('',#57134,#57136,#23913,.T.); +#88998=EDGE_CURVE('',#57132,#57134,#23917,.T.); +#89000=EDGE_CURVE('',#57130,#57132,#23921,.T.); +#89002=EDGE_CURVE('',#57128,#57130,#23925,.T.); +#89004=EDGE_CURVE('',#57126,#57128,#23929,.T.); +#89008=ADVANCED_FACE('',(#88939,#88973,#89007),#88903,.T.); +#89014=EDGE_CURVE('',#57157,#57158,#23933,.T.); +#89016=EDGE_CURVE('',#57206,#57157,#23937,.T.); +#89018=EDGE_CURVE('',#57204,#57206,#23941,.T.); +#89020=EDGE_CURVE('',#57202,#57204,#23945,.T.); +#89022=EDGE_CURVE('',#57200,#57202,#23949,.T.); +#89024=EDGE_CURVE('',#57198,#57200,#23953,.T.); +#89026=EDGE_CURVE('',#57196,#57198,#23957,.T.); +#89028=EDGE_CURVE('',#57194,#57196,#23961,.T.); +#89030=EDGE_CURVE('',#57192,#57194,#23965,.T.); +#89032=EDGE_CURVE('',#57190,#57192,#23969,.T.); +#89034=EDGE_CURVE('',#57188,#57190,#23973,.T.); +#89036=EDGE_CURVE('',#57186,#57188,#23977,.T.); +#89038=EDGE_CURVE('',#57184,#57186,#23981,.T.); +#89040=EDGE_CURVE('',#57182,#57184,#23985,.T.); +#89042=EDGE_CURVE('',#57180,#57182,#23989,.T.); +#89044=EDGE_CURVE('',#57178,#57180,#23993,.T.); +#89046=EDGE_CURVE('',#57176,#57178,#23997,.T.); +#89048=EDGE_CURVE('',#57174,#57176,#24001,.T.); +#89050=EDGE_CURVE('',#57172,#57174,#24005,.T.); +#89052=EDGE_CURVE('',#57170,#57172,#24009,.T.); +#89054=EDGE_CURVE('',#57168,#57170,#24013,.T.); +#89056=EDGE_CURVE('',#57166,#57168,#24017,.T.); +#89058=EDGE_CURVE('',#57164,#57166,#24021,.T.); +#89060=EDGE_CURVE('',#57162,#57164,#24025,.T.); +#89062=EDGE_CURVE('',#57160,#57162,#24029,.T.); +#89064=EDGE_CURVE('',#57158,#57160,#24033,.T.); +#89068=EDGE_CURVE('',#57209,#57210,#24037,.T.); +#89070=EDGE_CURVE('',#57242,#57209,#24041,.T.); +#89072=EDGE_CURVE('',#57240,#57242,#24045,.T.); +#89074=EDGE_CURVE('',#57238,#57240,#24049,.T.); +#89076=EDGE_CURVE('',#57236,#57238,#24053,.T.); +#89078=EDGE_CURVE('',#57234,#57236,#24057,.T.); +#89080=EDGE_CURVE('',#57232,#57234,#24061,.T.); +#89082=EDGE_CURVE('',#57230,#57232,#24065,.T.); +#89084=EDGE_CURVE('',#57228,#57230,#24069,.T.); +#89086=EDGE_CURVE('',#57226,#57228,#24073,.T.); +#89088=EDGE_CURVE('',#57224,#57226,#24077,.T.); +#89090=EDGE_CURVE('',#57222,#57224,#24081,.T.); +#89092=EDGE_CURVE('',#57220,#57222,#24085,.T.); +#89094=EDGE_CURVE('',#57218,#57220,#24089,.T.); +#89096=EDGE_CURVE('',#57216,#57218,#24093,.T.); +#89098=EDGE_CURVE('',#57214,#57216,#24097,.T.); +#89100=EDGE_CURVE('',#57212,#57214,#24101,.T.); +#89102=EDGE_CURVE('',#57210,#57212,#24105,.T.); +#89106=ADVANCED_FACE('',(#89067,#89105),#89013,.T.); +#89112=EDGE_CURVE('',#57245,#57246,#24117,.T.); +#89114=EDGE_CURVE('',#57245,#56805,#24109,.T.); +#89117=EDGE_CURVE('',#57246,#56806,#27677,.T.); +#89121=ADVANCED_FACE('',(#89120),#89111,.T.); +#89128=EDGE_CURVE('',#50327,#46277,#24201,.T.); +#89130=EDGE_CURVE('',#50327,#46296,#27645,.T.); +#89133=EDGE_CURVE('',#46245,#46246,#24113,.T.); +#89137=EDGE_CURVE('',#50331,#46278,#27417,.T.); +#89142=EDGE_CURVE('',#57246,#57248,#24121,.T.); +#89144=EDGE_CURVE('',#57248,#57250,#24125,.T.); +#89146=EDGE_CURVE('',#57250,#57252,#24129,.T.); +#89148=EDGE_CURVE('',#57252,#57254,#24133,.T.); +#89150=EDGE_CURVE('',#57254,#57256,#24137,.T.); +#89152=EDGE_CURVE('',#57256,#57258,#24141,.T.); +#89154=EDGE_CURVE('',#57258,#57260,#24145,.T.); +#89156=EDGE_CURVE('',#57260,#57262,#24149,.T.); +#89158=EDGE_CURVE('',#57262,#57264,#24153,.T.); +#89160=EDGE_CURVE('',#57264,#57245,#24157,.T.); +#89164=EDGE_CURVE('',#57273,#57274,#24173,.T.); +#89166=EDGE_CURVE('',#57274,#57276,#24177,.T.); +#89168=EDGE_CURVE('',#57276,#57278,#24181,.T.); +#89170=EDGE_CURVE('',#57278,#57280,#24185,.T.); +#89172=EDGE_CURVE('',#57280,#57282,#24189,.T.); +#89174=EDGE_CURVE('',#57282,#57284,#24193,.T.); +#89176=EDGE_CURVE('',#57284,#57273,#24197,.T.); +#89180=ADVANCED_FACE('',(#89140,#89163,#89179),#89126,.T.); +#89186=EDGE_CURVE('',#57267,#57268,#24161,.T.); +#89188=EDGE_CURVE('',#57268,#57270,#24165,.T.); +#89190=EDGE_CURVE('',#57270,#57267,#24169,.T.); +#89194=ADVANCED_FACE('',(#89193),#89185,.T.); +#89202=EDGE_CURVE('',#46202,#49939,#24233,.T.); +#89204=EDGE_CURVE('',#46201,#46202,#24209,.T.); +#89206=EDGE_CURVE('',#50327,#46201,#24213,.T.); +#89210=ADVANCED_FACE('',(#89209),#89199,.T.); +#89216=EDGE_CURVE('',#50329,#46281,#24217,.T.); +#89219=EDGE_CURVE('',#46210,#49935,#24409,.T.); +#89221=EDGE_CURVE('',#46209,#46210,#24225,.T.); +#89223=EDGE_CURVE('',#50329,#46209,#24421,.T.); +#89227=ADVANCED_FACE('',(#89226),#89215,.T.); +#89233=EDGE_CURVE('',#49938,#49838,#24229,.T.); +#89235=EDGE_CURVE('',#49838,#46202,#27653,.T.); +#89241=ADVANCED_FACE('',(#89240),#89232,.T.); +#89247=EDGE_CURVE('',#49838,#49839,#24237,.T.); +#89252=EDGE_CURVE('',#49931,#49839,#24245,.T.); +#89256=ADVANCED_FACE('',(#89255),#89246,.F.); +#89262=EDGE_CURVE('',#49947,#49942,#24249,.T.); +#89264=EDGE_CURVE('',#49934,#49947,#24405,.T.); +#89267=EDGE_CURVE('',#49942,#49949,#24425,.T.); +#89271=ADVANCED_FACE('',(#89270),#89261,.F.); +#89277=EDGE_CURVE('',#49834,#49835,#24261,.T.); +#89279=EDGE_CURVE('',#49834,#49838,#27657,.T.); +#89282=EDGE_CURVE('',#49839,#49835,#27633,.T.); +#89286=ADVANCED_FACE('',(#89285),#89276,.T.); +#89292=EDGE_CURVE('',#46197,#46198,#24257,.T.); +#89294=EDGE_CURVE('',#49834,#46198,#27661,.T.); +#89297=EDGE_CURVE('',#49835,#46197,#24265,.T.); +#89301=EDGE_CURVE('',#46188,#46190,#24273,.T.); +#89303=EDGE_CURVE('',#46190,#46192,#24297,.T.); +#89305=EDGE_CURVE('',#46192,#46194,#24289,.T.); +#89307=EDGE_CURVE('',#46194,#46188,#24277,.T.); +#89311=ADVANCED_FACE('',(#89300,#89310),#89291,.T.); +#89317=EDGE_CURVE('',#46188,#45586,#24269,.T.); +#89319=EDGE_CURVE('',#45586,#45587,#24317,.T.); +#89321=EDGE_CURVE('',#46190,#45587,#24293,.T.); +#89326=ADVANCED_FACE('',(#89325),#89316,.T.); +#89334=EDGE_CURVE('',#46194,#45591,#24281,.T.); +#89336=EDGE_CURVE('',#45591,#45586,#24321,.T.); +#89340=ADVANCED_FACE('',(#89339),#89331,.T.); +#89346=EDGE_CURVE('',#46192,#45589,#24285,.T.); +#89348=EDGE_CURVE('',#45589,#45591,#24325,.T.); +#89354=ADVANCED_FACE('',(#89353),#89345,.T.); +#89361=EDGE_CURVE('',#45587,#45589,#24329,.T.); +#89367=ADVANCED_FACE('',(#89366),#89359,.T.); +#89373=EDGE_CURVE('',#45578,#45579,#24301,.T.); +#89375=EDGE_CURVE('',#45583,#45578,#24305,.T.); +#89377=EDGE_CURVE('',#45581,#45583,#24309,.T.); +#89379=EDGE_CURVE('',#45579,#45581,#24313,.T.); +#89383=ADVANCED_FACE('',(#89382),#89372,.T.); +#89395=ADVANCED_FACE('',(#89394),#89388,.T.); +#89401=EDGE_CURVE('',#46180,#45578,#24333,.T.); +#89404=EDGE_CURVE('',#46182,#45579,#24361,.T.); +#89406=EDGE_CURVE('',#46180,#46182,#24337,.T.); +#89410=ADVANCED_FACE('',(#89409),#89400,.T.); +#89417=EDGE_CURVE('',#46186,#46180,#24341,.T.); +#89419=EDGE_CURVE('',#46186,#45583,#24345,.T.); +#89424=ADVANCED_FACE('',(#89423),#89415,.T.); +#89430=EDGE_CURVE('',#46205,#46206,#24349,.T.); +#89432=EDGE_CURVE('',#49945,#46206,#24401,.T.); +#89434=EDGE_CURVE('',#49945,#49943,#24353,.T.); +#89436=EDGE_CURVE('',#49943,#46205,#24357,.T.); +#89441=EDGE_CURVE('',#46182,#46184,#24365,.T.); +#89443=EDGE_CURVE('',#46184,#46186,#24373,.T.); +#89448=ADVANCED_FACE('',(#89439,#89447),#89429,.T.); +#89456=EDGE_CURVE('',#46184,#45581,#24369,.T.); +#89461=ADVANCED_FACE('',(#89460),#89453,.T.); +#89473=ADVANCED_FACE('',(#89472),#89466,.T.); +#89480=EDGE_CURVE('',#46205,#46209,#24377,.T.); +#89483=EDGE_CURVE('',#46210,#46206,#24389,.T.); +#89487=ADVANCED_FACE('',(#89486),#89478,.T.); +#89495=EDGE_CURVE('',#49942,#49943,#24381,.T.); +#89497=EDGE_CURVE('',#46209,#49942,#24385,.T.); +#89501=ADVANCED_FACE('',(#89500),#89492,.T.); +#89509=EDGE_CURVE('',#49945,#49947,#24397,.T.); +#89514=ADVANCED_FACE('',(#89513),#89506,.T.); +#89521=EDGE_CURVE('',#49947,#46210,#24393,.T.); +#89527=ADVANCED_FACE('',(#89526),#89519,.T.); +#89539=ADVANCED_FACE('',(#89538),#89532,.T.); +#89546=EDGE_CURVE('',#50329,#46298,#24417,.T.); +#89554=ADVANCED_FACE('',(#89553),#89544,.T.); +#89566=EDGE_CURVE('',#50333,#46302,#24449,.T.); +#89568=EDGE_CURVE('',#50333,#46282,#27433,.T.); +#89572=ADVANCED_FACE('',(#89571),#89559,.T.); +#89579=EDGE_CURVE('',#50337,#47306,#24437,.T.); +#89581=EDGE_CURVE('',#50337,#46465,#24441,.T.); +#89583=EDGE_CURVE('',#46465,#49960,#27573,.T.); +#89585=EDGE_CURVE('',#49960,#50333,#24445,.T.); +#89592=ADVANCED_FACE('',(#89591),#89577,.T.); +#89599=EDGE_CURVE('',#50337,#47273,#24477,.T.); +#89605=EDGE_CURVE('',#50341,#47310,#27077,.T.); +#89607=EDGE_CURVE('',#50341,#47274,#24521,.T.); +#89611=ADVANCED_FACE('',(#89610),#89597,.T.); +#89617=EDGE_CURVE('',#50335,#47269,#24461,.T.); +#89620=EDGE_CURVE('',#46458,#49957,#27413,.T.); +#89622=EDGE_CURVE('',#46457,#46458,#24469,.T.); +#89627=ADVANCED_FACE('',(#89626),#89616,.T.); +#89635=EDGE_CURVE('',#46466,#49953,#27597,.T.); +#89637=EDGE_CURVE('',#46465,#46466,#24485,.T.); +#89642=ADVANCED_FACE('',(#89641),#89632,.T.); +#89652=EDGE_CURVE('',#47253,#47254,#24489,.T.); +#89656=EDGE_CURVE('',#50339,#47270,#24505,.T.); +#89660=ADVANCED_FACE('',(#89659),#89647,.T.); +#89666=EDGE_CURVE('',#47320,#47313,#24493,.T.); +#89673=ADVANCED_FACE('',(#89672),#89665,.T.); +#89685=ADVANCED_FACE('',(#89684),#89678,.T.); +#89693=EDGE_CURVE('',#49854,#49855,#24513,.T.); +#89695=EDGE_CURVE('',#49972,#49854,#27373,.T.); +#89700=ADVANCED_FACE('',(#89699),#89690,.F.); +#89707=EDGE_CURVE('',#49976,#50341,#27073,.T.); +#89709=EDGE_CURVE('',#49981,#49976,#24525,.T.); +#89711=EDGE_CURVE('',#49968,#49981,#24681,.T.); +#89716=ADVANCED_FACE('',(#89715),#89705,.F.); +#89722=EDGE_CURVE('',#49850,#49851,#24537,.T.); +#89724=EDGE_CURVE('',#49850,#49854,#27389,.T.); +#89727=EDGE_CURVE('',#49855,#49851,#27401,.T.); +#89731=ADVANCED_FACE('',(#89730),#89721,.T.); +#89737=EDGE_CURVE('',#46501,#46502,#24533,.T.); +#89739=EDGE_CURVE('',#49850,#46502,#27393,.T.); +#89742=EDGE_CURVE('',#49851,#46501,#24541,.T.); +#89746=EDGE_CURVE('',#46492,#46494,#24549,.T.); +#89748=EDGE_CURVE('',#46494,#46496,#24573,.T.); +#89750=EDGE_CURVE('',#46496,#46498,#24565,.T.); +#89752=EDGE_CURVE('',#46498,#46492,#24553,.T.); +#89756=ADVANCED_FACE('',(#89745,#89755),#89736,.T.); +#89762=EDGE_CURVE('',#46492,#46477,#24545,.T.); +#89764=EDGE_CURVE('',#46477,#46478,#24593,.T.); +#89766=EDGE_CURVE('',#46494,#46478,#24569,.T.); +#89771=ADVANCED_FACE('',(#89770),#89761,.T.); +#89779=EDGE_CURVE('',#46498,#46482,#24557,.T.); +#89781=EDGE_CURVE('',#46482,#46477,#24597,.T.); +#89785=ADVANCED_FACE('',(#89784),#89776,.T.); +#89791=EDGE_CURVE('',#46496,#46480,#24561,.T.); +#89793=EDGE_CURVE('',#46480,#46482,#24601,.T.); +#89799=ADVANCED_FACE('',(#89798),#89790,.T.); +#89806=EDGE_CURVE('',#46478,#46480,#24605,.T.); +#89812=ADVANCED_FACE('',(#89811),#89804,.T.); +#89818=EDGE_CURVE('',#46469,#46470,#24577,.T.); +#89820=EDGE_CURVE('',#46474,#46469,#24581,.T.); +#89822=EDGE_CURVE('',#46472,#46474,#24585,.T.); +#89824=EDGE_CURVE('',#46470,#46472,#24589,.T.); +#89828=ADVANCED_FACE('',(#89827),#89817,.T.); +#89840=ADVANCED_FACE('',(#89839),#89833,.T.); +#89846=EDGE_CURVE('',#46484,#46469,#24609,.T.); +#89849=EDGE_CURVE('',#46486,#46470,#24637,.T.); +#89851=EDGE_CURVE('',#46484,#46486,#24613,.T.); +#89855=ADVANCED_FACE('',(#89854),#89845,.T.); +#89862=EDGE_CURVE('',#46490,#46484,#24617,.T.); +#89864=EDGE_CURVE('',#46490,#46474,#24621,.T.); +#89869=ADVANCED_FACE('',(#89868),#89860,.T.); +#89875=EDGE_CURVE('',#46509,#46510,#24625,.T.); +#89877=EDGE_CURVE('',#49979,#46510,#24677,.T.); +#89879=EDGE_CURVE('',#49979,#49977,#24629,.T.); +#89881=EDGE_CURVE('',#49977,#46509,#24633,.T.); +#89886=EDGE_CURVE('',#46486,#46488,#24641,.T.); +#89888=EDGE_CURVE('',#46488,#46490,#24649,.T.); +#89893=ADVANCED_FACE('',(#89884,#89892),#89874,.T.); +#89901=EDGE_CURVE('',#46488,#46472,#24645,.T.); +#89906=ADVANCED_FACE('',(#89905),#89898,.T.); +#89918=ADVANCED_FACE('',(#89917),#89911,.T.); +#89925=EDGE_CURVE('',#46509,#46513,#24653,.T.); +#89927=EDGE_CURVE('',#46513,#46514,#24713,.T.); +#89929=EDGE_CURVE('',#46514,#46510,#24665,.T.); +#89933=ADVANCED_FACE('',(#89932),#89923,.T.); +#89941=EDGE_CURVE('',#49976,#49977,#24657,.T.); +#89943=EDGE_CURVE('',#46513,#49976,#24661,.T.); +#89947=ADVANCED_FACE('',(#89946),#89938,.T.); +#89955=EDGE_CURVE('',#49979,#49981,#24673,.T.); +#89960=ADVANCED_FACE('',(#89959),#89952,.T.); +#89967=EDGE_CURVE('',#49981,#46514,#24669,.T.); +#89973=ADVANCED_FACE('',(#89972),#89965,.T.); +#89981=EDGE_CURVE('',#46514,#49969,#24685,.T.); +#89986=ADVANCED_FACE('',(#89985),#89978,.T.); +#89992=EDGE_CURVE('',#50343,#47377,#24689,.T.); +#89995=EDGE_CURVE('',#46506,#49973,#27377,.T.); +#89997=EDGE_CURVE('',#46505,#46506,#24697,.T.); +#90002=ADVANCED_FACE('',(#90001),#89991,.T.); +#90008=EDGE_CURVE('',#50345,#47381,#24705,.T.); +#90013=EDGE_CURVE('',#50345,#46513,#27069,.T.); +#90017=ADVANCED_FACE('',(#90016),#90007,.T.); +#90027=EDGE_CURVE('',#47361,#47362,#24717,.T.); +#90031=EDGE_CURVE('',#50347,#47378,#24733,.T.); +#90035=ADVANCED_FACE('',(#90034),#90022,.T.); +#90041=EDGE_CURVE('',#47428,#47421,#24721,.T.); +#90048=ADVANCED_FACE('',(#90047),#90040,.T.); +#90060=ADVANCED_FACE('',(#90059),#90053,.T.); +#90068=EDGE_CURVE('',#49862,#49863,#24741,.T.); +#90070=EDGE_CURVE('',#49988,#49862,#27337,.T.); +#90075=ADVANCED_FACE('',(#90074),#90065,.F.); +#90081=EDGE_CURVE('',#50349,#47382,#24749,.T.); +#90083=EDGE_CURVE('',#49992,#50349,#27045,.T.); +#90085=EDGE_CURVE('',#49997,#49992,#24753,.T.); +#90087=EDGE_CURVE('',#49984,#49997,#24909,.T.); +#90092=ADVANCED_FACE('',(#90091),#90080,.F.); +#90098=EDGE_CURVE('',#49858,#49859,#24765,.T.); +#90100=EDGE_CURVE('',#49858,#49862,#27353,.T.); +#90103=EDGE_CURVE('',#49863,#49859,#27365,.T.); +#90107=ADVANCED_FACE('',(#90106),#90097,.T.); +#90113=EDGE_CURVE('',#46549,#46550,#24761,.T.); +#90115=EDGE_CURVE('',#49858,#46550,#27357,.T.); +#90118=EDGE_CURVE('',#49859,#46549,#24769,.T.); +#90122=EDGE_CURVE('',#46540,#46542,#24777,.T.); +#90124=EDGE_CURVE('',#46542,#46544,#24801,.T.); +#90126=EDGE_CURVE('',#46544,#46546,#24793,.T.); +#90128=EDGE_CURVE('',#46546,#46540,#24781,.T.); +#90132=ADVANCED_FACE('',(#90121,#90131),#90112,.T.); +#90138=EDGE_CURVE('',#46540,#46525,#24773,.T.); +#90140=EDGE_CURVE('',#46525,#46526,#24821,.T.); +#90142=EDGE_CURVE('',#46542,#46526,#24797,.T.); +#90147=ADVANCED_FACE('',(#90146),#90137,.T.); +#90155=EDGE_CURVE('',#46546,#46530,#24785,.T.); +#90157=EDGE_CURVE('',#46530,#46525,#24825,.T.); +#90161=ADVANCED_FACE('',(#90160),#90152,.T.); +#90167=EDGE_CURVE('',#46544,#46528,#24789,.T.); +#90169=EDGE_CURVE('',#46528,#46530,#24829,.T.); +#90175=ADVANCED_FACE('',(#90174),#90166,.T.); +#90182=EDGE_CURVE('',#46526,#46528,#24833,.T.); +#90188=ADVANCED_FACE('',(#90187),#90180,.T.); +#90194=EDGE_CURVE('',#46517,#46518,#24805,.T.); +#90196=EDGE_CURVE('',#46522,#46517,#24809,.T.); +#90198=EDGE_CURVE('',#46520,#46522,#24813,.T.); +#90200=EDGE_CURVE('',#46518,#46520,#24817,.T.); +#90204=ADVANCED_FACE('',(#90203),#90193,.T.); +#90216=ADVANCED_FACE('',(#90215),#90209,.T.); +#90222=EDGE_CURVE('',#46532,#46517,#24837,.T.); +#90225=EDGE_CURVE('',#46534,#46518,#24865,.T.); +#90227=EDGE_CURVE('',#46532,#46534,#24841,.T.); +#90231=ADVANCED_FACE('',(#90230),#90221,.T.); +#90238=EDGE_CURVE('',#46538,#46532,#24845,.T.); +#90240=EDGE_CURVE('',#46538,#46522,#24849,.T.); +#90245=ADVANCED_FACE('',(#90244),#90236,.T.); +#90251=EDGE_CURVE('',#46557,#46558,#24853,.T.); +#90253=EDGE_CURVE('',#49995,#46558,#24905,.T.); +#90255=EDGE_CURVE('',#49995,#49993,#24857,.T.); +#90257=EDGE_CURVE('',#49993,#46557,#24861,.T.); +#90262=EDGE_CURVE('',#46534,#46536,#24869,.T.); +#90264=EDGE_CURVE('',#46536,#46538,#24877,.T.); +#90269=ADVANCED_FACE('',(#90260,#90268),#90250,.T.); +#90277=EDGE_CURVE('',#46536,#46520,#24873,.T.); +#90282=ADVANCED_FACE('',(#90281),#90274,.T.); +#90294=ADVANCED_FACE('',(#90293),#90287,.T.); +#90301=EDGE_CURVE('',#46557,#46561,#24881,.T.); +#90303=EDGE_CURVE('',#46561,#46562,#24941,.T.); +#90305=EDGE_CURVE('',#46562,#46558,#24893,.T.); +#90309=ADVANCED_FACE('',(#90308),#90299,.T.); +#90317=EDGE_CURVE('',#49992,#49993,#24885,.T.); +#90319=EDGE_CURVE('',#46561,#49992,#24889,.T.); +#90323=ADVANCED_FACE('',(#90322),#90314,.T.); +#90331=EDGE_CURVE('',#49995,#49997,#24901,.T.); +#90336=ADVANCED_FACE('',(#90335),#90328,.T.); +#90343=EDGE_CURVE('',#49997,#46562,#24897,.T.); +#90349=ADVANCED_FACE('',(#90348),#90341,.T.); +#90357=EDGE_CURVE('',#46562,#49985,#24913,.T.); +#90362=ADVANCED_FACE('',(#90361),#90354,.T.); +#90368=EDGE_CURVE('',#50351,#47485,#24917,.T.); +#90371=EDGE_CURVE('',#46554,#49989,#27341,.T.); +#90373=EDGE_CURVE('',#46553,#46554,#24925,.T.); +#90378=ADVANCED_FACE('',(#90377),#90367,.T.); +#90384=EDGE_CURVE('',#50353,#47489,#24933,.T.); +#90389=EDGE_CURVE('',#50353,#46561,#27041,.T.); +#90393=ADVANCED_FACE('',(#90392),#90383,.T.); +#90403=EDGE_CURVE('',#47469,#47470,#24945,.T.); +#90407=EDGE_CURVE('',#50355,#47486,#24961,.T.); +#90411=ADVANCED_FACE('',(#90410),#90398,.T.); +#90417=EDGE_CURVE('',#47536,#47529,#24949,.T.); +#90424=ADVANCED_FACE('',(#90423),#90416,.T.); +#90436=ADVANCED_FACE('',(#90435),#90429,.T.); +#90444=EDGE_CURVE('',#49870,#49871,#24969,.T.); +#90446=EDGE_CURVE('',#50004,#49870,#27301,.T.); +#90451=ADVANCED_FACE('',(#90450),#90441,.F.); +#90457=EDGE_CURVE('',#50357,#47490,#24977,.T.); +#90459=EDGE_CURVE('',#50008,#50357,#27017,.T.); +#90461=EDGE_CURVE('',#50013,#50008,#24981,.T.); +#90463=EDGE_CURVE('',#50000,#50013,#25137,.T.); +#90468=ADVANCED_FACE('',(#90467),#90456,.F.); +#90474=EDGE_CURVE('',#49866,#49867,#24993,.T.); +#90476=EDGE_CURVE('',#49866,#49870,#27317,.T.); +#90479=EDGE_CURVE('',#49871,#49867,#27329,.T.); +#90483=ADVANCED_FACE('',(#90482),#90473,.T.); +#90489=EDGE_CURVE('',#46597,#46598,#24989,.T.); +#90491=EDGE_CURVE('',#49866,#46598,#27321,.T.); +#90494=EDGE_CURVE('',#49867,#46597,#24997,.T.); +#90498=EDGE_CURVE('',#46588,#46590,#25005,.T.); +#90500=EDGE_CURVE('',#46590,#46592,#25029,.T.); +#90502=EDGE_CURVE('',#46592,#46594,#25021,.T.); +#90504=EDGE_CURVE('',#46594,#46588,#25009,.T.); +#90508=ADVANCED_FACE('',(#90497,#90507),#90488,.T.); +#90514=EDGE_CURVE('',#46588,#46573,#25001,.T.); +#90516=EDGE_CURVE('',#46573,#46574,#25049,.T.); +#90518=EDGE_CURVE('',#46590,#46574,#25025,.T.); +#90523=ADVANCED_FACE('',(#90522),#90513,.T.); +#90531=EDGE_CURVE('',#46594,#46578,#25013,.T.); +#90533=EDGE_CURVE('',#46578,#46573,#25053,.T.); +#90537=ADVANCED_FACE('',(#90536),#90528,.T.); +#90543=EDGE_CURVE('',#46592,#46576,#25017,.T.); +#90545=EDGE_CURVE('',#46576,#46578,#25057,.T.); +#90551=ADVANCED_FACE('',(#90550),#90542,.T.); +#90558=EDGE_CURVE('',#46574,#46576,#25061,.T.); +#90564=ADVANCED_FACE('',(#90563),#90556,.T.); +#90570=EDGE_CURVE('',#46565,#46566,#25033,.T.); +#90572=EDGE_CURVE('',#46570,#46565,#25037,.T.); +#90574=EDGE_CURVE('',#46568,#46570,#25041,.T.); +#90576=EDGE_CURVE('',#46566,#46568,#25045,.T.); +#90580=ADVANCED_FACE('',(#90579),#90569,.T.); +#90592=ADVANCED_FACE('',(#90591),#90585,.T.); +#90598=EDGE_CURVE('',#46580,#46565,#25065,.T.); +#90601=EDGE_CURVE('',#46582,#46566,#25093,.T.); +#90603=EDGE_CURVE('',#46580,#46582,#25069,.T.); +#90607=ADVANCED_FACE('',(#90606),#90597,.T.); +#90614=EDGE_CURVE('',#46586,#46580,#25073,.T.); +#90616=EDGE_CURVE('',#46586,#46570,#25077,.T.); +#90621=ADVANCED_FACE('',(#90620),#90612,.T.); +#90627=EDGE_CURVE('',#46605,#46606,#25081,.T.); +#90629=EDGE_CURVE('',#50011,#46606,#25133,.T.); +#90631=EDGE_CURVE('',#50011,#50009,#25085,.T.); +#90633=EDGE_CURVE('',#50009,#46605,#25089,.T.); +#90638=EDGE_CURVE('',#46582,#46584,#25097,.T.); +#90640=EDGE_CURVE('',#46584,#46586,#25105,.T.); +#90645=ADVANCED_FACE('',(#90636,#90644),#90626,.T.); +#90653=EDGE_CURVE('',#46584,#46568,#25101,.T.); +#90658=ADVANCED_FACE('',(#90657),#90650,.T.); +#90670=ADVANCED_FACE('',(#90669),#90663,.T.); +#90677=EDGE_CURVE('',#46605,#46609,#25109,.T.); +#90679=EDGE_CURVE('',#46609,#46610,#25169,.T.); +#90681=EDGE_CURVE('',#46610,#46606,#25121,.T.); +#90685=ADVANCED_FACE('',(#90684),#90675,.T.); +#90693=EDGE_CURVE('',#50008,#50009,#25113,.T.); +#90695=EDGE_CURVE('',#46609,#50008,#25117,.T.); +#90699=ADVANCED_FACE('',(#90698),#90690,.T.); +#90707=EDGE_CURVE('',#50011,#50013,#25129,.T.); +#90712=ADVANCED_FACE('',(#90711),#90704,.T.); +#90719=EDGE_CURVE('',#50013,#46610,#25125,.T.); +#90725=ADVANCED_FACE('',(#90724),#90717,.T.); +#90733=EDGE_CURVE('',#46610,#50001,#25141,.T.); +#90738=ADVANCED_FACE('',(#90737),#90730,.T.); +#90744=EDGE_CURVE('',#50359,#47593,#25145,.T.); +#90747=EDGE_CURVE('',#46602,#50005,#27305,.T.); +#90749=EDGE_CURVE('',#46601,#46602,#25153,.T.); +#90754=ADVANCED_FACE('',(#90753),#90743,.T.); +#90760=EDGE_CURVE('',#50361,#47597,#25161,.T.); +#90765=EDGE_CURVE('',#50361,#46609,#27013,.T.); +#90769=ADVANCED_FACE('',(#90768),#90759,.T.); +#90779=EDGE_CURVE('',#47577,#47578,#25173,.T.); +#90783=EDGE_CURVE('',#50363,#47594,#25189,.T.); +#90787=ADVANCED_FACE('',(#90786),#90774,.T.); +#90793=EDGE_CURVE('',#47644,#47637,#25177,.T.); +#90800=ADVANCED_FACE('',(#90799),#90792,.T.); +#90812=ADVANCED_FACE('',(#90811),#90805,.T.); +#90820=EDGE_CURVE('',#49878,#49879,#25197,.T.); +#90822=EDGE_CURVE('',#50020,#49878,#27265,.T.); +#90827=ADVANCED_FACE('',(#90826),#90817,.F.); +#90833=EDGE_CURVE('',#50365,#47598,#25205,.T.); +#90835=EDGE_CURVE('',#50024,#50365,#26989,.T.); +#90837=EDGE_CURVE('',#50029,#50024,#25209,.T.); +#90839=EDGE_CURVE('',#50016,#50029,#25365,.T.); +#90844=ADVANCED_FACE('',(#90843),#90832,.F.); +#90850=EDGE_CURVE('',#49874,#49875,#25221,.T.); +#90852=EDGE_CURVE('',#49874,#49878,#27281,.T.); +#90855=EDGE_CURVE('',#49879,#49875,#27293,.T.); +#90859=ADVANCED_FACE('',(#90858),#90849,.T.); +#90865=EDGE_CURVE('',#46645,#46646,#25217,.T.); +#90867=EDGE_CURVE('',#49874,#46646,#27285,.T.); +#90870=EDGE_CURVE('',#49875,#46645,#25225,.T.); +#90874=EDGE_CURVE('',#46636,#46638,#25233,.T.); +#90876=EDGE_CURVE('',#46638,#46640,#25257,.T.); +#90878=EDGE_CURVE('',#46640,#46642,#25249,.T.); +#90880=EDGE_CURVE('',#46642,#46636,#25237,.T.); +#90884=ADVANCED_FACE('',(#90873,#90883),#90864,.T.); +#90890=EDGE_CURVE('',#46636,#46621,#25229,.T.); +#90892=EDGE_CURVE('',#46621,#46622,#25277,.T.); +#90894=EDGE_CURVE('',#46638,#46622,#25253,.T.); +#90899=ADVANCED_FACE('',(#90898),#90889,.T.); +#90907=EDGE_CURVE('',#46642,#46626,#25241,.T.); +#90909=EDGE_CURVE('',#46626,#46621,#25281,.T.); +#90913=ADVANCED_FACE('',(#90912),#90904,.T.); +#90919=EDGE_CURVE('',#46640,#46624,#25245,.T.); +#90921=EDGE_CURVE('',#46624,#46626,#25285,.T.); +#90927=ADVANCED_FACE('',(#90926),#90918,.T.); +#90934=EDGE_CURVE('',#46622,#46624,#25289,.T.); +#90940=ADVANCED_FACE('',(#90939),#90932,.T.); +#90946=EDGE_CURVE('',#46613,#46614,#25261,.T.); +#90948=EDGE_CURVE('',#46618,#46613,#25265,.T.); +#90950=EDGE_CURVE('',#46616,#46618,#25269,.T.); +#90952=EDGE_CURVE('',#46614,#46616,#25273,.T.); +#90956=ADVANCED_FACE('',(#90955),#90945,.T.); +#90968=ADVANCED_FACE('',(#90967),#90961,.T.); +#90974=EDGE_CURVE('',#46628,#46613,#25293,.T.); +#90977=EDGE_CURVE('',#46630,#46614,#25321,.T.); +#90979=EDGE_CURVE('',#46628,#46630,#25297,.T.); +#90983=ADVANCED_FACE('',(#90982),#90973,.T.); +#90990=EDGE_CURVE('',#46634,#46628,#25301,.T.); +#90992=EDGE_CURVE('',#46634,#46618,#25305,.T.); +#90997=ADVANCED_FACE('',(#90996),#90988,.T.); +#91003=EDGE_CURVE('',#46653,#46654,#25309,.T.); +#91005=EDGE_CURVE('',#50027,#46654,#25361,.T.); +#91007=EDGE_CURVE('',#50027,#50025,#25313,.T.); +#91009=EDGE_CURVE('',#50025,#46653,#25317,.T.); +#91014=EDGE_CURVE('',#46630,#46632,#25325,.T.); +#91016=EDGE_CURVE('',#46632,#46634,#25333,.T.); +#91021=ADVANCED_FACE('',(#91012,#91020),#91002,.T.); +#91029=EDGE_CURVE('',#46632,#46616,#25329,.T.); +#91034=ADVANCED_FACE('',(#91033),#91026,.T.); +#91046=ADVANCED_FACE('',(#91045),#91039,.T.); +#91053=EDGE_CURVE('',#46653,#46657,#25337,.T.); +#91055=EDGE_CURVE('',#46657,#46658,#25397,.T.); +#91057=EDGE_CURVE('',#46658,#46654,#25349,.T.); +#91061=ADVANCED_FACE('',(#91060),#91051,.T.); +#91069=EDGE_CURVE('',#50024,#50025,#25341,.T.); +#91071=EDGE_CURVE('',#46657,#50024,#25345,.T.); +#91075=ADVANCED_FACE('',(#91074),#91066,.T.); +#91083=EDGE_CURVE('',#50027,#50029,#25357,.T.); +#91088=ADVANCED_FACE('',(#91087),#91080,.T.); +#91095=EDGE_CURVE('',#50029,#46658,#25353,.T.); +#91101=ADVANCED_FACE('',(#91100),#91093,.T.); +#91109=EDGE_CURVE('',#46658,#50017,#25369,.T.); +#91114=ADVANCED_FACE('',(#91113),#91106,.T.); +#91120=EDGE_CURVE('',#50367,#47701,#25373,.T.); +#91123=EDGE_CURVE('',#46650,#50021,#27269,.T.); +#91125=EDGE_CURVE('',#46649,#46650,#25381,.T.); +#91130=ADVANCED_FACE('',(#91129),#91119,.T.); +#91136=EDGE_CURVE('',#50369,#47705,#25389,.T.); +#91141=EDGE_CURVE('',#50369,#46657,#26985,.T.); +#91145=ADVANCED_FACE('',(#91144),#91135,.T.); +#91155=EDGE_CURVE('',#47685,#47686,#25401,.T.); +#91159=EDGE_CURVE('',#50371,#47702,#25417,.T.); +#91163=ADVANCED_FACE('',(#91162),#91150,.T.); +#91169=EDGE_CURVE('',#47752,#47745,#25405,.T.); +#91176=ADVANCED_FACE('',(#91175),#91168,.T.); +#91188=ADVANCED_FACE('',(#91187),#91181,.T.); +#91196=EDGE_CURVE('',#49886,#49887,#25425,.T.); +#91198=EDGE_CURVE('',#50036,#49886,#27229,.T.); +#91203=ADVANCED_FACE('',(#91202),#91193,.F.); +#91209=EDGE_CURVE('',#50373,#47706,#25433,.T.); +#91211=EDGE_CURVE('',#50040,#50373,#26961,.T.); +#91213=EDGE_CURVE('',#50045,#50040,#25437,.T.); +#91215=EDGE_CURVE('',#50032,#50045,#25593,.T.); +#91220=ADVANCED_FACE('',(#91219),#91208,.F.); +#91226=EDGE_CURVE('',#49882,#49883,#25449,.T.); +#91228=EDGE_CURVE('',#49882,#49886,#27245,.T.); +#91231=EDGE_CURVE('',#49887,#49883,#27257,.T.); +#91235=ADVANCED_FACE('',(#91234),#91225,.T.); +#91241=EDGE_CURVE('',#46693,#46694,#25445,.T.); +#91243=EDGE_CURVE('',#49882,#46694,#27249,.T.); +#91246=EDGE_CURVE('',#49883,#46693,#25453,.T.); +#91250=EDGE_CURVE('',#46684,#46686,#25461,.T.); +#91252=EDGE_CURVE('',#46686,#46688,#25485,.T.); +#91254=EDGE_CURVE('',#46688,#46690,#25477,.T.); +#91256=EDGE_CURVE('',#46690,#46684,#25465,.T.); +#91260=ADVANCED_FACE('',(#91249,#91259),#91240,.T.); +#91266=EDGE_CURVE('',#46684,#46669,#25457,.T.); +#91268=EDGE_CURVE('',#46669,#46670,#25505,.T.); +#91270=EDGE_CURVE('',#46686,#46670,#25481,.T.); +#91275=ADVANCED_FACE('',(#91274),#91265,.T.); +#91283=EDGE_CURVE('',#46690,#46674,#25469,.T.); +#91285=EDGE_CURVE('',#46674,#46669,#25509,.T.); +#91289=ADVANCED_FACE('',(#91288),#91280,.T.); +#91295=EDGE_CURVE('',#46688,#46672,#25473,.T.); +#91297=EDGE_CURVE('',#46672,#46674,#25513,.T.); +#91303=ADVANCED_FACE('',(#91302),#91294,.T.); +#91310=EDGE_CURVE('',#46670,#46672,#25517,.T.); +#91316=ADVANCED_FACE('',(#91315),#91308,.T.); +#91322=EDGE_CURVE('',#46661,#46662,#25489,.T.); +#91324=EDGE_CURVE('',#46666,#46661,#25493,.T.); +#91326=EDGE_CURVE('',#46664,#46666,#25497,.T.); +#91328=EDGE_CURVE('',#46662,#46664,#25501,.T.); +#91332=ADVANCED_FACE('',(#91331),#91321,.T.); +#91344=ADVANCED_FACE('',(#91343),#91337,.T.); +#91350=EDGE_CURVE('',#46676,#46661,#25521,.T.); +#91353=EDGE_CURVE('',#46678,#46662,#25549,.T.); +#91355=EDGE_CURVE('',#46676,#46678,#25525,.T.); +#91359=ADVANCED_FACE('',(#91358),#91349,.T.); +#91366=EDGE_CURVE('',#46682,#46676,#25529,.T.); +#91368=EDGE_CURVE('',#46682,#46666,#25533,.T.); +#91373=ADVANCED_FACE('',(#91372),#91364,.T.); +#91379=EDGE_CURVE('',#46701,#46702,#25537,.T.); +#91381=EDGE_CURVE('',#50043,#46702,#25589,.T.); +#91383=EDGE_CURVE('',#50043,#50041,#25541,.T.); +#91385=EDGE_CURVE('',#50041,#46701,#25545,.T.); +#91390=EDGE_CURVE('',#46678,#46680,#25553,.T.); +#91392=EDGE_CURVE('',#46680,#46682,#25561,.T.); +#91397=ADVANCED_FACE('',(#91388,#91396),#91378,.T.); +#91405=EDGE_CURVE('',#46680,#46664,#25557,.T.); +#91410=ADVANCED_FACE('',(#91409),#91402,.T.); +#91422=ADVANCED_FACE('',(#91421),#91415,.T.); +#91429=EDGE_CURVE('',#46701,#46705,#25565,.T.); +#91431=EDGE_CURVE('',#46705,#46706,#25625,.T.); +#91433=EDGE_CURVE('',#46706,#46702,#25577,.T.); +#91437=ADVANCED_FACE('',(#91436),#91427,.T.); +#91445=EDGE_CURVE('',#50040,#50041,#25569,.T.); +#91447=EDGE_CURVE('',#46705,#50040,#25573,.T.); +#91451=ADVANCED_FACE('',(#91450),#91442,.T.); +#91459=EDGE_CURVE('',#50043,#50045,#25585,.T.); +#91464=ADVANCED_FACE('',(#91463),#91456,.T.); +#91471=EDGE_CURVE('',#50045,#46706,#25581,.T.); +#91477=ADVANCED_FACE('',(#91476),#91469,.T.); +#91485=EDGE_CURVE('',#46706,#50033,#25597,.T.); +#91490=ADVANCED_FACE('',(#91489),#91482,.T.); +#91496=EDGE_CURVE('',#50375,#47809,#25601,.T.); +#91499=EDGE_CURVE('',#46698,#50037,#27233,.T.); +#91501=EDGE_CURVE('',#46697,#46698,#25609,.T.); +#91506=ADVANCED_FACE('',(#91505),#91495,.T.); +#91512=EDGE_CURVE('',#50377,#47813,#25617,.T.); +#91517=EDGE_CURVE('',#50377,#46705,#26957,.T.); +#91521=ADVANCED_FACE('',(#91520),#91511,.T.); +#91531=EDGE_CURVE('',#47793,#47794,#25629,.T.); +#91535=EDGE_CURVE('',#50379,#47810,#25645,.T.); +#91539=ADVANCED_FACE('',(#91538),#91526,.T.); +#91545=EDGE_CURVE('',#47860,#47853,#25633,.T.); +#91552=ADVANCED_FACE('',(#91551),#91544,.T.); +#91564=ADVANCED_FACE('',(#91563),#91557,.T.); +#91572=EDGE_CURVE('',#49894,#49895,#25653,.T.); +#91574=EDGE_CURVE('',#50052,#49894,#27193,.T.); +#91579=ADVANCED_FACE('',(#91578),#91569,.F.); +#91585=EDGE_CURVE('',#50381,#47814,#25661,.T.); +#91587=EDGE_CURVE('',#50056,#50381,#26933,.T.); +#91589=EDGE_CURVE('',#50061,#50056,#25665,.T.); +#91591=EDGE_CURVE('',#50048,#50061,#25821,.T.); +#91596=ADVANCED_FACE('',(#91595),#91584,.F.); +#91602=EDGE_CURVE('',#49890,#49891,#25677,.T.); +#91604=EDGE_CURVE('',#49890,#49894,#27209,.T.); +#91607=EDGE_CURVE('',#49895,#49891,#27221,.T.); +#91611=ADVANCED_FACE('',(#91610),#91601,.T.); +#91617=EDGE_CURVE('',#46741,#46742,#25673,.T.); +#91619=EDGE_CURVE('',#49890,#46742,#27213,.T.); +#91622=EDGE_CURVE('',#49891,#46741,#25681,.T.); +#91626=EDGE_CURVE('',#46732,#46734,#25689,.T.); +#91628=EDGE_CURVE('',#46734,#46736,#25713,.T.); +#91630=EDGE_CURVE('',#46736,#46738,#25705,.T.); +#91632=EDGE_CURVE('',#46738,#46732,#25693,.T.); +#91636=ADVANCED_FACE('',(#91625,#91635),#91616,.T.); +#91642=EDGE_CURVE('',#46732,#46717,#25685,.T.); +#91644=EDGE_CURVE('',#46717,#46718,#25733,.T.); +#91646=EDGE_CURVE('',#46734,#46718,#25709,.T.); +#91651=ADVANCED_FACE('',(#91650),#91641,.T.); +#91659=EDGE_CURVE('',#46738,#46722,#25697,.T.); +#91661=EDGE_CURVE('',#46722,#46717,#25737,.T.); +#91665=ADVANCED_FACE('',(#91664),#91656,.T.); +#91671=EDGE_CURVE('',#46736,#46720,#25701,.T.); +#91673=EDGE_CURVE('',#46720,#46722,#25741,.T.); +#91679=ADVANCED_FACE('',(#91678),#91670,.T.); +#91686=EDGE_CURVE('',#46718,#46720,#25745,.T.); +#91692=ADVANCED_FACE('',(#91691),#91684,.T.); +#91698=EDGE_CURVE('',#46709,#46710,#25717,.T.); +#91700=EDGE_CURVE('',#46714,#46709,#25721,.T.); +#91702=EDGE_CURVE('',#46712,#46714,#25725,.T.); +#91704=EDGE_CURVE('',#46710,#46712,#25729,.T.); +#91708=ADVANCED_FACE('',(#91707),#91697,.T.); +#91720=ADVANCED_FACE('',(#91719),#91713,.T.); +#91726=EDGE_CURVE('',#46724,#46709,#25749,.T.); +#91729=EDGE_CURVE('',#46726,#46710,#25777,.T.); +#91731=EDGE_CURVE('',#46724,#46726,#25753,.T.); +#91735=ADVANCED_FACE('',(#91734),#91725,.T.); +#91742=EDGE_CURVE('',#46730,#46724,#25757,.T.); +#91744=EDGE_CURVE('',#46730,#46714,#25761,.T.); +#91749=ADVANCED_FACE('',(#91748),#91740,.T.); +#91755=EDGE_CURVE('',#46749,#46750,#25765,.T.); +#91757=EDGE_CURVE('',#50059,#46750,#25817,.T.); +#91759=EDGE_CURVE('',#50059,#50057,#25769,.T.); +#91761=EDGE_CURVE('',#50057,#46749,#25773,.T.); +#91766=EDGE_CURVE('',#46726,#46728,#25781,.T.); +#91768=EDGE_CURVE('',#46728,#46730,#25789,.T.); +#91773=ADVANCED_FACE('',(#91764,#91772),#91754,.T.); +#91781=EDGE_CURVE('',#46728,#46712,#25785,.T.); +#91786=ADVANCED_FACE('',(#91785),#91778,.T.); +#91798=ADVANCED_FACE('',(#91797),#91791,.T.); +#91805=EDGE_CURVE('',#46749,#46753,#25793,.T.); +#91807=EDGE_CURVE('',#46753,#46754,#25853,.T.); +#91809=EDGE_CURVE('',#46754,#46750,#25805,.T.); +#91813=ADVANCED_FACE('',(#91812),#91803,.T.); +#91821=EDGE_CURVE('',#50056,#50057,#25797,.T.); +#91823=EDGE_CURVE('',#46753,#50056,#25801,.T.); +#91827=ADVANCED_FACE('',(#91826),#91818,.T.); +#91835=EDGE_CURVE('',#50059,#50061,#25813,.T.); +#91840=ADVANCED_FACE('',(#91839),#91832,.T.); +#91847=EDGE_CURVE('',#50061,#46754,#25809,.T.); +#91853=ADVANCED_FACE('',(#91852),#91845,.T.); +#91861=EDGE_CURVE('',#46754,#50049,#25825,.T.); +#91866=ADVANCED_FACE('',(#91865),#91858,.T.); +#91872=EDGE_CURVE('',#50383,#47917,#25829,.T.); +#91875=EDGE_CURVE('',#46746,#50053,#27197,.T.); +#91877=EDGE_CURVE('',#46745,#46746,#25837,.T.); +#91882=ADVANCED_FACE('',(#91881),#91871,.T.); +#91888=EDGE_CURVE('',#50385,#47921,#25845,.T.); +#91893=EDGE_CURVE('',#50385,#46753,#26929,.T.); +#91897=ADVANCED_FACE('',(#91896),#91887,.T.); +#91907=EDGE_CURVE('',#47901,#47902,#25857,.T.); +#91911=EDGE_CURVE('',#50387,#47918,#25873,.T.); +#91915=ADVANCED_FACE('',(#91914),#91902,.T.); +#91921=EDGE_CURVE('',#47968,#47961,#25861,.T.); +#91928=ADVANCED_FACE('',(#91927),#91920,.T.); +#91940=ADVANCED_FACE('',(#91939),#91933,.T.); +#91948=EDGE_CURVE('',#49902,#49903,#25881,.T.); +#91950=EDGE_CURVE('',#50068,#49902,#27157,.T.); +#91955=ADVANCED_FACE('',(#91954),#91945,.F.); +#91961=EDGE_CURVE('',#50389,#47922,#25889,.T.); +#91963=EDGE_CURVE('',#50072,#50389,#26905,.T.); +#91965=EDGE_CURVE('',#50077,#50072,#25893,.T.); +#91967=EDGE_CURVE('',#50064,#50077,#26049,.T.); +#91972=ADVANCED_FACE('',(#91971),#91960,.F.); +#91978=EDGE_CURVE('',#49898,#49899,#25905,.T.); +#91980=EDGE_CURVE('',#49898,#49902,#27173,.T.); +#91983=EDGE_CURVE('',#49903,#49899,#27185,.T.); +#91987=ADVANCED_FACE('',(#91986),#91977,.T.); +#91993=EDGE_CURVE('',#46789,#46790,#25901,.T.); +#91995=EDGE_CURVE('',#49898,#46790,#27177,.T.); +#91998=EDGE_CURVE('',#49899,#46789,#25909,.T.); +#92002=EDGE_CURVE('',#46780,#46782,#25917,.T.); +#92004=EDGE_CURVE('',#46782,#46784,#25941,.T.); +#92006=EDGE_CURVE('',#46784,#46786,#25933,.T.); +#92008=EDGE_CURVE('',#46786,#46780,#25921,.T.); +#92012=ADVANCED_FACE('',(#92001,#92011),#91992,.T.); +#92018=EDGE_CURVE('',#46780,#46765,#25913,.T.); +#92020=EDGE_CURVE('',#46765,#46766,#25961,.T.); +#92022=EDGE_CURVE('',#46782,#46766,#25937,.T.); +#92027=ADVANCED_FACE('',(#92026),#92017,.T.); +#92035=EDGE_CURVE('',#46786,#46770,#25925,.T.); +#92037=EDGE_CURVE('',#46770,#46765,#25965,.T.); +#92041=ADVANCED_FACE('',(#92040),#92032,.T.); +#92047=EDGE_CURVE('',#46784,#46768,#25929,.T.); +#92049=EDGE_CURVE('',#46768,#46770,#25969,.T.); +#92055=ADVANCED_FACE('',(#92054),#92046,.T.); +#92062=EDGE_CURVE('',#46766,#46768,#25973,.T.); +#92068=ADVANCED_FACE('',(#92067),#92060,.T.); +#92074=EDGE_CURVE('',#46757,#46758,#25945,.T.); +#92076=EDGE_CURVE('',#46762,#46757,#25949,.T.); +#92078=EDGE_CURVE('',#46760,#46762,#25953,.T.); +#92080=EDGE_CURVE('',#46758,#46760,#25957,.T.); +#92084=ADVANCED_FACE('',(#92083),#92073,.T.); +#92096=ADVANCED_FACE('',(#92095),#92089,.T.); +#92102=EDGE_CURVE('',#46772,#46757,#25977,.T.); +#92105=EDGE_CURVE('',#46774,#46758,#26005,.T.); +#92107=EDGE_CURVE('',#46772,#46774,#25981,.T.); +#92111=ADVANCED_FACE('',(#92110),#92101,.T.); +#92118=EDGE_CURVE('',#46778,#46772,#25985,.T.); +#92120=EDGE_CURVE('',#46778,#46762,#25989,.T.); +#92125=ADVANCED_FACE('',(#92124),#92116,.T.); +#92131=EDGE_CURVE('',#46797,#46798,#25993,.T.); +#92133=EDGE_CURVE('',#50075,#46798,#26045,.T.); +#92135=EDGE_CURVE('',#50075,#50073,#25997,.T.); +#92137=EDGE_CURVE('',#50073,#46797,#26001,.T.); +#92142=EDGE_CURVE('',#46774,#46776,#26009,.T.); +#92144=EDGE_CURVE('',#46776,#46778,#26017,.T.); +#92149=ADVANCED_FACE('',(#92140,#92148),#92130,.T.); +#92157=EDGE_CURVE('',#46776,#46760,#26013,.T.); +#92162=ADVANCED_FACE('',(#92161),#92154,.T.); +#92174=ADVANCED_FACE('',(#92173),#92167,.T.); +#92181=EDGE_CURVE('',#46797,#46801,#26021,.T.); +#92183=EDGE_CURVE('',#46801,#46802,#26081,.T.); +#92185=EDGE_CURVE('',#46802,#46798,#26033,.T.); +#92189=ADVANCED_FACE('',(#92188),#92179,.T.); +#92197=EDGE_CURVE('',#50072,#50073,#26025,.T.); +#92199=EDGE_CURVE('',#46801,#50072,#26029,.T.); +#92203=ADVANCED_FACE('',(#92202),#92194,.T.); +#92211=EDGE_CURVE('',#50075,#50077,#26041,.T.); +#92216=ADVANCED_FACE('',(#92215),#92208,.T.); +#92223=EDGE_CURVE('',#50077,#46802,#26037,.T.); +#92229=ADVANCED_FACE('',(#92228),#92221,.T.); +#92237=EDGE_CURVE('',#46802,#50065,#26053,.T.); +#92242=ADVANCED_FACE('',(#92241),#92234,.T.); +#92248=EDGE_CURVE('',#50391,#48025,#26057,.T.); +#92251=EDGE_CURVE('',#46794,#50069,#27161,.T.); +#92253=EDGE_CURVE('',#46793,#46794,#26065,.T.); +#92258=ADVANCED_FACE('',(#92257),#92247,.T.); +#92264=EDGE_CURVE('',#50393,#48029,#26073,.T.); +#92269=EDGE_CURVE('',#50393,#46801,#26901,.T.); +#92273=ADVANCED_FACE('',(#92272),#92263,.T.); +#92283=EDGE_CURVE('',#48009,#48010,#26085,.T.); +#92287=EDGE_CURVE('',#50395,#48026,#26101,.T.); +#92291=ADVANCED_FACE('',(#92290),#92278,.T.); +#92297=EDGE_CURVE('',#48076,#48069,#26089,.T.); +#92304=ADVANCED_FACE('',(#92303),#92296,.T.); +#92316=ADVANCED_FACE('',(#92315),#92309,.T.); +#92324=EDGE_CURVE('',#49910,#49911,#26109,.T.); +#92326=EDGE_CURVE('',#50084,#49910,#27121,.T.); +#92331=ADVANCED_FACE('',(#92330),#92321,.F.); +#92337=EDGE_CURVE('',#50397,#48030,#26117,.T.); +#92339=EDGE_CURVE('',#50088,#50397,#26877,.T.); +#92341=EDGE_CURVE('',#50093,#50088,#26121,.T.); +#92343=EDGE_CURVE('',#50080,#50093,#26277,.T.); +#92348=ADVANCED_FACE('',(#92347),#92336,.F.); +#92354=EDGE_CURVE('',#49906,#49907,#26133,.T.); +#92356=EDGE_CURVE('',#49906,#49910,#27137,.T.); +#92359=EDGE_CURVE('',#49911,#49907,#27149,.T.); +#92363=ADVANCED_FACE('',(#92362),#92353,.T.); +#92369=EDGE_CURVE('',#46837,#46838,#26129,.T.); +#92371=EDGE_CURVE('',#49906,#46838,#27141,.T.); +#92374=EDGE_CURVE('',#49907,#46837,#26137,.T.); +#92378=EDGE_CURVE('',#46828,#46830,#26145,.T.); +#92380=EDGE_CURVE('',#46830,#46832,#26169,.T.); +#92382=EDGE_CURVE('',#46832,#46834,#26161,.T.); +#92384=EDGE_CURVE('',#46834,#46828,#26149,.T.); +#92388=ADVANCED_FACE('',(#92377,#92387),#92368,.T.); +#92394=EDGE_CURVE('',#46828,#46813,#26141,.T.); +#92396=EDGE_CURVE('',#46813,#46814,#26189,.T.); +#92398=EDGE_CURVE('',#46830,#46814,#26165,.T.); +#92403=ADVANCED_FACE('',(#92402),#92393,.T.); +#92411=EDGE_CURVE('',#46834,#46818,#26153,.T.); +#92413=EDGE_CURVE('',#46818,#46813,#26193,.T.); +#92417=ADVANCED_FACE('',(#92416),#92408,.T.); +#92423=EDGE_CURVE('',#46832,#46816,#26157,.T.); +#92425=EDGE_CURVE('',#46816,#46818,#26197,.T.); +#92431=ADVANCED_FACE('',(#92430),#92422,.T.); +#92438=EDGE_CURVE('',#46814,#46816,#26201,.T.); +#92444=ADVANCED_FACE('',(#92443),#92436,.T.); +#92450=EDGE_CURVE('',#46805,#46806,#26173,.T.); +#92452=EDGE_CURVE('',#46810,#46805,#26177,.T.); +#92454=EDGE_CURVE('',#46808,#46810,#26181,.T.); +#92456=EDGE_CURVE('',#46806,#46808,#26185,.T.); +#92460=ADVANCED_FACE('',(#92459),#92449,.T.); +#92472=ADVANCED_FACE('',(#92471),#92465,.T.); +#92478=EDGE_CURVE('',#46820,#46805,#26205,.T.); +#92481=EDGE_CURVE('',#46822,#46806,#26233,.T.); +#92483=EDGE_CURVE('',#46820,#46822,#26209,.T.); +#92487=ADVANCED_FACE('',(#92486),#92477,.T.); +#92494=EDGE_CURVE('',#46826,#46820,#26213,.T.); +#92496=EDGE_CURVE('',#46826,#46810,#26217,.T.); +#92501=ADVANCED_FACE('',(#92500),#92492,.T.); +#92507=EDGE_CURVE('',#46845,#46846,#26221,.T.); +#92509=EDGE_CURVE('',#50091,#46846,#26273,.T.); +#92511=EDGE_CURVE('',#50091,#50089,#26225,.T.); +#92513=EDGE_CURVE('',#50089,#46845,#26229,.T.); +#92518=EDGE_CURVE('',#46822,#46824,#26237,.T.); +#92520=EDGE_CURVE('',#46824,#46826,#26245,.T.); +#92525=ADVANCED_FACE('',(#92516,#92524),#92506,.T.); +#92533=EDGE_CURVE('',#46824,#46808,#26241,.T.); +#92538=ADVANCED_FACE('',(#92537),#92530,.T.); +#92550=ADVANCED_FACE('',(#92549),#92543,.T.); +#92557=EDGE_CURVE('',#46845,#46849,#26249,.T.); +#92559=EDGE_CURVE('',#46849,#46850,#26309,.T.); +#92561=EDGE_CURVE('',#46850,#46846,#26261,.T.); +#92565=ADVANCED_FACE('',(#92564),#92555,.T.); +#92573=EDGE_CURVE('',#50088,#50089,#26253,.T.); +#92575=EDGE_CURVE('',#46849,#50088,#26257,.T.); +#92579=ADVANCED_FACE('',(#92578),#92570,.T.); +#92587=EDGE_CURVE('',#50091,#50093,#26269,.T.); +#92592=ADVANCED_FACE('',(#92591),#92584,.T.); +#92599=EDGE_CURVE('',#50093,#46850,#26265,.T.); +#92605=ADVANCED_FACE('',(#92604),#92597,.T.); +#92613=EDGE_CURVE('',#46850,#50081,#26281,.T.); +#92618=ADVANCED_FACE('',(#92617),#92610,.T.); +#92624=EDGE_CURVE('',#50399,#48133,#26285,.T.); +#92627=EDGE_CURVE('',#46842,#50085,#27125,.T.); +#92629=EDGE_CURVE('',#46841,#46842,#26293,.T.); +#92634=ADVANCED_FACE('',(#92633),#92623,.T.); +#92640=EDGE_CURVE('',#50401,#48137,#26301,.T.); +#92645=EDGE_CURVE('',#50401,#46849,#26873,.T.); +#92649=ADVANCED_FACE('',(#92648),#92639,.T.); +#92659=EDGE_CURVE('',#48117,#48118,#26313,.T.); +#92663=EDGE_CURVE('',#50403,#48134,#26329,.T.); +#92667=ADVANCED_FACE('',(#92666),#92654,.T.); +#92673=EDGE_CURVE('',#48184,#48177,#26317,.T.); +#92680=ADVANCED_FACE('',(#92679),#92672,.T.); +#92692=ADVANCED_FACE('',(#92691),#92685,.T.); +#92700=EDGE_CURVE('',#49918,#49919,#26337,.T.); +#92702=EDGE_CURVE('',#50100,#49918,#27085,.T.); +#92707=ADVANCED_FACE('',(#92706),#92697,.F.); +#92713=EDGE_CURVE('',#50405,#48138,#26345,.T.); +#92715=EDGE_CURVE('',#50104,#50405,#26849,.T.); +#92717=EDGE_CURVE('',#50109,#50104,#26349,.T.); +#92719=EDGE_CURVE('',#50096,#50109,#26505,.T.); +#92724=ADVANCED_FACE('',(#92723),#92712,.F.); +#92730=EDGE_CURVE('',#49914,#49915,#26361,.T.); +#92732=EDGE_CURVE('',#49914,#49918,#27101,.T.); +#92735=EDGE_CURVE('',#49919,#49915,#27113,.T.); +#92739=ADVANCED_FACE('',(#92738),#92729,.T.); +#92745=EDGE_CURVE('',#46885,#46886,#26357,.T.); +#92747=EDGE_CURVE('',#49914,#46886,#27105,.T.); +#92750=EDGE_CURVE('',#49915,#46885,#26365,.T.); +#92754=EDGE_CURVE('',#46876,#46878,#26373,.T.); +#92756=EDGE_CURVE('',#46878,#46880,#26397,.T.); +#92758=EDGE_CURVE('',#46880,#46882,#26389,.T.); +#92760=EDGE_CURVE('',#46882,#46876,#26377,.T.); +#92764=ADVANCED_FACE('',(#92753,#92763),#92744,.T.); +#92770=EDGE_CURVE('',#46876,#46861,#26369,.T.); +#92772=EDGE_CURVE('',#46861,#46862,#26417,.T.); +#92774=EDGE_CURVE('',#46878,#46862,#26393,.T.); +#92779=ADVANCED_FACE('',(#92778),#92769,.T.); +#92787=EDGE_CURVE('',#46882,#46866,#26381,.T.); +#92789=EDGE_CURVE('',#46866,#46861,#26421,.T.); +#92793=ADVANCED_FACE('',(#92792),#92784,.T.); +#92799=EDGE_CURVE('',#46880,#46864,#26385,.T.); +#92801=EDGE_CURVE('',#46864,#46866,#26425,.T.); +#92807=ADVANCED_FACE('',(#92806),#92798,.T.); +#92814=EDGE_CURVE('',#46862,#46864,#26429,.T.); +#92820=ADVANCED_FACE('',(#92819),#92812,.T.); +#92826=EDGE_CURVE('',#46853,#46854,#26401,.T.); +#92828=EDGE_CURVE('',#46858,#46853,#26405,.T.); +#92830=EDGE_CURVE('',#46856,#46858,#26409,.T.); +#92832=EDGE_CURVE('',#46854,#46856,#26413,.T.); +#92836=ADVANCED_FACE('',(#92835),#92825,.T.); +#92848=ADVANCED_FACE('',(#92847),#92841,.T.); +#92854=EDGE_CURVE('',#46868,#46853,#26433,.T.); +#92857=EDGE_CURVE('',#46870,#46854,#26461,.T.); +#92859=EDGE_CURVE('',#46868,#46870,#26437,.T.); +#92863=ADVANCED_FACE('',(#92862),#92853,.T.); +#92870=EDGE_CURVE('',#46874,#46868,#26441,.T.); +#92872=EDGE_CURVE('',#46874,#46858,#26445,.T.); +#92877=ADVANCED_FACE('',(#92876),#92868,.T.); +#92883=EDGE_CURVE('',#46893,#46894,#26449,.T.); +#92885=EDGE_CURVE('',#50107,#46894,#26501,.T.); +#92887=EDGE_CURVE('',#50107,#50105,#26453,.T.); +#92889=EDGE_CURVE('',#50105,#46893,#26457,.T.); +#92894=EDGE_CURVE('',#46870,#46872,#26465,.T.); +#92896=EDGE_CURVE('',#46872,#46874,#26473,.T.); +#92901=ADVANCED_FACE('',(#92892,#92900),#92882,.T.); +#92909=EDGE_CURVE('',#46872,#46856,#26469,.T.); +#92914=ADVANCED_FACE('',(#92913),#92906,.T.); +#92926=ADVANCED_FACE('',(#92925),#92919,.T.); +#92933=EDGE_CURVE('',#46893,#46897,#26477,.T.); +#92935=EDGE_CURVE('',#46897,#46898,#26537,.T.); +#92937=EDGE_CURVE('',#46898,#46894,#26489,.T.); +#92941=ADVANCED_FACE('',(#92940),#92931,.T.); +#92949=EDGE_CURVE('',#50104,#50105,#26481,.T.); +#92951=EDGE_CURVE('',#46897,#50104,#26485,.T.); +#92955=ADVANCED_FACE('',(#92954),#92946,.T.); +#92963=EDGE_CURVE('',#50107,#50109,#26497,.T.); +#92968=ADVANCED_FACE('',(#92967),#92960,.T.); +#92975=EDGE_CURVE('',#50109,#46898,#26493,.T.); +#92981=ADVANCED_FACE('',(#92980),#92973,.T.); +#92989=EDGE_CURVE('',#46898,#50097,#26509,.T.); +#92994=ADVANCED_FACE('',(#92993),#92986,.T.); +#93000=EDGE_CURVE('',#50407,#48241,#26513,.T.); +#93003=EDGE_CURVE('',#46890,#50101,#27089,.T.); +#93005=EDGE_CURVE('',#46889,#46890,#26521,.T.); +#93010=ADVANCED_FACE('',(#93009),#92999,.T.); +#93016=EDGE_CURVE('',#50409,#48245,#26529,.T.); +#93021=EDGE_CURVE('',#50409,#46897,#26845,.T.); +#93025=ADVANCED_FACE('',(#93024),#93015,.T.); +#93035=EDGE_CURVE('',#48225,#48226,#26541,.T.); +#93039=EDGE_CURVE('',#50411,#48242,#26557,.T.); +#93043=ADVANCED_FACE('',(#93042),#93030,.T.); +#93049=EDGE_CURVE('',#48292,#48285,#26545,.T.); +#93056=ADVANCED_FACE('',(#93055),#93048,.T.); +#93068=ADVANCED_FACE('',(#93067),#93061,.T.); +#93076=EDGE_CURVE('',#49926,#49927,#26565,.T.); +#93078=EDGE_CURVE('',#50116,#49926,#26769,.T.); +#93083=ADVANCED_FACE('',(#93082),#93073,.F.); +#93089=EDGE_CURVE('',#50413,#48246,#26573,.T.); +#93091=EDGE_CURVE('',#50120,#50413,#26821,.T.); +#93093=EDGE_CURVE('',#50125,#50120,#26577,.T.); +#93095=EDGE_CURVE('',#50112,#50125,#26733,.T.); +#93100=ADVANCED_FACE('',(#93099),#93088,.F.); +#93106=EDGE_CURVE('',#49922,#49923,#26589,.T.); +#93108=EDGE_CURVE('',#49922,#49926,#26785,.T.); +#93111=EDGE_CURVE('',#49927,#49923,#26797,.T.); +#93115=ADVANCED_FACE('',(#93114),#93105,.T.); +#93121=EDGE_CURVE('',#46933,#46934,#26585,.T.); +#93123=EDGE_CURVE('',#49922,#46934,#26789,.T.); +#93126=EDGE_CURVE('',#49923,#46933,#26593,.T.); +#93130=EDGE_CURVE('',#46924,#46926,#26601,.T.); +#93132=EDGE_CURVE('',#46926,#46928,#26625,.T.); +#93134=EDGE_CURVE('',#46928,#46930,#26617,.T.); +#93136=EDGE_CURVE('',#46930,#46924,#26605,.T.); +#93140=ADVANCED_FACE('',(#93129,#93139),#93120,.T.); +#93146=EDGE_CURVE('',#46924,#46909,#26597,.T.); +#93148=EDGE_CURVE('',#46909,#46910,#26645,.T.); +#93150=EDGE_CURVE('',#46926,#46910,#26621,.T.); +#93155=ADVANCED_FACE('',(#93154),#93145,.T.); +#93163=EDGE_CURVE('',#46930,#46914,#26609,.T.); +#93165=EDGE_CURVE('',#46914,#46909,#26649,.T.); +#93169=ADVANCED_FACE('',(#93168),#93160,.T.); +#93175=EDGE_CURVE('',#46928,#46912,#26613,.T.); +#93177=EDGE_CURVE('',#46912,#46914,#26653,.T.); +#93183=ADVANCED_FACE('',(#93182),#93174,.T.); +#93190=EDGE_CURVE('',#46910,#46912,#26657,.T.); +#93196=ADVANCED_FACE('',(#93195),#93188,.T.); +#93202=EDGE_CURVE('',#46901,#46902,#26629,.T.); +#93204=EDGE_CURVE('',#46906,#46901,#26633,.T.); +#93206=EDGE_CURVE('',#46904,#46906,#26637,.T.); +#93208=EDGE_CURVE('',#46902,#46904,#26641,.T.); +#93212=ADVANCED_FACE('',(#93211),#93201,.T.); +#93224=ADVANCED_FACE('',(#93223),#93217,.T.); +#93230=EDGE_CURVE('',#46916,#46901,#26661,.T.); +#93233=EDGE_CURVE('',#46918,#46902,#26689,.T.); +#93235=EDGE_CURVE('',#46916,#46918,#26665,.T.); +#93239=ADVANCED_FACE('',(#93238),#93229,.T.); +#93246=EDGE_CURVE('',#46922,#46916,#26669,.T.); +#93248=EDGE_CURVE('',#46922,#46906,#26673,.T.); +#93253=ADVANCED_FACE('',(#93252),#93244,.T.); +#93259=EDGE_CURVE('',#46941,#46942,#26677,.T.); +#93261=EDGE_CURVE('',#50123,#46942,#26729,.T.); +#93263=EDGE_CURVE('',#50123,#50121,#26681,.T.); +#93265=EDGE_CURVE('',#50121,#46941,#26685,.T.); +#93270=EDGE_CURVE('',#46918,#46920,#26693,.T.); +#93272=EDGE_CURVE('',#46920,#46922,#26701,.T.); +#93277=ADVANCED_FACE('',(#93268,#93276),#93258,.T.); +#93285=EDGE_CURVE('',#46920,#46904,#26697,.T.); +#93290=ADVANCED_FACE('',(#93289),#93282,.T.); +#93302=ADVANCED_FACE('',(#93301),#93295,.T.); +#93309=EDGE_CURVE('',#46941,#46945,#26705,.T.); +#93311=EDGE_CURVE('',#46945,#46946,#26765,.T.); +#93313=EDGE_CURVE('',#46946,#46942,#26717,.T.); +#93317=ADVANCED_FACE('',(#93316),#93307,.T.); +#93325=EDGE_CURVE('',#50120,#50121,#26709,.T.); +#93327=EDGE_CURVE('',#46945,#50120,#26713,.T.); +#93331=ADVANCED_FACE('',(#93330),#93322,.T.); +#93339=EDGE_CURVE('',#50123,#50125,#26725,.T.); +#93344=ADVANCED_FACE('',(#93343),#93336,.T.); +#93351=EDGE_CURVE('',#50125,#46946,#26721,.T.); +#93357=ADVANCED_FACE('',(#93356),#93349,.T.); +#93365=EDGE_CURVE('',#46946,#50113,#26737,.T.); +#93370=ADVANCED_FACE('',(#93369),#93362,.T.); +#93378=EDGE_CURVE('',#46938,#50117,#26773,.T.); +#93380=EDGE_CURVE('',#46937,#46938,#26749,.T.); +#93385=ADVANCED_FACE('',(#93384),#93375,.T.); +#93391=EDGE_CURVE('',#50417,#48352,#26757,.T.); +#93396=EDGE_CURVE('',#50417,#46945,#26817,.T.); +#93400=ADVANCED_FACE('',(#93399),#93390,.T.); +#93407=EDGE_CURVE('',#49926,#46938,#26781,.T.); +#93413=ADVANCED_FACE('',(#93412),#93405,.T.); +#93419=EDGE_CURVE('',#46938,#46934,#26777,.T.); +#93426=ADVANCED_FACE('',(#93425),#93418,.T.); +#93433=EDGE_CURVE('',#46933,#46937,#26793,.T.); +#93439=ADVANCED_FACE('',(#93438),#93431,.T.); +#93451=ADVANCED_FACE('',(#93450),#93444,.T.); +#93459=EDGE_CURVE('',#50417,#48382,#26813,.T.); +#93466=ADVANCED_FACE('',(#93465),#93456,.T.); +#93477=EDGE_CURVE('',#50413,#48282,#26825,.T.); +#93483=ADVANCED_FACE('',(#93482),#93471,.T.); +#93491=EDGE_CURVE('',#50409,#48278,#26841,.T.); +#93500=ADVANCED_FACE('',(#93499),#93488,.T.); +#93511=EDGE_CURVE('',#50405,#48174,#26853,.T.); +#93517=ADVANCED_FACE('',(#93516),#93505,.T.); +#93525=EDGE_CURVE('',#50401,#48170,#26869,.T.); +#93534=ADVANCED_FACE('',(#93533),#93522,.T.); +#93545=EDGE_CURVE('',#50397,#48066,#26881,.T.); +#93551=ADVANCED_FACE('',(#93550),#93539,.T.); +#93559=EDGE_CURVE('',#50393,#48062,#26897,.T.); +#93568=ADVANCED_FACE('',(#93567),#93556,.T.); +#93579=EDGE_CURVE('',#50389,#47958,#26909,.T.); +#93585=ADVANCED_FACE('',(#93584),#93573,.T.); +#93593=EDGE_CURVE('',#50385,#47954,#26925,.T.); +#93602=ADVANCED_FACE('',(#93601),#93590,.T.); +#93613=EDGE_CURVE('',#50381,#47850,#26937,.T.); +#93619=ADVANCED_FACE('',(#93618),#93607,.T.); +#93627=EDGE_CURVE('',#50377,#47846,#26953,.T.); +#93636=ADVANCED_FACE('',(#93635),#93624,.T.); +#93647=EDGE_CURVE('',#50373,#47742,#26965,.T.); +#93653=ADVANCED_FACE('',(#93652),#93641,.T.); +#93661=EDGE_CURVE('',#50369,#47738,#26981,.T.); +#93670=ADVANCED_FACE('',(#93669),#93658,.T.); +#93681=EDGE_CURVE('',#50365,#47634,#26993,.T.); +#93687=ADVANCED_FACE('',(#93686),#93675,.T.); +#93695=EDGE_CURVE('',#50361,#47630,#27009,.T.); +#93704=ADVANCED_FACE('',(#93703),#93692,.T.); +#93715=EDGE_CURVE('',#50357,#47526,#27021,.T.); +#93721=ADVANCED_FACE('',(#93720),#93709,.T.); +#93729=EDGE_CURVE('',#50353,#47522,#27037,.T.); +#93738=ADVANCED_FACE('',(#93737),#93726,.T.); +#93749=EDGE_CURVE('',#50349,#47418,#27049,.T.); +#93755=ADVANCED_FACE('',(#93754),#93743,.T.); +#93763=EDGE_CURVE('',#50345,#47414,#27065,.T.); +#93772=ADVANCED_FACE('',(#93771),#93760,.T.); +#93788=ADVANCED_FACE('',(#93787),#93777,.T.); +#93795=EDGE_CURVE('',#49918,#46890,#27097,.T.); +#93801=ADVANCED_FACE('',(#93800),#93793,.T.); +#93807=EDGE_CURVE('',#46890,#46886,#27093,.T.); +#93814=ADVANCED_FACE('',(#93813),#93806,.T.); +#93821=EDGE_CURVE('',#46885,#46889,#27109,.T.); +#93827=ADVANCED_FACE('',(#93826),#93819,.T.); +#93839=ADVANCED_FACE('',(#93838),#93832,.T.); +#93846=EDGE_CURVE('',#49910,#46842,#27133,.T.); +#93852=ADVANCED_FACE('',(#93851),#93844,.T.); +#93858=EDGE_CURVE('',#46842,#46838,#27129,.T.); +#93865=ADVANCED_FACE('',(#93864),#93857,.T.); +#93872=EDGE_CURVE('',#46837,#46841,#27145,.T.); +#93878=ADVANCED_FACE('',(#93877),#93870,.T.); +#93890=ADVANCED_FACE('',(#93889),#93883,.T.); +#93897=EDGE_CURVE('',#49902,#46794,#27169,.T.); +#93903=ADVANCED_FACE('',(#93902),#93895,.T.); +#93909=EDGE_CURVE('',#46794,#46790,#27165,.T.); +#93916=ADVANCED_FACE('',(#93915),#93908,.T.); +#93923=EDGE_CURVE('',#46789,#46793,#27181,.T.); +#93929=ADVANCED_FACE('',(#93928),#93921,.T.); +#93941=ADVANCED_FACE('',(#93940),#93934,.T.); +#93948=EDGE_CURVE('',#49894,#46746,#27205,.T.); +#93954=ADVANCED_FACE('',(#93953),#93946,.T.); +#93960=EDGE_CURVE('',#46746,#46742,#27201,.T.); +#93967=ADVANCED_FACE('',(#93966),#93959,.T.); +#93974=EDGE_CURVE('',#46741,#46745,#27217,.T.); +#93980=ADVANCED_FACE('',(#93979),#93972,.T.); +#93992=ADVANCED_FACE('',(#93991),#93985,.T.); +#93999=EDGE_CURVE('',#49886,#46698,#27241,.T.); +#94005=ADVANCED_FACE('',(#94004),#93997,.T.); +#94011=EDGE_CURVE('',#46698,#46694,#27237,.T.); +#94018=ADVANCED_FACE('',(#94017),#94010,.T.); +#94025=EDGE_CURVE('',#46693,#46697,#27253,.T.); +#94031=ADVANCED_FACE('',(#94030),#94023,.T.); +#94043=ADVANCED_FACE('',(#94042),#94036,.T.); +#94050=EDGE_CURVE('',#49878,#46650,#27277,.T.); +#94056=ADVANCED_FACE('',(#94055),#94048,.T.); +#94062=EDGE_CURVE('',#46650,#46646,#27273,.T.); +#94069=ADVANCED_FACE('',(#94068),#94061,.T.); +#94076=EDGE_CURVE('',#46645,#46649,#27289,.T.); +#94082=ADVANCED_FACE('',(#94081),#94074,.T.); +#94094=ADVANCED_FACE('',(#94093),#94087,.T.); +#94101=EDGE_CURVE('',#49870,#46602,#27313,.T.); +#94107=ADVANCED_FACE('',(#94106),#94099,.T.); +#94113=EDGE_CURVE('',#46602,#46598,#27309,.T.); +#94120=ADVANCED_FACE('',(#94119),#94112,.T.); +#94127=EDGE_CURVE('',#46597,#46601,#27325,.T.); +#94133=ADVANCED_FACE('',(#94132),#94125,.T.); +#94145=ADVANCED_FACE('',(#94144),#94138,.T.); +#94152=EDGE_CURVE('',#49862,#46554,#27349,.T.); +#94158=ADVANCED_FACE('',(#94157),#94150,.T.); +#94164=EDGE_CURVE('',#46554,#46550,#27345,.T.); +#94171=ADVANCED_FACE('',(#94170),#94163,.T.); +#94178=EDGE_CURVE('',#46549,#46553,#27361,.T.); +#94184=ADVANCED_FACE('',(#94183),#94176,.T.); +#94196=ADVANCED_FACE('',(#94195),#94189,.T.); +#94203=EDGE_CURVE('',#49854,#46506,#27385,.T.); +#94209=ADVANCED_FACE('',(#94208),#94201,.T.); +#94215=EDGE_CURVE('',#46506,#46502,#27381,.T.); +#94222=ADVANCED_FACE('',(#94221),#94214,.T.); +#94229=EDGE_CURVE('',#46501,#46505,#27397,.T.); +#94235=ADVANCED_FACE('',(#94234),#94227,.T.); +#94247=ADVANCED_FACE('',(#94246),#94240,.T.); +#94253=EDGE_CURVE('',#49956,#49846,#27409,.T.); +#94255=EDGE_CURVE('',#49846,#46458,#27617,.T.); +#94261=ADVANCED_FACE('',(#94260),#94252,.T.); +#94269=EDGE_CURVE('',#49846,#49847,#27425,.T.); +#94275=ADVANCED_FACE('',(#94274),#94266,.F.); +#94283=EDGE_CURVE('',#49965,#49960,#27437,.T.); +#94285=EDGE_CURVE('',#49952,#49965,#27593,.T.); +#94290=ADVANCED_FACE('',(#94289),#94280,.F.); +#94296=EDGE_CURVE('',#49842,#49843,#27449,.T.); +#94298=EDGE_CURVE('',#49842,#49846,#27621,.T.); +#94301=EDGE_CURVE('',#49847,#49843,#27605,.T.); +#94305=ADVANCED_FACE('',(#94304),#94295,.T.); +#94311=EDGE_CURVE('',#46453,#46454,#27445,.T.); +#94313=EDGE_CURVE('',#49842,#46454,#27625,.T.); +#94316=EDGE_CURVE('',#49843,#46453,#27453,.T.); +#94320=EDGE_CURVE('',#46444,#46446,#27461,.T.); +#94322=EDGE_CURVE('',#46446,#46448,#27485,.T.); +#94324=EDGE_CURVE('',#46448,#46450,#27477,.T.); +#94326=EDGE_CURVE('',#46450,#46444,#27465,.T.); +#94330=ADVANCED_FACE('',(#94319,#94329),#94310,.T.); +#94336=EDGE_CURVE('',#46444,#46429,#27457,.T.); +#94338=EDGE_CURVE('',#46429,#46430,#27505,.T.); +#94340=EDGE_CURVE('',#46446,#46430,#27481,.T.); +#94345=ADVANCED_FACE('',(#94344),#94335,.T.); +#94353=EDGE_CURVE('',#46450,#46434,#27469,.T.); +#94355=EDGE_CURVE('',#46434,#46429,#27509,.T.); +#94359=ADVANCED_FACE('',(#94358),#94350,.T.); +#94365=EDGE_CURVE('',#46448,#46432,#27473,.T.); +#94367=EDGE_CURVE('',#46432,#46434,#27513,.T.); +#94373=ADVANCED_FACE('',(#94372),#94364,.T.); +#94380=EDGE_CURVE('',#46430,#46432,#27517,.T.); +#94386=ADVANCED_FACE('',(#94385),#94378,.T.); +#94392=EDGE_CURVE('',#46421,#46422,#27489,.T.); +#94394=EDGE_CURVE('',#46426,#46421,#27493,.T.); +#94396=EDGE_CURVE('',#46424,#46426,#27497,.T.); +#94398=EDGE_CURVE('',#46422,#46424,#27501,.T.); +#94402=ADVANCED_FACE('',(#94401),#94391,.T.); +#94414=ADVANCED_FACE('',(#94413),#94407,.T.); +#94420=EDGE_CURVE('',#46436,#46421,#27521,.T.); +#94423=EDGE_CURVE('',#46438,#46422,#27549,.T.); +#94425=EDGE_CURVE('',#46436,#46438,#27525,.T.); +#94429=ADVANCED_FACE('',(#94428),#94419,.T.); +#94436=EDGE_CURVE('',#46442,#46436,#27529,.T.); +#94438=EDGE_CURVE('',#46442,#46426,#27533,.T.); +#94443=ADVANCED_FACE('',(#94442),#94434,.T.); +#94449=EDGE_CURVE('',#46461,#46462,#27537,.T.); +#94451=EDGE_CURVE('',#49963,#46462,#27589,.T.); +#94453=EDGE_CURVE('',#49963,#49961,#27541,.T.); +#94455=EDGE_CURVE('',#49961,#46461,#27545,.T.); +#94460=EDGE_CURVE('',#46438,#46440,#27553,.T.); +#94462=EDGE_CURVE('',#46440,#46442,#27561,.T.); +#94467=ADVANCED_FACE('',(#94458,#94466),#94448,.T.); +#94475=EDGE_CURVE('',#46440,#46424,#27557,.T.); +#94480=ADVANCED_FACE('',(#94479),#94472,.T.); +#94492=ADVANCED_FACE('',(#94491),#94485,.T.); +#94499=EDGE_CURVE('',#46461,#46465,#27565,.T.); +#94502=EDGE_CURVE('',#46466,#46462,#27577,.T.); +#94506=ADVANCED_FACE('',(#94505),#94497,.T.); +#94514=EDGE_CURVE('',#49960,#49961,#27569,.T.); +#94519=ADVANCED_FACE('',(#94518),#94511,.T.); +#94527=EDGE_CURVE('',#49963,#49965,#27585,.T.); +#94532=ADVANCED_FACE('',(#94531),#94524,.T.); +#94539=EDGE_CURVE('',#49965,#46466,#27581,.T.); +#94545=ADVANCED_FACE('',(#94544),#94537,.T.); +#94557=ADVANCED_FACE('',(#94556),#94550,.T.); +#94564=EDGE_CURVE('',#46453,#46457,#27601,.T.); +#94567=EDGE_CURVE('',#46458,#46454,#27613,.T.); +#94571=ADVANCED_FACE('',(#94570),#94562,.T.); +#94583=ADVANCED_FACE('',(#94582),#94576,.T.); +#94595=ADVANCED_FACE('',(#94594),#94588,.T.); +#94602=EDGE_CURVE('',#46197,#46201,#27629,.T.); +#94605=EDGE_CURVE('',#46202,#46198,#27649,.T.); +#94609=ADVANCED_FACE('',(#94608),#94600,.T.); +#94618=EDGE_CURVE('',#49839,#46201,#27637,.T.); +#94622=ADVANCED_FACE('',(#94621),#94614,.T.); +#94636=ADVANCED_FACE('',(#94635),#94627,.T.); +#94648=ADVANCED_FACE('',(#94647),#94641,.T.); +#94654=EDGE_CURVE('',#46312,#46305,#27665,.T.); +#94661=ADVANCED_FACE('',(#94660),#94653,.T.); +#94673=ADVANCED_FACE('',(#94672),#94666,.T.); +#94682=EDGE_CURVE('',#57248,#56808,#27681,.T.); +#94686=ADVANCED_FACE('',(#94685),#94678,.T.); +#94695=EDGE_CURVE('',#57250,#56810,#27685,.T.); +#94699=ADVANCED_FACE('',(#94698),#94691,.T.); +#94708=EDGE_CURVE('',#57252,#56812,#27689,.T.); +#94712=ADVANCED_FACE('',(#94711),#94704,.T.); +#94721=EDGE_CURVE('',#57254,#56814,#27693,.T.); +#94725=ADVANCED_FACE('',(#94724),#94717,.T.); +#94734=EDGE_CURVE('',#57256,#56816,#27697,.T.); +#94738=ADVANCED_FACE('',(#94737),#94730,.T.); +#94747=EDGE_CURVE('',#57258,#56818,#27701,.T.); +#94751=ADVANCED_FACE('',(#94750),#94743,.T.); +#94760=EDGE_CURVE('',#57260,#56820,#27705,.T.); +#94764=ADVANCED_FACE('',(#94763),#94756,.T.); +#94773=EDGE_CURVE('',#57262,#56822,#27709,.T.); +#94777=ADVANCED_FACE('',(#94776),#94769,.T.); +#94786=EDGE_CURVE('',#57264,#56824,#27713,.T.); +#94790=ADVANCED_FACE('',(#94789),#94782,.T.); +#94802=ADVANCED_FACE('',(#94801),#94795,.T.); +#94809=EDGE_CURVE('',#57267,#56827,#27717,.T.); +#94812=EDGE_CURVE('',#57268,#56828,#27725,.T.); +#94816=ADVANCED_FACE('',(#94815),#94807,.T.); +#94823=EDGE_CURVE('',#57270,#56830,#27721,.T.); +#94829=ADVANCED_FACE('',(#94828),#94821,.T.); +#94841=ADVANCED_FACE('',(#94840),#94834,.T.); +#94848=EDGE_CURVE('',#57273,#56833,#27729,.T.); +#94851=EDGE_CURVE('',#57274,#56834,#27753,.T.); +#94855=ADVANCED_FACE('',(#94854),#94846,.T.); +#94862=EDGE_CURVE('',#57284,#56844,#27733,.T.); +#94868=ADVANCED_FACE('',(#94867),#94860,.T.); +#94875=EDGE_CURVE('',#57282,#56842,#27737,.T.); +#94881=ADVANCED_FACE('',(#94880),#94873,.T.); +#94888=EDGE_CURVE('',#57280,#56840,#27741,.T.); +#94894=ADVANCED_FACE('',(#94893),#94886,.T.); +#94901=EDGE_CURVE('',#57278,#56838,#27745,.T.); +#94907=ADVANCED_FACE('',(#94906),#94899,.T.); +#94914=EDGE_CURVE('',#57276,#56836,#27749,.T.); +#94920=ADVANCED_FACE('',(#94919),#94912,.T.); +#94932=ADVANCED_FACE('',(#94931),#94925,.T.); +#94939=EDGE_CURVE('',#57329,#56847,#27757,.T.); +#94942=EDGE_CURVE('',#57330,#56848,#27913,.T.); +#94946=ADVANCED_FACE('',(#94945),#94937,.T.); +#94953=EDGE_CURVE('',#57406,#56924,#27761,.T.); +#94959=ADVANCED_FACE('',(#94958),#94951,.T.); +#94966=EDGE_CURVE('',#57404,#56922,#27765,.T.); +#94972=ADVANCED_FACE('',(#94971),#94964,.T.); +#94979=EDGE_CURVE('',#57402,#56920,#27769,.T.); +#94985=ADVANCED_FACE('',(#94984),#94977,.T.); +#94992=EDGE_CURVE('',#57400,#56918,#27773,.T.); +#94998=ADVANCED_FACE('',(#94997),#94990,.T.); +#95005=EDGE_CURVE('',#57398,#56916,#27777,.T.); +#95011=ADVANCED_FACE('',(#95010),#95003,.T.); +#95018=EDGE_CURVE('',#57396,#56914,#27781,.T.); +#95024=ADVANCED_FACE('',(#95023),#95016,.T.); +#95031=EDGE_CURVE('',#57394,#56912,#27785,.T.); +#95037=ADVANCED_FACE('',(#95036),#95029,.T.); +#95044=EDGE_CURVE('',#57392,#56910,#27789,.T.); +#95050=ADVANCED_FACE('',(#95049),#95042,.T.); +#95057=EDGE_CURVE('',#57390,#56908,#27793,.T.); +#95063=ADVANCED_FACE('',(#95062),#95055,.T.); +#95070=EDGE_CURVE('',#57388,#56906,#27797,.T.); +#95076=ADVANCED_FACE('',(#95075),#95068,.T.); +#95083=EDGE_CURVE('',#57386,#56904,#27801,.T.); +#95089=ADVANCED_FACE('',(#95088),#95081,.T.); +#95096=EDGE_CURVE('',#57384,#56902,#27805,.T.); +#95102=ADVANCED_FACE('',(#95101),#95094,.T.); +#95109=EDGE_CURVE('',#57382,#56900,#27809,.T.); +#95115=ADVANCED_FACE('',(#95114),#95107,.T.); +#95122=EDGE_CURVE('',#57380,#56898,#27813,.T.); +#95128=ADVANCED_FACE('',(#95127),#95120,.T.); +#95135=EDGE_CURVE('',#57378,#56896,#27817,.T.); +#95141=ADVANCED_FACE('',(#95140),#95133,.T.); +#95148=EDGE_CURVE('',#57376,#56894,#27821,.T.); +#95154=ADVANCED_FACE('',(#95153),#95146,.T.); +#95161=EDGE_CURVE('',#57374,#56892,#27825,.T.); +#95167=ADVANCED_FACE('',(#95166),#95159,.T.); +#95174=EDGE_CURVE('',#57372,#56890,#27829,.T.); +#95180=ADVANCED_FACE('',(#95179),#95172,.T.); +#95187=EDGE_CURVE('',#57370,#56888,#27833,.T.); +#95193=ADVANCED_FACE('',(#95192),#95185,.T.); +#95200=EDGE_CURVE('',#57368,#56886,#27837,.T.); +#95206=ADVANCED_FACE('',(#95205),#95198,.T.); +#95213=EDGE_CURVE('',#57366,#56884,#27841,.T.); +#95219=ADVANCED_FACE('',(#95218),#95211,.T.); +#95226=EDGE_CURVE('',#57364,#56882,#27845,.T.); +#95232=ADVANCED_FACE('',(#95231),#95224,.T.); +#95239=EDGE_CURVE('',#57362,#56880,#27849,.T.); +#95245=ADVANCED_FACE('',(#95244),#95237,.T.); +#95252=EDGE_CURVE('',#57360,#56878,#27853,.T.); +#95258=ADVANCED_FACE('',(#95257),#95250,.T.); +#95265=EDGE_CURVE('',#57358,#56876,#27857,.T.); +#95271=ADVANCED_FACE('',(#95270),#95263,.T.); +#95278=EDGE_CURVE('',#57356,#56874,#27861,.T.); +#95284=ADVANCED_FACE('',(#95283),#95276,.T.); +#95291=EDGE_CURVE('',#57354,#56872,#27865,.T.); +#95297=ADVANCED_FACE('',(#95296),#95289,.T.); +#95304=EDGE_CURVE('',#57352,#56870,#27869,.T.); +#95310=ADVANCED_FACE('',(#95309),#95302,.T.); +#95317=EDGE_CURVE('',#57350,#56868,#27873,.T.); +#95323=ADVANCED_FACE('',(#95322),#95315,.T.); +#95330=EDGE_CURVE('',#57348,#56866,#27877,.T.); +#95336=ADVANCED_FACE('',(#95335),#95328,.T.); +#95343=EDGE_CURVE('',#57346,#56864,#27881,.T.); +#95349=ADVANCED_FACE('',(#95348),#95341,.T.); +#95356=EDGE_CURVE('',#57344,#56862,#27885,.T.); +#95362=ADVANCED_FACE('',(#95361),#95354,.T.); +#95369=EDGE_CURVE('',#57342,#56860,#27889,.T.); +#95375=ADVANCED_FACE('',(#95374),#95367,.T.); +#95382=EDGE_CURVE('',#57340,#56858,#27893,.T.); +#95388=ADVANCED_FACE('',(#95387),#95380,.T.); +#95395=EDGE_CURVE('',#57338,#56856,#27897,.T.); +#95401=ADVANCED_FACE('',(#95400),#95393,.T.); +#95408=EDGE_CURVE('',#57336,#56854,#27901,.T.); +#95414=ADVANCED_FACE('',(#95413),#95406,.T.); +#95421=EDGE_CURVE('',#57334,#56852,#27905,.T.); +#95427=ADVANCED_FACE('',(#95426),#95419,.T.); +#95434=EDGE_CURVE('',#57332,#56850,#27909,.T.); +#95440=ADVANCED_FACE('',(#95439),#95432,.T.); +#95452=ADVANCED_FACE('',(#95451),#95445,.T.); +#95459=EDGE_CURVE('',#57409,#56927,#27917,.T.); +#95462=EDGE_CURVE('',#57410,#56928,#28025,.T.); +#95466=ADVANCED_FACE('',(#95465),#95457,.T.); +#95473=EDGE_CURVE('',#57462,#56980,#27921,.T.); +#95479=ADVANCED_FACE('',(#95478),#95471,.T.); +#95486=EDGE_CURVE('',#57460,#56978,#27925,.T.); +#95492=ADVANCED_FACE('',(#95491),#95484,.T.); +#95499=EDGE_CURVE('',#57458,#56976,#27929,.T.); +#95505=ADVANCED_FACE('',(#95504),#95497,.T.); +#95512=EDGE_CURVE('',#57456,#56974,#27933,.T.); +#95518=ADVANCED_FACE('',(#95517),#95510,.T.); +#95525=EDGE_CURVE('',#57454,#56972,#27937,.T.); +#95531=ADVANCED_FACE('',(#95530),#95523,.T.); +#95538=EDGE_CURVE('',#57452,#56970,#27941,.T.); +#95544=ADVANCED_FACE('',(#95543),#95536,.T.); +#95551=EDGE_CURVE('',#57450,#56968,#27945,.T.); +#95557=ADVANCED_FACE('',(#95556),#95549,.T.); +#95564=EDGE_CURVE('',#57448,#56966,#27949,.T.); +#95570=ADVANCED_FACE('',(#95569),#95562,.T.); +#95577=EDGE_CURVE('',#57446,#56964,#27953,.T.); +#95583=ADVANCED_FACE('',(#95582),#95575,.T.); +#95590=EDGE_CURVE('',#57444,#56962,#27957,.T.); +#95596=ADVANCED_FACE('',(#95595),#95588,.T.); +#95603=EDGE_CURVE('',#57442,#56960,#27961,.T.); +#95609=ADVANCED_FACE('',(#95608),#95601,.T.); +#95616=EDGE_CURVE('',#57440,#56958,#27965,.T.); +#95622=ADVANCED_FACE('',(#95621),#95614,.T.); +#95629=EDGE_CURVE('',#57438,#56956,#27969,.T.); +#95635=ADVANCED_FACE('',(#95634),#95627,.T.); +#95642=EDGE_CURVE('',#57436,#56954,#27973,.T.); +#95648=ADVANCED_FACE('',(#95647),#95640,.T.); +#95655=EDGE_CURVE('',#57434,#56952,#27977,.T.); +#95661=ADVANCED_FACE('',(#95660),#95653,.T.); +#95668=EDGE_CURVE('',#57432,#56950,#27981,.T.); +#95674=ADVANCED_FACE('',(#95673),#95666,.T.); +#95681=EDGE_CURVE('',#57430,#56948,#27985,.T.); +#95687=ADVANCED_FACE('',(#95686),#95679,.T.); +#95694=EDGE_CURVE('',#57428,#56946,#27989,.T.); +#95700=ADVANCED_FACE('',(#95699),#95692,.T.); +#95707=EDGE_CURVE('',#57426,#56944,#27993,.T.); +#95713=ADVANCED_FACE('',(#95712),#95705,.T.); +#95720=EDGE_CURVE('',#57424,#56942,#27997,.T.); +#95726=ADVANCED_FACE('',(#95725),#95718,.T.); +#95733=EDGE_CURVE('',#57422,#56940,#28001,.T.); +#95739=ADVANCED_FACE('',(#95738),#95731,.T.); +#95746=EDGE_CURVE('',#57420,#56938,#28005,.T.); +#95752=ADVANCED_FACE('',(#95751),#95744,.T.); +#95759=EDGE_CURVE('',#57418,#56936,#28009,.T.); +#95765=ADVANCED_FACE('',(#95764),#95757,.T.); +#95772=EDGE_CURVE('',#57416,#56934,#28013,.T.); +#95778=ADVANCED_FACE('',(#95777),#95770,.T.); +#95785=EDGE_CURVE('',#57414,#56932,#28017,.T.); +#95791=ADVANCED_FACE('',(#95790),#95783,.T.); +#95798=EDGE_CURVE('',#57412,#56930,#28021,.T.); +#95804=ADVANCED_FACE('',(#95803),#95796,.T.); +#95816=ADVANCED_FACE('',(#95815),#95809,.T.); +#95823=EDGE_CURVE('',#57287,#56983,#28029,.T.); +#95826=EDGE_CURVE('',#57288,#56984,#28053,.T.); +#95830=ADVANCED_FACE('',(#95829),#95821,.T.); +#95837=EDGE_CURVE('',#57298,#56994,#28033,.T.); +#95843=ADVANCED_FACE('',(#95842),#95835,.T.); +#95850=EDGE_CURVE('',#57296,#56992,#28037,.T.); +#95856=ADVANCED_FACE('',(#95855),#95848,.T.); +#95863=EDGE_CURVE('',#57294,#56990,#28041,.T.); +#95869=ADVANCED_FACE('',(#95868),#95861,.T.); +#95876=EDGE_CURVE('',#57292,#56988,#28045,.T.); +#95882=ADVANCED_FACE('',(#95881),#95874,.T.); +#95889=EDGE_CURVE('',#57290,#56986,#28049,.T.); +#95895=ADVANCED_FACE('',(#95894),#95887,.T.); +#95907=ADVANCED_FACE('',(#95906),#95900,.T.); +#95914=EDGE_CURVE('',#57301,#56997,#28057,.T.); +#95917=EDGE_CURVE('',#57302,#56998,#28097,.T.); +#95921=ADVANCED_FACE('',(#95920),#95912,.T.); +#95928=EDGE_CURVE('',#57320,#57016,#28061,.T.); +#95934=ADVANCED_FACE('',(#95933),#95926,.T.); +#95941=EDGE_CURVE('',#57318,#57014,#28065,.T.); +#95947=ADVANCED_FACE('',(#95946),#95939,.T.); +#95954=EDGE_CURVE('',#57316,#57012,#28069,.T.); +#95960=ADVANCED_FACE('',(#95959),#95952,.T.); +#95967=EDGE_CURVE('',#57314,#57010,#28073,.T.); +#95973=ADVANCED_FACE('',(#95972),#95965,.T.); +#95980=EDGE_CURVE('',#57312,#57008,#28077,.T.); +#95986=ADVANCED_FACE('',(#95985),#95978,.T.); +#95993=EDGE_CURVE('',#57310,#57006,#28081,.T.); +#95999=ADVANCED_FACE('',(#95998),#95991,.T.); +#96006=EDGE_CURVE('',#57308,#57004,#28085,.T.); +#96012=ADVANCED_FACE('',(#96011),#96004,.T.); +#96019=EDGE_CURVE('',#57306,#57002,#28089,.T.); +#96025=ADVANCED_FACE('',(#96024),#96017,.T.); +#96032=EDGE_CURVE('',#57304,#57000,#28093,.T.); +#96038=ADVANCED_FACE('',(#96037),#96030,.T.); +#96050=ADVANCED_FACE('',(#96049),#96043,.T.); +#96057=EDGE_CURVE('',#57323,#57019,#28101,.T.); +#96060=EDGE_CURVE('',#57324,#57020,#28109,.T.); +#96064=ADVANCED_FACE('',(#96063),#96055,.T.); +#96071=EDGE_CURVE('',#57326,#57022,#28105,.T.); +#96077=ADVANCED_FACE('',(#96076),#96069,.T.); +#96089=ADVANCED_FACE('',(#96088),#96082,.T.); +#96096=EDGE_CURVE('',#57533,#57093,#28113,.T.); +#96099=EDGE_CURVE('',#57534,#57094,#28173,.T.); +#96103=ADVANCED_FACE('',(#96102),#96094,.T.); +#96110=EDGE_CURVE('',#57562,#57122,#28117,.T.); +#96116=ADVANCED_FACE('',(#96115),#96108,.T.); +#96123=EDGE_CURVE('',#57560,#57120,#28121,.T.); +#96129=ADVANCED_FACE('',(#96128),#96121,.T.); +#96136=EDGE_CURVE('',#57558,#57118,#28125,.T.); +#96142=ADVANCED_FACE('',(#96141),#96134,.T.); +#96149=EDGE_CURVE('',#57556,#57116,#28129,.T.); +#96155=ADVANCED_FACE('',(#96154),#96147,.T.); +#96162=EDGE_CURVE('',#57554,#57114,#28133,.T.); +#96168=ADVANCED_FACE('',(#96167),#96160,.T.); +#96175=EDGE_CURVE('',#57552,#57112,#28137,.T.); +#96181=ADVANCED_FACE('',(#96180),#96173,.T.); +#96188=EDGE_CURVE('',#57550,#57110,#28141,.T.); +#96194=ADVANCED_FACE('',(#96193),#96186,.T.); +#96201=EDGE_CURVE('',#57548,#57108,#28145,.T.); +#96207=ADVANCED_FACE('',(#96206),#96199,.T.); +#96214=EDGE_CURVE('',#57546,#57106,#28149,.T.); +#96220=ADVANCED_FACE('',(#96219),#96212,.T.); +#96227=EDGE_CURVE('',#57544,#57104,#28153,.T.); +#96233=ADVANCED_FACE('',(#96232),#96225,.T.); +#96240=EDGE_CURVE('',#57542,#57102,#28157,.T.); +#96246=ADVANCED_FACE('',(#96245),#96238,.T.); +#96253=EDGE_CURVE('',#57540,#57100,#28161,.T.); +#96259=ADVANCED_FACE('',(#96258),#96251,.T.); +#96266=EDGE_CURVE('',#57538,#57098,#28165,.T.); +#96272=ADVANCED_FACE('',(#96271),#96264,.T.); +#96279=EDGE_CURVE('',#57536,#57096,#28169,.T.); +#96285=ADVANCED_FACE('',(#96284),#96277,.T.); +#96297=ADVANCED_FACE('',(#96296),#96290,.T.); +#96304=EDGE_CURVE('',#57565,#57125,#28177,.T.); +#96307=EDGE_CURVE('',#57566,#57126,#28237,.T.); +#96311=ADVANCED_FACE('',(#96310),#96302,.T.); +#96318=EDGE_CURVE('',#57594,#57154,#28181,.T.); +#96324=ADVANCED_FACE('',(#96323),#96316,.T.); +#96331=EDGE_CURVE('',#57592,#57152,#28185,.T.); +#96337=ADVANCED_FACE('',(#96336),#96329,.T.); +#96344=EDGE_CURVE('',#57590,#57150,#28189,.T.); +#96350=ADVANCED_FACE('',(#96349),#96342,.T.); +#96357=EDGE_CURVE('',#57588,#57148,#28193,.T.); +#96363=ADVANCED_FACE('',(#96362),#96355,.T.); +#96370=EDGE_CURVE('',#57586,#57146,#28197,.T.); +#96376=ADVANCED_FACE('',(#96375),#96368,.T.); +#96383=EDGE_CURVE('',#57584,#57144,#28201,.T.); +#96389=ADVANCED_FACE('',(#96388),#96381,.T.); +#96396=EDGE_CURVE('',#57582,#57142,#28205,.T.); +#96402=ADVANCED_FACE('',(#96401),#96394,.T.); +#96409=EDGE_CURVE('',#57580,#57140,#28209,.T.); +#96415=ADVANCED_FACE('',(#96414),#96407,.T.); +#96422=EDGE_CURVE('',#57578,#57138,#28213,.T.); +#96428=ADVANCED_FACE('',(#96427),#96420,.T.); +#96435=EDGE_CURVE('',#57576,#57136,#28217,.T.); +#96441=ADVANCED_FACE('',(#96440),#96433,.T.); +#96448=EDGE_CURVE('',#57574,#57134,#28221,.T.); +#96454=ADVANCED_FACE('',(#96453),#96446,.T.); +#96461=EDGE_CURVE('',#57572,#57132,#28225,.T.); +#96467=ADVANCED_FACE('',(#96466),#96459,.T.); +#96474=EDGE_CURVE('',#57570,#57130,#28229,.T.); +#96480=ADVANCED_FACE('',(#96479),#96472,.T.); +#96487=EDGE_CURVE('',#57568,#57128,#28233,.T.); +#96493=ADVANCED_FACE('',(#96492),#96485,.T.); +#96505=ADVANCED_FACE('',(#96504),#96498,.T.); +#96512=EDGE_CURVE('',#57597,#57157,#28241,.T.); +#96515=EDGE_CURVE('',#57598,#57158,#28341,.T.); +#96519=ADVANCED_FACE('',(#96518),#96510,.T.); +#96526=EDGE_CURVE('',#57646,#57206,#28245,.T.); +#96532=ADVANCED_FACE('',(#96531),#96524,.T.); +#96539=EDGE_CURVE('',#57644,#57204,#28249,.T.); +#96545=ADVANCED_FACE('',(#96544),#96537,.T.); +#96552=EDGE_CURVE('',#57642,#57202,#28253,.T.); +#96558=ADVANCED_FACE('',(#96557),#96550,.T.); +#96565=EDGE_CURVE('',#57640,#57200,#28257,.T.); +#96571=ADVANCED_FACE('',(#96570),#96563,.T.); +#96578=EDGE_CURVE('',#57638,#57198,#28261,.T.); +#96584=ADVANCED_FACE('',(#96583),#96576,.T.); +#96591=EDGE_CURVE('',#57636,#57196,#28265,.T.); +#96597=ADVANCED_FACE('',(#96596),#96589,.T.); +#96604=EDGE_CURVE('',#57634,#57194,#28269,.T.); +#96610=ADVANCED_FACE('',(#96609),#96602,.T.); +#96617=EDGE_CURVE('',#57632,#57192,#28273,.T.); +#96623=ADVANCED_FACE('',(#96622),#96615,.T.); +#96630=EDGE_CURVE('',#57630,#57190,#28277,.T.); +#96636=ADVANCED_FACE('',(#96635),#96628,.T.); +#96643=EDGE_CURVE('',#57628,#57188,#28281,.T.); +#96649=ADVANCED_FACE('',(#96648),#96641,.T.); +#96656=EDGE_CURVE('',#57626,#57186,#28285,.T.); +#96662=ADVANCED_FACE('',(#96661),#96654,.T.); +#96669=EDGE_CURVE('',#57624,#57184,#28289,.T.); +#96675=ADVANCED_FACE('',(#96674),#96667,.T.); +#96682=EDGE_CURVE('',#57622,#57182,#28293,.T.); +#96688=ADVANCED_FACE('',(#96687),#96680,.T.); +#96695=EDGE_CURVE('',#57620,#57180,#28297,.T.); +#96701=ADVANCED_FACE('',(#96700),#96693,.T.); +#96708=EDGE_CURVE('',#57618,#57178,#28301,.T.); +#96714=ADVANCED_FACE('',(#96713),#96706,.T.); +#96721=EDGE_CURVE('',#57616,#57176,#28305,.T.); +#96727=ADVANCED_FACE('',(#96726),#96719,.T.); +#96734=EDGE_CURVE('',#57614,#57174,#28309,.T.); +#96740=ADVANCED_FACE('',(#96739),#96732,.T.); +#96747=EDGE_CURVE('',#57612,#57172,#28313,.T.); +#96753=ADVANCED_FACE('',(#96752),#96745,.T.); +#96760=EDGE_CURVE('',#57610,#57170,#28317,.T.); +#96766=ADVANCED_FACE('',(#96765),#96758,.T.); +#96773=EDGE_CURVE('',#57608,#57168,#28321,.T.); +#96779=ADVANCED_FACE('',(#96778),#96771,.T.); +#96786=EDGE_CURVE('',#57606,#57166,#28325,.T.); +#96792=ADVANCED_FACE('',(#96791),#96784,.T.); +#96799=EDGE_CURVE('',#57604,#57164,#28329,.T.); +#96805=ADVANCED_FACE('',(#96804),#96797,.T.); +#96812=EDGE_CURVE('',#57602,#57162,#28333,.T.); +#96818=ADVANCED_FACE('',(#96817),#96810,.T.); +#96825=EDGE_CURVE('',#57600,#57160,#28337,.T.); +#96831=ADVANCED_FACE('',(#96830),#96823,.T.); +#96843=ADVANCED_FACE('',(#96842),#96836,.T.); +#96850=EDGE_CURVE('',#57649,#57209,#28345,.T.); +#96853=EDGE_CURVE('',#57650,#57210,#28413,.T.); +#96857=ADVANCED_FACE('',(#96856),#96848,.T.); +#96864=EDGE_CURVE('',#57682,#57242,#28349,.T.); +#96870=ADVANCED_FACE('',(#96869),#96862,.T.); +#96877=EDGE_CURVE('',#57680,#57240,#28353,.T.); +#96883=ADVANCED_FACE('',(#96882),#96875,.T.); +#96890=EDGE_CURVE('',#57678,#57238,#28357,.T.); +#96896=ADVANCED_FACE('',(#96895),#96888,.T.); +#96903=EDGE_CURVE('',#57676,#57236,#28361,.T.); +#96909=ADVANCED_FACE('',(#96908),#96901,.T.); +#96916=EDGE_CURVE('',#57674,#57234,#28365,.T.); +#96922=ADVANCED_FACE('',(#96921),#96914,.T.); +#96929=EDGE_CURVE('',#57672,#57232,#28369,.T.); +#96935=ADVANCED_FACE('',(#96934),#96927,.T.); +#96942=EDGE_CURVE('',#57670,#57230,#28373,.T.); +#96948=ADVANCED_FACE('',(#96947),#96940,.T.); +#96955=EDGE_CURVE('',#57668,#57228,#28377,.T.); +#96961=ADVANCED_FACE('',(#96960),#96953,.T.); +#96968=EDGE_CURVE('',#57666,#57226,#28381,.T.); +#96974=ADVANCED_FACE('',(#96973),#96966,.T.); +#96981=EDGE_CURVE('',#57664,#57224,#28385,.T.); +#96987=ADVANCED_FACE('',(#96986),#96979,.T.); +#96994=EDGE_CURVE('',#57662,#57222,#28389,.T.); +#97000=ADVANCED_FACE('',(#96999),#96992,.T.); +#97007=EDGE_CURVE('',#57660,#57220,#28393,.T.); +#97013=ADVANCED_FACE('',(#97012),#97005,.T.); +#97020=EDGE_CURVE('',#57658,#57218,#28397,.T.); +#97026=ADVANCED_FACE('',(#97025),#97018,.T.); +#97033=EDGE_CURVE('',#57656,#57216,#28401,.T.); +#97039=ADVANCED_FACE('',(#97038),#97031,.T.); +#97046=EDGE_CURVE('',#57654,#57214,#28405,.T.); +#97052=ADVANCED_FACE('',(#97051),#97044,.T.); +#97059=EDGE_CURVE('',#57652,#57212,#28409,.T.); +#97065=ADVANCED_FACE('',(#97064),#97057,.T.); +#97077=ADVANCED_FACE('',(#97076),#97070,.T.); +#97089=ADVANCED_FACE('',(#97088),#97082,.T.); +#97101=ADVANCED_FACE('',(#97100),#97094,.T.); +#97113=ADVANCED_FACE('',(#97112),#97106,.T.); +#97125=ADVANCED_FACE('',(#97124),#97118,.T.); +#97137=ADVANCED_FACE('',(#97136),#97130,.T.); +#97149=ADVANCED_FACE('',(#97148),#97142,.T.); +#97161=ADVANCED_FACE('',(#97160),#97154,.T.); +#97173=ADVANCED_FACE('',(#97172),#97166,.T.); +#97185=ADVANCED_FACE('',(#97184),#97178,.T.); +#97197=ADVANCED_FACE('',(#97196),#97190,.T.); +#97209=ADVANCED_FACE('',(#97208),#97202,.T.); +#97221=ADVANCED_FACE('',(#97220),#97214,.T.); +#97233=ADVANCED_FACE('',(#97232),#97226,.T.); +#97245=ADVANCED_FACE('',(#97244),#97238,.T.); +#97257=ADVANCED_FACE('',(#97256),#97250,.T.); +#97263=EDGE_CURVE('',#50188,#50189,#28481,.T.); +#97266=EDGE_CURVE('',#50191,#50193,#28497,.T.); +#97271=ADVANCED_FACE('',(#97270),#97262,.T.); +#97283=ADVANCED_FACE('',(#97282),#97276,.T.); +#97290=EDGE_CURVE('',#50193,#46242,#28493,.T.); +#97296=ADVANCED_FACE('',(#97295),#97288,.T.); +#97308=ADVANCED_FACE('',(#97307),#97301,.T.); +#97320=ADVANCED_FACE('',(#97319),#97313,.T.); +#97332=ADVANCED_FACE('',(#97331),#97325,.T.); +#97344=ADVANCED_FACE('',(#97343),#97337,.T.); +#97356=ADVANCED_FACE('',(#97355),#97349,.T.); +#97368=ADVANCED_FACE('',(#97367),#97361,.T.); +#97380=ADVANCED_FACE('',(#97379),#97373,.T.); +#97392=ADVANCED_FACE('',(#97391),#97385,.T.); +#97404=ADVANCED_FACE('',(#97403),#97397,.T.); +#97416=ADVANCED_FACE('',(#97415),#97409,.T.); +#97428=ADVANCED_FACE('',(#97427),#97421,.T.); +#97440=ADVANCED_FACE('',(#97439),#97433,.T.); +#97452=ADVANCED_FACE('',(#97451),#97445,.T.); +#97459=EDGE_CURVE('',#46030,#46013,#28583,.T.); +#97462=EDGE_CURVE('',#45956,#45957,#28565,.T.); +#97468=ADVANCED_FACE('',(#97467),#97457,.F.); +#97480=ADVANCED_FACE('',(#97479),#97473,.F.); +#97492=ADVANCED_FACE('',(#97491),#97485,.F.); +#97500=EDGE_CURVE('',#45952,#45953,#28598,.T.); +#97505=ADVANCED_FACE('',(#97504),#97497,.F.); +#97516=EDGE_CURVE('',#45974,#45966,#28610,.T.); +#97521=ADVANCED_FACE('',(#97520),#97510,.F.); +#97532=ADVANCED_FACE('',(#97531),#97526,.F.); +#97541=EDGE_CURVE('',#45944,#45959,#28627,.T.); +#97547=ADVANCED_FACE('',(#97546),#97537,.F.); +#97559=ADVANCED_FACE('',(#97558),#97552,.F.); +#97567=EDGE_CURVE('',#45949,#45961,#28647,.T.); +#97572=ADVANCED_FACE('',(#97571),#97564,.F.); +#97583=EDGE_CURVE('',#45948,#46024,#28659,.T.); +#97587=ADVANCED_FACE('',(#97586),#97577,.F.); +#97599=ADVANCED_FACE('',(#97598),#97592,.F.); +#97605=EDGE_CURVE('',#48392,#48385,#28673,.T.); +#97612=ADVANCED_FACE('',(#97611),#97604,.T.); +#97624=ADVANCED_FACE('',(#97623),#97617,.T.); +#97630=EDGE_CURVE('',#44475,#44481,#28685,.T.); +#97632=EDGE_CURVE('',#44481,#44451,#28911,.T.); +#97635=EDGE_CURVE('',#44450,#44475,#28693,.T.); +#97639=ADVANCED_FACE('',(#97638),#97629,.T.); +#97646=EDGE_CURVE('',#44474,#44475,#28689,.T.); +#97648=EDGE_CURVE('',#44474,#44483,#28899,.T.); +#97650=EDGE_CURVE('',#44481,#44483,#28907,.T.); +#97654=ADVANCED_FACE('',(#97653),#97644,.F.); +#97660=EDGE_CURVE('',#44478,#44491,#28733,.T.); +#97662=EDGE_CURVE('',#44489,#44491,#28741,.T.); +#97664=EDGE_CURVE('',#44479,#44489,#28749,.T.); +#97666=EDGE_CURVE('',#44478,#44479,#28705,.T.); +#97670=ADVANCED_FACE('',(#97669),#97659,.F.); +#97679=EDGE_CURVE('',#44462,#44478,#28701,.T.); +#97682=EDGE_CURVE('',#44458,#44479,#28709,.T.); +#97685=EDGE_CURVE('',#44403,#44172,#28753,.T.); +#97690=EDGE_CURVE('',#44454,#44474,#28729,.T.); +#97694=ADVANCED_FACE('',(#97693),#97675,.F.); +#97703=EDGE_CURVE('',#44491,#44463,#28737,.T.); +#97707=ADVANCED_FACE('',(#97706),#97699,.T.); +#97716=EDGE_CURVE('',#44489,#44459,#28745,.T.); +#97720=ADVANCED_FACE('',(#97719),#97712,.T.); +#97732=ADVANCED_FACE('',(#97731),#97725,.T.); +#97741=EDGE_CURVE('',#45831,#48774,#28791,.T.); +#97746=ADVANCED_FACE('',(#97745),#97737,.F.); +#97752=EDGE_CURVE('',#49369,#49361,#28771,.T.); +#97754=EDGE_CURVE('',#49367,#49369,#28851,.T.); +#97756=EDGE_CURVE('',#48831,#49367,#28775,.T.); +#97759=EDGE_CURVE('',#48772,#49117,#28779,.T.); +#97761=EDGE_CURVE('',#49116,#49117,#28864,.T.); +#97763=EDGE_CURVE('',#48796,#49116,#28783,.T.); +#97765=EDGE_CURVE('',#45827,#48796,#28891,.T.); +#97770=EDGE_CURVE('',#48836,#49357,#28795,.T.); +#97772=EDGE_CURVE('',#49361,#49357,#28823,.T.); +#97776=ADVANCED_FACE('',(#97775),#97751,.F.); +#97782=EDGE_CURVE('',#49359,#49361,#28799,.T.); +#97784=EDGE_CURVE('',#49364,#49359,#28803,.T.); +#97786=EDGE_CURVE('',#49369,#49364,#28807,.T.); +#97791=ADVANCED_FACE('',(#97790),#97781,.T.); +#97803=ADVANCED_FACE('',(#97802),#97796,.T.); +#97809=EDGE_CURVE('',#49356,#49357,#28827,.T.); +#97811=EDGE_CURVE('',#49356,#49359,#28835,.T.); +#97817=ADVANCED_FACE('',(#97816),#97808,.T.); +#97826=EDGE_CURVE('',#48834,#49356,#28831,.T.); +#97830=ADVANCED_FACE('',(#97829),#97822,.T.); +#97839=EDGE_CURVE('',#48832,#49365,#28839,.T.); +#97841=EDGE_CURVE('',#49364,#49365,#28843,.T.); +#97846=ADVANCED_FACE('',(#97845),#97835,.T.); +#97852=EDGE_CURVE('',#49367,#49365,#28847,.T.); +#97859=ADVANCED_FACE('',(#97858),#97851,.T.); +#97871=ADVANCED_FACE('',(#97870),#97864,.T.); +#97877=EDGE_CURVE('',#49112,#49117,#28855,.T.); +#97881=EDGE_CURVE('',#48771,#49112,#28873,.T.); +#97885=ADVANCED_FACE('',(#97884),#97876,.T.); +#97891=EDGE_CURVE('',#49112,#49113,#28860,.T.); +#97893=EDGE_CURVE('',#49113,#49116,#28895,.T.); +#97899=ADVANCED_FACE('',(#97898),#97890,.T.); +#97905=EDGE_CURVE('',#48796,#49113,#28869,.T.); +#97916=ADVANCED_FACE('',(#97915),#97904,.F.); +#97927=ADVANCED_FACE('',(#97926),#97921,.T.); +#97936=EDGE_CURVE('',#44483,#44455,#28903,.T.); +#97940=ADVANCED_FACE('',(#97939),#97932,.T.); +#97952=ADVANCED_FACE('',(#97951),#97945,.T.); +#97958=EDGE_CURVE('',#49404,#49405,#28920,.T.); +#97960=EDGE_CURVE('',#49407,#49405,#28995,.T.); +#97962=EDGE_CURVE('',#49407,#49409,#28916,.T.); +#97967=ADVANCED_FACE('',(#97966),#97957,.T.); +#97975=EDGE_CURVE('',#49412,#49415,#28924,.T.); +#97977=EDGE_CURVE('',#49412,#46044,#28940,.T.); +#97980=EDGE_CURVE('',#49405,#46042,#28928,.T.); +#97984=ADVANCED_FACE('',(#97983),#97972,.T.); +#97990=EDGE_CURVE('',#49412,#49413,#28936,.T.); +#97994=EDGE_CURVE('',#49417,#49413,#28932,.T.); +#97998=ADVANCED_FACE('',(#97997),#97989,.T.); +#98005=EDGE_CURVE('',#46046,#49413,#28952,.T.); +#98011=ADVANCED_FACE('',(#98010),#98003,.T.); +#98024=EDGE_CURVE('',#45855,#46050,#28991,.T.); +#98030=ADVANCED_FACE('',(#98029),#98016,.F.); +#98038=EDGE_CURVE('',#46041,#49407,#28973,.T.); +#98047=ADVANCED_FACE('',(#98046),#98035,.F.); +#98059=ADVANCED_FACE('',(#98058),#98052,.T.); +#98066=EDGE_CURVE('',#44485,#44505,#29007,.T.); +#98072=ADVANCED_FACE('',(#98071),#98064,.T.); +#98084=ADVANCED_FACE('',(#98083),#98077,.T.); +#98091=EDGE_CURVE('',#44493,#44509,#29019,.T.); +#98097=ADVANCED_FACE('',(#98096),#98089,.T.); +#98104=EDGE_CURVE('',#44495,#44511,#29027,.T.); +#98110=ADVANCED_FACE('',(#98109),#98102,.T.); +#98122=ADVANCED_FACE('',(#98121),#98115,.T.); +#98128=EDGE_CURVE('',#45318,#45319,#29031,.T.); +#98131=EDGE_CURVE('',#45329,#45331,#29035,.T.); +#98133=EDGE_CURVE('',#45323,#45329,#29039,.T.); +#98135=EDGE_CURVE('',#45321,#45323,#29044,.T.); +#98137=EDGE_CURVE('',#45319,#45321,#29048,.T.); +#98141=ADVANCED_FACE('',(#98140),#98127,.F.); +#98147=EDGE_CURVE('',#45334,#45335,#29052,.T.); +#98150=EDGE_CURVE('',#45345,#45347,#29056,.T.); +#98152=EDGE_CURVE('',#45339,#45345,#29060,.T.); +#98154=EDGE_CURVE('',#45337,#45339,#29065,.T.); +#98156=EDGE_CURVE('',#45335,#45337,#29069,.T.); +#98160=ADVANCED_FACE('',(#98159),#98146,.F.); +#98166=EDGE_CURVE('',#45350,#45351,#29073,.T.); +#98169=EDGE_CURVE('',#45361,#45363,#29077,.T.); +#98171=EDGE_CURVE('',#45355,#45361,#29081,.T.); +#98173=EDGE_CURVE('',#45353,#45355,#29086,.T.); +#98175=EDGE_CURVE('',#45351,#45353,#29090,.T.); +#98179=ADVANCED_FACE('',(#98178),#98165,.F.); +#98185=EDGE_CURVE('',#45366,#45367,#29094,.T.); +#98188=EDGE_CURVE('',#45371,#45379,#29098,.T.); +#98190=EDGE_CURVE('',#45370,#45371,#29102,.T.); +#98192=EDGE_CURVE('',#45373,#45370,#29107,.T.); +#98194=EDGE_CURVE('',#45367,#45373,#29111,.T.); +#98198=ADVANCED_FACE('',(#98197),#98184,.F.); +#98204=EDGE_CURVE('',#48890,#48891,#29116,.T.); +#98206=EDGE_CURVE('',#45543,#48890,#30372,.T.); +#98210=EDGE_CURVE('',#48891,#45319,#29124,.T.); +#98214=ADVANCED_FACE('',(#98213),#98203,.T.); +#98221=EDGE_CURVE('',#48891,#48892,#29129,.T.); +#98223=EDGE_CURVE('',#48894,#48892,#30405,.T.); +#98225=EDGE_CURVE('',#48894,#48895,#30394,.T.); +#98227=EDGE_CURVE('',#48895,#48897,#30377,.T.); +#98229=EDGE_CURVE('',#48897,#48890,#30364,.T.); +#98233=ADVANCED_FACE('',(#98232),#98219,.T.); +#98242=EDGE_CURVE('',#45321,#45326,#29133,.T.); +#98244=EDGE_CURVE('',#48892,#45326,#30409,.T.); +#98248=ADVANCED_FACE('',(#98247),#98238,.T.); +#98255=EDGE_CURVE('',#45323,#45327,#29142,.T.); +#98257=EDGE_CURVE('',#45326,#45327,#30422,.T.); +#98262=ADVANCED_FACE('',(#98261),#98253,.T.); +#98268=EDGE_CURVE('',#48883,#48884,#29138,.T.); +#98270=EDGE_CURVE('',#45327,#48883,#32043,.T.); +#98274=EDGE_CURVE('',#48884,#45329,#29146,.T.); +#98278=ADVANCED_FACE('',(#98277),#98267,.T.); +#98285=EDGE_CURVE('',#48884,#48885,#29151,.T.); +#98287=EDGE_CURVE('',#48887,#48885,#29159,.T.); +#98289=EDGE_CURVE('',#48887,#48888,#31930,.T.); +#98291=EDGE_CURVE('',#48888,#48889,#31914,.T.); +#98293=EDGE_CURVE('',#48889,#48883,#32035,.T.); +#98297=ADVANCED_FACE('',(#98296),#98283,.T.); +#98307=EDGE_CURVE('',#48885,#45541,#29163,.T.); +#98311=ADVANCED_FACE('',(#98310),#98302,.T.); +#98320=EDGE_CURVE('',#49120,#45899,#29184,.T.); +#98322=EDGE_CURVE('',#49120,#49002,#29167,.T.); +#98324=EDGE_CURVE('',#49002,#48887,#29171,.T.); +#98328=ADVANCED_FACE('',(#98327),#98316,.T.); +#98335=EDGE_CURVE('',#49040,#49037,#29175,.T.); +#98338=EDGE_CURVE('',#49041,#49120,#29180,.T.); +#98343=ADVANCED_FACE('',(#98342),#98333,.T.); +#98349=EDGE_CURVE('',#49043,#49040,#29202,.T.); +#98353=EDGE_CURVE('',#49043,#49045,#29188,.T.); +#98357=ADVANCED_FACE('',(#98356),#98348,.T.); +#98367=EDGE_CURVE('',#49043,#44358,#29210,.T.); +#98371=ADVANCED_FACE('',(#98370),#98362,.T.); +#98377=EDGE_CURVE('',#49047,#49043,#29206,.T.); +#98379=EDGE_CURVE('',#49047,#44359,#29227,.T.); +#98385=ADVANCED_FACE('',(#98384),#98376,.T.); +#98394=EDGE_CURVE('',#49047,#49049,#29214,.T.); +#98398=ADVANCED_FACE('',(#98397),#98390,.T.); +#98404=EDGE_CURVE('',#49051,#49047,#29223,.T.); +#98408=EDGE_CURVE('',#49051,#49053,#29218,.T.); +#98412=ADVANCED_FACE('',(#98411),#98403,.T.); +#98419=EDGE_CURVE('',#49051,#44361,#29240,.T.); +#98425=ADVANCED_FACE('',(#98424),#98417,.T.); +#98431=EDGE_CURVE('',#49055,#49051,#29231,.T.); +#98433=EDGE_CURVE('',#49055,#45221,#29236,.T.); +#98439=ADVANCED_FACE('',(#98438),#98430,.T.); +#98448=EDGE_CURVE('',#45223,#49059,#29254,.T.); +#98452=ADVANCED_FACE('',(#98451),#98444,.T.); +#98458=EDGE_CURVE('',#49064,#49065,#29258,.T.); +#98460=EDGE_CURVE('',#49064,#45225,#30227,.T.); +#98466=ADVANCED_FACE('',(#98465),#98457,.T.); +#98475=EDGE_CURVE('',#45893,#49119,#29267,.T.); +#98477=EDGE_CURVE('',#49119,#49055,#29272,.T.); +#98481=ADVANCED_FACE('',(#98480),#98471,.T.); +#98487=EDGE_CURVE('',#48882,#48875,#29276,.T.); +#98489=EDGE_CURVE('',#48882,#48797,#29280,.T.); +#98491=EDGE_CURVE('',#49119,#48797,#30138,.T.); +#98495=EDGE_CURVE('',#45569,#48875,#29284,.T.); +#98499=ADVANCED_FACE('',(#98498),#98486,.T.); +#98505=EDGE_CURVE('',#48875,#48876,#29289,.T.); +#98507=EDGE_CURVE('',#48876,#48877,#30092,.T.); +#98509=EDGE_CURVE('',#48879,#48877,#30075,.T.); +#98511=EDGE_CURVE('',#48879,#48880,#30064,.T.); +#98513=EDGE_CURVE('',#48880,#48882,#32055,.T.); +#98518=ADVANCED_FACE('',(#98517),#98504,.T.); +#98527=EDGE_CURVE('',#45430,#45431,#29364,.T.); +#98529=EDGE_CURVE('',#48876,#45431,#29297,.T.); +#98533=ADVANCED_FACE('',(#98532),#98523,.T.); +#98539=EDGE_CURVE('',#45382,#45383,#29301,.T.); +#98542=EDGE_CURVE('',#45387,#45395,#29305,.T.); +#98544=EDGE_CURVE('',#45386,#45387,#29309,.T.); +#98546=EDGE_CURVE('',#45389,#45386,#29314,.T.); +#98548=EDGE_CURVE('',#45383,#45389,#29318,.T.); +#98552=ADVANCED_FACE('',(#98551),#98538,.F.); +#98558=EDGE_CURVE('',#45398,#45399,#29322,.T.); +#98560=EDGE_CURVE('',#45401,#45398,#29326,.T.); +#98562=EDGE_CURVE('',#45403,#45401,#29331,.T.); +#98564=EDGE_CURVE('',#45409,#45403,#29335,.T.); +#98566=EDGE_CURVE('',#45411,#45409,#29339,.T.); +#98571=ADVANCED_FACE('',(#98570),#98557,.F.); +#98579=EDGE_CURVE('',#45425,#45427,#29347,.T.); +#98582=EDGE_CURVE('',#45417,#45419,#29356,.T.); +#98584=EDGE_CURVE('',#45415,#45417,#29360,.T.); +#98588=ADVANCED_FACE('',(#98587),#98576,.F.); +#98596=EDGE_CURVE('',#45441,#45443,#29368,.T.); +#98598=EDGE_CURVE('',#45435,#45441,#29372,.T.); +#98600=EDGE_CURVE('',#45433,#45435,#29377,.T.); +#98602=EDGE_CURVE('',#45431,#45433,#29381,.T.); +#98606=ADVANCED_FACE('',(#98605),#98593,.F.); +#98612=EDGE_CURVE('',#48950,#48951,#29386,.T.); +#98614=EDGE_CURVE('',#45559,#48950,#32231,.T.); +#98618=EDGE_CURVE('',#48951,#45383,#29394,.T.); +#98622=ADVANCED_FACE('',(#98621),#98611,.T.); +#98629=EDGE_CURVE('',#48951,#48952,#29399,.T.); +#98631=EDGE_CURVE('',#48954,#48952,#29920,.T.); +#98633=EDGE_CURVE('',#48954,#48955,#29909,.T.); +#98635=EDGE_CURVE('',#48955,#48957,#32208,.T.); +#98637=EDGE_CURVE('',#48957,#48950,#32223,.T.); +#98641=ADVANCED_FACE('',(#98640),#98627,.T.); +#98650=EDGE_CURVE('',#45389,#45392,#29403,.T.); +#98652=EDGE_CURVE('',#48952,#45392,#29924,.T.); +#98656=ADVANCED_FACE('',(#98655),#98646,.T.); +#98663=EDGE_CURVE('',#45386,#45393,#29412,.T.); +#98665=EDGE_CURVE('',#45392,#45393,#29937,.T.); +#98670=ADVANCED_FACE('',(#98669),#98661,.T.); +#98676=EDGE_CURVE('',#48943,#48944,#29408,.T.); +#98678=EDGE_CURVE('',#45393,#48943,#29962,.T.); +#98682=EDGE_CURVE('',#48944,#45387,#29416,.T.); +#98686=ADVANCED_FACE('',(#98685),#98675,.T.); +#98693=EDGE_CURVE('',#48944,#48945,#29421,.T.); +#98695=EDGE_CURVE('',#48947,#48945,#29429,.T.); +#98697=EDGE_CURVE('',#48947,#48948,#29442,.T.); +#98699=EDGE_CURVE('',#48948,#48949,#29968,.T.); +#98701=EDGE_CURVE('',#48949,#48943,#29954,.T.); +#98705=ADVANCED_FACE('',(#98704),#98691,.T.); +#98715=EDGE_CURVE('',#48945,#45557,#29433,.T.); +#98719=ADVANCED_FACE('',(#98718),#98710,.T.); +#98728=EDGE_CURVE('',#45885,#48947,#29437,.T.); +#98732=ADVANCED_FACE('',(#98731),#98724,.T.); +#98740=EDGE_CURVE('',#45885,#48984,#29453,.T.); +#98742=EDGE_CURVE('',#48984,#45306,#29782,.T.); +#98744=EDGE_CURVE('',#48948,#45306,#29972,.T.); +#98748=ADVANCED_FACE('',(#98747),#98737,.T.); +#98754=EDGE_CURVE('',#48988,#48992,#29449,.T.); +#98756=EDGE_CURVE('',#48992,#48984,#29786,.T.); +#98759=EDGE_CURVE('',#49015,#45885,#29504,.T.); +#98761=EDGE_CURVE('',#49015,#48988,#29469,.T.); +#98765=ADVANCED_FACE('',(#98764),#98753,.T.); +#98771=EDGE_CURVE('',#48987,#48988,#29462,.T.); +#98773=EDGE_CURVE('',#48987,#48991,#32219,.T.); +#98775=EDGE_CURVE('',#48991,#48992,#29791,.T.); +#98780=ADVANCED_FACE('',(#98779),#98770,.T.); +#98786=EDGE_CURVE('',#49110,#44417,#29491,.T.); +#98788=EDGE_CURVE('',#49137,#49110,#32240,.T.); +#98790=EDGE_CURVE('',#49137,#48987,#29457,.T.); +#98794=EDGE_CURVE('',#45215,#49015,#31011,.T.); +#98796=EDGE_CURVE('',#44425,#45215,#31323,.T.); +#98798=EDGE_CURVE('',#44425,#44417,#29559,.T.); +#98802=ADVANCED_FACE('',(#98801),#98785,.T.); +#98808=EDGE_CURVE('',#49107,#49102,#29473,.T.); +#98810=EDGE_CURVE('',#49107,#44413,#29478,.T.); +#98812=EDGE_CURVE('',#44412,#44413,#29534,.T.); +#98814=EDGE_CURVE('',#49102,#44412,#29482,.T.); +#98818=ADVANCED_FACE('',(#98817),#98807,.T.); +#98824=EDGE_CURVE('',#49110,#49111,#29486,.T.); +#98827=EDGE_CURVE('',#44416,#44417,#29555,.T.); +#98829=EDGE_CURVE('',#44416,#49111,#29496,.T.); +#98833=ADVANCED_FACE('',(#98832),#98823,.T.); +#98839=EDGE_CURVE('',#49025,#49018,#29500,.T.); +#98841=EDGE_CURVE('',#44395,#49025,#31019,.T.); +#98843=EDGE_CURVE('',#49015,#44395,#31015,.T.); +#98849=ADVANCED_FACE('',(#98848),#98838,.T.); +#98856=EDGE_CURVE('',#49102,#49105,#29521,.T.); +#98859=EDGE_CURVE('',#45880,#49134,#29512,.T.); +#98861=EDGE_CURVE('',#49134,#49107,#29517,.T.); +#98865=ADVANCED_FACE('',(#98864),#98854,.T.); +#98871=EDGE_CURVE('',#49102,#49103,#29526,.T.); +#98873=EDGE_CURVE('',#49103,#49099,#30943,.T.); +#98879=ADVANCED_FACE('',(#98878),#98870,.T.); +#98887=EDGE_CURVE('',#48782,#44412,#29551,.T.); +#98889=EDGE_CURVE('',#49103,#48782,#29530,.T.); +#98893=ADVANCED_FACE('',(#98892),#98884,.T.); +#98900=EDGE_CURVE('',#44420,#44413,#29538,.T.); +#98902=EDGE_CURVE('',#44423,#44420,#31335,.T.); +#98904=EDGE_CURVE('',#48784,#44423,#31309,.T.); +#98906=EDGE_CURVE('',#48784,#48781,#29542,.T.); +#98908=EDGE_CURVE('',#48781,#48782,#29546,.T.); +#98913=ADVANCED_FACE('',(#98912),#98898,.T.); +#98921=EDGE_CURVE('',#44421,#44425,#31318,.T.); +#98923=EDGE_CURVE('',#44421,#44416,#29563,.T.); +#98927=ADVANCED_FACE('',(#98926),#98918,.T.); +#98934=EDGE_CURVE('',#44430,#44596,#29567,.T.); +#98936=EDGE_CURVE('',#44596,#44597,#29571,.T.); +#98938=EDGE_CURVE('',#44597,#48777,#29576,.T.); +#98940=EDGE_CURVE('',#48777,#48778,#29580,.T.); +#98942=EDGE_CURVE('',#48778,#48786,#29584,.T.); +#98944=EDGE_CURVE('',#44431,#48786,#30939,.T.); +#98948=ADVANCED_FACE('',(#98947),#98932,.T.); +#98954=EDGE_CURVE('',#45247,#49266,#29588,.T.); +#98956=EDGE_CURVE('',#49269,#49266,#31844,.T.); +#98958=EDGE_CURVE('',#49269,#49193,#29592,.T.); +#98964=ADVANCED_FACE('',(#98963),#98953,.T.); +#98970=EDGE_CURVE('',#49273,#49195,#29600,.T.); +#98972=EDGE_CURVE('',#49276,#49273,#31867,.T.); +#98974=EDGE_CURVE('',#49276,#45251,#29604,.T.); +#98976=EDGE_CURVE('',#45250,#45251,#29608,.T.); +#98981=ADVANCED_FACE('',(#98980),#98969,.T.); +#98987=EDGE_CURVE('',#49139,#48998,#29629,.T.); +#98989=EDGE_CURVE('',#49111,#49139,#32245,.T.); +#98993=EDGE_CURVE('',#44420,#44421,#31314,.T.); +#98998=EDGE_CURVE('',#49134,#48997,#29612,.T.); +#99000=EDGE_CURVE('',#48997,#48998,#29617,.T.); +#99004=ADVANCED_FACE('',(#99003),#98986,.T.); +#99010=EDGE_CURVE('',#48962,#48960,#29621,.T.); +#99012=EDGE_CURVE('',#48960,#45561,#29625,.T.); +#99015=EDGE_CURVE('',#49139,#45877,#32249,.T.); +#99018=EDGE_CURVE('',#48998,#48962,#29633,.T.); +#99022=ADVANCED_FACE('',(#99021),#99009,.T.); +#99028=EDGE_CURVE('',#48958,#48959,#29638,.T.); +#99030=EDGE_CURVE('',#48959,#48960,#32283,.T.); +#99033=EDGE_CURVE('',#48962,#48963,#29680,.T.); +#99035=EDGE_CURVE('',#48963,#48964,#29664,.T.); +#99037=EDGE_CURVE('',#48964,#48958,#29650,.T.); +#99041=ADVANCED_FACE('',(#99040),#99027,.T.); +#99048=EDGE_CURVE('',#45407,#48958,#29658,.T.); +#99050=EDGE_CURVE('',#45401,#45407,#29642,.T.); +#99053=EDGE_CURVE('',#48959,#45398,#29646,.T.); +#99057=ADVANCED_FACE('',(#99056),#99046,.T.); +#99064=EDGE_CURVE('',#48964,#45509,#29654,.T.); +#99066=EDGE_CURVE('',#45407,#45509,#29746,.T.); +#99071=ADVANCED_FACE('',(#99070),#99062,.T.); +#99078=EDGE_CURVE('',#48963,#45310,#29668,.T.); +#99080=EDGE_CURVE('',#45310,#45311,#29799,.T.); +#99082=EDGE_CURVE('',#45311,#45509,#29675,.T.); +#99087=ADVANCED_FACE('',(#99086),#99076,.T.); +#99095=EDGE_CURVE('',#48998,#49000,#29687,.T.); +#99097=EDGE_CURVE('',#49000,#45310,#29803,.T.); +#99102=ADVANCED_FACE('',(#99101),#99092,.T.); +#99109=EDGE_CURVE('',#48997,#48994,#29703,.T.); +#99111=EDGE_CURVE('',#48994,#49000,#29808,.T.); +#99116=ADVANCED_FACE('',(#99115),#99107,.T.); +#99122=EDGE_CURVE('',#48850,#48852,#29692,.T.); +#99124=EDGE_CURVE('',#48850,#45315,#29696,.T.); +#99126=EDGE_CURVE('',#45315,#48994,#29812,.T.); +#99129=EDGE_CURVE('',#48852,#48997,#29724,.T.); +#99133=ADVANCED_FACE('',(#99132),#99121,.T.); +#99139=EDGE_CURVE('',#48845,#48846,#29708,.T.); +#99141=EDGE_CURVE('',#48846,#48847,#29733,.T.); +#99143=EDGE_CURVE('',#48849,#48847,#32266,.T.); +#99145=EDGE_CURVE('',#48849,#48850,#32255,.T.); +#99148=EDGE_CURVE('',#48852,#48845,#29720,.T.); +#99152=ADVANCED_FACE('',(#99151),#99138,.T.); +#99159=EDGE_CURVE('',#45881,#48845,#29728,.T.); +#99163=EDGE_CURVE('',#48846,#45409,#29716,.T.); +#99167=ADVANCED_FACE('',(#99166),#99157,.T.); +#99181=ADVANCED_FACE('',(#99180),#99172,.T.); +#99190=EDGE_CURVE('',#45403,#45406,#29737,.T.); +#99192=EDGE_CURVE('',#48847,#45406,#32270,.T.); +#99196=ADVANCED_FACE('',(#99195),#99186,.T.); +#99204=EDGE_CURVE('',#45406,#45407,#29742,.T.); +#99209=ADVANCED_FACE('',(#99208),#99201,.T.); +#99215=EDGE_CURVE('',#45314,#45274,#29821,.T.); +#99217=EDGE_CURVE('',#45511,#45314,#32262,.T.); +#99219=EDGE_CURVE('',#45406,#45511,#32274,.T.); +#99224=EDGE_CURVE('',#45275,#45311,#29831,.T.); +#99226=EDGE_CURVE('',#45275,#45529,#29750,.T.); +#99228=EDGE_CURVE('',#45529,#45531,#29755,.T.); +#99230=EDGE_CURVE('',#45274,#45531,#32194,.T.); +#99234=ADVANCED_FACE('',(#99233),#99214,.T.); +#99240=EDGE_CURVE('',#45302,#45303,#29759,.T.); +#99242=EDGE_CURVE('',#45302,#45270,#29764,.T.); +#99244=EDGE_CURVE('',#45270,#45271,#29769,.T.); +#99246=EDGE_CURVE('',#45271,#45307,#29774,.T.); +#99248=EDGE_CURVE('',#45306,#45307,#29778,.T.); +#99253=EDGE_CURVE('',#45303,#48991,#29795,.T.); +#99257=ADVANCED_FACE('',(#99256),#99239,.T.); +#99267=EDGE_CURVE('',#45314,#45315,#29816,.T.); +#99270=EDGE_CURVE('',#45274,#45275,#29826,.T.); +#99275=ADVANCED_FACE('',(#99274),#99262,.T.); +#99281=EDGE_CURVE('',#45454,#45455,#29835,.T.); +#99283=EDGE_CURVE('',#45454,#45282,#29840,.T.); +#99285=EDGE_CURVE('',#45282,#45283,#29845,.T.); +#99287=EDGE_CURVE('',#45283,#45459,#29850,.T.); +#99289=EDGE_CURVE('',#45458,#45459,#29854,.T.); +#99291=EDGE_CURVE('',#49006,#45458,#29858,.T.); +#99293=EDGE_CURVE('',#48798,#49006,#29863,.T.); +#99295=EDGE_CURVE('',#45455,#48798,#29867,.T.); +#99299=ADVANCED_FACE('',(#99298),#99280,.T.); +#99305=EDGE_CURVE('',#45470,#45471,#29871,.T.); +#99307=EDGE_CURVE('',#45470,#45278,#29876,.T.); +#99309=EDGE_CURVE('',#45278,#45279,#29881,.T.); +#99311=EDGE_CURVE('',#45279,#45475,#29886,.T.); +#99319=ADVANCED_FACE('',(#99318),#99304,.T.); +#99326=EDGE_CURVE('',#45515,#48954,#29932,.T.); +#99328=EDGE_CURVE('',#45515,#45302,#29916,.T.); +#99331=EDGE_CURVE('',#48955,#45303,#32212,.T.); +#99335=ADVANCED_FACE('',(#99334),#99324,.T.); +#99343=EDGE_CURVE('',#45515,#45392,#29928,.T.); +#99348=ADVANCED_FACE('',(#99347),#99340,.T.); +#99358=EDGE_CURVE('',#45513,#45393,#29941,.T.); +#99360=EDGE_CURVE('',#45307,#45513,#29979,.T.); +#99363=EDGE_CURVE('',#45271,#45525,#29945,.T.); +#99365=EDGE_CURVE('',#45525,#45527,#29950,.T.); +#99367=EDGE_CURVE('',#45270,#45527,#29983,.T.); +#99371=ADVANCED_FACE('',(#99370),#99353,.T.); +#99378=EDGE_CURVE('',#48949,#45513,#29958,.T.); +#99384=ADVANCED_FACE('',(#99383),#99376,.T.); +#99397=ADVANCED_FACE('',(#99396),#99389,.T.); +#99406=EDGE_CURVE('',#45527,#45525,#29988,.T.); +#99410=ADVANCED_FACE('',(#99409),#99402,.T.); +#99416=EDGE_CURVE('',#45936,#45937,#30027,.T.); +#99418=EDGE_CURVE('',#45937,#45525,#32203,.T.); +#99421=EDGE_CURVE('',#45936,#45527,#29992,.T.); +#99425=ADVANCED_FACE('',(#99424),#99415,.T.); +#99431=EDGE_CURVE('',#45916,#45917,#29997,.T.); +#99433=EDGE_CURVE('',#45917,#45916,#30002,.T.); +#99437=ADVANCED_FACE('',(#99436),#99430,.F.); +#99443=EDGE_CURVE('',#45920,#45921,#30007,.T.); +#99445=EDGE_CURVE('',#45921,#45920,#30012,.T.); +#99449=ADVANCED_FACE('',(#99448),#99442,.F.); +#99455=EDGE_CURVE('',#45932,#45933,#30017,.T.); +#99457=EDGE_CURVE('',#45933,#45932,#30022,.T.); +#99461=ADVANCED_FACE('',(#99460),#99454,.F.); +#99468=EDGE_CURVE('',#45937,#45936,#30032,.T.); +#99472=ADVANCED_FACE('',(#99471),#99466,.F.); +#99479=EDGE_CURVE('',#45917,#45537,#30040,.T.); +#99481=EDGE_CURVE('',#45539,#45537,#32109,.T.); +#99483=EDGE_CURVE('',#45916,#45539,#30036,.T.); +#99487=ADVANCED_FACE('',(#99486),#99477,.T.); +#99495=EDGE_CURVE('',#45537,#45539,#30058,.T.); +#99500=ADVANCED_FACE('',(#99499),#99492,.T.); +#99507=EDGE_CURVE('',#45523,#45454,#30071,.T.); +#99509=EDGE_CURVE('',#45523,#45438,#30083,.T.); +#99511=EDGE_CURVE('',#45438,#45439,#30045,.T.); +#99513=EDGE_CURVE('',#45521,#45439,#30049,.T.); +#99515=EDGE_CURVE('',#45459,#45521,#32088,.T.); +#99518=EDGE_CURVE('',#45283,#45537,#30053,.T.); +#99521=EDGE_CURVE('',#45282,#45539,#32104,.T.); +#99525=ADVANCED_FACE('',(#99524),#99505,.T.); +#99532=EDGE_CURVE('',#45523,#48879,#30087,.T.); +#99536=EDGE_CURVE('',#48880,#45455,#32059,.T.); +#99540=ADVANCED_FACE('',(#99539),#99530,.T.); +#99547=EDGE_CURVE('',#48877,#45438,#30079,.T.); +#99553=ADVANCED_FACE('',(#99552),#99545,.T.); +#99562=EDGE_CURVE('',#45433,#45438,#30096,.T.); +#99567=ADVANCED_FACE('',(#99566),#99558,.T.); +#99574=EDGE_CURVE('',#45435,#45439,#30105,.T.); +#99580=ADVANCED_FACE('',(#99579),#99572,.T.); +#99586=EDGE_CURVE('',#48868,#48869,#30101,.T.); +#99588=EDGE_CURVE('',#45439,#48868,#32100,.T.); +#99592=EDGE_CURVE('',#48869,#45441,#30109,.T.); +#99596=ADVANCED_FACE('',(#99595),#99585,.T.); +#99603=EDGE_CURVE('',#48869,#48870,#30114,.T.); +#99605=EDGE_CURVE('',#48872,#48870,#30122,.T.); +#99607=EDGE_CURVE('',#48872,#48873,#32071,.T.); +#99609=EDGE_CURVE('',#48873,#48874,#32077,.T.); +#99611=EDGE_CURVE('',#48874,#48868,#32092,.T.); +#99615=ADVANCED_FACE('',(#99614),#99601,.T.); +#99625=EDGE_CURVE('',#48870,#45567,#30126,.T.); +#99629=ADVANCED_FACE('',(#99628),#99620,.T.); +#99639=EDGE_CURVE('',#49123,#49008,#30130,.T.); +#99641=EDGE_CURVE('',#49008,#48872,#30134,.T.); +#99645=ADVANCED_FACE('',(#99644),#99634,.T.); +#99655=EDGE_CURVE('',#45212,#45213,#30165,.T.); +#99661=EDGE_CURVE('',#48797,#49008,#30143,.T.); +#99665=ADVANCED_FACE('',(#99664),#99650,.T.); +#99673=EDGE_CURVE('',#44129,#45227,#30173,.T.); +#99675=EDGE_CURVE('',#44127,#44129,#31074,.T.); +#99677=EDGE_CURVE('',#44127,#44367,#30156,.T.); +#99684=ADVANCED_FACE('',(#99683),#99670,.T.); +#99692=EDGE_CURVE('',#48744,#48758,#30190,.T.); +#99694=EDGE_CURVE('',#44129,#48744,#31079,.T.); +#99698=ADVANCED_FACE('',(#99697),#99689,.T.); +#99704=EDGE_CURVE('',#48789,#48790,#30182,.T.); +#99706=EDGE_CURVE('',#48790,#48781,#30186,.T.); +#99709=EDGE_CURVE('',#48746,#48784,#31304,.T.); +#99711=EDGE_CURVE('',#48744,#48746,#31083,.T.); +#99715=EDGE_CURVE('',#48789,#48762,#30194,.T.); +#99719=ADVANCED_FACE('',(#99718),#99703,.T.); +#99726=EDGE_CURVE('',#48793,#48789,#30198,.T.); +#99728=EDGE_CURVE('',#48793,#48794,#30891,.T.); +#99730=EDGE_CURVE('',#48790,#48794,#30202,.T.); +#99734=ADVANCED_FACE('',(#99733),#99724,.T.); +#99740=EDGE_CURVE('',#49075,#48793,#30218,.T.); +#99743=EDGE_CURVE('',#48789,#49067,#30214,.T.); +#99745=EDGE_CURVE('',#49067,#49069,#30231,.T.); +#99748=EDGE_CURVE('',#49075,#49071,#30206,.T.); +#99752=ADVANCED_FACE('',(#99751),#99739,.T.); +#99760=EDGE_CURVE('',#49067,#48760,#30210,.T.); +#99765=ADVANCED_FACE('',(#99764),#99757,.T.); +#99772=EDGE_CURVE('',#49075,#48755,#30257,.T.); +#99775=EDGE_CURVE('',#48793,#48764,#30895,.T.); +#99779=ADVANCED_FACE('',(#99778),#99770,.T.); +#99785=EDGE_CURVE('',#49067,#49064,#30223,.T.); +#99792=ADVANCED_FACE('',(#99791),#99784,.T.); +#99799=EDGE_CURVE('',#49064,#49061,#30235,.T.); +#99805=ADVANCED_FACE('',(#99804),#99797,.T.); +#99818=ADVANCED_FACE('',(#99817),#99810,.T.); +#99824=EDGE_CURVE('',#49074,#49075,#30249,.T.); +#99831=ADVANCED_FACE('',(#99830),#99823,.T.); +#99840=EDGE_CURVE('',#49074,#49077,#30261,.T.); +#99844=ADVANCED_FACE('',(#99843),#99836,.T.); +#99853=EDGE_CURVE('',#45909,#49125,#30265,.T.); +#99855=EDGE_CURVE('',#49125,#49079,#30270,.T.); +#99859=ADVANCED_FACE('',(#99858),#99849,.T.); +#99865=EDGE_CURVE('',#48912,#48905,#30274,.T.); +#99867=EDGE_CURVE('',#48912,#45572,#30278,.T.); +#99869=EDGE_CURVE('',#49125,#45572,#31689,.T.); +#99873=EDGE_CURVE('',#45547,#48905,#30282,.T.); +#99877=ADVANCED_FACE('',(#99876),#99864,.T.); +#99883=EDGE_CURVE('',#48905,#48906,#30287,.T.); +#99885=EDGE_CURVE('',#48906,#48907,#30300,.T.); +#99887=EDGE_CURVE('',#48909,#48907,#31603,.T.); +#99889=EDGE_CURVE('',#48909,#48910,#31592,.T.); +#99891=EDGE_CURVE('',#48910,#48912,#31620,.T.); +#99896=ADVANCED_FACE('',(#99895),#99882,.T.); +#99906=EDGE_CURVE('',#48906,#45335,#30295,.T.); +#99910=ADVANCED_FACE('',(#99909),#99901,.T.); +#99919=EDGE_CURVE('',#45337,#45342,#30304,.T.); +#99921=EDGE_CURVE('',#48907,#45342,#31607,.T.); +#99925=ADVANCED_FACE('',(#99924),#99915,.T.); +#99932=EDGE_CURVE('',#45339,#45343,#30313,.T.); +#99934=EDGE_CURVE('',#45342,#45343,#31573,.T.); +#99939=ADVANCED_FACE('',(#99938),#99930,.T.); +#99945=EDGE_CURVE('',#48898,#48899,#30309,.T.); +#99947=EDGE_CURVE('',#45343,#48898,#31672,.T.); +#99951=EDGE_CURVE('',#48899,#45345,#30317,.T.); +#99955=ADVANCED_FACE('',(#99954),#99944,.T.); +#99962=EDGE_CURVE('',#48899,#48900,#30322,.T.); +#99964=EDGE_CURVE('',#48902,#48900,#30330,.T.); +#99966=EDGE_CURVE('',#48902,#48903,#31643,.T.); +#99968=EDGE_CURVE('',#48903,#48904,#31649,.T.); +#99970=EDGE_CURVE('',#48904,#48898,#31664,.T.); +#99974=ADVANCED_FACE('',(#99973),#99960,.T.); +#99984=EDGE_CURVE('',#48900,#45545,#30334,.T.); +#99988=ADVANCED_FACE('',(#99987),#99979,.T.); +#99997=EDGE_CURVE('',#49129,#45907,#30360,.T.); +#99999=EDGE_CURVE('',#49129,#48799,#30338,.T.); +#100001=EDGE_CURVE('',#48799,#48902,#30342,.T.); +#100005=ADVANCED_FACE('',(#100004),#99993,.T.); +#100012=EDGE_CURVE('',#45901,#49128,#30346,.T.); +#100014=EDGE_CURVE('',#49128,#49082,#30351,.T.); +#100017=EDGE_CURVE('',#49083,#49129,#30356,.T.); +#100022=ADVANCED_FACE('',(#100021),#100010,.T.); +#100029=EDGE_CURVE('',#48897,#45570,#30368,.T.); +#100031=EDGE_CURVE('',#49128,#45570,#31950,.T.); +#100038=ADVANCED_FACE('',(#100037),#100027,.T.); +#100045=EDGE_CURVE('',#48895,#45451,#30381,.T.); +#100047=EDGE_CURVE('',#45451,#45571,#30528,.T.); +#100049=EDGE_CURVE('',#45570,#45571,#30388,.T.); +#100054=ADVANCED_FACE('',(#100053),#100043,.T.); +#100061=EDGE_CURVE('',#45483,#48894,#30417,.T.); +#100063=EDGE_CURVE('',#45483,#45450,#30401,.T.); +#100065=EDGE_CURVE('',#45450,#45451,#30532,.T.); +#100070=ADVANCED_FACE('',(#100069),#100059,.T.); +#100078=EDGE_CURVE('',#45483,#45326,#30413,.T.); +#100083=ADVANCED_FACE('',(#100082),#100075,.T.); +#100089=EDGE_CURVE('',#45450,#45254,#30537,.T.); +#100094=EDGE_CURVE('',#45481,#45327,#30426,.T.); +#100096=EDGE_CURVE('',#45447,#45481,#31925,.T.); +#100098=EDGE_CURVE('',#45255,#45447,#30547,.T.); +#100100=EDGE_CURVE('',#45255,#45495,#30430,.T.); +#100102=EDGE_CURVE('',#45495,#45493,#30435,.T.); +#100104=EDGE_CURVE('',#45254,#45493,#31555,.T.); +#100108=ADVANCED_FACE('',(#100107),#100088,.T.); +#100114=EDGE_CURVE('',#45286,#45287,#30439,.T.); +#100116=EDGE_CURVE('',#48972,#45286,#30443,.T.); +#100118=EDGE_CURVE('',#48966,#48972,#30448,.T.); +#100120=EDGE_CURVE('',#45291,#48966,#30452,.T.); +#100122=EDGE_CURVE('',#45290,#45291,#30456,.T.); +#100124=EDGE_CURVE('',#45290,#45262,#30461,.T.); +#100126=EDGE_CURVE('',#45262,#45263,#30466,.T.); +#100128=EDGE_CURVE('',#45263,#45287,#30471,.T.); +#100132=ADVANCED_FACE('',(#100131),#100113,.T.); +#100138=EDGE_CURVE('',#45294,#45295,#30475,.T.); +#100140=EDGE_CURVE('',#48982,#45294,#30479,.T.); +#100142=EDGE_CURVE('',#48981,#48982,#30484,.T.); +#100144=EDGE_CURVE('',#48974,#48981,#30488,.T.); +#100146=EDGE_CURVE('',#45299,#48974,#30492,.T.); +#100148=EDGE_CURVE('',#45298,#45299,#30496,.T.); +#100150=EDGE_CURVE('',#45298,#45266,#30501,.T.); +#100152=EDGE_CURVE('',#45266,#45267,#30506,.T.); +#100154=EDGE_CURVE('',#45267,#45295,#30511,.T.); +#100158=ADVANCED_FACE('',(#100157),#100137,.T.); +#100164=EDGE_CURVE('',#45446,#45447,#30515,.T.); +#100166=EDGE_CURVE('',#49004,#45446,#30519,.T.); +#100168=EDGE_CURVE('',#45571,#49004,#30524,.T.); +#100173=EDGE_CURVE('',#45254,#45255,#30542,.T.); +#100178=ADVANCED_FACE('',(#100177),#100163,.T.); +#100184=EDGE_CURVE('',#45462,#45463,#30551,.T.); +#100186=EDGE_CURVE('',#48800,#45462,#30555,.T.); +#100188=EDGE_CURVE('',#45573,#48800,#30560,.T.); +#100190=EDGE_CURVE('',#45467,#45573,#30564,.T.); +#100192=EDGE_CURVE('',#45466,#45467,#30568,.T.); +#100194=EDGE_CURVE('',#45466,#45258,#30573,.T.); +#100196=EDGE_CURVE('',#45258,#45259,#30578,.T.); +#100198=EDGE_CURVE('',#45259,#45463,#30583,.T.); +#100202=ADVANCED_FACE('',(#100201),#100183,.T.); +#100208=EDGE_CURVE('',#48918,#48919,#30589,.T.); +#100210=EDGE_CURVE('',#48918,#45286,#30593,.T.); +#100213=EDGE_CURVE('',#45287,#45485,#30600,.T.); +#100215=EDGE_CURVE('',#48919,#45485,#30621,.T.); +#100219=ADVANCED_FACE('',(#100218),#100207,.T.); +#100225=EDGE_CURVE('',#48913,#48914,#30605,.T.); +#100227=EDGE_CURVE('',#48914,#48915,#30964,.T.); +#100229=EDGE_CURVE('',#48917,#48915,#30947,.T.); +#100231=EDGE_CURVE('',#48917,#48918,#30973,.T.); +#100234=EDGE_CURVE('',#48919,#48913,#30617,.T.); +#100238=ADVANCED_FACE('',(#100237),#100224,.T.); +#100245=EDGE_CURVE('',#45359,#48913,#30625,.T.); +#100247=EDGE_CURVE('',#45355,#45359,#30609,.T.); +#100250=EDGE_CURVE('',#48914,#45361,#30613,.T.); +#100254=ADVANCED_FACE('',(#100253),#100243,.T.); +#100262=EDGE_CURVE('',#45485,#45359,#30634,.T.); +#100267=ADVANCED_FACE('',(#100266),#100259,.T.); +#100274=EDGE_CURVE('',#45487,#45290,#30656,.T.); +#100276=EDGE_CURVE('',#45487,#45358,#31904,.T.); +#100278=EDGE_CURVE('',#45358,#45359,#30630,.T.); +#100283=EDGE_CURVE('',#45263,#45503,#30638,.T.); +#100285=EDGE_CURVE('',#45503,#45501,#30643,.T.); +#100287=EDGE_CURVE('',#45262,#45501,#31787,.T.); +#100291=ADVANCED_FACE('',(#100290),#100272,.T.); +#100297=EDGE_CURVE('',#48924,#48925,#30649,.T.); +#100299=EDGE_CURVE('',#45487,#48924,#31908,.T.); +#100303=EDGE_CURVE('',#48925,#45291,#30690,.T.); +#100307=ADVANCED_FACE('',(#100306),#100296,.T.); +#100313=EDGE_CURVE('',#48920,#48921,#30661,.T.); +#100315=EDGE_CURVE('',#48921,#48922,#31888,.T.); +#100317=EDGE_CURVE('',#48924,#48922,#31896,.T.); +#100320=EDGE_CURVE('',#48925,#48927,#30686,.T.); +#100322=EDGE_CURVE('',#48927,#48920,#30673,.T.); +#100326=ADVANCED_FACE('',(#100325),#100312,.T.); +#100333=EDGE_CURVE('',#45551,#48920,#30681,.T.); +#100337=EDGE_CURVE('',#48921,#45351,#30669,.T.); +#100341=ADVANCED_FACE('',(#100340),#100331,.T.); +#100348=EDGE_CURVE('',#48927,#48969,#30677,.T.); +#100350=EDGE_CURVE('',#49131,#48969,#30721,.T.); +#100352=EDGE_CURVE('',#45905,#49131,#31825,.T.); +#100358=ADVANCED_FACE('',(#100357),#100346,.T.); +#100367=EDGE_CURVE('',#48969,#48966,#30697,.T.); +#100372=ADVANCED_FACE('',(#100371),#100363,.T.); +#100378=EDGE_CURVE('',#48969,#48970,#30726,.T.); +#100382=EDGE_CURVE('',#48970,#48972,#30704,.T.); +#100386=ADVANCED_FACE('',(#100385),#100377,.T.); +#100392=EDGE_CURVE('',#49191,#49287,#30708,.T.); +#100394=EDGE_CURVE('',#49290,#49287,#30747,.T.); +#100396=EDGE_CURVE('',#49280,#49290,#30713,.T.); +#100398=EDGE_CURVE('',#49283,#49280,#30778,.T.); +#100400=EDGE_CURVE('',#49189,#49283,#30717,.T.); +#100404=EDGE_CURVE('',#49086,#45251,#30841,.T.); +#100406=EDGE_CURVE('',#49131,#49086,#31830,.T.); +#100410=EDGE_CURVE('',#49135,#48970,#30955,.T.); +#100412=EDGE_CURVE('',#49093,#49135,#30858,.T.); +#100414=EDGE_CURVE('',#44596,#49093,#30807,.T.); +#100420=ADVANCED_FACE('',(#100419),#100391,.T.); +#100426=EDGE_CURVE('',#49286,#49287,#30730,.T.); +#100431=EDGE_CURVE('',#49286,#49222,#30734,.T.); +#100435=ADVANCED_FACE('',(#100434),#100425,.T.); +#100442=EDGE_CURVE('',#49286,#49288,#30765,.T.); +#100444=EDGE_CURVE('',#49288,#49290,#30786,.T.); +#100449=ADVANCED_FACE('',(#100448),#100440,.T.); +#100455=EDGE_CURVE('',#49279,#49281,#30756,.T.); +#100457=EDGE_CURVE('',#49288,#49279,#30790,.T.); +#100462=EDGE_CURVE('',#49223,#49281,#30798,.T.); +#100466=ADVANCED_FACE('',(#100465),#100454,.T.); +#100472=EDGE_CURVE('',#49279,#49280,#30782,.T.); +#100475=EDGE_CURVE('',#49281,#49283,#30794,.T.); +#100480=ADVANCED_FACE('',(#100479),#100471,.T.); +#100492=ADVANCED_FACE('',(#100491),#100485,.T.); +#100505=ADVANCED_FACE('',(#100504),#100497,.T.); +#100511=EDGE_CURVE('',#49092,#49093,#30802,.T.); +#100513=EDGE_CURVE('',#49092,#44597,#30875,.T.); +#100519=ADVANCED_FACE('',(#100518),#100510,.T.); +#100525=EDGE_CURVE('',#49035,#49028,#30811,.T.); +#100528=EDGE_CURVE('',#45895,#49013,#30819,.T.); +#100530=EDGE_CURVE('',#44401,#49013,#30999,.T.); +#100532=EDGE_CURVE('',#49028,#44401,#30823,.T.); +#100536=ADVANCED_FACE('',(#100535),#100524,.T.); +#100542=EDGE_CURVE('',#49265,#49266,#30827,.T.); +#100546=EDGE_CURVE('',#49086,#49087,#30836,.T.); +#100550=EDGE_CURVE('',#49274,#49276,#30845,.T.); +#100552=EDGE_CURVE('',#49274,#49265,#30849,.T.); +#100556=ADVANCED_FACE('',(#100555),#100541,.T.); +#100563=EDGE_CURVE('',#49092,#49089,#30853,.T.); +#100567=EDGE_CURVE('',#49135,#45903,#30862,.T.); +#100571=ADVANCED_FACE('',(#100570),#100561,.T.); +#100577=EDGE_CURVE('',#49095,#49092,#30871,.T.); +#100581=EDGE_CURVE('',#49095,#49097,#30866,.T.); +#100585=ADVANCED_FACE('',(#100584),#100576,.T.); +#100592=EDGE_CURVE('',#49095,#48777,#30879,.T.); +#100598=ADVANCED_FACE('',(#100597),#100590,.T.); +#100604=EDGE_CURVE('',#48794,#48778,#30903,.T.); +#100608=EDGE_CURVE('',#48794,#49095,#30883,.T.); +#100612=ADVANCED_FACE('',(#100611),#100603,.T.); +#100618=EDGE_CURVE('',#49103,#48790,#30887,.T.); +#100625=ADVANCED_FACE('',(#100624),#100617,.T.); +#100634=EDGE_CURVE('',#48767,#44382,#30899,.T.); +#100636=EDGE_CURVE('',#44382,#44383,#30926,.T.); +#100638=EDGE_CURVE('',#48786,#44383,#30930,.T.); +#100644=ADVANCED_FACE('',(#100643),#100630,.T.); +#100652=EDGE_CURVE('',#44384,#44382,#30917,.T.); +#100658=ADVANCED_FACE('',(#100657),#100649,.T.); +#100666=EDGE_CURVE('',#44383,#44387,#30922,.T.); +#100671=ADVANCED_FACE('',(#100670),#100663,.T.); +#100684=ADVANCED_FACE('',(#100683),#100676,.T.); +#100698=ADVANCED_FACE('',(#100697),#100689,.T.); +#100705=EDGE_CURVE('',#48915,#45549,#30951,.T.); +#100710=EDGE_CURVE('',#48970,#48917,#30959,.T.); +#100714=ADVANCED_FACE('',(#100713),#100703,.T.); +#100727=ADVANCED_FACE('',(#100726),#100719,.T.); +#100740=ADVANCED_FACE('',(#100739),#100732,.T.); +#100746=EDGE_CURVE('',#49028,#49029,#30978,.T.); +#100748=EDGE_CURVE('',#49031,#49029,#31127,.T.); +#100750=EDGE_CURVE('',#49031,#49034,#31115,.T.); +#100756=ADVANCED_FACE('',(#100755),#100745,.T.); +#100764=EDGE_CURVE('',#44400,#44401,#31007,.T.); +#100769=EDGE_CURVE('',#44341,#44593,#30991,.T.); +#100771=EDGE_CURVE('',#44341,#49029,#30995,.T.); +#100775=ADVANCED_FACE('',(#100774),#100761,.T.); +#100787=ADVANCED_FACE('',(#100786),#100780,.F.); +#100795=EDGE_CURVE('',#44394,#44395,#31096,.T.); +#100797=EDGE_CURVE('',#44394,#45215,#31327,.T.); +#100801=ADVANCED_FACE('',(#100800),#100792,.F.); +#100808=EDGE_CURVE('',#49022,#49025,#31101,.T.); +#100810=EDGE_CURVE('',#44341,#49022,#31131,.T.); +#100812=EDGE_CURVE('',#44074,#44341,#31151,.T.); +#100814=EDGE_CURVE('',#44074,#44075,#31024,.T.); +#100816=EDGE_CURVE('',#44075,#44077,#31028,.T.); +#100818=EDGE_CURVE('',#44077,#44064,#31033,.T.); +#100820=EDGE_CURVE('',#44064,#44065,#31037,.T.); +#100822=EDGE_CURVE('',#44065,#44067,#31042,.T.); +#100824=EDGE_CURVE('',#44067,#44069,#31046,.T.); +#100826=EDGE_CURVE('',#44069,#44071,#31051,.T.); +#100828=EDGE_CURVE('',#44339,#44071,#31143,.T.); +#100830=EDGE_CURVE('',#44339,#44147,#31056,.T.); +#100832=EDGE_CURVE('',#44147,#44124,#31061,.T.); +#100834=EDGE_CURVE('',#44124,#44125,#31065,.T.); +#100836=EDGE_CURVE('',#44125,#44127,#31070,.T.); +#100841=EDGE_CURVE('',#48746,#44397,#31088,.T.); +#100843=EDGE_CURVE('',#44397,#44394,#31092,.T.); +#100848=ADVANCED_FACE('',(#100847),#100806,.F.); +#100855=EDGE_CURVE('',#49019,#49023,#31108,.T.); +#100857=EDGE_CURVE('',#49022,#49023,#31119,.T.); +#100863=ADVANCED_FACE('',(#100862),#100853,.T.); +#100872=EDGE_CURVE('',#49023,#49031,#31123,.T.); +#100876=ADVANCED_FACE('',(#100875),#100868,.T.); +#100889=ADVANCED_FACE('',(#100888),#100881,.T.); +#100896=EDGE_CURVE('',#44337,#44339,#31139,.T.); +#100899=EDGE_CURVE('',#44071,#44085,#31147,.T.); +#100903=ADVANCED_FACE('',(#100902),#100894,.T.); +#100913=EDGE_CURVE('',#44074,#44088,#31300,.T.); +#100917=ADVANCED_FACE('',(#100916),#100908,.T.); +#100923=EDGE_CURVE('',#55571,#55572,#31159,.T.); +#100925=EDGE_CURVE('',#55578,#55572,#31169,.T.); +#100928=EDGE_CURVE('',#48747,#44147,#31173,.T.); +#100935=ADVANCED_FACE('',(#100934),#100922,.T.); +#100941=EDGE_CURVE('',#55567,#55568,#31189,.T.); +#100943=EDGE_CURVE('',#55572,#55568,#31179,.T.); +#100947=EDGE_CURVE('',#55574,#55567,#31201,.T.); +#100951=ADVANCED_FACE('',(#100950),#100940,.T.); +#100958=EDGE_CURVE('',#55567,#44311,#31213,.T.); +#100960=EDGE_CURVE('',#44310,#44311,#31193,.T.); +#100962=EDGE_CURVE('',#55576,#44310,#31226,.T.); +#100964=EDGE_CURVE('',#55568,#55576,#31197,.T.); +#100968=ADVANCED_FACE('',(#100967),#100956,.T.); +#100977=EDGE_CURVE('',#44311,#44307,#31209,.T.); +#100982=ADVANCED_FACE('',(#100981),#100973,.T.); +#100989=EDGE_CURVE('',#44310,#44306,#31230,.T.); +#100995=ADVANCED_FACE('',(#100994),#100987,.T.); +#101002=EDGE_CURVE('',#55576,#55563,#31222,.T.); +#101010=ADVANCED_FACE('',(#101009),#101000,.F.); +#101024=ADVANCED_FACE('',(#101023),#101015,.T.); +#101031=EDGE_CURVE('',#44124,#44364,#31247,.T.); +#101036=EDGE_CURVE('',#49203,#48748,#31264,.T.); +#101040=ADVANCED_FACE('',(#101039),#101029,.T.); +#101047=EDGE_CURVE('',#44125,#44365,#31256,.T.); +#101053=ADVANCED_FACE('',(#101052),#101045,.T.); +#101065=ADVANCED_FACE('',(#101064),#101058,.T.); +#101079=ADVANCED_FACE('',(#101078),#101070,.F.); +#101086=EDGE_CURVE('',#44069,#44084,#31272,.T.); +#101092=ADVANCED_FACE('',(#101091),#101084,.T.); +#101101=EDGE_CURVE('',#44067,#44081,#31276,.T.); +#101105=ADVANCED_FACE('',(#101104),#101097,.T.); +#101112=EDGE_CURVE('',#44065,#44080,#31280,.T.); +#101118=ADVANCED_FACE('',(#101117),#101110,.T.); +#101131=EDGE_CURVE('',#44064,#44093,#31288,.T.); +#101135=ADVANCED_FACE('',(#101134),#101123,.T.); +#101142=EDGE_CURVE('',#44077,#44092,#31292,.T.); +#101148=ADVANCED_FACE('',(#101147),#101140,.T.); +#101157=EDGE_CURVE('',#44075,#44089,#31296,.T.); +#101161=ADVANCED_FACE('',(#101160),#101153,.T.); +#101173=ADVANCED_FACE('',(#101172),#101166,.T.); +#101181=EDGE_CURVE('',#44397,#44423,#31331,.T.); +#101186=ADVANCED_FACE('',(#101185),#101178,.T.); +#101201=ADVANCED_FACE('',(#101200),#101191,.T.); +#101207=EDGE_CURVE('',#48977,#48981,#31342,.T.); +#101211=EDGE_CURVE('',#45895,#48974,#31465,.T.); +#101216=ADVANCED_FACE('',(#101215),#101206,.T.); +#101225=EDGE_CURVE('',#48978,#48982,#31349,.T.); +#101229=ADVANCED_FACE('',(#101228),#101221,.T.); +#101235=EDGE_CURVE('',#48932,#48933,#31354,.T.); +#101237=EDGE_CURVE('',#48978,#48932,#31821,.T.); +#101241=EDGE_CURVE('',#48933,#45294,#31389,.T.); +#101245=ADVANCED_FACE('',(#101244),#101234,.T.); +#101251=EDGE_CURVE('',#48928,#48929,#31359,.T.); +#101253=EDGE_CURVE('',#48929,#48930,#31801,.T.); +#101255=EDGE_CURVE('',#48932,#48930,#31809,.T.); +#101258=EDGE_CURVE('',#48933,#48934,#31385,.T.); +#101260=EDGE_CURVE('',#48934,#48928,#31371,.T.); +#101264=ADVANCED_FACE('',(#101263),#101250,.T.); +#101271=EDGE_CURVE('',#45377,#48928,#31379,.T.); +#101273=EDGE_CURVE('',#45370,#45377,#31363,.T.); +#101276=EDGE_CURVE('',#48929,#45371,#31367,.T.); +#101280=ADVANCED_FACE('',(#101279),#101269,.T.); +#101287=EDGE_CURVE('',#48934,#45477,#31375,.T.); +#101289=EDGE_CURVE('',#45477,#45377,#31405,.T.); +#101294=ADVANCED_FACE('',(#101293),#101285,.T.); +#101303=EDGE_CURVE('',#45295,#45477,#31396,.T.); +#101308=ADVANCED_FACE('',(#101307),#101299,.T.); +#101315=EDGE_CURVE('',#45479,#45298,#31427,.T.); +#101317=EDGE_CURVE('',#45479,#45376,#31486,.T.); +#101319=EDGE_CURVE('',#45376,#45377,#31401,.T.); +#101324=EDGE_CURVE('',#45267,#45507,#31409,.T.); +#101326=EDGE_CURVE('',#45507,#45505,#31414,.T.); +#101328=EDGE_CURVE('',#45266,#45505,#31494,.T.); +#101332=ADVANCED_FACE('',(#101331),#101313,.T.); +#101338=EDGE_CURVE('',#48939,#48940,#31420,.T.); +#101340=EDGE_CURVE('',#45479,#48939,#31490,.T.); +#101344=EDGE_CURVE('',#48940,#45299,#31461,.T.); +#101348=ADVANCED_FACE('',(#101347),#101337,.T.); +#101354=EDGE_CURVE('',#48935,#48936,#31432,.T.); +#101356=EDGE_CURVE('',#48936,#48937,#31470,.T.); +#101358=EDGE_CURVE('',#48939,#48937,#31478,.T.); +#101361=EDGE_CURVE('',#48940,#48942,#31457,.T.); +#101363=EDGE_CURVE('',#48942,#48935,#31444,.T.); +#101367=ADVANCED_FACE('',(#101366),#101353,.T.); +#101374=EDGE_CURVE('',#45555,#48935,#31452,.T.); +#101378=EDGE_CURVE('',#48936,#45367,#31440,.T.); +#101382=ADVANCED_FACE('',(#101381),#101372,.T.); +#101389=EDGE_CURVE('',#48942,#45895,#31448,.T.); +#101395=ADVANCED_FACE('',(#101394),#101387,.T.); +#101408=ADVANCED_FACE('',(#101407),#101400,.T.); +#101417=EDGE_CURVE('',#45373,#45376,#31474,.T.); +#101419=EDGE_CURVE('',#48937,#45376,#31482,.T.); +#101423=ADVANCED_FACE('',(#101422),#101413,.T.); +#101435=ADVANCED_FACE('',(#101434),#101428,.T.); +#101447=ADVANCED_FACE('',(#101446),#101440,.T.); +#101454=EDGE_CURVE('',#45505,#45507,#31499,.T.); +#101460=ADVANCED_FACE('',(#101459),#101452,.T.); +#101466=EDGE_CURVE('',#45940,#45941,#31538,.T.); +#101468=EDGE_CURVE('',#45941,#45507,#31796,.T.); +#101471=EDGE_CURVE('',#45940,#45505,#31503,.T.); +#101475=ADVANCED_FACE('',(#101474),#101465,.T.); +#101481=EDGE_CURVE('',#45912,#45913,#31508,.T.); +#101483=EDGE_CURVE('',#45913,#45912,#31513,.T.); +#101487=ADVANCED_FACE('',(#101486),#101480,.F.); +#101493=EDGE_CURVE('',#45924,#45925,#31518,.T.); +#101495=EDGE_CURVE('',#45925,#45924,#31523,.T.); +#101499=ADVANCED_FACE('',(#101498),#101492,.F.); +#101505=EDGE_CURVE('',#45928,#45929,#31528,.T.); +#101507=EDGE_CURVE('',#45929,#45928,#31533,.T.); +#101511=ADVANCED_FACE('',(#101510),#101504,.F.); +#101518=EDGE_CURVE('',#45941,#45940,#31543,.T.); +#101522=ADVANCED_FACE('',(#101521),#101516,.F.); +#101529=EDGE_CURVE('',#45913,#45495,#31551,.T.); +#101531=EDGE_CURVE('',#45493,#45495,#31560,.T.); +#101533=EDGE_CURVE('',#45912,#45493,#31547,.T.); +#101537=ADVANCED_FACE('',(#101536),#101527,.T.); +#101549=ADVANCED_FACE('',(#101548),#101542,.T.); +#101561=ADVANCED_FACE('',(#101560),#101554,.T.); +#101568=EDGE_CURVE('',#45925,#45499,#31568,.T.); +#101570=EDGE_CURVE('',#45497,#45499,#31775,.T.); +#101572=EDGE_CURVE('',#45924,#45497,#31564,.T.); +#101576=ADVANCED_FACE('',(#101575),#101566,.T.); +#101584=EDGE_CURVE('',#45499,#45497,#31586,.T.); +#101589=ADVANCED_FACE('',(#101588),#101581,.T.); +#101596=EDGE_CURVE('',#45491,#45466,#31599,.T.); +#101598=EDGE_CURVE('',#45491,#45342,#31611,.T.); +#101601=EDGE_CURVE('',#45489,#45343,#31577,.T.); +#101603=EDGE_CURVE('',#45463,#45489,#31660,.T.); +#101606=EDGE_CURVE('',#45259,#45499,#31581,.T.); +#101609=EDGE_CURVE('',#45258,#45497,#31770,.T.); +#101613=ADVANCED_FACE('',(#101612),#101594,.T.); +#101620=EDGE_CURVE('',#45491,#48909,#31615,.T.); +#101624=EDGE_CURVE('',#48910,#45467,#31624,.T.); +#101628=ADVANCED_FACE('',(#101627),#101618,.T.); +#101640=ADVANCED_FACE('',(#101639),#101633,.T.); +#101649=EDGE_CURVE('',#45572,#45573,#31631,.T.); +#101654=ADVANCED_FACE('',(#101653),#101645,.T.); +#101662=EDGE_CURVE('',#48799,#48800,#31638,.T.); +#101664=EDGE_CURVE('',#45572,#48799,#31694,.T.); +#101668=ADVANCED_FACE('',(#101667),#101659,.T.); +#101678=EDGE_CURVE('',#48903,#45462,#31653,.T.); +#101682=ADVANCED_FACE('',(#101681),#101673,.T.); +#101692=EDGE_CURVE('',#48904,#45489,#31668,.T.); +#101696=ADVANCED_FACE('',(#101695),#101687,.T.); +#101708=ADVANCED_FACE('',(#101707),#101701,.T.); +#101714=EDGE_CURVE('',#49183,#49315,#31676,.T.); +#101716=EDGE_CURVE('',#49318,#49315,#31715,.T.); +#101718=EDGE_CURVE('',#49308,#49318,#31681,.T.); +#101720=EDGE_CURVE('',#49311,#49308,#31746,.T.); +#101722=EDGE_CURVE('',#49181,#49311,#31685,.T.); +#101737=ADVANCED_FACE('',(#101736),#101713,.T.); +#101743=EDGE_CURVE('',#49314,#49315,#31698,.T.); +#101748=EDGE_CURVE('',#49314,#49230,#31702,.T.); +#101752=ADVANCED_FACE('',(#101751),#101742,.T.); +#101759=EDGE_CURVE('',#49314,#49316,#31733,.T.); +#101761=EDGE_CURVE('',#49316,#49318,#31754,.T.); +#101766=ADVANCED_FACE('',(#101765),#101757,.T.); +#101772=EDGE_CURVE('',#49307,#49309,#31724,.T.); +#101774=EDGE_CURVE('',#49316,#49307,#31758,.T.); +#101779=EDGE_CURVE('',#49231,#49309,#31766,.T.); +#101783=ADVANCED_FACE('',(#101782),#101771,.T.); +#101789=EDGE_CURVE('',#49307,#49308,#31750,.T.); +#101792=EDGE_CURVE('',#49309,#49311,#31762,.T.); +#101797=ADVANCED_FACE('',(#101796),#101788,.T.); +#101809=ADVANCED_FACE('',(#101808),#101802,.T.); +#101822=ADVANCED_FACE('',(#101821),#101814,.T.); +#101834=ADVANCED_FACE('',(#101833),#101827,.T.); +#101841=EDGE_CURVE('',#45929,#45503,#31783,.T.); +#101843=EDGE_CURVE('',#45501,#45503,#31792,.T.); +#101845=EDGE_CURVE('',#45928,#45501,#31779,.T.); +#101849=ADVANCED_FACE('',(#101848),#101839,.T.); +#101861=ADVANCED_FACE('',(#101860),#101854,.T.); +#101873=ADVANCED_FACE('',(#101872),#101866,.T.); +#101885=ADVANCED_FACE('',(#101884),#101878,.T.); +#101895=EDGE_CURVE('',#48930,#45553,#31813,.T.); +#101899=ADVANCED_FACE('',(#101898),#101890,.T.); +#101908=EDGE_CURVE('',#49132,#45897,#31839,.T.); +#101914=ADVANCED_FACE('',(#101913),#101904,.T.); +#101928=ADVANCED_FACE('',(#101927),#101919,.T.); +#101935=EDGE_CURVE('',#49265,#49267,#31853,.T.); +#101937=EDGE_CURVE('',#49267,#49269,#31879,.T.); +#101942=ADVANCED_FACE('',(#101941),#101933,.T.); +#101950=EDGE_CURVE('',#49272,#49274,#31862,.T.); +#101952=EDGE_CURVE('',#49272,#49218,#31875,.T.); +#101955=EDGE_CURVE('',#49219,#49267,#31883,.T.); +#101959=ADVANCED_FACE('',(#101958),#101947,.T.); +#101965=EDGE_CURVE('',#49272,#49273,#31871,.T.); +#101972=ADVANCED_FACE('',(#101971),#101964,.T.); +#101985=ADVANCED_FACE('',(#101984),#101977,.T.); +#101998=ADVANCED_FACE('',(#101997),#101990,.T.); +#102007=EDGE_CURVE('',#45353,#45358,#31892,.T.); +#102009=EDGE_CURVE('',#48922,#45358,#31900,.T.); +#102013=ADVANCED_FACE('',(#102012),#102003,.T.); +#102025=ADVANCED_FACE('',(#102024),#102018,.T.); +#102037=ADVANCED_FACE('',(#102036),#102030,.T.); +#102044=EDGE_CURVE('',#48888,#45446,#31918,.T.); +#102048=EDGE_CURVE('',#48889,#45481,#32039,.T.); +#102052=ADVANCED_FACE('',(#102051),#102042,.T.); +#102060=EDGE_CURVE('',#49002,#49004,#31937,.T.); +#102066=ADVANCED_FACE('',(#102065),#102057,.T.); +#102075=EDGE_CURVE('',#45570,#49002,#31955,.T.); +#102079=ADVANCED_FACE('',(#102078),#102071,.T.); +#102085=EDGE_CURVE('',#49336,#49346,#31942,.T.); +#102087=EDGE_CURVE('',#49339,#49336,#31984,.T.); +#102089=EDGE_CURVE('',#49173,#49339,#31946,.T.); +#102102=EDGE_CURVE('',#49175,#49343,#31959,.T.); +#102104=EDGE_CURVE('',#49346,#49343,#32015,.T.); +#102108=ADVANCED_FACE('',(#102107),#102084,.T.); +#102114=EDGE_CURVE('',#49335,#49336,#31963,.T.); +#102117=EDGE_CURVE('',#49344,#49346,#31967,.T.); +#102119=EDGE_CURVE('',#49344,#49335,#31971,.T.); +#102123=ADVANCED_FACE('',(#102122),#102113,.T.); +#102130=EDGE_CURVE('',#49335,#49337,#31993,.T.); +#102132=EDGE_CURVE('',#49337,#49339,#32027,.T.); +#102137=ADVANCED_FACE('',(#102136),#102128,.T.); +#102145=EDGE_CURVE('',#49342,#49344,#32002,.T.); +#102147=EDGE_CURVE('',#49342,#49238,#32023,.T.); +#102150=EDGE_CURVE('',#49239,#49337,#32031,.T.); +#102154=ADVANCED_FACE('',(#102153),#102142,.T.); +#102160=EDGE_CURVE('',#49342,#49343,#32019,.T.); +#102167=ADVANCED_FACE('',(#102166),#102159,.T.); +#102180=ADVANCED_FACE('',(#102179),#102172,.T.); +#102193=ADVANCED_FACE('',(#102192),#102185,.T.); +#102205=ADVANCED_FACE('',(#102204),#102198,.T.); +#102211=EDGE_CURVE('',#48797,#48798,#32066,.T.); +#102214=EDGE_CURVE('',#49008,#49006,#32050,.T.); +#102219=ADVANCED_FACE('',(#102218),#102210,.T.); +#102232=ADVANCED_FACE('',(#102231),#102224,.T.); +#102242=EDGE_CURVE('',#48873,#45458,#32081,.T.); +#102246=ADVANCED_FACE('',(#102245),#102237,.T.); +#102256=EDGE_CURVE('',#48874,#45521,#32096,.T.); +#102260=ADVANCED_FACE('',(#102259),#102251,.T.); +#102272=ADVANCED_FACE('',(#102271),#102265,.T.); +#102284=ADVANCED_FACE('',(#102283),#102277,.T.); +#102291=EDGE_CURVE('',#45921,#45533,#32117,.T.); +#102293=EDGE_CURVE('',#45535,#45533,#32182,.T.); +#102295=EDGE_CURVE('',#45920,#45535,#32113,.T.); +#102299=ADVANCED_FACE('',(#102298),#102289,.T.); +#102307=EDGE_CURVE('',#45533,#45535,#32135,.T.); +#102312=ADVANCED_FACE('',(#102311),#102304,.T.); +#102319=EDGE_CURVE('',#45519,#45470,#32148,.T.); +#102321=EDGE_CURVE('',#45519,#45422,#32160,.T.); +#102323=EDGE_CURVE('',#45422,#45423,#32122,.T.); +#102328=EDGE_CURVE('',#45279,#45533,#32130,.T.); +#102331=EDGE_CURVE('',#45278,#45535,#32177,.T.); +#102335=ADVANCED_FACE('',(#102334),#102317,.T.); +#102342=EDGE_CURVE('',#45519,#48864,#32164,.T.); +#102349=ADVANCED_FACE('',(#102348),#102340,.T.); +#102356=EDGE_CURVE('',#48862,#45422,#32156,.T.); +#102362=ADVANCED_FACE('',(#102361),#102354,.T.); +#102371=EDGE_CURVE('',#45417,#45422,#32173,.T.); +#102376=ADVANCED_FACE('',(#102375),#102367,.T.); +#102388=ADVANCED_FACE('',(#102387),#102381,.T.); +#102400=ADVANCED_FACE('',(#102399),#102393,.T.); +#102407=EDGE_CURVE('',#45933,#45529,#32190,.T.); +#102409=EDGE_CURVE('',#45531,#45529,#32199,.T.); +#102411=EDGE_CURVE('',#45932,#45531,#32186,.T.); +#102415=ADVANCED_FACE('',(#102414),#102405,.T.); +#102427=ADVANCED_FACE('',(#102426),#102420,.T.); +#102439=ADVANCED_FACE('',(#102438),#102432,.T.); +#102451=ADVANCED_FACE('',(#102450),#102444,.T.); +#102461=EDGE_CURVE('',#48957,#48987,#32227,.T.); +#102465=ADVANCED_FACE('',(#102464),#102456,.T.); +#102474=EDGE_CURVE('',#45883,#49137,#32235,.T.); +#102480=ADVANCED_FACE('',(#102479),#102470,.T.); +#102494=ADVANCED_FACE('',(#102493),#102485,.T.); +#102501=EDGE_CURVE('',#45511,#48849,#32278,.T.); +#102508=ADVANCED_FACE('',(#102507),#102499,.T.); +#102520=ADVANCED_FACE('',(#102519),#102513,.T.); +#102533=ADVANCED_FACE('',(#102532),#102525,.T.); +#102546=ADVANCED_FACE('',(#102545),#102538,.T.); +#102553=EDGE_CURVE('',#49293,#49295,#32310,.T.); +#102555=EDGE_CURVE('',#49295,#49297,#32336,.T.); +#102560=ADVANCED_FACE('',(#102559),#102551,.T.); +#102568=EDGE_CURVE('',#49300,#49302,#32319,.T.); +#102570=EDGE_CURVE('',#49300,#49226,#32332,.T.); +#102573=EDGE_CURVE('',#49227,#49295,#32340,.T.); +#102577=ADVANCED_FACE('',(#102576),#102565,.T.); +#102583=EDGE_CURVE('',#49300,#49301,#32328,.T.); +#102590=ADVANCED_FACE('',(#102589),#102582,.T.); +#102603=ADVANCED_FACE('',(#102602),#102595,.T.); +#102616=ADVANCED_FACE('',(#102615),#102608,.T.); +#102625=EDGE_CURVE('',#44099,#44159,#32349,.T.); +#102630=ADVANCED_FACE('',(#102629),#102621,.F.); +#102639=EDGE_CURVE('',#44097,#44103,#32361,.T.); +#102644=ADVANCED_FACE('',(#102643),#102635,.F.); +#102655=ADVANCED_FACE('',(#102654),#102649,.F.); +#102662=EDGE_CURVE('',#44326,#44314,#32365,.T.); +#102664=EDGE_CURVE('',#44314,#44315,#32370,.T.); +#102666=EDGE_CURVE('',#44315,#44317,#32374,.T.); +#102671=ADVANCED_FACE('',(#102670),#102660,.F.); +#102678=EDGE_CURVE('',#44327,#44320,#32395,.T.); +#102680=EDGE_CURVE('',#44314,#44320,#32403,.T.); +#102685=ADVANCED_FACE('',(#102684),#102676,.T.); +#102693=EDGE_CURVE('',#44321,#44323,#32386,.T.); +#102695=EDGE_CURVE('',#44320,#44321,#32391,.T.); +#102700=ADVANCED_FACE('',(#102699),#102690,.T.); +#102707=EDGE_CURVE('',#44315,#44321,#32399,.T.); +#102713=ADVANCED_FACE('',(#102712),#102705,.T.); +#102725=ADVANCED_FACE('',(#102724),#102718,.T.); +#102731=EDGE_CURVE('',#49488,#49489,#32407,.T.); +#102734=EDGE_CURVE('',#44278,#44279,#32411,.T.); +#102739=ADVANCED_FACE('',(#102738),#102730,.F.); +#102745=EDGE_CURVE('',#49568,#49569,#32419,.T.); +#102747=EDGE_CURVE('',#49568,#49525,#32423,.T.); +#102749=EDGE_CURVE('',#49525,#49484,#32427,.T.); +#102752=EDGE_CURVE('',#44259,#49485,#32436,.T.); +#102754=EDGE_CURVE('',#44258,#44259,#32440,.T.); +#102756=EDGE_CURVE('',#44258,#48842,#32445,.T.); +#102758=EDGE_CURVE('',#48842,#48844,#32449,.T.); +#102760=EDGE_CURVE('',#48844,#44271,#32454,.T.); +#102762=EDGE_CURVE('',#44271,#44263,#32458,.T.); +#102767=ADVANCED_FACE('',(#102766),#102744,.F.); +#102773=EDGE_CURVE('',#49580,#49581,#32462,.T.); +#102777=EDGE_CURVE('',#49517,#49521,#32466,.T.); +#102779=EDGE_CURVE('',#49581,#49521,#32470,.T.); +#102783=ADVANCED_FACE('',(#102782),#102772,.F.); +#102791=EDGE_CURVE('',#49505,#49464,#32474,.T.); +#102796=ADVANCED_FACE('',(#102795),#102788,.F.); +#102808=ADVANCED_FACE('',(#102807),#102801,.F.); +#102816=EDGE_CURVE('',#44285,#44281,#32478,.T.); +#102821=ADVANCED_FACE('',(#102820),#102813,.F.); +#102827=EDGE_CURVE('',#44301,#44299,#32482,.T.); +#102834=ADVANCED_FACE('',(#102833),#102826,.F.); +#102840=EDGE_CURVE('',#55503,#55499,#32487,.T.); +#102842=EDGE_CURVE('',#45185,#55499,#32602,.T.); +#102849=EDGE_CURVE('',#44335,#55503,#32501,.T.); +#102853=ADVANCED_FACE('',(#102852),#102839,.F.); +#102860=EDGE_CURVE('',#55503,#55505,#32515,.T.); +#102863=EDGE_CURVE('',#55501,#55499,#32594,.T.); +#102867=ADVANCED_FACE('',(#102866),#102858,.T.); +#102875=EDGE_CURVE('',#55509,#55503,#32519,.T.); +#102877=EDGE_CURVE('',#55509,#55507,#32545,.T.); +#102881=ADVANCED_FACE('',(#102880),#102872,.T.); +#102890=EDGE_CURVE('',#45819,#55509,#32523,.T.); +#102894=ADVANCED_FACE('',(#102893),#102886,.T.); +#102900=EDGE_CURVE('',#55511,#55509,#32527,.T.); +#102904=EDGE_CURVE('',#55511,#55515,#32531,.T.); +#102908=ADVANCED_FACE('',(#102907),#102899,.T.); +#102915=EDGE_CURVE('',#55511,#55491,#32560,.T.); +#102921=ADVANCED_FACE('',(#102920),#102913,.T.); +#102927=EDGE_CURVE('',#55487,#55511,#32550,.T.); +#102929=EDGE_CURVE('',#55491,#55487,#32555,.T.); +#102934=ADVANCED_FACE('',(#102933),#102926,.T.); +#102946=ADVANCED_FACE('',(#102945),#102939,.T.); +#102953=EDGE_CURVE('',#55486,#55490,#32575,.T.); +#102959=ADVANCED_FACE('',(#102958),#102951,.T.); +#102965=EDGE_CURVE('',#55490,#55494,#32570,.T.); +#102968=EDGE_CURVE('',#55494,#55486,#32580,.T.); +#102972=ADVANCED_FACE('',(#102971),#102964,.T.); +#102978=EDGE_CURVE('',#55499,#55494,#32606,.T.); +#102985=ADVANCED_FACE('',(#102984),#102977,.T.); +#102991=EDGE_CURVE('',#55494,#55495,#32598,.T.); +#102998=ADVANCED_FACE('',(#102997),#102990,.T.); +#103010=ADVANCED_FACE('',(#103009),#103003,.T.); +#103018=EDGE_CURVE('',#49573,#49571,#32615,.T.); +#103020=EDGE_CURVE('',#49568,#49573,#32655,.T.); +#103024=ADVANCED_FACE('',(#103023),#103015,.T.); +#103030=EDGE_CURVE('',#49573,#49575,#32627,.T.); +#103034=EDGE_CURVE('',#49575,#49577,#32619,.T.); +#103038=ADVANCED_FACE('',(#103037),#103029,.T.); +#103044=EDGE_CURVE('',#49515,#49473,#32651,.T.); +#103047=EDGE_CURVE('',#49513,#49471,#32623,.T.); +#103052=ADVANCED_FACE('',(#103051),#103043,.F.); +#103059=EDGE_CURVE('',#49575,#49533,#32631,.T.); +#103061=EDGE_CURVE('',#49532,#49533,#32635,.T.); +#103063=EDGE_CURVE('',#49573,#49532,#32659,.T.); +#103067=ADVANCED_FACE('',(#103066),#103057,.F.); +#103073=EDGE_CURVE('',#49545,#49525,#32639,.T.); +#103075=EDGE_CURVE('',#49545,#49549,#32643,.T.); +#103077=EDGE_CURVE('',#49521,#49549,#32647,.T.); +#103086=ADVANCED_FACE('',(#103085),#103072,.F.); +#103094=EDGE_CURVE('',#49532,#49543,#32663,.T.); +#103096=EDGE_CURVE('',#49545,#49543,#32667,.T.); +#103102=ADVANCED_FACE('',(#103101),#103091,.F.); +#103109=EDGE_CURVE('',#49533,#49547,#32679,.T.); +#103111=EDGE_CURVE('',#49543,#49547,#32683,.T.); +#103116=ADVANCED_FACE('',(#103115),#103107,.T.); +#103122=EDGE_CURVE('',#49575,#49581,#32671,.T.); +#103126=EDGE_CURVE('',#49549,#49547,#32675,.T.); +#103132=ADVANCED_FACE('',(#103131),#103121,.F.); +#103144=ADVANCED_FACE('',(#103143),#103137,.T.); +#103156=ADVANCED_FACE('',(#103155),#103149,.T.); +#103168=ADVANCED_FACE('',(#103167),#103161,.F.); +#103175=EDGE_CURVE('',#44259,#44255,#32695,.T.); +#103181=ADVANCED_FACE('',(#103180),#103173,.T.); +#103188=EDGE_CURVE('',#44254,#44258,#32699,.T.); +#103194=ADVANCED_FACE('',(#103193),#103186,.F.); +#103201=EDGE_CURVE('',#48838,#48842,#32703,.T.); +#103207=ADVANCED_FACE('',(#103206),#103199,.F.); +#103214=EDGE_CURVE('',#48840,#48844,#32707,.T.); +#103220=ADVANCED_FACE('',(#103219),#103212,.F.); +#103227=EDGE_CURVE('',#44269,#44271,#32711,.T.); +#103233=ADVANCED_FACE('',(#103232),#103225,.F.); +#103245=ADVANCED_FACE('',(#103244),#103238,.F.); +#103252=EDGE_CURVE('',#49559,#49565,#32715,.T.); +#103254=EDGE_CURVE('',#49559,#49561,#32755,.T.); +#103259=ADVANCED_FACE('',(#103258),#103250,.T.); +#103267=EDGE_CURVE('',#49523,#49540,#32735,.T.); +#103269=EDGE_CURVE('',#49540,#49541,#32719,.T.); +#103271=EDGE_CURVE('',#49541,#49528,#32723,.T.); +#103273=EDGE_CURVE('',#49559,#49528,#32743,.T.); +#103277=ADVANCED_FACE('',(#103276),#103264,.F.); +#103283=EDGE_CURVE('',#49452,#49493,#32727,.T.); +#103287=EDGE_CURVE('',#49519,#49536,#32731,.T.); +#103289=EDGE_CURVE('',#49540,#49536,#32763,.T.); +#103296=ADVANCED_FACE('',(#103295),#103282,.F.); +#103304=EDGE_CURVE('',#49495,#49453,#32751,.T.); +#103309=ADVANCED_FACE('',(#103308),#103301,.F.); +#103315=EDGE_CURVE('',#49557,#49559,#32739,.T.); +#103318=EDGE_CURVE('',#49528,#49529,#32747,.T.); +#103320=EDGE_CURVE('',#49557,#49529,#32771,.T.); +#103324=ADVANCED_FACE('',(#103323),#103314,.F.); +#103336=ADVANCED_FACE('',(#103335),#103329,.F.); +#103348=ADVANCED_FACE('',(#103347),#103341,.T.); +#103356=EDGE_CURVE('',#49541,#49537,#32759,.T.); +#103358=EDGE_CURVE('',#49537,#49529,#32775,.T.); +#103362=ADVANCED_FACE('',(#103361),#103353,.T.); +#103368=EDGE_CURVE('',#49536,#49537,#32779,.T.); +#103375=ADVANCED_FACE('',(#103374),#103367,.T.); +#103389=ADVANCED_FACE('',(#103388),#103380,.F.); +#103399=EDGE_CURVE('',#55550,#55551,#32793,.T.); +#103404=ADVANCED_FACE('',(#103403),#103394,.T.); +#103416=ADVANCED_FACE('',(#103415),#103409,.T.); +#103424=EDGE_CURVE('',#49831,#49807,#32797,.T.); +#103426=EDGE_CURVE('',#49807,#49815,#32817,.T.); +#103430=ADVANCED_FACE('',(#103429),#103421,.T.); +#103437=EDGE_CURVE('',#49829,#49806,#32801,.T.); +#103439=EDGE_CURVE('',#49806,#49807,#32821,.T.); +#103444=ADVANCED_FACE('',(#103443),#103435,.T.); +#103451=EDGE_CURVE('',#49814,#49806,#32825,.T.); +#103457=ADVANCED_FACE('',(#103456),#103449,.T.); +#103464=EDGE_CURVE('',#49803,#49811,#32805,.T.); +#103466=EDGE_CURVE('',#49802,#49803,#32809,.T.); +#103471=EDGE_CURVE('',#53889,#53891,#33213,.T.); +#103473=EDGE_CURVE('',#53891,#53899,#33217,.T.); +#103475=EDGE_CURVE('',#53897,#53899,#33221,.T.); +#103477=EDGE_CURVE('',#53889,#53897,#33225,.T.); +#103481=EDGE_CURVE('',#53941,#53943,#33229,.T.); +#103483=EDGE_CURVE('',#53943,#53951,#33233,.T.); +#103485=EDGE_CURVE('',#53949,#53951,#33237,.T.); +#103487=EDGE_CURVE('',#53941,#53949,#33241,.T.); +#103491=EDGE_CURVE('',#54049,#54051,#33245,.T.); +#103493=EDGE_CURVE('',#54051,#54059,#33249,.T.); +#103495=EDGE_CURVE('',#54057,#54059,#33253,.T.); +#103497=EDGE_CURVE('',#54049,#54057,#33257,.T.); +#103501=EDGE_CURVE('',#54101,#54103,#33261,.T.); +#103503=EDGE_CURVE('',#54103,#54111,#33265,.T.); +#103505=EDGE_CURVE('',#54109,#54111,#33269,.T.); +#103507=EDGE_CURVE('',#54101,#54109,#33273,.T.); +#103511=EDGE_CURVE('',#54209,#54211,#33277,.T.); +#103513=EDGE_CURVE('',#54211,#54219,#33281,.T.); +#103515=EDGE_CURVE('',#54217,#54219,#33285,.T.); +#103517=EDGE_CURVE('',#54209,#54217,#33289,.T.); +#103521=EDGE_CURVE('',#54261,#54263,#33293,.T.); +#103523=EDGE_CURVE('',#54263,#54271,#33297,.T.); +#103525=EDGE_CURVE('',#54269,#54271,#33301,.T.); +#103527=EDGE_CURVE('',#54261,#54269,#33305,.T.); +#103531=EDGE_CURVE('',#54369,#54371,#33309,.T.); +#103533=EDGE_CURVE('',#54371,#54379,#33313,.T.); +#103535=EDGE_CURVE('',#54377,#54379,#33317,.T.); +#103537=EDGE_CURVE('',#54369,#54377,#33321,.T.); +#103541=EDGE_CURVE('',#54421,#54423,#33325,.T.); +#103543=EDGE_CURVE('',#54423,#54431,#33329,.T.); +#103545=EDGE_CURVE('',#54429,#54431,#33333,.T.); +#103547=EDGE_CURVE('',#54421,#54429,#33337,.T.); +#103551=EDGE_CURVE('',#54529,#54531,#33341,.T.); +#103553=EDGE_CURVE('',#54531,#54539,#33345,.T.); +#103555=EDGE_CURVE('',#54537,#54539,#33349,.T.); +#103557=EDGE_CURVE('',#54529,#54537,#33353,.T.); +#103561=EDGE_CURVE('',#54581,#54583,#33357,.T.); +#103563=EDGE_CURVE('',#54583,#54591,#33361,.T.); +#103565=EDGE_CURVE('',#54589,#54591,#33365,.T.); +#103567=EDGE_CURVE('',#54581,#54589,#33369,.T.); +#103571=EDGE_CURVE('',#54689,#54691,#33373,.T.); +#103573=EDGE_CURVE('',#54691,#54699,#33377,.T.); +#103575=EDGE_CURVE('',#54697,#54699,#33381,.T.); +#103577=EDGE_CURVE('',#54689,#54697,#33385,.T.); +#103581=EDGE_CURVE('',#54741,#54743,#33389,.T.); +#103583=EDGE_CURVE('',#54743,#54751,#33393,.T.); +#103585=EDGE_CURVE('',#54749,#54751,#33397,.T.); +#103587=EDGE_CURVE('',#54741,#54749,#33401,.T.); +#103591=EDGE_CURVE('',#54849,#54851,#33405,.T.); +#103593=EDGE_CURVE('',#54851,#54859,#33409,.T.); +#103595=EDGE_CURVE('',#54857,#54859,#33413,.T.); +#103597=EDGE_CURVE('',#54849,#54857,#33417,.T.); +#103601=EDGE_CURVE('',#54901,#54903,#33421,.T.); +#103603=EDGE_CURVE('',#54903,#54911,#33425,.T.); +#103605=EDGE_CURVE('',#54909,#54911,#33429,.T.); +#103607=EDGE_CURVE('',#54901,#54909,#33433,.T.); +#103611=ADVANCED_FACE('',(#103470,#103480,#103490,#103500,#103510,#103520, +#103530,#103540,#103550,#103560,#103570,#103580,#103590,#103600,#103610), +#103462,.T.); +#103623=EDGE_CURVE('',#51082,#51083,#32829,.T.); +#103625=EDGE_CURVE('',#51083,#51087,#32833,.T.); +#103627=EDGE_CURVE('',#51086,#51087,#32837,.T.); +#103629=EDGE_CURVE('',#51082,#51086,#32841,.T.); +#103633=EDGE_CURVE('',#51130,#51131,#32845,.T.); +#103635=EDGE_CURVE('',#51131,#51135,#32849,.T.); +#103637=EDGE_CURVE('',#51134,#51135,#32853,.T.); +#103639=EDGE_CURVE('',#51130,#51134,#32857,.T.); +#103643=EDGE_CURVE('',#51425,#51427,#32861,.T.); +#103645=EDGE_CURVE('',#51427,#51435,#32865,.T.); +#103647=EDGE_CURVE('',#51433,#51435,#32869,.T.); +#103649=EDGE_CURVE('',#51425,#51433,#32873,.T.); +#103653=EDGE_CURVE('',#51477,#51479,#32877,.T.); +#103655=EDGE_CURVE('',#51479,#51487,#32881,.T.); +#103657=EDGE_CURVE('',#51485,#51487,#32885,.T.); +#103659=EDGE_CURVE('',#51477,#51485,#32889,.T.); +#103663=EDGE_CURVE('',#51649,#51651,#32893,.T.); +#103665=EDGE_CURVE('',#51651,#51659,#32897,.T.); +#103667=EDGE_CURVE('',#51657,#51659,#32901,.T.); +#103669=EDGE_CURVE('',#51649,#51657,#32905,.T.); +#103673=EDGE_CURVE('',#51701,#51703,#32909,.T.); +#103675=EDGE_CURVE('',#51703,#51711,#32913,.T.); +#103677=EDGE_CURVE('',#51709,#51711,#32917,.T.); +#103679=EDGE_CURVE('',#51701,#51709,#32921,.T.); +#103683=EDGE_CURVE('',#51809,#51811,#32925,.T.); +#103685=EDGE_CURVE('',#51811,#51819,#32929,.T.); +#103687=EDGE_CURVE('',#51817,#51819,#32933,.T.); +#103689=EDGE_CURVE('',#51809,#51817,#32937,.T.); +#103693=EDGE_CURVE('',#51861,#51863,#32941,.T.); +#103695=EDGE_CURVE('',#51863,#51871,#32945,.T.); +#103697=EDGE_CURVE('',#51869,#51871,#32949,.T.); +#103699=EDGE_CURVE('',#51861,#51869,#32953,.T.); +#103703=EDGE_CURVE('',#51969,#51971,#32957,.T.); +#103705=EDGE_CURVE('',#51971,#51979,#32961,.T.); +#103707=EDGE_CURVE('',#51977,#51979,#32965,.T.); +#103709=EDGE_CURVE('',#51969,#51977,#32969,.T.); +#103713=EDGE_CURVE('',#52021,#52023,#32973,.T.); +#103715=EDGE_CURVE('',#52023,#52031,#32977,.T.); +#103717=EDGE_CURVE('',#52029,#52031,#32981,.T.); +#103719=EDGE_CURVE('',#52021,#52029,#32985,.T.); +#103723=EDGE_CURVE('',#52129,#52131,#32989,.T.); +#103725=EDGE_CURVE('',#52131,#52139,#32993,.T.); +#103727=EDGE_CURVE('',#52137,#52139,#32997,.T.); +#103729=EDGE_CURVE('',#52129,#52137,#33001,.T.); +#103733=EDGE_CURVE('',#52181,#52183,#33005,.T.); +#103735=EDGE_CURVE('',#52183,#52191,#33009,.T.); +#103737=EDGE_CURVE('',#52189,#52191,#33013,.T.); +#103739=EDGE_CURVE('',#52181,#52189,#33017,.T.); +#103743=EDGE_CURVE('',#52289,#52291,#33021,.T.); +#103745=EDGE_CURVE('',#52291,#52299,#33025,.T.); +#103747=EDGE_CURVE('',#52297,#52299,#33029,.T.); +#103749=EDGE_CURVE('',#52289,#52297,#33033,.T.); +#103753=EDGE_CURVE('',#52341,#52343,#33037,.T.); +#103755=EDGE_CURVE('',#52343,#52351,#33041,.T.); +#103757=EDGE_CURVE('',#52349,#52351,#33045,.T.); +#103759=EDGE_CURVE('',#52341,#52349,#33049,.T.); +#103763=EDGE_CURVE('',#52449,#52451,#33053,.T.); +#103765=EDGE_CURVE('',#52451,#52459,#33057,.T.); +#103767=EDGE_CURVE('',#52457,#52459,#33061,.T.); +#103769=EDGE_CURVE('',#52449,#52457,#33065,.T.); +#103773=EDGE_CURVE('',#52501,#52503,#33069,.T.); +#103775=EDGE_CURVE('',#52503,#52511,#33073,.T.); +#103777=EDGE_CURVE('',#52509,#52511,#33077,.T.); +#103779=EDGE_CURVE('',#52501,#52509,#33081,.T.); +#103783=EDGE_CURVE('',#52609,#52611,#33085,.T.); +#103785=EDGE_CURVE('',#52611,#52619,#33089,.T.); +#103787=EDGE_CURVE('',#52617,#52619,#33093,.T.); +#103789=EDGE_CURVE('',#52609,#52617,#33097,.T.); +#103793=EDGE_CURVE('',#52661,#52663,#33101,.T.); +#103795=EDGE_CURVE('',#52663,#52671,#33105,.T.); +#103797=EDGE_CURVE('',#52669,#52671,#33109,.T.); +#103799=EDGE_CURVE('',#52661,#52669,#33113,.T.); +#103803=EDGE_CURVE('',#52769,#52771,#33117,.T.); +#103805=EDGE_CURVE('',#52771,#52779,#33121,.T.); +#103807=EDGE_CURVE('',#52777,#52779,#33125,.T.); +#103809=EDGE_CURVE('',#52769,#52777,#33129,.T.); +#103813=EDGE_CURVE('',#52821,#52823,#33133,.T.); +#103815=EDGE_CURVE('',#52823,#52831,#33137,.T.); +#103817=EDGE_CURVE('',#52829,#52831,#33141,.T.); +#103819=EDGE_CURVE('',#52821,#52829,#33145,.T.); +#103823=EDGE_CURVE('',#52929,#52931,#33149,.T.); +#103825=EDGE_CURVE('',#52931,#52939,#33153,.T.); +#103827=EDGE_CURVE('',#52937,#52939,#33157,.T.); +#103829=EDGE_CURVE('',#52929,#52937,#33161,.T.); +#103833=EDGE_CURVE('',#52981,#52983,#33165,.T.); +#103835=EDGE_CURVE('',#52983,#52991,#33169,.T.); +#103837=EDGE_CURVE('',#52989,#52991,#33173,.T.); +#103839=EDGE_CURVE('',#52981,#52989,#33177,.T.); +#103843=EDGE_CURVE('',#53089,#53091,#33181,.T.); +#103845=EDGE_CURVE('',#53091,#53099,#33185,.T.); +#103847=EDGE_CURVE('',#53097,#53099,#33189,.T.); +#103849=EDGE_CURVE('',#53089,#53097,#33193,.T.); +#103853=EDGE_CURVE('',#53141,#53143,#33197,.T.); +#103855=EDGE_CURVE('',#53143,#53151,#33201,.T.); +#103857=EDGE_CURVE('',#53149,#53151,#33205,.T.); +#103859=EDGE_CURVE('',#53141,#53149,#33209,.T.); +#103863=ADVANCED_FACE('',(#103622,#103632,#103642,#103652,#103662,#103672, +#103682,#103692,#103702,#103712,#103722,#103732,#103742,#103752,#103762,#103772, +#103782,#103792,#103802,#103812,#103822,#103832,#103842,#103852,#103862), +#103616,.T.); +#103871=EDGE_CURVE('',#49827,#49803,#33437,.T.); +#103876=ADVANCED_FACE('',(#103875),#103868,.T.); +#103888=ADVANCED_FACE('',(#103887),#103881,.T.); +#103894=EDGE_CURVE('',#51266,#51267,#33445,.T.); +#103896=EDGE_CURVE('',#51266,#51046,#33449,.T.); +#103898=EDGE_CURVE('',#51046,#51047,#33454,.T.); +#103900=EDGE_CURVE('',#51047,#51083,#33458,.T.); +#103903=EDGE_CURVE('',#51082,#51042,#33462,.T.); +#103905=EDGE_CURVE('',#51042,#51043,#33467,.T.); +#103907=EDGE_CURVE('',#51043,#51267,#33471,.T.); +#103911=ADVANCED_FACE('',(#103910),#103893,.F.); +#103917=EDGE_CURVE('',#51270,#51271,#33475,.T.); +#103919=EDGE_CURVE('',#51270,#51054,#33479,.T.); +#103921=EDGE_CURVE('',#51054,#51055,#33484,.T.); +#103923=EDGE_CURVE('',#51055,#51075,#33488,.T.); +#103926=EDGE_CURVE('',#51074,#51050,#33492,.T.); +#103928=EDGE_CURVE('',#51050,#51051,#33497,.T.); +#103930=EDGE_CURVE('',#51051,#51271,#33501,.T.); +#103934=ADVANCED_FACE('',(#103933),#103916,.F.); +#103941=EDGE_CURVE('',#51267,#51301,#33513,.T.); +#103944=EDGE_CURVE('',#51266,#51315,#33557,.T.); +#103948=ADVANCED_FACE('',(#103947),#103939,.F.); +#103955=EDGE_CURVE('',#51271,#51325,#33653,.T.); +#103958=EDGE_CURVE('',#51270,#51339,#33689,.T.); +#103962=ADVANCED_FACE('',(#103961),#103953,.F.); +#103968=EDGE_CURVE('',#51298,#51299,#33505,.T.); +#103971=EDGE_CURVE('',#51304,#51305,#33509,.T.); +#103973=EDGE_CURVE('',#51298,#51304,#33537,.T.); +#103977=ADVANCED_FACE('',(#103976),#103967,.T.); +#103985=EDGE_CURVE('',#51043,#51059,#33517,.T.); +#103987=EDGE_CURVE('',#51059,#51275,#33577,.T.); +#103989=EDGE_CURVE('',#51307,#51275,#33521,.T.); +#103994=ADVANCED_FACE('',(#103993),#103982,.T.); +#104001=EDGE_CURVE('',#51298,#51309,#33533,.T.); +#104003=EDGE_CURVE('',#51309,#51313,#33545,.T.); +#104008=ADVANCED_FACE('',(#104007),#103999,.F.); +#104014=EDGE_CURVE('',#51322,#51323,#33645,.T.); +#104016=EDGE_CURVE('',#51322,#51333,#33665,.T.); +#104018=EDGE_CURVE('',#51333,#51337,#33677,.T.); +#104023=ADVANCED_FACE('',(#104022),#104013,.F.); +#104031=EDGE_CURVE('',#51304,#51311,#33541,.T.); +#104033=EDGE_CURVE('',#51309,#51311,#33549,.T.); +#104037=ADVANCED_FACE('',(#104036),#104028,.T.); +#104045=EDGE_CURVE('',#51311,#51317,#33553,.T.); +#104050=ADVANCED_FACE('',(#104049),#104042,.F.); +#104056=EDGE_CURVE('',#51328,#51329,#33649,.T.); +#104059=EDGE_CURVE('',#51335,#51341,#33685,.T.); +#104061=EDGE_CURVE('',#51328,#51335,#33673,.T.); +#104065=ADVANCED_FACE('',(#104064),#104055,.F.); +#104077=ADVANCED_FACE('',(#104076),#104070,.T.); +#104085=EDGE_CURVE('',#51319,#51274,#33561,.T.); +#104087=EDGE_CURVE('',#51274,#51062,#33599,.T.); +#104089=EDGE_CURVE('',#51046,#51062,#33641,.T.); +#104094=ADVANCED_FACE('',(#104093),#104082,.T.); +#104100=EDGE_CURVE('',#51274,#51275,#33573,.T.); +#104107=ADVANCED_FACE('',(#104106),#104099,.F.); +#104113=EDGE_CURVE('',#51278,#51279,#33603,.T.); +#104115=EDGE_CURVE('',#51343,#51278,#33693,.T.); +#104118=EDGE_CURVE('',#51331,#51279,#33661,.T.); +#104122=ADVANCED_FACE('',(#104121),#104112,.F.); +#104130=EDGE_CURVE('',#51058,#51059,#33582,.T.); +#104132=EDGE_CURVE('',#51086,#51058,#33586,.T.); +#104135=EDGE_CURVE('',#51063,#51087,#33590,.T.); +#104137=EDGE_CURVE('',#51062,#51063,#33595,.T.); +#104142=ADVANCED_FACE('',(#104141),#104127,.T.); +#104149=EDGE_CURVE('',#51067,#51279,#33607,.T.); +#104151=EDGE_CURVE('',#51066,#51067,#33612,.T.); +#104153=EDGE_CURVE('',#51078,#51066,#33616,.T.); +#104156=EDGE_CURVE('',#51071,#51079,#33620,.T.); +#104158=EDGE_CURVE('',#51070,#51071,#33625,.T.); +#104160=EDGE_CURVE('',#51278,#51070,#33629,.T.); +#104164=ADVANCED_FACE('',(#104163),#104147,.T.); +#104171=EDGE_CURVE('',#51042,#51058,#33633,.T.); +#104177=ADVANCED_FACE('',(#104176),#104169,.F.); +#104189=ADVANCED_FACE('',(#104188),#104182,.T.); +#104197=EDGE_CURVE('',#51047,#51063,#33637,.T.); +#104202=ADVANCED_FACE('',(#104201),#104194,.T.); +#104214=ADVANCED_FACE('',(#104213),#104207,.T.); +#104223=EDGE_CURVE('',#51322,#51328,#33669,.T.); +#104227=ADVANCED_FACE('',(#104226),#104219,.T.); +#104235=EDGE_CURVE('',#51051,#51067,#33657,.T.); +#104242=ADVANCED_FACE('',(#104241),#104232,.T.); +#104251=EDGE_CURVE('',#51333,#51335,#33681,.T.); +#104255=ADVANCED_FACE('',(#104254),#104247,.T.); +#104267=ADVANCED_FACE('',(#104266),#104260,.T.); +#104277=EDGE_CURVE('',#51054,#51070,#33697,.T.); +#104282=ADVANCED_FACE('',(#104281),#104272,.T.); +#104291=EDGE_CURVE('',#51055,#51071,#33701,.T.); +#104295=ADVANCED_FACE('',(#104294),#104287,.T.); +#104307=ADVANCED_FACE('',(#104306),#104300,.T.); +#104314=EDGE_CURVE('',#51050,#51066,#33705,.T.); +#104320=ADVANCED_FACE('',(#104319),#104312,.F.); +#104332=ADVANCED_FACE('',(#104331),#104325,.T.); +#104338=EDGE_CURVE('',#51282,#51283,#33709,.T.); +#104340=EDGE_CURVE('',#51282,#51102,#33713,.T.); +#104342=EDGE_CURVE('',#51102,#51103,#33718,.T.); +#104344=EDGE_CURVE('',#51103,#51131,#33722,.T.); +#104347=EDGE_CURVE('',#51130,#51098,#33726,.T.); +#104349=EDGE_CURVE('',#51098,#51099,#33731,.T.); +#104351=EDGE_CURVE('',#51099,#51283,#33735,.T.); +#104355=ADVANCED_FACE('',(#104354),#104337,.F.); +#104361=EDGE_CURVE('',#51286,#51287,#33739,.T.); +#104363=EDGE_CURVE('',#51286,#51094,#33743,.T.); +#104365=EDGE_CURVE('',#51094,#51095,#33748,.T.); +#104367=EDGE_CURVE('',#51095,#51123,#33752,.T.); +#104370=EDGE_CURVE('',#51122,#51090,#33756,.T.); +#104372=EDGE_CURVE('',#51090,#51091,#33761,.T.); +#104374=EDGE_CURVE('',#51091,#51287,#33765,.T.); +#104378=ADVANCED_FACE('',(#104377),#104360,.F.); +#104385=EDGE_CURVE('',#51283,#51379,#33781,.T.); +#104388=EDGE_CURVE('',#51282,#51391,#33877,.T.); +#104392=ADVANCED_FACE('',(#104391),#104383,.F.); +#104399=EDGE_CURVE('',#51287,#51355,#33801,.T.); +#104402=EDGE_CURVE('',#51286,#51367,#33837,.T.); +#104406=ADVANCED_FACE('',(#104405),#104397,.F.); +#104412=EDGE_CURVE('',#51370,#51371,#33769,.T.); +#104414=EDGE_CURVE('',#51376,#51370,#33857,.T.); +#104416=EDGE_CURVE('',#51376,#51377,#33773,.T.); +#104421=ADVANCED_FACE('',(#104420),#104411,.T.); +#104427=EDGE_CURVE('',#51373,#51291,#33777,.T.); +#104432=EDGE_CURVE('',#51099,#51115,#33785,.T.); +#104434=EDGE_CURVE('',#51115,#51291,#33893,.T.); +#104438=ADVANCED_FACE('',(#104437),#104426,.T.); +#104444=EDGE_CURVE('',#51346,#51347,#33789,.T.); +#104447=EDGE_CURVE('',#51357,#51361,#33821,.T.); +#104449=EDGE_CURVE('',#51346,#51357,#33809,.T.); +#104453=ADVANCED_FACE('',(#104452),#104443,.F.); +#104461=EDGE_CURVE('',#51381,#51385,#33861,.T.); +#104463=EDGE_CURVE('',#51370,#51381,#33849,.T.); +#104467=ADVANCED_FACE('',(#104466),#104458,.F.); +#104474=EDGE_CURVE('',#51352,#51346,#33817,.T.); +#104476=EDGE_CURVE('',#51352,#51353,#33793,.T.); +#104481=ADVANCED_FACE('',(#104480),#104472,.T.); +#104487=EDGE_CURVE('',#51349,#51295,#33797,.T.); +#104492=EDGE_CURVE('',#51091,#51107,#33805,.T.); +#104494=EDGE_CURVE('',#51107,#51295,#33923,.T.); +#104498=ADVANCED_FACE('',(#104497),#104486,.T.); +#104505=EDGE_CURVE('',#51359,#51357,#33829,.T.); +#104507=EDGE_CURVE('',#51352,#51359,#33813,.T.); +#104512=ADVANCED_FACE('',(#104511),#104503,.T.); +#104520=EDGE_CURVE('',#51359,#51365,#33825,.T.); +#104525=ADVANCED_FACE('',(#104524),#104517,.T.); +#104531=EDGE_CURVE('',#51363,#51294,#33833,.T.); +#104533=EDGE_CURVE('',#51294,#51110,#33945,.T.); +#104535=EDGE_CURVE('',#51094,#51110,#33969,.T.); +#104542=ADVANCED_FACE('',(#104541),#104530,.T.); +#104554=ADVANCED_FACE('',(#104553),#104547,.F.); +#104561=EDGE_CURVE('',#51376,#51383,#33853,.T.); +#104563=EDGE_CURVE('',#51383,#51389,#33865,.T.); +#104568=ADVANCED_FACE('',(#104567),#104559,.F.); +#104575=EDGE_CURVE('',#51383,#51381,#33869,.T.); +#104581=ADVANCED_FACE('',(#104580),#104573,.T.); +#104593=ADVANCED_FACE('',(#104592),#104586,.T.); +#104599=EDGE_CURVE('',#51387,#51290,#33873,.T.); +#104601=EDGE_CURVE('',#51290,#51118,#33915,.T.); +#104603=EDGE_CURVE('',#51102,#51118,#33957,.T.); +#104610=ADVANCED_FACE('',(#104609),#104598,.T.); +#104616=EDGE_CURVE('',#51290,#51291,#33889,.T.); +#104623=ADVANCED_FACE('',(#104622),#104615,.F.); +#104629=EDGE_CURVE('',#51294,#51295,#33919,.T.); +#104636=ADVANCED_FACE('',(#104635),#104628,.F.); +#104644=EDGE_CURVE('',#51114,#51115,#33898,.T.); +#104646=EDGE_CURVE('',#51134,#51114,#33902,.T.); +#104649=EDGE_CURVE('',#51119,#51135,#33906,.T.); +#104651=EDGE_CURVE('',#51118,#51119,#33911,.T.); +#104656=ADVANCED_FACE('',(#104655),#104641,.T.); +#104664=EDGE_CURVE('',#51106,#51107,#33928,.T.); +#104666=EDGE_CURVE('',#51126,#51106,#33932,.T.); +#104669=EDGE_CURVE('',#51111,#51127,#33936,.T.); +#104671=EDGE_CURVE('',#51110,#51111,#33941,.T.); +#104676=ADVANCED_FACE('',(#104675),#104661,.T.); +#104683=EDGE_CURVE('',#51098,#51114,#33949,.T.); +#104689=ADVANCED_FACE('',(#104688),#104681,.F.); +#104701=ADVANCED_FACE('',(#104700),#104694,.T.); +#104709=EDGE_CURVE('',#51103,#51119,#33953,.T.); +#104714=ADVANCED_FACE('',(#104713),#104706,.T.); +#104726=ADVANCED_FACE('',(#104725),#104719,.T.); +#104733=EDGE_CURVE('',#51090,#51106,#33961,.T.); +#104739=ADVANCED_FACE('',(#104738),#104731,.F.); +#104751=ADVANCED_FACE('',(#104750),#104744,.T.); +#104759=EDGE_CURVE('',#51095,#51111,#33965,.T.); +#104764=ADVANCED_FACE('',(#104763),#104756,.T.); +#104776=ADVANCED_FACE('',(#104775),#104769,.T.); +#104782=EDGE_CURVE('',#51554,#51555,#33973,.T.); +#104784=EDGE_CURVE('',#51554,#51398,#33977,.T.); +#104786=EDGE_CURVE('',#51398,#51399,#33982,.T.); +#104788=EDGE_CURVE('',#51399,#51427,#33986,.T.); +#104791=EDGE_CURVE('',#51425,#51394,#33990,.T.); +#104793=EDGE_CURVE('',#51394,#51395,#33995,.T.); +#104795=EDGE_CURVE('',#51395,#51555,#33999,.T.); +#104799=ADVANCED_FACE('',(#104798),#104781,.F.); +#104805=EDGE_CURVE('',#51558,#51559,#34003,.T.); +#104807=EDGE_CURVE('',#51558,#51406,#34007,.T.); +#104809=EDGE_CURVE('',#51406,#51407,#34012,.T.); +#104811=EDGE_CURVE('',#51407,#51431,#34016,.T.); +#104814=EDGE_CURVE('',#51429,#51402,#34020,.T.); +#104816=EDGE_CURVE('',#51402,#51403,#34025,.T.); +#104818=EDGE_CURVE('',#51403,#51559,#34029,.T.); +#104822=ADVANCED_FACE('',(#104821),#104804,.F.); +#104829=EDGE_CURVE('',#51554,#51498,#34045,.T.); +#104832=EDGE_CURVE('',#51555,#51499,#34069,.T.); +#104836=ADVANCED_FACE('',(#104835),#104827,.F.); +#104843=EDGE_CURVE('',#51558,#51502,#34105,.T.); +#104846=EDGE_CURVE('',#51559,#51503,#34133,.T.); +#104850=ADVANCED_FACE('',(#104849),#104841,.F.); +#104856=EDGE_CURVE('',#51573,#51490,#34033,.T.); +#104858=EDGE_CURVE('',#51573,#51575,#34037,.T.); +#104860=EDGE_CURVE('',#51575,#51506,#34041,.T.); +#104865=ADVANCED_FACE('',(#104864),#104855,.T.); +#104873=EDGE_CURVE('',#51514,#51562,#34049,.T.); +#104875=EDGE_CURVE('',#51562,#51414,#34175,.T.); +#104877=EDGE_CURVE('',#51398,#51414,#34225,.T.); +#104882=ADVANCED_FACE('',(#104881),#104870,.T.); +#104889=EDGE_CURVE('',#51569,#51491,#34061,.T.); +#104891=EDGE_CURVE('',#51569,#51573,#34081,.T.); +#104896=ADVANCED_FACE('',(#104895),#104887,.F.); +#104903=EDGE_CURVE('',#51577,#51495,#34125,.T.); +#104905=EDGE_CURVE('',#51577,#51581,#34113,.T.); +#104907=EDGE_CURVE('',#51581,#51494,#34093,.T.); +#104911=ADVANCED_FACE('',(#104910),#104901,.F.); +#104919=EDGE_CURVE('',#51571,#51507,#34065,.T.); +#104921=EDGE_CURVE('',#51569,#51571,#34085,.T.); +#104925=ADVANCED_FACE('',(#104924),#104916,.T.); +#104933=EDGE_CURVE('',#51395,#51411,#34073,.T.); +#104935=EDGE_CURVE('',#51411,#51563,#34153,.T.); +#104937=EDGE_CURVE('',#51515,#51563,#34077,.T.); +#104942=ADVANCED_FACE('',(#104941),#104930,.T.); +#104950=EDGE_CURVE('',#51571,#51575,#34089,.T.); +#104955=ADVANCED_FACE('',(#104954),#104947,.F.); +#104962=EDGE_CURVE('',#51583,#51510,#34101,.T.); +#104964=EDGE_CURVE('',#51579,#51583,#34121,.T.); +#104966=EDGE_CURVE('',#51579,#51511,#34129,.T.); +#104970=ADVANCED_FACE('',(#104969),#104960,.F.); +#104982=ADVANCED_FACE('',(#104981),#104975,.T.); +#104989=EDGE_CURVE('',#51581,#51583,#34097,.T.); +#104995=ADVANCED_FACE('',(#104994),#104987,.T.); +#105003=EDGE_CURVE('',#51518,#51566,#34109,.T.); +#105005=EDGE_CURVE('',#51566,#51422,#34205,.T.); +#105007=EDGE_CURVE('',#51406,#51422,#34233,.T.); +#105012=ADVANCED_FACE('',(#105011),#105000,.T.); +#105019=EDGE_CURVE('',#51577,#51579,#34117,.T.); +#105025=ADVANCED_FACE('',(#105024),#105017,.T.); +#105037=ADVANCED_FACE('',(#105036),#105030,.T.); +#105045=EDGE_CURVE('',#51403,#51419,#34137,.T.); +#105047=EDGE_CURVE('',#51419,#51567,#34183,.T.); +#105049=EDGE_CURVE('',#51519,#51567,#34141,.T.); +#105054=ADVANCED_FACE('',(#105053),#105042,.T.); +#105061=EDGE_CURVE('',#51402,#51418,#34145,.T.); +#105063=EDGE_CURVE('',#51418,#51419,#34188,.T.); +#105068=ADVANCED_FACE('',(#105067),#105059,.F.); +#105076=EDGE_CURVE('',#51437,#51418,#34192,.T.); +#105081=ADVANCED_FACE('',(#105080),#105073,.T.); +#105087=EDGE_CURVE('',#51562,#51563,#34149,.T.); +#105090=EDGE_CURVE('',#51410,#51411,#34158,.T.); +#105092=EDGE_CURVE('',#51433,#51410,#34162,.T.); +#105095=EDGE_CURVE('',#51415,#51435,#34166,.T.); +#105097=EDGE_CURVE('',#51414,#51415,#34171,.T.); +#105102=ADVANCED_FACE('',(#105101),#105086,.T.); +#105108=EDGE_CURVE('',#51566,#51567,#34179,.T.); +#105114=EDGE_CURVE('',#51423,#51439,#34196,.T.); +#105116=EDGE_CURVE('',#51422,#51423,#34201,.T.); +#105121=ADVANCED_FACE('',(#105120),#105107,.T.); +#105133=ADVANCED_FACE('',(#105132),#105126,.F.); +#105145=ADVANCED_FACE('',(#105144),#105138,.F.); +#105152=EDGE_CURVE('',#51394,#51410,#34217,.T.); +#105158=ADVANCED_FACE('',(#105157),#105150,.F.); +#105170=ADVANCED_FACE('',(#105169),#105163,.T.); +#105177=EDGE_CURVE('',#51399,#51415,#34221,.T.); +#105183=ADVANCED_FACE('',(#105182),#105175,.T.); +#105195=ADVANCED_FACE('',(#105194),#105188,.T.); +#105202=EDGE_CURVE('',#51407,#51423,#34229,.T.); +#105208=ADVANCED_FACE('',(#105207),#105200,.T.); +#105220=ADVANCED_FACE('',(#105219),#105213,.T.); +#105226=EDGE_CURVE('',#51586,#51587,#34237,.T.); +#105228=EDGE_CURVE('',#51586,#51454,#34241,.T.); +#105230=EDGE_CURVE('',#51454,#51455,#34246,.T.); +#105232=EDGE_CURVE('',#51455,#51479,#34250,.T.); +#105235=EDGE_CURVE('',#51477,#51450,#34254,.T.); +#105237=EDGE_CURVE('',#51450,#51451,#34259,.T.); +#105239=EDGE_CURVE('',#51451,#51587,#34263,.T.); +#105243=ADVANCED_FACE('',(#105242),#105225,.F.); +#105249=EDGE_CURVE('',#51590,#51591,#34267,.T.); +#105251=EDGE_CURVE('',#51590,#51446,#34271,.T.); +#105253=EDGE_CURVE('',#51446,#51447,#34276,.T.); +#105255=EDGE_CURVE('',#51447,#51475,#34280,.T.); +#105258=EDGE_CURVE('',#51473,#51442,#34284,.T.); +#105260=EDGE_CURVE('',#51442,#51443,#34289,.T.); +#105262=EDGE_CURVE('',#51443,#51591,#34293,.T.); +#105266=ADVANCED_FACE('',(#105265),#105248,.F.); +#105273=EDGE_CURVE('',#51586,#51546,#34313,.T.); +#105276=EDGE_CURVE('',#51587,#51547,#34349,.T.); +#105280=ADVANCED_FACE('',(#105279),#105271,.F.); +#105287=EDGE_CURVE('',#51590,#51550,#34485,.T.); +#105290=EDGE_CURVE('',#51591,#51551,#34449,.T.); +#105294=ADVANCED_FACE('',(#105293),#105285,.F.); +#105300=EDGE_CURVE('',#51613,#51522,#34297,.T.); +#105303=EDGE_CURVE('',#51615,#51538,#34301,.T.); +#105305=EDGE_CURVE('',#51615,#51613,#34305,.T.); +#105309=ADVANCED_FACE('',(#105308),#105299,.T.); +#105315=EDGE_CURVE('',#51530,#51594,#34309,.T.); +#105317=EDGE_CURVE('',#51594,#51470,#34391,.T.); +#105319=EDGE_CURVE('',#51454,#51470,#34433,.T.); +#105326=ADVANCED_FACE('',(#105325),#105314,.T.); +#105334=EDGE_CURVE('',#51609,#51613,#34317,.T.); +#105336=EDGE_CURVE('',#51609,#51523,#34337,.T.); +#105340=ADVANCED_FACE('',(#105339),#105331,.F.); +#105347=EDGE_CURVE('',#51605,#51526,#34469,.T.); +#105349=EDGE_CURVE('',#51601,#51605,#34457,.T.); +#105351=EDGE_CURVE('',#51601,#51527,#34437,.T.); +#105355=ADVANCED_FACE('',(#105354),#105345,.F.); +#105363=EDGE_CURVE('',#51611,#51615,#34321,.T.); +#105365=EDGE_CURVE('',#51611,#51609,#34325,.T.); +#105369=ADVANCED_FACE('',(#105368),#105360,.T.); +#105376=EDGE_CURVE('',#51611,#51539,#34341,.T.); +#105382=ADVANCED_FACE('',(#105381),#105374,.F.); +#105389=EDGE_CURVE('',#51603,#51543,#34441,.T.); +#105391=EDGE_CURVE('',#51603,#51607,#34461,.T.); +#105393=EDGE_CURVE('',#51607,#51542,#34473,.T.); +#105397=ADVANCED_FACE('',(#105396),#105387,.F.); +#105409=ADVANCED_FACE('',(#105408),#105402,.T.); +#105415=EDGE_CURVE('',#51531,#51595,#34345,.T.); +#105420=EDGE_CURVE('',#51451,#51467,#34353,.T.); +#105422=EDGE_CURVE('',#51467,#51595,#34369,.T.); +#105426=ADVANCED_FACE('',(#105425),#105414,.T.); +#105434=EDGE_CURVE('',#51594,#51595,#34365,.T.); +#105439=ADVANCED_FACE('',(#105438),#105431,.F.); +#105446=EDGE_CURVE('',#51535,#51599,#34445,.T.); +#105448=EDGE_CURVE('',#51598,#51599,#34395,.T.); +#105450=EDGE_CURVE('',#51534,#51598,#34481,.T.); +#105454=ADVANCED_FACE('',(#105453),#105444,.F.); +#105462=EDGE_CURVE('',#51466,#51467,#34374,.T.); +#105464=EDGE_CURVE('',#51485,#51466,#34378,.T.); +#105467=EDGE_CURVE('',#51471,#51487,#34382,.T.); +#105469=EDGE_CURVE('',#51470,#51471,#34387,.T.); +#105474=ADVANCED_FACE('',(#105473),#105459,.T.); +#105481=EDGE_CURVE('',#51459,#51599,#34399,.T.); +#105483=EDGE_CURVE('',#51458,#51459,#34404,.T.); +#105485=EDGE_CURVE('',#51481,#51458,#34408,.T.); +#105488=EDGE_CURVE('',#51463,#51483,#34412,.T.); +#105490=EDGE_CURVE('',#51462,#51463,#34417,.T.); +#105492=EDGE_CURVE('',#51598,#51462,#34421,.T.); +#105496=ADVANCED_FACE('',(#105495),#105479,.T.); +#105503=EDGE_CURVE('',#51450,#51466,#34425,.T.); +#105509=ADVANCED_FACE('',(#105508),#105501,.F.); +#105521=ADVANCED_FACE('',(#105520),#105514,.T.); +#105528=EDGE_CURVE('',#51455,#51471,#34429,.T.); +#105534=ADVANCED_FACE('',(#105533),#105526,.T.); +#105546=ADVANCED_FACE('',(#105545),#105539,.T.); +#105553=EDGE_CURVE('',#51603,#51601,#34465,.T.); +#105559=ADVANCED_FACE('',(#105558),#105551,.T.); +#105569=EDGE_CURVE('',#51443,#51459,#34453,.T.); +#105574=ADVANCED_FACE('',(#105573),#105564,.T.); +#105581=EDGE_CURVE('',#51607,#51605,#34477,.T.); +#105587=ADVANCED_FACE('',(#105586),#105579,.T.); +#105599=ADVANCED_FACE('',(#105598),#105592,.T.); +#105607=EDGE_CURVE('',#51446,#51462,#34489,.T.); +#105614=ADVANCED_FACE('',(#105613),#105604,.T.); +#105623=EDGE_CURVE('',#51447,#51463,#34493,.T.); +#105627=ADVANCED_FACE('',(#105626),#105619,.T.); +#105639=ADVANCED_FACE('',(#105638),#105632,.T.); +#105646=EDGE_CURVE('',#51442,#51458,#34497,.T.); +#105652=ADVANCED_FACE('',(#105651),#105644,.F.); +#105664=ADVANCED_FACE('',(#105663),#105657,.T.); +#105670=EDGE_CURVE('',#53218,#53219,#34501,.T.); +#105672=EDGE_CURVE('',#53218,#51622,#34505,.T.); +#105674=EDGE_CURVE('',#51622,#51623,#34510,.T.); +#105676=EDGE_CURVE('',#51623,#51651,#34514,.T.); +#105679=EDGE_CURVE('',#51649,#51618,#34518,.T.); +#105681=EDGE_CURVE('',#51618,#51619,#34523,.T.); +#105683=EDGE_CURVE('',#51619,#53219,#34527,.T.); +#105687=ADVANCED_FACE('',(#105686),#105669,.F.); +#105693=EDGE_CURVE('',#53222,#53223,#34531,.T.); +#105695=EDGE_CURVE('',#53222,#51630,#34535,.T.); +#105697=EDGE_CURVE('',#51630,#51631,#34540,.T.); +#105699=EDGE_CURVE('',#51631,#51655,#34544,.T.); +#105702=EDGE_CURVE('',#51653,#51626,#34548,.T.); +#105704=EDGE_CURVE('',#51626,#51627,#34553,.T.); +#105706=EDGE_CURVE('',#51627,#53223,#34557,.T.); +#105710=ADVANCED_FACE('',(#105709),#105692,.F.); +#105717=EDGE_CURVE('',#53218,#51722,#34573,.T.); +#105720=EDGE_CURVE('',#53219,#51723,#34597,.T.); +#105724=ADVANCED_FACE('',(#105723),#105715,.F.); +#105731=EDGE_CURVE('',#53222,#51726,#34633,.T.); +#105734=EDGE_CURVE('',#53223,#51727,#34661,.T.); +#105738=ADVANCED_FACE('',(#105737),#105729,.F.); +#105744=EDGE_CURVE('',#53237,#51714,#34561,.T.); +#105746=EDGE_CURVE('',#53237,#53239,#34565,.T.); +#105748=EDGE_CURVE('',#53239,#51730,#34569,.T.); +#105753=ADVANCED_FACE('',(#105752),#105743,.T.); +#105761=EDGE_CURVE('',#51738,#53226,#34577,.T.); +#105763=EDGE_CURVE('',#53226,#51638,#34703,.T.); +#105765=EDGE_CURVE('',#51622,#51638,#34753,.T.); +#105770=ADVANCED_FACE('',(#105769),#105758,.T.); +#105777=EDGE_CURVE('',#53233,#51715,#34589,.T.); +#105779=EDGE_CURVE('',#53233,#53237,#34609,.T.); +#105784=ADVANCED_FACE('',(#105783),#105775,.F.); +#105791=EDGE_CURVE('',#53241,#51719,#34653,.T.); +#105793=EDGE_CURVE('',#53241,#53245,#34641,.T.); +#105795=EDGE_CURVE('',#53245,#51718,#34621,.T.); +#105799=ADVANCED_FACE('',(#105798),#105789,.F.); +#105807=EDGE_CURVE('',#53235,#51731,#34593,.T.); +#105809=EDGE_CURVE('',#53233,#53235,#34613,.T.); +#105813=ADVANCED_FACE('',(#105812),#105804,.T.); +#105821=EDGE_CURVE('',#51619,#51635,#34601,.T.); +#105823=EDGE_CURVE('',#51635,#53227,#34681,.T.); +#105825=EDGE_CURVE('',#51739,#53227,#34605,.T.); +#105830=ADVANCED_FACE('',(#105829),#105818,.T.); +#105838=EDGE_CURVE('',#53235,#53239,#34617,.T.); +#105843=ADVANCED_FACE('',(#105842),#105835,.F.); +#105850=EDGE_CURVE('',#53247,#51734,#34629,.T.); +#105852=EDGE_CURVE('',#53243,#53247,#34649,.T.); +#105854=EDGE_CURVE('',#53243,#51735,#34657,.T.); +#105858=ADVANCED_FACE('',(#105857),#105848,.F.); +#105870=ADVANCED_FACE('',(#105869),#105863,.T.); +#105877=EDGE_CURVE('',#53245,#53247,#34625,.T.); +#105883=ADVANCED_FACE('',(#105882),#105875,.T.); +#105891=EDGE_CURVE('',#51742,#53230,#34637,.T.); +#105893=EDGE_CURVE('',#53230,#51646,#34733,.T.); +#105895=EDGE_CURVE('',#51630,#51646,#34761,.T.); +#105900=ADVANCED_FACE('',(#105899),#105888,.T.); +#105907=EDGE_CURVE('',#53241,#53243,#34645,.T.); +#105913=ADVANCED_FACE('',(#105912),#105905,.T.); +#105925=ADVANCED_FACE('',(#105924),#105918,.T.); +#105933=EDGE_CURVE('',#51627,#51643,#34665,.T.); +#105935=EDGE_CURVE('',#51643,#53231,#34711,.T.); +#105937=EDGE_CURVE('',#51743,#53231,#34669,.T.); +#105942=ADVANCED_FACE('',(#105941),#105930,.T.); +#105949=EDGE_CURVE('',#51626,#51642,#34673,.T.); +#105951=EDGE_CURVE('',#51642,#51643,#34716,.T.); +#105956=ADVANCED_FACE('',(#105955),#105947,.F.); +#105964=EDGE_CURVE('',#51661,#51642,#34720,.T.); +#105969=ADVANCED_FACE('',(#105968),#105961,.T.); +#105975=EDGE_CURVE('',#53226,#53227,#34677,.T.); +#105978=EDGE_CURVE('',#51634,#51635,#34686,.T.); +#105980=EDGE_CURVE('',#51657,#51634,#34690,.T.); +#105983=EDGE_CURVE('',#51639,#51659,#34694,.T.); +#105985=EDGE_CURVE('',#51638,#51639,#34699,.T.); +#105990=ADVANCED_FACE('',(#105989),#105974,.T.); +#105996=EDGE_CURVE('',#53230,#53231,#34707,.T.); +#106002=EDGE_CURVE('',#51647,#51663,#34724,.T.); +#106004=EDGE_CURVE('',#51646,#51647,#34729,.T.); +#106009=ADVANCED_FACE('',(#106008),#105995,.T.); +#106021=ADVANCED_FACE('',(#106020),#106014,.F.); +#106033=ADVANCED_FACE('',(#106032),#106026,.F.); +#106040=EDGE_CURVE('',#51618,#51634,#34745,.T.); +#106046=ADVANCED_FACE('',(#106045),#106038,.F.); +#106058=ADVANCED_FACE('',(#106057),#106051,.T.); +#106065=EDGE_CURVE('',#51623,#51639,#34749,.T.); +#106071=ADVANCED_FACE('',(#106070),#106063,.T.); +#106083=ADVANCED_FACE('',(#106082),#106076,.T.); +#106090=EDGE_CURVE('',#51631,#51647,#34757,.T.); +#106096=ADVANCED_FACE('',(#106095),#106088,.T.); +#106108=ADVANCED_FACE('',(#106107),#106101,.T.); +#106114=EDGE_CURVE('',#53250,#53251,#34765,.T.); +#106116=EDGE_CURVE('',#53250,#51678,#34769,.T.); +#106118=EDGE_CURVE('',#51678,#51679,#34774,.T.); +#106120=EDGE_CURVE('',#51679,#51703,#34778,.T.); +#106123=EDGE_CURVE('',#51701,#51674,#34782,.T.); +#106125=EDGE_CURVE('',#51674,#51675,#34787,.T.); +#106127=EDGE_CURVE('',#51675,#53251,#34791,.T.); +#106131=ADVANCED_FACE('',(#106130),#106113,.F.); +#106137=EDGE_CURVE('',#53254,#53255,#34795,.T.); +#106139=EDGE_CURVE('',#53254,#51670,#34799,.T.); +#106141=EDGE_CURVE('',#51670,#51671,#34804,.T.); +#106143=EDGE_CURVE('',#51671,#51699,#34808,.T.); +#106146=EDGE_CURVE('',#51697,#51666,#34812,.T.); +#106148=EDGE_CURVE('',#51666,#51667,#34817,.T.); +#106150=EDGE_CURVE('',#51667,#53255,#34821,.T.); +#106154=ADVANCED_FACE('',(#106153),#106136,.F.); +#106161=EDGE_CURVE('',#53250,#51770,#34841,.T.); +#106164=EDGE_CURVE('',#53251,#51771,#34877,.T.); +#106168=ADVANCED_FACE('',(#106167),#106159,.F.); +#106175=EDGE_CURVE('',#53254,#51774,#35013,.T.); +#106178=EDGE_CURVE('',#53255,#51775,#34977,.T.); +#106182=ADVANCED_FACE('',(#106181),#106173,.F.); +#106188=EDGE_CURVE('',#53277,#51746,#34825,.T.); +#106191=EDGE_CURVE('',#53279,#51762,#34829,.T.); +#106193=EDGE_CURVE('',#53279,#53277,#34833,.T.); +#106197=ADVANCED_FACE('',(#106196),#106187,.T.); +#106203=EDGE_CURVE('',#51754,#53258,#34837,.T.); +#106205=EDGE_CURVE('',#53258,#51694,#34919,.T.); +#106207=EDGE_CURVE('',#51678,#51694,#34961,.T.); +#106214=ADVANCED_FACE('',(#106213),#106202,.T.); +#106222=EDGE_CURVE('',#53273,#53277,#34845,.T.); +#106224=EDGE_CURVE('',#53273,#51747,#34865,.T.); +#106228=ADVANCED_FACE('',(#106227),#106219,.F.); +#106235=EDGE_CURVE('',#53269,#51750,#34997,.T.); +#106237=EDGE_CURVE('',#53265,#53269,#34985,.T.); +#106239=EDGE_CURVE('',#53265,#51751,#34965,.T.); +#106243=ADVANCED_FACE('',(#106242),#106233,.F.); +#106251=EDGE_CURVE('',#53275,#53279,#34849,.T.); +#106253=EDGE_CURVE('',#53275,#53273,#34853,.T.); +#106257=ADVANCED_FACE('',(#106256),#106248,.T.); +#106264=EDGE_CURVE('',#53275,#51763,#34869,.T.); +#106270=ADVANCED_FACE('',(#106269),#106262,.F.); +#106277=EDGE_CURVE('',#53267,#51767,#34969,.T.); +#106279=EDGE_CURVE('',#53267,#53271,#34989,.T.); +#106281=EDGE_CURVE('',#53271,#51766,#35001,.T.); +#106285=ADVANCED_FACE('',(#106284),#106275,.F.); +#106297=ADVANCED_FACE('',(#106296),#106290,.T.); +#106303=EDGE_CURVE('',#51755,#53259,#34873,.T.); +#106308=EDGE_CURVE('',#51675,#51691,#34881,.T.); +#106310=EDGE_CURVE('',#51691,#53259,#34897,.T.); +#106314=ADVANCED_FACE('',(#106313),#106302,.T.); +#106322=EDGE_CURVE('',#53258,#53259,#34893,.T.); +#106327=ADVANCED_FACE('',(#106326),#106319,.F.); +#106334=EDGE_CURVE('',#51759,#53263,#34973,.T.); +#106336=EDGE_CURVE('',#53262,#53263,#34923,.T.); +#106338=EDGE_CURVE('',#51758,#53262,#35009,.T.); +#106342=ADVANCED_FACE('',(#106341),#106332,.F.); +#106350=EDGE_CURVE('',#51690,#51691,#34902,.T.); +#106352=EDGE_CURVE('',#51709,#51690,#34906,.T.); +#106355=EDGE_CURVE('',#51695,#51711,#34910,.T.); +#106357=EDGE_CURVE('',#51694,#51695,#34915,.T.); +#106362=ADVANCED_FACE('',(#106361),#106347,.T.); +#106369=EDGE_CURVE('',#51683,#53263,#34927,.T.); +#106371=EDGE_CURVE('',#51682,#51683,#34932,.T.); +#106373=EDGE_CURVE('',#51705,#51682,#34936,.T.); +#106376=EDGE_CURVE('',#51687,#51707,#34940,.T.); +#106378=EDGE_CURVE('',#51686,#51687,#34945,.T.); +#106380=EDGE_CURVE('',#53262,#51686,#34949,.T.); +#106384=ADVANCED_FACE('',(#106383),#106367,.T.); +#106391=EDGE_CURVE('',#51674,#51690,#34953,.T.); +#106397=ADVANCED_FACE('',(#106396),#106389,.F.); +#106409=ADVANCED_FACE('',(#106408),#106402,.T.); +#106416=EDGE_CURVE('',#51679,#51695,#34957,.T.); +#106422=ADVANCED_FACE('',(#106421),#106414,.T.); +#106434=ADVANCED_FACE('',(#106433),#106427,.T.); +#106441=EDGE_CURVE('',#53267,#53265,#34993,.T.); +#106447=ADVANCED_FACE('',(#106446),#106439,.T.); +#106457=EDGE_CURVE('',#51667,#51683,#34981,.T.); +#106462=ADVANCED_FACE('',(#106461),#106452,.T.); +#106469=EDGE_CURVE('',#53271,#53269,#35005,.T.); +#106475=ADVANCED_FACE('',(#106474),#106467,.T.); +#106487=ADVANCED_FACE('',(#106486),#106480,.T.); +#106495=EDGE_CURVE('',#51670,#51686,#35017,.T.); +#106502=ADVANCED_FACE('',(#106501),#106492,.T.); +#106511=EDGE_CURVE('',#51671,#51687,#35021,.T.); +#106515=ADVANCED_FACE('',(#106514),#106507,.T.); +#106527=ADVANCED_FACE('',(#106526),#106520,.T.); +#106534=EDGE_CURVE('',#51666,#51682,#35025,.T.); +#106540=ADVANCED_FACE('',(#106539),#106532,.F.); +#106552=ADVANCED_FACE('',(#106551),#106545,.T.); +#106558=EDGE_CURVE('',#53282,#53283,#35029,.T.); +#106560=EDGE_CURVE('',#53282,#51782,#35033,.T.); +#106562=EDGE_CURVE('',#51782,#51783,#35038,.T.); +#106564=EDGE_CURVE('',#51783,#51811,#35042,.T.); +#106567=EDGE_CURVE('',#51809,#51778,#35046,.T.); +#106569=EDGE_CURVE('',#51778,#51779,#35051,.T.); +#106571=EDGE_CURVE('',#51779,#53283,#35055,.T.); +#106575=ADVANCED_FACE('',(#106574),#106557,.F.); +#106581=EDGE_CURVE('',#53286,#53287,#35059,.T.); +#106583=EDGE_CURVE('',#53286,#51790,#35063,.T.); +#106585=EDGE_CURVE('',#51790,#51791,#35068,.T.); +#106587=EDGE_CURVE('',#51791,#51815,#35072,.T.); +#106590=EDGE_CURVE('',#51813,#51786,#35076,.T.); +#106592=EDGE_CURVE('',#51786,#51787,#35081,.T.); +#106594=EDGE_CURVE('',#51787,#53287,#35085,.T.); +#106598=ADVANCED_FACE('',(#106597),#106580,.F.); +#106605=EDGE_CURVE('',#53282,#51882,#35101,.T.); +#106608=EDGE_CURVE('',#53283,#51883,#35125,.T.); +#106612=ADVANCED_FACE('',(#106611),#106603,.F.); +#106619=EDGE_CURVE('',#53286,#51886,#35161,.T.); +#106622=EDGE_CURVE('',#53287,#51887,#35189,.T.); +#106626=ADVANCED_FACE('',(#106625),#106617,.F.); +#106632=EDGE_CURVE('',#53301,#51874,#35089,.T.); +#106634=EDGE_CURVE('',#53301,#53303,#35093,.T.); +#106636=EDGE_CURVE('',#53303,#51890,#35097,.T.); +#106641=ADVANCED_FACE('',(#106640),#106631,.T.); +#106649=EDGE_CURVE('',#51898,#53290,#35105,.T.); +#106651=EDGE_CURVE('',#53290,#51798,#35231,.T.); +#106653=EDGE_CURVE('',#51782,#51798,#35281,.T.); +#106658=ADVANCED_FACE('',(#106657),#106646,.T.); +#106665=EDGE_CURVE('',#53297,#51875,#35117,.T.); +#106667=EDGE_CURVE('',#53297,#53301,#35137,.T.); +#106672=ADVANCED_FACE('',(#106671),#106663,.F.); +#106679=EDGE_CURVE('',#53305,#51879,#35181,.T.); +#106681=EDGE_CURVE('',#53305,#53309,#35169,.T.); +#106683=EDGE_CURVE('',#53309,#51878,#35149,.T.); +#106687=ADVANCED_FACE('',(#106686),#106677,.F.); +#106695=EDGE_CURVE('',#53299,#51891,#35121,.T.); +#106697=EDGE_CURVE('',#53297,#53299,#35141,.T.); +#106701=ADVANCED_FACE('',(#106700),#106692,.T.); +#106709=EDGE_CURVE('',#51779,#51795,#35129,.T.); +#106711=EDGE_CURVE('',#51795,#53291,#35209,.T.); +#106713=EDGE_CURVE('',#51899,#53291,#35133,.T.); +#106718=ADVANCED_FACE('',(#106717),#106706,.T.); +#106726=EDGE_CURVE('',#53299,#53303,#35145,.T.); +#106731=ADVANCED_FACE('',(#106730),#106723,.F.); +#106738=EDGE_CURVE('',#53311,#51894,#35157,.T.); +#106740=EDGE_CURVE('',#53307,#53311,#35177,.T.); +#106742=EDGE_CURVE('',#53307,#51895,#35185,.T.); +#106746=ADVANCED_FACE('',(#106745),#106736,.F.); +#106758=ADVANCED_FACE('',(#106757),#106751,.T.); +#106765=EDGE_CURVE('',#53309,#53311,#35153,.T.); +#106771=ADVANCED_FACE('',(#106770),#106763,.T.); +#106779=EDGE_CURVE('',#51902,#53294,#35165,.T.); +#106781=EDGE_CURVE('',#53294,#51806,#35261,.T.); +#106783=EDGE_CURVE('',#51790,#51806,#35289,.T.); +#106788=ADVANCED_FACE('',(#106787),#106776,.T.); +#106795=EDGE_CURVE('',#53305,#53307,#35173,.T.); +#106801=ADVANCED_FACE('',(#106800),#106793,.T.); +#106813=ADVANCED_FACE('',(#106812),#106806,.T.); +#106821=EDGE_CURVE('',#51787,#51803,#35193,.T.); +#106823=EDGE_CURVE('',#51803,#53295,#35239,.T.); +#106825=EDGE_CURVE('',#51903,#53295,#35197,.T.); +#106830=ADVANCED_FACE('',(#106829),#106818,.T.); +#106837=EDGE_CURVE('',#51786,#51802,#35201,.T.); +#106839=EDGE_CURVE('',#51802,#51803,#35244,.T.); +#106844=ADVANCED_FACE('',(#106843),#106835,.F.); +#106852=EDGE_CURVE('',#51821,#51802,#35248,.T.); +#106857=ADVANCED_FACE('',(#106856),#106849,.T.); +#106863=EDGE_CURVE('',#53290,#53291,#35205,.T.); +#106866=EDGE_CURVE('',#51794,#51795,#35214,.T.); +#106868=EDGE_CURVE('',#51817,#51794,#35218,.T.); +#106871=EDGE_CURVE('',#51799,#51819,#35222,.T.); +#106873=EDGE_CURVE('',#51798,#51799,#35227,.T.); +#106878=ADVANCED_FACE('',(#106877),#106862,.T.); +#106884=EDGE_CURVE('',#53294,#53295,#35235,.T.); +#106890=EDGE_CURVE('',#51807,#51823,#35252,.T.); +#106892=EDGE_CURVE('',#51806,#51807,#35257,.T.); +#106897=ADVANCED_FACE('',(#106896),#106883,.T.); +#106909=ADVANCED_FACE('',(#106908),#106902,.F.); +#106921=ADVANCED_FACE('',(#106920),#106914,.F.); +#106928=EDGE_CURVE('',#51778,#51794,#35273,.T.); +#106934=ADVANCED_FACE('',(#106933),#106926,.F.); +#106946=ADVANCED_FACE('',(#106945),#106939,.T.); +#106953=EDGE_CURVE('',#51783,#51799,#35277,.T.); +#106959=ADVANCED_FACE('',(#106958),#106951,.T.); +#106971=ADVANCED_FACE('',(#106970),#106964,.T.); +#106978=EDGE_CURVE('',#51791,#51807,#35285,.T.); +#106984=ADVANCED_FACE('',(#106983),#106976,.T.); +#106996=ADVANCED_FACE('',(#106995),#106989,.T.); +#107002=EDGE_CURVE('',#53314,#53315,#35293,.T.); +#107004=EDGE_CURVE('',#53314,#51838,#35297,.T.); +#107006=EDGE_CURVE('',#51838,#51839,#35302,.T.); +#107008=EDGE_CURVE('',#51839,#51863,#35306,.T.); +#107011=EDGE_CURVE('',#51861,#51834,#35310,.T.); +#107013=EDGE_CURVE('',#51834,#51835,#35315,.T.); +#107015=EDGE_CURVE('',#51835,#53315,#35319,.T.); +#107019=ADVANCED_FACE('',(#107018),#107001,.F.); +#107025=EDGE_CURVE('',#53318,#53319,#35323,.T.); +#107027=EDGE_CURVE('',#53318,#51830,#35327,.T.); +#107029=EDGE_CURVE('',#51830,#51831,#35332,.T.); +#107031=EDGE_CURVE('',#51831,#51859,#35336,.T.); +#107034=EDGE_CURVE('',#51857,#51826,#35340,.T.); +#107036=EDGE_CURVE('',#51826,#51827,#35345,.T.); +#107038=EDGE_CURVE('',#51827,#53319,#35349,.T.); +#107042=ADVANCED_FACE('',(#107041),#107024,.F.); +#107049=EDGE_CURVE('',#53314,#51930,#35369,.T.); +#107052=EDGE_CURVE('',#53315,#51931,#35405,.T.); +#107056=ADVANCED_FACE('',(#107055),#107047,.F.); +#107063=EDGE_CURVE('',#53318,#51934,#35541,.T.); +#107066=EDGE_CURVE('',#53319,#51935,#35505,.T.); +#107070=ADVANCED_FACE('',(#107069),#107061,.F.); +#107076=EDGE_CURVE('',#53341,#51906,#35353,.T.); +#107079=EDGE_CURVE('',#53343,#51922,#35357,.T.); +#107081=EDGE_CURVE('',#53343,#53341,#35361,.T.); +#107085=ADVANCED_FACE('',(#107084),#107075,.T.); +#107091=EDGE_CURVE('',#51914,#53322,#35365,.T.); +#107093=EDGE_CURVE('',#53322,#51854,#35447,.T.); +#107095=EDGE_CURVE('',#51838,#51854,#35489,.T.); +#107102=ADVANCED_FACE('',(#107101),#107090,.T.); +#107110=EDGE_CURVE('',#53337,#53341,#35373,.T.); +#107112=EDGE_CURVE('',#53337,#51907,#35393,.T.); +#107116=ADVANCED_FACE('',(#107115),#107107,.F.); +#107123=EDGE_CURVE('',#53333,#51910,#35525,.T.); +#107125=EDGE_CURVE('',#53329,#53333,#35513,.T.); +#107127=EDGE_CURVE('',#53329,#51911,#35493,.T.); +#107131=ADVANCED_FACE('',(#107130),#107121,.F.); +#107139=EDGE_CURVE('',#53339,#53343,#35377,.T.); +#107141=EDGE_CURVE('',#53339,#53337,#35381,.T.); +#107145=ADVANCED_FACE('',(#107144),#107136,.T.); +#107152=EDGE_CURVE('',#53339,#51923,#35397,.T.); +#107158=ADVANCED_FACE('',(#107157),#107150,.F.); +#107165=EDGE_CURVE('',#53331,#51927,#35497,.T.); +#107167=EDGE_CURVE('',#53331,#53335,#35517,.T.); +#107169=EDGE_CURVE('',#53335,#51926,#35529,.T.); +#107173=ADVANCED_FACE('',(#107172),#107163,.F.); +#107185=ADVANCED_FACE('',(#107184),#107178,.T.); +#107191=EDGE_CURVE('',#51915,#53323,#35401,.T.); +#107196=EDGE_CURVE('',#51835,#51851,#35409,.T.); +#107198=EDGE_CURVE('',#51851,#53323,#35425,.T.); +#107202=ADVANCED_FACE('',(#107201),#107190,.T.); +#107210=EDGE_CURVE('',#53322,#53323,#35421,.T.); +#107215=ADVANCED_FACE('',(#107214),#107207,.F.); +#107222=EDGE_CURVE('',#51919,#53327,#35501,.T.); +#107224=EDGE_CURVE('',#53326,#53327,#35451,.T.); +#107226=EDGE_CURVE('',#51918,#53326,#35537,.T.); +#107230=ADVANCED_FACE('',(#107229),#107220,.F.); +#107238=EDGE_CURVE('',#51850,#51851,#35430,.T.); +#107240=EDGE_CURVE('',#51869,#51850,#35434,.T.); +#107243=EDGE_CURVE('',#51855,#51871,#35438,.T.); +#107245=EDGE_CURVE('',#51854,#51855,#35443,.T.); +#107250=ADVANCED_FACE('',(#107249),#107235,.T.); +#107257=EDGE_CURVE('',#51843,#53327,#35455,.T.); +#107259=EDGE_CURVE('',#51842,#51843,#35460,.T.); +#107261=EDGE_CURVE('',#51865,#51842,#35464,.T.); +#107264=EDGE_CURVE('',#51847,#51867,#35468,.T.); +#107266=EDGE_CURVE('',#51846,#51847,#35473,.T.); +#107268=EDGE_CURVE('',#53326,#51846,#35477,.T.); +#107272=ADVANCED_FACE('',(#107271),#107255,.T.); +#107279=EDGE_CURVE('',#51834,#51850,#35481,.T.); +#107285=ADVANCED_FACE('',(#107284),#107277,.F.); +#107297=ADVANCED_FACE('',(#107296),#107290,.T.); +#107304=EDGE_CURVE('',#51839,#51855,#35485,.T.); +#107310=ADVANCED_FACE('',(#107309),#107302,.T.); +#107322=ADVANCED_FACE('',(#107321),#107315,.T.); +#107329=EDGE_CURVE('',#53331,#53329,#35521,.T.); +#107335=ADVANCED_FACE('',(#107334),#107327,.T.); +#107345=EDGE_CURVE('',#51827,#51843,#35509,.T.); +#107350=ADVANCED_FACE('',(#107349),#107340,.T.); +#107357=EDGE_CURVE('',#53335,#53333,#35533,.T.); +#107363=ADVANCED_FACE('',(#107362),#107355,.T.); +#107375=ADVANCED_FACE('',(#107374),#107368,.T.); +#107383=EDGE_CURVE('',#51830,#51846,#35545,.T.); +#107390=ADVANCED_FACE('',(#107389),#107380,.T.); +#107399=EDGE_CURVE('',#51831,#51847,#35549,.T.); +#107403=ADVANCED_FACE('',(#107402),#107395,.T.); +#107415=ADVANCED_FACE('',(#107414),#107408,.T.); +#107422=EDGE_CURVE('',#51826,#51842,#35553,.T.); +#107428=ADVANCED_FACE('',(#107427),#107420,.F.); +#107440=ADVANCED_FACE('',(#107439),#107433,.T.); +#107446=EDGE_CURVE('',#53346,#53347,#35557,.T.); +#107448=EDGE_CURVE('',#53346,#51942,#35561,.T.); +#107450=EDGE_CURVE('',#51942,#51943,#35566,.T.); +#107452=EDGE_CURVE('',#51943,#51971,#35570,.T.); +#107455=EDGE_CURVE('',#51969,#51938,#35574,.T.); +#107457=EDGE_CURVE('',#51938,#51939,#35579,.T.); +#107459=EDGE_CURVE('',#51939,#53347,#35583,.T.); +#107463=ADVANCED_FACE('',(#107462),#107445,.F.); +#107469=EDGE_CURVE('',#53350,#53351,#35587,.T.); +#107471=EDGE_CURVE('',#53350,#51950,#35591,.T.); +#107473=EDGE_CURVE('',#51950,#51951,#35596,.T.); +#107475=EDGE_CURVE('',#51951,#51975,#35600,.T.); +#107478=EDGE_CURVE('',#51973,#51946,#35604,.T.); +#107480=EDGE_CURVE('',#51946,#51947,#35609,.T.); +#107482=EDGE_CURVE('',#51947,#53351,#35613,.T.); +#107486=ADVANCED_FACE('',(#107485),#107468,.F.); +#107493=EDGE_CURVE('',#53346,#52042,#35629,.T.); +#107496=EDGE_CURVE('',#53347,#52043,#35653,.T.); +#107500=ADVANCED_FACE('',(#107499),#107491,.F.); +#107507=EDGE_CURVE('',#53350,#52046,#35689,.T.); +#107510=EDGE_CURVE('',#53351,#52047,#35717,.T.); +#107514=ADVANCED_FACE('',(#107513),#107505,.F.); +#107520=EDGE_CURVE('',#53365,#52034,#35617,.T.); +#107522=EDGE_CURVE('',#53365,#53367,#35621,.T.); +#107524=EDGE_CURVE('',#53367,#52050,#35625,.T.); +#107529=ADVANCED_FACE('',(#107528),#107519,.T.); +#107537=EDGE_CURVE('',#52058,#53354,#35633,.T.); +#107539=EDGE_CURVE('',#53354,#51958,#35759,.T.); +#107541=EDGE_CURVE('',#51942,#51958,#35809,.T.); +#107546=ADVANCED_FACE('',(#107545),#107534,.T.); +#107553=EDGE_CURVE('',#53361,#52035,#35645,.T.); +#107555=EDGE_CURVE('',#53361,#53365,#35665,.T.); +#107560=ADVANCED_FACE('',(#107559),#107551,.F.); +#107567=EDGE_CURVE('',#53369,#52039,#35709,.T.); +#107569=EDGE_CURVE('',#53369,#53373,#35697,.T.); +#107571=EDGE_CURVE('',#53373,#52038,#35677,.T.); +#107575=ADVANCED_FACE('',(#107574),#107565,.F.); +#107583=EDGE_CURVE('',#53363,#52051,#35649,.T.); +#107585=EDGE_CURVE('',#53361,#53363,#35669,.T.); +#107589=ADVANCED_FACE('',(#107588),#107580,.T.); +#107597=EDGE_CURVE('',#51939,#51955,#35657,.T.); +#107599=EDGE_CURVE('',#51955,#53355,#35737,.T.); +#107601=EDGE_CURVE('',#52059,#53355,#35661,.T.); +#107606=ADVANCED_FACE('',(#107605),#107594,.T.); +#107614=EDGE_CURVE('',#53363,#53367,#35673,.T.); +#107619=ADVANCED_FACE('',(#107618),#107611,.F.); +#107626=EDGE_CURVE('',#53375,#52054,#35685,.T.); +#107628=EDGE_CURVE('',#53371,#53375,#35705,.T.); +#107630=EDGE_CURVE('',#53371,#52055,#35713,.T.); +#107634=ADVANCED_FACE('',(#107633),#107624,.F.); +#107646=ADVANCED_FACE('',(#107645),#107639,.T.); +#107653=EDGE_CURVE('',#53373,#53375,#35681,.T.); +#107659=ADVANCED_FACE('',(#107658),#107651,.T.); +#107667=EDGE_CURVE('',#52062,#53358,#35693,.T.); +#107669=EDGE_CURVE('',#53358,#51966,#35789,.T.); +#107671=EDGE_CURVE('',#51950,#51966,#35817,.T.); +#107676=ADVANCED_FACE('',(#107675),#107664,.T.); +#107683=EDGE_CURVE('',#53369,#53371,#35701,.T.); +#107689=ADVANCED_FACE('',(#107688),#107681,.T.); +#107701=ADVANCED_FACE('',(#107700),#107694,.T.); +#107709=EDGE_CURVE('',#51947,#51963,#35721,.T.); +#107711=EDGE_CURVE('',#51963,#53359,#35767,.T.); +#107713=EDGE_CURVE('',#52063,#53359,#35725,.T.); +#107718=ADVANCED_FACE('',(#107717),#107706,.T.); +#107725=EDGE_CURVE('',#51946,#51962,#35729,.T.); +#107727=EDGE_CURVE('',#51962,#51963,#35772,.T.); +#107732=ADVANCED_FACE('',(#107731),#107723,.F.); +#107740=EDGE_CURVE('',#51981,#51962,#35776,.T.); +#107745=ADVANCED_FACE('',(#107744),#107737,.T.); +#107751=EDGE_CURVE('',#53354,#53355,#35733,.T.); +#107754=EDGE_CURVE('',#51954,#51955,#35742,.T.); +#107756=EDGE_CURVE('',#51977,#51954,#35746,.T.); +#107759=EDGE_CURVE('',#51959,#51979,#35750,.T.); +#107761=EDGE_CURVE('',#51958,#51959,#35755,.T.); +#107766=ADVANCED_FACE('',(#107765),#107750,.T.); +#107772=EDGE_CURVE('',#53358,#53359,#35763,.T.); +#107778=EDGE_CURVE('',#51967,#51983,#35780,.T.); +#107780=EDGE_CURVE('',#51966,#51967,#35785,.T.); +#107785=ADVANCED_FACE('',(#107784),#107771,.T.); +#107797=ADVANCED_FACE('',(#107796),#107790,.F.); +#107809=ADVANCED_FACE('',(#107808),#107802,.F.); +#107816=EDGE_CURVE('',#51938,#51954,#35801,.T.); +#107822=ADVANCED_FACE('',(#107821),#107814,.F.); +#107834=ADVANCED_FACE('',(#107833),#107827,.T.); +#107841=EDGE_CURVE('',#51943,#51959,#35805,.T.); +#107847=ADVANCED_FACE('',(#107846),#107839,.T.); +#107859=ADVANCED_FACE('',(#107858),#107852,.T.); +#107866=EDGE_CURVE('',#51951,#51967,#35813,.T.); +#107872=ADVANCED_FACE('',(#107871),#107864,.T.); +#107884=ADVANCED_FACE('',(#107883),#107877,.T.); +#107890=EDGE_CURVE('',#53378,#53379,#35821,.T.); +#107892=EDGE_CURVE('',#53378,#51998,#35825,.T.); +#107894=EDGE_CURVE('',#51998,#51999,#35830,.T.); +#107896=EDGE_CURVE('',#51999,#52023,#35834,.T.); +#107899=EDGE_CURVE('',#52021,#51994,#35838,.T.); +#107901=EDGE_CURVE('',#51994,#51995,#35843,.T.); +#107903=EDGE_CURVE('',#51995,#53379,#35847,.T.); +#107907=ADVANCED_FACE('',(#107906),#107889,.F.); +#107913=EDGE_CURVE('',#53382,#53383,#35851,.T.); +#107915=EDGE_CURVE('',#53382,#51990,#35855,.T.); +#107917=EDGE_CURVE('',#51990,#51991,#35860,.T.); +#107919=EDGE_CURVE('',#51991,#52019,#35864,.T.); +#107922=EDGE_CURVE('',#52017,#51986,#35868,.T.); +#107924=EDGE_CURVE('',#51986,#51987,#35873,.T.); +#107926=EDGE_CURVE('',#51987,#53383,#35877,.T.); +#107930=ADVANCED_FACE('',(#107929),#107912,.F.); +#107937=EDGE_CURVE('',#53378,#52090,#35897,.T.); +#107940=EDGE_CURVE('',#53379,#52091,#35933,.T.); +#107944=ADVANCED_FACE('',(#107943),#107935,.F.); +#107951=EDGE_CURVE('',#53382,#52094,#36069,.T.); +#107954=EDGE_CURVE('',#53383,#52095,#36033,.T.); +#107958=ADVANCED_FACE('',(#107957),#107949,.F.); +#107964=EDGE_CURVE('',#53405,#52066,#35881,.T.); +#107967=EDGE_CURVE('',#53407,#52082,#35885,.T.); +#107969=EDGE_CURVE('',#53407,#53405,#35889,.T.); +#107973=ADVANCED_FACE('',(#107972),#107963,.T.); +#107979=EDGE_CURVE('',#52074,#53386,#35893,.T.); +#107981=EDGE_CURVE('',#53386,#52014,#35975,.T.); +#107983=EDGE_CURVE('',#51998,#52014,#36017,.T.); +#107990=ADVANCED_FACE('',(#107989),#107978,.T.); +#107998=EDGE_CURVE('',#53401,#53405,#35901,.T.); +#108000=EDGE_CURVE('',#53401,#52067,#35921,.T.); +#108004=ADVANCED_FACE('',(#108003),#107995,.F.); +#108011=EDGE_CURVE('',#53397,#52070,#36053,.T.); +#108013=EDGE_CURVE('',#53393,#53397,#36041,.T.); +#108015=EDGE_CURVE('',#53393,#52071,#36021,.T.); +#108019=ADVANCED_FACE('',(#108018),#108009,.F.); +#108027=EDGE_CURVE('',#53403,#53407,#35905,.T.); +#108029=EDGE_CURVE('',#53403,#53401,#35909,.T.); +#108033=ADVANCED_FACE('',(#108032),#108024,.T.); +#108040=EDGE_CURVE('',#53403,#52083,#35925,.T.); +#108046=ADVANCED_FACE('',(#108045),#108038,.F.); +#108053=EDGE_CURVE('',#53395,#52087,#36025,.T.); +#108055=EDGE_CURVE('',#53395,#53399,#36045,.T.); +#108057=EDGE_CURVE('',#53399,#52086,#36057,.T.); +#108061=ADVANCED_FACE('',(#108060),#108051,.F.); +#108073=ADVANCED_FACE('',(#108072),#108066,.T.); +#108079=EDGE_CURVE('',#52075,#53387,#35929,.T.); +#108084=EDGE_CURVE('',#51995,#52011,#35937,.T.); +#108086=EDGE_CURVE('',#52011,#53387,#35953,.T.); +#108090=ADVANCED_FACE('',(#108089),#108078,.T.); +#108098=EDGE_CURVE('',#53386,#53387,#35949,.T.); +#108103=ADVANCED_FACE('',(#108102),#108095,.F.); +#108110=EDGE_CURVE('',#52079,#53391,#36029,.T.); +#108112=EDGE_CURVE('',#53390,#53391,#35979,.T.); +#108114=EDGE_CURVE('',#52078,#53390,#36065,.T.); +#108118=ADVANCED_FACE('',(#108117),#108108,.F.); +#108126=EDGE_CURVE('',#52010,#52011,#35958,.T.); +#108128=EDGE_CURVE('',#52029,#52010,#35962,.T.); +#108131=EDGE_CURVE('',#52015,#52031,#35966,.T.); +#108133=EDGE_CURVE('',#52014,#52015,#35971,.T.); +#108138=ADVANCED_FACE('',(#108137),#108123,.T.); +#108145=EDGE_CURVE('',#52003,#53391,#35983,.T.); +#108147=EDGE_CURVE('',#52002,#52003,#35988,.T.); +#108149=EDGE_CURVE('',#52025,#52002,#35992,.T.); +#108152=EDGE_CURVE('',#52007,#52027,#35996,.T.); +#108154=EDGE_CURVE('',#52006,#52007,#36001,.T.); +#108156=EDGE_CURVE('',#53390,#52006,#36005,.T.); +#108160=ADVANCED_FACE('',(#108159),#108143,.T.); +#108167=EDGE_CURVE('',#51994,#52010,#36009,.T.); +#108173=ADVANCED_FACE('',(#108172),#108165,.F.); +#108185=ADVANCED_FACE('',(#108184),#108178,.T.); +#108192=EDGE_CURVE('',#51999,#52015,#36013,.T.); +#108198=ADVANCED_FACE('',(#108197),#108190,.T.); +#108210=ADVANCED_FACE('',(#108209),#108203,.T.); +#108217=EDGE_CURVE('',#53395,#53393,#36049,.T.); +#108223=ADVANCED_FACE('',(#108222),#108215,.T.); +#108233=EDGE_CURVE('',#51987,#52003,#36037,.T.); +#108238=ADVANCED_FACE('',(#108237),#108228,.T.); +#108245=EDGE_CURVE('',#53399,#53397,#36061,.T.); +#108251=ADVANCED_FACE('',(#108250),#108243,.T.); +#108263=ADVANCED_FACE('',(#108262),#108256,.T.); +#108271=EDGE_CURVE('',#51990,#52006,#36073,.T.); +#108278=ADVANCED_FACE('',(#108277),#108268,.T.); +#108287=EDGE_CURVE('',#51991,#52007,#36077,.T.); +#108291=ADVANCED_FACE('',(#108290),#108283,.T.); +#108303=ADVANCED_FACE('',(#108302),#108296,.T.); +#108310=EDGE_CURVE('',#51986,#52002,#36081,.T.); +#108316=ADVANCED_FACE('',(#108315),#108308,.F.); +#108328=ADVANCED_FACE('',(#108327),#108321,.T.); +#108334=EDGE_CURVE('',#53410,#53411,#36085,.T.); +#108336=EDGE_CURVE('',#53410,#52102,#36089,.T.); +#108338=EDGE_CURVE('',#52102,#52103,#36094,.T.); +#108340=EDGE_CURVE('',#52103,#52131,#36098,.T.); +#108343=EDGE_CURVE('',#52129,#52098,#36102,.T.); +#108345=EDGE_CURVE('',#52098,#52099,#36107,.T.); +#108347=EDGE_CURVE('',#52099,#53411,#36111,.T.); +#108351=ADVANCED_FACE('',(#108350),#108333,.F.); +#108357=EDGE_CURVE('',#53414,#53415,#36115,.T.); +#108359=EDGE_CURVE('',#53414,#52110,#36119,.T.); +#108361=EDGE_CURVE('',#52110,#52111,#36124,.T.); +#108363=EDGE_CURVE('',#52111,#52135,#36128,.T.); +#108366=EDGE_CURVE('',#52133,#52106,#36132,.T.); +#108368=EDGE_CURVE('',#52106,#52107,#36137,.T.); +#108370=EDGE_CURVE('',#52107,#53415,#36141,.T.); +#108374=ADVANCED_FACE('',(#108373),#108356,.F.); +#108381=EDGE_CURVE('',#53410,#52202,#36157,.T.); +#108384=EDGE_CURVE('',#53411,#52203,#36181,.T.); +#108388=ADVANCED_FACE('',(#108387),#108379,.F.); +#108395=EDGE_CURVE('',#53414,#52206,#36217,.T.); +#108398=EDGE_CURVE('',#53415,#52207,#36245,.T.); +#108402=ADVANCED_FACE('',(#108401),#108393,.F.); +#108408=EDGE_CURVE('',#53429,#52194,#36145,.T.); +#108410=EDGE_CURVE('',#53429,#53431,#36149,.T.); +#108412=EDGE_CURVE('',#53431,#52210,#36153,.T.); +#108417=ADVANCED_FACE('',(#108416),#108407,.T.); +#108425=EDGE_CURVE('',#52218,#53418,#36161,.T.); +#108427=EDGE_CURVE('',#53418,#52118,#36287,.T.); +#108429=EDGE_CURVE('',#52102,#52118,#36337,.T.); +#108434=ADVANCED_FACE('',(#108433),#108422,.T.); +#108441=EDGE_CURVE('',#53425,#52195,#36173,.T.); +#108443=EDGE_CURVE('',#53425,#53429,#36193,.T.); +#108448=ADVANCED_FACE('',(#108447),#108439,.F.); +#108455=EDGE_CURVE('',#53433,#52199,#36237,.T.); +#108457=EDGE_CURVE('',#53433,#53437,#36225,.T.); +#108459=EDGE_CURVE('',#53437,#52198,#36205,.T.); +#108463=ADVANCED_FACE('',(#108462),#108453,.F.); +#108471=EDGE_CURVE('',#53427,#52211,#36177,.T.); +#108473=EDGE_CURVE('',#53425,#53427,#36197,.T.); +#108477=ADVANCED_FACE('',(#108476),#108468,.T.); +#108485=EDGE_CURVE('',#52099,#52115,#36185,.T.); +#108487=EDGE_CURVE('',#52115,#53419,#36265,.T.); +#108489=EDGE_CURVE('',#52219,#53419,#36189,.T.); +#108494=ADVANCED_FACE('',(#108493),#108482,.T.); +#108502=EDGE_CURVE('',#53427,#53431,#36201,.T.); +#108507=ADVANCED_FACE('',(#108506),#108499,.F.); +#108514=EDGE_CURVE('',#53439,#52214,#36213,.T.); +#108516=EDGE_CURVE('',#53435,#53439,#36233,.T.); +#108518=EDGE_CURVE('',#53435,#52215,#36241,.T.); +#108522=ADVANCED_FACE('',(#108521),#108512,.F.); +#108534=ADVANCED_FACE('',(#108533),#108527,.T.); +#108541=EDGE_CURVE('',#53437,#53439,#36209,.T.); +#108547=ADVANCED_FACE('',(#108546),#108539,.T.); +#108555=EDGE_CURVE('',#52222,#53422,#36221,.T.); +#108557=EDGE_CURVE('',#53422,#52126,#36317,.T.); +#108559=EDGE_CURVE('',#52110,#52126,#36345,.T.); +#108564=ADVANCED_FACE('',(#108563),#108552,.T.); +#108571=EDGE_CURVE('',#53433,#53435,#36229,.T.); +#108577=ADVANCED_FACE('',(#108576),#108569,.T.); +#108589=ADVANCED_FACE('',(#108588),#108582,.T.); +#108597=EDGE_CURVE('',#52107,#52123,#36249,.T.); +#108599=EDGE_CURVE('',#52123,#53423,#36295,.T.); +#108601=EDGE_CURVE('',#52223,#53423,#36253,.T.); +#108606=ADVANCED_FACE('',(#108605),#108594,.T.); +#108613=EDGE_CURVE('',#52106,#52122,#36257,.T.); +#108615=EDGE_CURVE('',#52122,#52123,#36300,.T.); +#108620=ADVANCED_FACE('',(#108619),#108611,.F.); +#108628=EDGE_CURVE('',#52141,#52122,#36304,.T.); +#108633=ADVANCED_FACE('',(#108632),#108625,.T.); +#108639=EDGE_CURVE('',#53418,#53419,#36261,.T.); +#108642=EDGE_CURVE('',#52114,#52115,#36270,.T.); +#108644=EDGE_CURVE('',#52137,#52114,#36274,.T.); +#108647=EDGE_CURVE('',#52119,#52139,#36278,.T.); +#108649=EDGE_CURVE('',#52118,#52119,#36283,.T.); +#108654=ADVANCED_FACE('',(#108653),#108638,.T.); +#108660=EDGE_CURVE('',#53422,#53423,#36291,.T.); +#108666=EDGE_CURVE('',#52127,#52143,#36308,.T.); +#108668=EDGE_CURVE('',#52126,#52127,#36313,.T.); +#108673=ADVANCED_FACE('',(#108672),#108659,.T.); +#108685=ADVANCED_FACE('',(#108684),#108678,.F.); +#108697=ADVANCED_FACE('',(#108696),#108690,.F.); +#108704=EDGE_CURVE('',#52098,#52114,#36329,.T.); +#108710=ADVANCED_FACE('',(#108709),#108702,.F.); +#108722=ADVANCED_FACE('',(#108721),#108715,.T.); +#108729=EDGE_CURVE('',#52103,#52119,#36333,.T.); +#108735=ADVANCED_FACE('',(#108734),#108727,.T.); +#108747=ADVANCED_FACE('',(#108746),#108740,.T.); +#108754=EDGE_CURVE('',#52111,#52127,#36341,.T.); +#108760=ADVANCED_FACE('',(#108759),#108752,.T.); +#108772=ADVANCED_FACE('',(#108771),#108765,.T.); +#108778=EDGE_CURVE('',#53442,#53443,#36349,.T.); +#108780=EDGE_CURVE('',#53442,#52158,#36353,.T.); +#108782=EDGE_CURVE('',#52158,#52159,#36358,.T.); +#108784=EDGE_CURVE('',#52159,#52183,#36362,.T.); +#108787=EDGE_CURVE('',#52181,#52154,#36366,.T.); +#108789=EDGE_CURVE('',#52154,#52155,#36371,.T.); +#108791=EDGE_CURVE('',#52155,#53443,#36375,.T.); +#108795=ADVANCED_FACE('',(#108794),#108777,.F.); +#108801=EDGE_CURVE('',#53446,#53447,#36379,.T.); +#108803=EDGE_CURVE('',#53446,#52150,#36383,.T.); +#108805=EDGE_CURVE('',#52150,#52151,#36388,.T.); +#108807=EDGE_CURVE('',#52151,#52179,#36392,.T.); +#108810=EDGE_CURVE('',#52177,#52146,#36396,.T.); +#108812=EDGE_CURVE('',#52146,#52147,#36401,.T.); +#108814=EDGE_CURVE('',#52147,#53447,#36405,.T.); +#108818=ADVANCED_FACE('',(#108817),#108800,.F.); +#108825=EDGE_CURVE('',#53442,#52250,#36425,.T.); +#108828=EDGE_CURVE('',#53443,#52251,#36461,.T.); +#108832=ADVANCED_FACE('',(#108831),#108823,.F.); +#108839=EDGE_CURVE('',#53446,#52254,#36597,.T.); +#108842=EDGE_CURVE('',#53447,#52255,#36561,.T.); +#108846=ADVANCED_FACE('',(#108845),#108837,.F.); +#108852=EDGE_CURVE('',#53469,#52226,#36409,.T.); +#108855=EDGE_CURVE('',#53471,#52242,#36413,.T.); +#108857=EDGE_CURVE('',#53471,#53469,#36417,.T.); +#108861=ADVANCED_FACE('',(#108860),#108851,.T.); +#108867=EDGE_CURVE('',#52234,#53450,#36421,.T.); +#108869=EDGE_CURVE('',#53450,#52174,#36503,.T.); +#108871=EDGE_CURVE('',#52158,#52174,#36545,.T.); +#108878=ADVANCED_FACE('',(#108877),#108866,.T.); +#108886=EDGE_CURVE('',#53465,#53469,#36429,.T.); +#108888=EDGE_CURVE('',#53465,#52227,#36449,.T.); +#108892=ADVANCED_FACE('',(#108891),#108883,.F.); +#108899=EDGE_CURVE('',#53461,#52230,#36581,.T.); +#108901=EDGE_CURVE('',#53457,#53461,#36569,.T.); +#108903=EDGE_CURVE('',#53457,#52231,#36549,.T.); +#108907=ADVANCED_FACE('',(#108906),#108897,.F.); +#108915=EDGE_CURVE('',#53467,#53471,#36433,.T.); +#108917=EDGE_CURVE('',#53467,#53465,#36437,.T.); +#108921=ADVANCED_FACE('',(#108920),#108912,.T.); +#108928=EDGE_CURVE('',#53467,#52243,#36453,.T.); +#108934=ADVANCED_FACE('',(#108933),#108926,.F.); +#108941=EDGE_CURVE('',#53459,#52247,#36553,.T.); +#108943=EDGE_CURVE('',#53459,#53463,#36573,.T.); +#108945=EDGE_CURVE('',#53463,#52246,#36585,.T.); +#108949=ADVANCED_FACE('',(#108948),#108939,.F.); +#108961=ADVANCED_FACE('',(#108960),#108954,.T.); +#108967=EDGE_CURVE('',#52235,#53451,#36457,.T.); +#108972=EDGE_CURVE('',#52155,#52171,#36465,.T.); +#108974=EDGE_CURVE('',#52171,#53451,#36481,.T.); +#108978=ADVANCED_FACE('',(#108977),#108966,.T.); +#108986=EDGE_CURVE('',#53450,#53451,#36477,.T.); +#108991=ADVANCED_FACE('',(#108990),#108983,.F.); +#108998=EDGE_CURVE('',#52239,#53455,#36557,.T.); +#109000=EDGE_CURVE('',#53454,#53455,#36507,.T.); +#109002=EDGE_CURVE('',#52238,#53454,#36593,.T.); +#109006=ADVANCED_FACE('',(#109005),#108996,.F.); +#109014=EDGE_CURVE('',#52170,#52171,#36486,.T.); +#109016=EDGE_CURVE('',#52189,#52170,#36490,.T.); +#109019=EDGE_CURVE('',#52175,#52191,#36494,.T.); +#109021=EDGE_CURVE('',#52174,#52175,#36499,.T.); +#109026=ADVANCED_FACE('',(#109025),#109011,.T.); +#109033=EDGE_CURVE('',#52163,#53455,#36511,.T.); +#109035=EDGE_CURVE('',#52162,#52163,#36516,.T.); +#109037=EDGE_CURVE('',#52185,#52162,#36520,.T.); +#109040=EDGE_CURVE('',#52167,#52187,#36524,.T.); +#109042=EDGE_CURVE('',#52166,#52167,#36529,.T.); +#109044=EDGE_CURVE('',#53454,#52166,#36533,.T.); +#109048=ADVANCED_FACE('',(#109047),#109031,.T.); +#109055=EDGE_CURVE('',#52154,#52170,#36537,.T.); +#109061=ADVANCED_FACE('',(#109060),#109053,.F.); +#109073=ADVANCED_FACE('',(#109072),#109066,.T.); +#109080=EDGE_CURVE('',#52159,#52175,#36541,.T.); +#109086=ADVANCED_FACE('',(#109085),#109078,.T.); +#109098=ADVANCED_FACE('',(#109097),#109091,.T.); +#109105=EDGE_CURVE('',#53459,#53457,#36577,.T.); +#109111=ADVANCED_FACE('',(#109110),#109103,.T.); +#109121=EDGE_CURVE('',#52147,#52163,#36565,.T.); +#109126=ADVANCED_FACE('',(#109125),#109116,.T.); +#109133=EDGE_CURVE('',#53463,#53461,#36589,.T.); +#109139=ADVANCED_FACE('',(#109138),#109131,.T.); +#109151=ADVANCED_FACE('',(#109150),#109144,.T.); +#109159=EDGE_CURVE('',#52150,#52166,#36601,.T.); +#109166=ADVANCED_FACE('',(#109165),#109156,.T.); +#109175=EDGE_CURVE('',#52151,#52167,#36605,.T.); +#109179=ADVANCED_FACE('',(#109178),#109171,.T.); +#109191=ADVANCED_FACE('',(#109190),#109184,.T.); +#109198=EDGE_CURVE('',#52146,#52162,#36609,.T.); +#109204=ADVANCED_FACE('',(#109203),#109196,.F.); +#109216=ADVANCED_FACE('',(#109215),#109209,.T.); +#109222=EDGE_CURVE('',#53474,#53475,#36613,.T.); +#109224=EDGE_CURVE('',#53474,#52262,#36617,.T.); +#109226=EDGE_CURVE('',#52262,#52263,#36622,.T.); +#109228=EDGE_CURVE('',#52263,#52291,#36626,.T.); +#109231=EDGE_CURVE('',#52289,#52258,#36630,.T.); +#109233=EDGE_CURVE('',#52258,#52259,#36635,.T.); +#109235=EDGE_CURVE('',#52259,#53475,#36639,.T.); +#109239=ADVANCED_FACE('',(#109238),#109221,.F.); +#109245=EDGE_CURVE('',#53478,#53479,#36643,.T.); +#109247=EDGE_CURVE('',#53478,#52270,#36647,.T.); +#109249=EDGE_CURVE('',#52270,#52271,#36652,.T.); +#109251=EDGE_CURVE('',#52271,#52295,#36656,.T.); +#109254=EDGE_CURVE('',#52293,#52266,#36660,.T.); +#109256=EDGE_CURVE('',#52266,#52267,#36665,.T.); +#109258=EDGE_CURVE('',#52267,#53479,#36669,.T.); +#109262=ADVANCED_FACE('',(#109261),#109244,.F.); +#109269=EDGE_CURVE('',#53474,#52362,#36685,.T.); +#109272=EDGE_CURVE('',#53475,#52363,#36709,.T.); +#109276=ADVANCED_FACE('',(#109275),#109267,.F.); +#109283=EDGE_CURVE('',#53478,#52366,#36745,.T.); +#109286=EDGE_CURVE('',#53479,#52367,#36773,.T.); +#109290=ADVANCED_FACE('',(#109289),#109281,.F.); +#109296=EDGE_CURVE('',#53493,#52354,#36673,.T.); +#109298=EDGE_CURVE('',#53493,#53495,#36677,.T.); +#109300=EDGE_CURVE('',#53495,#52370,#36681,.T.); +#109305=ADVANCED_FACE('',(#109304),#109295,.T.); +#109313=EDGE_CURVE('',#52378,#53482,#36689,.T.); +#109315=EDGE_CURVE('',#53482,#52278,#36815,.T.); +#109317=EDGE_CURVE('',#52262,#52278,#36865,.T.); +#109322=ADVANCED_FACE('',(#109321),#109310,.T.); +#109329=EDGE_CURVE('',#53489,#52355,#36701,.T.); +#109331=EDGE_CURVE('',#53489,#53493,#36721,.T.); +#109336=ADVANCED_FACE('',(#109335),#109327,.F.); +#109343=EDGE_CURVE('',#53497,#52359,#36765,.T.); +#109345=EDGE_CURVE('',#53497,#53501,#36753,.T.); +#109347=EDGE_CURVE('',#53501,#52358,#36733,.T.); +#109351=ADVANCED_FACE('',(#109350),#109341,.F.); +#109359=EDGE_CURVE('',#53491,#52371,#36705,.T.); +#109361=EDGE_CURVE('',#53489,#53491,#36725,.T.); +#109365=ADVANCED_FACE('',(#109364),#109356,.T.); +#109373=EDGE_CURVE('',#52259,#52275,#36713,.T.); +#109375=EDGE_CURVE('',#52275,#53483,#36793,.T.); +#109377=EDGE_CURVE('',#52379,#53483,#36717,.T.); +#109382=ADVANCED_FACE('',(#109381),#109370,.T.); +#109390=EDGE_CURVE('',#53491,#53495,#36729,.T.); +#109395=ADVANCED_FACE('',(#109394),#109387,.F.); +#109402=EDGE_CURVE('',#53503,#52374,#36741,.T.); +#109404=EDGE_CURVE('',#53499,#53503,#36761,.T.); +#109406=EDGE_CURVE('',#53499,#52375,#36769,.T.); +#109410=ADVANCED_FACE('',(#109409),#109400,.F.); +#109422=ADVANCED_FACE('',(#109421),#109415,.T.); +#109429=EDGE_CURVE('',#53501,#53503,#36737,.T.); +#109435=ADVANCED_FACE('',(#109434),#109427,.T.); +#109443=EDGE_CURVE('',#52382,#53486,#36749,.T.); +#109445=EDGE_CURVE('',#53486,#52286,#36845,.T.); +#109447=EDGE_CURVE('',#52270,#52286,#36873,.T.); +#109452=ADVANCED_FACE('',(#109451),#109440,.T.); +#109459=EDGE_CURVE('',#53497,#53499,#36757,.T.); +#109465=ADVANCED_FACE('',(#109464),#109457,.T.); +#109477=ADVANCED_FACE('',(#109476),#109470,.T.); +#109485=EDGE_CURVE('',#52267,#52283,#36777,.T.); +#109487=EDGE_CURVE('',#52283,#53487,#36823,.T.); +#109489=EDGE_CURVE('',#52383,#53487,#36781,.T.); +#109494=ADVANCED_FACE('',(#109493),#109482,.T.); +#109501=EDGE_CURVE('',#52266,#52282,#36785,.T.); +#109503=EDGE_CURVE('',#52282,#52283,#36828,.T.); +#109508=ADVANCED_FACE('',(#109507),#109499,.F.); +#109516=EDGE_CURVE('',#52301,#52282,#36832,.T.); +#109521=ADVANCED_FACE('',(#109520),#109513,.T.); +#109527=EDGE_CURVE('',#53482,#53483,#36789,.T.); +#109530=EDGE_CURVE('',#52274,#52275,#36798,.T.); +#109532=EDGE_CURVE('',#52297,#52274,#36802,.T.); +#109535=EDGE_CURVE('',#52279,#52299,#36806,.T.); +#109537=EDGE_CURVE('',#52278,#52279,#36811,.T.); +#109542=ADVANCED_FACE('',(#109541),#109526,.T.); +#109548=EDGE_CURVE('',#53486,#53487,#36819,.T.); +#109554=EDGE_CURVE('',#52287,#52303,#36836,.T.); +#109556=EDGE_CURVE('',#52286,#52287,#36841,.T.); +#109561=ADVANCED_FACE('',(#109560),#109547,.T.); +#109573=ADVANCED_FACE('',(#109572),#109566,.F.); +#109585=ADVANCED_FACE('',(#109584),#109578,.F.); +#109592=EDGE_CURVE('',#52258,#52274,#36857,.T.); +#109598=ADVANCED_FACE('',(#109597),#109590,.F.); +#109610=ADVANCED_FACE('',(#109609),#109603,.T.); +#109617=EDGE_CURVE('',#52263,#52279,#36861,.T.); +#109623=ADVANCED_FACE('',(#109622),#109615,.T.); +#109635=ADVANCED_FACE('',(#109634),#109628,.T.); +#109642=EDGE_CURVE('',#52271,#52287,#36869,.T.); +#109648=ADVANCED_FACE('',(#109647),#109640,.T.); +#109660=ADVANCED_FACE('',(#109659),#109653,.T.); +#109666=EDGE_CURVE('',#53506,#53507,#36877,.T.); +#109668=EDGE_CURVE('',#53506,#52318,#36881,.T.); +#109670=EDGE_CURVE('',#52318,#52319,#36886,.T.); +#109672=EDGE_CURVE('',#52319,#52343,#36890,.T.); +#109675=EDGE_CURVE('',#52341,#52314,#36894,.T.); +#109677=EDGE_CURVE('',#52314,#52315,#36899,.T.); +#109679=EDGE_CURVE('',#52315,#53507,#36903,.T.); +#109683=ADVANCED_FACE('',(#109682),#109665,.F.); +#109689=EDGE_CURVE('',#53510,#53511,#36907,.T.); +#109691=EDGE_CURVE('',#53510,#52310,#36911,.T.); +#109693=EDGE_CURVE('',#52310,#52311,#36916,.T.); +#109695=EDGE_CURVE('',#52311,#52339,#36920,.T.); +#109698=EDGE_CURVE('',#52337,#52306,#36924,.T.); +#109700=EDGE_CURVE('',#52306,#52307,#36929,.T.); +#109702=EDGE_CURVE('',#52307,#53511,#36933,.T.); +#109706=ADVANCED_FACE('',(#109705),#109688,.F.); +#109713=EDGE_CURVE('',#53506,#52410,#36953,.T.); +#109716=EDGE_CURVE('',#53507,#52411,#36989,.T.); +#109720=ADVANCED_FACE('',(#109719),#109711,.F.); +#109727=EDGE_CURVE('',#53510,#52414,#37125,.T.); +#109730=EDGE_CURVE('',#53511,#52415,#37089,.T.); +#109734=ADVANCED_FACE('',(#109733),#109725,.F.); +#109740=EDGE_CURVE('',#53533,#52386,#36937,.T.); +#109743=EDGE_CURVE('',#53535,#52402,#36941,.T.); +#109745=EDGE_CURVE('',#53535,#53533,#36945,.T.); +#109749=ADVANCED_FACE('',(#109748),#109739,.T.); +#109755=EDGE_CURVE('',#52394,#53514,#36949,.T.); +#109757=EDGE_CURVE('',#53514,#52334,#37031,.T.); +#109759=EDGE_CURVE('',#52318,#52334,#37073,.T.); +#109766=ADVANCED_FACE('',(#109765),#109754,.T.); +#109774=EDGE_CURVE('',#53529,#53533,#36957,.T.); +#109776=EDGE_CURVE('',#53529,#52387,#36977,.T.); +#109780=ADVANCED_FACE('',(#109779),#109771,.F.); +#109787=EDGE_CURVE('',#53525,#52390,#37109,.T.); +#109789=EDGE_CURVE('',#53521,#53525,#37097,.T.); +#109791=EDGE_CURVE('',#53521,#52391,#37077,.T.); +#109795=ADVANCED_FACE('',(#109794),#109785,.F.); +#109803=EDGE_CURVE('',#53531,#53535,#36961,.T.); +#109805=EDGE_CURVE('',#53531,#53529,#36965,.T.); +#109809=ADVANCED_FACE('',(#109808),#109800,.T.); +#109816=EDGE_CURVE('',#53531,#52403,#36981,.T.); +#109822=ADVANCED_FACE('',(#109821),#109814,.F.); +#109829=EDGE_CURVE('',#53523,#52407,#37081,.T.); +#109831=EDGE_CURVE('',#53523,#53527,#37101,.T.); +#109833=EDGE_CURVE('',#53527,#52406,#37113,.T.); +#109837=ADVANCED_FACE('',(#109836),#109827,.F.); +#109849=ADVANCED_FACE('',(#109848),#109842,.T.); +#109855=EDGE_CURVE('',#52395,#53515,#36985,.T.); +#109860=EDGE_CURVE('',#52315,#52331,#36993,.T.); +#109862=EDGE_CURVE('',#52331,#53515,#37009,.T.); +#109866=ADVANCED_FACE('',(#109865),#109854,.T.); +#109874=EDGE_CURVE('',#53514,#53515,#37005,.T.); +#109879=ADVANCED_FACE('',(#109878),#109871,.F.); +#109886=EDGE_CURVE('',#52399,#53519,#37085,.T.); +#109888=EDGE_CURVE('',#53518,#53519,#37035,.T.); +#109890=EDGE_CURVE('',#52398,#53518,#37121,.T.); +#109894=ADVANCED_FACE('',(#109893),#109884,.F.); +#109902=EDGE_CURVE('',#52330,#52331,#37014,.T.); +#109904=EDGE_CURVE('',#52349,#52330,#37018,.T.); +#109907=EDGE_CURVE('',#52335,#52351,#37022,.T.); +#109909=EDGE_CURVE('',#52334,#52335,#37027,.T.); +#109914=ADVANCED_FACE('',(#109913),#109899,.T.); +#109921=EDGE_CURVE('',#52323,#53519,#37039,.T.); +#109923=EDGE_CURVE('',#52322,#52323,#37044,.T.); +#109925=EDGE_CURVE('',#52345,#52322,#37048,.T.); +#109928=EDGE_CURVE('',#52327,#52347,#37052,.T.); +#109930=EDGE_CURVE('',#52326,#52327,#37057,.T.); +#109932=EDGE_CURVE('',#53518,#52326,#37061,.T.); +#109936=ADVANCED_FACE('',(#109935),#109919,.T.); +#109943=EDGE_CURVE('',#52314,#52330,#37065,.T.); +#109949=ADVANCED_FACE('',(#109948),#109941,.F.); +#109961=ADVANCED_FACE('',(#109960),#109954,.T.); +#109968=EDGE_CURVE('',#52319,#52335,#37069,.T.); +#109974=ADVANCED_FACE('',(#109973),#109966,.T.); +#109986=ADVANCED_FACE('',(#109985),#109979,.T.); +#109993=EDGE_CURVE('',#53523,#53521,#37105,.T.); +#109999=ADVANCED_FACE('',(#109998),#109991,.T.); +#110009=EDGE_CURVE('',#52307,#52323,#37093,.T.); +#110014=ADVANCED_FACE('',(#110013),#110004,.T.); +#110021=EDGE_CURVE('',#53527,#53525,#37117,.T.); +#110027=ADVANCED_FACE('',(#110026),#110019,.T.); +#110039=ADVANCED_FACE('',(#110038),#110032,.T.); +#110047=EDGE_CURVE('',#52310,#52326,#37129,.T.); +#110054=ADVANCED_FACE('',(#110053),#110044,.T.); +#110063=EDGE_CURVE('',#52311,#52327,#37133,.T.); +#110067=ADVANCED_FACE('',(#110066),#110059,.T.); +#110079=ADVANCED_FACE('',(#110078),#110072,.T.); +#110086=EDGE_CURVE('',#52306,#52322,#37137,.T.); +#110092=ADVANCED_FACE('',(#110091),#110084,.F.); +#110104=ADVANCED_FACE('',(#110103),#110097,.T.); +#110110=EDGE_CURVE('',#53538,#53539,#37141,.T.); +#110112=EDGE_CURVE('',#53538,#52422,#37145,.T.); +#110114=EDGE_CURVE('',#52422,#52423,#37150,.T.); +#110116=EDGE_CURVE('',#52423,#52451,#37154,.T.); +#110119=EDGE_CURVE('',#52449,#52418,#37158,.T.); +#110121=EDGE_CURVE('',#52418,#52419,#37163,.T.); +#110123=EDGE_CURVE('',#52419,#53539,#37167,.T.); +#110127=ADVANCED_FACE('',(#110126),#110109,.F.); +#110133=EDGE_CURVE('',#53542,#53543,#37171,.T.); +#110135=EDGE_CURVE('',#53542,#52430,#37175,.T.); +#110137=EDGE_CURVE('',#52430,#52431,#37180,.T.); +#110139=EDGE_CURVE('',#52431,#52455,#37184,.T.); +#110142=EDGE_CURVE('',#52453,#52426,#37188,.T.); +#110144=EDGE_CURVE('',#52426,#52427,#37193,.T.); +#110146=EDGE_CURVE('',#52427,#53543,#37197,.T.); +#110150=ADVANCED_FACE('',(#110149),#110132,.F.); +#110157=EDGE_CURVE('',#53538,#52522,#37213,.T.); +#110160=EDGE_CURVE('',#53539,#52523,#37237,.T.); +#110164=ADVANCED_FACE('',(#110163),#110155,.F.); +#110171=EDGE_CURVE('',#53542,#52526,#37273,.T.); +#110174=EDGE_CURVE('',#53543,#52527,#37301,.T.); +#110178=ADVANCED_FACE('',(#110177),#110169,.F.); +#110184=EDGE_CURVE('',#53557,#52514,#37201,.T.); +#110186=EDGE_CURVE('',#53557,#53559,#37205,.T.); +#110188=EDGE_CURVE('',#53559,#52530,#37209,.T.); +#110193=ADVANCED_FACE('',(#110192),#110183,.T.); +#110201=EDGE_CURVE('',#52538,#53546,#37217,.T.); +#110203=EDGE_CURVE('',#53546,#52438,#37343,.T.); +#110205=EDGE_CURVE('',#52422,#52438,#37393,.T.); +#110210=ADVANCED_FACE('',(#110209),#110198,.T.); +#110217=EDGE_CURVE('',#53553,#52515,#37229,.T.); +#110219=EDGE_CURVE('',#53553,#53557,#37249,.T.); +#110224=ADVANCED_FACE('',(#110223),#110215,.F.); +#110231=EDGE_CURVE('',#53561,#52519,#37293,.T.); +#110233=EDGE_CURVE('',#53561,#53565,#37281,.T.); +#110235=EDGE_CURVE('',#53565,#52518,#37261,.T.); +#110239=ADVANCED_FACE('',(#110238),#110229,.F.); +#110247=EDGE_CURVE('',#53555,#52531,#37233,.T.); +#110249=EDGE_CURVE('',#53553,#53555,#37253,.T.); +#110253=ADVANCED_FACE('',(#110252),#110244,.T.); +#110261=EDGE_CURVE('',#52419,#52435,#37241,.T.); +#110263=EDGE_CURVE('',#52435,#53547,#37321,.T.); +#110265=EDGE_CURVE('',#52539,#53547,#37245,.T.); +#110270=ADVANCED_FACE('',(#110269),#110258,.T.); +#110278=EDGE_CURVE('',#53555,#53559,#37257,.T.); +#110283=ADVANCED_FACE('',(#110282),#110275,.F.); +#110290=EDGE_CURVE('',#53567,#52534,#37269,.T.); +#110292=EDGE_CURVE('',#53563,#53567,#37289,.T.); +#110294=EDGE_CURVE('',#53563,#52535,#37297,.T.); +#110298=ADVANCED_FACE('',(#110297),#110288,.F.); +#110310=ADVANCED_FACE('',(#110309),#110303,.T.); +#110317=EDGE_CURVE('',#53565,#53567,#37265,.T.); +#110323=ADVANCED_FACE('',(#110322),#110315,.T.); +#110331=EDGE_CURVE('',#52542,#53550,#37277,.T.); +#110333=EDGE_CURVE('',#53550,#52446,#37373,.T.); +#110335=EDGE_CURVE('',#52430,#52446,#37401,.T.); +#110340=ADVANCED_FACE('',(#110339),#110328,.T.); +#110347=EDGE_CURVE('',#53561,#53563,#37285,.T.); +#110353=ADVANCED_FACE('',(#110352),#110345,.T.); +#110365=ADVANCED_FACE('',(#110364),#110358,.T.); +#110373=EDGE_CURVE('',#52427,#52443,#37305,.T.); +#110375=EDGE_CURVE('',#52443,#53551,#37351,.T.); +#110377=EDGE_CURVE('',#52543,#53551,#37309,.T.); +#110382=ADVANCED_FACE('',(#110381),#110370,.T.); +#110389=EDGE_CURVE('',#52426,#52442,#37313,.T.); +#110391=EDGE_CURVE('',#52442,#52443,#37356,.T.); +#110396=ADVANCED_FACE('',(#110395),#110387,.F.); +#110404=EDGE_CURVE('',#52461,#52442,#37360,.T.); +#110409=ADVANCED_FACE('',(#110408),#110401,.T.); +#110415=EDGE_CURVE('',#53546,#53547,#37317,.T.); +#110418=EDGE_CURVE('',#52434,#52435,#37326,.T.); +#110420=EDGE_CURVE('',#52457,#52434,#37330,.T.); +#110423=EDGE_CURVE('',#52439,#52459,#37334,.T.); +#110425=EDGE_CURVE('',#52438,#52439,#37339,.T.); +#110430=ADVANCED_FACE('',(#110429),#110414,.T.); +#110436=EDGE_CURVE('',#53550,#53551,#37347,.T.); +#110442=EDGE_CURVE('',#52447,#52463,#37364,.T.); +#110444=EDGE_CURVE('',#52446,#52447,#37369,.T.); +#110449=ADVANCED_FACE('',(#110448),#110435,.T.); +#110461=ADVANCED_FACE('',(#110460),#110454,.F.); +#110473=ADVANCED_FACE('',(#110472),#110466,.F.); +#110480=EDGE_CURVE('',#52418,#52434,#37385,.T.); +#110486=ADVANCED_FACE('',(#110485),#110478,.F.); +#110498=ADVANCED_FACE('',(#110497),#110491,.T.); +#110505=EDGE_CURVE('',#52423,#52439,#37389,.T.); +#110511=ADVANCED_FACE('',(#110510),#110503,.T.); +#110523=ADVANCED_FACE('',(#110522),#110516,.T.); +#110530=EDGE_CURVE('',#52431,#52447,#37397,.T.); +#110536=ADVANCED_FACE('',(#110535),#110528,.T.); +#110548=ADVANCED_FACE('',(#110547),#110541,.T.); +#110554=EDGE_CURVE('',#53570,#53571,#37405,.T.); +#110556=EDGE_CURVE('',#53570,#52478,#37409,.T.); +#110558=EDGE_CURVE('',#52478,#52479,#37414,.T.); +#110560=EDGE_CURVE('',#52479,#52503,#37418,.T.); +#110563=EDGE_CURVE('',#52501,#52474,#37422,.T.); +#110565=EDGE_CURVE('',#52474,#52475,#37427,.T.); +#110567=EDGE_CURVE('',#52475,#53571,#37431,.T.); +#110571=ADVANCED_FACE('',(#110570),#110553,.F.); +#110577=EDGE_CURVE('',#53574,#53575,#37435,.T.); +#110579=EDGE_CURVE('',#53574,#52470,#37439,.T.); +#110581=EDGE_CURVE('',#52470,#52471,#37444,.T.); +#110583=EDGE_CURVE('',#52471,#52499,#37448,.T.); +#110586=EDGE_CURVE('',#52497,#52466,#37452,.T.); +#110588=EDGE_CURVE('',#52466,#52467,#37457,.T.); +#110590=EDGE_CURVE('',#52467,#53575,#37461,.T.); +#110594=ADVANCED_FACE('',(#110593),#110576,.F.); +#110601=EDGE_CURVE('',#53570,#52570,#37481,.T.); +#110604=EDGE_CURVE('',#53571,#52571,#37517,.T.); +#110608=ADVANCED_FACE('',(#110607),#110599,.F.); +#110615=EDGE_CURVE('',#53574,#52574,#37653,.T.); +#110618=EDGE_CURVE('',#53575,#52575,#37617,.T.); +#110622=ADVANCED_FACE('',(#110621),#110613,.F.); +#110628=EDGE_CURVE('',#53597,#52546,#37465,.T.); +#110631=EDGE_CURVE('',#53599,#52562,#37469,.T.); +#110633=EDGE_CURVE('',#53599,#53597,#37473,.T.); +#110637=ADVANCED_FACE('',(#110636),#110627,.T.); +#110643=EDGE_CURVE('',#52554,#53578,#37477,.T.); +#110645=EDGE_CURVE('',#53578,#52494,#37559,.T.); +#110647=EDGE_CURVE('',#52478,#52494,#37601,.T.); +#110654=ADVANCED_FACE('',(#110653),#110642,.T.); +#110662=EDGE_CURVE('',#53593,#53597,#37485,.T.); +#110664=EDGE_CURVE('',#53593,#52547,#37505,.T.); +#110668=ADVANCED_FACE('',(#110667),#110659,.F.); +#110675=EDGE_CURVE('',#53589,#52550,#37637,.T.); +#110677=EDGE_CURVE('',#53585,#53589,#37625,.T.); +#110679=EDGE_CURVE('',#53585,#52551,#37605,.T.); +#110683=ADVANCED_FACE('',(#110682),#110673,.F.); +#110691=EDGE_CURVE('',#53595,#53599,#37489,.T.); +#110693=EDGE_CURVE('',#53595,#53593,#37493,.T.); +#110697=ADVANCED_FACE('',(#110696),#110688,.T.); +#110704=EDGE_CURVE('',#53595,#52563,#37509,.T.); +#110710=ADVANCED_FACE('',(#110709),#110702,.F.); +#110717=EDGE_CURVE('',#53587,#52567,#37609,.T.); +#110719=EDGE_CURVE('',#53587,#53591,#37629,.T.); +#110721=EDGE_CURVE('',#53591,#52566,#37641,.T.); +#110725=ADVANCED_FACE('',(#110724),#110715,.F.); +#110737=ADVANCED_FACE('',(#110736),#110730,.T.); +#110743=EDGE_CURVE('',#52555,#53579,#37513,.T.); +#110748=EDGE_CURVE('',#52475,#52491,#37521,.T.); +#110750=EDGE_CURVE('',#52491,#53579,#37537,.T.); +#110754=ADVANCED_FACE('',(#110753),#110742,.T.); +#110762=EDGE_CURVE('',#53578,#53579,#37533,.T.); +#110767=ADVANCED_FACE('',(#110766),#110759,.F.); +#110774=EDGE_CURVE('',#52559,#53583,#37613,.T.); +#110776=EDGE_CURVE('',#53582,#53583,#37563,.T.); +#110778=EDGE_CURVE('',#52558,#53582,#37649,.T.); +#110782=ADVANCED_FACE('',(#110781),#110772,.F.); +#110790=EDGE_CURVE('',#52490,#52491,#37542,.T.); +#110792=EDGE_CURVE('',#52509,#52490,#37546,.T.); +#110795=EDGE_CURVE('',#52495,#52511,#37550,.T.); +#110797=EDGE_CURVE('',#52494,#52495,#37555,.T.); +#110802=ADVANCED_FACE('',(#110801),#110787,.T.); +#110809=EDGE_CURVE('',#52483,#53583,#37567,.T.); +#110811=EDGE_CURVE('',#52482,#52483,#37572,.T.); +#110813=EDGE_CURVE('',#52505,#52482,#37576,.T.); +#110816=EDGE_CURVE('',#52487,#52507,#37580,.T.); +#110818=EDGE_CURVE('',#52486,#52487,#37585,.T.); +#110820=EDGE_CURVE('',#53582,#52486,#37589,.T.); +#110824=ADVANCED_FACE('',(#110823),#110807,.T.); +#110831=EDGE_CURVE('',#52474,#52490,#37593,.T.); +#110837=ADVANCED_FACE('',(#110836),#110829,.F.); +#110849=ADVANCED_FACE('',(#110848),#110842,.T.); +#110856=EDGE_CURVE('',#52479,#52495,#37597,.T.); +#110862=ADVANCED_FACE('',(#110861),#110854,.T.); +#110874=ADVANCED_FACE('',(#110873),#110867,.T.); +#110881=EDGE_CURVE('',#53587,#53585,#37633,.T.); +#110887=ADVANCED_FACE('',(#110886),#110879,.T.); +#110897=EDGE_CURVE('',#52467,#52483,#37621,.T.); +#110902=ADVANCED_FACE('',(#110901),#110892,.T.); +#110909=EDGE_CURVE('',#53591,#53589,#37645,.T.); +#110915=ADVANCED_FACE('',(#110914),#110907,.T.); +#110927=ADVANCED_FACE('',(#110926),#110920,.T.); +#110935=EDGE_CURVE('',#52470,#52486,#37657,.T.); +#110942=ADVANCED_FACE('',(#110941),#110932,.T.); +#110951=EDGE_CURVE('',#52471,#52487,#37661,.T.); +#110955=ADVANCED_FACE('',(#110954),#110947,.T.); +#110967=ADVANCED_FACE('',(#110966),#110960,.T.); +#110974=EDGE_CURVE('',#52466,#52482,#37665,.T.); +#110980=ADVANCED_FACE('',(#110979),#110972,.F.); +#110992=ADVANCED_FACE('',(#110991),#110985,.T.); +#110998=EDGE_CURVE('',#53602,#53603,#37669,.T.); +#111000=EDGE_CURVE('',#53602,#52582,#37673,.T.); +#111002=EDGE_CURVE('',#52582,#52583,#37678,.T.); +#111004=EDGE_CURVE('',#52583,#52611,#37682,.T.); +#111007=EDGE_CURVE('',#52609,#52578,#37686,.T.); +#111009=EDGE_CURVE('',#52578,#52579,#37691,.T.); +#111011=EDGE_CURVE('',#52579,#53603,#37695,.T.); +#111015=ADVANCED_FACE('',(#111014),#110997,.F.); +#111021=EDGE_CURVE('',#53606,#53607,#37699,.T.); +#111023=EDGE_CURVE('',#53606,#52590,#37703,.T.); +#111025=EDGE_CURVE('',#52590,#52591,#37708,.T.); +#111027=EDGE_CURVE('',#52591,#52615,#37712,.T.); +#111030=EDGE_CURVE('',#52613,#52586,#37716,.T.); +#111032=EDGE_CURVE('',#52586,#52587,#37721,.T.); +#111034=EDGE_CURVE('',#52587,#53607,#37725,.T.); +#111038=ADVANCED_FACE('',(#111037),#111020,.F.); +#111045=EDGE_CURVE('',#53602,#52682,#37741,.T.); +#111048=EDGE_CURVE('',#53603,#52683,#37765,.T.); +#111052=ADVANCED_FACE('',(#111051),#111043,.F.); +#111059=EDGE_CURVE('',#53606,#52686,#37801,.T.); +#111062=EDGE_CURVE('',#53607,#52687,#37829,.T.); +#111066=ADVANCED_FACE('',(#111065),#111057,.F.); +#111072=EDGE_CURVE('',#53621,#52674,#37729,.T.); +#111074=EDGE_CURVE('',#53621,#53623,#37733,.T.); +#111076=EDGE_CURVE('',#53623,#52690,#37737,.T.); +#111081=ADVANCED_FACE('',(#111080),#111071,.T.); +#111089=EDGE_CURVE('',#52698,#53610,#37745,.T.); +#111091=EDGE_CURVE('',#53610,#52598,#37871,.T.); +#111093=EDGE_CURVE('',#52582,#52598,#37921,.T.); +#111098=ADVANCED_FACE('',(#111097),#111086,.T.); +#111105=EDGE_CURVE('',#53617,#52675,#37757,.T.); +#111107=EDGE_CURVE('',#53617,#53621,#37777,.T.); +#111112=ADVANCED_FACE('',(#111111),#111103,.F.); +#111119=EDGE_CURVE('',#53625,#52679,#37821,.T.); +#111121=EDGE_CURVE('',#53625,#53629,#37809,.T.); +#111123=EDGE_CURVE('',#53629,#52678,#37789,.T.); +#111127=ADVANCED_FACE('',(#111126),#111117,.F.); +#111135=EDGE_CURVE('',#53619,#52691,#37761,.T.); +#111137=EDGE_CURVE('',#53617,#53619,#37781,.T.); +#111141=ADVANCED_FACE('',(#111140),#111132,.T.); +#111149=EDGE_CURVE('',#52579,#52595,#37769,.T.); +#111151=EDGE_CURVE('',#52595,#53611,#37849,.T.); +#111153=EDGE_CURVE('',#52699,#53611,#37773,.T.); +#111158=ADVANCED_FACE('',(#111157),#111146,.T.); +#111166=EDGE_CURVE('',#53619,#53623,#37785,.T.); +#111171=ADVANCED_FACE('',(#111170),#111163,.F.); +#111178=EDGE_CURVE('',#53631,#52694,#37797,.T.); +#111180=EDGE_CURVE('',#53627,#53631,#37817,.T.); +#111182=EDGE_CURVE('',#53627,#52695,#37825,.T.); +#111186=ADVANCED_FACE('',(#111185),#111176,.F.); +#111198=ADVANCED_FACE('',(#111197),#111191,.T.); +#111205=EDGE_CURVE('',#53629,#53631,#37793,.T.); +#111211=ADVANCED_FACE('',(#111210),#111203,.T.); +#111219=EDGE_CURVE('',#52702,#53614,#37805,.T.); +#111221=EDGE_CURVE('',#53614,#52606,#37901,.T.); +#111223=EDGE_CURVE('',#52590,#52606,#37929,.T.); +#111228=ADVANCED_FACE('',(#111227),#111216,.T.); +#111235=EDGE_CURVE('',#53625,#53627,#37813,.T.); +#111241=ADVANCED_FACE('',(#111240),#111233,.T.); +#111253=ADVANCED_FACE('',(#111252),#111246,.T.); +#111261=EDGE_CURVE('',#52587,#52603,#37833,.T.); +#111263=EDGE_CURVE('',#52603,#53615,#37879,.T.); +#111265=EDGE_CURVE('',#52703,#53615,#37837,.T.); +#111270=ADVANCED_FACE('',(#111269),#111258,.T.); +#111277=EDGE_CURVE('',#52586,#52602,#37841,.T.); +#111279=EDGE_CURVE('',#52602,#52603,#37884,.T.); +#111284=ADVANCED_FACE('',(#111283),#111275,.F.); +#111292=EDGE_CURVE('',#52621,#52602,#37888,.T.); +#111297=ADVANCED_FACE('',(#111296),#111289,.T.); +#111303=EDGE_CURVE('',#53610,#53611,#37845,.T.); +#111306=EDGE_CURVE('',#52594,#52595,#37854,.T.); +#111308=EDGE_CURVE('',#52617,#52594,#37858,.T.); +#111311=EDGE_CURVE('',#52599,#52619,#37862,.T.); +#111313=EDGE_CURVE('',#52598,#52599,#37867,.T.); +#111318=ADVANCED_FACE('',(#111317),#111302,.T.); +#111324=EDGE_CURVE('',#53614,#53615,#37875,.T.); +#111330=EDGE_CURVE('',#52607,#52623,#37892,.T.); +#111332=EDGE_CURVE('',#52606,#52607,#37897,.T.); +#111337=ADVANCED_FACE('',(#111336),#111323,.T.); +#111349=ADVANCED_FACE('',(#111348),#111342,.F.); +#111361=ADVANCED_FACE('',(#111360),#111354,.F.); +#111368=EDGE_CURVE('',#52578,#52594,#37913,.T.); +#111374=ADVANCED_FACE('',(#111373),#111366,.F.); +#111386=ADVANCED_FACE('',(#111385),#111379,.T.); +#111393=EDGE_CURVE('',#52583,#52599,#37917,.T.); +#111399=ADVANCED_FACE('',(#111398),#111391,.T.); +#111411=ADVANCED_FACE('',(#111410),#111404,.T.); +#111418=EDGE_CURVE('',#52591,#52607,#37925,.T.); +#111424=ADVANCED_FACE('',(#111423),#111416,.T.); +#111436=ADVANCED_FACE('',(#111435),#111429,.T.); +#111442=EDGE_CURVE('',#53634,#53635,#37933,.T.); +#111444=EDGE_CURVE('',#53634,#52638,#37937,.T.); +#111446=EDGE_CURVE('',#52638,#52639,#37942,.T.); +#111448=EDGE_CURVE('',#52639,#52663,#37946,.T.); +#111451=EDGE_CURVE('',#52661,#52634,#37950,.T.); +#111453=EDGE_CURVE('',#52634,#52635,#37955,.T.); +#111455=EDGE_CURVE('',#52635,#53635,#37959,.T.); +#111459=ADVANCED_FACE('',(#111458),#111441,.F.); +#111465=EDGE_CURVE('',#53638,#53639,#37963,.T.); +#111467=EDGE_CURVE('',#53638,#52630,#37967,.T.); +#111469=EDGE_CURVE('',#52630,#52631,#37972,.T.); +#111471=EDGE_CURVE('',#52631,#52659,#37976,.T.); +#111474=EDGE_CURVE('',#52657,#52626,#37980,.T.); +#111476=EDGE_CURVE('',#52626,#52627,#37985,.T.); +#111478=EDGE_CURVE('',#52627,#53639,#37989,.T.); +#111482=ADVANCED_FACE('',(#111481),#111464,.F.); +#111489=EDGE_CURVE('',#53634,#52730,#38009,.T.); +#111492=EDGE_CURVE('',#53635,#52731,#38045,.T.); +#111496=ADVANCED_FACE('',(#111495),#111487,.F.); +#111503=EDGE_CURVE('',#53638,#52734,#38181,.T.); +#111506=EDGE_CURVE('',#53639,#52735,#38145,.T.); +#111510=ADVANCED_FACE('',(#111509),#111501,.F.); +#111516=EDGE_CURVE('',#53661,#52706,#37993,.T.); +#111519=EDGE_CURVE('',#53663,#52722,#37997,.T.); +#111521=EDGE_CURVE('',#53663,#53661,#38001,.T.); +#111525=ADVANCED_FACE('',(#111524),#111515,.T.); +#111531=EDGE_CURVE('',#52714,#53642,#38005,.T.); +#111533=EDGE_CURVE('',#53642,#52654,#38087,.T.); +#111535=EDGE_CURVE('',#52638,#52654,#38129,.T.); +#111542=ADVANCED_FACE('',(#111541),#111530,.T.); +#111550=EDGE_CURVE('',#53657,#53661,#38013,.T.); +#111552=EDGE_CURVE('',#53657,#52707,#38033,.T.); +#111556=ADVANCED_FACE('',(#111555),#111547,.F.); +#111563=EDGE_CURVE('',#53653,#52710,#38165,.T.); +#111565=EDGE_CURVE('',#53649,#53653,#38153,.T.); +#111567=EDGE_CURVE('',#53649,#52711,#38133,.T.); +#111571=ADVANCED_FACE('',(#111570),#111561,.F.); +#111579=EDGE_CURVE('',#53659,#53663,#38017,.T.); +#111581=EDGE_CURVE('',#53659,#53657,#38021,.T.); +#111585=ADVANCED_FACE('',(#111584),#111576,.T.); +#111592=EDGE_CURVE('',#53659,#52723,#38037,.T.); +#111598=ADVANCED_FACE('',(#111597),#111590,.F.); +#111605=EDGE_CURVE('',#53651,#52727,#38137,.T.); +#111607=EDGE_CURVE('',#53651,#53655,#38157,.T.); +#111609=EDGE_CURVE('',#53655,#52726,#38169,.T.); +#111613=ADVANCED_FACE('',(#111612),#111603,.F.); +#111625=ADVANCED_FACE('',(#111624),#111618,.T.); +#111631=EDGE_CURVE('',#52715,#53643,#38041,.T.); +#111636=EDGE_CURVE('',#52635,#52651,#38049,.T.); +#111638=EDGE_CURVE('',#52651,#53643,#38065,.T.); +#111642=ADVANCED_FACE('',(#111641),#111630,.T.); +#111650=EDGE_CURVE('',#53642,#53643,#38061,.T.); +#111655=ADVANCED_FACE('',(#111654),#111647,.F.); +#111662=EDGE_CURVE('',#52719,#53647,#38141,.T.); +#111664=EDGE_CURVE('',#53646,#53647,#38091,.T.); +#111666=EDGE_CURVE('',#52718,#53646,#38177,.T.); +#111670=ADVANCED_FACE('',(#111669),#111660,.F.); +#111678=EDGE_CURVE('',#52650,#52651,#38070,.T.); +#111680=EDGE_CURVE('',#52669,#52650,#38074,.T.); +#111683=EDGE_CURVE('',#52655,#52671,#38078,.T.); +#111685=EDGE_CURVE('',#52654,#52655,#38083,.T.); +#111690=ADVANCED_FACE('',(#111689),#111675,.T.); +#111697=EDGE_CURVE('',#52643,#53647,#38095,.T.); +#111699=EDGE_CURVE('',#52642,#52643,#38100,.T.); +#111701=EDGE_CURVE('',#52665,#52642,#38104,.T.); +#111704=EDGE_CURVE('',#52647,#52667,#38108,.T.); +#111706=EDGE_CURVE('',#52646,#52647,#38113,.T.); +#111708=EDGE_CURVE('',#53646,#52646,#38117,.T.); +#111712=ADVANCED_FACE('',(#111711),#111695,.T.); +#111719=EDGE_CURVE('',#52634,#52650,#38121,.T.); +#111725=ADVANCED_FACE('',(#111724),#111717,.F.); +#111737=ADVANCED_FACE('',(#111736),#111730,.T.); +#111744=EDGE_CURVE('',#52639,#52655,#38125,.T.); +#111750=ADVANCED_FACE('',(#111749),#111742,.T.); +#111762=ADVANCED_FACE('',(#111761),#111755,.T.); +#111769=EDGE_CURVE('',#53651,#53649,#38161,.T.); +#111775=ADVANCED_FACE('',(#111774),#111767,.T.); +#111785=EDGE_CURVE('',#52627,#52643,#38149,.T.); +#111790=ADVANCED_FACE('',(#111789),#111780,.T.); +#111797=EDGE_CURVE('',#53655,#53653,#38173,.T.); +#111803=ADVANCED_FACE('',(#111802),#111795,.T.); +#111815=ADVANCED_FACE('',(#111814),#111808,.T.); +#111823=EDGE_CURVE('',#52630,#52646,#38185,.T.); +#111830=ADVANCED_FACE('',(#111829),#111820,.T.); +#111839=EDGE_CURVE('',#52631,#52647,#38189,.T.); +#111843=ADVANCED_FACE('',(#111842),#111835,.T.); +#111855=ADVANCED_FACE('',(#111854),#111848,.T.); +#111862=EDGE_CURVE('',#52626,#52642,#38193,.T.); +#111868=ADVANCED_FACE('',(#111867),#111860,.F.); +#111880=ADVANCED_FACE('',(#111879),#111873,.T.); +#111886=EDGE_CURVE('',#53666,#53667,#38197,.T.); +#111888=EDGE_CURVE('',#53666,#52742,#38201,.T.); +#111890=EDGE_CURVE('',#52742,#52743,#38206,.T.); +#111892=EDGE_CURVE('',#52743,#52771,#38210,.T.); +#111895=EDGE_CURVE('',#52769,#52738,#38214,.T.); +#111897=EDGE_CURVE('',#52738,#52739,#38219,.T.); +#111899=EDGE_CURVE('',#52739,#53667,#38223,.T.); +#111903=ADVANCED_FACE('',(#111902),#111885,.F.); +#111909=EDGE_CURVE('',#53670,#53671,#38227,.T.); +#111911=EDGE_CURVE('',#53670,#52750,#38231,.T.); +#111913=EDGE_CURVE('',#52750,#52751,#38236,.T.); +#111915=EDGE_CURVE('',#52751,#52775,#38240,.T.); +#111918=EDGE_CURVE('',#52773,#52746,#38244,.T.); +#111920=EDGE_CURVE('',#52746,#52747,#38249,.T.); +#111922=EDGE_CURVE('',#52747,#53671,#38253,.T.); +#111926=ADVANCED_FACE('',(#111925),#111908,.F.); +#111933=EDGE_CURVE('',#53666,#52842,#38269,.T.); +#111936=EDGE_CURVE('',#53667,#52843,#38293,.T.); +#111940=ADVANCED_FACE('',(#111939),#111931,.F.); +#111947=EDGE_CURVE('',#53670,#52846,#38329,.T.); +#111950=EDGE_CURVE('',#53671,#52847,#38357,.T.); +#111954=ADVANCED_FACE('',(#111953),#111945,.F.); +#111960=EDGE_CURVE('',#53685,#52834,#38257,.T.); +#111962=EDGE_CURVE('',#53685,#53687,#38261,.T.); +#111964=EDGE_CURVE('',#53687,#52850,#38265,.T.); +#111969=ADVANCED_FACE('',(#111968),#111959,.T.); +#111977=EDGE_CURVE('',#52858,#53674,#38273,.T.); +#111979=EDGE_CURVE('',#53674,#52758,#38399,.T.); +#111981=EDGE_CURVE('',#52742,#52758,#38449,.T.); +#111986=ADVANCED_FACE('',(#111985),#111974,.T.); +#111993=EDGE_CURVE('',#53681,#52835,#38285,.T.); +#111995=EDGE_CURVE('',#53681,#53685,#38305,.T.); +#112000=ADVANCED_FACE('',(#111999),#111991,.F.); +#112007=EDGE_CURVE('',#53689,#52839,#38349,.T.); +#112009=EDGE_CURVE('',#53689,#53693,#38337,.T.); +#112011=EDGE_CURVE('',#53693,#52838,#38317,.T.); +#112015=ADVANCED_FACE('',(#112014),#112005,.F.); +#112023=EDGE_CURVE('',#53683,#52851,#38289,.T.); +#112025=EDGE_CURVE('',#53681,#53683,#38309,.T.); +#112029=ADVANCED_FACE('',(#112028),#112020,.T.); +#112037=EDGE_CURVE('',#52739,#52755,#38297,.T.); +#112039=EDGE_CURVE('',#52755,#53675,#38377,.T.); +#112041=EDGE_CURVE('',#52859,#53675,#38301,.T.); +#112046=ADVANCED_FACE('',(#112045),#112034,.T.); +#112054=EDGE_CURVE('',#53683,#53687,#38313,.T.); +#112059=ADVANCED_FACE('',(#112058),#112051,.F.); +#112066=EDGE_CURVE('',#53695,#52854,#38325,.T.); +#112068=EDGE_CURVE('',#53691,#53695,#38345,.T.); +#112070=EDGE_CURVE('',#53691,#52855,#38353,.T.); +#112074=ADVANCED_FACE('',(#112073),#112064,.F.); +#112086=ADVANCED_FACE('',(#112085),#112079,.T.); +#112093=EDGE_CURVE('',#53693,#53695,#38321,.T.); +#112099=ADVANCED_FACE('',(#112098),#112091,.T.); +#112107=EDGE_CURVE('',#52862,#53678,#38333,.T.); +#112109=EDGE_CURVE('',#53678,#52766,#38429,.T.); +#112111=EDGE_CURVE('',#52750,#52766,#38457,.T.); +#112116=ADVANCED_FACE('',(#112115),#112104,.T.); +#112123=EDGE_CURVE('',#53689,#53691,#38341,.T.); +#112129=ADVANCED_FACE('',(#112128),#112121,.T.); +#112141=ADVANCED_FACE('',(#112140),#112134,.T.); +#112149=EDGE_CURVE('',#52747,#52763,#38361,.T.); +#112151=EDGE_CURVE('',#52763,#53679,#38407,.T.); +#112153=EDGE_CURVE('',#52863,#53679,#38365,.T.); +#112158=ADVANCED_FACE('',(#112157),#112146,.T.); +#112165=EDGE_CURVE('',#52746,#52762,#38369,.T.); +#112167=EDGE_CURVE('',#52762,#52763,#38412,.T.); +#112172=ADVANCED_FACE('',(#112171),#112163,.F.); +#112180=EDGE_CURVE('',#52781,#52762,#38416,.T.); +#112185=ADVANCED_FACE('',(#112184),#112177,.T.); +#112191=EDGE_CURVE('',#53674,#53675,#38373,.T.); +#112194=EDGE_CURVE('',#52754,#52755,#38382,.T.); +#112196=EDGE_CURVE('',#52777,#52754,#38386,.T.); +#112199=EDGE_CURVE('',#52759,#52779,#38390,.T.); +#112201=EDGE_CURVE('',#52758,#52759,#38395,.T.); +#112206=ADVANCED_FACE('',(#112205),#112190,.T.); +#112212=EDGE_CURVE('',#53678,#53679,#38403,.T.); +#112218=EDGE_CURVE('',#52767,#52783,#38420,.T.); +#112220=EDGE_CURVE('',#52766,#52767,#38425,.T.); +#112225=ADVANCED_FACE('',(#112224),#112211,.T.); +#112237=ADVANCED_FACE('',(#112236),#112230,.F.); +#112249=ADVANCED_FACE('',(#112248),#112242,.F.); +#112256=EDGE_CURVE('',#52738,#52754,#38441,.T.); +#112262=ADVANCED_FACE('',(#112261),#112254,.F.); +#112274=ADVANCED_FACE('',(#112273),#112267,.T.); +#112281=EDGE_CURVE('',#52743,#52759,#38445,.T.); +#112287=ADVANCED_FACE('',(#112286),#112279,.T.); +#112299=ADVANCED_FACE('',(#112298),#112292,.T.); +#112306=EDGE_CURVE('',#52751,#52767,#38453,.T.); +#112312=ADVANCED_FACE('',(#112311),#112304,.T.); +#112324=ADVANCED_FACE('',(#112323),#112317,.T.); +#112330=EDGE_CURVE('',#53698,#53699,#38461,.T.); +#112332=EDGE_CURVE('',#53698,#52798,#38465,.T.); +#112334=EDGE_CURVE('',#52798,#52799,#38470,.T.); +#112336=EDGE_CURVE('',#52799,#52823,#38474,.T.); +#112339=EDGE_CURVE('',#52821,#52794,#38478,.T.); +#112341=EDGE_CURVE('',#52794,#52795,#38483,.T.); +#112343=EDGE_CURVE('',#52795,#53699,#38487,.T.); +#112347=ADVANCED_FACE('',(#112346),#112329,.F.); +#112353=EDGE_CURVE('',#53702,#53703,#38491,.T.); +#112355=EDGE_CURVE('',#53702,#52790,#38495,.T.); +#112357=EDGE_CURVE('',#52790,#52791,#38500,.T.); +#112359=EDGE_CURVE('',#52791,#52819,#38504,.T.); +#112362=EDGE_CURVE('',#52817,#52786,#38508,.T.); +#112364=EDGE_CURVE('',#52786,#52787,#38513,.T.); +#112366=EDGE_CURVE('',#52787,#53703,#38517,.T.); +#112370=ADVANCED_FACE('',(#112369),#112352,.F.); +#112377=EDGE_CURVE('',#53698,#52890,#38537,.T.); +#112380=EDGE_CURVE('',#53699,#52891,#38573,.T.); +#112384=ADVANCED_FACE('',(#112383),#112375,.F.); +#112391=EDGE_CURVE('',#53702,#52894,#38709,.T.); +#112394=EDGE_CURVE('',#53703,#52895,#38673,.T.); +#112398=ADVANCED_FACE('',(#112397),#112389,.F.); +#112404=EDGE_CURVE('',#53725,#52866,#38521,.T.); +#112407=EDGE_CURVE('',#53727,#52882,#38525,.T.); +#112409=EDGE_CURVE('',#53727,#53725,#38529,.T.); +#112413=ADVANCED_FACE('',(#112412),#112403,.T.); +#112419=EDGE_CURVE('',#52874,#53706,#38533,.T.); +#112421=EDGE_CURVE('',#53706,#52814,#38615,.T.); +#112423=EDGE_CURVE('',#52798,#52814,#38657,.T.); +#112430=ADVANCED_FACE('',(#112429),#112418,.T.); +#112438=EDGE_CURVE('',#53721,#53725,#38541,.T.); +#112440=EDGE_CURVE('',#53721,#52867,#38561,.T.); +#112444=ADVANCED_FACE('',(#112443),#112435,.F.); +#112451=EDGE_CURVE('',#53717,#52870,#38693,.T.); +#112453=EDGE_CURVE('',#53713,#53717,#38681,.T.); +#112455=EDGE_CURVE('',#53713,#52871,#38661,.T.); +#112459=ADVANCED_FACE('',(#112458),#112449,.F.); +#112467=EDGE_CURVE('',#53723,#53727,#38545,.T.); +#112469=EDGE_CURVE('',#53723,#53721,#38549,.T.); +#112473=ADVANCED_FACE('',(#112472),#112464,.T.); +#112480=EDGE_CURVE('',#53723,#52883,#38565,.T.); +#112486=ADVANCED_FACE('',(#112485),#112478,.F.); +#112493=EDGE_CURVE('',#53715,#52887,#38665,.T.); +#112495=EDGE_CURVE('',#53715,#53719,#38685,.T.); +#112497=EDGE_CURVE('',#53719,#52886,#38697,.T.); +#112501=ADVANCED_FACE('',(#112500),#112491,.F.); +#112513=ADVANCED_FACE('',(#112512),#112506,.T.); +#112519=EDGE_CURVE('',#52875,#53707,#38569,.T.); +#112524=EDGE_CURVE('',#52795,#52811,#38577,.T.); +#112526=EDGE_CURVE('',#52811,#53707,#38593,.T.); +#112530=ADVANCED_FACE('',(#112529),#112518,.T.); +#112538=EDGE_CURVE('',#53706,#53707,#38589,.T.); +#112543=ADVANCED_FACE('',(#112542),#112535,.F.); +#112550=EDGE_CURVE('',#52879,#53711,#38669,.T.); +#112552=EDGE_CURVE('',#53710,#53711,#38619,.T.); +#112554=EDGE_CURVE('',#52878,#53710,#38705,.T.); +#112558=ADVANCED_FACE('',(#112557),#112548,.F.); +#112566=EDGE_CURVE('',#52810,#52811,#38598,.T.); +#112568=EDGE_CURVE('',#52829,#52810,#38602,.T.); +#112571=EDGE_CURVE('',#52815,#52831,#38606,.T.); +#112573=EDGE_CURVE('',#52814,#52815,#38611,.T.); +#112578=ADVANCED_FACE('',(#112577),#112563,.T.); +#112585=EDGE_CURVE('',#52803,#53711,#38623,.T.); +#112587=EDGE_CURVE('',#52802,#52803,#38628,.T.); +#112589=EDGE_CURVE('',#52825,#52802,#38632,.T.); +#112592=EDGE_CURVE('',#52807,#52827,#38636,.T.); +#112594=EDGE_CURVE('',#52806,#52807,#38641,.T.); +#112596=EDGE_CURVE('',#53710,#52806,#38645,.T.); +#112600=ADVANCED_FACE('',(#112599),#112583,.T.); +#112607=EDGE_CURVE('',#52794,#52810,#38649,.T.); +#112613=ADVANCED_FACE('',(#112612),#112605,.F.); +#112625=ADVANCED_FACE('',(#112624),#112618,.T.); +#112632=EDGE_CURVE('',#52799,#52815,#38653,.T.); +#112638=ADVANCED_FACE('',(#112637),#112630,.T.); +#112650=ADVANCED_FACE('',(#112649),#112643,.T.); +#112657=EDGE_CURVE('',#53715,#53713,#38689,.T.); +#112663=ADVANCED_FACE('',(#112662),#112655,.T.); +#112673=EDGE_CURVE('',#52787,#52803,#38677,.T.); +#112678=ADVANCED_FACE('',(#112677),#112668,.T.); +#112685=EDGE_CURVE('',#53719,#53717,#38701,.T.); +#112691=ADVANCED_FACE('',(#112690),#112683,.T.); +#112703=ADVANCED_FACE('',(#112702),#112696,.T.); +#112711=EDGE_CURVE('',#52790,#52806,#38713,.T.); +#112718=ADVANCED_FACE('',(#112717),#112708,.T.); +#112727=EDGE_CURVE('',#52791,#52807,#38717,.T.); +#112731=ADVANCED_FACE('',(#112730),#112723,.T.); +#112743=ADVANCED_FACE('',(#112742),#112736,.T.); +#112750=EDGE_CURVE('',#52786,#52802,#38721,.T.); +#112756=ADVANCED_FACE('',(#112755),#112748,.F.); +#112768=ADVANCED_FACE('',(#112767),#112761,.T.); +#112774=EDGE_CURVE('',#53730,#53731,#38725,.T.); +#112776=EDGE_CURVE('',#53730,#52902,#38729,.T.); +#112778=EDGE_CURVE('',#52902,#52903,#38734,.T.); +#112780=EDGE_CURVE('',#52903,#52931,#38738,.T.); +#112783=EDGE_CURVE('',#52929,#52898,#38742,.T.); +#112785=EDGE_CURVE('',#52898,#52899,#38747,.T.); +#112787=EDGE_CURVE('',#52899,#53731,#38751,.T.); +#112791=ADVANCED_FACE('',(#112790),#112773,.F.); +#112797=EDGE_CURVE('',#53734,#53735,#38755,.T.); +#112799=EDGE_CURVE('',#53734,#52910,#38759,.T.); +#112801=EDGE_CURVE('',#52910,#52911,#38764,.T.); +#112803=EDGE_CURVE('',#52911,#52935,#38768,.T.); +#112806=EDGE_CURVE('',#52933,#52906,#38772,.T.); +#112808=EDGE_CURVE('',#52906,#52907,#38777,.T.); +#112810=EDGE_CURVE('',#52907,#53735,#38781,.T.); +#112814=ADVANCED_FACE('',(#112813),#112796,.F.); +#112821=EDGE_CURVE('',#53730,#53002,#38797,.T.); +#112824=EDGE_CURVE('',#53731,#53003,#38821,.T.); +#112828=ADVANCED_FACE('',(#112827),#112819,.F.); +#112835=EDGE_CURVE('',#53734,#53006,#38857,.T.); +#112838=EDGE_CURVE('',#53735,#53007,#38885,.T.); +#112842=ADVANCED_FACE('',(#112841),#112833,.F.); +#112848=EDGE_CURVE('',#53749,#52994,#38785,.T.); +#112850=EDGE_CURVE('',#53749,#53751,#38789,.T.); +#112852=EDGE_CURVE('',#53751,#53010,#38793,.T.); +#112857=ADVANCED_FACE('',(#112856),#112847,.T.); +#112865=EDGE_CURVE('',#53018,#53738,#38801,.T.); +#112867=EDGE_CURVE('',#53738,#52918,#38927,.T.); +#112869=EDGE_CURVE('',#52902,#52918,#38977,.T.); +#112874=ADVANCED_FACE('',(#112873),#112862,.T.); +#112881=EDGE_CURVE('',#53745,#52995,#38813,.T.); +#112883=EDGE_CURVE('',#53745,#53749,#38833,.T.); +#112888=ADVANCED_FACE('',(#112887),#112879,.F.); +#112895=EDGE_CURVE('',#53753,#52999,#38877,.T.); +#112897=EDGE_CURVE('',#53753,#53757,#38865,.T.); +#112899=EDGE_CURVE('',#53757,#52998,#38845,.T.); +#112903=ADVANCED_FACE('',(#112902),#112893,.F.); +#112911=EDGE_CURVE('',#53747,#53011,#38817,.T.); +#112913=EDGE_CURVE('',#53745,#53747,#38837,.T.); +#112917=ADVANCED_FACE('',(#112916),#112908,.T.); +#112925=EDGE_CURVE('',#52899,#52915,#38825,.T.); +#112927=EDGE_CURVE('',#52915,#53739,#38905,.T.); +#112929=EDGE_CURVE('',#53019,#53739,#38829,.T.); +#112934=ADVANCED_FACE('',(#112933),#112922,.T.); +#112942=EDGE_CURVE('',#53747,#53751,#38841,.T.); +#112947=ADVANCED_FACE('',(#112946),#112939,.F.); +#112954=EDGE_CURVE('',#53759,#53014,#38853,.T.); +#112956=EDGE_CURVE('',#53755,#53759,#38873,.T.); +#112958=EDGE_CURVE('',#53755,#53015,#38881,.T.); +#112962=ADVANCED_FACE('',(#112961),#112952,.F.); +#112974=ADVANCED_FACE('',(#112973),#112967,.T.); +#112981=EDGE_CURVE('',#53757,#53759,#38849,.T.); +#112987=ADVANCED_FACE('',(#112986),#112979,.T.); +#112995=EDGE_CURVE('',#53022,#53742,#38861,.T.); +#112997=EDGE_CURVE('',#53742,#52926,#38957,.T.); +#112999=EDGE_CURVE('',#52910,#52926,#38985,.T.); +#113004=ADVANCED_FACE('',(#113003),#112992,.T.); +#113011=EDGE_CURVE('',#53753,#53755,#38869,.T.); +#113017=ADVANCED_FACE('',(#113016),#113009,.T.); +#113029=ADVANCED_FACE('',(#113028),#113022,.T.); +#113037=EDGE_CURVE('',#52907,#52923,#38889,.T.); +#113039=EDGE_CURVE('',#52923,#53743,#38935,.T.); +#113041=EDGE_CURVE('',#53023,#53743,#38893,.T.); +#113046=ADVANCED_FACE('',(#113045),#113034,.T.); +#113053=EDGE_CURVE('',#52906,#52922,#38897,.T.); +#113055=EDGE_CURVE('',#52922,#52923,#38940,.T.); +#113060=ADVANCED_FACE('',(#113059),#113051,.F.); +#113068=EDGE_CURVE('',#52941,#52922,#38944,.T.); +#113073=ADVANCED_FACE('',(#113072),#113065,.T.); +#113079=EDGE_CURVE('',#53738,#53739,#38901,.T.); +#113082=EDGE_CURVE('',#52914,#52915,#38910,.T.); +#113084=EDGE_CURVE('',#52937,#52914,#38914,.T.); +#113087=EDGE_CURVE('',#52919,#52939,#38918,.T.); +#113089=EDGE_CURVE('',#52918,#52919,#38923,.T.); +#113094=ADVANCED_FACE('',(#113093),#113078,.T.); +#113100=EDGE_CURVE('',#53742,#53743,#38931,.T.); +#113106=EDGE_CURVE('',#52927,#52943,#38948,.T.); +#113108=EDGE_CURVE('',#52926,#52927,#38953,.T.); +#113113=ADVANCED_FACE('',(#113112),#113099,.T.); +#113125=ADVANCED_FACE('',(#113124),#113118,.F.); +#113137=ADVANCED_FACE('',(#113136),#113130,.F.); +#113144=EDGE_CURVE('',#52898,#52914,#38969,.T.); +#113150=ADVANCED_FACE('',(#113149),#113142,.F.); +#113162=ADVANCED_FACE('',(#113161),#113155,.T.); +#113169=EDGE_CURVE('',#52903,#52919,#38973,.T.); +#113175=ADVANCED_FACE('',(#113174),#113167,.T.); +#113187=ADVANCED_FACE('',(#113186),#113180,.T.); +#113194=EDGE_CURVE('',#52911,#52927,#38981,.T.); +#113200=ADVANCED_FACE('',(#113199),#113192,.T.); +#113212=ADVANCED_FACE('',(#113211),#113205,.T.); +#113218=EDGE_CURVE('',#53762,#53763,#38989,.T.); +#113220=EDGE_CURVE('',#53762,#52958,#38993,.T.); +#113222=EDGE_CURVE('',#52958,#52959,#38998,.T.); +#113224=EDGE_CURVE('',#52959,#52983,#39002,.T.); +#113227=EDGE_CURVE('',#52981,#52954,#39006,.T.); +#113229=EDGE_CURVE('',#52954,#52955,#39011,.T.); +#113231=EDGE_CURVE('',#52955,#53763,#39015,.T.); +#113235=ADVANCED_FACE('',(#113234),#113217,.F.); +#113241=EDGE_CURVE('',#53766,#53767,#39019,.T.); +#113243=EDGE_CURVE('',#53766,#52950,#39023,.T.); +#113245=EDGE_CURVE('',#52950,#52951,#39028,.T.); +#113247=EDGE_CURVE('',#52951,#52979,#39032,.T.); +#113250=EDGE_CURVE('',#52977,#52946,#39036,.T.); +#113252=EDGE_CURVE('',#52946,#52947,#39041,.T.); +#113254=EDGE_CURVE('',#52947,#53767,#39045,.T.); +#113258=ADVANCED_FACE('',(#113257),#113240,.F.); +#113265=EDGE_CURVE('',#53762,#53050,#39065,.T.); +#113268=EDGE_CURVE('',#53763,#53051,#39101,.T.); +#113272=ADVANCED_FACE('',(#113271),#113263,.F.); +#113279=EDGE_CURVE('',#53766,#53054,#39237,.T.); +#113282=EDGE_CURVE('',#53767,#53055,#39201,.T.); +#113286=ADVANCED_FACE('',(#113285),#113277,.F.); +#113292=EDGE_CURVE('',#53789,#53026,#39049,.T.); +#113295=EDGE_CURVE('',#53791,#53042,#39053,.T.); +#113297=EDGE_CURVE('',#53791,#53789,#39057,.T.); +#113301=ADVANCED_FACE('',(#113300),#113291,.T.); +#113307=EDGE_CURVE('',#53034,#53770,#39061,.T.); +#113309=EDGE_CURVE('',#53770,#52974,#39143,.T.); +#113311=EDGE_CURVE('',#52958,#52974,#39185,.T.); +#113318=ADVANCED_FACE('',(#113317),#113306,.T.); +#113326=EDGE_CURVE('',#53785,#53789,#39069,.T.); +#113328=EDGE_CURVE('',#53785,#53027,#39089,.T.); +#113332=ADVANCED_FACE('',(#113331),#113323,.F.); +#113339=EDGE_CURVE('',#53781,#53030,#39221,.T.); +#113341=EDGE_CURVE('',#53777,#53781,#39209,.T.); +#113343=EDGE_CURVE('',#53777,#53031,#39189,.T.); +#113347=ADVANCED_FACE('',(#113346),#113337,.F.); +#113355=EDGE_CURVE('',#53787,#53791,#39073,.T.); +#113357=EDGE_CURVE('',#53787,#53785,#39077,.T.); +#113361=ADVANCED_FACE('',(#113360),#113352,.T.); +#113368=EDGE_CURVE('',#53787,#53043,#39093,.T.); +#113374=ADVANCED_FACE('',(#113373),#113366,.F.); +#113381=EDGE_CURVE('',#53779,#53047,#39193,.T.); +#113383=EDGE_CURVE('',#53779,#53783,#39213,.T.); +#113385=EDGE_CURVE('',#53783,#53046,#39225,.T.); +#113389=ADVANCED_FACE('',(#113388),#113379,.F.); +#113401=ADVANCED_FACE('',(#113400),#113394,.T.); +#113407=EDGE_CURVE('',#53035,#53771,#39097,.T.); +#113412=EDGE_CURVE('',#52955,#52971,#39105,.T.); +#113414=EDGE_CURVE('',#52971,#53771,#39121,.T.); +#113418=ADVANCED_FACE('',(#113417),#113406,.T.); +#113426=EDGE_CURVE('',#53770,#53771,#39117,.T.); +#113431=ADVANCED_FACE('',(#113430),#113423,.F.); +#113438=EDGE_CURVE('',#53039,#53775,#39197,.T.); +#113440=EDGE_CURVE('',#53774,#53775,#39147,.T.); +#113442=EDGE_CURVE('',#53038,#53774,#39233,.T.); +#113446=ADVANCED_FACE('',(#113445),#113436,.F.); +#113454=EDGE_CURVE('',#52970,#52971,#39126,.T.); +#113456=EDGE_CURVE('',#52989,#52970,#39130,.T.); +#113459=EDGE_CURVE('',#52975,#52991,#39134,.T.); +#113461=EDGE_CURVE('',#52974,#52975,#39139,.T.); +#113466=ADVANCED_FACE('',(#113465),#113451,.T.); +#113473=EDGE_CURVE('',#52963,#53775,#39151,.T.); +#113475=EDGE_CURVE('',#52962,#52963,#39156,.T.); +#113477=EDGE_CURVE('',#52985,#52962,#39160,.T.); +#113480=EDGE_CURVE('',#52967,#52987,#39164,.T.); +#113482=EDGE_CURVE('',#52966,#52967,#39169,.T.); +#113484=EDGE_CURVE('',#53774,#52966,#39173,.T.); +#113488=ADVANCED_FACE('',(#113487),#113471,.T.); +#113495=EDGE_CURVE('',#52954,#52970,#39177,.T.); +#113501=ADVANCED_FACE('',(#113500),#113493,.F.); +#113513=ADVANCED_FACE('',(#113512),#113506,.T.); +#113520=EDGE_CURVE('',#52959,#52975,#39181,.T.); +#113526=ADVANCED_FACE('',(#113525),#113518,.T.); +#113538=ADVANCED_FACE('',(#113537),#113531,.T.); +#113545=EDGE_CURVE('',#53779,#53777,#39217,.T.); +#113551=ADVANCED_FACE('',(#113550),#113543,.T.); +#113561=EDGE_CURVE('',#52947,#52963,#39205,.T.); +#113566=ADVANCED_FACE('',(#113565),#113556,.T.); +#113573=EDGE_CURVE('',#53783,#53781,#39229,.T.); +#113579=ADVANCED_FACE('',(#113578),#113571,.T.); +#113591=ADVANCED_FACE('',(#113590),#113584,.T.); +#113599=EDGE_CURVE('',#52950,#52966,#39241,.T.); +#113606=ADVANCED_FACE('',(#113605),#113596,.T.); +#113615=EDGE_CURVE('',#52951,#52967,#39245,.T.); +#113619=ADVANCED_FACE('',(#113618),#113611,.T.); +#113631=ADVANCED_FACE('',(#113630),#113624,.T.); +#113638=EDGE_CURVE('',#52946,#52962,#39249,.T.); +#113644=ADVANCED_FACE('',(#113643),#113636,.F.); +#113656=ADVANCED_FACE('',(#113655),#113649,.T.); +#113662=EDGE_CURVE('',#53794,#53795,#39253,.T.); +#113664=EDGE_CURVE('',#53794,#53062,#39257,.T.); +#113666=EDGE_CURVE('',#53062,#53063,#39262,.T.); +#113668=EDGE_CURVE('',#53063,#53091,#39266,.T.); +#113671=EDGE_CURVE('',#53089,#53058,#39270,.T.); +#113673=EDGE_CURVE('',#53058,#53059,#39275,.T.); +#113675=EDGE_CURVE('',#53059,#53795,#39279,.T.); +#113679=ADVANCED_FACE('',(#113678),#113661,.F.); +#113685=EDGE_CURVE('',#53798,#53799,#39283,.T.); +#113687=EDGE_CURVE('',#53798,#53070,#39287,.T.); +#113689=EDGE_CURVE('',#53070,#53071,#39292,.T.); +#113691=EDGE_CURVE('',#53071,#53095,#39296,.T.); +#113694=EDGE_CURVE('',#53093,#53066,#39300,.T.); +#113696=EDGE_CURVE('',#53066,#53067,#39305,.T.); +#113698=EDGE_CURVE('',#53067,#53799,#39309,.T.); +#113702=ADVANCED_FACE('',(#113701),#113684,.F.); +#113709=EDGE_CURVE('',#53794,#53162,#39325,.T.); +#113712=EDGE_CURVE('',#53795,#53163,#39349,.T.); +#113716=ADVANCED_FACE('',(#113715),#113707,.F.); +#113723=EDGE_CURVE('',#53798,#53166,#39385,.T.); +#113726=EDGE_CURVE('',#53799,#53167,#39413,.T.); +#113730=ADVANCED_FACE('',(#113729),#113721,.F.); +#113736=EDGE_CURVE('',#53813,#53154,#39313,.T.); +#113738=EDGE_CURVE('',#53813,#53815,#39317,.T.); +#113740=EDGE_CURVE('',#53815,#53170,#39321,.T.); +#113745=ADVANCED_FACE('',(#113744),#113735,.T.); +#113753=EDGE_CURVE('',#53178,#53802,#39329,.T.); +#113755=EDGE_CURVE('',#53802,#53078,#39455,.T.); +#113757=EDGE_CURVE('',#53062,#53078,#39505,.T.); +#113762=ADVANCED_FACE('',(#113761),#113750,.T.); +#113769=EDGE_CURVE('',#53809,#53155,#39341,.T.); +#113771=EDGE_CURVE('',#53809,#53813,#39361,.T.); +#113776=ADVANCED_FACE('',(#113775),#113767,.F.); +#113783=EDGE_CURVE('',#53817,#53159,#39405,.T.); +#113785=EDGE_CURVE('',#53817,#53821,#39393,.T.); +#113787=EDGE_CURVE('',#53821,#53158,#39373,.T.); +#113791=ADVANCED_FACE('',(#113790),#113781,.F.); +#113799=EDGE_CURVE('',#53811,#53171,#39345,.T.); +#113801=EDGE_CURVE('',#53809,#53811,#39365,.T.); +#113805=ADVANCED_FACE('',(#113804),#113796,.T.); +#113813=EDGE_CURVE('',#53059,#53075,#39353,.T.); +#113815=EDGE_CURVE('',#53075,#53803,#39433,.T.); +#113817=EDGE_CURVE('',#53179,#53803,#39357,.T.); +#113822=ADVANCED_FACE('',(#113821),#113810,.T.); +#113830=EDGE_CURVE('',#53811,#53815,#39369,.T.); +#113835=ADVANCED_FACE('',(#113834),#113827,.F.); +#113842=EDGE_CURVE('',#53823,#53174,#39381,.T.); +#113844=EDGE_CURVE('',#53819,#53823,#39401,.T.); +#113846=EDGE_CURVE('',#53819,#53175,#39409,.T.); +#113850=ADVANCED_FACE('',(#113849),#113840,.F.); +#113862=ADVANCED_FACE('',(#113861),#113855,.T.); +#113869=EDGE_CURVE('',#53821,#53823,#39377,.T.); +#113875=ADVANCED_FACE('',(#113874),#113867,.T.); +#113883=EDGE_CURVE('',#53182,#53806,#39389,.T.); +#113885=EDGE_CURVE('',#53806,#53086,#39485,.T.); +#113887=EDGE_CURVE('',#53070,#53086,#39513,.T.); +#113892=ADVANCED_FACE('',(#113891),#113880,.T.); +#113899=EDGE_CURVE('',#53817,#53819,#39397,.T.); +#113905=ADVANCED_FACE('',(#113904),#113897,.T.); +#113917=ADVANCED_FACE('',(#113916),#113910,.T.); +#113925=EDGE_CURVE('',#53067,#53083,#39417,.T.); +#113927=EDGE_CURVE('',#53083,#53807,#39463,.T.); +#113929=EDGE_CURVE('',#53183,#53807,#39421,.T.); +#113934=ADVANCED_FACE('',(#113933),#113922,.T.); +#113941=EDGE_CURVE('',#53066,#53082,#39425,.T.); +#113943=EDGE_CURVE('',#53082,#53083,#39468,.T.); +#113948=ADVANCED_FACE('',(#113947),#113939,.F.); +#113956=EDGE_CURVE('',#53101,#53082,#39472,.T.); +#113961=ADVANCED_FACE('',(#113960),#113953,.T.); +#113967=EDGE_CURVE('',#53802,#53803,#39429,.T.); +#113970=EDGE_CURVE('',#53074,#53075,#39438,.T.); +#113972=EDGE_CURVE('',#53097,#53074,#39442,.T.); +#113975=EDGE_CURVE('',#53079,#53099,#39446,.T.); +#113977=EDGE_CURVE('',#53078,#53079,#39451,.T.); +#113982=ADVANCED_FACE('',(#113981),#113966,.T.); +#113988=EDGE_CURVE('',#53806,#53807,#39459,.T.); +#113994=EDGE_CURVE('',#53087,#53103,#39476,.T.); +#113996=EDGE_CURVE('',#53086,#53087,#39481,.T.); +#114001=ADVANCED_FACE('',(#114000),#113987,.T.); +#114013=ADVANCED_FACE('',(#114012),#114006,.F.); +#114025=ADVANCED_FACE('',(#114024),#114018,.F.); +#114032=EDGE_CURVE('',#53058,#53074,#39497,.T.); +#114038=ADVANCED_FACE('',(#114037),#114030,.F.); +#114050=ADVANCED_FACE('',(#114049),#114043,.T.); +#114057=EDGE_CURVE('',#53063,#53079,#39501,.T.); +#114063=ADVANCED_FACE('',(#114062),#114055,.T.); +#114075=ADVANCED_FACE('',(#114074),#114068,.T.); +#114082=EDGE_CURVE('',#53071,#53087,#39509,.T.); +#114088=ADVANCED_FACE('',(#114087),#114080,.T.); +#114100=ADVANCED_FACE('',(#114099),#114093,.T.); +#114106=EDGE_CURVE('',#53826,#53827,#39517,.T.); +#114108=EDGE_CURVE('',#53826,#53118,#39521,.T.); +#114110=EDGE_CURVE('',#53118,#53119,#39526,.T.); +#114112=EDGE_CURVE('',#53119,#53143,#39530,.T.); +#114115=EDGE_CURVE('',#53141,#53114,#39534,.T.); +#114117=EDGE_CURVE('',#53114,#53115,#39539,.T.); +#114119=EDGE_CURVE('',#53115,#53827,#39543,.T.); +#114123=ADVANCED_FACE('',(#114122),#114105,.F.); +#114129=EDGE_CURVE('',#53830,#53831,#39547,.T.); +#114131=EDGE_CURVE('',#53830,#53110,#39551,.T.); +#114133=EDGE_CURVE('',#53110,#53111,#39556,.T.); +#114135=EDGE_CURVE('',#53111,#53139,#39560,.T.); +#114138=EDGE_CURVE('',#53137,#53106,#39564,.T.); +#114140=EDGE_CURVE('',#53106,#53107,#39569,.T.); +#114142=EDGE_CURVE('',#53107,#53831,#39573,.T.); +#114146=ADVANCED_FACE('',(#114145),#114128,.F.); +#114153=EDGE_CURVE('',#53826,#53210,#39593,.T.); +#114156=EDGE_CURVE('',#53827,#53211,#39629,.T.); +#114160=ADVANCED_FACE('',(#114159),#114151,.F.); +#114167=EDGE_CURVE('',#53830,#53214,#39765,.T.); +#114170=EDGE_CURVE('',#53831,#53215,#39729,.T.); +#114174=ADVANCED_FACE('',(#114173),#114165,.F.); +#114180=EDGE_CURVE('',#53853,#53186,#39577,.T.); +#114183=EDGE_CURVE('',#53855,#53202,#39581,.T.); +#114185=EDGE_CURVE('',#53855,#53853,#39585,.T.); +#114189=ADVANCED_FACE('',(#114188),#114179,.T.); +#114195=EDGE_CURVE('',#53194,#53834,#39589,.T.); +#114197=EDGE_CURVE('',#53834,#53134,#39671,.T.); +#114199=EDGE_CURVE('',#53118,#53134,#39713,.T.); +#114206=ADVANCED_FACE('',(#114205),#114194,.T.); +#114214=EDGE_CURVE('',#53849,#53853,#39597,.T.); +#114216=EDGE_CURVE('',#53849,#53187,#39617,.T.); +#114220=ADVANCED_FACE('',(#114219),#114211,.F.); +#114227=EDGE_CURVE('',#53845,#53190,#39749,.T.); +#114229=EDGE_CURVE('',#53841,#53845,#39737,.T.); +#114231=EDGE_CURVE('',#53841,#53191,#39717,.T.); +#114235=ADVANCED_FACE('',(#114234),#114225,.F.); +#114243=EDGE_CURVE('',#53851,#53855,#39601,.T.); +#114245=EDGE_CURVE('',#53851,#53849,#39605,.T.); +#114249=ADVANCED_FACE('',(#114248),#114240,.T.); +#114256=EDGE_CURVE('',#53851,#53203,#39621,.T.); +#114262=ADVANCED_FACE('',(#114261),#114254,.F.); +#114269=EDGE_CURVE('',#53843,#53207,#39721,.T.); +#114271=EDGE_CURVE('',#53843,#53847,#39741,.T.); +#114273=EDGE_CURVE('',#53847,#53206,#39753,.T.); +#114277=ADVANCED_FACE('',(#114276),#114267,.F.); +#114289=ADVANCED_FACE('',(#114288),#114282,.T.); +#114295=EDGE_CURVE('',#53195,#53835,#39625,.T.); +#114300=EDGE_CURVE('',#53115,#53131,#39633,.T.); +#114302=EDGE_CURVE('',#53131,#53835,#39649,.T.); +#114306=ADVANCED_FACE('',(#114305),#114294,.T.); +#114314=EDGE_CURVE('',#53834,#53835,#39645,.T.); +#114319=ADVANCED_FACE('',(#114318),#114311,.F.); +#114326=EDGE_CURVE('',#53199,#53839,#39725,.T.); +#114328=EDGE_CURVE('',#53838,#53839,#39675,.T.); +#114330=EDGE_CURVE('',#53198,#53838,#39761,.T.); +#114334=ADVANCED_FACE('',(#114333),#114324,.F.); +#114342=EDGE_CURVE('',#53130,#53131,#39654,.T.); +#114344=EDGE_CURVE('',#53149,#53130,#39658,.T.); +#114347=EDGE_CURVE('',#53135,#53151,#39662,.T.); +#114349=EDGE_CURVE('',#53134,#53135,#39667,.T.); +#114354=ADVANCED_FACE('',(#114353),#114339,.T.); +#114361=EDGE_CURVE('',#53123,#53839,#39679,.T.); +#114363=EDGE_CURVE('',#53122,#53123,#39684,.T.); +#114365=EDGE_CURVE('',#53145,#53122,#39688,.T.); +#114368=EDGE_CURVE('',#53127,#53147,#39692,.T.); +#114370=EDGE_CURVE('',#53126,#53127,#39697,.T.); +#114372=EDGE_CURVE('',#53838,#53126,#39701,.T.); +#114376=ADVANCED_FACE('',(#114375),#114359,.T.); +#114383=EDGE_CURVE('',#53114,#53130,#39705,.T.); +#114389=ADVANCED_FACE('',(#114388),#114381,.F.); +#114401=ADVANCED_FACE('',(#114400),#114394,.T.); +#114408=EDGE_CURVE('',#53119,#53135,#39709,.T.); +#114414=ADVANCED_FACE('',(#114413),#114406,.T.); +#114426=ADVANCED_FACE('',(#114425),#114419,.T.); +#114433=EDGE_CURVE('',#53843,#53841,#39745,.T.); +#114439=ADVANCED_FACE('',(#114438),#114431,.T.); +#114449=EDGE_CURVE('',#53107,#53123,#39733,.T.); +#114454=ADVANCED_FACE('',(#114453),#114444,.T.); +#114461=EDGE_CURVE('',#53847,#53845,#39757,.T.); +#114467=ADVANCED_FACE('',(#114466),#114459,.T.); +#114479=ADVANCED_FACE('',(#114478),#114472,.T.); +#114487=EDGE_CURVE('',#53110,#53126,#39769,.T.); +#114494=ADVANCED_FACE('',(#114493),#114484,.T.); +#114503=EDGE_CURVE('',#53111,#53127,#39773,.T.); +#114507=ADVANCED_FACE('',(#114506),#114499,.T.); +#114519=ADVANCED_FACE('',(#114518),#114512,.T.); +#114526=EDGE_CURVE('',#53106,#53122,#39777,.T.); +#114532=ADVANCED_FACE('',(#114531),#114524,.F.); +#114544=ADVANCED_FACE('',(#114543),#114537,.T.); +#114550=EDGE_CURVE('',#54978,#54979,#39781,.T.); +#114552=EDGE_CURVE('',#54978,#53862,#39785,.T.); +#114554=EDGE_CURVE('',#53862,#53863,#39790,.T.); +#114556=EDGE_CURVE('',#53863,#53891,#39794,.T.); +#114559=EDGE_CURVE('',#53889,#53858,#39798,.T.); +#114561=EDGE_CURVE('',#53858,#53859,#39803,.T.); +#114563=EDGE_CURVE('',#53859,#54979,#39807,.T.); +#114567=ADVANCED_FACE('',(#114566),#114549,.F.); +#114573=EDGE_CURVE('',#54982,#54983,#39811,.T.); +#114575=EDGE_CURVE('',#54982,#53870,#39815,.T.); +#114577=EDGE_CURVE('',#53870,#53871,#39820,.T.); +#114579=EDGE_CURVE('',#53871,#53895,#39824,.T.); +#114582=EDGE_CURVE('',#53893,#53866,#39828,.T.); +#114584=EDGE_CURVE('',#53866,#53867,#39833,.T.); +#114586=EDGE_CURVE('',#53867,#54983,#39837,.T.); +#114590=ADVANCED_FACE('',(#114589),#114572,.F.); +#114597=EDGE_CURVE('',#54978,#53962,#39853,.T.); +#114600=EDGE_CURVE('',#54979,#53963,#39877,.T.); +#114604=ADVANCED_FACE('',(#114603),#114595,.F.); +#114611=EDGE_CURVE('',#54982,#53966,#39913,.T.); +#114614=EDGE_CURVE('',#54983,#53967,#39941,.T.); +#114618=ADVANCED_FACE('',(#114617),#114609,.F.); +#114624=EDGE_CURVE('',#54997,#53954,#39841,.T.); +#114626=EDGE_CURVE('',#54997,#54999,#39845,.T.); +#114628=EDGE_CURVE('',#54999,#53970,#39849,.T.); +#114633=ADVANCED_FACE('',(#114632),#114623,.T.); +#114641=EDGE_CURVE('',#53978,#54986,#39857,.T.); +#114643=EDGE_CURVE('',#54986,#53878,#39983,.T.); +#114645=EDGE_CURVE('',#53862,#53878,#40033,.T.); +#114650=ADVANCED_FACE('',(#114649),#114638,.T.); +#114657=EDGE_CURVE('',#54993,#53955,#39869,.T.); +#114659=EDGE_CURVE('',#54993,#54997,#39889,.T.); +#114664=ADVANCED_FACE('',(#114663),#114655,.F.); +#114671=EDGE_CURVE('',#55001,#53959,#39933,.T.); +#114673=EDGE_CURVE('',#55001,#55005,#39921,.T.); +#114675=EDGE_CURVE('',#55005,#53958,#39901,.T.); +#114679=ADVANCED_FACE('',(#114678),#114669,.F.); +#114687=EDGE_CURVE('',#54995,#53971,#39873,.T.); +#114689=EDGE_CURVE('',#54993,#54995,#39893,.T.); +#114693=ADVANCED_FACE('',(#114692),#114684,.T.); +#114701=EDGE_CURVE('',#53859,#53875,#39881,.T.); +#114703=EDGE_CURVE('',#53875,#54987,#39961,.T.); +#114705=EDGE_CURVE('',#53979,#54987,#39885,.T.); +#114710=ADVANCED_FACE('',(#114709),#114698,.T.); +#114718=EDGE_CURVE('',#54995,#54999,#39897,.T.); +#114723=ADVANCED_FACE('',(#114722),#114715,.F.); +#114730=EDGE_CURVE('',#55007,#53974,#39909,.T.); +#114732=EDGE_CURVE('',#55003,#55007,#39929,.T.); +#114734=EDGE_CURVE('',#55003,#53975,#39937,.T.); +#114738=ADVANCED_FACE('',(#114737),#114728,.F.); +#114750=ADVANCED_FACE('',(#114749),#114743,.T.); +#114757=EDGE_CURVE('',#55005,#55007,#39905,.T.); +#114763=ADVANCED_FACE('',(#114762),#114755,.T.); +#114771=EDGE_CURVE('',#53982,#54990,#39917,.T.); +#114773=EDGE_CURVE('',#54990,#53886,#40013,.T.); +#114775=EDGE_CURVE('',#53870,#53886,#40041,.T.); +#114780=ADVANCED_FACE('',(#114779),#114768,.T.); +#114787=EDGE_CURVE('',#55001,#55003,#39925,.T.); +#114793=ADVANCED_FACE('',(#114792),#114785,.T.); +#114805=ADVANCED_FACE('',(#114804),#114798,.T.); +#114813=EDGE_CURVE('',#53867,#53883,#39945,.T.); +#114815=EDGE_CURVE('',#53883,#54991,#39991,.T.); +#114817=EDGE_CURVE('',#53983,#54991,#39949,.T.); +#114822=ADVANCED_FACE('',(#114821),#114810,.T.); +#114829=EDGE_CURVE('',#53866,#53882,#39953,.T.); +#114831=EDGE_CURVE('',#53882,#53883,#39996,.T.); +#114836=ADVANCED_FACE('',(#114835),#114827,.F.); +#114844=EDGE_CURVE('',#53901,#53882,#40000,.T.); +#114849=ADVANCED_FACE('',(#114848),#114841,.T.); +#114855=EDGE_CURVE('',#54986,#54987,#39957,.T.); +#114858=EDGE_CURVE('',#53874,#53875,#39966,.T.); +#114860=EDGE_CURVE('',#53897,#53874,#39970,.T.); +#114863=EDGE_CURVE('',#53879,#53899,#39974,.T.); +#114865=EDGE_CURVE('',#53878,#53879,#39979,.T.); +#114870=ADVANCED_FACE('',(#114869),#114854,.T.); +#114876=EDGE_CURVE('',#54990,#54991,#39987,.T.); +#114882=EDGE_CURVE('',#53887,#53903,#40004,.T.); +#114884=EDGE_CURVE('',#53886,#53887,#40009,.T.); +#114889=ADVANCED_FACE('',(#114888),#114875,.T.); +#114901=ADVANCED_FACE('',(#114900),#114894,.F.); +#114913=ADVANCED_FACE('',(#114912),#114906,.F.); +#114920=EDGE_CURVE('',#53858,#53874,#40025,.T.); +#114926=ADVANCED_FACE('',(#114925),#114918,.F.); +#114938=ADVANCED_FACE('',(#114937),#114931,.T.); +#114945=EDGE_CURVE('',#53863,#53879,#40029,.T.); +#114951=ADVANCED_FACE('',(#114950),#114943,.T.); +#114963=ADVANCED_FACE('',(#114962),#114956,.T.); +#114970=EDGE_CURVE('',#53871,#53887,#40037,.T.); +#114976=ADVANCED_FACE('',(#114975),#114968,.T.); +#114988=ADVANCED_FACE('',(#114987),#114981,.T.); +#114994=EDGE_CURVE('',#55010,#55011,#40045,.T.); +#114996=EDGE_CURVE('',#55010,#53918,#40049,.T.); +#114998=EDGE_CURVE('',#53918,#53919,#40054,.T.); +#115000=EDGE_CURVE('',#53919,#53943,#40058,.T.); +#115003=EDGE_CURVE('',#53941,#53914,#40062,.T.); +#115005=EDGE_CURVE('',#53914,#53915,#40067,.T.); +#115007=EDGE_CURVE('',#53915,#55011,#40071,.T.); +#115011=ADVANCED_FACE('',(#115010),#114993,.F.); +#115017=EDGE_CURVE('',#55014,#55015,#40075,.T.); +#115019=EDGE_CURVE('',#55014,#53910,#40079,.T.); +#115021=EDGE_CURVE('',#53910,#53911,#40084,.T.); +#115023=EDGE_CURVE('',#53911,#53939,#40088,.T.); +#115026=EDGE_CURVE('',#53937,#53906,#40092,.T.); +#115028=EDGE_CURVE('',#53906,#53907,#40097,.T.); +#115030=EDGE_CURVE('',#53907,#55015,#40101,.T.); +#115034=ADVANCED_FACE('',(#115033),#115016,.F.); +#115041=EDGE_CURVE('',#55010,#54010,#40121,.T.); +#115044=EDGE_CURVE('',#55011,#54011,#40157,.T.); +#115048=ADVANCED_FACE('',(#115047),#115039,.F.); +#115055=EDGE_CURVE('',#55014,#54014,#40293,.T.); +#115058=EDGE_CURVE('',#55015,#54015,#40257,.T.); +#115062=ADVANCED_FACE('',(#115061),#115053,.F.); +#115068=EDGE_CURVE('',#55037,#53986,#40105,.T.); +#115071=EDGE_CURVE('',#55039,#54002,#40109,.T.); +#115073=EDGE_CURVE('',#55039,#55037,#40113,.T.); +#115077=ADVANCED_FACE('',(#115076),#115067,.T.); +#115083=EDGE_CURVE('',#53994,#55018,#40117,.T.); +#115085=EDGE_CURVE('',#55018,#53934,#40199,.T.); +#115087=EDGE_CURVE('',#53918,#53934,#40241,.T.); +#115094=ADVANCED_FACE('',(#115093),#115082,.T.); +#115102=EDGE_CURVE('',#55033,#55037,#40125,.T.); +#115104=EDGE_CURVE('',#55033,#53987,#40145,.T.); +#115108=ADVANCED_FACE('',(#115107),#115099,.F.); +#115115=EDGE_CURVE('',#55029,#53990,#40277,.T.); +#115117=EDGE_CURVE('',#55025,#55029,#40265,.T.); +#115119=EDGE_CURVE('',#55025,#53991,#40245,.T.); +#115123=ADVANCED_FACE('',(#115122),#115113,.F.); +#115131=EDGE_CURVE('',#55035,#55039,#40129,.T.); +#115133=EDGE_CURVE('',#55035,#55033,#40133,.T.); +#115137=ADVANCED_FACE('',(#115136),#115128,.T.); +#115144=EDGE_CURVE('',#55035,#54003,#40149,.T.); +#115150=ADVANCED_FACE('',(#115149),#115142,.F.); +#115157=EDGE_CURVE('',#55027,#54007,#40249,.T.); +#115159=EDGE_CURVE('',#55027,#55031,#40269,.T.); +#115161=EDGE_CURVE('',#55031,#54006,#40281,.T.); +#115165=ADVANCED_FACE('',(#115164),#115155,.F.); +#115177=ADVANCED_FACE('',(#115176),#115170,.T.); +#115183=EDGE_CURVE('',#53995,#55019,#40153,.T.); +#115188=EDGE_CURVE('',#53915,#53931,#40161,.T.); +#115190=EDGE_CURVE('',#53931,#55019,#40177,.T.); +#115194=ADVANCED_FACE('',(#115193),#115182,.T.); +#115202=EDGE_CURVE('',#55018,#55019,#40173,.T.); +#115207=ADVANCED_FACE('',(#115206),#115199,.F.); +#115214=EDGE_CURVE('',#53999,#55023,#40253,.T.); +#115216=EDGE_CURVE('',#55022,#55023,#40203,.T.); +#115218=EDGE_CURVE('',#53998,#55022,#40289,.T.); +#115222=ADVANCED_FACE('',(#115221),#115212,.F.); +#115230=EDGE_CURVE('',#53930,#53931,#40182,.T.); +#115232=EDGE_CURVE('',#53949,#53930,#40186,.T.); +#115235=EDGE_CURVE('',#53935,#53951,#40190,.T.); +#115237=EDGE_CURVE('',#53934,#53935,#40195,.T.); +#115242=ADVANCED_FACE('',(#115241),#115227,.T.); +#115249=EDGE_CURVE('',#53923,#55023,#40207,.T.); +#115251=EDGE_CURVE('',#53922,#53923,#40212,.T.); +#115253=EDGE_CURVE('',#53945,#53922,#40216,.T.); +#115256=EDGE_CURVE('',#53927,#53947,#40220,.T.); +#115258=EDGE_CURVE('',#53926,#53927,#40225,.T.); +#115260=EDGE_CURVE('',#55022,#53926,#40229,.T.); +#115264=ADVANCED_FACE('',(#115263),#115247,.T.); +#115271=EDGE_CURVE('',#53914,#53930,#40233,.T.); +#115277=ADVANCED_FACE('',(#115276),#115269,.F.); +#115289=ADVANCED_FACE('',(#115288),#115282,.T.); +#115296=EDGE_CURVE('',#53919,#53935,#40237,.T.); +#115302=ADVANCED_FACE('',(#115301),#115294,.T.); +#115314=ADVANCED_FACE('',(#115313),#115307,.T.); +#115321=EDGE_CURVE('',#55027,#55025,#40273,.T.); +#115327=ADVANCED_FACE('',(#115326),#115319,.T.); +#115337=EDGE_CURVE('',#53907,#53923,#40261,.T.); +#115342=ADVANCED_FACE('',(#115341),#115332,.T.); +#115349=EDGE_CURVE('',#55031,#55029,#40285,.T.); +#115355=ADVANCED_FACE('',(#115354),#115347,.T.); +#115367=ADVANCED_FACE('',(#115366),#115360,.T.); +#115375=EDGE_CURVE('',#53910,#53926,#40297,.T.); +#115382=ADVANCED_FACE('',(#115381),#115372,.T.); +#115391=EDGE_CURVE('',#53911,#53927,#40301,.T.); +#115395=ADVANCED_FACE('',(#115394),#115387,.T.); +#115407=ADVANCED_FACE('',(#115406),#115400,.T.); +#115414=EDGE_CURVE('',#53906,#53922,#40305,.T.); +#115420=ADVANCED_FACE('',(#115419),#115412,.F.); +#115432=ADVANCED_FACE('',(#115431),#115425,.T.); +#115438=EDGE_CURVE('',#55042,#55043,#40309,.T.); +#115440=EDGE_CURVE('',#55042,#54022,#40313,.T.); +#115442=EDGE_CURVE('',#54022,#54023,#40318,.T.); +#115444=EDGE_CURVE('',#54023,#54051,#40322,.T.); +#115447=EDGE_CURVE('',#54049,#54018,#40326,.T.); +#115449=EDGE_CURVE('',#54018,#54019,#40331,.T.); +#115451=EDGE_CURVE('',#54019,#55043,#40335,.T.); +#115455=ADVANCED_FACE('',(#115454),#115437,.F.); +#115461=EDGE_CURVE('',#55046,#55047,#40339,.T.); +#115463=EDGE_CURVE('',#55046,#54030,#40343,.T.); +#115465=EDGE_CURVE('',#54030,#54031,#40348,.T.); +#115467=EDGE_CURVE('',#54031,#54055,#40352,.T.); +#115470=EDGE_CURVE('',#54053,#54026,#40356,.T.); +#115472=EDGE_CURVE('',#54026,#54027,#40361,.T.); +#115474=EDGE_CURVE('',#54027,#55047,#40365,.T.); +#115478=ADVANCED_FACE('',(#115477),#115460,.F.); +#115485=EDGE_CURVE('',#55042,#54122,#40381,.T.); +#115488=EDGE_CURVE('',#55043,#54123,#40405,.T.); +#115492=ADVANCED_FACE('',(#115491),#115483,.F.); +#115499=EDGE_CURVE('',#55046,#54126,#40441,.T.); +#115502=EDGE_CURVE('',#55047,#54127,#40469,.T.); +#115506=ADVANCED_FACE('',(#115505),#115497,.F.); +#115512=EDGE_CURVE('',#55061,#54114,#40369,.T.); +#115514=EDGE_CURVE('',#55061,#55063,#40373,.T.); +#115516=EDGE_CURVE('',#55063,#54130,#40377,.T.); +#115521=ADVANCED_FACE('',(#115520),#115511,.T.); +#115529=EDGE_CURVE('',#54138,#55050,#40385,.T.); +#115531=EDGE_CURVE('',#55050,#54038,#40511,.T.); +#115533=EDGE_CURVE('',#54022,#54038,#40561,.T.); +#115538=ADVANCED_FACE('',(#115537),#115526,.T.); +#115545=EDGE_CURVE('',#55057,#54115,#40397,.T.); +#115547=EDGE_CURVE('',#55057,#55061,#40417,.T.); +#115552=ADVANCED_FACE('',(#115551),#115543,.F.); +#115559=EDGE_CURVE('',#55065,#54119,#40461,.T.); +#115561=EDGE_CURVE('',#55065,#55069,#40449,.T.); +#115563=EDGE_CURVE('',#55069,#54118,#40429,.T.); +#115567=ADVANCED_FACE('',(#115566),#115557,.F.); +#115575=EDGE_CURVE('',#55059,#54131,#40401,.T.); +#115577=EDGE_CURVE('',#55057,#55059,#40421,.T.); +#115581=ADVANCED_FACE('',(#115580),#115572,.T.); +#115589=EDGE_CURVE('',#54019,#54035,#40409,.T.); +#115591=EDGE_CURVE('',#54035,#55051,#40489,.T.); +#115593=EDGE_CURVE('',#54139,#55051,#40413,.T.); +#115598=ADVANCED_FACE('',(#115597),#115586,.T.); +#115606=EDGE_CURVE('',#55059,#55063,#40425,.T.); +#115611=ADVANCED_FACE('',(#115610),#115603,.F.); +#115618=EDGE_CURVE('',#55071,#54134,#40437,.T.); +#115620=EDGE_CURVE('',#55067,#55071,#40457,.T.); +#115622=EDGE_CURVE('',#55067,#54135,#40465,.T.); +#115626=ADVANCED_FACE('',(#115625),#115616,.F.); +#115638=ADVANCED_FACE('',(#115637),#115631,.T.); +#115645=EDGE_CURVE('',#55069,#55071,#40433,.T.); +#115651=ADVANCED_FACE('',(#115650),#115643,.T.); +#115659=EDGE_CURVE('',#54142,#55054,#40445,.T.); +#115661=EDGE_CURVE('',#55054,#54046,#40541,.T.); +#115663=EDGE_CURVE('',#54030,#54046,#40569,.T.); +#115668=ADVANCED_FACE('',(#115667),#115656,.T.); +#115675=EDGE_CURVE('',#55065,#55067,#40453,.T.); +#115681=ADVANCED_FACE('',(#115680),#115673,.T.); +#115693=ADVANCED_FACE('',(#115692),#115686,.T.); +#115701=EDGE_CURVE('',#54027,#54043,#40473,.T.); +#115703=EDGE_CURVE('',#54043,#55055,#40519,.T.); +#115705=EDGE_CURVE('',#54143,#55055,#40477,.T.); +#115710=ADVANCED_FACE('',(#115709),#115698,.T.); +#115717=EDGE_CURVE('',#54026,#54042,#40481,.T.); +#115719=EDGE_CURVE('',#54042,#54043,#40524,.T.); +#115724=ADVANCED_FACE('',(#115723),#115715,.F.); +#115732=EDGE_CURVE('',#54061,#54042,#40528,.T.); +#115737=ADVANCED_FACE('',(#115736),#115729,.T.); +#115743=EDGE_CURVE('',#55050,#55051,#40485,.T.); +#115746=EDGE_CURVE('',#54034,#54035,#40494,.T.); +#115748=EDGE_CURVE('',#54057,#54034,#40498,.T.); +#115751=EDGE_CURVE('',#54039,#54059,#40502,.T.); +#115753=EDGE_CURVE('',#54038,#54039,#40507,.T.); +#115758=ADVANCED_FACE('',(#115757),#115742,.T.); +#115764=EDGE_CURVE('',#55054,#55055,#40515,.T.); +#115770=EDGE_CURVE('',#54047,#54063,#40532,.T.); +#115772=EDGE_CURVE('',#54046,#54047,#40537,.T.); +#115777=ADVANCED_FACE('',(#115776),#115763,.T.); +#115789=ADVANCED_FACE('',(#115788),#115782,.F.); +#115801=ADVANCED_FACE('',(#115800),#115794,.F.); +#115808=EDGE_CURVE('',#54018,#54034,#40553,.T.); +#115814=ADVANCED_FACE('',(#115813),#115806,.F.); +#115826=ADVANCED_FACE('',(#115825),#115819,.T.); +#115833=EDGE_CURVE('',#54023,#54039,#40557,.T.); +#115839=ADVANCED_FACE('',(#115838),#115831,.T.); +#115851=ADVANCED_FACE('',(#115850),#115844,.T.); +#115858=EDGE_CURVE('',#54031,#54047,#40565,.T.); +#115864=ADVANCED_FACE('',(#115863),#115856,.T.); +#115876=ADVANCED_FACE('',(#115875),#115869,.T.); +#115882=EDGE_CURVE('',#55074,#55075,#40573,.T.); +#115884=EDGE_CURVE('',#55074,#54078,#40577,.T.); +#115886=EDGE_CURVE('',#54078,#54079,#40582,.T.); +#115888=EDGE_CURVE('',#54079,#54103,#40586,.T.); +#115891=EDGE_CURVE('',#54101,#54074,#40590,.T.); +#115893=EDGE_CURVE('',#54074,#54075,#40595,.T.); +#115895=EDGE_CURVE('',#54075,#55075,#40599,.T.); +#115899=ADVANCED_FACE('',(#115898),#115881,.F.); +#115905=EDGE_CURVE('',#55078,#55079,#40603,.T.); +#115907=EDGE_CURVE('',#55078,#54070,#40607,.T.); +#115909=EDGE_CURVE('',#54070,#54071,#40612,.T.); +#115911=EDGE_CURVE('',#54071,#54099,#40616,.T.); +#115914=EDGE_CURVE('',#54097,#54066,#40620,.T.); +#115916=EDGE_CURVE('',#54066,#54067,#40625,.T.); +#115918=EDGE_CURVE('',#54067,#55079,#40629,.T.); +#115922=ADVANCED_FACE('',(#115921),#115904,.F.); +#115929=EDGE_CURVE('',#55074,#54170,#40649,.T.); +#115932=EDGE_CURVE('',#55075,#54171,#40685,.T.); +#115936=ADVANCED_FACE('',(#115935),#115927,.F.); +#115943=EDGE_CURVE('',#55078,#54174,#40821,.T.); +#115946=EDGE_CURVE('',#55079,#54175,#40785,.T.); +#115950=ADVANCED_FACE('',(#115949),#115941,.F.); +#115956=EDGE_CURVE('',#55101,#54146,#40633,.T.); +#115959=EDGE_CURVE('',#55103,#54162,#40637,.T.); +#115961=EDGE_CURVE('',#55103,#55101,#40641,.T.); +#115965=ADVANCED_FACE('',(#115964),#115955,.T.); +#115971=EDGE_CURVE('',#54154,#55082,#40645,.T.); +#115973=EDGE_CURVE('',#55082,#54094,#40727,.T.); +#115975=EDGE_CURVE('',#54078,#54094,#40769,.T.); +#115982=ADVANCED_FACE('',(#115981),#115970,.T.); +#115990=EDGE_CURVE('',#55097,#55101,#40653,.T.); +#115992=EDGE_CURVE('',#55097,#54147,#40673,.T.); +#115996=ADVANCED_FACE('',(#115995),#115987,.F.); +#116003=EDGE_CURVE('',#55093,#54150,#40805,.T.); +#116005=EDGE_CURVE('',#55089,#55093,#40793,.T.); +#116007=EDGE_CURVE('',#55089,#54151,#40773,.T.); +#116011=ADVANCED_FACE('',(#116010),#116001,.F.); +#116019=EDGE_CURVE('',#55099,#55103,#40657,.T.); +#116021=EDGE_CURVE('',#55099,#55097,#40661,.T.); +#116025=ADVANCED_FACE('',(#116024),#116016,.T.); +#116032=EDGE_CURVE('',#55099,#54163,#40677,.T.); +#116038=ADVANCED_FACE('',(#116037),#116030,.F.); +#116045=EDGE_CURVE('',#55091,#54167,#40777,.T.); +#116047=EDGE_CURVE('',#55091,#55095,#40797,.T.); +#116049=EDGE_CURVE('',#55095,#54166,#40809,.T.); +#116053=ADVANCED_FACE('',(#116052),#116043,.F.); +#116065=ADVANCED_FACE('',(#116064),#116058,.T.); +#116071=EDGE_CURVE('',#54155,#55083,#40681,.T.); +#116076=EDGE_CURVE('',#54075,#54091,#40689,.T.); +#116078=EDGE_CURVE('',#54091,#55083,#40705,.T.); +#116082=ADVANCED_FACE('',(#116081),#116070,.T.); +#116090=EDGE_CURVE('',#55082,#55083,#40701,.T.); +#116095=ADVANCED_FACE('',(#116094),#116087,.F.); +#116102=EDGE_CURVE('',#54159,#55087,#40781,.T.); +#116104=EDGE_CURVE('',#55086,#55087,#40731,.T.); +#116106=EDGE_CURVE('',#54158,#55086,#40817,.T.); +#116110=ADVANCED_FACE('',(#116109),#116100,.F.); +#116118=EDGE_CURVE('',#54090,#54091,#40710,.T.); +#116120=EDGE_CURVE('',#54109,#54090,#40714,.T.); +#116123=EDGE_CURVE('',#54095,#54111,#40718,.T.); +#116125=EDGE_CURVE('',#54094,#54095,#40723,.T.); +#116130=ADVANCED_FACE('',(#116129),#116115,.T.); +#116137=EDGE_CURVE('',#54083,#55087,#40735,.T.); +#116139=EDGE_CURVE('',#54082,#54083,#40740,.T.); +#116141=EDGE_CURVE('',#54105,#54082,#40744,.T.); +#116144=EDGE_CURVE('',#54087,#54107,#40748,.T.); +#116146=EDGE_CURVE('',#54086,#54087,#40753,.T.); +#116148=EDGE_CURVE('',#55086,#54086,#40757,.T.); +#116152=ADVANCED_FACE('',(#116151),#116135,.T.); +#116159=EDGE_CURVE('',#54074,#54090,#40761,.T.); +#116165=ADVANCED_FACE('',(#116164),#116157,.F.); +#116177=ADVANCED_FACE('',(#116176),#116170,.T.); +#116184=EDGE_CURVE('',#54079,#54095,#40765,.T.); +#116190=ADVANCED_FACE('',(#116189),#116182,.T.); +#116202=ADVANCED_FACE('',(#116201),#116195,.T.); +#116209=EDGE_CURVE('',#55091,#55089,#40801,.T.); +#116215=ADVANCED_FACE('',(#116214),#116207,.T.); +#116225=EDGE_CURVE('',#54067,#54083,#40789,.T.); +#116230=ADVANCED_FACE('',(#116229),#116220,.T.); +#116237=EDGE_CURVE('',#55095,#55093,#40813,.T.); +#116243=ADVANCED_FACE('',(#116242),#116235,.T.); +#116255=ADVANCED_FACE('',(#116254),#116248,.T.); +#116263=EDGE_CURVE('',#54070,#54086,#40825,.T.); +#116270=ADVANCED_FACE('',(#116269),#116260,.T.); +#116279=EDGE_CURVE('',#54071,#54087,#40829,.T.); +#116283=ADVANCED_FACE('',(#116282),#116275,.T.); +#116295=ADVANCED_FACE('',(#116294),#116288,.T.); +#116302=EDGE_CURVE('',#54066,#54082,#40833,.T.); +#116308=ADVANCED_FACE('',(#116307),#116300,.F.); +#116320=ADVANCED_FACE('',(#116319),#116313,.T.); +#116326=EDGE_CURVE('',#55106,#55107,#40837,.T.); +#116328=EDGE_CURVE('',#55106,#54182,#40841,.T.); +#116330=EDGE_CURVE('',#54182,#54183,#40846,.T.); +#116332=EDGE_CURVE('',#54183,#54211,#40850,.T.); +#116335=EDGE_CURVE('',#54209,#54178,#40854,.T.); +#116337=EDGE_CURVE('',#54178,#54179,#40859,.T.); +#116339=EDGE_CURVE('',#54179,#55107,#40863,.T.); +#116343=ADVANCED_FACE('',(#116342),#116325,.F.); +#116349=EDGE_CURVE('',#55110,#55111,#40867,.T.); +#116351=EDGE_CURVE('',#55110,#54190,#40871,.T.); +#116353=EDGE_CURVE('',#54190,#54191,#40876,.T.); +#116355=EDGE_CURVE('',#54191,#54215,#40880,.T.); +#116358=EDGE_CURVE('',#54213,#54186,#40884,.T.); +#116360=EDGE_CURVE('',#54186,#54187,#40889,.T.); +#116362=EDGE_CURVE('',#54187,#55111,#40893,.T.); +#116366=ADVANCED_FACE('',(#116365),#116348,.F.); +#116373=EDGE_CURVE('',#55106,#54282,#40909,.T.); +#116376=EDGE_CURVE('',#55107,#54283,#40933,.T.); +#116380=ADVANCED_FACE('',(#116379),#116371,.F.); +#116387=EDGE_CURVE('',#55110,#54286,#40969,.T.); +#116390=EDGE_CURVE('',#55111,#54287,#40997,.T.); +#116394=ADVANCED_FACE('',(#116393),#116385,.F.); +#116400=EDGE_CURVE('',#55125,#54274,#40897,.T.); +#116402=EDGE_CURVE('',#55125,#55127,#40901,.T.); +#116404=EDGE_CURVE('',#55127,#54290,#40905,.T.); +#116409=ADVANCED_FACE('',(#116408),#116399,.T.); +#116417=EDGE_CURVE('',#54298,#55114,#40913,.T.); +#116419=EDGE_CURVE('',#55114,#54198,#41039,.T.); +#116421=EDGE_CURVE('',#54182,#54198,#41089,.T.); +#116426=ADVANCED_FACE('',(#116425),#116414,.T.); +#116433=EDGE_CURVE('',#55121,#54275,#40925,.T.); +#116435=EDGE_CURVE('',#55121,#55125,#40945,.T.); +#116440=ADVANCED_FACE('',(#116439),#116431,.F.); +#116447=EDGE_CURVE('',#55129,#54279,#40989,.T.); +#116449=EDGE_CURVE('',#55129,#55133,#40977,.T.); +#116451=EDGE_CURVE('',#55133,#54278,#40957,.T.); +#116455=ADVANCED_FACE('',(#116454),#116445,.F.); +#116463=EDGE_CURVE('',#55123,#54291,#40929,.T.); +#116465=EDGE_CURVE('',#55121,#55123,#40949,.T.); +#116469=ADVANCED_FACE('',(#116468),#116460,.T.); +#116477=EDGE_CURVE('',#54179,#54195,#40937,.T.); +#116479=EDGE_CURVE('',#54195,#55115,#41017,.T.); +#116481=EDGE_CURVE('',#54299,#55115,#40941,.T.); +#116486=ADVANCED_FACE('',(#116485),#116474,.T.); +#116494=EDGE_CURVE('',#55123,#55127,#40953,.T.); +#116499=ADVANCED_FACE('',(#116498),#116491,.F.); +#116506=EDGE_CURVE('',#55135,#54294,#40965,.T.); +#116508=EDGE_CURVE('',#55131,#55135,#40985,.T.); +#116510=EDGE_CURVE('',#55131,#54295,#40993,.T.); +#116514=ADVANCED_FACE('',(#116513),#116504,.F.); +#116526=ADVANCED_FACE('',(#116525),#116519,.T.); +#116533=EDGE_CURVE('',#55133,#55135,#40961,.T.); +#116539=ADVANCED_FACE('',(#116538),#116531,.T.); +#116547=EDGE_CURVE('',#54302,#55118,#40973,.T.); +#116549=EDGE_CURVE('',#55118,#54206,#41069,.T.); +#116551=EDGE_CURVE('',#54190,#54206,#41097,.T.); +#116556=ADVANCED_FACE('',(#116555),#116544,.T.); +#116563=EDGE_CURVE('',#55129,#55131,#40981,.T.); +#116569=ADVANCED_FACE('',(#116568),#116561,.T.); +#116581=ADVANCED_FACE('',(#116580),#116574,.T.); +#116589=EDGE_CURVE('',#54187,#54203,#41001,.T.); +#116591=EDGE_CURVE('',#54203,#55119,#41047,.T.); +#116593=EDGE_CURVE('',#54303,#55119,#41005,.T.); +#116598=ADVANCED_FACE('',(#116597),#116586,.T.); +#116605=EDGE_CURVE('',#54186,#54202,#41009,.T.); +#116607=EDGE_CURVE('',#54202,#54203,#41052,.T.); +#116612=ADVANCED_FACE('',(#116611),#116603,.F.); +#116620=EDGE_CURVE('',#54221,#54202,#41056,.T.); +#116625=ADVANCED_FACE('',(#116624),#116617,.T.); +#116631=EDGE_CURVE('',#55114,#55115,#41013,.T.); +#116634=EDGE_CURVE('',#54194,#54195,#41022,.T.); +#116636=EDGE_CURVE('',#54217,#54194,#41026,.T.); +#116639=EDGE_CURVE('',#54199,#54219,#41030,.T.); +#116641=EDGE_CURVE('',#54198,#54199,#41035,.T.); +#116646=ADVANCED_FACE('',(#116645),#116630,.T.); +#116652=EDGE_CURVE('',#55118,#55119,#41043,.T.); +#116658=EDGE_CURVE('',#54207,#54223,#41060,.T.); +#116660=EDGE_CURVE('',#54206,#54207,#41065,.T.); +#116665=ADVANCED_FACE('',(#116664),#116651,.T.); +#116677=ADVANCED_FACE('',(#116676),#116670,.F.); +#116689=ADVANCED_FACE('',(#116688),#116682,.F.); +#116696=EDGE_CURVE('',#54178,#54194,#41081,.T.); +#116702=ADVANCED_FACE('',(#116701),#116694,.F.); +#116714=ADVANCED_FACE('',(#116713),#116707,.T.); +#116721=EDGE_CURVE('',#54183,#54199,#41085,.T.); +#116727=ADVANCED_FACE('',(#116726),#116719,.T.); +#116739=ADVANCED_FACE('',(#116738),#116732,.T.); +#116746=EDGE_CURVE('',#54191,#54207,#41093,.T.); +#116752=ADVANCED_FACE('',(#116751),#116744,.T.); +#116764=ADVANCED_FACE('',(#116763),#116757,.T.); +#116770=EDGE_CURVE('',#55138,#55139,#41101,.T.); +#116772=EDGE_CURVE('',#55138,#54238,#41105,.T.); +#116774=EDGE_CURVE('',#54238,#54239,#41110,.T.); +#116776=EDGE_CURVE('',#54239,#54263,#41114,.T.); +#116779=EDGE_CURVE('',#54261,#54234,#41118,.T.); +#116781=EDGE_CURVE('',#54234,#54235,#41123,.T.); +#116783=EDGE_CURVE('',#54235,#55139,#41127,.T.); +#116787=ADVANCED_FACE('',(#116786),#116769,.F.); +#116793=EDGE_CURVE('',#55142,#55143,#41131,.T.); +#116795=EDGE_CURVE('',#55142,#54230,#41135,.T.); +#116797=EDGE_CURVE('',#54230,#54231,#41140,.T.); +#116799=EDGE_CURVE('',#54231,#54259,#41144,.T.); +#116802=EDGE_CURVE('',#54257,#54226,#41148,.T.); +#116804=EDGE_CURVE('',#54226,#54227,#41153,.T.); +#116806=EDGE_CURVE('',#54227,#55143,#41157,.T.); +#116810=ADVANCED_FACE('',(#116809),#116792,.F.); +#116817=EDGE_CURVE('',#55138,#54330,#41177,.T.); +#116820=EDGE_CURVE('',#55139,#54331,#41213,.T.); +#116824=ADVANCED_FACE('',(#116823),#116815,.F.); +#116831=EDGE_CURVE('',#55142,#54334,#41349,.T.); +#116834=EDGE_CURVE('',#55143,#54335,#41313,.T.); +#116838=ADVANCED_FACE('',(#116837),#116829,.F.); +#116844=EDGE_CURVE('',#55165,#54306,#41161,.T.); +#116847=EDGE_CURVE('',#55167,#54322,#41165,.T.); +#116849=EDGE_CURVE('',#55167,#55165,#41169,.T.); +#116853=ADVANCED_FACE('',(#116852),#116843,.T.); +#116859=EDGE_CURVE('',#54314,#55146,#41173,.T.); +#116861=EDGE_CURVE('',#55146,#54254,#41255,.T.); +#116863=EDGE_CURVE('',#54238,#54254,#41297,.T.); +#116870=ADVANCED_FACE('',(#116869),#116858,.T.); +#116878=EDGE_CURVE('',#55161,#55165,#41181,.T.); +#116880=EDGE_CURVE('',#55161,#54307,#41201,.T.); +#116884=ADVANCED_FACE('',(#116883),#116875,.F.); +#116891=EDGE_CURVE('',#55157,#54310,#41333,.T.); +#116893=EDGE_CURVE('',#55153,#55157,#41321,.T.); +#116895=EDGE_CURVE('',#55153,#54311,#41301,.T.); +#116899=ADVANCED_FACE('',(#116898),#116889,.F.); +#116907=EDGE_CURVE('',#55163,#55167,#41185,.T.); +#116909=EDGE_CURVE('',#55163,#55161,#41189,.T.); +#116913=ADVANCED_FACE('',(#116912),#116904,.T.); +#116920=EDGE_CURVE('',#55163,#54323,#41205,.T.); +#116926=ADVANCED_FACE('',(#116925),#116918,.F.); +#116933=EDGE_CURVE('',#55155,#54327,#41305,.T.); +#116935=EDGE_CURVE('',#55155,#55159,#41325,.T.); +#116937=EDGE_CURVE('',#55159,#54326,#41337,.T.); +#116941=ADVANCED_FACE('',(#116940),#116931,.F.); +#116953=ADVANCED_FACE('',(#116952),#116946,.T.); +#116959=EDGE_CURVE('',#54315,#55147,#41209,.T.); +#116964=EDGE_CURVE('',#54235,#54251,#41217,.T.); +#116966=EDGE_CURVE('',#54251,#55147,#41233,.T.); +#116970=ADVANCED_FACE('',(#116969),#116958,.T.); +#116978=EDGE_CURVE('',#55146,#55147,#41229,.T.); +#116983=ADVANCED_FACE('',(#116982),#116975,.F.); +#116990=EDGE_CURVE('',#54319,#55151,#41309,.T.); +#116992=EDGE_CURVE('',#55150,#55151,#41259,.T.); +#116994=EDGE_CURVE('',#54318,#55150,#41345,.T.); +#116998=ADVANCED_FACE('',(#116997),#116988,.F.); +#117006=EDGE_CURVE('',#54250,#54251,#41238,.T.); +#117008=EDGE_CURVE('',#54269,#54250,#41242,.T.); +#117011=EDGE_CURVE('',#54255,#54271,#41246,.T.); +#117013=EDGE_CURVE('',#54254,#54255,#41251,.T.); +#117018=ADVANCED_FACE('',(#117017),#117003,.T.); +#117025=EDGE_CURVE('',#54243,#55151,#41263,.T.); +#117027=EDGE_CURVE('',#54242,#54243,#41268,.T.); +#117029=EDGE_CURVE('',#54265,#54242,#41272,.T.); +#117032=EDGE_CURVE('',#54247,#54267,#41276,.T.); +#117034=EDGE_CURVE('',#54246,#54247,#41281,.T.); +#117036=EDGE_CURVE('',#55150,#54246,#41285,.T.); +#117040=ADVANCED_FACE('',(#117039),#117023,.T.); +#117047=EDGE_CURVE('',#54234,#54250,#41289,.T.); +#117053=ADVANCED_FACE('',(#117052),#117045,.F.); +#117065=ADVANCED_FACE('',(#117064),#117058,.T.); +#117072=EDGE_CURVE('',#54239,#54255,#41293,.T.); +#117078=ADVANCED_FACE('',(#117077),#117070,.T.); +#117090=ADVANCED_FACE('',(#117089),#117083,.T.); +#117097=EDGE_CURVE('',#55155,#55153,#41329,.T.); +#117103=ADVANCED_FACE('',(#117102),#117095,.T.); +#117113=EDGE_CURVE('',#54227,#54243,#41317,.T.); +#117118=ADVANCED_FACE('',(#117117),#117108,.T.); +#117125=EDGE_CURVE('',#55159,#55157,#41341,.T.); +#117131=ADVANCED_FACE('',(#117130),#117123,.T.); +#117143=ADVANCED_FACE('',(#117142),#117136,.T.); +#117151=EDGE_CURVE('',#54230,#54246,#41353,.T.); +#117158=ADVANCED_FACE('',(#117157),#117148,.T.); +#117167=EDGE_CURVE('',#54231,#54247,#41357,.T.); +#117171=ADVANCED_FACE('',(#117170),#117163,.T.); +#117183=ADVANCED_FACE('',(#117182),#117176,.T.); +#117190=EDGE_CURVE('',#54226,#54242,#41361,.T.); +#117196=ADVANCED_FACE('',(#117195),#117188,.F.); +#117208=ADVANCED_FACE('',(#117207),#117201,.T.); +#117214=EDGE_CURVE('',#55170,#55171,#41365,.T.); +#117216=EDGE_CURVE('',#55170,#54342,#41369,.T.); +#117218=EDGE_CURVE('',#54342,#54343,#41374,.T.); +#117220=EDGE_CURVE('',#54343,#54371,#41378,.T.); +#117223=EDGE_CURVE('',#54369,#54338,#41382,.T.); +#117225=EDGE_CURVE('',#54338,#54339,#41387,.T.); +#117227=EDGE_CURVE('',#54339,#55171,#41391,.T.); +#117231=ADVANCED_FACE('',(#117230),#117213,.F.); +#117237=EDGE_CURVE('',#55174,#55175,#41395,.T.); +#117239=EDGE_CURVE('',#55174,#54350,#41399,.T.); +#117241=EDGE_CURVE('',#54350,#54351,#41404,.T.); +#117243=EDGE_CURVE('',#54351,#54375,#41408,.T.); +#117246=EDGE_CURVE('',#54373,#54346,#41412,.T.); +#117248=EDGE_CURVE('',#54346,#54347,#41417,.T.); +#117250=EDGE_CURVE('',#54347,#55175,#41421,.T.); +#117254=ADVANCED_FACE('',(#117253),#117236,.F.); +#117261=EDGE_CURVE('',#55170,#54442,#41437,.T.); +#117264=EDGE_CURVE('',#55171,#54443,#41461,.T.); +#117268=ADVANCED_FACE('',(#117267),#117259,.F.); +#117275=EDGE_CURVE('',#55174,#54446,#41497,.T.); +#117278=EDGE_CURVE('',#55175,#54447,#41525,.T.); +#117282=ADVANCED_FACE('',(#117281),#117273,.F.); +#117288=EDGE_CURVE('',#55189,#54434,#41425,.T.); +#117290=EDGE_CURVE('',#55189,#55191,#41429,.T.); +#117292=EDGE_CURVE('',#55191,#54450,#41433,.T.); +#117297=ADVANCED_FACE('',(#117296),#117287,.T.); +#117305=EDGE_CURVE('',#54458,#55178,#41441,.T.); +#117307=EDGE_CURVE('',#55178,#54358,#41567,.T.); +#117309=EDGE_CURVE('',#54342,#54358,#41617,.T.); +#117314=ADVANCED_FACE('',(#117313),#117302,.T.); +#117321=EDGE_CURVE('',#55185,#54435,#41453,.T.); +#117323=EDGE_CURVE('',#55185,#55189,#41473,.T.); +#117328=ADVANCED_FACE('',(#117327),#117319,.F.); +#117335=EDGE_CURVE('',#55193,#54439,#41517,.T.); +#117337=EDGE_CURVE('',#55193,#55197,#41505,.T.); +#117339=EDGE_CURVE('',#55197,#54438,#41485,.T.); +#117343=ADVANCED_FACE('',(#117342),#117333,.F.); +#117351=EDGE_CURVE('',#55187,#54451,#41457,.T.); +#117353=EDGE_CURVE('',#55185,#55187,#41477,.T.); +#117357=ADVANCED_FACE('',(#117356),#117348,.T.); +#117365=EDGE_CURVE('',#54339,#54355,#41465,.T.); +#117367=EDGE_CURVE('',#54355,#55179,#41545,.T.); +#117369=EDGE_CURVE('',#54459,#55179,#41469,.T.); +#117374=ADVANCED_FACE('',(#117373),#117362,.T.); +#117382=EDGE_CURVE('',#55187,#55191,#41481,.T.); +#117387=ADVANCED_FACE('',(#117386),#117379,.F.); +#117394=EDGE_CURVE('',#55199,#54454,#41493,.T.); +#117396=EDGE_CURVE('',#55195,#55199,#41513,.T.); +#117398=EDGE_CURVE('',#55195,#54455,#41521,.T.); +#117402=ADVANCED_FACE('',(#117401),#117392,.F.); +#117414=ADVANCED_FACE('',(#117413),#117407,.T.); +#117421=EDGE_CURVE('',#55197,#55199,#41489,.T.); +#117427=ADVANCED_FACE('',(#117426),#117419,.T.); +#117435=EDGE_CURVE('',#54462,#55182,#41501,.T.); +#117437=EDGE_CURVE('',#55182,#54366,#41597,.T.); +#117439=EDGE_CURVE('',#54350,#54366,#41625,.T.); +#117444=ADVANCED_FACE('',(#117443),#117432,.T.); +#117451=EDGE_CURVE('',#55193,#55195,#41509,.T.); +#117457=ADVANCED_FACE('',(#117456),#117449,.T.); +#117469=ADVANCED_FACE('',(#117468),#117462,.T.); +#117477=EDGE_CURVE('',#54347,#54363,#41529,.T.); +#117479=EDGE_CURVE('',#54363,#55183,#41575,.T.); +#117481=EDGE_CURVE('',#54463,#55183,#41533,.T.); +#117486=ADVANCED_FACE('',(#117485),#117474,.T.); +#117493=EDGE_CURVE('',#54346,#54362,#41537,.T.); +#117495=EDGE_CURVE('',#54362,#54363,#41580,.T.); +#117500=ADVANCED_FACE('',(#117499),#117491,.F.); +#117508=EDGE_CURVE('',#54381,#54362,#41584,.T.); +#117513=ADVANCED_FACE('',(#117512),#117505,.T.); +#117519=EDGE_CURVE('',#55178,#55179,#41541,.T.); +#117522=EDGE_CURVE('',#54354,#54355,#41550,.T.); +#117524=EDGE_CURVE('',#54377,#54354,#41554,.T.); +#117527=EDGE_CURVE('',#54359,#54379,#41558,.T.); +#117529=EDGE_CURVE('',#54358,#54359,#41563,.T.); +#117534=ADVANCED_FACE('',(#117533),#117518,.T.); +#117540=EDGE_CURVE('',#55182,#55183,#41571,.T.); +#117546=EDGE_CURVE('',#54367,#54383,#41588,.T.); +#117548=EDGE_CURVE('',#54366,#54367,#41593,.T.); +#117553=ADVANCED_FACE('',(#117552),#117539,.T.); +#117565=ADVANCED_FACE('',(#117564),#117558,.F.); +#117577=ADVANCED_FACE('',(#117576),#117570,.F.); +#117584=EDGE_CURVE('',#54338,#54354,#41609,.T.); +#117590=ADVANCED_FACE('',(#117589),#117582,.F.); +#117602=ADVANCED_FACE('',(#117601),#117595,.T.); +#117609=EDGE_CURVE('',#54343,#54359,#41613,.T.); +#117615=ADVANCED_FACE('',(#117614),#117607,.T.); +#117627=ADVANCED_FACE('',(#117626),#117620,.T.); +#117634=EDGE_CURVE('',#54351,#54367,#41621,.T.); +#117640=ADVANCED_FACE('',(#117639),#117632,.T.); +#117652=ADVANCED_FACE('',(#117651),#117645,.T.); +#117658=EDGE_CURVE('',#55202,#55203,#41629,.T.); +#117660=EDGE_CURVE('',#55202,#54398,#41633,.T.); +#117662=EDGE_CURVE('',#54398,#54399,#41638,.T.); +#117664=EDGE_CURVE('',#54399,#54423,#41642,.T.); +#117667=EDGE_CURVE('',#54421,#54394,#41646,.T.); +#117669=EDGE_CURVE('',#54394,#54395,#41651,.T.); +#117671=EDGE_CURVE('',#54395,#55203,#41655,.T.); +#117675=ADVANCED_FACE('',(#117674),#117657,.F.); +#117681=EDGE_CURVE('',#55206,#55207,#41659,.T.); +#117683=EDGE_CURVE('',#55206,#54390,#41663,.T.); +#117685=EDGE_CURVE('',#54390,#54391,#41668,.T.); +#117687=EDGE_CURVE('',#54391,#54419,#41672,.T.); +#117690=EDGE_CURVE('',#54417,#54386,#41676,.T.); +#117692=EDGE_CURVE('',#54386,#54387,#41681,.T.); +#117694=EDGE_CURVE('',#54387,#55207,#41685,.T.); +#117698=ADVANCED_FACE('',(#117697),#117680,.F.); +#117705=EDGE_CURVE('',#55202,#54490,#41705,.T.); +#117708=EDGE_CURVE('',#55203,#54491,#41741,.T.); +#117712=ADVANCED_FACE('',(#117711),#117703,.F.); +#117719=EDGE_CURVE('',#55206,#54494,#41877,.T.); +#117722=EDGE_CURVE('',#55207,#54495,#41841,.T.); +#117726=ADVANCED_FACE('',(#117725),#117717,.F.); +#117732=EDGE_CURVE('',#55229,#54466,#41689,.T.); +#117735=EDGE_CURVE('',#55231,#54482,#41693,.T.); +#117737=EDGE_CURVE('',#55231,#55229,#41697,.T.); +#117741=ADVANCED_FACE('',(#117740),#117731,.T.); +#117747=EDGE_CURVE('',#54474,#55210,#41701,.T.); +#117749=EDGE_CURVE('',#55210,#54414,#41783,.T.); +#117751=EDGE_CURVE('',#54398,#54414,#41825,.T.); +#117758=ADVANCED_FACE('',(#117757),#117746,.T.); +#117766=EDGE_CURVE('',#55225,#55229,#41709,.T.); +#117768=EDGE_CURVE('',#55225,#54467,#41729,.T.); +#117772=ADVANCED_FACE('',(#117771),#117763,.F.); +#117779=EDGE_CURVE('',#55221,#54470,#41861,.T.); +#117781=EDGE_CURVE('',#55217,#55221,#41849,.T.); +#117783=EDGE_CURVE('',#55217,#54471,#41829,.T.); +#117787=ADVANCED_FACE('',(#117786),#117777,.F.); +#117795=EDGE_CURVE('',#55227,#55231,#41713,.T.); +#117797=EDGE_CURVE('',#55227,#55225,#41717,.T.); +#117801=ADVANCED_FACE('',(#117800),#117792,.T.); +#117808=EDGE_CURVE('',#55227,#54483,#41733,.T.); +#117814=ADVANCED_FACE('',(#117813),#117806,.F.); +#117821=EDGE_CURVE('',#55219,#54487,#41833,.T.); +#117823=EDGE_CURVE('',#55219,#55223,#41853,.T.); +#117825=EDGE_CURVE('',#55223,#54486,#41865,.T.); +#117829=ADVANCED_FACE('',(#117828),#117819,.F.); +#117841=ADVANCED_FACE('',(#117840),#117834,.T.); +#117847=EDGE_CURVE('',#54475,#55211,#41737,.T.); +#117852=EDGE_CURVE('',#54395,#54411,#41745,.T.); +#117854=EDGE_CURVE('',#54411,#55211,#41761,.T.); +#117858=ADVANCED_FACE('',(#117857),#117846,.T.); +#117866=EDGE_CURVE('',#55210,#55211,#41757,.T.); +#117871=ADVANCED_FACE('',(#117870),#117863,.F.); +#117878=EDGE_CURVE('',#54479,#55215,#41837,.T.); +#117880=EDGE_CURVE('',#55214,#55215,#41787,.T.); +#117882=EDGE_CURVE('',#54478,#55214,#41873,.T.); +#117886=ADVANCED_FACE('',(#117885),#117876,.F.); +#117894=EDGE_CURVE('',#54410,#54411,#41766,.T.); +#117896=EDGE_CURVE('',#54429,#54410,#41770,.T.); +#117899=EDGE_CURVE('',#54415,#54431,#41774,.T.); +#117901=EDGE_CURVE('',#54414,#54415,#41779,.T.); +#117906=ADVANCED_FACE('',(#117905),#117891,.T.); +#117913=EDGE_CURVE('',#54403,#55215,#41791,.T.); +#117915=EDGE_CURVE('',#54402,#54403,#41796,.T.); +#117917=EDGE_CURVE('',#54425,#54402,#41800,.T.); +#117920=EDGE_CURVE('',#54407,#54427,#41804,.T.); +#117922=EDGE_CURVE('',#54406,#54407,#41809,.T.); +#117924=EDGE_CURVE('',#55214,#54406,#41813,.T.); +#117928=ADVANCED_FACE('',(#117927),#117911,.T.); +#117935=EDGE_CURVE('',#54394,#54410,#41817,.T.); +#117941=ADVANCED_FACE('',(#117940),#117933,.F.); +#117953=ADVANCED_FACE('',(#117952),#117946,.T.); +#117960=EDGE_CURVE('',#54399,#54415,#41821,.T.); +#117966=ADVANCED_FACE('',(#117965),#117958,.T.); +#117978=ADVANCED_FACE('',(#117977),#117971,.T.); +#117985=EDGE_CURVE('',#55219,#55217,#41857,.T.); +#117991=ADVANCED_FACE('',(#117990),#117983,.T.); +#118001=EDGE_CURVE('',#54387,#54403,#41845,.T.); +#118006=ADVANCED_FACE('',(#118005),#117996,.T.); +#118013=EDGE_CURVE('',#55223,#55221,#41869,.T.); +#118019=ADVANCED_FACE('',(#118018),#118011,.T.); +#118031=ADVANCED_FACE('',(#118030),#118024,.T.); +#118039=EDGE_CURVE('',#54390,#54406,#41881,.T.); +#118046=ADVANCED_FACE('',(#118045),#118036,.T.); +#118055=EDGE_CURVE('',#54391,#54407,#41885,.T.); +#118059=ADVANCED_FACE('',(#118058),#118051,.T.); +#118071=ADVANCED_FACE('',(#118070),#118064,.T.); +#118078=EDGE_CURVE('',#54386,#54402,#41889,.T.); +#118084=ADVANCED_FACE('',(#118083),#118076,.F.); +#118096=ADVANCED_FACE('',(#118095),#118089,.T.); +#118102=EDGE_CURVE('',#55234,#55235,#41893,.T.); +#118104=EDGE_CURVE('',#55234,#54502,#41897,.T.); +#118106=EDGE_CURVE('',#54502,#54503,#41902,.T.); +#118108=EDGE_CURVE('',#54503,#54531,#41906,.T.); +#118111=EDGE_CURVE('',#54529,#54498,#41910,.T.); +#118113=EDGE_CURVE('',#54498,#54499,#41915,.T.); +#118115=EDGE_CURVE('',#54499,#55235,#41919,.T.); +#118119=ADVANCED_FACE('',(#118118),#118101,.F.); +#118125=EDGE_CURVE('',#55238,#55239,#41923,.T.); +#118127=EDGE_CURVE('',#55238,#54510,#41927,.T.); +#118129=EDGE_CURVE('',#54510,#54511,#41932,.T.); +#118131=EDGE_CURVE('',#54511,#54535,#41936,.T.); +#118134=EDGE_CURVE('',#54533,#54506,#41940,.T.); +#118136=EDGE_CURVE('',#54506,#54507,#41945,.T.); +#118138=EDGE_CURVE('',#54507,#55239,#41949,.T.); +#118142=ADVANCED_FACE('',(#118141),#118124,.F.); +#118149=EDGE_CURVE('',#55234,#54602,#41965,.T.); +#118152=EDGE_CURVE('',#55235,#54603,#41989,.T.); +#118156=ADVANCED_FACE('',(#118155),#118147,.F.); +#118163=EDGE_CURVE('',#55238,#54606,#42025,.T.); +#118166=EDGE_CURVE('',#55239,#54607,#42053,.T.); +#118170=ADVANCED_FACE('',(#118169),#118161,.F.); +#118176=EDGE_CURVE('',#55253,#54594,#41953,.T.); +#118178=EDGE_CURVE('',#55253,#55255,#41957,.T.); +#118180=EDGE_CURVE('',#55255,#54610,#41961,.T.); +#118185=ADVANCED_FACE('',(#118184),#118175,.T.); +#118193=EDGE_CURVE('',#54618,#55242,#41969,.T.); +#118195=EDGE_CURVE('',#55242,#54518,#42095,.T.); +#118197=EDGE_CURVE('',#54502,#54518,#42145,.T.); +#118202=ADVANCED_FACE('',(#118201),#118190,.T.); +#118209=EDGE_CURVE('',#55249,#54595,#41981,.T.); +#118211=EDGE_CURVE('',#55249,#55253,#42001,.T.); +#118216=ADVANCED_FACE('',(#118215),#118207,.F.); +#118223=EDGE_CURVE('',#55257,#54599,#42045,.T.); +#118225=EDGE_CURVE('',#55257,#55261,#42033,.T.); +#118227=EDGE_CURVE('',#55261,#54598,#42013,.T.); +#118231=ADVANCED_FACE('',(#118230),#118221,.F.); +#118239=EDGE_CURVE('',#55251,#54611,#41985,.T.); +#118241=EDGE_CURVE('',#55249,#55251,#42005,.T.); +#118245=ADVANCED_FACE('',(#118244),#118236,.T.); +#118253=EDGE_CURVE('',#54499,#54515,#41993,.T.); +#118255=EDGE_CURVE('',#54515,#55243,#42073,.T.); +#118257=EDGE_CURVE('',#54619,#55243,#41997,.T.); +#118262=ADVANCED_FACE('',(#118261),#118250,.T.); +#118270=EDGE_CURVE('',#55251,#55255,#42009,.T.); +#118275=ADVANCED_FACE('',(#118274),#118267,.F.); +#118282=EDGE_CURVE('',#55263,#54614,#42021,.T.); +#118284=EDGE_CURVE('',#55259,#55263,#42041,.T.); +#118286=EDGE_CURVE('',#55259,#54615,#42049,.T.); +#118290=ADVANCED_FACE('',(#118289),#118280,.F.); +#118302=ADVANCED_FACE('',(#118301),#118295,.T.); +#118309=EDGE_CURVE('',#55261,#55263,#42017,.T.); +#118315=ADVANCED_FACE('',(#118314),#118307,.T.); +#118323=EDGE_CURVE('',#54622,#55246,#42029,.T.); +#118325=EDGE_CURVE('',#55246,#54526,#42125,.T.); +#118327=EDGE_CURVE('',#54510,#54526,#42153,.T.); +#118332=ADVANCED_FACE('',(#118331),#118320,.T.); +#118339=EDGE_CURVE('',#55257,#55259,#42037,.T.); +#118345=ADVANCED_FACE('',(#118344),#118337,.T.); +#118357=ADVANCED_FACE('',(#118356),#118350,.T.); +#118365=EDGE_CURVE('',#54507,#54523,#42057,.T.); +#118367=EDGE_CURVE('',#54523,#55247,#42103,.T.); +#118369=EDGE_CURVE('',#54623,#55247,#42061,.T.); +#118374=ADVANCED_FACE('',(#118373),#118362,.T.); +#118381=EDGE_CURVE('',#54506,#54522,#42065,.T.); +#118383=EDGE_CURVE('',#54522,#54523,#42108,.T.); +#118388=ADVANCED_FACE('',(#118387),#118379,.F.); +#118396=EDGE_CURVE('',#54541,#54522,#42112,.T.); +#118401=ADVANCED_FACE('',(#118400),#118393,.T.); +#118407=EDGE_CURVE('',#55242,#55243,#42069,.T.); +#118410=EDGE_CURVE('',#54514,#54515,#42078,.T.); +#118412=EDGE_CURVE('',#54537,#54514,#42082,.T.); +#118415=EDGE_CURVE('',#54519,#54539,#42086,.T.); +#118417=EDGE_CURVE('',#54518,#54519,#42091,.T.); +#118422=ADVANCED_FACE('',(#118421),#118406,.T.); +#118428=EDGE_CURVE('',#55246,#55247,#42099,.T.); +#118434=EDGE_CURVE('',#54527,#54543,#42116,.T.); +#118436=EDGE_CURVE('',#54526,#54527,#42121,.T.); +#118441=ADVANCED_FACE('',(#118440),#118427,.T.); +#118453=ADVANCED_FACE('',(#118452),#118446,.F.); +#118465=ADVANCED_FACE('',(#118464),#118458,.F.); +#118472=EDGE_CURVE('',#54498,#54514,#42137,.T.); +#118478=ADVANCED_FACE('',(#118477),#118470,.F.); +#118490=ADVANCED_FACE('',(#118489),#118483,.T.); +#118497=EDGE_CURVE('',#54503,#54519,#42141,.T.); +#118503=ADVANCED_FACE('',(#118502),#118495,.T.); +#118515=ADVANCED_FACE('',(#118514),#118508,.T.); +#118522=EDGE_CURVE('',#54511,#54527,#42149,.T.); +#118528=ADVANCED_FACE('',(#118527),#118520,.T.); +#118540=ADVANCED_FACE('',(#118539),#118533,.T.); +#118546=EDGE_CURVE('',#55266,#55267,#42157,.T.); +#118548=EDGE_CURVE('',#55266,#54558,#42161,.T.); +#118550=EDGE_CURVE('',#54558,#54559,#42166,.T.); +#118552=EDGE_CURVE('',#54559,#54583,#42170,.T.); +#118555=EDGE_CURVE('',#54581,#54554,#42174,.T.); +#118557=EDGE_CURVE('',#54554,#54555,#42179,.T.); +#118559=EDGE_CURVE('',#54555,#55267,#42183,.T.); +#118563=ADVANCED_FACE('',(#118562),#118545,.F.); +#118569=EDGE_CURVE('',#55270,#55271,#42187,.T.); +#118571=EDGE_CURVE('',#55270,#54550,#42191,.T.); +#118573=EDGE_CURVE('',#54550,#54551,#42196,.T.); +#118575=EDGE_CURVE('',#54551,#54579,#42200,.T.); +#118578=EDGE_CURVE('',#54577,#54546,#42204,.T.); +#118580=EDGE_CURVE('',#54546,#54547,#42209,.T.); +#118582=EDGE_CURVE('',#54547,#55271,#42213,.T.); +#118586=ADVANCED_FACE('',(#118585),#118568,.F.); +#118593=EDGE_CURVE('',#55266,#54650,#42233,.T.); +#118596=EDGE_CURVE('',#55267,#54651,#42269,.T.); +#118600=ADVANCED_FACE('',(#118599),#118591,.F.); +#118607=EDGE_CURVE('',#55270,#54654,#42405,.T.); +#118610=EDGE_CURVE('',#55271,#54655,#42369,.T.); +#118614=ADVANCED_FACE('',(#118613),#118605,.F.); +#118620=EDGE_CURVE('',#55293,#54626,#42217,.T.); +#118623=EDGE_CURVE('',#55295,#54642,#42221,.T.); +#118625=EDGE_CURVE('',#55295,#55293,#42225,.T.); +#118629=ADVANCED_FACE('',(#118628),#118619,.T.); +#118635=EDGE_CURVE('',#54634,#55274,#42229,.T.); +#118637=EDGE_CURVE('',#55274,#54574,#42311,.T.); +#118639=EDGE_CURVE('',#54558,#54574,#42353,.T.); +#118646=ADVANCED_FACE('',(#118645),#118634,.T.); +#118654=EDGE_CURVE('',#55289,#55293,#42237,.T.); +#118656=EDGE_CURVE('',#55289,#54627,#42257,.T.); +#118660=ADVANCED_FACE('',(#118659),#118651,.F.); +#118667=EDGE_CURVE('',#55285,#54630,#42389,.T.); +#118669=EDGE_CURVE('',#55281,#55285,#42377,.T.); +#118671=EDGE_CURVE('',#55281,#54631,#42357,.T.); +#118675=ADVANCED_FACE('',(#118674),#118665,.F.); +#118683=EDGE_CURVE('',#55291,#55295,#42241,.T.); +#118685=EDGE_CURVE('',#55291,#55289,#42245,.T.); +#118689=ADVANCED_FACE('',(#118688),#118680,.T.); +#118696=EDGE_CURVE('',#55291,#54643,#42261,.T.); +#118702=ADVANCED_FACE('',(#118701),#118694,.F.); +#118709=EDGE_CURVE('',#55283,#54647,#42361,.T.); +#118711=EDGE_CURVE('',#55283,#55287,#42381,.T.); +#118713=EDGE_CURVE('',#55287,#54646,#42393,.T.); +#118717=ADVANCED_FACE('',(#118716),#118707,.F.); +#118729=ADVANCED_FACE('',(#118728),#118722,.T.); +#118735=EDGE_CURVE('',#54635,#55275,#42265,.T.); +#118740=EDGE_CURVE('',#54555,#54571,#42273,.T.); +#118742=EDGE_CURVE('',#54571,#55275,#42289,.T.); +#118746=ADVANCED_FACE('',(#118745),#118734,.T.); +#118754=EDGE_CURVE('',#55274,#55275,#42285,.T.); +#118759=ADVANCED_FACE('',(#118758),#118751,.F.); +#118766=EDGE_CURVE('',#54639,#55279,#42365,.T.); +#118768=EDGE_CURVE('',#55278,#55279,#42315,.T.); +#118770=EDGE_CURVE('',#54638,#55278,#42401,.T.); +#118774=ADVANCED_FACE('',(#118773),#118764,.F.); +#118782=EDGE_CURVE('',#54570,#54571,#42294,.T.); +#118784=EDGE_CURVE('',#54589,#54570,#42298,.T.); +#118787=EDGE_CURVE('',#54575,#54591,#42302,.T.); +#118789=EDGE_CURVE('',#54574,#54575,#42307,.T.); +#118794=ADVANCED_FACE('',(#118793),#118779,.T.); +#118801=EDGE_CURVE('',#54563,#55279,#42319,.T.); +#118803=EDGE_CURVE('',#54562,#54563,#42324,.T.); +#118805=EDGE_CURVE('',#54585,#54562,#42328,.T.); +#118808=EDGE_CURVE('',#54567,#54587,#42332,.T.); +#118810=EDGE_CURVE('',#54566,#54567,#42337,.T.); +#118812=EDGE_CURVE('',#55278,#54566,#42341,.T.); +#118816=ADVANCED_FACE('',(#118815),#118799,.T.); +#118823=EDGE_CURVE('',#54554,#54570,#42345,.T.); +#118829=ADVANCED_FACE('',(#118828),#118821,.F.); +#118841=ADVANCED_FACE('',(#118840),#118834,.T.); +#118848=EDGE_CURVE('',#54559,#54575,#42349,.T.); +#118854=ADVANCED_FACE('',(#118853),#118846,.T.); +#118866=ADVANCED_FACE('',(#118865),#118859,.T.); +#118873=EDGE_CURVE('',#55283,#55281,#42385,.T.); +#118879=ADVANCED_FACE('',(#118878),#118871,.T.); +#118889=EDGE_CURVE('',#54547,#54563,#42373,.T.); +#118894=ADVANCED_FACE('',(#118893),#118884,.T.); +#118901=EDGE_CURVE('',#55287,#55285,#42397,.T.); +#118907=ADVANCED_FACE('',(#118906),#118899,.T.); +#118919=ADVANCED_FACE('',(#118918),#118912,.T.); +#118927=EDGE_CURVE('',#54550,#54566,#42409,.T.); +#118934=ADVANCED_FACE('',(#118933),#118924,.T.); +#118943=EDGE_CURVE('',#54551,#54567,#42413,.T.); +#118947=ADVANCED_FACE('',(#118946),#118939,.T.); +#118959=ADVANCED_FACE('',(#118958),#118952,.T.); +#118966=EDGE_CURVE('',#54546,#54562,#42417,.T.); +#118972=ADVANCED_FACE('',(#118971),#118964,.F.); +#118984=ADVANCED_FACE('',(#118983),#118977,.T.); +#118990=EDGE_CURVE('',#55298,#55299,#42421,.T.); +#118992=EDGE_CURVE('',#55298,#54662,#42425,.T.); +#118994=EDGE_CURVE('',#54662,#54663,#42430,.T.); +#118996=EDGE_CURVE('',#54663,#54691,#42434,.T.); +#118999=EDGE_CURVE('',#54689,#54658,#42438,.T.); +#119001=EDGE_CURVE('',#54658,#54659,#42443,.T.); +#119003=EDGE_CURVE('',#54659,#55299,#42447,.T.); +#119007=ADVANCED_FACE('',(#119006),#118989,.F.); +#119013=EDGE_CURVE('',#55302,#55303,#42451,.T.); +#119015=EDGE_CURVE('',#55302,#54670,#42455,.T.); +#119017=EDGE_CURVE('',#54670,#54671,#42460,.T.); +#119019=EDGE_CURVE('',#54671,#54695,#42464,.T.); +#119022=EDGE_CURVE('',#54693,#54666,#42468,.T.); +#119024=EDGE_CURVE('',#54666,#54667,#42473,.T.); +#119026=EDGE_CURVE('',#54667,#55303,#42477,.T.); +#119030=ADVANCED_FACE('',(#119029),#119012,.F.); +#119037=EDGE_CURVE('',#55298,#54762,#42493,.T.); +#119040=EDGE_CURVE('',#55299,#54763,#42517,.T.); +#119044=ADVANCED_FACE('',(#119043),#119035,.F.); +#119051=EDGE_CURVE('',#55302,#54766,#42553,.T.); +#119054=EDGE_CURVE('',#55303,#54767,#42581,.T.); +#119058=ADVANCED_FACE('',(#119057),#119049,.F.); +#119064=EDGE_CURVE('',#55317,#54754,#42481,.T.); +#119066=EDGE_CURVE('',#55317,#55319,#42485,.T.); +#119068=EDGE_CURVE('',#55319,#54770,#42489,.T.); +#119073=ADVANCED_FACE('',(#119072),#119063,.T.); +#119081=EDGE_CURVE('',#54778,#55306,#42497,.T.); +#119083=EDGE_CURVE('',#55306,#54678,#42623,.T.); +#119085=EDGE_CURVE('',#54662,#54678,#42673,.T.); +#119090=ADVANCED_FACE('',(#119089),#119078,.T.); +#119097=EDGE_CURVE('',#55313,#54755,#42509,.T.); +#119099=EDGE_CURVE('',#55313,#55317,#42529,.T.); +#119104=ADVANCED_FACE('',(#119103),#119095,.F.); +#119111=EDGE_CURVE('',#55321,#54759,#42573,.T.); +#119113=EDGE_CURVE('',#55321,#55325,#42561,.T.); +#119115=EDGE_CURVE('',#55325,#54758,#42541,.T.); +#119119=ADVANCED_FACE('',(#119118),#119109,.F.); +#119127=EDGE_CURVE('',#55315,#54771,#42513,.T.); +#119129=EDGE_CURVE('',#55313,#55315,#42533,.T.); +#119133=ADVANCED_FACE('',(#119132),#119124,.T.); +#119141=EDGE_CURVE('',#54659,#54675,#42521,.T.); +#119143=EDGE_CURVE('',#54675,#55307,#42601,.T.); +#119145=EDGE_CURVE('',#54779,#55307,#42525,.T.); +#119150=ADVANCED_FACE('',(#119149),#119138,.T.); +#119158=EDGE_CURVE('',#55315,#55319,#42537,.T.); +#119163=ADVANCED_FACE('',(#119162),#119155,.F.); +#119170=EDGE_CURVE('',#55327,#54774,#42549,.T.); +#119172=EDGE_CURVE('',#55323,#55327,#42569,.T.); +#119174=EDGE_CURVE('',#55323,#54775,#42577,.T.); +#119178=ADVANCED_FACE('',(#119177),#119168,.F.); +#119190=ADVANCED_FACE('',(#119189),#119183,.T.); +#119197=EDGE_CURVE('',#55325,#55327,#42545,.T.); +#119203=ADVANCED_FACE('',(#119202),#119195,.T.); +#119211=EDGE_CURVE('',#54782,#55310,#42557,.T.); +#119213=EDGE_CURVE('',#55310,#54686,#42653,.T.); +#119215=EDGE_CURVE('',#54670,#54686,#42681,.T.); +#119220=ADVANCED_FACE('',(#119219),#119208,.T.); +#119227=EDGE_CURVE('',#55321,#55323,#42565,.T.); +#119233=ADVANCED_FACE('',(#119232),#119225,.T.); +#119245=ADVANCED_FACE('',(#119244),#119238,.T.); +#119253=EDGE_CURVE('',#54667,#54683,#42585,.T.); +#119255=EDGE_CURVE('',#54683,#55311,#42631,.T.); +#119257=EDGE_CURVE('',#54783,#55311,#42589,.T.); +#119262=ADVANCED_FACE('',(#119261),#119250,.T.); +#119269=EDGE_CURVE('',#54666,#54682,#42593,.T.); +#119271=EDGE_CURVE('',#54682,#54683,#42636,.T.); +#119276=ADVANCED_FACE('',(#119275),#119267,.F.); +#119284=EDGE_CURVE('',#54701,#54682,#42640,.T.); +#119289=ADVANCED_FACE('',(#119288),#119281,.T.); +#119295=EDGE_CURVE('',#55306,#55307,#42597,.T.); +#119298=EDGE_CURVE('',#54674,#54675,#42606,.T.); +#119300=EDGE_CURVE('',#54697,#54674,#42610,.T.); +#119303=EDGE_CURVE('',#54679,#54699,#42614,.T.); +#119305=EDGE_CURVE('',#54678,#54679,#42619,.T.); +#119310=ADVANCED_FACE('',(#119309),#119294,.T.); +#119316=EDGE_CURVE('',#55310,#55311,#42627,.T.); +#119322=EDGE_CURVE('',#54687,#54703,#42644,.T.); +#119324=EDGE_CURVE('',#54686,#54687,#42649,.T.); +#119329=ADVANCED_FACE('',(#119328),#119315,.T.); +#119341=ADVANCED_FACE('',(#119340),#119334,.F.); +#119353=ADVANCED_FACE('',(#119352),#119346,.F.); +#119360=EDGE_CURVE('',#54658,#54674,#42665,.T.); +#119366=ADVANCED_FACE('',(#119365),#119358,.F.); +#119378=ADVANCED_FACE('',(#119377),#119371,.T.); +#119385=EDGE_CURVE('',#54663,#54679,#42669,.T.); +#119391=ADVANCED_FACE('',(#119390),#119383,.T.); +#119403=ADVANCED_FACE('',(#119402),#119396,.T.); +#119410=EDGE_CURVE('',#54671,#54687,#42677,.T.); +#119416=ADVANCED_FACE('',(#119415),#119408,.T.); +#119428=ADVANCED_FACE('',(#119427),#119421,.T.); +#119434=EDGE_CURVE('',#55330,#55331,#42685,.T.); +#119436=EDGE_CURVE('',#55330,#54718,#42689,.T.); +#119438=EDGE_CURVE('',#54718,#54719,#42694,.T.); +#119440=EDGE_CURVE('',#54719,#54743,#42698,.T.); +#119443=EDGE_CURVE('',#54741,#54714,#42702,.T.); +#119445=EDGE_CURVE('',#54714,#54715,#42707,.T.); +#119447=EDGE_CURVE('',#54715,#55331,#42711,.T.); +#119451=ADVANCED_FACE('',(#119450),#119433,.F.); +#119457=EDGE_CURVE('',#55334,#55335,#42715,.T.); +#119459=EDGE_CURVE('',#55334,#54710,#42719,.T.); +#119461=EDGE_CURVE('',#54710,#54711,#42724,.T.); +#119463=EDGE_CURVE('',#54711,#54739,#42728,.T.); +#119466=EDGE_CURVE('',#54737,#54706,#42732,.T.); +#119468=EDGE_CURVE('',#54706,#54707,#42737,.T.); +#119470=EDGE_CURVE('',#54707,#55335,#42741,.T.); +#119474=ADVANCED_FACE('',(#119473),#119456,.F.); +#119481=EDGE_CURVE('',#55330,#54810,#42761,.T.); +#119484=EDGE_CURVE('',#55331,#54811,#42797,.T.); +#119488=ADVANCED_FACE('',(#119487),#119479,.F.); +#119495=EDGE_CURVE('',#55334,#54814,#42933,.T.); +#119498=EDGE_CURVE('',#55335,#54815,#42897,.T.); +#119502=ADVANCED_FACE('',(#119501),#119493,.F.); +#119508=EDGE_CURVE('',#55357,#54786,#42745,.T.); +#119511=EDGE_CURVE('',#55359,#54802,#42749,.T.); +#119513=EDGE_CURVE('',#55359,#55357,#42753,.T.); +#119517=ADVANCED_FACE('',(#119516),#119507,.T.); +#119523=EDGE_CURVE('',#54794,#55338,#42757,.T.); +#119525=EDGE_CURVE('',#55338,#54734,#42839,.T.); +#119527=EDGE_CURVE('',#54718,#54734,#42881,.T.); +#119534=ADVANCED_FACE('',(#119533),#119522,.T.); +#119542=EDGE_CURVE('',#55353,#55357,#42765,.T.); +#119544=EDGE_CURVE('',#55353,#54787,#42785,.T.); +#119548=ADVANCED_FACE('',(#119547),#119539,.F.); +#119555=EDGE_CURVE('',#55349,#54790,#42917,.T.); +#119557=EDGE_CURVE('',#55345,#55349,#42905,.T.); +#119559=EDGE_CURVE('',#55345,#54791,#42885,.T.); +#119563=ADVANCED_FACE('',(#119562),#119553,.F.); +#119571=EDGE_CURVE('',#55355,#55359,#42769,.T.); +#119573=EDGE_CURVE('',#55355,#55353,#42773,.T.); +#119577=ADVANCED_FACE('',(#119576),#119568,.T.); +#119584=EDGE_CURVE('',#55355,#54803,#42789,.T.); +#119590=ADVANCED_FACE('',(#119589),#119582,.F.); +#119597=EDGE_CURVE('',#55347,#54807,#42889,.T.); +#119599=EDGE_CURVE('',#55347,#55351,#42909,.T.); +#119601=EDGE_CURVE('',#55351,#54806,#42921,.T.); +#119605=ADVANCED_FACE('',(#119604),#119595,.F.); +#119617=ADVANCED_FACE('',(#119616),#119610,.T.); +#119623=EDGE_CURVE('',#54795,#55339,#42793,.T.); +#119628=EDGE_CURVE('',#54715,#54731,#42801,.T.); +#119630=EDGE_CURVE('',#54731,#55339,#42817,.T.); +#119634=ADVANCED_FACE('',(#119633),#119622,.T.); +#119642=EDGE_CURVE('',#55338,#55339,#42813,.T.); +#119647=ADVANCED_FACE('',(#119646),#119639,.F.); +#119654=EDGE_CURVE('',#54799,#55343,#42893,.T.); +#119656=EDGE_CURVE('',#55342,#55343,#42843,.T.); +#119658=EDGE_CURVE('',#54798,#55342,#42929,.T.); +#119662=ADVANCED_FACE('',(#119661),#119652,.F.); +#119670=EDGE_CURVE('',#54730,#54731,#42822,.T.); +#119672=EDGE_CURVE('',#54749,#54730,#42826,.T.); +#119675=EDGE_CURVE('',#54735,#54751,#42830,.T.); +#119677=EDGE_CURVE('',#54734,#54735,#42835,.T.); +#119682=ADVANCED_FACE('',(#119681),#119667,.T.); +#119689=EDGE_CURVE('',#54723,#55343,#42847,.T.); +#119691=EDGE_CURVE('',#54722,#54723,#42852,.T.); +#119693=EDGE_CURVE('',#54745,#54722,#42856,.T.); +#119696=EDGE_CURVE('',#54727,#54747,#42860,.T.); +#119698=EDGE_CURVE('',#54726,#54727,#42865,.T.); +#119700=EDGE_CURVE('',#55342,#54726,#42869,.T.); +#119704=ADVANCED_FACE('',(#119703),#119687,.T.); +#119711=EDGE_CURVE('',#54714,#54730,#42873,.T.); +#119717=ADVANCED_FACE('',(#119716),#119709,.F.); +#119729=ADVANCED_FACE('',(#119728),#119722,.T.); +#119736=EDGE_CURVE('',#54719,#54735,#42877,.T.); +#119742=ADVANCED_FACE('',(#119741),#119734,.T.); +#119754=ADVANCED_FACE('',(#119753),#119747,.T.); +#119761=EDGE_CURVE('',#55347,#55345,#42913,.T.); +#119767=ADVANCED_FACE('',(#119766),#119759,.T.); +#119777=EDGE_CURVE('',#54707,#54723,#42901,.T.); +#119782=ADVANCED_FACE('',(#119781),#119772,.T.); +#119789=EDGE_CURVE('',#55351,#55349,#42925,.T.); +#119795=ADVANCED_FACE('',(#119794),#119787,.T.); +#119807=ADVANCED_FACE('',(#119806),#119800,.T.); +#119815=EDGE_CURVE('',#54710,#54726,#42937,.T.); +#119822=ADVANCED_FACE('',(#119821),#119812,.T.); +#119831=EDGE_CURVE('',#54711,#54727,#42941,.T.); +#119835=ADVANCED_FACE('',(#119834),#119827,.T.); +#119847=ADVANCED_FACE('',(#119846),#119840,.T.); +#119854=EDGE_CURVE('',#54706,#54722,#42945,.T.); +#119860=ADVANCED_FACE('',(#119859),#119852,.F.); +#119872=ADVANCED_FACE('',(#119871),#119865,.T.); +#119878=EDGE_CURVE('',#55362,#55363,#42949,.T.); +#119880=EDGE_CURVE('',#55362,#54822,#42953,.T.); +#119882=EDGE_CURVE('',#54822,#54823,#42958,.T.); +#119884=EDGE_CURVE('',#54823,#54851,#42962,.T.); +#119887=EDGE_CURVE('',#54849,#54818,#42966,.T.); +#119889=EDGE_CURVE('',#54818,#54819,#42971,.T.); +#119891=EDGE_CURVE('',#54819,#55363,#42975,.T.); +#119895=ADVANCED_FACE('',(#119894),#119877,.F.); +#119901=EDGE_CURVE('',#55366,#55367,#42979,.T.); +#119903=EDGE_CURVE('',#55366,#54830,#42983,.T.); +#119905=EDGE_CURVE('',#54830,#54831,#42988,.T.); +#119907=EDGE_CURVE('',#54831,#54855,#42992,.T.); +#119910=EDGE_CURVE('',#54853,#54826,#42996,.T.); +#119912=EDGE_CURVE('',#54826,#54827,#43001,.T.); +#119914=EDGE_CURVE('',#54827,#55367,#43005,.T.); +#119918=ADVANCED_FACE('',(#119917),#119900,.F.); +#119925=EDGE_CURVE('',#55362,#54922,#43021,.T.); +#119928=EDGE_CURVE('',#55363,#54923,#43045,.T.); +#119932=ADVANCED_FACE('',(#119931),#119923,.F.); +#119939=EDGE_CURVE('',#55366,#54926,#43081,.T.); +#119942=EDGE_CURVE('',#55367,#54927,#43109,.T.); +#119946=ADVANCED_FACE('',(#119945),#119937,.F.); +#119952=EDGE_CURVE('',#55381,#54914,#43009,.T.); +#119954=EDGE_CURVE('',#55381,#55383,#43013,.T.); +#119956=EDGE_CURVE('',#55383,#54930,#43017,.T.); +#119961=ADVANCED_FACE('',(#119960),#119951,.T.); +#119969=EDGE_CURVE('',#54938,#55370,#43025,.T.); +#119971=EDGE_CURVE('',#55370,#54838,#43151,.T.); +#119973=EDGE_CURVE('',#54822,#54838,#43201,.T.); +#119978=ADVANCED_FACE('',(#119977),#119966,.T.); +#119985=EDGE_CURVE('',#55377,#54915,#43037,.T.); +#119987=EDGE_CURVE('',#55377,#55381,#43057,.T.); +#119992=ADVANCED_FACE('',(#119991),#119983,.F.); +#119999=EDGE_CURVE('',#55385,#54919,#43101,.T.); +#120001=EDGE_CURVE('',#55385,#55389,#43089,.T.); +#120003=EDGE_CURVE('',#55389,#54918,#43069,.T.); +#120007=ADVANCED_FACE('',(#120006),#119997,.F.); +#120015=EDGE_CURVE('',#55379,#54931,#43041,.T.); +#120017=EDGE_CURVE('',#55377,#55379,#43061,.T.); +#120021=ADVANCED_FACE('',(#120020),#120012,.T.); +#120029=EDGE_CURVE('',#54819,#54835,#43049,.T.); +#120031=EDGE_CURVE('',#54835,#55371,#43129,.T.); +#120033=EDGE_CURVE('',#54939,#55371,#43053,.T.); +#120038=ADVANCED_FACE('',(#120037),#120026,.T.); +#120046=EDGE_CURVE('',#55379,#55383,#43065,.T.); +#120051=ADVANCED_FACE('',(#120050),#120043,.F.); +#120058=EDGE_CURVE('',#55391,#54934,#43077,.T.); +#120060=EDGE_CURVE('',#55387,#55391,#43097,.T.); +#120062=EDGE_CURVE('',#55387,#54935,#43105,.T.); +#120066=ADVANCED_FACE('',(#120065),#120056,.F.); +#120078=ADVANCED_FACE('',(#120077),#120071,.T.); +#120085=EDGE_CURVE('',#55389,#55391,#43073,.T.); +#120091=ADVANCED_FACE('',(#120090),#120083,.T.); +#120099=EDGE_CURVE('',#54942,#55374,#43085,.T.); +#120101=EDGE_CURVE('',#55374,#54846,#43181,.T.); +#120103=EDGE_CURVE('',#54830,#54846,#43209,.T.); +#120108=ADVANCED_FACE('',(#120107),#120096,.T.); +#120115=EDGE_CURVE('',#55385,#55387,#43093,.T.); +#120121=ADVANCED_FACE('',(#120120),#120113,.T.); +#120133=ADVANCED_FACE('',(#120132),#120126,.T.); +#120141=EDGE_CURVE('',#54827,#54843,#43113,.T.); +#120143=EDGE_CURVE('',#54843,#55375,#43159,.T.); +#120145=EDGE_CURVE('',#54943,#55375,#43117,.T.); +#120150=ADVANCED_FACE('',(#120149),#120138,.T.); +#120157=EDGE_CURVE('',#54826,#54842,#43121,.T.); +#120159=EDGE_CURVE('',#54842,#54843,#43164,.T.); +#120164=ADVANCED_FACE('',(#120163),#120155,.F.); +#120172=EDGE_CURVE('',#54861,#54842,#43168,.T.); +#120177=ADVANCED_FACE('',(#120176),#120169,.T.); +#120183=EDGE_CURVE('',#55370,#55371,#43125,.T.); +#120186=EDGE_CURVE('',#54834,#54835,#43134,.T.); +#120188=EDGE_CURVE('',#54857,#54834,#43138,.T.); +#120191=EDGE_CURVE('',#54839,#54859,#43142,.T.); +#120193=EDGE_CURVE('',#54838,#54839,#43147,.T.); +#120198=ADVANCED_FACE('',(#120197),#120182,.T.); +#120204=EDGE_CURVE('',#55374,#55375,#43155,.T.); +#120210=EDGE_CURVE('',#54847,#54863,#43172,.T.); +#120212=EDGE_CURVE('',#54846,#54847,#43177,.T.); +#120217=ADVANCED_FACE('',(#120216),#120203,.T.); +#120229=ADVANCED_FACE('',(#120228),#120222,.F.); +#120241=ADVANCED_FACE('',(#120240),#120234,.F.); +#120248=EDGE_CURVE('',#54818,#54834,#43193,.T.); +#120254=ADVANCED_FACE('',(#120253),#120246,.F.); +#120266=ADVANCED_FACE('',(#120265),#120259,.T.); +#120273=EDGE_CURVE('',#54823,#54839,#43197,.T.); +#120279=ADVANCED_FACE('',(#120278),#120271,.T.); +#120291=ADVANCED_FACE('',(#120290),#120284,.T.); +#120298=EDGE_CURVE('',#54831,#54847,#43205,.T.); +#120304=ADVANCED_FACE('',(#120303),#120296,.T.); +#120316=ADVANCED_FACE('',(#120315),#120309,.T.); +#120322=EDGE_CURVE('',#55394,#55395,#43213,.T.); +#120324=EDGE_CURVE('',#55394,#54878,#43217,.T.); +#120326=EDGE_CURVE('',#54878,#54879,#43222,.T.); +#120328=EDGE_CURVE('',#54879,#54903,#43226,.T.); +#120331=EDGE_CURVE('',#54901,#54874,#43230,.T.); +#120333=EDGE_CURVE('',#54874,#54875,#43235,.T.); +#120335=EDGE_CURVE('',#54875,#55395,#43239,.T.); +#120339=ADVANCED_FACE('',(#120338),#120321,.F.); +#120345=EDGE_CURVE('',#55398,#55399,#43243,.T.); +#120347=EDGE_CURVE('',#55398,#54870,#43247,.T.); +#120349=EDGE_CURVE('',#54870,#54871,#43252,.T.); +#120351=EDGE_CURVE('',#54871,#54899,#43256,.T.); +#120354=EDGE_CURVE('',#54897,#54866,#43260,.T.); +#120356=EDGE_CURVE('',#54866,#54867,#43265,.T.); +#120358=EDGE_CURVE('',#54867,#55399,#43269,.T.); +#120362=ADVANCED_FACE('',(#120361),#120344,.F.); +#120369=EDGE_CURVE('',#55394,#54970,#43289,.T.); +#120372=EDGE_CURVE('',#55395,#54971,#43325,.T.); +#120376=ADVANCED_FACE('',(#120375),#120367,.F.); +#120383=EDGE_CURVE('',#55398,#54974,#43461,.T.); +#120386=EDGE_CURVE('',#55399,#54975,#43425,.T.); +#120390=ADVANCED_FACE('',(#120389),#120381,.F.); +#120396=EDGE_CURVE('',#55421,#54946,#43273,.T.); +#120399=EDGE_CURVE('',#55423,#54962,#43277,.T.); +#120401=EDGE_CURVE('',#55423,#55421,#43281,.T.); +#120405=ADVANCED_FACE('',(#120404),#120395,.T.); +#120411=EDGE_CURVE('',#54954,#55402,#43285,.T.); +#120413=EDGE_CURVE('',#55402,#54894,#43367,.T.); +#120415=EDGE_CURVE('',#54878,#54894,#43409,.T.); +#120422=ADVANCED_FACE('',(#120421),#120410,.T.); +#120430=EDGE_CURVE('',#55417,#55421,#43293,.T.); +#120432=EDGE_CURVE('',#55417,#54947,#43313,.T.); +#120436=ADVANCED_FACE('',(#120435),#120427,.F.); +#120443=EDGE_CURVE('',#55413,#54950,#43445,.T.); +#120445=EDGE_CURVE('',#55409,#55413,#43433,.T.); +#120447=EDGE_CURVE('',#55409,#54951,#43413,.T.); +#120451=ADVANCED_FACE('',(#120450),#120441,.F.); +#120459=EDGE_CURVE('',#55419,#55423,#43297,.T.); +#120461=EDGE_CURVE('',#55419,#55417,#43301,.T.); +#120465=ADVANCED_FACE('',(#120464),#120456,.T.); +#120472=EDGE_CURVE('',#55419,#54963,#43317,.T.); +#120478=ADVANCED_FACE('',(#120477),#120470,.F.); +#120485=EDGE_CURVE('',#55411,#54967,#43417,.T.); +#120487=EDGE_CURVE('',#55411,#55415,#43437,.T.); +#120489=EDGE_CURVE('',#55415,#54966,#43449,.T.); +#120493=ADVANCED_FACE('',(#120492),#120483,.F.); +#120505=ADVANCED_FACE('',(#120504),#120498,.T.); +#120511=EDGE_CURVE('',#54955,#55403,#43321,.T.); +#120516=EDGE_CURVE('',#54875,#54891,#43329,.T.); +#120518=EDGE_CURVE('',#54891,#55403,#43345,.T.); +#120522=ADVANCED_FACE('',(#120521),#120510,.T.); +#120530=EDGE_CURVE('',#55402,#55403,#43341,.T.); +#120535=ADVANCED_FACE('',(#120534),#120527,.F.); +#120542=EDGE_CURVE('',#54959,#55407,#43421,.T.); +#120544=EDGE_CURVE('',#55406,#55407,#43371,.T.); +#120546=EDGE_CURVE('',#54958,#55406,#43457,.T.); +#120550=ADVANCED_FACE('',(#120549),#120540,.F.); +#120558=EDGE_CURVE('',#54890,#54891,#43350,.T.); +#120560=EDGE_CURVE('',#54909,#54890,#43354,.T.); +#120563=EDGE_CURVE('',#54895,#54911,#43358,.T.); +#120565=EDGE_CURVE('',#54894,#54895,#43363,.T.); +#120570=ADVANCED_FACE('',(#120569),#120555,.T.); +#120577=EDGE_CURVE('',#54883,#55407,#43375,.T.); +#120579=EDGE_CURVE('',#54882,#54883,#43380,.T.); +#120581=EDGE_CURVE('',#54905,#54882,#43384,.T.); +#120584=EDGE_CURVE('',#54887,#54907,#43388,.T.); +#120586=EDGE_CURVE('',#54886,#54887,#43393,.T.); +#120588=EDGE_CURVE('',#55406,#54886,#43397,.T.); +#120592=ADVANCED_FACE('',(#120591),#120575,.T.); +#120599=EDGE_CURVE('',#54874,#54890,#43401,.T.); +#120605=ADVANCED_FACE('',(#120604),#120597,.F.); +#120617=ADVANCED_FACE('',(#120616),#120610,.T.); +#120624=EDGE_CURVE('',#54879,#54895,#43405,.T.); +#120630=ADVANCED_FACE('',(#120629),#120622,.T.); +#120642=ADVANCED_FACE('',(#120641),#120635,.T.); +#120649=EDGE_CURVE('',#55411,#55409,#43441,.T.); +#120655=ADVANCED_FACE('',(#120654),#120647,.T.); +#120665=EDGE_CURVE('',#54867,#54883,#43429,.T.); +#120670=ADVANCED_FACE('',(#120669),#120660,.T.); +#120677=EDGE_CURVE('',#55415,#55413,#43453,.T.); +#120683=ADVANCED_FACE('',(#120682),#120675,.T.); +#120695=ADVANCED_FACE('',(#120694),#120688,.T.); +#120703=EDGE_CURVE('',#54870,#54886,#43465,.T.); +#120710=ADVANCED_FACE('',(#120709),#120700,.T.); +#120719=EDGE_CURVE('',#54871,#54887,#43469,.T.); +#120723=ADVANCED_FACE('',(#120722),#120715,.T.); +#120735=ADVANCED_FACE('',(#120734),#120728,.T.); +#120742=EDGE_CURVE('',#54866,#54882,#43473,.T.); +#120748=ADVANCED_FACE('',(#120747),#120740,.F.); +#120760=ADVANCED_FACE('',(#120759),#120753,.T.); +#120768=EDGE_CURVE('',#44377,#44371,#43477,.T.); +#120772=ADVANCED_FACE('',(#120771),#120765,.F.); +#120781=EDGE_CURVE('',#44379,#44375,#43481,.T.); +#120785=ADVANCED_FACE('',(#120784),#120777,.T.); +#120796=ADVANCED_FACE('',(#120795),#120790,.T.); +#120802=EDGE_CURVE('',#51177,#45706,#43485,.T.); +#120804=EDGE_CURVE('',#51177,#51193,#43489,.T.); +#120806=EDGE_CURVE('',#51193,#45711,#43493,.T.); +#120808=EDGE_CURVE('',#45710,#45711,#43498,.T.); +#120810=EDGE_CURVE('',#45723,#45710,#43502,.T.); +#120813=EDGE_CURVE('',#45707,#45722,#43506,.T.); +#120815=EDGE_CURVE('',#45706,#45707,#43511,.T.); +#120819=ADVANCED_FACE('',(#120818),#120801,.F.); +#120825=EDGE_CURVE('',#51138,#51139,#43515,.T.); +#120828=EDGE_CURVE('',#51142,#51143,#43519,.T.); +#120830=EDGE_CURVE('',#51142,#51138,#43539,.T.); +#120834=ADVANCED_FACE('',(#120833),#120824,.T.); +#120841=EDGE_CURVE('',#51170,#51177,#43523,.T.); +#120844=EDGE_CURVE('',#45715,#45706,#43527,.T.); +#120846=EDGE_CURVE('',#45715,#51179,#43571,.T.); +#120848=EDGE_CURVE('',#51179,#51171,#43531,.T.); +#120852=ADVANCED_FACE('',(#120851),#120839,.T.); +#120859=EDGE_CURVE('',#51138,#51145,#43535,.T.); +#120861=EDGE_CURVE('',#51145,#51149,#43551,.T.); +#120866=ADVANCED_FACE('',(#120865),#120857,.F.); +#120874=EDGE_CURVE('',#51142,#51147,#43543,.T.); +#120876=EDGE_CURVE('',#51147,#51145,#43555,.T.); +#120880=ADVANCED_FACE('',(#120879),#120871,.T.); +#120888=EDGE_CURVE('',#51147,#51151,#43559,.T.); +#120893=ADVANCED_FACE('',(#120892),#120885,.F.); +#120905=ADVANCED_FACE('',(#120904),#120898,.T.); +#120912=EDGE_CURVE('',#51195,#51175,#43563,.T.); +#120914=EDGE_CURVE('',#51195,#45718,#43593,.T.); +#120916=EDGE_CURVE('',#45718,#45711,#43609,.T.); +#120919=EDGE_CURVE('',#51174,#51193,#43567,.T.); +#120923=ADVANCED_FACE('',(#120922),#120910,.T.); +#120929=EDGE_CURVE('',#51179,#51195,#43597,.T.); +#120936=ADVANCED_FACE('',(#120935),#120928,.F.); +#120943=EDGE_CURVE('',#45714,#45715,#43576,.T.); +#120945=EDGE_CURVE('',#45725,#45714,#43580,.T.); +#120948=EDGE_CURVE('',#45719,#45727,#43584,.T.); +#120950=EDGE_CURVE('',#45718,#45719,#43589,.T.); +#120956=ADVANCED_FACE('',(#120955),#120941,.T.); +#120963=EDGE_CURVE('',#45714,#45707,#43601,.T.); +#120969=ADVANCED_FACE('',(#120968),#120961,.T.); +#120981=ADVANCED_FACE('',(#120980),#120974,.T.); +#120989=EDGE_CURVE('',#45719,#45710,#43605,.T.); +#120994=ADVANCED_FACE('',(#120993),#120986,.T.); +#121006=ADVANCED_FACE('',(#121005),#120999,.F.); +#121018=ADVANCED_FACE('',(#121017),#121011,.F.); +#121024=EDGE_CURVE('',#51189,#45754,#43617,.T.); +#121026=EDGE_CURVE('',#51189,#51197,#43621,.T.); +#121028=EDGE_CURVE('',#51197,#45759,#43625,.T.); +#121030=EDGE_CURVE('',#45758,#45759,#43630,.T.); +#121032=EDGE_CURVE('',#45771,#45758,#43634,.T.); +#121035=EDGE_CURVE('',#45755,#45770,#43638,.T.); +#121037=EDGE_CURVE('',#45754,#45755,#43643,.T.); +#121041=ADVANCED_FACE('',(#121040),#121023,.F.); +#121047=EDGE_CURVE('',#51154,#51155,#43647,.T.); +#121049=EDGE_CURVE('',#51154,#51158,#43699,.T.); +#121051=EDGE_CURVE('',#51158,#51159,#43651,.T.); +#121056=ADVANCED_FACE('',(#121055),#121046,.T.); +#121063=EDGE_CURVE('',#51182,#51189,#43655,.T.); +#121066=EDGE_CURVE('',#45763,#45754,#43659,.T.); +#121068=EDGE_CURVE('',#45763,#51191,#43703,.T.); +#121070=EDGE_CURVE('',#51191,#51183,#43663,.T.); +#121074=ADVANCED_FACE('',(#121073),#121061,.T.); +#121082=EDGE_CURVE('',#51161,#51165,#43671,.T.); +#121084=EDGE_CURVE('',#51154,#51161,#43691,.T.); +#121088=ADVANCED_FACE('',(#121087),#121079,.F.); +#121096=EDGE_CURVE('',#51163,#51167,#43675,.T.); +#121098=EDGE_CURVE('',#51161,#51163,#43679,.T.); +#121102=ADVANCED_FACE('',(#121101),#121093,.T.); +#121109=EDGE_CURVE('',#51199,#51187,#43683,.T.); +#121111=EDGE_CURVE('',#51199,#45766,#43725,.T.); +#121113=EDGE_CURVE('',#45766,#45759,#43741,.T.); +#121116=EDGE_CURVE('',#51186,#51197,#43687,.T.); +#121120=ADVANCED_FACE('',(#121119),#121107,.T.); +#121127=EDGE_CURVE('',#51158,#51163,#43695,.T.); +#121133=ADVANCED_FACE('',(#121132),#121125,.F.); +#121145=ADVANCED_FACE('',(#121144),#121138,.T.); +#121151=EDGE_CURVE('',#51191,#51199,#43729,.T.); +#121158=ADVANCED_FACE('',(#121157),#121150,.F.); +#121165=EDGE_CURVE('',#45762,#45763,#43708,.T.); +#121167=EDGE_CURVE('',#45773,#45762,#43712,.T.); +#121170=EDGE_CURVE('',#45767,#45775,#43716,.T.); +#121172=EDGE_CURVE('',#45766,#45767,#43721,.T.); +#121178=ADVANCED_FACE('',(#121177),#121163,.T.); +#121185=EDGE_CURVE('',#45762,#45755,#43733,.T.); +#121191=ADVANCED_FACE('',(#121190),#121183,.T.); +#121203=ADVANCED_FACE('',(#121202),#121196,.T.); +#121211=EDGE_CURVE('',#45767,#45758,#43737,.T.); +#121216=ADVANCED_FACE('',(#121215),#121208,.T.); +#121228=ADVANCED_FACE('',(#121227),#121221,.F.); +#121240=ADVANCED_FACE('',(#121239),#121233,.F.); +#121246=EDGE_CURVE('',#51202,#51203,#43749,.T.); +#121248=EDGE_CURVE('',#51203,#45735,#43753,.T.); +#121250=EDGE_CURVE('',#45734,#45735,#43758,.T.); +#121252=EDGE_CURVE('',#45747,#45734,#43762,.T.); +#121255=EDGE_CURVE('',#45731,#45746,#43766,.T.); +#121257=EDGE_CURVE('',#45730,#45731,#43771,.T.); +#121259=EDGE_CURVE('',#51202,#45730,#43775,.T.); +#121263=ADVANCED_FACE('',(#121262),#121245,.F.); +#121270=EDGE_CURVE('',#51213,#51202,#43791,.T.); +#121273=EDGE_CURVE('',#51227,#51203,#43831,.T.); +#121277=ADVANCED_FACE('',(#121276),#121268,.F.); +#121283=EDGE_CURVE('',#51210,#51211,#43783,.T.); +#121286=EDGE_CURVE('',#51216,#51217,#43787,.T.); +#121288=EDGE_CURVE('',#51216,#51210,#43807,.T.); +#121292=ADVANCED_FACE('',(#121291),#121282,.T.); +#121300=EDGE_CURVE('',#45739,#45730,#43795,.T.); +#121302=EDGE_CURVE('',#45739,#51206,#43843,.T.); +#121304=EDGE_CURVE('',#51206,#51219,#43799,.T.); +#121309=ADVANCED_FACE('',(#121308),#121297,.T.); +#121316=EDGE_CURVE('',#51210,#51221,#43803,.T.); +#121318=EDGE_CURVE('',#51221,#51225,#43819,.T.); +#121323=ADVANCED_FACE('',(#121322),#121314,.F.); +#121331=EDGE_CURVE('',#51216,#51223,#43811,.T.); +#121333=EDGE_CURVE('',#51223,#51221,#43823,.T.); +#121337=ADVANCED_FACE('',(#121336),#121328,.T.); +#121345=EDGE_CURVE('',#51223,#51229,#43827,.T.); +#121350=ADVANCED_FACE('',(#121349),#121342,.F.); +#121362=ADVANCED_FACE('',(#121361),#121355,.T.); +#121370=EDGE_CURVE('',#51207,#51231,#43835,.T.); +#121372=EDGE_CURVE('',#51207,#45742,#43865,.T.); +#121374=EDGE_CURVE('',#45742,#45735,#43877,.T.); +#121379=ADVANCED_FACE('',(#121378),#121367,.T.); +#121385=EDGE_CURVE('',#51206,#51207,#43839,.T.); +#121392=ADVANCED_FACE('',(#121391),#121384,.F.); +#121400=EDGE_CURVE('',#45738,#45739,#43848,.T.); +#121402=EDGE_CURVE('',#45749,#45738,#43852,.T.); +#121405=EDGE_CURVE('',#45743,#45751,#43856,.T.); +#121407=EDGE_CURVE('',#45742,#45743,#43861,.T.); +#121412=ADVANCED_FACE('',(#121411),#121397,.T.); +#121419=EDGE_CURVE('',#45738,#45731,#43869,.T.); +#121425=ADVANCED_FACE('',(#121424),#121417,.T.); +#121437=ADVANCED_FACE('',(#121436),#121430,.T.); +#121445=EDGE_CURVE('',#45743,#45734,#43873,.T.); +#121450=ADVANCED_FACE('',(#121449),#121442,.T.); +#121462=ADVANCED_FACE('',(#121461),#121455,.F.); +#121468=EDGE_CURVE('',#51234,#51235,#43881,.T.); +#121470=EDGE_CURVE('',#51235,#45783,#43885,.T.); +#121472=EDGE_CURVE('',#45782,#45783,#43890,.T.); +#121474=EDGE_CURVE('',#45795,#45782,#43894,.T.); +#121477=EDGE_CURVE('',#45779,#45794,#43898,.T.); +#121479=EDGE_CURVE('',#45778,#45779,#43903,.T.); +#121481=EDGE_CURVE('',#51234,#45778,#43907,.T.); +#121485=ADVANCED_FACE('',(#121484),#121467,.F.); +#121492=EDGE_CURVE('',#51251,#51234,#43927,.T.); +#121495=EDGE_CURVE('',#51263,#51235,#43955,.T.); +#121499=ADVANCED_FACE('',(#121498),#121490,.F.); +#121505=EDGE_CURVE('',#51242,#51243,#43915,.T.); +#121507=EDGE_CURVE('',#51242,#51248,#43967,.T.); +#121509=EDGE_CURVE('',#51248,#51249,#43919,.T.); +#121514=ADVANCED_FACE('',(#121513),#121504,.T.); +#121520=EDGE_CURVE('',#51238,#51245,#43923,.T.); +#121525=EDGE_CURVE('',#45787,#45778,#43931,.T.); +#121527=EDGE_CURVE('',#45787,#51238,#43975,.T.); +#121531=ADVANCED_FACE('',(#121530),#121519,.T.); +#121539=EDGE_CURVE('',#51253,#51257,#43939,.T.); +#121541=EDGE_CURVE('',#51242,#51253,#43959,.T.); +#121545=ADVANCED_FACE('',(#121544),#121536,.F.); +#121553=EDGE_CURVE('',#51255,#51261,#43943,.T.); +#121555=EDGE_CURVE('',#51253,#51255,#43947,.T.); +#121559=ADVANCED_FACE('',(#121558),#121550,.T.); +#121565=EDGE_CURVE('',#51239,#51259,#43951,.T.); +#121567=EDGE_CURVE('',#51239,#45790,#43997,.T.); +#121569=EDGE_CURVE('',#45790,#45783,#44009,.T.); +#121576=ADVANCED_FACE('',(#121575),#121564,.T.); +#121583=EDGE_CURVE('',#51248,#51255,#43963,.T.); +#121589=ADVANCED_FACE('',(#121588),#121581,.F.); +#121601=ADVANCED_FACE('',(#121600),#121594,.T.); +#121607=EDGE_CURVE('',#51238,#51239,#43971,.T.); +#121614=ADVANCED_FACE('',(#121613),#121606,.F.); +#121622=EDGE_CURVE('',#45786,#45787,#43980,.T.); +#121624=EDGE_CURVE('',#45797,#45786,#43984,.T.); +#121627=EDGE_CURVE('',#45791,#45799,#43988,.T.); +#121629=EDGE_CURVE('',#45790,#45791,#43993,.T.); +#121634=ADVANCED_FACE('',(#121633),#121619,.T.); +#121641=EDGE_CURVE('',#45786,#45779,#44001,.T.); +#121647=ADVANCED_FACE('',(#121646),#121639,.T.); +#121659=ADVANCED_FACE('',(#121658),#121652,.T.); +#121667=EDGE_CURVE('',#45791,#45782,#44005,.T.); +#121672=ADVANCED_FACE('',(#121671),#121664,.T.); +#121684=ADVANCED_FACE('',(#121683),#121677,.F.); +#121693=EDGE_CURVE('',#45679,#45646,#44017,.T.); +#121697=ADVANCED_FACE('',(#121696),#121689,.T.); +#121704=EDGE_CURVE('',#45678,#45649,#44021,.T.); +#121710=ADVANCED_FACE('',(#121709),#121702,.T.); +#121717=EDGE_CURVE('',#45681,#45651,#44025,.T.); +#121723=ADVANCED_FACE('',(#121722),#121715,.T.); +#121730=EDGE_CURVE('',#45683,#45653,#44029,.T.); +#121736=ADVANCED_FACE('',(#121735),#121728,.T.); +#121743=EDGE_CURVE('',#45685,#45655,#44033,.T.); +#121749=ADVANCED_FACE('',(#121748),#121741,.T.); +#121756=EDGE_CURVE('',#45687,#45657,#44037,.T.); +#121762=ADVANCED_FACE('',(#121761),#121754,.T.); +#121769=EDGE_CURVE('',#45689,#45659,#44041,.T.); +#121775=ADVANCED_FACE('',(#121774),#121767,.T.); +#121782=EDGE_CURVE('',#45691,#45661,#44045,.T.); +#121788=ADVANCED_FACE('',(#121787),#121780,.T.); +#121795=EDGE_CURVE('',#45693,#45663,#44049,.T.); +#121801=ADVANCED_FACE('',(#121800),#121793,.T.); +#121808=EDGE_CURVE('',#45695,#45665,#44053,.T.); +#121814=ADVANCED_FACE('',(#121813),#121806,.T.); +#121821=EDGE_CURVE('',#45697,#45667,#44057,.T.); +#121827=ADVANCED_FACE('',(#121826),#121819,.T.); +#121834=EDGE_CURVE('',#45699,#45669,#44061,.T.); +#121840=ADVANCED_FACE('',(#121839),#121832,.T.); +#121852=ADVANCED_FACE('',(#121851),#121845,.T.); +#121855=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#121856=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#121859=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#121861=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT( +(#121860))GLOBAL_UNIT_ASSIGNED_CONTEXT((#121855,#121858,#121859))REPRESENTATION_CONTEXT('ID1','3')); +#121862=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#121854),#121861); +#121869=PRODUCT_DEFINITION('part definition','',#121868,#121865); +#121870=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR C-8-1393476-0.',#121869); +#121871=SHAPE_ASPECT('','solid data associated with C-8-1393476-0',#121870,.F.); +#121872=PROPERTY_DEFINITION('', +'shape for solid data with which properties are associated',#121871); +#121873=SHAPE_REPRESENTATION('',(#121854),#121861); +#121874=SHAPE_DEFINITION_REPRESENTATION(#121872,#121873); +#121875=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#121879=PROPERTY_DEFINITION('geometric validation property', +'area of C-8-1393476-0',#121871); +#121880=REPRESENTATION('surface area',(#121878),#121861); +#121881=PROPERTY_DEFINITION_REPRESENTATION(#121879,#121880); +#121882=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#121886=PROPERTY_DEFINITION('geometric validation property', +'volume of C-8-1393476-0',#121871); +#121887=REPRESENTATION('volume',(#121885),#121861); +#121888=PROPERTY_DEFINITION_REPRESENTATION(#121886,#121887); +#121890=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-8-1393476-0',#121871); +#121891=REPRESENTATION('centroid',(#121889),#121861); +#121892=PROPERTY_DEFINITION_REPRESENTATION(#121890,#121891); +#121893=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#121897=PROPERTY_DEFINITION('geometric validation property', +'area of C-8-1393476-0',#121870); +#121898=REPRESENTATION('surface area',(#121896),#121861); +#121899=PROPERTY_DEFINITION_REPRESENTATION(#121897,#121898); +#121900=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#121904=PROPERTY_DEFINITION('geometric validation property', +'volume of C-8-1393476-0',#121870); +#121905=REPRESENTATION('volume',(#121903),#121861); +#121906=PROPERTY_DEFINITION_REPRESENTATION(#121904,#121905); +#121908=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-8-1393476-0',#121870); +#121909=REPRESENTATION('centroid',(#121907),#121861); +#121910=PROPERTY_DEFINITION_REPRESENTATION(#121908,#121909); +#121911=SHAPE_DEFINITION_REPRESENTATION(#121870,#121862); +ENDSEC; +END-ISO-10303-21; diff --git a/proteus80vag.kicad_pcb b/proteus80vag.kicad_pcb new file mode 100644 index 0000000..ddc05a5 --- /dev/null +++ b/proteus80vag.kicad_pcb @@ -0,0 +1,39196 @@ +(kicad_pcb (version 20210824) (generator pcbnew) + + (general + (thickness 1.6) + ) + + (paper "A3") + (title_block + (title "proteusM73tu") + (date "2021-09-26") + (rev "a") + ) + + (layers + (0 "F.Cu" signal) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) + ) + + (setup + (stackup + (layer "F.SilkS" (type "Top Silk Screen") (color "White")) + (layer "F.Paste" (type "Top Solder Paste")) + (layer "F.Mask" (type "Top Solder Mask") (color "Green") (thickness 0.01)) + (layer "F.Cu" (type "copper") (thickness 0.035)) + (layer "dielectric 1" (type "core") (thickness 1.51) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02)) + (layer "B.Cu" (type "copper") (thickness 0.035)) + (layer "B.Mask" (type "Bottom Solder Mask") (color "Green") (thickness 0.01)) + (layer "B.Paste" (type "Bottom Solder Paste")) + (layer "B.SilkS" (type "Bottom Silk Screen") (color "White")) + (copper_finish "None") + (dielectric_constraints no) + ) + (pad_to_mask_clearance 0) + (aux_axis_origin 95 217.8) + (grid_origin 95 217.8) + (pcbplotparams + (layerselection 0x00010fc_ffffffff) + (disableapertmacros false) + (usegerberextensions true) + (usegerberattributes true) + (usegerberadvancedattributes true) + (creategerberjobfile true) + (svguseinch false) + (svgprecision 6) + (excludeedgelayer false) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (dxfpolygonmode true) + (dxfimperialunits true) + (dxfusepcbnewfont true) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (sketchpadsonfab false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory "gerber/") + ) + ) + + (net 0 "") + (net 1 "GND") + (net 2 "/5V_SENSOR_1") + (net 3 "/5V_SENSOR_2") + (net 4 "/12V_PROT") + (net 5 "/12V_MR") + (net 6 "/WBO_H-") + (net 7 "/WBO_R_Trim") + (net 8 "/WBO_Ip") + (net 9 "/WBO_Vs{slash}Ip") + (net 10 "/WBO_Vs") + (net 11 "Net-(J3-Pad1)") + (net 12 "Net-(J3-Pad2)") + (net 13 "Net-(J3-Pad4)") + (net 14 "Net-(J3-Pad5)") + (net 15 "Net-(M1-PadJ1)") + (net 16 "Net-(M1-PadW2)") + (net 17 "Net-(M1-PadW3)") + (net 18 "Net-(M1-PadW4)") + (net 19 "unconnected-(F1-Pad1)") + (net 20 "unconnected-(F2-Pad1)") + (net 21 "/OUT_IGN1") + (net 22 "Net-(Q1-Pad1)") + (net 23 "/GATE_IGN1") + + (footprint "hellen-one-common:PAD-TH" (layer "F.Cu") + (tedit 60A6BE4C) (tstamp 04595433-91f8-4b98-9476-fd0e7495f453) + (at 245.32 257.31) + (descr "Through hole pad") + (property "Sheetfile" "proteus80vag.kicad_sch") + (property "Sheetname" "") + (path "/4d36a048-946d-4e96-9db1-dac514a86acc") + (attr through_hole) + (fp_text reference "P1" (at 0 -2.25) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 45d2d6a2-bb4a-480f-99e8-b3e983c9f605) + ) + (fp_text value "Pad" (at 0 2.33) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 853ff337-2af4-4c9c-95cf-ecb4a0bd9c51) + ) + (fp_text user "${REFERENCE}" (at 0 -2.25) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 22c0299b-0fc2-4a99-adc5-fbc85d52c091) + ) + (fp_line (start -1.035538 -1.33) (end -1.33 -1.33) (layer "B.SilkS") (width 0.12) (tstamp 518d6d7c-69c5-4e79-b817-51c752fddfd4)) + (fp_line (start 1.035538 1.33) (end 1.33 1.33) (layer "B.SilkS") (width 0.12) (tstamp 5696fa3f-59ca-45e4-81b5-3a1c7577e958)) + (fp_line (start 1.33 -1.33) (end 1.33 1.33) (layer "B.SilkS") (width 0.12) (tstamp 6e218b7d-1f24-4cd4-91cf-a8b6276b4034)) + (fp_line (start 1.024373 -1.33) (end 1.33 -1.33) (layer "B.SilkS") (width 0.12) (tstamp 8217c02b-2c03-46e6-ac44-ba00057223dd)) + (fp_line (start -1.024373 1.33) (end -1.33 1.33) (layer "B.SilkS") (width 0.12) (tstamp c4aadad0-7e8d-4a23-b97a-5a22ec441473)) + (fp_line (start -1.33 1.33) (end -1.33 -1.33) (layer "B.SilkS") (width 0.12) (tstamp c993e1c5-d5ae-43f7-a4f9-9be63bc00a1e)) + (fp_line (start 1.035538 -1.33) (end 1.33 -1.33) (layer "F.SilkS") (width 0.12) (tstamp 3b08f4ce-8e12-4898-b3da-49bd038bc380)) + (fp_line (start 1.024373 1.33) (end 1.33 1.33) (layer "F.SilkS") (width 0.12) (tstamp 6770a434-de09-4751-a517-59c0afdf2ece)) + (fp_line (start -1.33 -1.33) (end -1.33 1.33) (layer "F.SilkS") (width 0.12) (tstamp 73949aed-1eae-4328-9852-31150b5dbdc4)) + (fp_line (start -1.035538 1.33) (end -1.33 1.33) (layer "F.SilkS") (width 0.12) (tstamp 969a523f-0a0e-4f4c-a4b4-0a82a19cff70)) + (fp_line (start -1.024373 -1.33) (end -1.33 -1.33) (layer "F.SilkS") (width 0.12) (tstamp d851faf8-90df-42ea-96c7-4e04bb7198af)) + (fp_line (start 1.33 1.33) (end 1.33 -1.33) (layer "F.SilkS") (width 0.12) (tstamp e754dc0a-0267-4a27-867f-89175b4d8916)) + (fp_line (start 1 -1) (end -1 -1) (layer "F.CrtYd") (width 0.05) (tstamp 087d7222-7ba6-4e09-85f6-f0c4012c4b7d)) + (fp_line (start 1 1) (end 1 -1) (layer "F.CrtYd") (width 0.05) (tstamp 3623ee42-eda4-412b-b717-dff420962d07)) + (fp_line (start -1 1) (end 1 1) (layer "F.CrtYd") (width 0.05) (tstamp e038f688-a6c1-4dfe-b83a-69340518668a)) + (fp_line (start -1 -1) (end -1 1) (layer "F.CrtYd") (width 0.05) (tstamp e2d57a21-ec9f-4590-83de-22ba091f99af)) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer "F.Fab") (width 0.1) (tstamp 5d969664-e9a0-4dee-914f-4b73ff5a25fb)) + (fp_line (start -1.27 1.27) (end -1.27 -0.635) (layer "F.Fab") (width 0.1) (tstamp 696bcef2-b649-4259-a02f-ce188457dc89)) + (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer "F.Fab") (width 0.1) (tstamp 77968677-ca5d-4cf4-9526-1a68b2b75d46)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer "F.Fab") (width 0.1) (tstamp d454a898-826c-4e31-a811-5c127453634f)) + (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer "F.Fab") (width 0.1) (tstamp f837adcd-fef7-4a47-b501-c54cfecf9d25)) + (pad "1" thru_hole circle locked (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pinfunction "Pin_1") (pintype "passive") (tstamp 6601315c-9617-4c81-9fff-91792547a337)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x01_P2.54mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "hellen-one-common:R0603" (layer "F.Cu") + (tedit 6026BB8E) (tstamp 28fc4301-0ef5-44a2-b9c4-7a9f33a30bea) + (at 259.83 241.986595) + (property "LCSC" "C23345") + (property "Sheetfile" "proteus80vag.kicad_sch") + (property "Sheetname" "") + (path "/7cddf22c-c095-477e-93a2-a09cb0272084") + (fp_text reference "R1" (at -1.235559 -0.653823 unlocked) (layer "F.SilkS") + (effects (font (size 0.82 0.82) (thickness 0.17)) (justify left bottom)) + (tstamp d5e86f83-449b-4615-b9fa-18d864bcac0e) + ) + (fp_text value "22" (at 0.195052 2.454814 unlocked) (layer "F.SilkS") hide + (effects (font (size 0.82 0.82) (thickness 0.17)) (justify left bottom)) + (tstamp af08994e-1c28-454a-89a1-579939069529) + ) + (fp_line (start -0.2 -0.374995) (end 0.2 -0.374985) (layer "F.SilkS") (width 0.2032) (tstamp 2cd3682f-4030-4ac7-a55a-534f2dfe6eb9)) + (fp_line (start -0.201056 0.37084) (end 0.198943 0.370851) (layer "F.SilkS") (width 0.2032) (tstamp cc64973f-8e71-438c-874c-636ecec671b0)) + (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.92) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1) + (net 22 "Net-(Q1-Pad1)") (pintype "passive") (tstamp 9f7c926f-f70b-4134-aaf4-c55e69a81b51)) + (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.92) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1) + (net 23 "/GATE_IGN1") (pintype "passive") (tstamp 4f834b21-75b1-4f9b-8715-8fea3b71fc53)) + (model ":hellen-one-models:0603 resistor.STEP" + (offset (xyz 0 0.4 0)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 -0 0)) + ) + ) + + (footprint "Resistor_SMD:R_2512_6332Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 29397a4e-9cf8-44fd-b49b-14616cb97760) + (at 262.525 238.565) + (descr "Resistor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "proteus80vag.kicad_sch") + (property "Sheetname" "") + (path "/f5751c1a-52b7-42ff-a8f1-1892babc4a4f") + (attr smd) + (fp_text reference "R2" (at 0 -2.62) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4d7fe2bd-3200-4dfb-8914-4fb257e443b8) + ) + (fp_text value "DNP" (at 0 2.62) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp cbbd8a2d-514a-4bff-af91-0a06b7c93e32) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 21cd7f0e-5764-491c-8eef-75671d61dde7) + ) + (fp_line (start -2.177064 1.71) (end 2.177064 1.71) (layer "F.SilkS") (width 0.12) (tstamp 161520fd-83e9-4ff5-b451-e57825239d1a)) + (fp_line (start -2.177064 -1.71) (end 2.177064 -1.71) (layer "F.SilkS") (width 0.12) (tstamp 7098b3e0-5eb7-40c3-8358-7b61f142be26)) + (fp_line (start -3.82 -1.92) (end 3.82 -1.92) (layer "F.CrtYd") (width 0.05) (tstamp 658b3043-9cbc-4cdf-9cf6-86faf62cb22b)) + (fp_line (start 3.82 1.92) (end -3.82 1.92) (layer "F.CrtYd") (width 0.05) (tstamp 8f4ce9de-7b47-4dc4-98fb-76f5ce908ffc)) + (fp_line (start 3.82 -1.92) (end 3.82 1.92) (layer "F.CrtYd") (width 0.05) (tstamp ccb50c36-754c-4b88-b533-ced64ba244fe)) + (fp_line (start -3.82 1.92) (end -3.82 -1.92) (layer "F.CrtYd") (width 0.05) (tstamp d629a9a2-8352-42c1-9195-47473fc29eb6)) + (fp_line (start 3.15 -1.6) (end 3.15 1.6) (layer "F.Fab") (width 0.1) (tstamp 020c8dd4-9d26-45cd-a656-bd9164809e51)) + (fp_line (start -3.15 1.6) (end -3.15 -1.6) (layer "F.Fab") (width 0.1) (tstamp 7c4ff0a6-ef93-4a49-a6f0-7fe908f5de4a)) + (fp_line (start -3.15 -1.6) (end 3.15 -1.6) (layer "F.Fab") (width 0.1) (tstamp 7fed446e-8861-48a7-9d43-210738ba3b49)) + (fp_line (start 3.15 1.6) (end -3.15 1.6) (layer "F.Fab") (width 0.1) (tstamp d7394ace-615d-42ff-b68a-0732f8bba9e5)) + (pad "1" smd roundrect locked (at -2.9625 0) (size 1.225 3.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.204082) + (net 23 "/GATE_IGN1") (pintype "passive") (tstamp cd8f9adc-c7cf-4468-b9d0-9c9488805829)) + (pad "2" smd roundrect locked (at 2.9625 0) (size 1.225 3.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.204082) + (net 21 "/OUT_IGN1") (pintype "passive") (tstamp 471c7d14-fdf7-4d2f-ac21-85838216cd2b)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_2512_6332Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:TO-263-2" (layer "F.Cu") + (tedit 5A70FB7B) (tstamp 3c0a1954-5622-4950-a1a8-9b5285cfe5c4) + (at 242.575 249.245) + (descr "TO-263 / D2PAK / DDPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-3-1/") + (tags "D2PAK DDPAK TO-263 D2PAK-3 TO-263-3 SOT-404") + (property "LCSC" "C898702") + (property "Sheetfile" "proteus80vag.kicad_sch") + (property "Sheetname" "") + (path "/7674b495-4df8-4183-80be-7745de771d59") + (attr smd) + (fp_text reference "Q1" (at 0 -6.65) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 58b93b01-cac5-4f2b-948d-7dc41a43ebfe) + ) + (fp_text value "ISL9V3040S3S" (at 0 6.65) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d71093c6-8a79-4a28-a9d8-74fb7c6f705c) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 87889df6-bff5-46e8-aa20-6efaf701b1ee) + ) + (fp_line (start -2.95 3.39) (end -4.05 3.39) (layer "F.SilkS") (width 0.12) (tstamp 0eba3ff1-4862-4887-b986-dd62288ca215)) + (fp_line (start -1.45 -5.2) (end -2.95 -5.2) (layer "F.SilkS") (width 0.12) (tstamp 89e4da25-44df-4b96-adf3-fbbb0de9383d)) + (fp_line (start -2.95 5.2) (end -2.95 3.39) (layer "F.SilkS") (width 0.12) (tstamp 99a546ed-613a-49ec-b193-c570759c0af0)) + (fp_line (start -1.45 5.2) (end -2.95 5.2) (layer "F.SilkS") (width 0.12) (tstamp b841411d-183e-4899-8ca9-09a976535175)) + (fp_line (start -2.95 -3.39) (end -8.075 -3.39) (layer "F.SilkS") (width 0.12) (tstamp cda15f70-4547-441d-9614-d3464df21166)) + (fp_line (start -2.95 -5.2) (end -2.95 -3.39) (layer "F.SilkS") (width 0.12) (tstamp f2ba9ee8-7075-4343-bc2e-07b1653c641b)) + (fp_line (start -8.32 5.65) (end 8.32 5.65) (layer "F.CrtYd") (width 0.05) (tstamp 51190bcf-1b07-406f-8bb2-7e09e8458b07)) + (fp_line (start -8.32 -5.65) (end -8.32 5.65) (layer "F.CrtYd") (width 0.05) (tstamp 86a64578-042e-4545-9fd1-5805dc441e7b)) + (fp_line (start 8.32 5.65) (end 8.32 -5.65) (layer "F.CrtYd") (width 0.05) (tstamp b8710be6-509f-4afb-ad1f-10fe0ada45ed)) + (fp_line (start 8.32 -5.65) (end -8.32 -5.65) (layer "F.CrtYd") (width 0.05) (tstamp cce3fb54-11ce-4ff2-95ce-a6085df8037e)) + (fp_line (start -2.75 5) (end -2.75 -4) (layer "F.Fab") (width 0.1) (tstamp 1d5e28fb-af00-418b-9d37-2e231237d838)) + (fp_line (start -7.45 -3.04) (end -7.45 -2.04) (layer "F.Fab") (width 0.1) (tstamp 247b600f-8fec-430a-bb30-a52aa28fba3c)) + (fp_line (start -2.75 -4) (end -1.75 -5) (layer "F.Fab") (width 0.1) (tstamp 3f7dd90b-0da7-4470-a769-a7f3fa36a057)) + (fp_line (start -7.45 3.04) (end -2.75 3.04) (layer "F.Fab") (width 0.1) (tstamp 4a07d187-b4da-4009-9914-977f2b2a1b01)) + (fp_line (start 7.5 5) (end 6.5 5) (layer "F.Fab") (width 0.1) (tstamp 4b6eb4f7-092f-46a0-9207-b9ea3a131405)) + (fp_line (start 6.5 -5) (end 6.5 5) (layer "F.Fab") (width 0.1) (tstamp 8784f878-f60c-4d48-b7c4-cae80e266866)) + (fp_line (start 6.5 -5) (end 7.5 -5) (layer "F.Fab") (width 0.1) (tstamp 89f99c8f-68d6-44ef-b904-762d935e311f)) + (fp_line (start -7.45 -2.04) (end -2.75 -2.04) (layer "F.Fab") (width 0.1) (tstamp 98e9adef-6a39-4a8b-b40d-dd6d84135452)) + (fp_line (start -2.75 2.04) (end -7.45 2.04) (layer "F.Fab") (width 0.1) (tstamp a59b8879-c4df-4bd4-bcab-0dd5b85c474d)) + (fp_line (start -7.45 2.04) (end -7.45 3.04) (layer "F.Fab") (width 0.1) (tstamp b1b52503-7157-416c-ad50-a6b2c9a23c5d)) + (fp_line (start -1.75 -5) (end 6.5 -5) (layer "F.Fab") (width 0.1) (tstamp c1524d24-e9ee-459a-8c6a-4348a110f27c)) + (fp_line (start -2.75 -3.04) (end -7.45 -3.04) (layer "F.Fab") (width 0.1) (tstamp d0a5c02e-2b13-4d37-a675-35125d89bf27)) + (fp_line (start 7.5 -5) (end 7.5 5) (layer "F.Fab") (width 0.1) (tstamp d2549857-4bf3-4f2f-9591-5501f20456c7)) + (fp_line (start 6.5 5) (end -2.75 5) (layer "F.Fab") (width 0.1) (tstamp f0c44ed7-bc1f-4ddb-a4ce-27809e6d6683)) + (pad "" smd rect locked (at 0.95 -2.775) (size 4.55 5.25) (layers "F.Paste") (tstamp 124321b6-f0e5-4b23-898b-64a1498ee13a)) + (pad "" smd rect locked (at 5.8 2.775) (size 4.55 5.25) (layers "F.Paste") (tstamp 19b638b2-d065-4bef-829f-674b8c994e99)) + (pad "" smd rect locked (at 5.8 -2.775) (size 4.55 5.25) (layers "F.Paste") (tstamp 3932817d-4b44-4629-894a-7fd96ca9d1f0)) + (pad "" smd rect locked (at 0.95 2.775) (size 4.55 5.25) (layers "F.Paste") (tstamp 8c0b2cac-68d9-4f19-a7ac-3bd43cee2657)) + (pad "1" smd rect locked (at -5.775 -2.54) (size 4.6 1.1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 22 "Net-(Q1-Pad1)") (pinfunction "G") (pintype "input") (tstamp 631d8098-35bd-4593-94b9-5a35d7cd31ae)) + (pad "2" smd rect locked (at 3.375 0) (size 9.4 10.8) (layers "F.Cu" "F.Mask") + (net 21 "/OUT_IGN1") (pinfunction "C") (pintype "passive") (tstamp 5992a233-0379-4ef5-aff4-3c034036cf9b)) + (pad "3" smd rect locked (at -5.775 2.54) (size 4.6 1.1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "E") (pintype "passive") (tstamp 2b5b4bff-2c3b-4e3a-9c1c-f89b1c4b390c)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/TO-263-2.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "hellen-one-wbo-0.2:wbo" (layer "F.Cu") + (tedit 60BA7551) (tstamp 4a8257ff-6663-4502-87a6-c82d5d974838) + (at 317.73 176.8) + (property "Sheetfile" "proteus80vag.kicad_sch") + (property "Sheetname" "") + (path "/2697616e-04bc-4c47-b6b7-0a3b39e6e0d7") + (fp_text reference "M1" (at 2.38 -15.86 unlocked) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c27ca686-081a-4ad2-bb47-f022940cdca8) + ) + (fp_text value "Module-wbo-0.2" (at 9.02 -16 unlocked) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2ea4a596-bfd9-4f48-9a22-16fd78f2aca6) + ) + (fp_rect (start 39.25 -15) (end 0.1 -0.1) (layer "B.SilkS") (width 0.2) (fill none) (tstamp 251e9ed2-7cbf-4555-80d7-4ed1d3fe6fe7)) + (fp_rect (start 39.25 -15) (end 0.1 -0.1) (layer "F.SilkS") (width 0.2) (fill none) (tstamp bb7e41bb-d6ec-47a5-962c-bf5d2a7eb896)) + (pad "E1" thru_hole circle locked (at 38.8 -1.65 90) (size 0.7 0.7) (drill 0.3) (layers *.Cu) + (net 10 "/WBO_Vs") (pinfunction "LSU_Un") (pintype "passive") (tstamp 6941d283-cc10-4e13-8e0b-b6545f264a51)) + (pad "E2" thru_hole circle locked (at 38.8 -2.65 90) (size 0.7 0.7) (drill 0.3) (layers *.Cu) + (net 9 "/WBO_Vs{slash}Ip") (pinfunction "LSU_Vm") (pintype "passive") (tstamp c0761c7a-6e04-4214-a048-d516da123eaf)) + (pad "E3" thru_hole circle locked (at 38.8 -3.65 90) (size 0.7 0.7) (drill 0.3) (layers *.Cu) + (net 8 "/WBO_Ip") (pinfunction "LSU_Ip") (pintype "passive") (tstamp 4d9544e5-d643-4a3b-a3f1-7311058bfeb0)) + (pad "E4" thru_hole circle locked (at 38.8 -4.65 90) (size 0.7 0.7) (drill 0.3) (layers *.Cu) + (net 7 "/WBO_R_Trim") (pinfunction "LSU_Rtrim") (pintype "passive") (tstamp ce2d40f9-6981-48c7-be92-1fa81b0d7b7f)) + (pad "E5" smd circle locked (at 37.55 -6.8) (size 3 3) (layers "F.Cu") + (net 6 "/WBO_H-") (pinfunction "LSU_H+") (pintype "passive") (tstamp 8ed717c8-2dd9-4fc2-891c-f4773f9c3b45)) + (pad "E6" smd circle locked (at 37.55 -10.3) (size 3 3) (layers "F.Cu") + (net 1 "GND") (pinfunction "LSU_H-") (pintype "passive") (tstamp fac01656-2b32-41f7-8f98-c9ceb89841b5)) + (pad "G" smd rect (at 19.675 -14.925 90) (size 0.25 39.25) (layers "F.Cu") + (net 1 "GND") (pinfunction "GND") (pintype "passive") (zone_connect 2) (tstamp 2478e823-98ef-48fa-9b8d-6a6d371c6751)) + (pad "G" smd rect (at 39.175 -10.175) (size 0.25 9.75) (layers "B.Cu") + (net 1 "GND") (pinfunction "GND") (pintype "passive") (zone_connect 2) (tstamp 45760636-dff1-4d64-acc3-59a907636a76)) + (pad "G" smd rect (at 19.675 -0.175 90) (size 0.25 39.25) (layers "B.Cu") + (net 1 "GND") (pinfunction "GND") (pintype "passive") (zone_connect 2) (tstamp 6682d181-6bec-4f3c-aa07-7757b487c538)) + (pad "G" smd rect (at 0.175 -0.775) (size 0.25 1.45) (layers "F.Cu") + (net 1 "GND") (pinfunction "GND") (pintype "passive") (zone_connect 2) (tstamp 75a8a38d-3ebf-4583-b56c-a8dc1f18d295)) + (pad "G" smd rect (at 0.175 -13.5) (size 0.25 3.1) (layers "B.Cu") + (net 1 "GND") (pinfunction "GND") (pintype "passive") (zone_connect 2) (tstamp 82b97a4a-caf0-4504-80e3-7256a9cb7b9f)) + (pad "G" smd rect (at 0.175 -13.5) (size 0.25 3.1) (layers "F.Cu") + (net 1 "GND") (pinfunction "GND") (pintype "passive") (zone_connect 2) (tstamp 89457d93-4401-4d54-a3bd-153c273adeda)) + (pad "G" smd rect (at 39.175 -13.575) (size 0.25 2.95) (layers "F.Cu") + (net 1 "GND") (pinfunction "GND") (pintype "passive") (zone_connect 2) (tstamp 8e9f0990-eaff-4eb4-aca8-003ad4a500f6)) + (pad "G" smd rect (at 19.675 -14.925 90) (size 0.25 39.25) (layers "B.Cu") + (net 1 "GND") (pinfunction "GND") (pintype "passive") (zone_connect 2) (tstamp a49de333-b86d-40ff-9d61-f5061d87a499)) + (pad "G" smd rect (at 0.175 -8.525) (size 0.25 2.25) (layers "F.Cu") + (net 1 "GND") (pinfunction "GND") (pintype "passive") (zone_connect 2) (tstamp a7040939-82fc-4c51-b52d-2ce97d8cdf8c)) + (pad "G" smd rect (at 39.175 -0.525) (size 0.25 0.95) (layers "F.Cu") + (net 1 "GND") (pinfunction "GND") (pintype "passive") (zone_connect 2) (tstamp b5fefac9-fdaf-4d31-b6a4-90e8411ab4f3)) + (pad "G" smd rect (at 19.675 -0.175 90) (size 0.25 39.25) (layers "F.Cu") + (net 1 "GND") (pinfunction "GND") (pintype "passive") (zone_connect 2) (tstamp babdf8cf-1f4d-4117-982d-3ce612fe7aaa)) + (pad "G" smd rect (at 0.175 -8.525) (size 0.25 2.25) (layers "B.Cu") + (net 1 "GND") (pinfunction "GND") (pintype "passive") (zone_connect 2) (tstamp bd0823fe-68b2-4c98-949f-ac6e84c01439)) + (pad "G" smd rect (at 39.175 -0.525) (size 0.25 0.95) (layers "B.Cu") + (net 1 "GND") (pinfunction "GND") (pintype "passive") (zone_connect 2) (tstamp cc3c205b-909c-4c02-b5e2-b50da5d16e9b)) + (pad "G" smd rect (at 0.175 -2.575) (size 0.25 5.05) (layers "B.Cu") + (net 1 "GND") (pinfunction "GND") (pintype "passive") (zone_connect 2) (tstamp d964c581-451a-4f1d-a08a-47639c29591d)) + (pad "J1" smd roundrect locked (at 9.55 -12.475 180) (size 0.5 1.5) (layers "F.Cu") (roundrect_rratio 0.25) + (net 15 "Net-(M1-PadJ1)") (pinfunction "SEL1") (pintype "passive") (tstamp 69e50dab-7ab2-4dd2-8df1-4706c7be9abc)) + (pad "J2" smd roundrect locked (at 7.375 -10.3 180) (size 1.5 0.5) (layers "F.Cu") (roundrect_rratio 0.25) + (pinfunction "SEL2") (pintype "passive+no_connect") (tstamp 02123185-cfc8-459e-b21d-c268d19e0a29)) + (pad "J_GND1" smd roundrect locked (at 8.75 -12.475 180) (size 0.5 1.5) (layers "F.Cu") (roundrect_rratio 0.25) + (net 15 "Net-(M1-PadJ1)") (pinfunction "PULL_DOWN1") (pintype "passive") (tstamp 12d24bbc-e2b1-48a1-885e-fafb59603879)) + (pad "J_GND2" smd roundrect locked (at 8.8 -10.3 270) (size 0.5 0.5) (layers "F.Cu") (roundrect_rratio 0.25) + (pinfunction "PULL_DOWN2") (pintype "passive+no_connect") (tstamp a5fe986d-4efc-413d-9abc-b5e8b8042c9e)) + (pad "J_VCC1" smd roundrect locked (at 9.55 -11.1 180) (size 0.5 0.5) (layers "F.Cu") (roundrect_rratio 0.25) + (pinfunction "PULL_UP1") (pintype "passive+no_connect") (tstamp 5a2a1214-39d2-4f99-b287-cf97d0c215f4)) + (pad "J_VCC2" smd roundrect locked (at 7.375 -11.1 180) (size 1.5 0.5) (layers "F.Cu") (roundrect_rratio 0.25) + (pinfunction "PULL_UP2") (pintype "passive+no_connect") (tstamp 06a3ba1d-ce50-463c-ace4-d2ba1152fb3e)) + (pad "W1" thru_hole circle locked (at 0.55 -11.3 90) (size 0.7 0.7) (drill 0.3) (layers *.Cu) + (net 3 "/5V_SENSOR_2") (pinfunction "V5_IN") (pintype "passive") (tstamp ceaf2c43-a96a-45ec-9e02-8d43a12552dc)) + (pad "W2" thru_hole circle locked (at 0.55 -10.3 90) (size 0.7 0.7) (drill 0.3) (layers *.Cu) + (net 16 "Net-(M1-PadW2)") (pinfunction "CAN_VIO") (pintype "passive") (tstamp f152e2a9-3d5f-4ff1-96e8-0d8c30a20a93)) + (pad "W3" thru_hole circle locked (at 0.55 -6.75 90) (size 0.7 0.7) (drill 0.3) (layers *.Cu) + (net 17 "Net-(M1-PadW3)") (pinfunction "CAN_RX") (pintype "passive") (tstamp df303ff4-53b9-4334-a411-2c14c77ec91d)) + (pad "W4" thru_hole circle locked (at 0.55 -5.75 90) (size 0.7 0.7) (drill 0.3) (layers *.Cu) + (net 18 "Net-(M1-PadW4)") (pinfunction "CAN_TX") (pintype "passive") (tstamp 77554204-91b7-4967-9fa4-7931e1fbf47d)) + (pad "W5" smd roundrect (at 0.4 -5) (size 0.5 0.25) (layers "F.Cu") (roundrect_rratio 0.5) + (net 14 "Net-(J3-Pad5)") (pinfunction "nReset") (pintype "passive") (tstamp 553ba9b4-b722-41ba-9b28-4f194d037ce5)) + (pad "W6" smd roundrect (at 0.4 -4.5) (size 0.5 0.25) (layers "F.Cu") (roundrect_rratio 0.5) + (net 13 "Net-(J3-Pad4)") (pinfunction "SWDIO") (pintype "passive") (tstamp 7c9a5912-bc10-47ca-b729-5820608ad399)) + (pad "W7" smd roundrect (at 0.4 -4) (size 0.5 0.25) (layers "F.Cu") (roundrect_rratio 0.5) + (net 12 "Net-(J3-Pad2)") (pinfunction "SWCLK") (pintype "passive") (tstamp 20f42952-450e-4a14-8e4b-9bcbfd7a01b8)) + (pad "W8" smd roundrect (at 0.4 -1.9) (size 0.5 0.25) (layers "F.Cu") (roundrect_rratio 0.5) + (net 11 "Net-(J3-Pad1)") (pinfunction "V33_OUT") (pintype "passive") (tstamp 7d271774-bab2-4eb1-bd2e-49dee5ddc42b)) + (zone (net 0) (net_name "") (layers *.Cu) (tstamp 00f69463-a0c6-40f7-9be6-9b3b47a6da64) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 356.905 176.625) + (xy 317.905 176.6) + (xy 317.905 161.875) + (xy 356.905 161.875) + ) + ) + ) + ) + + (footprint "hellen-one-can-0.1:can" (layer "F.Cu") + (tedit 0) (tstamp 4afa36a8-f2ce-49e8-9c54-750d30f8b66e) + (at 252.17 250.656674) + (property "Sheetfile" "proteus80vag.kicad_sch") + (property "Sheetname" "") + (path "/ade6cfb0-8ec1-44e7-b4a1-c4a3643afa51") + (zone_connect 2) + (attr through_hole) + (fp_text reference "M2" (at 0.254 -7.8486 unlocked) (layer "F.SilkS") hide + (effects (font (size 1.524 1.524) (thickness 0.254)) (justify left bottom)) + (tstamp 5940f632-bae7-46a8-b6f9-ae751655084e) + ) + (fp_text value "Module-can-0.1" (at -6.8453 2.2733 unlocked) (layer "F.SilkS") hide + (effects (font (size 1.524 1.524) (thickness 0.254)) (justify left bottom)) + (tstamp c40f2dbd-388a-4e60-b7cc-5e0dea75a108) + ) + (fp_line (start 0.375001 -0.15) (end 0.431203 -0.1) (layer "B.SilkS") (width 0.2) (tstamp 40207121-7039-447e-8733-350d0d845f5d)) + (fp_line (start 0.099997 -1.250005) (end 0.099997 -6.947827) (layer "B.SilkS") (width 0.2) (tstamp 5987a059-1078-44dd-9b2a-26a0a16a60b3)) + (fp_line (start 0.099997 -6.947827) (end 11.274997 -6.986674) (layer "B.SilkS") (width 0.2) (tstamp 8905e4a1-db3d-4eec-b7bf-5e141eabc2b2)) + (fp_line (start 0.375001 -0.15) (end 0.375001 -0.974999) (layer "B.SilkS") (width 0.2) (tstamp 923212b7-f306-467c-a65f-8a1c65f84bc8)) + (fp_line (start 0.099997 -1.250005) (end 0.375001 -0.974999) (layer "B.SilkS") (width 0.2) (tstamp a89c47c7-1fe1-4a0e-b9f4-7d274887f8e8)) + (fp_line (start 0.431203 -0.1) (end 11.274997 -0.1) (layer "B.SilkS") (width 0.2) (tstamp b1e49be8-addf-4afa-ba1f-81dc002e5f40)) + (fp_line (start 11.274997 -0.093797) (end 11.274997 -6.986674) (layer "B.SilkS") (width 0.2) (tstamp ea0936c6-fa2e-4e8d-a88b-51804634bc94)) + (fp_line (start 0.099997 -1.249998) (end 0.099997 -6.947479) (layer "F.SilkS") (width 0.2) (tstamp 004c7092-a0e5-427d-85eb-1c33e33c4115)) + (fp_line (start 0.375001 -0.1) (end 0.375003 -0.099997) (layer "F.SilkS") (width 0.2) (tstamp 197e112c-cb93-438c-a42a-f034287cbcc3)) + (fp_line (start 0.099997 -6.947479) (end 11.274997 -6.986326) (layer "F.SilkS") (width 0.2) (tstamp 2ea7f53a-54a9-4d67-85d5-c71ba4021d77)) + (fp_line (start 0.099997 -1.249998) (end 0.375001 -0.974994) (layer "F.SilkS") (width 0.2) (tstamp 3364dd1c-0e7f-4897-86db-b42ba7e598e7)) + (fp_line (start 0.375003 -0.099997) (end 11.274997 -0.099997) (layer "F.SilkS") (width 0.2) (tstamp 91c8e5e0-6ae1-4df0-9d06-f147ee31e2b5)) + (fp_line (start 11.274997 -0.099997) (end 11.274997 -6.986326) (layer "F.SilkS") (width 0.2) (tstamp b7a439bd-1bc3-4688-af9b-5f029901504f)) + (fp_line (start 0.375001 -0.1) (end 0.375001 -0.974994) (layer "F.SilkS") (width 0.2) (tstamp b7ce8f28-7cd3-436b-a88b-0cc07b55ab5b)) + (pad "E1" smd oval locked (at 0.199997 -4.1375 180) (size 0.2 5.669999) (layers "F.Cu" "F.Paste") + (net 1 "GND") (pinfunction "GND") (pintype "passive") (solder_mask_margin 0.1016) (solder_paste_margin -49.999999) (zone_connect 2) (tstamp 2fbb2094-77e9-49c2-aee7-b4dbf61d09de)) + (pad "E1" smd oval locked (at 11.174997 -3.537501 180) (size 0.2 6.799999) (layers "F.Cu" "F.Paste") + (net 1 "GND") (pinfunction "GND") (pintype "passive") (solder_mask_margin 0.1016) (solder_paste_margin -49.999999) (zone_connect 2) (tstamp 885acee2-3938-4e65-906f-b50160d7550d)) + (pad "E1" smd oval locked (at 6.374999 -0.275003 270) (size 0.399999 9.800001) (layers "F.Cu" "F.Paste") + (net 1 "GND") (pinfunction "GND") (pintype "passive") (solder_mask_margin 0.1016) (solder_paste_margin -49.999999) (zone_connect 2) (tstamp 94be92ef-1bca-452f-af0d-8279739b20b0)) + (pad "E1" smd oval locked (at 10.999993 -0.275003 180) (size 0.499999 0.25) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "GND") (pintype "passive") (solder_paste_margin -49.999999) (zone_connect 2) (tstamp a3be1ca1-25b5-4bcc-9f0d-c4b29a7c9301)) + (pad "E1" smd oval locked (at 5.674998 -6.875 90) (size 0.2 11.100001) (layers "F.Cu" "F.Paste") + (net 1 "GND") (pinfunction "GND") (pintype "passive") (solder_mask_margin 0.1016) (solder_paste_margin -49.999999) (zone_connect 2) (tstamp d420128c-ae9d-447c-8301-0ecf5902cdec)) + (pad "S1" smd oval locked (at 0.649999 -0.325001) (size 0.25 0.499999) (layers "F.Cu" "F.Paste" "F.Mask") + (pinfunction "CANL") (pintype "passive") (solder_paste_margin -49.999999) (zone_connect 2) (tstamp fdbc9cda-21ef-4594-8aec-1b32fdf1c5b8)) + (pad "S2" smd oval locked (at 1.15 -0.325001) (size 0.25 0.499999) (layers "F.Cu" "F.Paste" "F.Mask") + (pinfunction "CANH") (pintype "passive") (solder_paste_margin -49.999999) (zone_connect 2) (tstamp 03c4dd2b-f8fc-420b-9e65-b3a8654bfe29)) + (pad "V1" thru_hole circle locked (at 7.699997 -1.374999 180) (size 0.599999 0.599999) (drill 0.299999) (layers *.Cu *.Mask) + (net 3 "/5V_SENSOR_2") (pinfunction "V5") (pintype "passive") (solder_paste_margin -49.999999) (zone_connect 2) (tstamp 28806b39-18b6-4eb0-8755-190c8ac27d09)) + (pad "V2" thru_hole circle locked (at 6.824995 -1.899999 180) (size 0.599999 0.599999) (drill 0.299999) (layers *.Cu *.Mask) + (net 16 "Net-(M1-PadW2)") (pinfunction "CAN_VIO") (pintype "passive") (solder_paste_margin -49.999999) (zone_connect 2) (tstamp 6f92e277-aba1-49be-a766-e6e6d1f29c56)) + (pad "V5" thru_hole circle locked (at 7.999999 -2.649997 270) (size 0.599999 0.599999) (drill 0.299999) (layers *.Cu *.Mask) + (net 18 "Net-(M1-PadW4)") (pinfunction "CAN_TX") (pintype "passive") (solder_paste_margin -49.999999) (zone_connect 2) (tstamp c49e6bb4-52cb-4b64-894b-e58a83dbfeef)) + (pad "V6" thru_hole circle locked (at 7.924996 -6.199998 270) (size 0.599999 0.599999) (drill 0.299999) (layers *.Cu *.Mask) + (net 17 "Net-(M1-PadW3)") (pinfunction "CAN_RX") (pintype "passive") (solder_paste_margin -49.999999) (zone_connect 2) (tstamp 722ac173-5f69-4111-b973-0cb1d4047b8d)) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 215ebf29-1efa-4411-96d1-bc8a23bb6984) (hatch edge 0.2) + (connect_pads (clearance 0)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.2) (thermal_bridge_width 0.2)) + (polygon + (pts + (xy 252.397259 250.700972) + (xy 252.397259 249.738454) + (xy 252.194465 249.53566) + (xy 252.457487 249.272638) + (xy 252.457487 243.846548) + (xy 263.282439 243.846548) + (xy 263.282439 250.209065) + (xy 253.780401 250.209065) + (xy 253.607353 250.382113) + (xy 253.607353 250.700972) + ) + ) + ) + ) + + (footprint "lib:8-1393476-0" (layer "F.Cu") + (tedit 61520E1A) (tstamp 64c277da-3ab5-4cbc-ab6d-97e1529ddbf7) + (at 218.461248 84.8 180) + (property "Sheetfile" "proteus80vag.kicad_sch") + (property "Sheetname" "") + (path "/721c225e-99e1-47d5-b951-a27a532d03ef") + (attr through_hole) + (fp_text reference "J1" (at -69.100075 -7.810275) (layer "F.SilkS") + (effects (font (size 1.001961 1.001961) (thickness 0.015))) + (tstamp 83c4195e-fee6-44a3-9cf3-d36ab72ee8ae) + ) + (fp_text value "8-1393476-0" (at -63.41649 33.503735) (layer "F.Fab") + (effects (font (size 1.00026 1.00026) (thickness 0.015))) + (tstamp e660a47d-1000-421c-a66d-3a2a2002a0d0) + ) + (fp_text user "PCB~{EDGE}" (at 10.5063 21.763) (layer "F.Fab") + (effects (font (size 1.400835 1.400835) (thickness 0.015))) + (tstamp 67271433-39f9-496e-bb20-004f4598ed9b) + ) + (fp_line (start 71.95 32.24) (end 71.95 -6.76) (layer "F.SilkS") (width 0.127) (tstamp 5dfd0550-b8d4-478e-b96f-5b15d58ba362)) + (fp_line (start -71.95 -6.76) (end -71.95 32.24) (layer "F.SilkS") (width 0.127) (tstamp 638ee9f1-7b51-4506-8ea1-6e00fe603c8e)) + (fp_line (start -71.95 32.24) (end 71.95 32.24) (layer "F.SilkS") (width 0.127) (tstamp 6a4601ca-51ec-466e-bab7-c27b3a4a07fb)) + (fp_line (start 71.95 -6.76) (end -71.95 -6.76) (layer "F.SilkS") (width 0.127) (tstamp eb985da9-2c94-42a0-8da6-8753f0cba67d)) + (fp_circle (center -56 -7.5) (end -55.85 -7.5) (layer "F.SilkS") (width 0.3) (fill none) (tstamp 92b3f393-a0e3-4a29-8f4b-c08b49e3b22e)) + (fp_line (start -72.25 32.5) (end 72.25 32.5) (layer "F.CrtYd") (width 0.05) (tstamp 3679dc93-df53-4330-b8c2-0fcc2b41fe29)) + (fp_line (start 72.25 32.5) (end 72.25 -7) (layer "F.CrtYd") (width 0.05) (tstamp 5614699d-3daf-4bfa-bfbc-0cc2bda0a224)) + (fp_line (start -72.25 -7) (end -72.25 32.5) (layer "F.CrtYd") (width 0.05) (tstamp d005dd73-e606-46d8-afc7-9e863a64fd14)) + (fp_line (start 72.25 -7) (end -72.25 -7) (layer "F.CrtYd") (width 0.05) (tstamp d7efd5e7-3856-4520-9037-103d809d2f46)) + (fp_line (start 71.95 19.54) (end 71.95 -6.76) (layer "F.Fab") (width 0.127) (tstamp 088c2a32-011a-4249-8cb1-ce114e95840f)) + (fp_line (start 71.95 -6.76) (end -71.95 -6.76) (layer "F.Fab") (width 0.127) (tstamp 0ed13a36-78ab-4771-96b7-ec35650e7175)) + (fp_line (start -71.95 32.24) (end 71.95 32.24) (layer "F.Fab") (width 0.127) (tstamp 1aa0c407-88b0-4a7f-9e12-abf627dfb60b)) + (fp_line (start -71.95 -6.76) (end -71.95 19.54) (layer "F.Fab") (width 0.127) (tstamp 2ba98679-619c-4bf9-aa4a-b04b3718dea4)) + (fp_line (start -71.95 19.54) (end -71.95 32.24) (layer "F.Fab") (width 0.127) (tstamp 5f921cd8-5df8-4c1b-9dea-6bfdf83c9b27)) + (fp_line (start -71.95 19.54) (end 71.95 19.54) (layer "F.Fab") (width 0.127) (tstamp 77968b12-7ce9-4b31-a183-bd4d6f89efd4)) + (fp_line (start 71.95 32.24) (end 71.95 19.54) (layer "F.Fab") (width 0.127) (tstamp 77d1a27e-7ab5-4f5e-9ed7-33cfb5689451)) + (pad "" np_thru_hole circle locked (at -63.16 4.54 180) (size 3.7 3.7) (drill 3.7) (layers *.Cu *.Mask) (tstamp 72765595-6798-4f06-8fc6-e1c6709e2f1c)) + (pad "" np_thru_hole circle locked (at 63.6 4.54 180) (size 3.7 3.7) (drill 3.7) (layers *.Cu *.Mask) (tstamp 891159db-2926-4442-b977-b648684dbf8a)) + (pad "1" thru_hole rect locked (at -55.9 -4.96 180) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask) (tstamp ea2ebad9-8bc9-486d-9e1e-97561b809bcc)) + (pad "2" thru_hole circle locked (at -49.4 -4.96 180) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask) (tstamp 0ba4b004-1578-4ae4-9443-ef80b4b9e4c2)) + (pad "3" thru_hole circle locked (at -40.65 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp da708fe5-2a56-4271-9fea-19d834129a59)) + (pad "4" thru_hole circle locked (at -36.35 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 170ff6a8-528c-4b15-823e-47a75f158866)) + (pad "5" thru_hole circle locked (at -32.05 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp b0847f5e-a8f1-4a8b-8f3d-595d3d331576)) + (pad "6" thru_hole circle locked (at -27.75 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 77814df8-1f06-4a0e-a85f-cd8c76924530)) + (pad "7" thru_hole circle locked (at -23.45 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 717eb23a-d3fc-4775-adb5-2163ef9a33af)) + (pad "8" thru_hole circle locked (at -19.15 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 7b293399-64c4-446e-abf6-c4f8d7316ef9)) + (pad "9" thru_hole circle locked (at -14.85 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 3b01255a-e517-4719-83ce-2d5731b0109e)) + (pad "10" thru_hole circle locked (at -10.55 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp ddf928d0-8690-4fb1-82b9-be62587a80f9)) + (pad "11" thru_hole circle locked (at -6.25 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 7a716a6d-8f16-48e7-ac6f-81ee5c062bf1)) + (pad "12" thru_hole circle locked (at -1.95 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp c99d948b-0449-4982-b2ed-ff0ea9b08e73)) + (pad "13" thru_hole circle locked (at 2.35 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp aaef1f5e-74a8-458f-9304-71b8e7ae7717)) + (pad "14" thru_hole circle locked (at 6.65 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp ea3785d0-880d-46f9-afb1-fc274998bff9)) + (pad "15" thru_hole circle locked (at -38.5 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 9bd7643b-7168-43de-8e61-5afb03bc9554)) + (pad "16" thru_hole circle locked (at -34.2 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 9dac56ea-71b5-4237-acc8-82aa0b25e078)) + (pad "17" thru_hole circle locked (at -29.9 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 903d9766-bc09-4546-a54c-03611fb008ac)) + (pad "18" thru_hole circle locked (at -25.6 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp cc54fdaa-024b-47b6-ba24-2c71f800bade)) + (pad "19" thru_hole circle locked (at -21.3 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp cdf79a82-df4e-45a4-b992-9ff3e2451c00)) + (pad "20" thru_hole circle locked (at -17 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 028549e4-0b9a-4f2a-b08e-b7af283b55e5)) + (pad "21" thru_hole circle locked (at -12.7 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 6497075a-d6a1-4bea-895b-0a40da82e608)) + (pad "22" thru_hole circle locked (at -8.4 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp b4fd6729-cc97-4633-abd0-ccfb8ccde3e1)) + (pad "23" thru_hole circle locked (at -4.1 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp d1a6d653-dab5-4cd4-aba2-ca3a974c2037)) + (pad "24" thru_hole circle locked (at 0.2 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 3019bfb1-aa59-4746-9681-9f0890c5ad17)) + (pad "25" thru_hole circle locked (at 4.5 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp b7b99ba8-1838-4ffe-bf0b-4a2878758bfc)) + (pad "26" thru_hole circle locked (at 8.8 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 0c6b216b-8426-42a6-ba4a-42a4a01404b9)) + (pad "27" thru_hole circle locked (at -52.65 2.54 180) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask) (tstamp 72ade750-2808-4924-864c-5494ca068727)) + (pad "28" thru_hole circle locked (at -46.15 2.54 180) (size 2.1 2.1) (drill 1.4) (layers *.Cu *.Mask) (tstamp 358b42b0-048e-46e3-8dc0-8f6e879c9541)) + (pad "29" thru_hole circle locked (at -40.65 -2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp d55fb421-8c39-46d4-8a57-171c8645f5c8)) + (pad "30" thru_hole circle locked (at -36.35 -2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 2a864d24-7bab-469e-aea8-33a3da70f4dc)) + (pad "31" thru_hole circle locked (at -32.05 -2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp f07542c3-2254-4054-b764-47235e972223)) + (pad "32" thru_hole circle locked (at -27.75 -2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp d82eabd5-6def-4841-979d-cf6236462be4)) + (pad "33" thru_hole circle locked (at -23.45 -2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 601010b7-b4fa-4924-8138-d470b7816580)) + (pad "34" thru_hole circle locked (at -19.15 -2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp b11c9789-cf31-4231-8c6c-910ffca3ffcf)) + (pad "35" thru_hole circle locked (at -14.85 -2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp ce7efed8-d89e-4102-bd03-83b8f0a4e1ca)) + (pad "36" thru_hole circle locked (at -10.55 -2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 0e33955f-0ad3-4926-a6d6-4ac0e2c3b61d)) + (pad "37" thru_hole circle locked (at -6.25 -2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 3634bf4e-3929-48d1-b67f-fb36e9492a15)) + (pad "38" thru_hole circle locked (at -1.95 -2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp fb2c497b-d250-4bc9-b2c4-a24bec488a83)) + (pad "39" thru_hole circle locked (at 2.35 -2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp fd66ab32-aed8-430c-bd87-bdd230a9a8f0)) + (pad "40" thru_hole circle locked (at 6.65 -2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 7af2169c-45d4-43dc-a590-7b745ad9cdf1)) + (pad "41" thru_hole circle locked (at -38.5 0 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 3a9728d1-979a-41c5-b1a3-d75d543262e8)) + (pad "42" thru_hole circle locked (at -34.2 0 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 597e2039-9e22-4353-9621-81bf635aab20)) + (pad "43" thru_hole circle locked (at -29.9 0 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 3ce74dd8-56a6-46ce-b6a2-965924118b60)) + (pad "44" thru_hole circle locked (at -25.6 0 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp c7770be4-4bf7-4fdd-abb7-86717527ab3c)) + (pad "45" thru_hole circle locked (at -21.3 0 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp b91e4c01-e055-4c96-96f8-27de126d3bb3)) + (pad "46" thru_hole circle locked (at -17 0 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 75ec4837-2729-4ffa-8706-4c00086f4484)) + (pad "47" thru_hole circle locked (at -12.7 0 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 88fc62d7-2fde-4c70-a42a-fa5ffa8115a3)) + (pad "48" thru_hole circle locked (at -8.4 0 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp b17df099-e92c-4d69-b53a-191081b8d2f6)) + (pad "49" thru_hole circle locked (at -4.1 0 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 28436f7f-1a7b-4ce6-81b6-6bdce9da4bf3)) + (pad "50" thru_hole circle locked (at 0.2 0 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 11c4fab1-d45f-46cf-954b-071d55f4f4ce)) + (pad "51" thru_hole circle locked (at 4.5 0 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp eec374be-0de9-4fc5-a438-ac8ad4d71350)) + (pad "52" thru_hole circle locked (at 8.8 0 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 9a64f4f4-9da3-4f86-b55b-7dfe8599f6f7)) + (pad "53" thru_hole circle locked (at 24.45 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp d9b5a47a-121c-4c6a-93a5-2b29fa16d0af)) + (pad "54" thru_hole circle locked (at 28.75 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 5e4dd8fa-b1ca-420b-a530-2c21b17ce7dc)) + (pad "55" thru_hole circle locked (at 33.05 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 53ad5d78-fcd8-4c79-949b-75d16831feaa)) + (pad "56" thru_hole circle locked (at 37.35 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp ef438654-fd95-4a12-8907-fbc02940522b)) + (pad "57" thru_hole circle locked (at 41.65 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 3006d60d-6d33-40aa-bf8c-66e14a07eafd)) + (pad "58" thru_hole circle locked (at 45.95 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp f07edf56-e493-4972-aa9b-1dd97f2dd079)) + (pad "59" thru_hole circle locked (at 50.25 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp e1cbc95e-5d14-4b55-ace5-f0d74db826cb)) + (pad "60" thru_hole circle locked (at 26.6 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 9548a5d2-8865-44d7-a4e2-4e65dabcbb60)) + (pad "61" thru_hole circle locked (at 30.9 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 894bf8cc-d17b-4602-80db-e7182bbd880f)) + (pad "62" thru_hole circle locked (at 35.2 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 6ee7be59-15e1-4265-9460-6a3d05aed2ba)) + (pad "63" thru_hole circle locked (at 39.5 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp ca97c94c-20b5-4042-9729-521cfe6c2af0)) + (pad "64" thru_hole circle locked (at 43.8 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 7d434858-e2db-4b22-9d6b-32ee8e5bf386)) + (pad "65" thru_hole circle locked (at 48.1 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 1408d763-7f3b-44ef-9015-e76015837872)) + (pad "66" thru_hole circle locked (at 52.4 2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 883324dc-fade-4566-a415-5bfbe70a3d1d)) + (pad "67" thru_hole circle locked (at 24.45 -2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 7e2c3191-847b-4a0a-8a0c-4c63bd66cc75)) + (pad "68" thru_hole circle locked (at 28.75 -2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp c31c0b7d-3603-4b4e-87c8-4bf5e03f4266)) + (pad "69" thru_hole circle locked (at 33.05 -2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 1468a6c5-4af8-4a62-af9c-b1ce012e5612)) + (pad "70" thru_hole circle locked (at 37.35 -2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 7969f770-c9c3-4339-ae7b-4713be3c7a42)) + (pad "71" thru_hole circle locked (at 41.65 -2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 45a4b52c-9321-4aaf-9952-74425b89de2b)) + (pad "72" thru_hole circle locked (at 45.95 -2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 5aed4bc3-532a-476a-acd2-f2f1c0497f6a)) + (pad "73" thru_hole circle locked (at 50.25 -2.54 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 5db5a0f9-ac7b-46d0-bf35-2ee2ff710353)) + (pad "74" thru_hole circle locked (at 26.6 0 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp d0e081c9-8ff4-4f0b-b56e-ad4dbe081459)) + (pad "75" thru_hole circle locked (at 30.9 0 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 1216a1ee-dfa1-4057-8645-3627efc72235)) + (pad "76" thru_hole circle locked (at 35.2 0 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp d8a3a632-7f97-49fc-ad4e-7eca2934cb4d)) + (pad "77" thru_hole circle locked (at 39.5 0 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 27a7e717-2148-4e02-bac5-a921cb21e844)) + (pad "78" thru_hole circle locked (at 43.8 0 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 60619f88-fe65-4e79-9776-f9485fd2b50e)) + (pad "79" thru_hole circle locked (at 48.1 0 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp d06da033-7670-4b92-b6fe-34a603c5966e)) + (pad "80" thru_hole circle locked (at 52.4 0 180) (size 1.8 1.8) (drill 1.2) (layers *.Cu *.Mask) (tstamp 91e76464-7f7b-4284-92a4-4e2038b631e0)) + (pad "81" thru_hole circle locked (at -60.7 -3.26 180) (size 3.516 3.516) (drill 2.5) (layers *.Cu *.Mask) (tstamp 5990c2f7-1eb2-4ac4-bc06-f955bcdf5dce)) + (pad "82" thru_hole circle locked (at 58.1 -3.26 180) (size 3.516 3.516) (drill 2.5) (layers *.Cu *.Mask) (tstamp 05822183-51af-4784-a31b-d213c7f11db0)) + (model "${KIPRJMOD}/lib/c-8-1393476-0-b-3d.stp" + (offset (xyz 0.25 -28 13.5)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) + ) + + (footprint "Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Vertical" (layer "F.Cu") + (tedit 59FED5CC) (tstamp 844e4074-4b26-4e63-96a6-19cc77f46193) + (at 330.555 179.875) + (descr "Through hole straight pin header, 1x06, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x06 2.54mm single row") + (property "Sheetfile" "proteus80vag.kicad_sch") + (property "Sheetname" "") + (path "/398f8f70-e91c-4da2-a829-819a6f9d0c83") + (attr through_hole) + (fp_text reference "J3" (at 0 -2.33) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 90a9de13-9ab0-493f-befe-a48a99510685) + ) + (fp_text value "Conn_01x06" (at 0 15.03) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 13177c94-e9a1-4f0a-87bb-c48ebc59fe09) + ) + (fp_text user "${REFERENCE}" (at 0 6.35 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c4404dca-4552-4e5b-857f-56249ca60138) + ) + (fp_line (start -1.33 14.03) (end 1.33 14.03) (layer "F.SilkS") (width 0.12) (tstamp 10912505-2bc5-4b66-aad4-3e4b4ec63d35)) + (fp_line (start 1.33 1.27) (end 1.33 14.03) (layer "F.SilkS") (width 0.12) (tstamp 12951f17-9dce-487e-8e97-23816e8af468)) + (fp_line (start -1.33 1.27) (end -1.33 14.03) (layer "F.SilkS") (width 0.12) (tstamp 2e3feaaa-60c4-4745-a1c8-ec09481b8402)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer "F.SilkS") (width 0.12) (tstamp 375774b6-0b63-4181-9b93-02596934b20c)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer "F.SilkS") (width 0.12) (tstamp 440cd4cb-deb0-4a11-914c-0100f059dfbe)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer "F.SilkS") (width 0.12) (tstamp a65c54a9-a79b-49fb-93f0-101bcbffe9e5)) + (fp_line (start 1.8 14.5) (end 1.8 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 29293b83-ced3-4eb4-94f3-fd36668f6517)) + (fp_line (start -1.8 -1.8) (end -1.8 14.5) (layer "F.CrtYd") (width 0.05) (tstamp 40ede998-e4be-4848-afba-1c1686472dd3)) + (fp_line (start -1.8 14.5) (end 1.8 14.5) (layer "F.CrtYd") (width 0.05) (tstamp 433f4d59-ea32-4146-8504-1047f28e3f31)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp bf13a334-3c15-482f-a98e-535259411275)) + (fp_line (start -1.27 13.97) (end -1.27 -0.635) (layer "F.Fab") (width 0.1) (tstamp 18a4b844-efbb-463a-831b-21d38fd36877)) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer "F.Fab") (width 0.1) (tstamp 2f9a0fde-9774-4311-8012-66e39b14cae8)) + (fp_line (start 1.27 -1.27) (end 1.27 13.97) (layer "F.Fab") (width 0.1) (tstamp 3a80eb11-cfb9-4761-868a-09ae0ca93db7)) + (fp_line (start 1.27 13.97) (end -1.27 13.97) (layer "F.Fab") (width 0.1) (tstamp 5346c988-41a1-40f0-99cd-fe6ba98d1ea2)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer "F.Fab") (width 0.1) (tstamp cdaf289f-e092-4cdc-a16a-c28e06a2e02f)) + (pad "1" thru_hole rect locked (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 11 "Net-(J3-Pad1)") (pinfunction "Pin_1") (pintype "passive") (tstamp 3730ca0e-b050-4fb5-b46c-8a254b5ffee4)) + (pad "2" thru_hole oval locked (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 12 "Net-(J3-Pad2)") (pinfunction "Pin_2") (pintype "passive") (tstamp a455f142-7a42-43f6-919d-22022d3f23f4)) + (pad "3" thru_hole oval locked (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_3") (pintype "passive") (tstamp 188b44d4-ec14-4d61-83c8-ab3b4a19240a)) + (pad "4" thru_hole oval locked (at 0 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 13 "Net-(J3-Pad4)") (pinfunction "Pin_4") (pintype "passive") (tstamp 74c56403-4940-43d5-8bff-aed71a697776)) + (pad "5" thru_hole oval locked (at 0 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 14 "Net-(J3-Pad5)") (pinfunction "Pin_5") (pintype "passive") (tstamp 0d53add1-48db-4d0c-8986-3167c109dbfb)) + (pad "6" thru_hole oval locked (at 0 12.7) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pinfunction "Pin_6") (pintype "passive+no_connect") (tstamp dc8c398a-08ba-4029-8bf5-4322e2f9fa88)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x06_P2.54mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "hellen-one-common:36911600000" (layer "F.Cu") + (tedit 60B15055) (tstamp bab89822-25eb-4463-946c-6f811628dbba) + (at 238.58 258.02) + (descr "36911600000-1") + (tags "Fuse") + (property "LCSC" "C354908") + (property "Sheetfile" "proteus80vag.kicad_sch") + (property "Sheetname" "") + (path "/30e38d91-93d3-4abb-a53c-99d9cb6cf40d") + (fp_text reference "F3" (at -5.19 0.05 90) (layer "F.SilkS") + (effects (font (size 1.27 1.27) (thickness 0.254))) + (tstamp 187a97bd-1de6-4dcb-aafc-77b454c636c6) + ) + (fp_text value "10A" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 1.27 1.27) (thickness 0.254))) + (tstamp 4a157509-64d8-46a4-8675-0e32bc1f00a0) + ) + (fp_line (start 4.25 2) (end -4.25 2) (layer "F.SilkS") (width 0.1) (tstamp 069c3b5e-9c19-4be2-ac3c-5e192f9294de)) + (fp_line (start 4.25 -2) (end 4.25 2) (layer "F.SilkS") (width 0.1) (tstamp 0d624bd3-8b4e-4604-bffc-cce1996de627)) + (fp_line (start -4.25 -2) (end 4.25 -2) (layer "F.SilkS") (width 0.1) (tstamp 38d65338-7b7e-4561-9716-6b9c37a3b24d)) + (fp_line (start -4.25 2) (end -4.25 -2) (layer "F.SilkS") (width 0.1) (tstamp 62d36e9c-0f51-413d-8c7b-60558bf2ba86)) + (fp_line (start 4.25 2) (end -4.25 2) (layer "F.Fab") (width 0.2) (tstamp 2995a44c-dcad-4ab0-9f08-ae97ed7328ff)) + (fp_line (start 4.25 -2) (end 4.25 2) (layer "F.Fab") (width 0.2) (tstamp 8cdc3aad-bd34-462a-a99a-50663d27f00b)) + (fp_line (start -4.25 -2) (end 4.25 -2) (layer "F.Fab") (width 0.2) (tstamp 99bbd4c9-8b2c-4b0d-a392-83921e90cb8b)) + (fp_line (start -4.25 2) (end -4.25 -2) (layer "F.Fab") (width 0.2) (tstamp d985873f-20e1-4212-a8e7-ac9cb3fab6df)) + (pad "1" thru_hole circle (at -2.54 0) (size 1.65 1.65) (drill 1.1) (layers *.Cu *.Mask) + (pinfunction "1") (pintype "passive") (tstamp b67f883c-98c7-48f5-a66d-3223ddf5b4d9)) + (pad "2" thru_hole circle (at 2.54 0) (size 1.65 1.65) (drill 1.1) (layers *.Cu *.Mask) + (net 21 "/OUT_IGN1") (pinfunction "2") (pintype "passive") (tstamp 42ae461e-6190-4d35-8729-b3931b5b544f)) + (model "36911600000.stp" + (offset (xyz -2.54 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "lib:ampseal-35-2" (layer "F.Cu") + (tedit 614A742C) (tstamp bee34bdd-80c3-47a5-a28d-6524b62f1712) + (at 165.5 137.9 -90) + (property "LCSC" "N/A") + (property "PN" "776231-2") + (property "Sheetfile" "proteus80vag.kicad_sch") + (property "Sheetname" "") + (path "/1613baca-8001-478c-9401-39fbfab32dbf") + (attr through_hole) + (fp_text reference "J5" (at -36.068 -17.526 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 021ebf3c-4bac-4bfb-b3bc-bf4f70a47055) + ) + (fp_text value "Conn_01x35" (at 0 -8 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 098cbadd-8633-42e1-b03d-3beb5d2a9c28) + ) + (fp_line (start -38.45 -16.05) (end 38.45 -16.05) (layer "F.SilkS") (width 0.5) (tstamp 2cf5c190-a16d-4cab-bfc3-03f49b1179d8)) + (fp_line (start 38.45 -16.05) (end 38.45 16.05) (layer "F.SilkS") (width 0.5) (tstamp 6cbe4665-7a75-4b49-8c21-25a513eb551e)) + (fp_line (start -38.45 -16.05) (end -38.45 16.05) (layer "F.SilkS") (width 0.5) (tstamp a40b316f-5604-4c84-9605-bd246db45ce5)) + (fp_line (start -38.45 16.05) (end 38.45 16.05) (layer "F.SilkS") (width 0.5) (tstamp cb1f32b4-967e-4d8f-ad94-57c56cd1dece)) + (fp_line (start -38.354 9) (end 38.354 9) (layer "F.SilkS") (width 0.12) (tstamp f3a91d0f-9196-47a1-a75f-5d6305e62c0a)) + (pad "" np_thru_hole circle (at 28.5 0.5 270) (size 2.85 2.85) (drill 2.85) (layers F&B.Cu *.Mask) (tstamp 5b7f16a7-7eb3-4ad4-a9b1-6e62bf1b0f15)) + (pad "" np_thru_hole circle (at -33.2 7.3 270) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp cc5e4a1c-b498-4286-b88a-fe6c0c747128)) + (pad "" np_thru_hole circle (at 31.7 -10.8 270) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp e3d3d27d-1ab8-428c-92a9-a223a23c4c2d)) + (pad "" np_thru_hole circle (at 33.2 7.3 270) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp e95ebf46-cc4c-4665-a3cb-5527bcb0553d)) + (pad "" np_thru_hole circle (at -28.5 0.5 270) (size 2.85 2.85) (drill 2.85) (layers F&B.Cu *.Mask) (tstamp ef972f57-7ee1-44df-905a-215285196bfb)) + (pad "" np_thru_hole circle (at -31.7 -10.8 270) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp f5a4c60b-aad4-49ab-a788-9d42815779a9)) + (pad "1" thru_hole circle (at -22 -4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_1") (pintype "passive") (tstamp 4fcf438e-8b26-4f99-b288-26be893171b0)) + (pad "2" thru_hole circle (at -18 -4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp d8becf42-8d13-4f93-aa67-6e39884a39eb)) + (pad "3" thru_hole circle (at -14 -4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_3") (pintype "passive") (tstamp 39266525-e274-4a9d-94f2-29c20f9c11f8)) + (pad "4" thru_hole circle (at -10 -4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_4") (pintype "passive") (tstamp 3b7ec0e6-34c9-402b-b4f1-49e7434f9c69)) + (pad "5" thru_hole circle (at -6 -4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_5") (pintype "passive") (tstamp ff8539d7-c257-4b43-abc4-ccc4bb0d0fd9)) + (pad "6" thru_hole circle (at -2 -4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_6") (pintype "passive") (tstamp 0a8f3635-fe7a-4399-b687-045d29bb05fc)) + (pad "7" thru_hole circle (at 2 -4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_7") (pintype "passive") (tstamp 35cb66a3-f59e-4900-a72f-d9d2a6896f6b)) + (pad "8" thru_hole circle (at 6 -4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_8") (pintype "passive") (tstamp 8d22cbac-185a-400d-a7bd-84a2fe3872c1)) + (pad "9" thru_hole circle (at 10 -4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 2 "/5V_SENSOR_1") (pinfunction "Pin_9") (pintype "passive") (tstamp 05c870ab-8f0a-4601-9ec7-2d615eeb5e8a)) + (pad "10" thru_hole circle (at 14 -4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 3 "/5V_SENSOR_2") (pinfunction "Pin_10") (pintype "passive") (tstamp f66cfb4f-37d4-4931-8531-9d77f54c2323)) + (pad "11" thru_hole circle (at 18 -4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 4 "/12V_PROT") (pinfunction "Pin_11") (pintype "passive") (tstamp 4da81b57-d778-4dfb-bb74-8e7ca88e5e22)) + (pad "12" thru_hole circle (at 22 -4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 4 "/12V_PROT") (pinfunction "Pin_12") (pintype "passive") (tstamp e75aa6e5-75b5-4f64-a335-a4ae1e31dbdd)) + (pad "13" thru_hole circle (at -20 0 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_13") (pintype "passive") (tstamp ae53b906-1d70-4f1d-9208-f6d60453e2a2)) + (pad "14" thru_hole circle (at -16 0 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_14") (pintype "passive") (tstamp 22a78881-4f56-4974-a469-d4b41c8f924c)) + (pad "15" thru_hole circle (at -12 0 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_15") (pintype "passive") (tstamp aebfcbc6-ffcd-4415-8fc2-f50318199c81)) + (pad "16" thru_hole circle (at -8 0 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_16") (pintype "passive") (tstamp d9b6aa79-46c2-4736-b9ec-0221f49ecac6)) + (pad "17" thru_hole circle (at -4 0 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_17") (pintype "passive") (tstamp c85d73dc-06d3-4072-bd41-34f28e24a34b)) + (pad "18" thru_hole circle (at 0 0 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_18") (pintype "passive") (tstamp 678d8dee-5c35-49d8-a1e7-ba0350f191f2)) + (pad "19" thru_hole circle (at 4 0 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_19") (pintype "passive") (tstamp 3d640bb3-be4e-4f8e-9da3-bb7e6bc8a512)) + (pad "20" thru_hole circle (at 8 0 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_20") (pintype "passive") (tstamp 47a62ae0-0776-4fb4-9221-d6aa5d828cd9)) + (pad "21" thru_hole circle (at 12 0 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 2 "/5V_SENSOR_1") (pinfunction "Pin_21") (pintype "passive") (tstamp 5d2e220e-7efa-46e7-bf9a-e6f92248819d)) + (pad "22" thru_hole circle (at 16 0 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 3 "/5V_SENSOR_2") (pinfunction "Pin_22") (pintype "passive") (tstamp cf1166ce-ec87-47ea-a094-b2d757ac746d)) + (pad "23" thru_hole circle (at 20 0 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_23") (pintype "passive") (tstamp 1029b764-e232-4592-bd8a-ff907140a0c6)) + (pad "24" thru_hole circle (at -22 4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_24") (pintype "passive") (tstamp 0ff5336f-2177-4199-b08d-3db2aa42adcb)) + (pad "25" thru_hole circle (at -18 4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_25") (pintype "passive") (tstamp 64161490-fe32-48b3-86c6-196b65af2b97)) + (pad "26" thru_hole circle (at -14 4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_26") (pintype "passive") (tstamp a0d66db8-11d6-449e-8229-2fe8ffda2fe2)) + (pad "27" thru_hole circle (at -10 4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_27") (pintype "passive") (tstamp c1a8f6e8-5e1a-490f-92c0-539c9d13cf2c)) + (pad "28" thru_hole circle (at -6 4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_28") (pintype "passive") (tstamp a18b17d4-1a3a-41ce-b3ea-9186f9260e31)) + (pad "29" thru_hole circle (at -2 4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_29") (pintype "passive") (tstamp 8e9f7184-5863-4b29-adb4-a0af09e71c6c)) + (pad "30" thru_hole circle (at 2 4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_30") (pintype "passive") (tstamp 484d75a3-738c-44ae-a9ae-0c2743563b0d)) + (pad "31" thru_hole circle (at 6 4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_31") (pintype "passive") (tstamp 4071a5f3-47ec-4d1e-902f-d20a286ad75b)) + (pad "32" thru_hole circle (at 10 4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 2 "/5V_SENSOR_1") (pinfunction "Pin_32") (pintype "passive") (tstamp 70605a56-24c9-49aa-bb85-d62796ce9f11)) + (pad "33" thru_hole circle (at 14 4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 3 "/5V_SENSOR_2") (pinfunction "Pin_33") (pintype "passive") (tstamp 2565e0d3-0daf-44a2-bb5b-b679dd5c1cfe)) + (pad "34" thru_hole circle (at 18 4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_34") (pintype "passive") (tstamp da437bf8-126d-4362-bf0a-b7481019e4f6)) + (pad "35" thru_hole circle (at 22 4 270) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_35") (pintype "passive") (tstamp ca172b67-617b-4da8-8509-0d46d47e1a24)) + (model "${KIPRJMOD}/lib/c-776231-2-d-3d.stp" hide + (offset (xyz 0 0 34.5)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KIPRJMOD}/lib/c-1-776163-2-s-3d.stp" + (offset (xyz 0 -31.75 12)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) + ) + + (footprint "hellen-one-common:XH-8A" (layer "F.Cu") + (tedit 60F889FE) (tstamp bf8f8e91-b7a4-49b7-9b6a-020504b8ff1e) + (at 245.955 239.145) + (property "LCSC" "C10385") + (property "Sheetfile" "proteus80vag.kicad_sch") + (property "Sheetname" "") + (path "/0cb5ddb1-f8e8-48a8-822e-a325cf82bfc1") + (fp_text reference "J2" (at 0 -3 unlocked) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4cb56b88-c3ca-4e5c-8f37-c1dbf1908a60) + ) + (fp_text value "XH-8A" (at 0 4 unlocked) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3f0d5a45-cfc8-4c84-b068-543afc78f0d7) + ) + (fp_text user "${REFERENCE}" (at 0 6 unlocked) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 56758d81-5e84-4ea6-aafa-fc2284d3ae25) + ) + (fp_text user "${REFERENCE}" (at 0 -1.3) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b0e54d2a-7663-48f9-9914-226f1c783e7b) + ) + (fp_line (start -11.31 -2.11) (end -11.31 3.01) (layer "F.SilkS") (width 0.12) (tstamp 4016aab9-6d9e-45f6-9c04-2b8220b13fcc)) + (fp_line (start 10.31 3.01) (end 11.31 2.01) (layer "F.SilkS") (width 0.12) (tstamp 498f4ec3-7062-4b2b-ab9e-59bc5be1cc81)) + (fp_line (start -11.61 0.9) (end -11.61 3.31) (layer "F.SilkS") (width 0.12) (tstamp 4b00db6c-996c-4d22-9b22-1a4b4e3c4266)) + (fp_line (start 11.31 2.01) (end 11.31 -2.11) (layer "F.SilkS") (width 0.12) (tstamp 591f498d-5e75-4d74-8082-086f278db5a1)) + (fp_line (start 11.31 -2.11) (end -11.31 -2.11) (layer "F.SilkS") (width 0.12) (tstamp 5f088c25-ed4f-4dac-aac8-1c5dae6f255c)) + (fp_line (start -11.31 3.01) (end 10.31 3.01) (layer "F.SilkS") (width 0.12) (tstamp 60effd61-69ae-4f2d-ad89-56d450bc9a4d)) + (fp_line (start -11.61 3.31) (end -9.2 3.31) (layer "F.SilkS") (width 0.12) (tstamp d3d68219-230f-47d9-b65e-ff40f43ecf01)) + (fp_line (start -11.7 -2.5) (end -11.7 3.4) (layer "F.CrtYd") (width 0.05) (tstamp 40ea59bb-ed4f-4c7e-91b4-c5a94c8b1329)) + (fp_line (start 11.7 2.4) (end 11.7 -2.5) (layer "F.CrtYd") (width 0.05) (tstamp 4f08d9f3-ba4b-46e6-8cc5-ea27b5289c03)) + (fp_line (start 11.7 -2.5) (end -11.7 -2.5) (layer "F.CrtYd") (width 0.05) (tstamp 9abfa2c5-428d-4e0f-814d-6327e9343ff5)) + (fp_line (start -11.7 3.4) (end 10.7 3.4) (layer "F.CrtYd") (width 0.05) (tstamp a71080e5-d38b-4fde-bf3d-9676ee9ba5ad)) + (fp_line (start 10.7 3.4) (end 11.7 2.4) (layer "F.CrtYd") (width 0.05) (tstamp d3f510da-c26a-411b-a346-b6cdfee38c15)) + (fp_line (start -11.2 -2) (end -11.2 2.9) (layer "F.Fab") (width 0.1) (tstamp 1964f1df-b12d-4761-8473-7c9f69c3dc7e)) + (fp_line (start -11.2 2.9) (end 10.2 2.9) (layer "F.Fab") (width 0.1) (tstamp 276d2d46-b1ca-42a5-b972-5e8f44c7d3f3)) + (fp_line (start 10.2 2.9) (end 11.2 1.9) (layer "F.Fab") (width 0.1) (tstamp a22bb342-506a-4c40-8869-b35fe7c16161)) + (fp_line (start 11.2 1.9) (end 11.2 -2) (layer "F.Fab") (width 0.1) (tstamp ad671d10-62d7-485f-8587-fcab72032c5c)) + (fp_line (start -9.25 2.9) (end -8.75 2.192893) (layer "F.Fab") (width 0.1) (tstamp c1905af0-efe2-463c-9fc5-02968d2be711)) + (fp_line (start 11.2 -2) (end -11.2 -2) (layer "F.Fab") (width 0.1) (tstamp d9eec6b1-8090-4d71-8dbe-5863cfcc7b90)) + (fp_line (start -8.75 2.192893) (end -8.25 2.9) (layer "F.Fab") (width 0.1) (tstamp de726e33-f4b1-4c25-92ff-230e633ba386)) + (pad "1" thru_hole roundrect (at -8.75 1.1) (size 1.7 1.85) (drill 1) (layers *.Cu *.Mask) (roundrect_rratio 0.147) + (net 1 "GND") (pinfunction "Pin_1") (pintype "passive") (tstamp 26f49379-29f2-4c4b-8e3f-810b3a9c0708)) + (pad "2" thru_hole oval (at -6.25 1.1) (size 1.7 1.85) (drill 1) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp afa9d001-8003-47ca-a9e4-9eb070c6f846)) + (pad "3" thru_hole oval (at -3.75 1.1) (size 1.7 1.85) (drill 1) (layers *.Cu *.Mask) + (net 6 "/WBO_H-") (pinfunction "Pin_3") (pintype "passive") (tstamp 7f1af645-40b7-47a8-9429-c46942e3447a)) + (pad "4" thru_hole oval (at -1.25 1.1) (size 1.7 1.85) (drill 1) (layers *.Cu *.Mask) + (net 6 "/WBO_H-") (pinfunction "Pin_4") (pintype "passive") (tstamp 710aae5b-b95b-4751-b3c6-4178db684205)) + (pad "5" thru_hole oval (at 1.25 1.1) (size 1.7 1.85) (drill 1) (layers *.Cu *.Mask) + (net 7 "/WBO_R_Trim") (pinfunction "Pin_5") (pintype "passive") (tstamp c26188b5-9970-4df2-a751-d4103feeb62c)) + (pad "6" thru_hole oval (at 3.75 1.1) (size 1.7 1.85) (drill 1) (layers *.Cu *.Mask) + (net 8 "/WBO_Ip") (pinfunction "Pin_6") (pintype "passive") (tstamp ac5d1a46-47b6-47de-8ffa-d94d2e7423c1)) + (pad "7" thru_hole oval (at 6.25 1.1) (size 1.7 1.85) (drill 1) (layers *.Cu *.Mask) + (net 9 "/WBO_Vs{slash}Ip") (pinfunction "Pin_7") (pintype "passive") (tstamp b0ab2c0f-b69f-4409-86a1-72a26995e53f)) + (pad "8" thru_hole oval (at 8.75 1.1) (size 1.7 1.85) (drill 1) (layers *.Cu *.Mask) + (net 10 "/WBO_Vs") (pinfunction "Pin_8") (pintype "passive") (tstamp 0d21c43f-3421-49cd-96d9-1ddf273d30cf)) + ) + + (footprint "lib:ampseal-23" (layer "F.Cu") + (tedit 614A7416) (tstamp d3a646e2-d6fe-45bb-97da-385ee11e83ea) + (at 219.5 166.6) + (property "LCSC" "N/A") + (property "PN" "776228-1") + (property "Sheetfile" "proteus80vag.kicad_sch") + (property "Sheetname" "") + (path "/bf46c383-1544-4f32-9dc8-272a093a95f5") + (attr through_hole) + (fp_text reference "J6" (at 0 8) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6bbf2e0a-9671-4cdd-addc-e47bd99d9f40) + ) + (fp_text value "Conn_01x23" (at 0 -8) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e8495df6-594d-46ba-a497-595a1cdf2cec) + ) + (fp_line (start -30.4 -16.05) (end 30.4 -16.05) (layer "F.SilkS") (width 0.5) (tstamp 031d3932-cf81-4b0c-b004-a4cc70263d7d)) + (fp_line (start -30.5 9) (end 30.5 9) (layer "F.SilkS") (width 0.12) (tstamp 040f60c2-e467-49bc-b30f-fb70b88c30d0)) + (fp_line (start 30.4 -16.05) (end 30.4 16.05) (layer "F.SilkS") (width 0.5) (tstamp 0c66a63a-786b-4786-890c-6ed7cedc5416)) + (fp_line (start 30.4 16.05) (end -30.4 16.05) (layer "F.SilkS") (width 0.5) (tstamp 7ce28c07-7c74-4930-b378-7f2d37ef043e)) + (fp_line (start -30.4 16.05) (end -30.4 -16.05) (layer "F.SilkS") (width 0.5) (tstamp a3bc404f-fd2b-434a-9654-e8292479092e)) + (pad "" np_thru_hole circle (at -20.5 0.5) (size 2.85 2.85) (drill 2.85) (layers F&B.Cu *.Mask) (tstamp 1b28aaaa-3fe8-4fd8-8d3a-916cfb8099a6)) + (pad "" np_thru_hole circle (at 20.5 0.5) (size 2.85 2.85) (drill 2.85) (layers F&B.Cu *.Mask) (tstamp 26729fc2-3cae-4292-95ac-9bed2a5e930b)) + (pad "" np_thru_hole circle (at -23.7 -10.8) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp 37e98ca2-3cce-4e7e-a45c-29e51c1f0e76)) + (pad "" np_thru_hole circle (at 23.7 -10.8) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp 4c36d108-1b14-467c-bffc-4719f34fd88b)) + (pad "" np_thru_hole circle (at 25.2 7.3) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp 5701626b-2fd6-4483-be6b-b397ab7f4e01)) + (pad "" np_thru_hole circle (at -25.2 7.3) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp d2fa25b5-7bd8-4a1c-afab-3ad5cc332223)) + (pad "1" thru_hole circle (at -14 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_1") (pintype "passive") (tstamp 6f603101-b55e-45af-8932-a43020a4c82d)) + (pad "2" thru_hole circle (at -10 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_2") (pintype "passive") (tstamp 2876c389-c492-4a87-8816-675bbbd83068)) + (pad "3" thru_hole circle (at -6 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_3") (pintype "passive") (tstamp 2024adff-db1a-4902-8103-788be543d636)) + (pad "4" thru_hole circle (at -2 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_4") (pintype "passive") (tstamp b6a6284b-751b-47ef-aa7f-36df00fd0ac3)) + (pad "5" thru_hole circle (at 2 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_5") (pintype "passive") (tstamp cafd1704-e775-4f93-8d4d-fe51dfb0cb59)) + (pad "6" thru_hole circle (at 6 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_6") (pintype "passive") (tstamp aba2339c-66d1-49e0-a69e-7ebee012f9a7)) + (pad "7" thru_hole circle (at 10 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_7") (pintype "passive") (tstamp af7e8786-faf0-4100-995b-2403d6dac793)) + (pad "8" thru_hole circle (at 14 -4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_8") (pintype "passive") (tstamp ab5aaaa4-504e-469b-8e8d-350de07d9cd1)) + (pad "9" thru_hole circle (at -12 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_9") (pintype "passive") (tstamp b26ed965-3b2c-4797-8fd7-28e370c6f648)) + (pad "10" thru_hole circle (at -8 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_10") (pintype "passive") (tstamp 35336f5e-2fcb-4166-a572-b416e33cabba)) + (pad "11" thru_hole circle (at -4 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_11") (pintype "passive") (tstamp b06a88ee-6163-41e1-9c3c-89f2198b6c26)) + (pad "12" thru_hole circle (at 0 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_12") (pintype "passive") (tstamp a6def907-85d5-4c99-8287-ea07cdecf81a)) + (pad "13" thru_hole circle (at 4 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_13") (pintype "passive") (tstamp 6e654291-2ef3-44a4-bccd-b6d66164a680)) + (pad "14" thru_hole circle (at 8 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_14") (pintype "passive") (tstamp 372813b5-5faa-43a4-8fd4-85c8bc403173)) + (pad "15" thru_hole circle (at 12 0) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_15") (pintype "passive") (tstamp f235f2b5-2ab2-4949-9117-c04fa5612514)) + (pad "16" thru_hole circle (at -14 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_16") (pintype "passive") (tstamp 1850342c-1e7f-4f2d-a40c-8a1f5daf23fe)) + (pad "17" thru_hole circle (at -10 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_17") (pintype "passive") (tstamp ee3410b2-ad2a-44b0-ac90-3c5ab41e23c8)) + (pad "18" thru_hole circle (at -6 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_18") (pintype "passive") (tstamp 60d57c18-474d-4ab5-898b-42b0f83c20b1)) + (pad "19" thru_hole circle (at -2 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_19") (pintype "passive") (tstamp 125e3a08-0a68-4ca0-bcfe-8a3dd9bbeb82)) + (pad "20" thru_hole circle (at 2 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_20") (pintype "passive") (tstamp 7ada473c-1cf2-4752-8b0e-6ef33e60a865)) + (pad "21" thru_hole circle (at 6 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_21") (pintype "passive") (tstamp e3097570-689d-4b3d-b377-47d6ab9a8a07)) + (pad "22" thru_hole circle (at 10 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_22") (pintype "passive") (tstamp e0eadbe0-c161-44d0-839f-dbf23f8a38a5)) + (pad "23" thru_hole circle (at 14 4) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 5 "/12V_MR") (pinfunction "Pin_23") (pintype "passive") (tstamp d91f784c-fdc7-45dd-b8b0-b540bc9c69c0)) + (model "${KIPRJMOD}/lib/c-776228-1-e-3d.stp" hide + (offset (xyz 0 0 34.5)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KIPRJMOD}/lib/c-770669-1-n-3d.stp" + (offset (xyz 0 -31.75 11.5)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) + ) + + (footprint "lib:ampseal-35" (layer "F.Cu") + (tedit 614A7420) (tstamp ea71c432-a5f6-4664-9077-9efe1cfd91e1) + (at 269.9 139.3 90) + (property "LCSC" "N/A") + (property "PN" "776231-1") + (property "Sheetfile" "proteus80vag.kicad_sch") + (property "Sheetname" "") + (path "/536961b9-4d14-4eeb-baa7-f8e496bca204") + (attr through_hole) + (fp_text reference "J4" (at -36 -17 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a1eee5e1-fc52-4d8d-9142-c1a287bb90da) + ) + (fp_text value "Conn_01x35" (at 0 -8 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b4eeb6f8-3d29-4ae7-83ff-b43874e0574a) + ) + (fp_line (start -38.45 16.05) (end 38.45 16.05) (layer "F.SilkS") (width 0.5) (tstamp 2131554d-4bf1-4faa-933e-f2f8461b8caf)) + (fp_line (start -38.45 -16.05) (end -38.45 16.05) (layer "F.SilkS") (width 0.5) (tstamp 742515f0-9257-4f35-b21c-2a6b28f7a6f4)) + (fp_line (start 38.45 -16.05) (end 38.45 16.05) (layer "F.SilkS") (width 0.5) (tstamp 7ce2c17f-1bd6-4044-9f48-28cec8e8214e)) + (fp_line (start -38.45 -16.05) (end 38.45 -16.05) (layer "F.SilkS") (width 0.5) (tstamp a1350dcb-da6b-42e2-834b-395961af7565)) + (fp_line (start -38.354 9) (end 38.354 9) (layer "F.SilkS") (width 0.12) (tstamp f06c4acc-ab24-48cf-8c80-b789a750d5ec)) + (pad "" np_thru_hole circle (at 31.7 -10.8 90) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp 860e37ca-8d1e-405a-bbef-d5fe9ab1cf8e)) + (pad "" np_thru_hole circle (at -28.5 0.5 90) (size 2.85 2.85) (drill 2.85) (layers F&B.Cu *.Mask) (tstamp c189c3bd-3e0c-4d21-886f-4a86a352ee90)) + (pad "" np_thru_hole circle (at -33.2 7.3 90) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp c8834271-3904-497d-815d-75bdc70c1ecb)) + (pad "" np_thru_hole circle (at -31.7 -10.8 90) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp e6f382b1-26b7-4665-9433-56ea6e7b497f)) + (pad "" np_thru_hole circle (at 28.5 0.5 90) (size 2.85 2.85) (drill 2.85) (layers F&B.Cu *.Mask) (tstamp ec1ed375-d1e8-4d38-9053-6693da1ef115)) + (pad "" np_thru_hole circle (at 33.2 7.3 90) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp f8521686-e4b1-4f96-ac31-31c363450731)) + (pad "1" thru_hole circle (at -22 -4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_1") (pintype "passive") (tstamp a7445cae-1330-4ac0-9b88-1e3bd74aad19)) + (pad "2" thru_hole circle (at -18 -4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_2") (pintype "passive") (tstamp 8b83f624-3ce6-4067-b9f1-bbbfbc3f618f)) + (pad "3" thru_hole circle (at -14 -4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_3") (pintype "passive") (tstamp 5812de82-e241-4676-8595-61a51bd79a35)) + (pad "4" thru_hole circle (at -10 -4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_4") (pintype "passive") (tstamp a8d768b9-ab9e-438d-a929-fed60b6325de)) + (pad "5" thru_hole circle (at -6 -4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_5") (pintype "passive") (tstamp 3a182aac-4adc-49af-bb94-20ae4643a67d)) + (pad "6" thru_hole circle (at -2 -4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_6") (pintype "passive") (tstamp 8e7f9f2e-51c4-4689-9452-65029144ac96)) + (pad "7" thru_hole circle (at 2 -4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_7") (pintype "passive") (tstamp b5210688-f429-4f69-a42d-6ad458bbce49)) + (pad "8" thru_hole circle (at 6 -4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_8") (pintype "passive") (tstamp 3caa2cd6-923e-4fe1-9b4e-93a2fa520c13)) + (pad "9" thru_hole circle (at 10 -4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_9") (pintype "passive") (tstamp ea88bfbb-576f-4f8d-b35c-86b26659c2a9)) + (pad "10" thru_hole circle (at 14 -4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_10") (pintype "passive") (tstamp bb0c1756-9b2d-490a-b02e-9bf191203da0)) + (pad "11" thru_hole circle (at 18 -4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_11") (pintype "passive") (tstamp c97cd1f6-2561-4800-a545-dba64a8de202)) + (pad "12" thru_hole circle (at 22 -4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_12") (pintype "passive") (tstamp 7f740df6-1568-4c5b-862b-ba932cce0e53)) + (pad "13" thru_hole circle (at -20 0 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_13") (pintype "passive") (tstamp 3b900a16-56cb-4237-bda2-1a2024824648)) + (pad "14" thru_hole circle (at -16 0 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_14") (pintype "passive") (tstamp 875bd6ef-b3cc-459b-be61-62d50d0f2590)) + (pad "15" thru_hole circle (at -12 0 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_15") (pintype "passive") (tstamp a1b75ceb-5560-4d65-9a2e-5a1a3e6c7488)) + (pad "16" thru_hole circle (at -8 0 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_16") (pintype "passive") (tstamp 1925d532-c07b-4304-802a-50e5cd81aa62)) + (pad "17" thru_hole circle (at -4 0 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_17") (pintype "passive") (tstamp 82e5cf96-5232-4d4f-9459-f9e855c0c823)) + (pad "18" thru_hole circle (at 0 0 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_18") (pintype "passive") (tstamp aacdd759-17b8-4c98-9c44-9203f810b232)) + (pad "19" thru_hole circle (at 4 0 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_19") (pintype "passive") (tstamp 6f08666e-5392-42c0-8556-ce85bb29ea46)) + (pad "20" thru_hole circle (at 8 0 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_20") (pintype "passive") (tstamp 5c8afd2f-3d0f-4a0a-875a-1650cf5d852f)) + (pad "21" thru_hole circle (at 12 0 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_21") (pintype "passive") (tstamp 6f8784dc-ac13-4e43-ba4d-db462d8f0576)) + (pad "22" thru_hole circle (at 16 0 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_22") (pintype "passive") (tstamp 038cc830-4ff7-4dd9-ad3d-c852951c2c34)) + (pad "23" thru_hole circle (at 20 0 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_23") (pintype "passive") (tstamp 8325b377-67ce-48ab-8572-d88a0a1b618f)) + (pad "24" thru_hole circle (at -22 4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_24") (pintype "passive") (tstamp b9f7738f-b05f-4178-be09-350591de67b9)) + (pad "25" thru_hole circle (at -18 4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_25") (pintype "passive") (tstamp 55f01203-0115-4750-8fbd-543fb6006f74)) + (pad "26" thru_hole circle (at -14 4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_26") (pintype "passive") (tstamp 1ed8eba5-dd4f-472b-918a-9edd9d12ac9b)) + (pad "27" thru_hole circle (at -10 4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_27") (pintype "passive") (tstamp aefad316-2635-4baa-ae09-b9e659ce2e0a)) + (pad "28" thru_hole circle (at -6 4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_28") (pintype "passive") (tstamp 6d5d0d0e-c853-47d5-8cb8-754350433f35)) + (pad "29" thru_hole circle (at -2 4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_29") (pintype "passive") (tstamp edb8eee2-250c-47d6-8d5c-fea6abc8a6dc)) + (pad "30" thru_hole circle (at 2 4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_30") (pintype "passive") (tstamp 7fb1bcb5-4c84-42df-a677-2fffddb01b50)) + (pad "31" thru_hole circle (at 6 4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_31") (pintype "passive") (tstamp dead2adb-3dbd-420b-8c48-9127b7f0fb53)) + (pad "32" thru_hole circle (at 10 4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_32") (pintype "passive") (tstamp 2e666b5c-4b8c-4b50-aa79-1e04e7019c30)) + (pad "33" thru_hole circle (at 14 4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_33") (pintype "passive") (tstamp bb8434b3-10ae-49d4-82fb-e77d5da3d12d)) + (pad "34" thru_hole circle (at 18 4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_34") (pintype "passive") (tstamp a83ff460-fa43-4db6-91f5-1437f3e79afa)) + (pad "35" thru_hole circle (at 22 4 90) (size 2.4 2.4) (drill 1.75) (layers *.Cu *.Mask) + (pinfunction "Pin_35") (pintype "passive") (tstamp f5f29646-37ab-4aab-8dfb-b3649485d6bc)) + (model "${KIPRJMOD}/lib/c-776231-1-d-3d.stp" hide + (offset (xyz 0 0 34.5)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KIPRJMOD}/lib/c-1-776163-1-s-3d.stp" + (offset (xyz 0 -31.75 12)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) + ) + + (footprint "hellen-one-common:PAD-1206-PAD" placed (layer "F.Cu") + (tedit 60BA7675) (tstamp ea82abdc-8526-46f2-8abf-f4f1fd682bed) + (at 361.71 162.88) + (property "MyComment" "DNP") + (property "Sheetfile" "proteus80vag.kicad_sch") + (property "Sheetname" "") + (path "/c987bad8-c498-4cba-816c-6193ef738e26") + (attr smd) + (fp_text reference "F2" (at -4 0 90) (layer "F.SilkS") + (effects (font (size 0.762 0.762) (thickness 0.127))) + (tstamp 513036fb-37c4-4bea-bd39-d1ae7177970f) + ) + (fp_text value "Fuse-Pad-Pad" (at 0 1.27) (layer "F.SilkS") hide + (effects (font (size 0.50038 0.50038) (thickness 0.10922))) + (tstamp 673b987d-b7bf-4bb2-8668-580665bef274) + ) + (fp_text user "${REFERENCE}" (at -4 0 90) (layer "B.SilkS") + (effects (font (size 0.762 0.762) (thickness 0.127)) (justify mirror)) + (tstamp 850376d9-fd5d-49ba-9e58-98e433025787) + ) + (fp_line (start 2.4 -1) (end -2.45 -1) (layer "B.SilkS") (width 0.12) (tstamp 1c52b6b4-2ea5-4d2c-9e9c-116df7fe01c0)) + (fp_line (start 2.4 1) (end -2.45 1) (layer "B.SilkS") (width 0.12) (tstamp 8bbbd21a-79df-4f3a-af54-7930a7147c26)) + (fp_arc (start 2.45 0) (end 2.45 1) (angle -180) (layer "B.SilkS") (width 0.12) (tstamp 18e88489-0875-423d-bb78-afe34f91f9f5)) + (fp_arc (start -2.45 0) (end -2.45 -1) (angle -180) (layer "B.SilkS") (width 0.12) (tstamp ac8a9285-cd6d-486b-af1a-97e60fc164d1)) + (fp_line (start -2.4 -1) (end 2.45 -1) (layer "F.SilkS") (width 0.12) (tstamp 46ea3433-74cd-4346-88e5-832b9d9f51b2)) + (fp_line (start -2.4 1) (end 2.45 1) (layer "F.SilkS") (width 0.12) (tstamp efea2e3e-d7b4-4e59-ad13-66b925490536)) + (fp_arc (start -2.45 0) (end -2.45 1) (angle 180) (layer "F.SilkS") (width 0.12) (tstamp 52e52b2d-82a4-43f0-bdf5-c1168912800c)) + (fp_arc (start 2.45 0) (end 2.45 -1) (angle 180) (layer "F.SilkS") (width 0.12) (tstamp e135394c-3de3-46fb-9a07-569cfc3fec71)) + (pad "1" thru_hole circle (at -2.45 0) (size 1.524 1.524) (drill 0.8128) (layers *.Cu *.Mask) + (net 20 "unconnected-(F2-Pad1)") (pinfunction "1") (pintype "passive") (tstamp 4464ee17-69a9-4625-b5db-f45bec0330d7)) + (pad "1" smd roundrect (at -1.45001 0 90) (size 1.8 1.15) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) + (net 20 "unconnected-(F2-Pad1)") (pinfunction "1") (pintype "passive") (tstamp b1763f24-c179-452e-8a1e-0d28159b90e4)) + (pad "2" smd roundrect (at 1.45001 0 90) (size 1.8 1.15) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) + (net 5 "/12V_MR") (pinfunction "2") (pintype "passive") (tstamp 78917e16-b172-472b-bdf7-f5b43078fd38)) + (pad "2" thru_hole circle (at 2.45 0) (size 1.524 1.524) (drill 0.8128) (layers *.Cu *.Mask) + (net 5 "/12V_MR") (pinfunction "2") (pintype "passive") (tstamp cecdfa8c-8da5-4805-b14e-223570114c68)) + (model "Resistors_SMD.3dshapes/R_0805.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "hellen-one-common:PAD-1206-PAD" placed (layer "F.Cu") + (tedit 60BA7675) (tstamp f8fc2e7a-20f7-4417-8a52-84215968f66a) + (at 271 237.8) + (property "LCSC" "C182446") + (property "Sheetfile" "proteus80vag.kicad_sch") + (property "Sheetname" "") + (path "/fc56e9a2-c028-444d-8060-0df02860ead7") + (attr smd) + (fp_text reference "F1" (at -4 0 90) (layer "F.SilkS") + (effects (font (size 0.762 0.762) (thickness 0.127))) + (tstamp be280b41-0c68-46c9-a60b-a479556531cb) + ) + (fp_text value "Fuse-Pad-Pad" (at 0 1.27) (layer "F.SilkS") hide + (effects (font (size 0.50038 0.50038) (thickness 0.10922))) + (tstamp 41986a69-fd1c-43b9-8e20-aecb8804f7e6) + ) + (fp_text user "${REFERENCE}" (at -4 0 90) (layer "B.SilkS") + (effects (font (size 0.762 0.762) (thickness 0.127)) (justify mirror)) + (tstamp da23ae34-6c5a-4709-b8cc-1796f371cb4e) + ) + (fp_line (start 2.4 -1) (end -2.45 -1) (layer "B.SilkS") (width 0.12) (tstamp 4a0bbcac-75a5-4fa6-b228-3bf371577508)) + (fp_line (start 2.4 1) (end -2.45 1) (layer "B.SilkS") (width 0.12) (tstamp 825a9751-d7e6-4aa3-a0e1-dbcca13d9004)) + (fp_arc (start 2.45 0) (end 2.45 1) (angle -180) (layer "B.SilkS") (width 0.12) (tstamp d398b009-9698-4ba5-85db-32d2f42a0fad)) + (fp_arc (start -2.45 0) (end -2.45 -1) (angle -180) (layer "B.SilkS") (width 0.12) (tstamp f90e2af8-1522-411d-863d-a95de6707dd7)) + (fp_line (start -2.4 1) (end 2.45 1) (layer "F.SilkS") (width 0.12) (tstamp 529435bf-b5f6-4bb4-84d0-6f8dac5dd167)) + (fp_line (start -2.4 -1) (end 2.45 -1) (layer "F.SilkS") (width 0.12) (tstamp ea96cb14-8906-487b-94f0-9e067185b0ae)) + (fp_arc (start 2.45 0) (end 2.45 -1) (angle 180) (layer "F.SilkS") (width 0.12) (tstamp 7992a520-9a5b-47b8-9c77-54e893a842fa)) + (fp_arc (start -2.45 0) (end -2.45 1) (angle 180) (layer "F.SilkS") (width 0.12) (tstamp 9b42af67-9e28-4bf8-b552-5c8edc8b0ff0)) + (pad "1" smd roundrect (at -1.45001 0 90) (size 1.8 1.15) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) + (net 19 "unconnected-(F1-Pad1)") (pinfunction "1") (pintype "passive") (tstamp 312030e8-62dc-412e-a94f-81914a54ecb1)) + (pad "1" thru_hole circle (at -2.45 0) (size 1.524 1.524) (drill 0.8128) (layers *.Cu *.Mask) + (net 19 "unconnected-(F1-Pad1)") (pinfunction "1") (pintype "passive") (tstamp de63ecba-0062-4a0b-be61-ef7322af78f4)) + (pad "2" thru_hole circle (at 2.45 0) (size 1.524 1.524) (drill 0.8128) (layers *.Cu *.Mask) + (net 5 "/12V_MR") (pinfunction "2") (pintype "passive") (tstamp 5497eac6-5628-443c-b640-01a168dc33ca)) + (pad "2" smd roundrect (at 1.45001 0 90) (size 1.8 1.15) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) + (net 5 "/12V_MR") (pinfunction "2") (pintype "passive") (tstamp 65cd0a4c-5033-4e8f-a0b0-2b01db3bf948)) + (model "Resistors_SMD.3dshapes/R_0805.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (gr_line (start 294.554448 87.271864) (end 294.503648 174.927264) (layer "Dwgs.User") (width 0.15) (tstamp 249530f6-b4ca-4ba4-8efd-4655821b826c)) + (gr_line (start 282.819648 186.560464) (end 154.143248 186.585864) (layer "Dwgs.User") (width 0.15) (tstamp 522cee59-f804-47bf-a123-56f6c3ac974a)) + (gr_arc (start 142.484648 186.662064) (end 154.143247 186.585865) (angle -90) (layer "Dwgs.User") (width 0.254) (tstamp 5f5c3774-8ca5-4581-9a37-afee58d1323e)) + (gr_line (start 142.408448 87.297264) (end 142.408448 87.271864) (layer "Dwgs.User") (width 0.15) (tstamp 96d0ea95-550e-4fe0-9851-25fc647d4f3e)) + (gr_line (start 142.408448 87.271864) (end 142.383048 87.322664) (layer "Dwgs.User") (width 0.15) (tstamp a7923766-2907-4514-be78-a6a2d78cc860)) + (gr_arc (start 294.579848 186.611264) (end 294.529049 174.927265) (angle -89.37312059) (layer "Dwgs.User") (width 0.254) (tstamp ad2a7043-1420-4c40-9f0d-10b775ef2fbf)) + (gr_line (start 142.408448 174.749464) (end 142.408448 87.297264) (layer "Dwgs.User") (width 0.15) (tstamp d8d1a000-a303-4fa0-91ca-9fdc16685c61)) + (gr_circle (center 223.921248 189.034864) (end 225.421247 189.034864) (layer "Edge.Cuts") (width 0.2) (fill none) (tstamp 09785ad3-8d82-4792-b377-8c66642c6036)) + (gr_line (start 137.875461 87.184863) (end 146.446048 87.184863) (layer "Edge.Cuts") (width 0.2) (tstamp 09cc7a8c-af9b-4ba7-bbcc-95e3ce66e2fc)) + (gr_line (start 149.321248 191.12065) (end 150.735461 192.534863) (layer "Edge.Cuts") (width 0.2) (tstamp 0d41fd11-0f4a-4d13-8a47-3b2aff45aa1a)) + (gr_arc (start 296.601248 188.674863) (end 296.601248 179.674863) (angle -90) (layer "Edge.Cuts") (width 0.2) (tstamp 13f7269a-581b-4843-8ae9-deb21958a025)) + (gr_line (start 300.461248 154.684864) (end 300.461248 178.26065) (layer "Edge.Cuts") (width 0.2) (tstamp 1db4023d-245e-4cb3-a904-1fd29a44199c)) + (gr_line (start 162.501248 74.534863) (end 274.421248 74.534863) (layer "Edge.Cuts") (width 0.2) (tstamp 23dd06ef-edc4-4816-86df-edaf157be1d8)) + (gr_line (start 299.047034 87.184863) (end 290.261295 87.180342) (layer "Edge.Cuts") (width 0.2) (tstamp 2f3bc65c-b0e2-4186-a6fb-87fb60ffc254)) + (gr_circle (center 296.861248 90.684863) (end 298.361248 90.684863) (layer "Edge.Cuts") (width 0.2) (fill none) (tstamp 37769846-fd31-4005-b6ce-918ffe8be9a9)) + (gr_line (start 296.601248 179.674863) (end 299.047034 179.674863) (layer "Edge.Cuts") (width 0.2) (tstamp 38206856-e5c3-428f-b856-d59d3df31a5b)) + (gr_line (start 136.461248 88.599077) (end 137.875461 87.184864) (layer "Edge.Cuts") (width 0.2) (tstamp 3bf15b14-d4e0-47e1-bfd8-302f245fc94a)) + (gr_line (start 274.421248 74.534863) (end 285.761248 76.534863) (layer "Edge.Cuts") (width 0.2) (tstamp 3d6774e7-ad7a-4c86-bfaf-43c38cdc5dc1)) + (gr_line (start 300.461248 88.599077) (end 299.047034 87.184864) (layer "Edge.Cuts") (width 0.2) (tstamp 3f9fa828-8971-432c-9404-2728f17e001c)) + (gr_circle (center 285.961248 182.780043) (end 287.461248 182.780043) (layer "Edge.Cuts") (width 0.2) (fill none) (tstamp 42b4a1cc-c1c5-4fc7-a858-592bff0f8a15)) + (gr_line (start 300.461248 88.599077) (end 300.461248 151.684864) (layer "Edge.Cuts") (width 0.2) (tstamp 43ce47e8-e38b-4df5-a51b-bd6ce3038b37)) + (gr_line (start 140.321248 179.674864) (end 137.875461 179.674864) (layer "Edge.Cuts") (width 0.2) (tstamp 45449a36-8105-4632-9938-839e96b75a60)) + (gr_line (start 298.161048 154.684863) (end 300.461248 154.684863) (layer "Edge.Cuts") (width 0.2) (tstamp 4be3bb17-676f-4905-b0cc-44479277555d)) + (gr_line (start 287.601248 188.674863) (end 287.601248 191.12065) (layer "Edge.Cuts") (width 0.2) (tstamp 56d2cb86-304b-45b3-8c4c-ef1cadee54ec)) + (gr_line (start 150.735461 192.534864) (end 286.187034 192.534863) (layer "Edge.Cuts") (width 0.2) (tstamp 5ef9cc39-f8ff-4b71-b50c-e221e765dc50)) + (gr_line (start 136.461247 178.26065) (end 137.875461 179.674864) (layer "Edge.Cuts") (width 0.2) (tstamp 60354c60-22fb-4b78-9118-ab9deb07bc93)) + (gr_line (start 151.146048 76.534863) (end 151.161146 82.670112) (layer "Edge.Cuts") (width 0.2) (tstamp 7ce71841-9476-47c8-ae6f-0fb1af74b13f)) + (gr_arc (start 290.30816 82.632328) (end 285.761248 82.742864) (angle -88.01702338) (layer "Edge.Cuts") (width 0.2) (tstamp 8104353f-fe65-4815-aca4-f2c36ada326d)) + (gr_line (start 300.461248 178.26065) (end 299.047034 179.674863) (layer "Edge.Cuts") (width 0.2) (tstamp 82dfb819-9527-413d-9a55-f569f097c74b)) + (gr_arc (start 146.616048 82.642864) (end 146.446048 87.184863) (angle -91.8) (layer "Edge.Cuts") (width 0.2) (tstamp 8806dc42-e0cb-4f56-802e-80c35551b904)) + (gr_arc (start 298.161048 153.188158) (end 298.161048 151.691453) (angle -180) (layer "Edge.Cuts") (width 0.2) (tstamp 902d2651-7630-4600-a41a-77a3f0372bb7)) + (gr_line (start 136.461248 88.599077) (end 136.461248 151.684864) (layer "Edge.Cuts") (width 0.2) (tstamp 967381fd-88c6-4893-b60b-86a6edb872ac)) + (gr_arc (start 140.321248 188.674864) (end 149.321248 188.674864) (angle -90) (layer "Edge.Cuts") (width 0.2) (tstamp 975b1a6e-be33-46c6-9746-8d5efaccdd24)) + (gr_line (start 285.761248 76.534863) (end 285.761248 82.742864) (layer "Edge.Cuts") (width 0.2) (tstamp 9b5159cc-3cc6-4f68-b7e6-073fbb558ffc)) + (gr_line (start 149.321248 188.674864) (end 149.321248 191.12065) (layer "Edge.Cuts") (width 0.2) (tstamp 9cd72cad-a6cf-4881-b5a3-0013550b6efb)) + (gr_line (start 138.761248 154.678274) (end 136.466048 154.672864) (layer "Edge.Cuts") (width 0.2) (tstamp 9ec076ea-7ddf-4eeb-a03b-45f71c82a6f2)) + (gr_arc (start 138.761248 153.181569) (end 138.761248 154.678274) (angle -180) (layer "Edge.Cuts") (width 0.2) (tstamp b1b8bbe8-c89b-446f-934d-f0fc9dc6919b)) + (gr_circle (center 140.061248 90.684863) (end 141.561248 90.684863) (layer "Edge.Cuts") (width 0.2) (fill none) (tstamp c34a801b-45e1-4202-bfa3-c06e4315bc7b)) + (gr_circle (center 207.721248 189.034864) (end 209.221248 189.034864) (layer "Edge.Cuts") (width 0.2) (fill none) (tstamp c4d68102-3a15-49da-b07f-ba1295020415)) + (gr_circle (center 150.961248 182.780043) (end 152.461248 182.780043) (layer "Edge.Cuts") (width 0.2) (fill none) (tstamp c51a5051-1209-4825-b58c-dc8b3bc3c7fb)) + (gr_line (start 162.501248 74.534863) (end 151.146048 76.534863) (layer "Edge.Cuts") (width 0.2) (tstamp cb640754-3652-4710-b78f-0f671d00aae5)) + (gr_line (start 138.761248 151.684864) (end 136.461248 151.684864) (layer "Edge.Cuts") (width 0.2) (tstamp d5894fe5-014a-45d4-9b7c-f0943c6da838)) + (gr_line (start 298.161048 151.691453) (end 300.461248 151.684863) (layer "Edge.Cuts") (width 0.2) (tstamp eb4ddc0f-15fa-4ed6-a3e2-645ba7e02319)) + (gr_line (start 136.466048 154.672864) (end 136.461248 178.26065) (layer "Edge.Cuts") (width 0.2) (tstamp f844575f-3406-4382-8f2d-9bf60a3b8974)) + (gr_line (start 287.601248 191.12065) (end 286.187034 192.534863) (layer "Edge.Cuts") (width 0.2) (tstamp fbf954f3-061e-426c-91ec-65a75bb14c45)) + + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 11481498-232e-4e37-ac4b-4f72f6cbb12a) (hatch edge 0.508) + (connect_pads (clearance 0.2)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.25) (thermal_bridge_width 0.25)) + (polygon + (pts + (xy 301.536048 194.042864) + (xy 135.536048 194.042864) + (xy 135.536048 73.042864) + (xy 301.536048 73.042864) + ) + ) + (filled_polygon + (layer "F.Cu") + (island) + (pts + (xy 274.412278 74.736368) + (xy 285.479444 76.688248) + (xy 285.533465 76.716975) + (xy 285.560285 76.771969) + (xy 285.561248 76.785743) + (xy 285.561248 82.712313) + (xy 285.559206 82.732317) + (xy 285.556149 82.747134) + (xy 285.556166 82.74785) + (xy 285.557216 82.751986) + (xy 285.55748 82.754581) + (xy 285.581706 83.115747) + (xy 285.584164 83.152396) + (xy 285.584483 83.154477) + (xy 285.584484 83.154482) + (xy 285.636943 83.496207) + (xy 285.645688 83.553177) + (xy 285.741151 83.947255) + (xy 285.869857 84.331762) + (xy 286.030871 84.703898) + (xy 286.031873 84.70576) + (xy 286.082588 84.8) + (xy 286.223019 85.060956) + (xy 286.444904 85.400334) + (xy 286.446195 85.401983) + (xy 286.446199 85.401988) + (xy 286.474135 85.437659) + (xy 286.69491 85.719565) + (xy 286.971217 86.016322) + (xy 287.271814 86.288448) + (xy 287.41747 86.399264) + (xy 287.592826 86.532677) + (xy 287.592833 86.532682) + (xy 287.594513 86.53396) + (xy 287.596302 86.535094) + (xy 287.596306 86.535097) + (xy 287.921545 86.741295) + (xy 287.936965 86.751071) + (xy 287.938838 86.752045) + (xy 287.938846 86.75205) + (xy 288.248308 86.913039) + (xy 288.296678 86.938202) + (xy 288.298639 86.939018) + (xy 288.53071 87.035594) + (xy 288.671032 87.093989) + (xy 288.673045 87.094632) + (xy 288.673046 87.094632) + (xy 288.859643 87.1542) + (xy 289.057303 87.2173) + (xy 289.059358 87.217767) + (xy 289.05936 87.217768) + (xy 289.450615 87.306767) + (xy 289.450626 87.306769) + (xy 289.452679 87.307236) + (xy 289.454772 87.307527) + (xy 289.454781 87.307529) + (xy 289.852184 87.36285) + (xy 289.852189 87.36285) + (xy 289.854283 87.363142) + (xy 289.892908 87.36519) + (xy 290.251455 87.384203) + (xy 290.254306 87.384457) + (xy 290.258465 87.385466) + (xy 290.259181 87.385474) + (xy 290.264629 87.38429) + (xy 290.264632 87.38429) + (xy 290.268497 87.38345) + (xy 290.272336 87.382616) + (xy 290.293403 87.380358) + (xy 297.574523 87.384106) + (xy 298.92317 87.3848) + (xy 298.981351 87.403737) + (xy 298.993123 87.413796) + (xy 300.232252 88.652924) + (xy 300.260029 88.707441) + (xy 300.261248 88.722928) + (xy 300.261248 151.386718) + (xy 300.242341 151.444909) + (xy 300.192841 151.480873) + (xy 300.162533 151.485718) + (xy 299.062691 151.488869) + (xy 298.195129 151.491354) + (xy 298.172492 151.488797) + (xy 298.167235 151.487578) + (xy 298.167225 151.487577) + (xy 298.161764 151.486311) + (xy 298.161048 151.48631) + (xy 298.159427 151.486679) + (xy 298.158511 151.486574) + (xy 298.158534 151.486883) + (xy 297.907458 151.505699) + (xy 297.770521 151.536954) + (xy 297.663146 151.561461) + (xy 297.663142 151.561462) + (xy 297.659533 151.562286) + (xy 297.656081 151.563641) + (xy 297.42626 151.653839) + (xy 297.426256 151.653841) + (xy 297.422811 151.655193) + (xy 297.303614 151.724011) + (xy 297.213993 151.775754) + (xy 297.20258 151.782343) + (xy 297.164905 151.812388) + (xy 297.012887 151.933618) + (xy 297.003759 151.940897) + (xy 296.830791 152.127313) + (xy 296.687538 152.337427) + (xy 296.685935 152.340755) + (xy 296.685933 152.340759) + (xy 296.594873 152.529848) + (xy 296.577201 152.566544) + (xy 296.556854 152.632507) + (xy 296.505369 152.799417) + (xy 296.502244 152.809547) + (xy 296.501691 152.813216) + (xy 296.465889 153.050754) + (xy 296.464343 153.061008) + (xy 296.464343 153.315308) + (xy 296.464895 153.318968) + (xy 296.464895 153.318973) + (xy 296.492164 153.499891) + (xy 296.502244 153.566769) + (xy 296.503335 153.570307) + (xy 296.503336 153.57031) + (xy 296.544096 153.702449) + (xy 296.577201 153.809772) + (xy 296.578807 153.813106) + (xy 296.578807 153.813107) + (xy 296.678519 154.020162) + (xy 296.687538 154.03889) + (xy 296.830791 154.249003) + (xy 297.003759 154.435419) + (xy 297.006656 154.43773) + (xy 297.006659 154.437732) + (xy 297.122645 154.530227) + (xy 297.20258 154.593973) + (xy 297.422811 154.721123) + (xy 297.426256 154.722475) + (xy 297.42626 154.722477) + (xy 297.578672 154.782294) + (xy 297.659533 154.81403) + (xy 297.663142 154.814854) + (xy 297.663146 154.814855) + (xy 297.770521 154.839362) + (xy 297.907458 154.870617) + (xy 298.149602 154.888763) + (xy 298.157542 154.889358) + (xy 298.157513 154.889751) + (xy 298.157876 154.889728) + (xy 298.158727 154.889633) + (xy 298.160332 154.890005) + (xy 298.161048 154.890006) + (xy 298.172726 154.887342) + (xy 298.194744 154.884863) + (xy 300.162248 154.884863) + (xy 300.220439 154.90377) + (xy 300.256403 154.95327) + (xy 300.261248 154.983863) + (xy 300.261248 178.136799) + (xy 300.242341 178.19499) + (xy 300.232252 178.206803) + (xy 298.993187 179.445867) + (xy 298.93867 179.473644) + (xy 298.923183 179.474863) + (xy 296.63547 179.474863) + (xy 296.613118 179.472306) + (xy 296.601964 179.469721) + (xy 296.601248 179.46972) + (xy 296.59832 179.470388) + (xy 296.597692 179.470433) + (xy 296.406109 179.476453) + (xy 296.024839 179.488435) + (xy 296.024829 179.488436) + (xy 296.02329 179.488484) + (xy 296.021758 179.488629) + (xy 296.021755 179.488629) + (xy 295.449146 179.542757) + (xy 295.449143 179.542757) + (xy 295.447613 179.542902) + (xy 295.446093 179.543143) + (xy 295.446083 179.543144) + (xy 294.87801 179.633118) + (xy 294.878007 179.633119) + (xy 294.876489 179.633359) + (xy 294.729934 179.666118) + (xy 294.313699 179.759157) + (xy 294.313685 179.75916) + (xy 294.312171 179.759499) + (xy 294.310666 179.759936) + (xy 294.310663 179.759937) + (xy 293.758381 179.920389) + (xy 293.758378 179.92039) + (xy 293.756888 179.920823) + (xy 293.755426 179.921349) + (xy 293.755424 179.92135) + (xy 293.214279 180.116174) + (xy 293.21427 180.116178) + (xy 293.21283 180.116696) + (xy 293.211425 180.117304) + (xy 292.683561 180.345731) + (xy 292.683559 180.345732) + (xy 292.682145 180.346344) + (xy 292.166926 180.608861) + (xy 291.669208 180.903211) + (xy 291.190954 181.228232) + (xy 291.189744 181.22917) + (xy 291.189731 181.22918) + (xy 290.840859 181.499793) + (xy 290.734052 181.582641) + (xy 290.732901 181.583656) + (xy 290.732891 181.583664) + (xy 290.323696 181.944419) + (xy 290.300305 181.965041) + (xy 289.891426 182.37392) + (xy 289.890399 182.375084) + (xy 289.890397 182.375087) + (xy 289.510049 182.806506) + (xy 289.510041 182.806516) + (xy 289.509026 182.807667) + (xy 289.508075 182.808893) + (xy 289.155565 183.263346) + (xy 289.155555 183.263359) + (xy 289.154617 183.264569) + (xy 288.829596 183.742823) + (xy 288.535246 184.240541) + (xy 288.272729 184.75576) + (xy 288.272119 184.75717) + (xy 288.272116 184.757176) + (xy 288.045525 185.280797) + (xy 288.043081 185.286445) + (xy 288.042563 185.287885) + (xy 288.042559 185.287894) + (xy 287.847735 185.829039) + (xy 287.847208 185.830503) + (xy 287.685884 186.385786) + (xy 287.559744 186.950104) + (xy 287.559504 186.951622) + (xy 287.559503 186.951625) + (xy 287.482623 187.437029) + (xy 287.469287 187.521228) + (xy 287.469142 187.522758) + (xy 287.469142 187.522761) + (xy 287.416319 188.081571) + (xy 287.414869 188.096905) + (xy 287.414821 188.098444) + (xy 287.41482 188.098454) + (xy 287.409715 188.260916) + (xy 287.396814 188.671421) + (xy 287.396105 188.674505) + (xy 287.398565 188.685377) + (xy 287.398807 188.686446) + (xy 287.401248 188.708295) + (xy 287.401248 190.996799) + (xy 287.382341 191.05499) + (xy 287.372252 191.066803) + (xy 286.133187 192.305867) + (xy 286.07867 192.333644) + (xy 286.063183 192.334863) + (xy 218.461248 192.334864) + (xy 150.859313 192.334864) + (xy 150.801122 192.315957) + (xy 150.789309 192.305868) + (xy 149.550244 191.066803) + (xy 149.522467 191.012286) + (xy 149.521248 190.996799) + (xy 149.521248 188.990238) + (xy 206.017065 188.990238) + (xy 206.019209 189.034864) + (xy 206.029188 189.242623) + (xy 206.078483 189.490443) + (xy 206.079723 189.493898) + (xy 206.079724 189.4939) + (xy 206.125459 189.621281) + (xy 206.163866 189.728255) + (xy 206.283462 189.950835) + (xy 206.285661 189.95378) + (xy 206.285663 189.953783) + (xy 206.360338 190.053785) + (xy 206.434644 190.153292) + (xy 206.61409 190.331179) + (xy 206.617057 190.333355) + (xy 206.61706 190.333357) + (xy 206.72387 190.411673) + (xy 206.817859 190.480588) + (xy 207.041474 190.598238) + (xy 207.044945 190.59945) + (xy 207.044947 190.599451) + (xy 207.12838 190.628587) + (xy 207.280022 190.681542) + (xy 207.528263 190.728673) + (xy 207.665742 190.734075) + (xy 207.777074 190.738449) + (xy 207.777077 190.738449) + (xy 207.780744 190.738593) + (xy 207.909809 190.724458) + (xy 208.028276 190.711484) + (xy 208.028279 190.711483) + (xy 208.031917 190.711085) + (xy 208.276266 190.646753) + (xy 208.508422 190.547011) + (xy 208.61576 190.480588) + (xy 208.720159 190.415984) + (xy 208.720164 190.41598) + (xy 208.723285 190.414049) + (xy 208.916135 190.25079) + (xy 208.918556 190.24803) + (xy 209.080315 190.063577) + (xy 209.082735 190.060818) + (xy 209.151583 189.953783) + (xy 209.217437 189.851402) + (xy 209.21744 189.851396) + (xy 209.219426 189.848309) + (xy 209.272045 189.731501) + (xy 209.321695 189.621281) + (xy 209.323205 189.617929) + (xy 209.391791 189.37474) + (xy 209.423679 189.124085) + (xy 209.426015 189.034864) + (xy 209.422699 188.990238) + (xy 222.217066 188.990238) + (xy 222.21921 189.034864) + (xy 222.229189 189.242623) + (xy 222.278484 189.490443) + (xy 222.279724 189.493898) + (xy 222.279725 189.4939) + (xy 222.348288 189.684863) + (xy 222.363867 189.728255) + (xy 222.483463 189.950834) + (xy 222.634645 190.153291) + (xy 222.814091 190.331178) + (xy 222.817052 190.333349) + (xy 222.817053 190.33335) + (xy 222.819118 190.334864) + (xy 223.01786 190.480588) + (xy 223.241474 190.598237) + (xy 223.480022 190.681542) + (xy 223.483626 190.682226) + (xy 223.483625 190.682226) + (xy 223.724654 190.727987) + (xy 223.724656 190.727987) + (xy 223.728263 190.728672) + (xy 223.865712 190.734072) + (xy 223.977073 190.738448) + (xy 223.977076 190.738448) + (xy 223.980743 190.738592) + (xy 224.109788 190.724459) + (xy 224.228276 190.711483) + (xy 224.228279 190.711482) + (xy 224.231917 190.711084) + (xy 224.476266 190.646752) + (xy 224.708421 190.54701) + (xy 224.819268 190.478416) + (xy 224.920158 190.415984) + (xy 224.920163 190.41598) + (xy 224.923284 190.414049) + (xy 225.116134 190.250789) + (xy 225.204227 190.150339) + (xy 225.280309 190.063585) + (xy 225.280315 190.063577) + (xy 225.282735 190.060818) + (xy 225.284726 190.057723) + (xy 225.41744 189.851396) + (xy 225.417441 189.851393) + (xy 225.419426 189.848308) + (xy 225.420938 189.844951) + (xy 225.521701 189.621265) + (xy 225.521702 189.621263) + (xy 225.523204 189.617928) + (xy 225.549079 189.526182) + (xy 225.590793 189.378276) + (xy 225.590794 189.378273) + (xy 225.59179 189.37474) + (xy 225.623678 189.124085) + (xy 225.626014 189.034864) + (xy 225.607561 188.786537) + (xy 225.60756 188.786532) + (xy 225.607289 188.782884) + (xy 225.551524 188.536439) + (xy 225.550194 188.533018) + (xy 225.461275 188.304362) + (xy 225.461273 188.304357) + (xy 225.459945 188.300943) + (xy 225.334563 188.081571) + (xy 225.178133 187.883141) + (xy 225.17546 187.880627) + (xy 225.175455 187.880621) + (xy 224.996764 187.712526) + (xy 224.996763 187.712525) + (xy 224.994092 187.710012) + (xy 224.786482 187.565988) + (xy 224.738456 187.542304) + (xy 224.563167 187.45586) + (xy 224.563163 187.455858) + (xy 224.559865 187.454232) + (xy 224.55636 187.45311) + (xy 224.556358 187.453109) + (xy 224.411547 187.406755) + (xy 224.319218 187.377201) + (xy 224.315603 187.376612) + (xy 224.315602 187.376612) + (xy 224.073445 187.337174) + (xy 224.073444 187.337174) + (xy 224.069828 187.336585) + (xy 224.066165 187.336537) + (xy 224.066164 187.336537) + (xy 223.943502 187.334932) + (xy 223.817175 187.333278) + (xy 223.813547 187.333772) + (xy 223.813543 187.333772) + (xy 223.653859 187.355504) + (xy 223.566807 187.367351) + (xy 223.324226 187.438057) + (xy 223.094761 187.543842) + (xy 222.883452 187.682382) + (xy 222.88072 187.684821) + (xy 222.880718 187.684822) + (xy 222.824651 187.734864) + (xy 222.694942 187.850634) + (xy 222.533372 188.044901) + (xy 222.40229 188.260916) + (xy 222.304578 188.493934) + (xy 222.303673 188.497496) + (xy 222.303673 188.497497) + (xy 222.252812 188.697764) + (xy 222.242381 188.738834) + (xy 222.217066 188.990238) + (xy 209.422699 188.990238) + (xy 209.40729 188.782883) + (xy 209.351525 188.536438) + (xy 209.336382 188.497496) + (xy 209.261276 188.304363) + (xy 209.261276 188.304362) + (xy 209.259946 188.300943) + (xy 209.134564 188.08157) + (xy 208.978134 187.88314) + (xy 208.975461 187.880626) + (xy 208.975456 187.88062) + (xy 208.841507 187.754614) + (xy 208.794093 187.710011) + (xy 208.586483 187.565987) + (xy 208.359865 187.454232) + (xy 208.119218 187.3772) + (xy 207.869828 187.336584) + (xy 207.866165 187.336536) + (xy 207.866164 187.336536) + (xy 207.743501 187.334931) + (xy 207.617174 187.333277) + (xy 207.613546 187.333771) + (xy 207.613542 187.333771) + (xy 207.454202 187.355456) + (xy 207.366807 187.36735) + (xy 207.124226 187.438056) + (xy 206.894761 187.543841) + (xy 206.683452 187.682381) + (xy 206.680719 187.68482) + (xy 206.680718 187.684821) + (xy 206.497682 187.848186) + (xy 206.497678 187.84819) + (xy 206.494941 187.850633) + (xy 206.492594 187.853455) + (xy 206.492592 187.853457) + (xy 206.465501 187.886031) + (xy 206.333371 188.044901) + (xy 206.331471 188.048033) + (xy 206.331469 188.048035) + (xy 206.300351 188.099316) + (xy 206.202289 188.260916) + (xy 206.104577 188.493933) + (xy 206.103672 188.497495) + (xy 206.103672 188.497496) + (xy 206.052811 188.697763) + (xy 206.04238 188.738834) + (xy 206.017065 188.990238) + (xy 149.521248 188.990238) + (xy 149.521248 188.709086) + (xy 149.523805 188.686731) + (xy 149.525123 188.681045) + (xy 149.52639 188.67558) + (xy 149.526391 188.674864) + (xy 149.525723 188.671936) + (xy 149.525678 188.671304) + (xy 149.523131 188.590238) + (xy 149.519658 188.479725) + (xy 149.507676 188.098455) + (xy 149.507675 188.098445) + (xy 149.507627 188.096906) + (xy 149.506178 188.081571) + (xy 149.453354 187.522762) + (xy 149.453354 187.522759) + (xy 149.453209 187.521229) + (xy 149.44028 187.439595) + (xy 149.362993 186.951626) + (xy 149.362992 186.951623) + (xy 149.362752 186.950105) + (xy 149.239176 186.397256) + (xy 149.236954 186.387315) + (xy 149.236951 186.387301) + (xy 149.236612 186.385787) + (xy 149.075722 185.831996) + (xy 149.07572 185.83199) + (xy 149.075288 185.830504) + (xy 148.879937 185.287894) + (xy 148.879933 185.287886) + (xy 148.879415 185.286446) + (xy 148.65038 184.757176) + (xy 148.650377 184.757171) + (xy 148.649767 184.755761) + (xy 148.38725 184.240542) + (xy 148.0929 183.742824) + (xy 147.767879 183.26457) + (xy 147.766941 183.26336) + (xy 147.766931 183.263347) + (xy 147.414421 182.808894) + (xy 147.41442 182.808893) + (xy 147.41347 182.807668) + (xy 147.412455 182.806517) + (xy 147.412447 182.806507) + (xy 147.349773 182.735417) + (xy 149.257065 182.735417) + (xy 149.259209 182.780043) + (xy 149.269188 182.987802) + (xy 149.318483 183.235622) + (xy 149.319723 183.239077) + (xy 149.319724 183.239079) + (xy 149.365459 183.36646) + (xy 149.403866 183.473434) + (xy 149.523462 183.696014) + (xy 149.525661 183.698959) + (xy 149.525663 183.698962) + (xy 149.590542 183.785845) + (xy 149.674644 183.898471) + (xy 149.85409 184.076358) + (xy 149.857057 184.078534) + (xy 149.85706 184.078536) + (xy 149.96387 184.156852) + (xy 150.057859 184.225767) + (xy 150.281474 184.343417) + (xy 150.284945 184.344629) + (xy 150.284947 184.34463) + (xy 150.36838 184.373766) + (xy 150.520022 184.426721) + (xy 150.768263 184.473852) + (xy 150.905742 184.479254) + (xy 151.017074 184.483628) + (xy 151.017077 184.483628) + (xy 151.020744 184.483772) + (xy 151.149809 184.469637) + (xy 151.268276 184.456663) + (xy 151.268279 184.456662) + (xy 151.271917 184.456264) + (xy 151.516266 184.391932) + (xy 151.748422 184.29219) + (xy 151.751549 184.290255) + (xy 151.960159 184.161163) + (xy 151.960164 184.161159) + (xy 151.963285 184.159228) + (xy 152.156135 183.995969) + (xy 152.322735 183.805997) + (xy 152.362511 183.744159) + (xy 152.457437 183.596581) + (xy 152.45744 183.596576) + (xy 152.459426 183.593488) + (xy 152.512045 183.47668) + (xy 152.561695 183.36646) + (xy 152.563205 183.363108) + (xy 152.590996 183.26457) + (xy 152.630792 183.12346) + (xy 152.631791 183.119919) + (xy 152.663679 182.869264) + (xy 152.666015 182.780043) + (xy 152.662699 182.735417) + (xy 284.257065 182.735417) + (xy 284.259209 182.780043) + (xy 284.269188 182.987802) + (xy 284.318483 183.235622) + (xy 284.319723 183.239077) + (xy 284.319724 183.239079) + (xy 284.365459 183.36646) + (xy 284.403866 183.473434) + (xy 284.523462 183.696014) + (xy 284.525661 183.698959) + (xy 284.525663 183.698962) + (xy 284.590542 183.785845) + (xy 284.674644 183.898471) + (xy 284.85409 184.076358) + (xy 284.857057 184.078534) + (xy 284.85706 184.078536) + (xy 284.96387 184.156852) + (xy 285.057859 184.225767) + (xy 285.281474 184.343417) + (xy 285.284945 184.344629) + (xy 285.284947 184.34463) + (xy 285.36838 184.373766) + (xy 285.520022 184.426721) + (xy 285.768263 184.473852) + (xy 285.905742 184.479254) + (xy 286.017074 184.483628) + (xy 286.017077 184.483628) + (xy 286.020744 184.483772) + (xy 286.149809 184.469637) + (xy 286.268276 184.456663) + (xy 286.268279 184.456662) + (xy 286.271917 184.456264) + (xy 286.516266 184.391932) + (xy 286.748422 184.29219) + (xy 286.751549 184.290255) + (xy 286.960159 184.161163) + (xy 286.960164 184.161159) + (xy 286.963285 184.159228) + (xy 287.156135 183.995969) + (xy 287.322735 183.805997) + (xy 287.362511 183.744159) + (xy 287.457437 183.596581) + (xy 287.45744 183.596576) + (xy 287.459426 183.593488) + (xy 287.512045 183.47668) + (xy 287.561695 183.36646) + (xy 287.563205 183.363108) + (xy 287.590996 183.26457) + (xy 287.630792 183.12346) + (xy 287.631791 183.119919) + (xy 287.663679 182.869264) + (xy 287.666015 182.780043) + (xy 287.64729 182.528062) + (xy 287.591525 182.281617) + (xy 287.576382 182.242675) + (xy 287.501276 182.049542) + (xy 287.499946 182.046122) + (xy 287.374564 181.826749) + (xy 287.218134 181.628319) + (xy 287.215461 181.625805) + (xy 287.215456 181.625799) + (xy 287.036765 181.457704) + (xy 287.034093 181.45519) + (xy 286.826483 181.311166) + (xy 286.599865 181.199411) + (xy 286.359218 181.122379) + (xy 286.109828 181.081763) + (xy 286.106165 181.081715) + (xy 286.106164 181.081715) + (xy 285.983501 181.080109) + (xy 285.857174 181.078456) + (xy 285.853546 181.07895) + (xy 285.853542 181.07895) + (xy 285.694202 181.100635) + (xy 285.606807 181.112529) + (xy 285.364226 181.183235) + (xy 285.134761 181.28902) + (xy 284.923452 181.42756) + (xy 284.920719 181.429999) + (xy 284.920718 181.43) + (xy 284.737682 181.593365) + (xy 284.737678 181.593369) + (xy 284.734941 181.595812) + (xy 284.573371 181.79008) + (xy 284.571471 181.793212) + (xy 284.571469 181.793214) + (xy 284.549182 181.829942) + (xy 284.442289 182.006095) + (xy 284.344577 182.239112) + (xy 284.343672 182.242674) + (xy 284.343672 182.242675) + (xy 284.310044 182.375087) + (xy 284.28238 182.484013) + (xy 284.257065 182.735417) + (xy 152.662699 182.735417) + (xy 152.64729 182.528062) + (xy 152.591525 182.281617) + (xy 152.576382 182.242675) + (xy 152.501276 182.049542) + (xy 152.499946 182.046122) + (xy 152.374564 181.826749) + (xy 152.218134 181.628319) + (xy 152.215461 181.625805) + (xy 152.215456 181.625799) + (xy 152.036765 181.457704) + (xy 152.034093 181.45519) + (xy 151.826483 181.311166) + (xy 151.599865 181.199411) + (xy 151.359218 181.122379) + (xy 151.109828 181.081763) + (xy 151.106165 181.081715) + (xy 151.106164 181.081715) + (xy 150.983501 181.080109) + (xy 150.857174 181.078456) + (xy 150.853546 181.07895) + (xy 150.853542 181.07895) + (xy 150.694202 181.100635) + (xy 150.606807 181.112529) + (xy 150.364226 181.183235) + (xy 150.134761 181.28902) + (xy 149.923452 181.42756) + (xy 149.920719 181.429999) + (xy 149.920718 181.43) + (xy 149.737682 181.593365) + (xy 149.737678 181.593369) + (xy 149.734941 181.595812) + (xy 149.573371 181.79008) + (xy 149.571471 181.793212) + (xy 149.571469 181.793214) + (xy 149.549182 181.829942) + (xy 149.442289 182.006095) + (xy 149.344577 182.239112) + (xy 149.343672 182.242674) + (xy 149.343672 182.242675) + (xy 149.310044 182.375087) + (xy 149.28238 182.484013) + (xy 149.257065 182.735417) + (xy 147.349773 182.735417) + (xy 147.032099 182.375088) + (xy 147.032097 182.375085) + (xy 147.03107 182.373921) + (xy 146.622191 181.965042) + (xy 146.598799 181.944419) + (xy 146.189605 181.583665) + (xy 146.189595 181.583657) + (xy 146.188444 181.582642) + (xy 145.991659 181.43) + (xy 145.732765 181.229181) + (xy 145.732752 181.229171) + (xy 145.731542 181.228233) + (xy 145.253288 180.903212) + (xy 144.75557 180.608862) + (xy 144.240351 180.346345) + (xy 144.238941 180.345735) + (xy 144.238935 180.345732) + (xy 143.711071 180.117305) + (xy 143.709666 180.116697) + (xy 143.708226 180.116179) + (xy 143.708217 180.116175) + (xy 143.167072 179.921351) + (xy 143.16707 179.92135) + (xy 143.165608 179.920824) + (xy 143.164122 179.920392) + (xy 143.164115 179.92039) + (xy 142.611833 179.759938) + (xy 142.61183 179.759937) + (xy 142.610325 179.7595) + (xy 142.608811 179.759161) + (xy 142.608797 179.759158) + (xy 142.192562 179.666119) + (xy 142.046007 179.63336) + (xy 142.044489 179.63312) + (xy 142.044486 179.633119) + (xy 141.476413 179.543145) + (xy 141.476403 179.543144) + (xy 141.474883 179.542903) + (xy 141.473353 179.542758) + (xy 141.47335 179.542758) + (xy 140.900741 179.48863) + (xy 140.900738 179.48863) + (xy 140.899206 179.488485) + (xy 140.897667 179.488437) + (xy 140.897657 179.488436) + (xy 140.325901 179.470468) + (xy 140.324852 179.470391) + (xy 140.321964 179.469722) + (xy 140.321248 179.469721) + (xy 140.315799 179.470964) + (xy 140.309569 179.472385) + (xy 140.287552 179.474864) + (xy 137.999311 179.474864) + (xy 137.94112 179.455957) + (xy 137.929307 179.445868) + (xy 136.690268 178.206829) + (xy 136.662491 178.152312) + (xy 136.661272 178.136805) + (xy 136.66189 175.103991) + (xy 136.662141 173.86844) + (xy 193.09477 173.86844) + (xy 193.1092 174.088604) + (xy 193.110316 174.092997) + (xy 193.110316 174.092999) + (xy 193.133873 174.185754) + (xy 193.163511 174.302452) + (xy 193.255883 174.502821) + (xy 193.383222 174.683002) + (xy 193.541264 174.836961) + (xy 193.724717 174.95954) + (xy 193.927436 175.046635) + (xy 194.005165 175.064223) + (xy 194.138206 175.094328) + (xy 194.138211 175.094329) + (xy 194.142632 175.095329) + (xy 194.252865 175.09966) + (xy 194.358565 175.103813) + (xy 194.358566 175.103813) + (xy 194.363098 175.103991) + (xy 194.581452 175.072331) + (xy 194.585751 175.070872) + (xy 194.585754 175.070871) + (xy 194.786078 175.00287) + (xy 194.790379 175.00141) + (xy 194.861944 174.961332) + (xy 194.978925 174.895819) + (xy 194.982884 174.893602) + (xy 195.152518 174.752518) + (xy 195.293602 174.582884) + (xy 195.40141 174.390379) + (xy 195.472331 174.181452) + (xy 195.485156 174.092999) + (xy 195.503571 173.965997) + (xy 195.503571 173.965991) + (xy 195.503991 173.963098) + (xy 195.505643 173.9) + (xy 195.502743 173.86844) + (xy 243.49477 173.86844) + (xy 243.5092 174.088604) + (xy 243.510316 174.092997) + (xy 243.510316 174.092999) + (xy 243.533873 174.185754) + (xy 243.563511 174.302452) + (xy 243.655883 174.502821) + (xy 243.783222 174.683002) + (xy 243.941264 174.836961) + (xy 244.124717 174.95954) + (xy 244.327436 175.046635) + (xy 244.405165 175.064223) + (xy 244.538206 175.094328) + (xy 244.538211 175.094329) + (xy 244.542632 175.095329) + (xy 244.652865 175.09966) + (xy 244.758565 175.103813) + (xy 244.758566 175.103813) + (xy 244.763098 175.103991) + (xy 244.981452 175.072331) + (xy 244.985751 175.070872) + (xy 244.985754 175.070871) + (xy 245.186078 175.00287) + (xy 245.190379 175.00141) + (xy 245.261944 174.961332) + (xy 245.378925 174.895819) + (xy 245.382884 174.893602) + (xy 245.552518 174.752518) + (xy 245.693602 174.582884) + (xy 245.80141 174.390379) + (xy 245.872331 174.181452) + (xy 245.885156 174.092999) + (xy 245.903571 173.965997) + (xy 245.903571 173.965991) + (xy 245.903991 173.963098) + (xy 245.905643 173.9) + (xy 245.885454 173.680289) + (xy 245.833429 173.495819) + (xy 245.826799 173.472311) + (xy 245.826798 173.47231) + (xy 245.825565 173.467936) + (xy 245.823557 173.463864) + (xy 245.823555 173.463859) + (xy 245.729988 173.274125) + (xy 245.72798 173.270053) + (xy 245.595967 173.093267) + (xy 245.567658 173.067098) + (xy 245.437279 172.946577) + (xy 245.437278 172.946576) + (xy 245.433949 172.943499) + (xy 245.375419 172.906569) + (xy 245.251187 172.828185) + (xy 245.24735 172.825764) + (xy 245.042421 172.744006) + (xy 244.826024 172.700962) + (xy 244.717347 172.699539) + (xy 244.609946 172.698133) + (xy 244.609941 172.698133) + (xy 244.605406 172.698074) + (xy 244.600933 172.698843) + (xy 244.600928 172.698843) + (xy 244.392435 172.734668) + (xy 244.392429 172.73467) + (xy 244.387957 172.735438) + (xy 244.360176 172.745687) + (xy 244.18522 172.810231) + (xy 244.185217 172.810232) + (xy 244.180957 172.811804) + (xy 244.177054 172.814126) + (xy 244.177052 172.814127) + (xy 244.157492 172.825764) + (xy 243.991341 172.924614) + (xy 243.987926 172.927609) + (xy 243.987923 172.927611) + (xy 243.880036 173.022225) + (xy 243.825457 173.07009) + (xy 243.822649 173.073652) + (xy 243.733788 173.186373) + (xy 243.688863 173.24336) + (xy 243.686749 173.247378) + (xy 243.666343 173.286164) + (xy 243.586131 173.43862) + (xy 243.584787 173.442949) + (xy 243.549368 173.557018) + (xy 243.520703 173.649333) + (xy 243.520169 173.653843) + (xy 243.520169 173.653844) + (xy 243.517039 173.680289) + (xy 243.49477 173.86844) + (xy 195.502743 173.86844) + (xy 195.485454 173.680289) + (xy 195.433429 173.495819) + (xy 195.426799 173.472311) + (xy 195.426798 173.47231) + (xy 195.425565 173.467936) + (xy 195.423557 173.463864) + (xy 195.423555 173.463859) + (xy 195.329988 173.274125) + (xy 195.32798 173.270053) + (xy 195.195967 173.093267) + (xy 195.167658 173.067098) + (xy 195.037279 172.946577) + (xy 195.037278 172.946576) + (xy 195.033949 172.943499) + (xy 194.975419 172.906569) + (xy 194.851187 172.828185) + (xy 194.84735 172.825764) + (xy 194.642421 172.744006) + (xy 194.426024 172.700962) + (xy 194.317347 172.699539) + (xy 194.209946 172.698133) + (xy 194.209941 172.698133) + (xy 194.205406 172.698074) + (xy 194.200933 172.698843) + (xy 194.200928 172.698843) + (xy 193.992435 172.734668) + (xy 193.992429 172.73467) + (xy 193.987957 172.735438) + (xy 193.960176 172.745687) + (xy 193.78522 172.810231) + (xy 193.785217 172.810232) + (xy 193.780957 172.811804) + (xy 193.777054 172.814126) + (xy 193.777052 172.814127) + (xy 193.757492 172.825764) + (xy 193.591341 172.924614) + (xy 193.587926 172.927609) + (xy 193.587923 172.927611) + (xy 193.480036 173.022225) + (xy 193.425457 173.07009) + (xy 193.422649 173.073652) + (xy 193.333788 173.186373) + (xy 193.288863 173.24336) + (xy 193.286749 173.247378) + (xy 193.266343 173.286164) + (xy 193.186131 173.43862) + (xy 193.184787 173.442949) + (xy 193.149368 173.557018) + (xy 193.120703 173.649333) + (xy 193.120169 173.653843) + (xy 193.120169 173.653844) + (xy 193.117039 173.680289) + (xy 193.09477 173.86844) + (xy 136.662141 173.86844) + (xy 136.662426 172.46844) + (xy 275.99477 172.46844) + (xy 276.0092 172.688604) + (xy 276.010316 172.692997) + (xy 276.010316 172.692999) + (xy 276.044649 172.828185) + (xy 276.063511 172.902452) + (xy 276.155883 173.102821) + (xy 276.283222 173.283002) + (xy 276.441264 173.436961) + (xy 276.624717 173.55954) + (xy 276.827436 173.646635) + (xy 276.905165 173.664223) + (xy 277.038206 173.694328) + (xy 277.038211 173.694329) + (xy 277.042632 173.695329) + (xy 277.152865 173.69966) + (xy 277.258565 173.703813) + (xy 277.258566 173.703813) + (xy 277.263098 173.703991) + (xy 277.481452 173.672331) + (xy 277.485751 173.670872) + (xy 277.485754 173.670871) + (xy 277.686078 173.60287) + (xy 277.690379 173.60141) + (xy 277.761944 173.561332) + (xy 277.878925 173.495819) + (xy 277.882884 173.493602) + (xy 278.052518 173.352518) + (xy 278.193602 173.182884) + (xy 278.30141 172.990379) + (xy 278.332749 172.898058) + (xy 278.370871 172.785754) + (xy 278.370872 172.785751) + (xy 278.372331 172.781452) + (xy 278.385156 172.692999) + (xy 278.403571 172.565997) + (xy 278.403571 172.565991) + (xy 278.403991 172.563098) + (xy 278.405643 172.5) + (xy 278.385454 172.280289) + (xy 278.350688 172.157018) + (xy 278.326799 172.072311) + (xy 278.326798 172.07231) + (xy 278.325565 172.067936) + (xy 278.323557 172.063864) + (xy 278.323555 172.063859) + (xy 278.229988 171.874125) + (xy 278.22798 171.870053) + (xy 278.095967 171.693267) + (xy 278.074435 171.673363) + (xy 277.937279 171.546577) + (xy 277.937278 171.546576) + (xy 277.933949 171.543499) + (xy 277.88668 171.513674) + (xy 277.751187 171.428185) + (xy 277.74735 171.425764) + (xy 277.542421 171.344006) + (xy 277.326024 171.300962) + (xy 277.217347 171.299539) + (xy 277.109946 171.298133) + (xy 277.109941 171.298133) + (xy 277.105406 171.298074) + (xy 277.100933 171.298843) + (xy 277.100928 171.298843) + (xy 276.892435 171.334668) + (xy 276.892429 171.33467) + (xy 276.887957 171.335438) + (xy 276.860176 171.345687) + (xy 276.68522 171.410231) + (xy 276.685217 171.410232) + (xy 276.680957 171.411804) + (xy 276.677054 171.414126) + (xy 276.677052 171.414127) + (xy 276.653423 171.428185) + (xy 276.491341 171.524614) + (xy 276.487926 171.527609) + (xy 276.487923 171.527611) + (xy 276.406865 171.598697) + (xy 276.325457 171.67009) + (xy 276.322649 171.673652) + (xy 276.233788 171.786373) + (xy 276.188863 171.84336) + (xy 276.186749 171.847378) + (xy 276.108785 171.995563) + (xy 276.086131 172.03862) + (xy 276.084787 172.042949) + (xy 276.037472 172.195329) + (xy 276.020703 172.249333) + (xy 276.020169 172.253843) + (xy 276.020169 172.253844) + (xy 276.017039 172.280289) + (xy 275.99477 172.46844) + (xy 136.662426 172.46844) + (xy 136.662711 171.06844) + (xy 156.99477 171.06844) + (xy 156.997719 171.113439) + (xy 157.008437 171.276955) + (xy 157.0092 171.288604) + (xy 157.010316 171.292997) + (xy 157.010316 171.292999) + (xy 157.044224 171.42651) + (xy 157.063511 171.502452) + (xy 157.155883 171.702821) + (xy 157.283222 171.883002) + (xy 157.441264 172.036961) + (xy 157.624717 172.15954) + (xy 157.827436 172.246635) + (xy 157.905165 172.264223) + (xy 158.038206 172.294328) + (xy 158.038211 172.294329) + (xy 158.042632 172.295329) + (xy 158.152865 172.29966) + (xy 158.258565 172.303813) + (xy 158.258566 172.303813) + (xy 158.263098 172.303991) + (xy 158.481452 172.272331) + (xy 158.485751 172.270872) + (xy 158.485754 172.270871) + (xy 158.686078 172.20287) + (xy 158.690379 172.20141) + (xy 158.701238 172.195329) + (xy 158.878925 172.095819) + (xy 158.882884 172.093602) + (xy 159.052518 171.952518) + (xy 159.193602 171.782884) + (xy 159.30141 171.590379) + (xy 159.334011 171.49434) + (xy 159.370871 171.385754) + (xy 159.370872 171.385751) + (xy 159.372331 171.381452) + (xy 159.385156 171.292999) + (xy 159.403571 171.165997) + (xy 159.403571 171.165991) + (xy 159.403991 171.163098) + (xy 159.40519 171.117325) + (xy 159.405567 171.102914) + (xy 159.405567 171.102909) + (xy 159.405643 171.1) + (xy 159.401984 171.060173) + (xy 159.386987 170.896975) + (xy 159.385454 170.880289) + (xy 159.358526 170.784809) + (xy 159.326799 170.672311) + (xy 159.326798 170.67231) + (xy 159.325565 170.667936) + (xy 159.323557 170.663864) + (xy 159.323555 170.663859) + (xy 159.229988 170.474125) + (xy 159.22798 170.470053) + (xy 159.095967 170.293267) + (xy 159.067658 170.267098) + (xy 158.937279 170.146577) + (xy 158.937278 170.146576) + (xy 158.933949 170.143499) + (xy 158.894598 170.11867) + (xy 158.751187 170.028185) + (xy 158.74735 170.025764) + (xy 158.542421 169.944006) + (xy 158.326024 169.900962) + (xy 158.217347 169.899539) + (xy 158.109946 169.898133) + (xy 158.109941 169.898133) + (xy 158.105406 169.898074) + (xy 158.100933 169.898843) + (xy 158.100928 169.898843) + (xy 157.892435 169.934668) + (xy 157.892429 169.93467) + (xy 157.887957 169.935438) + (xy 157.860176 169.945687) + (xy 157.68522 170.010231) + (xy 157.685217 170.010232) + (xy 157.680957 170.011804) + (xy 157.677054 170.014126) + (xy 157.677052 170.014127) + (xy 157.659425 170.024614) + (xy 157.491341 170.124614) + (xy 157.487926 170.127609) + (xy 157.487923 170.127611) + (xy 157.41657 170.190186) + (xy 157.325457 170.27009) + (xy 157.322649 170.273652) + (xy 157.243128 170.374525) + (xy 157.188863 170.44336) + (xy 157.086131 170.63862) + (xy 157.084787 170.642949) + (xy 157.037472 170.795329) + (xy 157.020703 170.849333) + (xy 157.020169 170.853843) + (xy 157.020169 170.853844) + (xy 156.995748 171.060173) + (xy 156.99477 171.06844) + (xy 136.662711 171.06844) + (xy 136.663016 169.56844) + (xy 175.09477 169.56844) + (xy 175.1092 169.788604) + (xy 175.110316 169.792997) + (xy 175.110316 169.792999) + (xy 175.144649 169.928185) + (xy 175.163511 170.002452) + (xy 175.255883 170.202821) + (xy 175.383222 170.383002) + (xy 175.541264 170.536961) + (xy 175.724717 170.65954) + (xy 175.927436 170.746635) + (xy 176.005165 170.764223) + (xy 176.138206 170.794328) + (xy 176.138211 170.794329) + (xy 176.142632 170.795329) + (xy 176.249734 170.799537) + (xy 176.358565 170.803813) + (xy 176.358566 170.803813) + (xy 176.363098 170.803991) + (xy 176.581452 170.772331) + (xy 176.585751 170.770872) + (xy 176.585754 170.770871) + (xy 176.786078 170.70287) + (xy 176.790379 170.70141) + (xy 176.844202 170.671268) + (xy 176.966745 170.60264) + (xy 176.982884 170.593602) + (xy 177.016474 170.565665) + (xy 204.095119 170.565665) + (xy 204.108376 170.79558) + (xy 204.159006 171.020242) + (xy 204.160535 171.024006) + (xy 204.160536 171.024011) + (xy 204.229155 171.192999) + (xy 204.245649 171.233618) + (xy 204.247773 171.237084) + (xy 204.247775 171.237088) + (xy 204.312753 171.343122) + (xy 204.365979 171.429978) + (xy 204.368638 171.433048) + (xy 204.368639 171.433049) + (xy 204.508354 171.59434) + (xy 204.516763 171.604048) + (xy 204.693953 171.751154) + (xy 204.89279 171.867345) + (xy 205.107934 171.949501) + (xy 205.111909 171.95031) + (xy 205.11191 171.95031) + (xy 205.329624 171.994604) + (xy 205.329628 171.994604) + (xy 205.333607 171.995414) + (xy 205.337667 171.995563) + (xy 205.337668 171.995563) + (xy 205.379459 171.997095) + (xy 205.563749 172.003853) + (xy 205.567767 172.003338) + (xy 205.567773 172.003338) + (xy 205.788146 171.975108) + (xy 205.788152 171.975107) + (xy 205.792178 171.974591) + (xy 205.796071 171.973423) + (xy 205.796076 171.973422) + (xy 205.954836 171.925791) + (xy 206.012761 171.908413) + (xy 206.219574 171.807096) + (xy 206.407062 171.673363) + (xy 206.57019 171.510803) + (xy 206.704577 171.323783) + (xy 206.806615 171.117325) + (xy 206.810994 171.102914) + (xy 206.87238 170.900869) + (xy 206.87238 170.900868) + (xy 206.873563 170.896975) + (xy 206.903622 170.668649) + (xy 206.903907 170.657018) + (xy 206.905235 170.60264) + (xy 206.9053 170.6) + (xy 206.904774 170.593602) + (xy 206.902477 170.565665) + (xy 208.095119 170.565665) + (xy 208.108376 170.79558) + (xy 208.159006 171.020242) + (xy 208.160535 171.024006) + (xy 208.160536 171.024011) + (xy 208.229155 171.192999) + (xy 208.245649 171.233618) + (xy 208.247773 171.237084) + (xy 208.247775 171.237088) + (xy 208.312753 171.343122) + (xy 208.365979 171.429978) + (xy 208.368638 171.433048) + (xy 208.368639 171.433049) + (xy 208.508354 171.59434) + (xy 208.516763 171.604048) + (xy 208.693953 171.751154) + (xy 208.89279 171.867345) + (xy 209.107934 171.949501) + (xy 209.111909 171.95031) + (xy 209.11191 171.95031) + (xy 209.329624 171.994604) + (xy 209.329628 171.994604) + (xy 209.333607 171.995414) + (xy 209.337667 171.995563) + (xy 209.337668 171.995563) + (xy 209.379459 171.997095) + (xy 209.563749 172.003853) + (xy 209.567767 172.003338) + (xy 209.567773 172.003338) + (xy 209.788146 171.975108) + (xy 209.788152 171.975107) + (xy 209.792178 171.974591) + (xy 209.796071 171.973423) + (xy 209.796076 171.973422) + (xy 209.954836 171.925791) + (xy 210.012761 171.908413) + (xy 210.219574 171.807096) + (xy 210.407062 171.673363) + (xy 210.57019 171.510803) + (xy 210.704577 171.323783) + (xy 210.806615 171.117325) + (xy 210.810994 171.102914) + (xy 210.87238 170.900869) + (xy 210.87238 170.900868) + (xy 210.873563 170.896975) + (xy 210.903622 170.668649) + (xy 210.903907 170.657018) + (xy 210.905235 170.60264) + (xy 210.9053 170.6) + (xy 210.904774 170.593602) + (xy 210.902477 170.565665) + (xy 212.095119 170.565665) + (xy 212.108376 170.79558) + (xy 212.159006 171.020242) + (xy 212.160535 171.024006) + (xy 212.160536 171.024011) + (xy 212.229155 171.192999) + (xy 212.245649 171.233618) + (xy 212.247773 171.237084) + (xy 212.247775 171.237088) + (xy 212.312753 171.343122) + (xy 212.365979 171.429978) + (xy 212.368638 171.433048) + (xy 212.368639 171.433049) + (xy 212.508354 171.59434) + (xy 212.516763 171.604048) + (xy 212.693953 171.751154) + (xy 212.89279 171.867345) + (xy 213.107934 171.949501) + (xy 213.111909 171.95031) + (xy 213.11191 171.95031) + (xy 213.329624 171.994604) + (xy 213.329628 171.994604) + (xy 213.333607 171.995414) + (xy 213.337667 171.995563) + (xy 213.337668 171.995563) + (xy 213.379459 171.997095) + (xy 213.563749 172.003853) + (xy 213.567767 172.003338) + (xy 213.567773 172.003338) + (xy 213.788146 171.975108) + (xy 213.788152 171.975107) + (xy 213.792178 171.974591) + (xy 213.796071 171.973423) + (xy 213.796076 171.973422) + (xy 213.954836 171.925791) + (xy 214.012761 171.908413) + (xy 214.219574 171.807096) + (xy 214.407062 171.673363) + (xy 214.57019 171.510803) + (xy 214.704577 171.323783) + (xy 214.806615 171.117325) + (xy 214.810994 171.102914) + (xy 214.87238 170.900869) + (xy 214.87238 170.900868) + (xy 214.873563 170.896975) + (xy 214.903622 170.668649) + (xy 214.903907 170.657018) + (xy 214.905235 170.60264) + (xy 214.9053 170.6) + (xy 214.904774 170.593602) + (xy 214.902477 170.565665) + (xy 216.095119 170.565665) + (xy 216.108376 170.79558) + (xy 216.159006 171.020242) + (xy 216.160535 171.024006) + (xy 216.160536 171.024011) + (xy 216.229155 171.192999) + (xy 216.245649 171.233618) + (xy 216.247773 171.237084) + (xy 216.247775 171.237088) + (xy 216.312753 171.343122) + (xy 216.365979 171.429978) + (xy 216.368638 171.433048) + (xy 216.368639 171.433049) + (xy 216.508354 171.59434) + (xy 216.516763 171.604048) + (xy 216.693953 171.751154) + (xy 216.89279 171.867345) + (xy 217.107934 171.949501) + (xy 217.111909 171.95031) + (xy 217.11191 171.95031) + (xy 217.329624 171.994604) + (xy 217.329628 171.994604) + (xy 217.333607 171.995414) + (xy 217.337667 171.995563) + (xy 217.337668 171.995563) + (xy 217.379459 171.997095) + (xy 217.563749 172.003853) + (xy 217.567767 172.003338) + (xy 217.567773 172.003338) + (xy 217.788146 171.975108) + (xy 217.788152 171.975107) + (xy 217.792178 171.974591) + (xy 217.796071 171.973423) + (xy 217.796076 171.973422) + (xy 217.954836 171.925791) + (xy 218.012761 171.908413) + (xy 218.219574 171.807096) + (xy 218.407062 171.673363) + (xy 218.57019 171.510803) + (xy 218.704577 171.323783) + (xy 218.806615 171.117325) + (xy 218.810994 171.102914) + (xy 218.87238 170.900869) + (xy 218.87238 170.900868) + (xy 218.873563 170.896975) + (xy 218.903622 170.668649) + (xy 218.903907 170.657018) + (xy 218.905235 170.60264) + (xy 218.9053 170.6) + (xy 218.904774 170.593602) + (xy 218.902477 170.565665) + (xy 220.095119 170.565665) + (xy 220.108376 170.79558) + (xy 220.159006 171.020242) + (xy 220.160535 171.024006) + (xy 220.160536 171.024011) + (xy 220.229155 171.192999) + (xy 220.245649 171.233618) + (xy 220.247773 171.237084) + (xy 220.247775 171.237088) + (xy 220.312753 171.343122) + (xy 220.365979 171.429978) + (xy 220.368638 171.433048) + (xy 220.368639 171.433049) + (xy 220.508354 171.59434) + (xy 220.516763 171.604048) + (xy 220.693953 171.751154) + (xy 220.89279 171.867345) + (xy 221.107934 171.949501) + (xy 221.111909 171.95031) + (xy 221.11191 171.95031) + (xy 221.329624 171.994604) + (xy 221.329628 171.994604) + (xy 221.333607 171.995414) + (xy 221.337667 171.995563) + (xy 221.337668 171.995563) + (xy 221.379459 171.997095) + (xy 221.563749 172.003853) + (xy 221.567767 172.003338) + (xy 221.567773 172.003338) + (xy 221.788146 171.975108) + (xy 221.788152 171.975107) + (xy 221.792178 171.974591) + (xy 221.796071 171.973423) + (xy 221.796076 171.973422) + (xy 221.954836 171.925791) + (xy 222.012761 171.908413) + (xy 222.219574 171.807096) + (xy 222.407062 171.673363) + (xy 222.57019 171.510803) + (xy 222.704577 171.323783) + (xy 222.806615 171.117325) + (xy 222.810994 171.102914) + (xy 222.87238 170.900869) + (xy 222.87238 170.900868) + (xy 222.873563 170.896975) + (xy 222.903622 170.668649) + (xy 222.903907 170.657018) + (xy 222.905235 170.60264) + (xy 222.9053 170.6) + (xy 222.904774 170.593602) + (xy 222.902477 170.565665) + (xy 224.095119 170.565665) + (xy 224.108376 170.79558) + (xy 224.159006 171.020242) + (xy 224.160535 171.024006) + (xy 224.160536 171.024011) + (xy 224.229155 171.192999) + (xy 224.245649 171.233618) + (xy 224.247773 171.237084) + (xy 224.247775 171.237088) + (xy 224.312753 171.343122) + (xy 224.365979 171.429978) + (xy 224.368638 171.433048) + (xy 224.368639 171.433049) + (xy 224.508354 171.59434) + (xy 224.516763 171.604048) + (xy 224.693953 171.751154) + (xy 224.89279 171.867345) + (xy 225.107934 171.949501) + (xy 225.111909 171.95031) + (xy 225.11191 171.95031) + (xy 225.329624 171.994604) + (xy 225.329628 171.994604) + (xy 225.333607 171.995414) + (xy 225.337667 171.995563) + (xy 225.337668 171.995563) + (xy 225.379459 171.997095) + (xy 225.563749 172.003853) + (xy 225.567767 172.003338) + (xy 225.567773 172.003338) + (xy 225.788146 171.975108) + (xy 225.788152 171.975107) + (xy 225.792178 171.974591) + (xy 225.796071 171.973423) + (xy 225.796076 171.973422) + (xy 225.954836 171.925791) + (xy 226.012761 171.908413) + (xy 226.219574 171.807096) + (xy 226.407062 171.673363) + (xy 226.57019 171.510803) + (xy 226.704577 171.323783) + (xy 226.806615 171.117325) + (xy 226.810994 171.102914) + (xy 226.87238 170.900869) + (xy 226.87238 170.900868) + (xy 226.873563 170.896975) + (xy 226.903622 170.668649) + (xy 226.903907 170.657018) + (xy 226.905235 170.60264) + (xy 226.9053 170.6) + (xy 226.904774 170.593602) + (xy 226.902477 170.565665) + (xy 228.095119 170.565665) + (xy 228.108376 170.79558) + (xy 228.159006 171.020242) + (xy 228.160535 171.024006) + (xy 228.160536 171.024011) + (xy 228.229155 171.192999) + (xy 228.245649 171.233618) + (xy 228.247773 171.237084) + (xy 228.247775 171.237088) + (xy 228.312753 171.343122) + (xy 228.365979 171.429978) + (xy 228.368638 171.433048) + (xy 228.368639 171.433049) + (xy 228.508354 171.59434) + (xy 228.516763 171.604048) + (xy 228.693953 171.751154) + (xy 228.89279 171.867345) + (xy 229.107934 171.949501) + (xy 229.111909 171.95031) + (xy 229.11191 171.95031) + (xy 229.329624 171.994604) + (xy 229.329628 171.994604) + (xy 229.333607 171.995414) + (xy 229.337667 171.995563) + (xy 229.337668 171.995563) + (xy 229.379459 171.997095) + (xy 229.563749 172.003853) + (xy 229.567767 172.003338) + (xy 229.567773 172.003338) + (xy 229.788146 171.975108) + (xy 229.788152 171.975107) + (xy 229.792178 171.974591) + (xy 229.796071 171.973423) + (xy 229.796076 171.973422) + (xy 229.954836 171.925791) + (xy 230.012761 171.908413) + (xy 230.219574 171.807096) + (xy 230.407062 171.673363) + (xy 230.57019 171.510803) + (xy 230.704577 171.323783) + (xy 230.806615 171.117325) + (xy 230.810994 171.102914) + (xy 230.87238 170.900869) + (xy 230.87238 170.900868) + (xy 230.873563 170.896975) + (xy 230.903622 170.668649) + (xy 230.903907 170.657018) + (xy 230.905235 170.60264) + (xy 230.9053 170.6) + (xy 230.904774 170.593602) + (xy 230.902477 170.565665) + (xy 232.095119 170.565665) + (xy 232.108376 170.79558) + (xy 232.159006 171.020242) + (xy 232.160535 171.024006) + (xy 232.160536 171.024011) + (xy 232.229155 171.192999) + (xy 232.245649 171.233618) + (xy 232.247773 171.237084) + (xy 232.247775 171.237088) + (xy 232.312753 171.343122) + (xy 232.365979 171.429978) + (xy 232.368638 171.433048) + (xy 232.368639 171.433049) + (xy 232.508354 171.59434) + (xy 232.516763 171.604048) + (xy 232.693953 171.751154) + (xy 232.89279 171.867345) + (xy 233.107934 171.949501) + (xy 233.111909 171.95031) + (xy 233.11191 171.95031) + (xy 233.329624 171.994604) + (xy 233.329628 171.994604) + (xy 233.333607 171.995414) + (xy 233.337667 171.995563) + (xy 233.337668 171.995563) + (xy 233.379459 171.997095) + (xy 233.563749 172.003853) + (xy 233.567767 172.003338) + (xy 233.567773 172.003338) + (xy 233.788146 171.975108) + (xy 233.788152 171.975107) + (xy 233.792178 171.974591) + (xy 233.796071 171.973423) + (xy 233.796076 171.973422) + (xy 233.954836 171.925791) + (xy 234.012761 171.908413) + (xy 234.219574 171.807096) + (xy 234.407062 171.673363) + (xy 234.57019 171.510803) + (xy 234.704577 171.323783) + (xy 234.806615 171.117325) + (xy 234.810994 171.102914) + (xy 234.85185 170.96844) + (xy 257.89477 170.96844) + (xy 257.897905 171.016277) + (xy 257.907337 171.160173) + (xy 257.9092 171.188604) + (xy 257.910316 171.192997) + (xy 257.910316 171.192999) + (xy 257.958178 171.381452) + (xy 257.963511 171.402452) + (xy 258.055883 171.602821) + (xy 258.183222 171.783002) + (xy 258.341264 171.936961) + (xy 258.524717 172.05954) + (xy 258.727436 172.146635) + (xy 258.784468 172.15954) + (xy 258.938206 172.194328) + (xy 258.938211 172.194329) + (xy 258.942632 172.195329) + (xy 259.052865 172.19966) + (xy 259.158565 172.203813) + (xy 259.158566 172.203813) + (xy 259.163098 172.203991) + (xy 259.381452 172.172331) + (xy 259.385751 172.170872) + (xy 259.385754 172.170871) + (xy 259.586078 172.10287) + (xy 259.590379 172.10141) + (xy 259.600363 172.095819) + (xy 259.7134 172.032515) + (xy 259.782884 171.993602) + (xy 259.952518 171.852518) + (xy 260.093602 171.682884) + (xy 260.169938 171.546577) + (xy 260.199192 171.49434) + (xy 260.199193 171.494338) + (xy 260.20141 171.490379) + (xy 260.251397 171.343122) + (xy 260.270871 171.285754) + (xy 260.270872 171.285751) + (xy 260.272331 171.281452) + (xy 260.285156 171.192999) + (xy 260.303571 171.065997) + (xy 260.303571 171.065991) + (xy 260.303991 171.063098) + (xy 260.305015 171.024011) + (xy 260.305567 171.002914) + (xy 260.305567 171.002909) + (xy 260.305643 171) + (xy 260.285454 170.780289) + (xy 260.262583 170.699192) + (xy 260.226799 170.572311) + (xy 260.226798 170.57231) + (xy 260.225565 170.567936) + (xy 260.223557 170.563864) + (xy 260.223555 170.563859) + (xy 260.129988 170.374125) + (xy 260.12798 170.370053) + (xy 259.995967 170.193267) + (xy 259.950314 170.151066) + (xy 259.837279 170.046577) + (xy 259.837278 170.046576) + (xy 259.833949 170.043499) + (xy 259.783716 170.011804) + (xy 259.651187 169.928185) + (xy 259.64735 169.925764) + (xy 259.442421 169.844006) + (xy 259.226024 169.800962) + (xy 259.117347 169.799539) + (xy 259.009946 169.798133) + (xy 259.009941 169.798133) + (xy 259.005406 169.798074) + (xy 259.000933 169.798843) + (xy 259.000928 169.798843) + (xy 258.792435 169.834668) + (xy 258.792429 169.83467) + (xy 258.787957 169.835438) + (xy 258.760176 169.845687) + (xy 258.58522 169.910231) + (xy 258.585217 169.910232) + (xy 258.580957 169.911804) + (xy 258.577054 169.914126) + (xy 258.577052 169.914127) + (xy 258.557492 169.925764) + (xy 258.391341 170.024614) + (xy 258.387926 170.027609) + (xy 258.387923 170.027611) + (xy 258.292762 170.111065) + (xy 258.225457 170.17009) + (xy 258.222649 170.173652) + (xy 258.094135 170.336673) + (xy 258.088863 170.34336) + (xy 258.086749 170.347378) + (xy 258.020064 170.474125) + (xy 257.986131 170.53862) + (xy 257.978294 170.563859) + (xy 257.949368 170.657018) + (xy 257.920703 170.749333) + (xy 257.920169 170.753843) + (xy 257.920169 170.753844) + (xy 257.895303 170.963936) + (xy 257.89477 170.96844) + (xy 234.85185 170.96844) + (xy 234.87238 170.900869) + (xy 234.87238 170.900868) + (xy 234.873563 170.896975) + (xy 234.903622 170.668649) + (xy 234.903907 170.657018) + (xy 234.905235 170.60264) + (xy 234.9053 170.6) + (xy 234.904774 170.593602) + (xy 234.886763 170.374525) + (xy 234.886762 170.374522) + (xy 234.88643 170.370478) + (xy 234.88541 170.366415) + (xy 234.831317 170.151066) + (xy 234.830326 170.14712) + (xy 234.767423 170.002452) + (xy 234.740118 169.939654) + (xy 234.740117 169.939652) + (xy 234.738496 169.935924) + (xy 234.613405 169.742563) + (xy 234.458412 169.572229) + (xy 234.455226 169.569713) + (xy 234.455223 169.56971) + (xy 234.280869 169.432013) + (xy 234.280863 169.432009) + (xy 234.277681 169.429496) + (xy 234.132466 169.349333) + (xy 234.079623 169.320162) + (xy 234.079622 169.320161) + (xy 234.076065 169.318198) + (xy 234.072234 169.316841) + (xy 234.072231 169.31684) + (xy 233.862814 169.242681) + (xy 233.862809 169.24268) + (xy 233.858978 169.241323) + (xy 233.63225 169.200937) + (xy 233.628204 169.200888) + (xy 233.628198 169.200887) + (xy 233.501094 169.199334) + (xy 233.401971 169.198123) + (xy 233.174325 169.232958) + (xy 233.056433 169.271491) + (xy 232.959281 169.303245) + (xy 232.959277 169.303247) + (xy 232.955424 169.304506) + (xy 232.951824 169.30638) + (xy 232.754754 169.408968) + (xy 232.754751 169.40897) + (xy 232.751149 169.410845) + (xy 232.566984 169.549119) + (xy 232.407877 169.715616) + (xy 232.405585 169.718976) + (xy 232.280389 169.902505) + (xy 232.280386 169.902511) + (xy 232.278099 169.905863) + (xy 232.181136 170.114752) + (xy 232.155684 170.206529) + (xy 232.13163 170.293267) + (xy 232.119592 170.336673) + (xy 232.119159 170.340721) + (xy 232.119159 170.340723) + (xy 232.115979 170.370478) + (xy 232.095119 170.565665) + (xy 230.902477 170.565665) + (xy 230.886763 170.374525) + (xy 230.886762 170.374522) + (xy 230.88643 170.370478) + (xy 230.88541 170.366415) + (xy 230.831317 170.151066) + (xy 230.830326 170.14712) + (xy 230.767423 170.002452) + (xy 230.740118 169.939654) + (xy 230.740117 169.939652) + (xy 230.738496 169.935924) + (xy 230.613405 169.742563) + (xy 230.458412 169.572229) + (xy 230.455226 169.569713) + (xy 230.455223 169.56971) + (xy 230.280869 169.432013) + (xy 230.280863 169.432009) + (xy 230.277681 169.429496) + (xy 230.132466 169.349333) + (xy 230.079623 169.320162) + (xy 230.079622 169.320161) + (xy 230.076065 169.318198) + (xy 230.072234 169.316841) + (xy 230.072231 169.31684) + (xy 229.862814 169.242681) + (xy 229.862809 169.24268) + (xy 229.858978 169.241323) + (xy 229.63225 169.200937) + (xy 229.628204 169.200888) + (xy 229.628198 169.200887) + (xy 229.501094 169.199334) + (xy 229.401971 169.198123) + (xy 229.174325 169.232958) + (xy 229.056433 169.271491) + (xy 228.959281 169.303245) + (xy 228.959277 169.303247) + (xy 228.955424 169.304506) + (xy 228.951824 169.30638) + (xy 228.754754 169.408968) + (xy 228.754751 169.40897) + (xy 228.751149 169.410845) + (xy 228.566984 169.549119) + (xy 228.407877 169.715616) + (xy 228.405585 169.718976) + (xy 228.280389 169.902505) + (xy 228.280386 169.902511) + (xy 228.278099 169.905863) + (xy 228.181136 170.114752) + (xy 228.155684 170.206529) + (xy 228.13163 170.293267) + (xy 228.119592 170.336673) + (xy 228.119159 170.340721) + (xy 228.119159 170.340723) + (xy 228.115979 170.370478) + (xy 228.095119 170.565665) + (xy 226.902477 170.565665) + (xy 226.886763 170.374525) + (xy 226.886762 170.374522) + (xy 226.88643 170.370478) + (xy 226.88541 170.366415) + (xy 226.831317 170.151066) + (xy 226.830326 170.14712) + (xy 226.767423 170.002452) + (xy 226.740118 169.939654) + (xy 226.740117 169.939652) + (xy 226.738496 169.935924) + (xy 226.613405 169.742563) + (xy 226.458412 169.572229) + (xy 226.455226 169.569713) + (xy 226.455223 169.56971) + (xy 226.280869 169.432013) + (xy 226.280863 169.432009) + (xy 226.277681 169.429496) + (xy 226.132466 169.349333) + (xy 226.079623 169.320162) + (xy 226.079622 169.320161) + (xy 226.076065 169.318198) + (xy 226.072234 169.316841) + (xy 226.072231 169.31684) + (xy 225.862814 169.242681) + (xy 225.862809 169.24268) + (xy 225.858978 169.241323) + (xy 225.63225 169.200937) + (xy 225.628204 169.200888) + (xy 225.628198 169.200887) + (xy 225.501094 169.199334) + (xy 225.401971 169.198123) + (xy 225.174325 169.232958) + (xy 225.056433 169.271491) + (xy 224.959281 169.303245) + (xy 224.959277 169.303247) + (xy 224.955424 169.304506) + (xy 224.951824 169.30638) + (xy 224.754754 169.408968) + (xy 224.754751 169.40897) + (xy 224.751149 169.410845) + (xy 224.566984 169.549119) + (xy 224.407877 169.715616) + (xy 224.405585 169.718976) + (xy 224.280389 169.902505) + (xy 224.280386 169.902511) + (xy 224.278099 169.905863) + (xy 224.181136 170.114752) + (xy 224.155684 170.206529) + (xy 224.13163 170.293267) + (xy 224.119592 170.336673) + (xy 224.119159 170.340721) + (xy 224.119159 170.340723) + (xy 224.115979 170.370478) + (xy 224.095119 170.565665) + (xy 222.902477 170.565665) + (xy 222.886763 170.374525) + (xy 222.886762 170.374522) + (xy 222.88643 170.370478) + (xy 222.88541 170.366415) + (xy 222.831317 170.151066) + (xy 222.830326 170.14712) + (xy 222.767423 170.002452) + (xy 222.740118 169.939654) + (xy 222.740117 169.939652) + (xy 222.738496 169.935924) + (xy 222.613405 169.742563) + (xy 222.458412 169.572229) + (xy 222.455226 169.569713) + (xy 222.455223 169.56971) + (xy 222.280869 169.432013) + (xy 222.280863 169.432009) + (xy 222.277681 169.429496) + (xy 222.132466 169.349333) + (xy 222.079623 169.320162) + (xy 222.079622 169.320161) + (xy 222.076065 169.318198) + (xy 222.072234 169.316841) + (xy 222.072231 169.31684) + (xy 221.862814 169.242681) + (xy 221.862809 169.24268) + (xy 221.858978 169.241323) + (xy 221.63225 169.200937) + (xy 221.628204 169.200888) + (xy 221.628198 169.200887) + (xy 221.501094 169.199334) + (xy 221.401971 169.198123) + (xy 221.174325 169.232958) + (xy 221.056433 169.271491) + (xy 220.959281 169.303245) + (xy 220.959277 169.303247) + (xy 220.955424 169.304506) + (xy 220.951824 169.30638) + (xy 220.754754 169.408968) + (xy 220.754751 169.40897) + (xy 220.751149 169.410845) + (xy 220.566984 169.549119) + (xy 220.407877 169.715616) + (xy 220.405585 169.718976) + (xy 220.280389 169.902505) + (xy 220.280386 169.902511) + (xy 220.278099 169.905863) + (xy 220.181136 170.114752) + (xy 220.155684 170.206529) + (xy 220.13163 170.293267) + (xy 220.119592 170.336673) + (xy 220.119159 170.340721) + (xy 220.119159 170.340723) + (xy 220.115979 170.370478) + (xy 220.095119 170.565665) + (xy 218.902477 170.565665) + (xy 218.886763 170.374525) + (xy 218.886762 170.374522) + (xy 218.88643 170.370478) + (xy 218.88541 170.366415) + (xy 218.831317 170.151066) + (xy 218.830326 170.14712) + (xy 218.767423 170.002452) + (xy 218.740118 169.939654) + (xy 218.740117 169.939652) + (xy 218.738496 169.935924) + (xy 218.613405 169.742563) + (xy 218.458412 169.572229) + (xy 218.455226 169.569713) + (xy 218.455223 169.56971) + (xy 218.280869 169.432013) + (xy 218.280863 169.432009) + (xy 218.277681 169.429496) + (xy 218.132466 169.349333) + (xy 218.079623 169.320162) + (xy 218.079622 169.320161) + (xy 218.076065 169.318198) + (xy 218.072234 169.316841) + (xy 218.072231 169.31684) + (xy 217.862814 169.242681) + (xy 217.862809 169.24268) + (xy 217.858978 169.241323) + (xy 217.63225 169.200937) + (xy 217.628204 169.200888) + (xy 217.628198 169.200887) + (xy 217.501094 169.199334) + (xy 217.401971 169.198123) + (xy 217.174325 169.232958) + (xy 217.056433 169.271491) + (xy 216.959281 169.303245) + (xy 216.959277 169.303247) + (xy 216.955424 169.304506) + (xy 216.951824 169.30638) + (xy 216.754754 169.408968) + (xy 216.754751 169.40897) + (xy 216.751149 169.410845) + (xy 216.566984 169.549119) + (xy 216.407877 169.715616) + (xy 216.405585 169.718976) + (xy 216.280389 169.902505) + (xy 216.280386 169.902511) + (xy 216.278099 169.905863) + (xy 216.181136 170.114752) + (xy 216.155684 170.206529) + (xy 216.13163 170.293267) + (xy 216.119592 170.336673) + (xy 216.119159 170.340721) + (xy 216.119159 170.340723) + (xy 216.115979 170.370478) + (xy 216.095119 170.565665) + (xy 214.902477 170.565665) + (xy 214.886763 170.374525) + (xy 214.886762 170.374522) + (xy 214.88643 170.370478) + (xy 214.88541 170.366415) + (xy 214.831317 170.151066) + (xy 214.830326 170.14712) + (xy 214.767423 170.002452) + (xy 214.740118 169.939654) + (xy 214.740117 169.939652) + (xy 214.738496 169.935924) + (xy 214.613405 169.742563) + (xy 214.458412 169.572229) + (xy 214.455226 169.569713) + (xy 214.455223 169.56971) + (xy 214.280869 169.432013) + (xy 214.280863 169.432009) + (xy 214.277681 169.429496) + (xy 214.132466 169.349333) + (xy 214.079623 169.320162) + (xy 214.079622 169.320161) + (xy 214.076065 169.318198) + (xy 214.072234 169.316841) + (xy 214.072231 169.31684) + (xy 213.862814 169.242681) + (xy 213.862809 169.24268) + (xy 213.858978 169.241323) + (xy 213.63225 169.200937) + (xy 213.628204 169.200888) + (xy 213.628198 169.200887) + (xy 213.501094 169.199334) + (xy 213.401971 169.198123) + (xy 213.174325 169.232958) + (xy 213.056433 169.271491) + (xy 212.959281 169.303245) + (xy 212.959277 169.303247) + (xy 212.955424 169.304506) + (xy 212.951824 169.30638) + (xy 212.754754 169.408968) + (xy 212.754751 169.40897) + (xy 212.751149 169.410845) + (xy 212.566984 169.549119) + (xy 212.407877 169.715616) + (xy 212.405585 169.718976) + (xy 212.280389 169.902505) + (xy 212.280386 169.902511) + (xy 212.278099 169.905863) + (xy 212.181136 170.114752) + (xy 212.155684 170.206529) + (xy 212.13163 170.293267) + (xy 212.119592 170.336673) + (xy 212.119159 170.340721) + (xy 212.119159 170.340723) + (xy 212.115979 170.370478) + (xy 212.095119 170.565665) + (xy 210.902477 170.565665) + (xy 210.886763 170.374525) + (xy 210.886762 170.374522) + (xy 210.88643 170.370478) + (xy 210.88541 170.366415) + (xy 210.831317 170.151066) + (xy 210.830326 170.14712) + (xy 210.767423 170.002452) + (xy 210.740118 169.939654) + (xy 210.740117 169.939652) + (xy 210.738496 169.935924) + (xy 210.613405 169.742563) + (xy 210.458412 169.572229) + (xy 210.455226 169.569713) + (xy 210.455223 169.56971) + (xy 210.280869 169.432013) + (xy 210.280863 169.432009) + (xy 210.277681 169.429496) + (xy 210.132466 169.349333) + (xy 210.079623 169.320162) + (xy 210.079622 169.320161) + (xy 210.076065 169.318198) + (xy 210.072234 169.316841) + (xy 210.072231 169.31684) + (xy 209.862814 169.242681) + (xy 209.862809 169.24268) + (xy 209.858978 169.241323) + (xy 209.63225 169.200937) + (xy 209.628204 169.200888) + (xy 209.628198 169.200887) + (xy 209.501094 169.199334) + (xy 209.401971 169.198123) + (xy 209.174325 169.232958) + (xy 209.056433 169.271491) + (xy 208.959281 169.303245) + (xy 208.959277 169.303247) + (xy 208.955424 169.304506) + (xy 208.951824 169.30638) + (xy 208.754754 169.408968) + (xy 208.754751 169.40897) + (xy 208.751149 169.410845) + (xy 208.566984 169.549119) + (xy 208.407877 169.715616) + (xy 208.405585 169.718976) + (xy 208.280389 169.902505) + (xy 208.280386 169.902511) + (xy 208.278099 169.905863) + (xy 208.181136 170.114752) + (xy 208.155684 170.206529) + (xy 208.13163 170.293267) + (xy 208.119592 170.336673) + (xy 208.119159 170.340721) + (xy 208.119159 170.340723) + (xy 208.115979 170.370478) + (xy 208.095119 170.565665) + (xy 206.902477 170.565665) + (xy 206.886763 170.374525) + (xy 206.886762 170.374522) + (xy 206.88643 170.370478) + (xy 206.88541 170.366415) + (xy 206.831317 170.151066) + (xy 206.830326 170.14712) + (xy 206.767423 170.002452) + (xy 206.740118 169.939654) + (xy 206.740117 169.939652) + (xy 206.738496 169.935924) + (xy 206.613405 169.742563) + (xy 206.458412 169.572229) + (xy 206.455226 169.569713) + (xy 206.455223 169.56971) + (xy 206.280869 169.432013) + (xy 206.280863 169.432009) + (xy 206.277681 169.429496) + (xy 206.132466 169.349333) + (xy 206.079623 169.320162) + (xy 206.079622 169.320161) + (xy 206.076065 169.318198) + (xy 206.072234 169.316841) + (xy 206.072231 169.31684) + (xy 205.862814 169.242681) + (xy 205.862809 169.24268) + (xy 205.858978 169.241323) + (xy 205.63225 169.200937) + (xy 205.628204 169.200888) + (xy 205.628198 169.200887) + (xy 205.501094 169.199334) + (xy 205.401971 169.198123) + (xy 205.174325 169.232958) + (xy 205.056433 169.271491) + (xy 204.959281 169.303245) + (xy 204.959277 169.303247) + (xy 204.955424 169.304506) + (xy 204.951824 169.30638) + (xy 204.754754 169.408968) + (xy 204.754751 169.40897) + (xy 204.751149 169.410845) + (xy 204.566984 169.549119) + (xy 204.407877 169.715616) + (xy 204.405585 169.718976) + (xy 204.280389 169.902505) + (xy 204.280386 169.902511) + (xy 204.278099 169.905863) + (xy 204.181136 170.114752) + (xy 204.155684 170.206529) + (xy 204.13163 170.293267) + (xy 204.119592 170.336673) + (xy 204.119159 170.340721) + (xy 204.119159 170.340723) + (xy 204.115979 170.370478) + (xy 204.095119 170.565665) + (xy 177.016474 170.565665) + (xy 177.152518 170.452518) + (xy 177.293602 170.282884) + (xy 177.369938 170.146577) + (xy 177.399192 170.09434) + (xy 177.399193 170.094338) + (xy 177.40141 170.090379) + (xy 177.452574 169.939654) + (xy 177.470871 169.885754) + (xy 177.470872 169.885751) + (xy 177.472331 169.881452) + (xy 177.485156 169.792999) + (xy 177.503571 169.665997) + (xy 177.503571 169.665991) + (xy 177.503991 169.663098) + (xy 177.505643 169.6) + (xy 177.485454 169.380289) + (xy 177.443903 169.232958) + (xy 177.426799 169.172311) + (xy 177.426798 169.17231) + (xy 177.425565 169.167936) + (xy 177.423557 169.163864) + (xy 177.423555 169.163859) + (xy 177.329988 168.974125) + (xy 177.32798 168.970053) + (xy 177.195967 168.793267) + (xy 177.158247 168.758399) + (xy 177.037279 168.646577) + (xy 177.037278 168.646576) + (xy 177.033949 168.643499) + (xy 176.84735 168.525764) + (xy 176.642421 168.444006) + (xy 176.426024 168.400962) + (xy 176.317347 168.399539) + (xy 176.209946 168.398133) + (xy 176.209941 168.398133) + (xy 176.205406 168.398074) + (xy 176.200933 168.398843) + (xy 176.200928 168.398843) + (xy 175.992435 168.434668) + (xy 175.992429 168.43467) + (xy 175.987957 168.435438) + (xy 175.960176 168.445687) + (xy 175.78522 168.510231) + (xy 175.785217 168.510232) + (xy 175.780957 168.511804) + (xy 175.777054 168.514126) + (xy 175.777052 168.514127) + (xy 175.757492 168.525764) + (xy 175.591341 168.624614) + (xy 175.587926 168.627609) + (xy 175.587923 168.627611) + (xy 175.563266 168.649235) + (xy 175.425457 168.77009) + (xy 175.288863 168.94336) + (xy 175.186131 169.13862) + (xy 175.184787 169.142949) + (xy 175.130371 169.318198) + (xy 175.120703 169.349333) + (xy 175.120169 169.353843) + (xy 175.120169 169.353844) + (xy 175.113134 169.413286) + (xy 175.09477 169.56844) + (xy 136.663016 169.56844) + (xy 136.663661 166.4) + (xy 163.369474 166.4) + (xy 163.369779 166.403875) + (xy 163.38915 166.650011) + (xy 163.389548 166.65507) + (xy 163.449278 166.90386) + (xy 163.547191 167.140243) + (xy 163.680877 167.358399) + (xy 163.847044 167.552956) + (xy 164.041601 167.719123) + (xy 164.259757 167.852809) + (xy 164.49614 167.950722) + (xy 164.499915 167.951628) + (xy 164.499917 167.951629) + (xy 164.633099 167.983603) + (xy 164.74493 168.010452) + (xy 164.748795 168.010756) + (xy 164.7488 168.010757) + (xy 164.996125 168.030221) + (xy 165 168.030526) + (xy 165.003875 168.030221) + (xy 165.2512 168.010757) + (xy 165.251205 168.010756) + (xy 165.25507 168.010452) + (xy 165.366901 167.983603) + (xy 165.500083 167.951629) + (xy 165.500085 167.951628) + (xy 165.50386 167.950722) + (xy 165.740243 167.852809) + (xy 165.958399 167.719123) + (xy 166.152956 167.552956) + (xy 166.319123 167.358399) + (xy 166.452809 167.140243) + (xy 166.469478 167.1) + (xy 197.369474 167.1) + (xy 197.369779 167.103875) + (xy 197.387346 167.327085) + (xy 197.389548 167.35507) + (xy 197.407532 167.429978) + (xy 197.436345 167.549989) + (xy 197.449278 167.60386) + (xy 197.547191 167.840243) + (xy 197.680877 168.058399) + (xy 197.847044 168.252956) + (xy 197.850011 168.25549) + (xy 198.021375 168.401848) + (xy 198.041601 168.419123) + (xy 198.259757 168.552809) + (xy 198.49614 168.650722) + (xy 198.499915 168.651628) + (xy 198.499917 168.651629) + (xy 198.633099 168.683603) + (xy 198.74493 168.710452) + (xy 198.748795 168.710756) + (xy 198.7488 168.710757) + (xy 198.996125 168.730221) + (xy 199 168.730526) + (xy 199.003875 168.730221) + (xy 199.2512 168.710757) + (xy 199.251205 168.710756) + (xy 199.25507 168.710452) + (xy 199.366901 168.683603) + (xy 199.500083 168.651629) + (xy 199.500085 168.651628) + (xy 199.50386 168.650722) + (xy 199.740243 168.552809) + (xy 199.958399 168.419123) + (xy 199.978626 168.401848) + (xy 200.149989 168.25549) + (xy 200.152956 168.252956) + (xy 200.319123 168.058399) + (xy 200.452809 167.840243) + (xy 200.550722 167.60386) + (xy 200.563656 167.549989) + (xy 200.592468 167.429978) + (xy 200.610452 167.35507) + (xy 200.612655 167.327085) + (xy 200.630221 167.103875) + (xy 200.630526 167.1) + (xy 200.623937 167.016277) + (xy 200.610757 166.8488) + (xy 200.610756 166.848795) + (xy 200.610452 166.84493) + (xy 200.563941 166.6512) + (xy 200.551629 166.599917) + (xy 200.551628 166.599915) + (xy 200.550722 166.59614) + (xy 200.538099 166.565665) + (xy 206.095119 166.565665) + (xy 206.108376 166.79558) + (xy 206.159006 167.020242) + (xy 206.160535 167.024006) + (xy 206.160536 167.024011) + (xy 206.175051 167.059757) + (xy 206.245649 167.233618) + (xy 206.247773 167.237084) + (xy 206.247775 167.237088) + (xy 206.286277 167.299917) + (xy 206.365979 167.429978) + (xy 206.516763 167.604048) + (xy 206.693953 167.751154) + (xy 206.89279 167.867345) + (xy 207.107934 167.949501) + (xy 207.111909 167.95031) + (xy 207.11191 167.95031) + (xy 207.329624 167.994604) + (xy 207.329628 167.994604) + (xy 207.333607 167.995414) + (xy 207.337667 167.995563) + (xy 207.337668 167.995563) + (xy 207.379459 167.997095) + (xy 207.563749 168.003853) + (xy 207.567767 168.003338) + (xy 207.567773 168.003338) + (xy 207.788146 167.975108) + (xy 207.788152 167.975107) + (xy 207.792178 167.974591) + (xy 207.796071 167.973423) + (xy 207.796076 167.973422) + (xy 207.954836 167.925791) + (xy 208.012761 167.908413) + (xy 208.219574 167.807096) + (xy 208.407062 167.673363) + (xy 208.57019 167.510803) + (xy 208.704577 167.323783) + (xy 208.806615 167.117325) + (xy 208.810702 167.103875) + (xy 208.87238 166.900869) + (xy 208.87238 166.900868) + (xy 208.873563 166.896975) + (xy 208.880912 166.841157) + (xy 208.903277 166.671268) + (xy 208.903622 166.668649) + (xy 208.9053 166.6) + (xy 208.902477 166.565665) + (xy 210.095119 166.565665) + (xy 210.108376 166.79558) + (xy 210.159006 167.020242) + (xy 210.160535 167.024006) + (xy 210.160536 167.024011) + (xy 210.175051 167.059757) + (xy 210.245649 167.233618) + (xy 210.247773 167.237084) + (xy 210.247775 167.237088) + (xy 210.286277 167.299917) + (xy 210.365979 167.429978) + (xy 210.516763 167.604048) + (xy 210.693953 167.751154) + (xy 210.89279 167.867345) + (xy 211.107934 167.949501) + (xy 211.111909 167.95031) + (xy 211.11191 167.95031) + (xy 211.329624 167.994604) + (xy 211.329628 167.994604) + (xy 211.333607 167.995414) + (xy 211.337667 167.995563) + (xy 211.337668 167.995563) + (xy 211.379459 167.997095) + (xy 211.563749 168.003853) + (xy 211.567767 168.003338) + (xy 211.567773 168.003338) + (xy 211.788146 167.975108) + (xy 211.788152 167.975107) + (xy 211.792178 167.974591) + (xy 211.796071 167.973423) + (xy 211.796076 167.973422) + (xy 211.954836 167.925791) + (xy 212.012761 167.908413) + (xy 212.219574 167.807096) + (xy 212.407062 167.673363) + (xy 212.57019 167.510803) + (xy 212.704577 167.323783) + (xy 212.806615 167.117325) + (xy 212.810702 167.103875) + (xy 212.87238 166.900869) + (xy 212.87238 166.900868) + (xy 212.873563 166.896975) + (xy 212.880912 166.841157) + (xy 212.903277 166.671268) + (xy 212.903622 166.668649) + (xy 212.9053 166.6) + (xy 212.902477 166.565665) + (xy 214.095119 166.565665) + (xy 214.108376 166.79558) + (xy 214.159006 167.020242) + (xy 214.160535 167.024006) + (xy 214.160536 167.024011) + (xy 214.175051 167.059757) + (xy 214.245649 167.233618) + (xy 214.247773 167.237084) + (xy 214.247775 167.237088) + (xy 214.286277 167.299917) + (xy 214.365979 167.429978) + (xy 214.516763 167.604048) + (xy 214.693953 167.751154) + (xy 214.89279 167.867345) + (xy 215.107934 167.949501) + (xy 215.111909 167.95031) + (xy 215.11191 167.95031) + (xy 215.329624 167.994604) + (xy 215.329628 167.994604) + (xy 215.333607 167.995414) + (xy 215.337667 167.995563) + (xy 215.337668 167.995563) + (xy 215.379459 167.997095) + (xy 215.563749 168.003853) + (xy 215.567767 168.003338) + (xy 215.567773 168.003338) + (xy 215.788146 167.975108) + (xy 215.788152 167.975107) + (xy 215.792178 167.974591) + (xy 215.796071 167.973423) + (xy 215.796076 167.973422) + (xy 215.954836 167.925791) + (xy 216.012761 167.908413) + (xy 216.219574 167.807096) + (xy 216.407062 167.673363) + (xy 216.57019 167.510803) + (xy 216.704577 167.323783) + (xy 216.806615 167.117325) + (xy 216.810702 167.103875) + (xy 216.87238 166.900869) + (xy 216.87238 166.900868) + (xy 216.873563 166.896975) + (xy 216.880912 166.841157) + (xy 216.903277 166.671268) + (xy 216.903622 166.668649) + (xy 216.9053 166.6) + (xy 216.902477 166.565665) + (xy 218.095119 166.565665) + (xy 218.108376 166.79558) + (xy 218.159006 167.020242) + (xy 218.160535 167.024006) + (xy 218.160536 167.024011) + (xy 218.175051 167.059757) + (xy 218.245649 167.233618) + (xy 218.247773 167.237084) + (xy 218.247775 167.237088) + (xy 218.286277 167.299917) + (xy 218.365979 167.429978) + (xy 218.516763 167.604048) + (xy 218.693953 167.751154) + (xy 218.89279 167.867345) + (xy 219.107934 167.949501) + (xy 219.111909 167.95031) + (xy 219.11191 167.95031) + (xy 219.329624 167.994604) + (xy 219.329628 167.994604) + (xy 219.333607 167.995414) + (xy 219.337667 167.995563) + (xy 219.337668 167.995563) + (xy 219.379459 167.997095) + (xy 219.563749 168.003853) + (xy 219.567767 168.003338) + (xy 219.567773 168.003338) + (xy 219.788146 167.975108) + (xy 219.788152 167.975107) + (xy 219.792178 167.974591) + (xy 219.796071 167.973423) + (xy 219.796076 167.973422) + (xy 219.954836 167.925791) + (xy 220.012761 167.908413) + (xy 220.219574 167.807096) + (xy 220.407062 167.673363) + (xy 220.57019 167.510803) + (xy 220.704577 167.323783) + (xy 220.806615 167.117325) + (xy 220.810702 167.103875) + (xy 220.87238 166.900869) + (xy 220.87238 166.900868) + (xy 220.873563 166.896975) + (xy 220.880912 166.841157) + (xy 220.903277 166.671268) + (xy 220.903622 166.668649) + (xy 220.9053 166.6) + (xy 220.902477 166.565665) + (xy 222.095119 166.565665) + (xy 222.108376 166.79558) + (xy 222.159006 167.020242) + (xy 222.160535 167.024006) + (xy 222.160536 167.024011) + (xy 222.175051 167.059757) + (xy 222.245649 167.233618) + (xy 222.247773 167.237084) + (xy 222.247775 167.237088) + (xy 222.286277 167.299917) + (xy 222.365979 167.429978) + (xy 222.516763 167.604048) + (xy 222.693953 167.751154) + (xy 222.89279 167.867345) + (xy 223.107934 167.949501) + (xy 223.111909 167.95031) + (xy 223.11191 167.95031) + (xy 223.329624 167.994604) + (xy 223.329628 167.994604) + (xy 223.333607 167.995414) + (xy 223.337667 167.995563) + (xy 223.337668 167.995563) + (xy 223.379459 167.997095) + (xy 223.563749 168.003853) + (xy 223.567767 168.003338) + (xy 223.567773 168.003338) + (xy 223.788146 167.975108) + (xy 223.788152 167.975107) + (xy 223.792178 167.974591) + (xy 223.796071 167.973423) + (xy 223.796076 167.973422) + (xy 223.954836 167.925791) + (xy 224.012761 167.908413) + (xy 224.219574 167.807096) + (xy 224.407062 167.673363) + (xy 224.57019 167.510803) + (xy 224.704577 167.323783) + (xy 224.806615 167.117325) + (xy 224.810702 167.103875) + (xy 224.87238 166.900869) + (xy 224.87238 166.900868) + (xy 224.873563 166.896975) + (xy 224.880912 166.841157) + (xy 224.903277 166.671268) + (xy 224.903622 166.668649) + (xy 224.9053 166.6) + (xy 224.902477 166.565665) + (xy 226.095119 166.565665) + (xy 226.108376 166.79558) + (xy 226.159006 167.020242) + (xy 226.160535 167.024006) + (xy 226.160536 167.024011) + (xy 226.175051 167.059757) + (xy 226.245649 167.233618) + (xy 226.247773 167.237084) + (xy 226.247775 167.237088) + (xy 226.286277 167.299917) + (xy 226.365979 167.429978) + (xy 226.516763 167.604048) + (xy 226.693953 167.751154) + (xy 226.89279 167.867345) + (xy 227.107934 167.949501) + (xy 227.111909 167.95031) + (xy 227.11191 167.95031) + (xy 227.329624 167.994604) + (xy 227.329628 167.994604) + (xy 227.333607 167.995414) + (xy 227.337667 167.995563) + (xy 227.337668 167.995563) + (xy 227.379459 167.997095) + (xy 227.563749 168.003853) + (xy 227.567767 168.003338) + (xy 227.567773 168.003338) + (xy 227.788146 167.975108) + (xy 227.788152 167.975107) + (xy 227.792178 167.974591) + (xy 227.796071 167.973423) + (xy 227.796076 167.973422) + (xy 227.954836 167.925791) + (xy 228.012761 167.908413) + (xy 228.219574 167.807096) + (xy 228.407062 167.673363) + (xy 228.57019 167.510803) + (xy 228.704577 167.323783) + (xy 228.806615 167.117325) + (xy 228.810702 167.103875) + (xy 228.87238 166.900869) + (xy 228.87238 166.900868) + (xy 228.873563 166.896975) + (xy 228.880912 166.841157) + (xy 228.903277 166.671268) + (xy 228.903622 166.668649) + (xy 228.9053 166.6) + (xy 228.902477 166.565665) + (xy 230.095119 166.565665) + (xy 230.108376 166.79558) + (xy 230.159006 167.020242) + (xy 230.160535 167.024006) + (xy 230.160536 167.024011) + (xy 230.175051 167.059757) + (xy 230.245649 167.233618) + (xy 230.247773 167.237084) + (xy 230.247775 167.237088) + (xy 230.286277 167.299917) + (xy 230.365979 167.429978) + (xy 230.516763 167.604048) + (xy 230.693953 167.751154) + (xy 230.89279 167.867345) + (xy 231.107934 167.949501) + (xy 231.111909 167.95031) + (xy 231.11191 167.95031) + (xy 231.329624 167.994604) + (xy 231.329628 167.994604) + (xy 231.333607 167.995414) + (xy 231.337667 167.995563) + (xy 231.337668 167.995563) + (xy 231.379459 167.997095) + (xy 231.563749 168.003853) + (xy 231.567767 168.003338) + (xy 231.567773 168.003338) + (xy 231.788146 167.975108) + (xy 231.788152 167.975107) + (xy 231.792178 167.974591) + (xy 231.796071 167.973423) + (xy 231.796076 167.973422) + (xy 231.954836 167.925791) + (xy 232.012761 167.908413) + (xy 232.219574 167.807096) + (xy 232.407062 167.673363) + (xy 232.57019 167.510803) + (xy 232.704577 167.323783) + (xy 232.806615 167.117325) + (xy 232.810702 167.103875) + (xy 232.811879 167.1) + (xy 238.369474 167.1) + (xy 238.369779 167.103875) + (xy 238.387346 167.327085) + (xy 238.389548 167.35507) + (xy 238.407532 167.429978) + (xy 238.436345 167.549989) + (xy 238.449278 167.60386) + (xy 238.547191 167.840243) + (xy 238.680877 168.058399) + (xy 238.847044 168.252956) + (xy 238.850011 168.25549) + (xy 239.021375 168.401848) + (xy 239.041601 168.419123) + (xy 239.259757 168.552809) + (xy 239.49614 168.650722) + (xy 239.499915 168.651628) + (xy 239.499917 168.651629) + (xy 239.633099 168.683603) + (xy 239.74493 168.710452) + (xy 239.748795 168.710756) + (xy 239.7488 168.710757) + (xy 239.996125 168.730221) + (xy 240 168.730526) + (xy 240.003875 168.730221) + (xy 240.2512 168.710757) + (xy 240.251205 168.710756) + (xy 240.25507 168.710452) + (xy 240.366901 168.683603) + (xy 240.500083 168.651629) + (xy 240.500085 168.651628) + (xy 240.50386 168.650722) + (xy 240.740243 168.552809) + (xy 240.958399 168.419123) + (xy 240.978626 168.401848) + (xy 241.149989 168.25549) + (xy 241.152956 168.252956) + (xy 241.319123 168.058399) + (xy 241.452809 167.840243) + (xy 241.469478 167.8) + (xy 268.769474 167.8) + (xy 268.769779 167.803875) + (xy 268.786061 168.010757) + (xy 268.789548 168.05507) + (xy 268.790454 168.058843) + (xy 268.836345 168.249989) + (xy 268.849278 168.30386) + (xy 268.947191 168.540243) + (xy 269.080877 168.758399) + (xy 269.247044 168.952956) + (xy 269.441601 169.119123) + (xy 269.659757 169.252809) + (xy 269.89614 169.350722) + (xy 269.899915 169.351628) + (xy 269.899917 169.351629) + (xy 270.001083 169.375917) + (xy 270.14493 169.410452) + (xy 270.148795 169.410756) + (xy 270.1488 169.410757) + (xy 270.361969 169.427533) + (xy 270.386913 169.429496) + (xy 270.396125 169.430221) + (xy 270.4 169.430526) + (xy 270.403875 169.430221) + (xy 270.413088 169.429496) + (xy 270.438031 169.427533) + (xy 270.6512 169.410757) + (xy 270.651205 169.410756) + (xy 270.65507 169.410452) + (xy 270.798917 169.375917) + (xy 270.900083 169.351629) + (xy 270.900085 169.351628) + (xy 270.90386 169.350722) + (xy 271.140243 169.252809) + (xy 271.358399 169.119123) + (xy 271.552956 168.952956) + (xy 271.719123 168.758399) + (xy 271.852809 168.540243) + (xy 271.950722 168.30386) + (xy 271.963656 168.249989) + (xy 272.009546 168.058843) + (xy 272.010452 168.05507) + (xy 272.01394 168.010757) + (xy 272.030221 167.803875) + (xy 272.030526 167.8) + (xy 272.020334 167.670496) + (xy 272.010757 167.5488) + (xy 272.010756 167.548795) + (xy 272.010452 167.54493) + (xy 271.982854 167.429978) + (xy 271.951629 167.299917) + (xy 271.951628 167.299915) + (xy 271.950722 167.29614) + (xy 271.852809 167.059757) + (xy 271.719123 166.841601) + (xy 271.552956 166.647044) + (xy 271.358399 166.480877) + (xy 271.140243 166.347191) + (xy 270.90386 166.249278) + (xy 270.900085 166.248372) + (xy 270.900083 166.248371) + (xy 270.766901 166.216397) + (xy 270.65507 166.189548) + (xy 270.651205 166.189244) + (xy 270.6512 166.189243) + (xy 270.403875 166.169779) + (xy 270.4 166.169474) + (xy 270.396125 166.169779) + (xy 270.1488 166.189243) + (xy 270.148795 166.189244) + (xy 270.14493 166.189548) + (xy 270.033099 166.216397) + (xy 269.899917 166.248371) + (xy 269.899915 166.248372) + (xy 269.89614 166.249278) + (xy 269.659757 166.347191) + (xy 269.441601 166.480877) + (xy 269.247044 166.647044) + (xy 269.080877 166.841601) + (xy 268.947191 167.059757) + (xy 268.849278 167.29614) + (xy 268.848372 167.299915) + (xy 268.848371 167.299917) + (xy 268.817146 167.429978) + (xy 268.789548 167.54493) + (xy 268.789244 167.548795) + (xy 268.789243 167.5488) + (xy 268.779666 167.670496) + (xy 268.769474 167.8) + (xy 241.469478 167.8) + (xy 241.550722 167.60386) + (xy 241.563656 167.549989) + (xy 241.592468 167.429978) + (xy 241.610452 167.35507) + (xy 241.612655 167.327085) + (xy 241.630221 167.103875) + (xy 241.630526 167.1) + (xy 241.623937 167.016277) + (xy 241.610757 166.8488) + (xy 241.610756 166.848795) + (xy 241.610452 166.84493) + (xy 241.563941 166.6512) + (xy 241.551629 166.599917) + (xy 241.551628 166.599915) + (xy 241.550722 166.59614) + (xy 241.452809 166.359757) + (xy 241.319123 166.141601) + (xy 241.152956 165.947044) + (xy 240.958399 165.780877) + (xy 240.740243 165.647191) + (xy 240.50386 165.549278) + (xy 240.500085 165.548372) + (xy 240.500083 165.548371) + (xy 240.366901 165.516397) + (xy 240.25507 165.489548) + (xy 240.251205 165.489244) + (xy 240.2512 165.489243) + (xy 240.003875 165.469779) + (xy 240 165.469474) + (xy 239.996125 165.469779) + (xy 239.7488 165.489243) + (xy 239.748795 165.489244) + (xy 239.74493 165.489548) + (xy 239.633099 165.516397) + (xy 239.499917 165.548371) + (xy 239.499915 165.548372) + (xy 239.49614 165.549278) + (xy 239.259757 165.647191) + (xy 239.041601 165.780877) + (xy 238.847044 165.947044) + (xy 238.680877 166.141601) + (xy 238.547191 166.359757) + (xy 238.449278 166.59614) + (xy 238.448372 166.599915) + (xy 238.448371 166.599917) + (xy 238.436059 166.6512) + (xy 238.389548 166.84493) + (xy 238.389244 166.848795) + (xy 238.389243 166.8488) + (xy 238.376063 167.016277) + (xy 238.369474 167.1) + (xy 232.811879 167.1) + (xy 232.87238 166.900869) + (xy 232.87238 166.900868) + (xy 232.873563 166.896975) + (xy 232.880912 166.841157) + (xy 232.903277 166.671268) + (xy 232.903622 166.668649) + (xy 232.9053 166.6) + (xy 232.895714 166.483405) + (xy 232.886763 166.374525) + (xy 232.886762 166.374522) + (xy 232.88643 166.370478) + (xy 232.882904 166.356438) + (xy 232.840907 166.189243) + (xy 232.830326 166.14712) + (xy 232.738496 165.935924) + (xy 232.613405 165.742563) + (xy 232.458412 165.572229) + (xy 232.455226 165.569713) + (xy 232.455223 165.56971) + (xy 232.280869 165.432013) + (xy 232.280863 165.432009) + (xy 232.277681 165.429496) + (xy 232.076065 165.318198) + (xy 232.072234 165.316841) + (xy 232.072231 165.31684) + (xy 231.862814 165.242681) + (xy 231.862809 165.24268) + (xy 231.858978 165.241323) + (xy 231.63225 165.200937) + (xy 231.628204 165.200888) + (xy 231.628198 165.200887) + (xy 231.501094 165.199334) + (xy 231.401971 165.198123) + (xy 231.174325 165.232958) + (xy 231.056433 165.271491) + (xy 230.959281 165.303245) + (xy 230.959277 165.303247) + (xy 230.955424 165.304506) + (xy 230.951824 165.30638) + (xy 230.754754 165.408968) + (xy 230.754751 165.40897) + (xy 230.751149 165.410845) + (xy 230.566984 165.549119) + (xy 230.407877 165.715616) + (xy 230.405585 165.718976) + (xy 230.280389 165.902505) + (xy 230.280386 165.902511) + (xy 230.278099 165.905863) + (xy 230.181136 166.114752) + (xy 230.119592 166.336673) + (xy 230.119159 166.340721) + (xy 230.119159 166.340723) + (xy 230.11748 166.356438) + (xy 230.095119 166.565665) + (xy 228.902477 166.565665) + (xy 228.895714 166.483405) + (xy 228.886763 166.374525) + (xy 228.886762 166.374522) + (xy 228.88643 166.370478) + (xy 228.882904 166.356438) + (xy 228.840907 166.189243) + (xy 228.830326 166.14712) + (xy 228.738496 165.935924) + (xy 228.613405 165.742563) + (xy 228.458412 165.572229) + (xy 228.455226 165.569713) + (xy 228.455223 165.56971) + (xy 228.280869 165.432013) + (xy 228.280863 165.432009) + (xy 228.277681 165.429496) + (xy 228.076065 165.318198) + (xy 228.072234 165.316841) + (xy 228.072231 165.31684) + (xy 227.862814 165.242681) + (xy 227.862809 165.24268) + (xy 227.858978 165.241323) + (xy 227.63225 165.200937) + (xy 227.628204 165.200888) + (xy 227.628198 165.200887) + (xy 227.501094 165.199334) + (xy 227.401971 165.198123) + (xy 227.174325 165.232958) + (xy 227.056433 165.271491) + (xy 226.959281 165.303245) + (xy 226.959277 165.303247) + (xy 226.955424 165.304506) + (xy 226.951824 165.30638) + (xy 226.754754 165.408968) + (xy 226.754751 165.40897) + (xy 226.751149 165.410845) + (xy 226.566984 165.549119) + (xy 226.407877 165.715616) + (xy 226.405585 165.718976) + (xy 226.280389 165.902505) + (xy 226.280386 165.902511) + (xy 226.278099 165.905863) + (xy 226.181136 166.114752) + (xy 226.119592 166.336673) + (xy 226.119159 166.340721) + (xy 226.119159 166.340723) + (xy 226.11748 166.356438) + (xy 226.095119 166.565665) + (xy 224.902477 166.565665) + (xy 224.895714 166.483405) + (xy 224.886763 166.374525) + (xy 224.886762 166.374522) + (xy 224.88643 166.370478) + (xy 224.882904 166.356438) + (xy 224.840907 166.189243) + (xy 224.830326 166.14712) + (xy 224.738496 165.935924) + (xy 224.613405 165.742563) + (xy 224.458412 165.572229) + (xy 224.455226 165.569713) + (xy 224.455223 165.56971) + (xy 224.280869 165.432013) + (xy 224.280863 165.432009) + (xy 224.277681 165.429496) + (xy 224.076065 165.318198) + (xy 224.072234 165.316841) + (xy 224.072231 165.31684) + (xy 223.862814 165.242681) + (xy 223.862809 165.24268) + (xy 223.858978 165.241323) + (xy 223.63225 165.200937) + (xy 223.628204 165.200888) + (xy 223.628198 165.200887) + (xy 223.501094 165.199334) + (xy 223.401971 165.198123) + (xy 223.174325 165.232958) + (xy 223.056433 165.271491) + (xy 222.959281 165.303245) + (xy 222.959277 165.303247) + (xy 222.955424 165.304506) + (xy 222.951824 165.30638) + (xy 222.754754 165.408968) + (xy 222.754751 165.40897) + (xy 222.751149 165.410845) + (xy 222.566984 165.549119) + (xy 222.407877 165.715616) + (xy 222.405585 165.718976) + (xy 222.280389 165.902505) + (xy 222.280386 165.902511) + (xy 222.278099 165.905863) + (xy 222.181136 166.114752) + (xy 222.119592 166.336673) + (xy 222.119159 166.340721) + (xy 222.119159 166.340723) + (xy 222.11748 166.356438) + (xy 222.095119 166.565665) + (xy 220.902477 166.565665) + (xy 220.895714 166.483405) + (xy 220.886763 166.374525) + (xy 220.886762 166.374522) + (xy 220.88643 166.370478) + (xy 220.882904 166.356438) + (xy 220.840907 166.189243) + (xy 220.830326 166.14712) + (xy 220.738496 165.935924) + (xy 220.613405 165.742563) + (xy 220.458412 165.572229) + (xy 220.455226 165.569713) + (xy 220.455223 165.56971) + (xy 220.280869 165.432013) + (xy 220.280863 165.432009) + (xy 220.277681 165.429496) + (xy 220.076065 165.318198) + (xy 220.072234 165.316841) + (xy 220.072231 165.31684) + (xy 219.862814 165.242681) + (xy 219.862809 165.24268) + (xy 219.858978 165.241323) + (xy 219.63225 165.200937) + (xy 219.628204 165.200888) + (xy 219.628198 165.200887) + (xy 219.501094 165.199334) + (xy 219.401971 165.198123) + (xy 219.174325 165.232958) + (xy 219.056433 165.271491) + (xy 218.959281 165.303245) + (xy 218.959277 165.303247) + (xy 218.955424 165.304506) + (xy 218.951824 165.30638) + (xy 218.754754 165.408968) + (xy 218.754751 165.40897) + (xy 218.751149 165.410845) + (xy 218.566984 165.549119) + (xy 218.407877 165.715616) + (xy 218.405585 165.718976) + (xy 218.280389 165.902505) + (xy 218.280386 165.902511) + (xy 218.278099 165.905863) + (xy 218.181136 166.114752) + (xy 218.119592 166.336673) + (xy 218.119159 166.340721) + (xy 218.119159 166.340723) + (xy 218.11748 166.356438) + (xy 218.095119 166.565665) + (xy 216.902477 166.565665) + (xy 216.895714 166.483405) + (xy 216.886763 166.374525) + (xy 216.886762 166.374522) + (xy 216.88643 166.370478) + (xy 216.882904 166.356438) + (xy 216.840907 166.189243) + (xy 216.830326 166.14712) + (xy 216.738496 165.935924) + (xy 216.613405 165.742563) + (xy 216.458412 165.572229) + (xy 216.455226 165.569713) + (xy 216.455223 165.56971) + (xy 216.280869 165.432013) + (xy 216.280863 165.432009) + (xy 216.277681 165.429496) + (xy 216.076065 165.318198) + (xy 216.072234 165.316841) + (xy 216.072231 165.31684) + (xy 215.862814 165.242681) + (xy 215.862809 165.24268) + (xy 215.858978 165.241323) + (xy 215.63225 165.200937) + (xy 215.628204 165.200888) + (xy 215.628198 165.200887) + (xy 215.501094 165.199334) + (xy 215.401971 165.198123) + (xy 215.174325 165.232958) + (xy 215.056433 165.271491) + (xy 214.959281 165.303245) + (xy 214.959277 165.303247) + (xy 214.955424 165.304506) + (xy 214.951824 165.30638) + (xy 214.754754 165.408968) + (xy 214.754751 165.40897) + (xy 214.751149 165.410845) + (xy 214.566984 165.549119) + (xy 214.407877 165.715616) + (xy 214.405585 165.718976) + (xy 214.280389 165.902505) + (xy 214.280386 165.902511) + (xy 214.278099 165.905863) + (xy 214.181136 166.114752) + (xy 214.119592 166.336673) + (xy 214.119159 166.340721) + (xy 214.119159 166.340723) + (xy 214.11748 166.356438) + (xy 214.095119 166.565665) + (xy 212.902477 166.565665) + (xy 212.895714 166.483405) + (xy 212.886763 166.374525) + (xy 212.886762 166.374522) + (xy 212.88643 166.370478) + (xy 212.882904 166.356438) + (xy 212.840907 166.189243) + (xy 212.830326 166.14712) + (xy 212.738496 165.935924) + (xy 212.613405 165.742563) + (xy 212.458412 165.572229) + (xy 212.455226 165.569713) + (xy 212.455223 165.56971) + (xy 212.280869 165.432013) + (xy 212.280863 165.432009) + (xy 212.277681 165.429496) + (xy 212.076065 165.318198) + (xy 212.072234 165.316841) + (xy 212.072231 165.31684) + (xy 211.862814 165.242681) + (xy 211.862809 165.24268) + (xy 211.858978 165.241323) + (xy 211.63225 165.200937) + (xy 211.628204 165.200888) + (xy 211.628198 165.200887) + (xy 211.501094 165.199334) + (xy 211.401971 165.198123) + (xy 211.174325 165.232958) + (xy 211.056433 165.271491) + (xy 210.959281 165.303245) + (xy 210.959277 165.303247) + (xy 210.955424 165.304506) + (xy 210.951824 165.30638) + (xy 210.754754 165.408968) + (xy 210.754751 165.40897) + (xy 210.751149 165.410845) + (xy 210.566984 165.549119) + (xy 210.407877 165.715616) + (xy 210.405585 165.718976) + (xy 210.280389 165.902505) + (xy 210.280386 165.902511) + (xy 210.278099 165.905863) + (xy 210.181136 166.114752) + (xy 210.119592 166.336673) + (xy 210.119159 166.340721) + (xy 210.119159 166.340723) + (xy 210.11748 166.356438) + (xy 210.095119 166.565665) + (xy 208.902477 166.565665) + (xy 208.895714 166.483405) + (xy 208.886763 166.374525) + (xy 208.886762 166.374522) + (xy 208.88643 166.370478) + (xy 208.882904 166.356438) + (xy 208.840907 166.189243) + (xy 208.830326 166.14712) + (xy 208.738496 165.935924) + (xy 208.613405 165.742563) + (xy 208.458412 165.572229) + (xy 208.455226 165.569713) + (xy 208.455223 165.56971) + (xy 208.280869 165.432013) + (xy 208.280863 165.432009) + (xy 208.277681 165.429496) + (xy 208.076065 165.318198) + (xy 208.072234 165.316841) + (xy 208.072231 165.31684) + (xy 207.862814 165.242681) + (xy 207.862809 165.24268) + (xy 207.858978 165.241323) + (xy 207.63225 165.200937) + (xy 207.628204 165.200888) + (xy 207.628198 165.200887) + (xy 207.501094 165.199334) + (xy 207.401971 165.198123) + (xy 207.174325 165.232958) + (xy 207.056433 165.271491) + (xy 206.959281 165.303245) + (xy 206.959277 165.303247) + (xy 206.955424 165.304506) + (xy 206.951824 165.30638) + (xy 206.754754 165.408968) + (xy 206.754751 165.40897) + (xy 206.751149 165.410845) + (xy 206.566984 165.549119) + (xy 206.407877 165.715616) + (xy 206.405585 165.718976) + (xy 206.280389 165.902505) + (xy 206.280386 165.902511) + (xy 206.278099 165.905863) + (xy 206.181136 166.114752) + (xy 206.119592 166.336673) + (xy 206.119159 166.340721) + (xy 206.119159 166.340723) + (xy 206.11748 166.356438) + (xy 206.095119 166.565665) + (xy 200.538099 166.565665) + (xy 200.452809 166.359757) + (xy 200.319123 166.141601) + (xy 200.152956 165.947044) + (xy 199.958399 165.780877) + (xy 199.740243 165.647191) + (xy 199.50386 165.549278) + (xy 199.500085 165.548372) + (xy 199.500083 165.548371) + (xy 199.366901 165.516397) + (xy 199.25507 165.489548) + (xy 199.251205 165.489244) + (xy 199.2512 165.489243) + (xy 199.003875 165.469779) + (xy 199 165.469474) + (xy 198.996125 165.469779) + (xy 198.7488 165.489243) + (xy 198.748795 165.489244) + (xy 198.74493 165.489548) + (xy 198.633099 165.516397) + (xy 198.499917 165.548371) + (xy 198.499915 165.548372) + (xy 198.49614 165.549278) + (xy 198.259757 165.647191) + (xy 198.041601 165.780877) + (xy 197.847044 165.947044) + (xy 197.680877 166.141601) + (xy 197.547191 166.359757) + (xy 197.449278 166.59614) + (xy 197.448372 166.599915) + (xy 197.448371 166.599917) + (xy 197.436059 166.6512) + (xy 197.389548 166.84493) + (xy 197.389244 166.848795) + (xy 197.389243 166.8488) + (xy 197.376063 167.016277) + (xy 197.369474 167.1) + (xy 166.469478 167.1) + (xy 166.550722 166.90386) + (xy 166.610452 166.65507) + (xy 166.610851 166.650011) + (xy 166.630221 166.403875) + (xy 166.630526 166.4) + (xy 166.614035 166.190454) + (xy 166.610757 166.1488) + (xy 166.610756 166.148795) + (xy 166.610452 166.14493) + (xy 166.583603 166.033099) + (xy 166.551629 165.899917) + (xy 166.551628 165.899915) + (xy 166.550722 165.89614) + (xy 166.452809 165.659757) + (xy 166.319123 165.441601) + (xy 166.152956 165.247044) + (xy 165.958399 165.080877) + (xy 165.740243 164.947191) + (xy 165.50386 164.849278) + (xy 165.500085 164.848372) + (xy 165.500083 164.848371) + (xy 165.366901 164.816397) + (xy 165.25507 164.789548) + (xy 165.251205 164.789244) + (xy 165.2512 164.789243) + (xy 165.003875 164.769779) + (xy 165 164.769474) + (xy 164.996125 164.769779) + (xy 164.7488 164.789243) + (xy 164.748795 164.789244) + (xy 164.74493 164.789548) + (xy 164.633099 164.816397) + (xy 164.499917 164.848371) + (xy 164.499915 164.848372) + (xy 164.49614 164.849278) + (xy 164.259757 164.947191) + (xy 164.041601 165.080877) + (xy 163.847044 165.247044) + (xy 163.680877 165.441601) + (xy 163.547191 165.659757) + (xy 163.449278 165.89614) + (xy 163.448372 165.899915) + (xy 163.448371 165.899917) + (xy 163.416397 166.033099) + (xy 163.389548 166.14493) + (xy 163.389244 166.148795) + (xy 163.389243 166.1488) + (xy 163.385965 166.190454) + (xy 163.369474 166.4) + (xy 136.663661 166.4) + (xy 136.664375 162.892943) + (xy 136.664442 162.565665) + (xy 204.095119 162.565665) + (xy 204.108376 162.79558) + (xy 204.159006 163.020242) + (xy 204.245649 163.233618) + (xy 204.365979 163.429978) + (xy 204.516763 163.604048) + (xy 204.693953 163.751154) + (xy 204.89279 163.867345) + (xy 205.107934 163.949501) + (xy 205.111909 163.95031) + (xy 205.11191 163.95031) + (xy 205.329624 163.994604) + (xy 205.329628 163.994604) + (xy 205.333607 163.995414) + (xy 205.337667 163.995563) + (xy 205.337668 163.995563) + (xy 205.379459 163.997095) + (xy 205.563749 164.003853) + (xy 205.567767 164.003338) + (xy 205.567773 164.003338) + (xy 205.788146 163.975108) + (xy 205.788152 163.975107) + (xy 205.792178 163.974591) + (xy 205.796071 163.973423) + (xy 205.796076 163.973422) + (xy 205.954836 163.925791) + (xy 206.012761 163.908413) + (xy 206.219574 163.807096) + (xy 206.407062 163.673363) + (xy 206.57019 163.510803) + (xy 206.704577 163.323783) + (xy 206.806615 163.117325) + (xy 206.836112 163.020242) + (xy 206.87238 162.900869) + (xy 206.87238 162.900868) + (xy 206.873563 162.896975) + (xy 206.903622 162.668649) + (xy 206.904126 162.648052) + (xy 206.904345 162.639057) + (xy 206.9053 162.6) + (xy 206.902477 162.565665) + (xy 208.095119 162.565665) + (xy 208.108376 162.79558) + (xy 208.159006 163.020242) + (xy 208.245649 163.233618) + (xy 208.365979 163.429978) + (xy 208.516763 163.604048) + (xy 208.693953 163.751154) + (xy 208.89279 163.867345) + (xy 209.107934 163.949501) + (xy 209.111909 163.95031) + (xy 209.11191 163.95031) + (xy 209.329624 163.994604) + (xy 209.329628 163.994604) + (xy 209.333607 163.995414) + (xy 209.337667 163.995563) + (xy 209.337668 163.995563) + (xy 209.379459 163.997095) + (xy 209.563749 164.003853) + (xy 209.567767 164.003338) + (xy 209.567773 164.003338) + (xy 209.788146 163.975108) + (xy 209.788152 163.975107) + (xy 209.792178 163.974591) + (xy 209.796071 163.973423) + (xy 209.796076 163.973422) + (xy 209.954836 163.925791) + (xy 210.012761 163.908413) + (xy 210.219574 163.807096) + (xy 210.407062 163.673363) + (xy 210.57019 163.510803) + (xy 210.704577 163.323783) + (xy 210.806615 163.117325) + (xy 210.836112 163.020242) + (xy 210.87238 162.900869) + (xy 210.87238 162.900868) + (xy 210.873563 162.896975) + (xy 210.903622 162.668649) + (xy 210.904126 162.648052) + (xy 210.904345 162.639057) + (xy 210.9053 162.6) + (xy 210.902477 162.565665) + (xy 212.095119 162.565665) + (xy 212.108376 162.79558) + (xy 212.159006 163.020242) + (xy 212.245649 163.233618) + (xy 212.365979 163.429978) + (xy 212.516763 163.604048) + (xy 212.693953 163.751154) + (xy 212.89279 163.867345) + (xy 213.107934 163.949501) + (xy 213.111909 163.95031) + (xy 213.11191 163.95031) + (xy 213.329624 163.994604) + (xy 213.329628 163.994604) + (xy 213.333607 163.995414) + (xy 213.337667 163.995563) + (xy 213.337668 163.995563) + (xy 213.379459 163.997095) + (xy 213.563749 164.003853) + (xy 213.567767 164.003338) + (xy 213.567773 164.003338) + (xy 213.788146 163.975108) + (xy 213.788152 163.975107) + (xy 213.792178 163.974591) + (xy 213.796071 163.973423) + (xy 213.796076 163.973422) + (xy 213.954836 163.925791) + (xy 214.012761 163.908413) + (xy 214.219574 163.807096) + (xy 214.407062 163.673363) + (xy 214.57019 163.510803) + (xy 214.704577 163.323783) + (xy 214.806615 163.117325) + (xy 214.836112 163.020242) + (xy 214.87238 162.900869) + (xy 214.87238 162.900868) + (xy 214.873563 162.896975) + (xy 214.903622 162.668649) + (xy 214.904126 162.648052) + (xy 214.904345 162.639057) + (xy 214.9053 162.6) + (xy 214.902477 162.565665) + (xy 216.095119 162.565665) + (xy 216.108376 162.79558) + (xy 216.159006 163.020242) + (xy 216.245649 163.233618) + (xy 216.365979 163.429978) + (xy 216.516763 163.604048) + (xy 216.693953 163.751154) + (xy 216.89279 163.867345) + (xy 217.107934 163.949501) + (xy 217.111909 163.95031) + (xy 217.11191 163.95031) + (xy 217.329624 163.994604) + (xy 217.329628 163.994604) + (xy 217.333607 163.995414) + (xy 217.337667 163.995563) + (xy 217.337668 163.995563) + (xy 217.379459 163.997095) + (xy 217.563749 164.003853) + (xy 217.567767 164.003338) + (xy 217.567773 164.003338) + (xy 217.788146 163.975108) + (xy 217.788152 163.975107) + (xy 217.792178 163.974591) + (xy 217.796071 163.973423) + (xy 217.796076 163.973422) + (xy 217.954836 163.925791) + (xy 218.012761 163.908413) + (xy 218.219574 163.807096) + (xy 218.407062 163.673363) + (xy 218.57019 163.510803) + (xy 218.704577 163.323783) + (xy 218.806615 163.117325) + (xy 218.836112 163.020242) + (xy 218.87238 162.900869) + (xy 218.87238 162.900868) + (xy 218.873563 162.896975) + (xy 218.903622 162.668649) + (xy 218.904126 162.648052) + (xy 218.904345 162.639057) + (xy 218.9053 162.6) + (xy 218.902477 162.565665) + (xy 220.095119 162.565665) + (xy 220.108376 162.79558) + (xy 220.159006 163.020242) + (xy 220.245649 163.233618) + (xy 220.365979 163.429978) + (xy 220.516763 163.604048) + (xy 220.693953 163.751154) + (xy 220.89279 163.867345) + (xy 221.107934 163.949501) + (xy 221.111909 163.95031) + (xy 221.11191 163.95031) + (xy 221.329624 163.994604) + (xy 221.329628 163.994604) + (xy 221.333607 163.995414) + (xy 221.337667 163.995563) + (xy 221.337668 163.995563) + (xy 221.379459 163.997095) + (xy 221.563749 164.003853) + (xy 221.567767 164.003338) + (xy 221.567773 164.003338) + (xy 221.788146 163.975108) + (xy 221.788152 163.975107) + (xy 221.792178 163.974591) + (xy 221.796071 163.973423) + (xy 221.796076 163.973422) + (xy 221.954836 163.925791) + (xy 222.012761 163.908413) + (xy 222.219574 163.807096) + (xy 222.407062 163.673363) + (xy 222.57019 163.510803) + (xy 222.704577 163.323783) + (xy 222.806615 163.117325) + (xy 222.836112 163.020242) + (xy 222.87238 162.900869) + (xy 222.87238 162.900868) + (xy 222.873563 162.896975) + (xy 222.903622 162.668649) + (xy 222.904126 162.648052) + (xy 222.904345 162.639057) + (xy 222.9053 162.6) + (xy 222.902477 162.565665) + (xy 224.095119 162.565665) + (xy 224.108376 162.79558) + (xy 224.159006 163.020242) + (xy 224.245649 163.233618) + (xy 224.365979 163.429978) + (xy 224.516763 163.604048) + (xy 224.693953 163.751154) + (xy 224.89279 163.867345) + (xy 225.107934 163.949501) + (xy 225.111909 163.95031) + (xy 225.11191 163.95031) + (xy 225.329624 163.994604) + (xy 225.329628 163.994604) + (xy 225.333607 163.995414) + (xy 225.337667 163.995563) + (xy 225.337668 163.995563) + (xy 225.379459 163.997095) + (xy 225.563749 164.003853) + (xy 225.567767 164.003338) + (xy 225.567773 164.003338) + (xy 225.788146 163.975108) + (xy 225.788152 163.975107) + (xy 225.792178 163.974591) + (xy 225.796071 163.973423) + (xy 225.796076 163.973422) + (xy 225.954836 163.925791) + (xy 226.012761 163.908413) + (xy 226.219574 163.807096) + (xy 226.407062 163.673363) + (xy 226.57019 163.510803) + (xy 226.704577 163.323783) + (xy 226.806615 163.117325) + (xy 226.836112 163.020242) + (xy 226.87238 162.900869) + (xy 226.87238 162.900868) + (xy 226.873563 162.896975) + (xy 226.903622 162.668649) + (xy 226.904126 162.648052) + (xy 226.904345 162.639057) + (xy 226.9053 162.6) + (xy 226.902477 162.565665) + (xy 228.095119 162.565665) + (xy 228.108376 162.79558) + (xy 228.159006 163.020242) + (xy 228.245649 163.233618) + (xy 228.365979 163.429978) + (xy 228.516763 163.604048) + (xy 228.693953 163.751154) + (xy 228.89279 163.867345) + (xy 229.107934 163.949501) + (xy 229.111909 163.95031) + (xy 229.11191 163.95031) + (xy 229.329624 163.994604) + (xy 229.329628 163.994604) + (xy 229.333607 163.995414) + (xy 229.337667 163.995563) + (xy 229.337668 163.995563) + (xy 229.379459 163.997095) + (xy 229.563749 164.003853) + (xy 229.567767 164.003338) + (xy 229.567773 164.003338) + (xy 229.788146 163.975108) + (xy 229.788152 163.975107) + (xy 229.792178 163.974591) + (xy 229.796071 163.973423) + (xy 229.796076 163.973422) + (xy 229.954836 163.925791) + (xy 230.012761 163.908413) + (xy 230.219574 163.807096) + (xy 230.407062 163.673363) + (xy 230.57019 163.510803) + (xy 230.704577 163.323783) + (xy 230.806615 163.117325) + (xy 230.836112 163.020242) + (xy 230.87238 162.900869) + (xy 230.87238 162.900868) + (xy 230.873563 162.896975) + (xy 230.903622 162.668649) + (xy 230.904126 162.648052) + (xy 230.904345 162.639057) + (xy 230.9053 162.6) + (xy 230.902477 162.565665) + (xy 232.095119 162.565665) + (xy 232.108376 162.79558) + (xy 232.159006 163.020242) + (xy 232.245649 163.233618) + (xy 232.365979 163.429978) + (xy 232.516763 163.604048) + (xy 232.693953 163.751154) + (xy 232.89279 163.867345) + (xy 233.107934 163.949501) + (xy 233.111909 163.95031) + (xy 233.11191 163.95031) + (xy 233.329624 163.994604) + (xy 233.329628 163.994604) + (xy 233.333607 163.995414) + (xy 233.337667 163.995563) + (xy 233.337668 163.995563) + (xy 233.379459 163.997095) + (xy 233.563749 164.003853) + (xy 233.567767 164.003338) + (xy 233.567773 164.003338) + (xy 233.788146 163.975108) + (xy 233.788152 163.975107) + (xy 233.792178 163.974591) + (xy 233.796071 163.973423) + (xy 233.796076 163.973422) + (xy 233.954836 163.925791) + (xy 234.012761 163.908413) + (xy 234.219574 163.807096) + (xy 234.407062 163.673363) + (xy 234.57019 163.510803) + (xy 234.704577 163.323783) + (xy 234.806615 163.117325) + (xy 234.836112 163.020242) + (xy 234.87238 162.900869) + (xy 234.87238 162.900868) + (xy 234.873563 162.896975) + (xy 234.903622 162.668649) + (xy 234.904126 162.648052) + (xy 234.904345 162.639057) + (xy 234.9053 162.6) + (xy 234.88643 162.370478) + (xy 234.830326 162.14712) + (xy 234.738496 161.935924) + (xy 234.613405 161.742563) + (xy 234.458412 161.572229) + (xy 234.455226 161.569713) + (xy 234.455223 161.56971) + (xy 234.280869 161.432013) + (xy 234.280863 161.432009) + (xy 234.277681 161.429496) + (xy 234.167457 161.368649) + (xy 234.079623 161.320162) + (xy 234.079622 161.320161) + (xy 234.076065 161.318198) + (xy 234.072234 161.316841) + (xy 234.072231 161.31684) + (xy 233.927718 161.265665) + (xy 264.495119 161.265665) + (xy 264.508376 161.49558) + (xy 264.509268 161.499537) + (xy 264.557301 161.712675) + (xy 264.559006 161.720242) + (xy 264.560535 161.724006) + (xy 264.560536 161.724011) + (xy 264.569456 161.745978) + (xy 264.645649 161.933618) + (xy 264.647773 161.937084) + (xy 264.647775 161.937088) + (xy 264.69867 162.02014) + (xy 264.765979 162.129978) + (xy 264.916763 162.304048) + (xy 265.093953 162.451154) + (xy 265.29279 162.567345) + (xy 265.507934 162.649501) + (xy 265.511909 162.65031) + (xy 265.51191 162.65031) + (xy 265.729624 162.694604) + (xy 265.729628 162.694604) + (xy 265.733607 162.695414) + (xy 265.737667 162.695563) + (xy 265.737668 162.695563) + (xy 265.779459 162.697095) + (xy 265.963749 162.703853) + (xy 265.967767 162.703338) + (xy 265.967773 162.703338) + (xy 266.188146 162.675108) + (xy 266.188152 162.675107) + (xy 266.192178 162.674591) + (xy 266.196071 162.673423) + (xy 266.196076 162.673422) + (xy 266.354836 162.625791) + (xy 266.412761 162.608413) + (xy 266.619574 162.507096) + (xy 266.807062 162.373363) + (xy 266.97019 162.210803) + (xy 267.104577 162.023783) + (xy 267.206615 161.817325) + (xy 267.236112 161.720242) + (xy 267.27238 161.600869) + (xy 267.27238 161.600868) + (xy 267.273563 161.596975) + (xy 267.277153 161.56971) + (xy 267.29528 161.432013) + (xy 267.303622 161.368649) + (xy 267.3053 161.3) + (xy 267.304923 161.295414) + (xy 267.302477 161.265665) + (xy 272.495119 161.265665) + (xy 272.508376 161.49558) + (xy 272.509268 161.499537) + (xy 272.557301 161.712675) + (xy 272.559006 161.720242) + (xy 272.560535 161.724006) + (xy 272.560536 161.724011) + (xy 272.569456 161.745978) + (xy 272.645649 161.933618) + (xy 272.647773 161.937084) + (xy 272.647775 161.937088) + (xy 272.69867 162.02014) + (xy 272.765979 162.129978) + (xy 272.916763 162.304048) + (xy 273.093953 162.451154) + (xy 273.29279 162.567345) + (xy 273.507934 162.649501) + (xy 273.511909 162.65031) + (xy 273.51191 162.65031) + (xy 273.729624 162.694604) + (xy 273.729628 162.694604) + (xy 273.733607 162.695414) + (xy 273.737667 162.695563) + (xy 273.737668 162.695563) + (xy 273.779459 162.697095) + (xy 273.963749 162.703853) + (xy 273.967767 162.703338) + (xy 273.967773 162.703338) + (xy 274.188146 162.675108) + (xy 274.188152 162.675107) + (xy 274.192178 162.674591) + (xy 274.196071 162.673423) + (xy 274.196076 162.673422) + (xy 274.354836 162.625791) + (xy 274.412761 162.608413) + (xy 274.619574 162.507096) + (xy 274.807062 162.373363) + (xy 274.97019 162.210803) + (xy 275.104577 162.023783) + (xy 275.206615 161.817325) + (xy 275.236112 161.720242) + (xy 275.27238 161.600869) + (xy 275.27238 161.600868) + (xy 275.273563 161.596975) + (xy 275.277153 161.56971) + (xy 275.29528 161.432013) + (xy 275.303622 161.368649) + (xy 275.3053 161.3) + (xy 275.304923 161.295414) + (xy 275.286763 161.074525) + (xy 275.286762 161.074522) + (xy 275.28643 161.070478) + (xy 275.230326 160.84712) + (xy 275.155309 160.674591) + (xy 275.140118 160.639654) + (xy 275.140117 160.639652) + (xy 275.138496 160.635924) + (xy 275.013405 160.442563) + (xy 274.858412 160.272229) + (xy 274.855226 160.269713) + (xy 274.855223 160.26971) + (xy 274.680869 160.132013) + (xy 274.680863 160.132009) + (xy 274.677681 160.129496) + (xy 274.476065 160.018198) + (xy 274.472234 160.016841) + (xy 274.472231 160.01684) + (xy 274.262814 159.942681) + (xy 274.262809 159.94268) + (xy 274.258978 159.941323) + (xy 274.03225 159.900937) + (xy 274.028204 159.900888) + (xy 274.028198 159.900887) + (xy 273.901094 159.899334) + (xy 273.801971 159.898123) + (xy 273.574325 159.932958) + (xy 273.457116 159.971268) + (xy 273.359281 160.003245) + (xy 273.359277 160.003247) + (xy 273.355424 160.004506) + (xy 273.351824 160.00638) + (xy 273.154754 160.108968) + (xy 273.154751 160.10897) + (xy 273.151149 160.110845) + (xy 272.966984 160.249119) + (xy 272.807877 160.415616) + (xy 272.805585 160.418976) + (xy 272.680389 160.602505) + (xy 272.680386 160.602511) + (xy 272.678099 160.605863) + (xy 272.581136 160.814752) + (xy 272.519592 161.036673) + (xy 272.495119 161.265665) + (xy 267.302477 161.265665) + (xy 267.286763 161.074525) + (xy 267.286762 161.074522) + (xy 267.28643 161.070478) + (xy 267.230326 160.84712) + (xy 267.155309 160.674591) + (xy 267.140118 160.639654) + (xy 267.140117 160.639652) + (xy 267.138496 160.635924) + (xy 267.013405 160.442563) + (xy 266.858412 160.272229) + (xy 266.855226 160.269713) + (xy 266.855223 160.26971) + (xy 266.680869 160.132013) + (xy 266.680863 160.132009) + (xy 266.677681 160.129496) + (xy 266.476065 160.018198) + (xy 266.472234 160.016841) + (xy 266.472231 160.01684) + (xy 266.262814 159.942681) + (xy 266.262809 159.94268) + (xy 266.258978 159.941323) + (xy 266.03225 159.900937) + (xy 266.028204 159.900888) + (xy 266.028198 159.900887) + (xy 265.901094 159.899334) + (xy 265.801971 159.898123) + (xy 265.574325 159.932958) + (xy 265.457116 159.971268) + (xy 265.359281 160.003245) + (xy 265.359277 160.003247) + (xy 265.355424 160.004506) + (xy 265.351824 160.00638) + (xy 265.154754 160.108968) + (xy 265.154751 160.10897) + (xy 265.151149 160.110845) + (xy 264.966984 160.249119) + (xy 264.807877 160.415616) + (xy 264.805585 160.418976) + (xy 264.680389 160.602505) + (xy 264.680386 160.602511) + (xy 264.678099 160.605863) + (xy 264.581136 160.814752) + (xy 264.519592 161.036673) + (xy 264.495119 161.265665) + (xy 233.927718 161.265665) + (xy 233.862814 161.242681) + (xy 233.862809 161.24268) + (xy 233.858978 161.241323) + (xy 233.63225 161.200937) + (xy 233.628204 161.200888) + (xy 233.628198 161.200887) + (xy 233.501094 161.199334) + (xy 233.401971 161.198123) + (xy 233.174325 161.232958) + (xy 233.074258 161.265665) + (xy 232.959281 161.303245) + (xy 232.959277 161.303247) + (xy 232.955424 161.304506) + (xy 232.951824 161.30638) + (xy 232.754754 161.408968) + (xy 232.754751 161.40897) + (xy 232.751149 161.410845) + (xy 232.566984 161.549119) + (xy 232.407877 161.715616) + (xy 232.405585 161.718976) + (xy 232.280389 161.902505) + (xy 232.280386 161.902511) + (xy 232.278099 161.905863) + (xy 232.181136 162.114752) + (xy 232.119592 162.336673) + (xy 232.095119 162.565665) + (xy 230.902477 162.565665) + (xy 230.88643 162.370478) + (xy 230.830326 162.14712) + (xy 230.738496 161.935924) + (xy 230.613405 161.742563) + (xy 230.458412 161.572229) + (xy 230.455226 161.569713) + (xy 230.455223 161.56971) + (xy 230.280869 161.432013) + (xy 230.280863 161.432009) + (xy 230.277681 161.429496) + (xy 230.167457 161.368649) + (xy 230.079623 161.320162) + (xy 230.079622 161.320161) + (xy 230.076065 161.318198) + (xy 230.072234 161.316841) + (xy 230.072231 161.31684) + (xy 229.862814 161.242681) + (xy 229.862809 161.24268) + (xy 229.858978 161.241323) + (xy 229.63225 161.200937) + (xy 229.628204 161.200888) + (xy 229.628198 161.200887) + (xy 229.501094 161.199334) + (xy 229.401971 161.198123) + (xy 229.174325 161.232958) + (xy 229.074258 161.265665) + (xy 228.959281 161.303245) + (xy 228.959277 161.303247) + (xy 228.955424 161.304506) + (xy 228.951824 161.30638) + (xy 228.754754 161.408968) + (xy 228.754751 161.40897) + (xy 228.751149 161.410845) + (xy 228.566984 161.549119) + (xy 228.407877 161.715616) + (xy 228.405585 161.718976) + (xy 228.280389 161.902505) + (xy 228.280386 161.902511) + (xy 228.278099 161.905863) + (xy 228.181136 162.114752) + (xy 228.119592 162.336673) + (xy 228.095119 162.565665) + (xy 226.902477 162.565665) + (xy 226.88643 162.370478) + (xy 226.830326 162.14712) + (xy 226.738496 161.935924) + (xy 226.613405 161.742563) + (xy 226.458412 161.572229) + (xy 226.455226 161.569713) + (xy 226.455223 161.56971) + (xy 226.280869 161.432013) + (xy 226.280863 161.432009) + (xy 226.277681 161.429496) + (xy 226.167457 161.368649) + (xy 226.079623 161.320162) + (xy 226.079622 161.320161) + (xy 226.076065 161.318198) + (xy 226.072234 161.316841) + (xy 226.072231 161.31684) + (xy 225.862814 161.242681) + (xy 225.862809 161.24268) + (xy 225.858978 161.241323) + (xy 225.63225 161.200937) + (xy 225.628204 161.200888) + (xy 225.628198 161.200887) + (xy 225.501094 161.199334) + (xy 225.401971 161.198123) + (xy 225.174325 161.232958) + (xy 225.074258 161.265665) + (xy 224.959281 161.303245) + (xy 224.959277 161.303247) + (xy 224.955424 161.304506) + (xy 224.951824 161.30638) + (xy 224.754754 161.408968) + (xy 224.754751 161.40897) + (xy 224.751149 161.410845) + (xy 224.566984 161.549119) + (xy 224.407877 161.715616) + (xy 224.405585 161.718976) + (xy 224.280389 161.902505) + (xy 224.280386 161.902511) + (xy 224.278099 161.905863) + (xy 224.181136 162.114752) + (xy 224.119592 162.336673) + (xy 224.095119 162.565665) + (xy 222.902477 162.565665) + (xy 222.88643 162.370478) + (xy 222.830326 162.14712) + (xy 222.738496 161.935924) + (xy 222.613405 161.742563) + (xy 222.458412 161.572229) + (xy 222.455226 161.569713) + (xy 222.455223 161.56971) + (xy 222.280869 161.432013) + (xy 222.280863 161.432009) + (xy 222.277681 161.429496) + (xy 222.167457 161.368649) + (xy 222.079623 161.320162) + (xy 222.079622 161.320161) + (xy 222.076065 161.318198) + (xy 222.072234 161.316841) + (xy 222.072231 161.31684) + (xy 221.862814 161.242681) + (xy 221.862809 161.24268) + (xy 221.858978 161.241323) + (xy 221.63225 161.200937) + (xy 221.628204 161.200888) + (xy 221.628198 161.200887) + (xy 221.501094 161.199334) + (xy 221.401971 161.198123) + (xy 221.174325 161.232958) + (xy 221.074258 161.265665) + (xy 220.959281 161.303245) + (xy 220.959277 161.303247) + (xy 220.955424 161.304506) + (xy 220.951824 161.30638) + (xy 220.754754 161.408968) + (xy 220.754751 161.40897) + (xy 220.751149 161.410845) + (xy 220.566984 161.549119) + (xy 220.407877 161.715616) + (xy 220.405585 161.718976) + (xy 220.280389 161.902505) + (xy 220.280386 161.902511) + (xy 220.278099 161.905863) + (xy 220.181136 162.114752) + (xy 220.119592 162.336673) + (xy 220.095119 162.565665) + (xy 218.902477 162.565665) + (xy 218.88643 162.370478) + (xy 218.830326 162.14712) + (xy 218.738496 161.935924) + (xy 218.613405 161.742563) + (xy 218.458412 161.572229) + (xy 218.455226 161.569713) + (xy 218.455223 161.56971) + (xy 218.280869 161.432013) + (xy 218.280863 161.432009) + (xy 218.277681 161.429496) + (xy 218.167457 161.368649) + (xy 218.079623 161.320162) + (xy 218.079622 161.320161) + (xy 218.076065 161.318198) + (xy 218.072234 161.316841) + (xy 218.072231 161.31684) + (xy 217.862814 161.242681) + (xy 217.862809 161.24268) + (xy 217.858978 161.241323) + (xy 217.63225 161.200937) + (xy 217.628204 161.200888) + (xy 217.628198 161.200887) + (xy 217.501094 161.199334) + (xy 217.401971 161.198123) + (xy 217.174325 161.232958) + (xy 217.074258 161.265665) + (xy 216.959281 161.303245) + (xy 216.959277 161.303247) + (xy 216.955424 161.304506) + (xy 216.951824 161.30638) + (xy 216.754754 161.408968) + (xy 216.754751 161.40897) + (xy 216.751149 161.410845) + (xy 216.566984 161.549119) + (xy 216.407877 161.715616) + (xy 216.405585 161.718976) + (xy 216.280389 161.902505) + (xy 216.280386 161.902511) + (xy 216.278099 161.905863) + (xy 216.181136 162.114752) + (xy 216.119592 162.336673) + (xy 216.095119 162.565665) + (xy 214.902477 162.565665) + (xy 214.88643 162.370478) + (xy 214.830326 162.14712) + (xy 214.738496 161.935924) + (xy 214.613405 161.742563) + (xy 214.458412 161.572229) + (xy 214.455226 161.569713) + (xy 214.455223 161.56971) + (xy 214.280869 161.432013) + (xy 214.280863 161.432009) + (xy 214.277681 161.429496) + (xy 214.167457 161.368649) + (xy 214.079623 161.320162) + (xy 214.079622 161.320161) + (xy 214.076065 161.318198) + (xy 214.072234 161.316841) + (xy 214.072231 161.31684) + (xy 213.862814 161.242681) + (xy 213.862809 161.24268) + (xy 213.858978 161.241323) + (xy 213.63225 161.200937) + (xy 213.628204 161.200888) + (xy 213.628198 161.200887) + (xy 213.501094 161.199334) + (xy 213.401971 161.198123) + (xy 213.174325 161.232958) + (xy 213.074258 161.265665) + (xy 212.959281 161.303245) + (xy 212.959277 161.303247) + (xy 212.955424 161.304506) + (xy 212.951824 161.30638) + (xy 212.754754 161.408968) + (xy 212.754751 161.40897) + (xy 212.751149 161.410845) + (xy 212.566984 161.549119) + (xy 212.407877 161.715616) + (xy 212.405585 161.718976) + (xy 212.280389 161.902505) + (xy 212.280386 161.902511) + (xy 212.278099 161.905863) + (xy 212.181136 162.114752) + (xy 212.119592 162.336673) + (xy 212.095119 162.565665) + (xy 210.902477 162.565665) + (xy 210.88643 162.370478) + (xy 210.830326 162.14712) + (xy 210.738496 161.935924) + (xy 210.613405 161.742563) + (xy 210.458412 161.572229) + (xy 210.455226 161.569713) + (xy 210.455223 161.56971) + (xy 210.280869 161.432013) + (xy 210.280863 161.432009) + (xy 210.277681 161.429496) + (xy 210.167457 161.368649) + (xy 210.079623 161.320162) + (xy 210.079622 161.320161) + (xy 210.076065 161.318198) + (xy 210.072234 161.316841) + (xy 210.072231 161.31684) + (xy 209.862814 161.242681) + (xy 209.862809 161.24268) + (xy 209.858978 161.241323) + (xy 209.63225 161.200937) + (xy 209.628204 161.200888) + (xy 209.628198 161.200887) + (xy 209.501094 161.199334) + (xy 209.401971 161.198123) + (xy 209.174325 161.232958) + (xy 209.074258 161.265665) + (xy 208.959281 161.303245) + (xy 208.959277 161.303247) + (xy 208.955424 161.304506) + (xy 208.951824 161.30638) + (xy 208.754754 161.408968) + (xy 208.754751 161.40897) + (xy 208.751149 161.410845) + (xy 208.566984 161.549119) + (xy 208.407877 161.715616) + (xy 208.405585 161.718976) + (xy 208.280389 161.902505) + (xy 208.280386 161.902511) + (xy 208.278099 161.905863) + (xy 208.181136 162.114752) + (xy 208.119592 162.336673) + (xy 208.095119 162.565665) + (xy 206.902477 162.565665) + (xy 206.88643 162.370478) + (xy 206.830326 162.14712) + (xy 206.738496 161.935924) + (xy 206.613405 161.742563) + (xy 206.458412 161.572229) + (xy 206.455226 161.569713) + (xy 206.455223 161.56971) + (xy 206.280869 161.432013) + (xy 206.280863 161.432009) + (xy 206.277681 161.429496) + (xy 206.167457 161.368649) + (xy 206.079623 161.320162) + (xy 206.079622 161.320161) + (xy 206.076065 161.318198) + (xy 206.072234 161.316841) + (xy 206.072231 161.31684) + (xy 205.862814 161.242681) + (xy 205.862809 161.24268) + (xy 205.858978 161.241323) + (xy 205.63225 161.200937) + (xy 205.628204 161.200888) + (xy 205.628198 161.200887) + (xy 205.501094 161.199334) + (xy 205.401971 161.198123) + (xy 205.174325 161.232958) + (xy 205.074258 161.265665) + (xy 204.959281 161.303245) + (xy 204.959277 161.303247) + (xy 204.955424 161.304506) + (xy 204.951824 161.30638) + (xy 204.754754 161.408968) + (xy 204.754751 161.40897) + (xy 204.751149 161.410845) + (xy 204.566984 161.549119) + (xy 204.407877 161.715616) + (xy 204.405585 161.718976) + (xy 204.280389 161.902505) + (xy 204.280386 161.902511) + (xy 204.278099 161.905863) + (xy 204.181136 162.114752) + (xy 204.119592 162.336673) + (xy 204.095119 162.565665) + (xy 136.664442 162.565665) + (xy 136.664992 159.865665) + (xy 160.095119 159.865665) + (xy 160.108376 160.09558) + (xy 160.115577 160.127533) + (xy 160.155942 160.306644) + (xy 160.159006 160.320242) + (xy 160.160535 160.324006) + (xy 160.160536 160.324011) + (xy 160.244118 160.529848) + (xy 160.245649 160.533618) + (xy 160.247773 160.537084) + (xy 160.247775 160.537088) + (xy 160.316662 160.649501) + (xy 160.365979 160.729978) + (xy 160.368638 160.733048) + (xy 160.368639 160.733049) + (xy 160.514101 160.900975) + (xy 160.516763 160.904048) + (xy 160.693953 161.051154) + (xy 160.89279 161.167345) + (xy 161.107934 161.249501) + (xy 161.111909 161.25031) + (xy 161.11191 161.25031) + (xy 161.329624 161.294604) + (xy 161.329628 161.294604) + (xy 161.333607 161.295414) + (xy 161.337667 161.295563) + (xy 161.337668 161.295563) + (xy 161.379459 161.297095) + (xy 161.563749 161.303853) + (xy 161.567767 161.303338) + (xy 161.567773 161.303338) + (xy 161.788146 161.275108) + (xy 161.788152 161.275107) + (xy 161.792178 161.274591) + (xy 161.796071 161.273423) + (xy 161.796076 161.273422) + (xy 161.954836 161.225791) + (xy 162.012761 161.208413) + (xy 162.219574 161.107096) + (xy 162.407062 160.973363) + (xy 162.57019 160.810803) + (xy 162.704577 160.623783) + (xy 162.806615 160.417325) + (xy 162.819256 160.375721) + (xy 162.87238 160.200869) + (xy 162.87238 160.200868) + (xy 162.873563 160.196975) + (xy 162.903622 159.968649) + (xy 162.9053 159.9) + (xy 162.902477 159.865665) + (xy 168.095119 159.865665) + (xy 168.108376 160.09558) + (xy 168.115577 160.127533) + (xy 168.155942 160.306644) + (xy 168.159006 160.320242) + (xy 168.160535 160.324006) + (xy 168.160536 160.324011) + (xy 168.244118 160.529848) + (xy 168.245649 160.533618) + (xy 168.247773 160.537084) + (xy 168.247775 160.537088) + (xy 168.316662 160.649501) + (xy 168.365979 160.729978) + (xy 168.368638 160.733048) + (xy 168.368639 160.733049) + (xy 168.514101 160.900975) + (xy 168.516763 160.904048) + (xy 168.693953 161.051154) + (xy 168.89279 161.167345) + (xy 169.107934 161.249501) + (xy 169.111909 161.25031) + (xy 169.11191 161.25031) + (xy 169.329624 161.294604) + (xy 169.329628 161.294604) + (xy 169.333607 161.295414) + (xy 169.337667 161.295563) + (xy 169.337668 161.295563) + (xy 169.379459 161.297095) + (xy 169.563749 161.303853) + (xy 169.567767 161.303338) + (xy 169.567773 161.303338) + (xy 169.788146 161.275108) + (xy 169.788152 161.275107) + (xy 169.792178 161.274591) + (xy 169.796071 161.273423) + (xy 169.796076 161.273422) + (xy 169.954836 161.225791) + (xy 170.012761 161.208413) + (xy 170.219574 161.107096) + (xy 170.407062 160.973363) + (xy 170.57019 160.810803) + (xy 170.704577 160.623783) + (xy 170.806615 160.417325) + (xy 170.819256 160.375721) + (xy 170.87238 160.200869) + (xy 170.87238 160.200868) + (xy 170.873563 160.196975) + (xy 170.903622 159.968649) + (xy 170.9053 159.9) + (xy 170.898503 159.817325) + (xy 170.886763 159.674525) + (xy 170.886762 159.674522) + (xy 170.88643 159.670478) + (xy 170.868946 159.600869) + (xy 170.831317 159.451066) + (xy 170.830326 159.44712) + (xy 170.755309 159.274591) + (xy 170.751428 159.265665) + (xy 268.495119 159.265665) + (xy 268.508376 159.49558) + (xy 268.509268 159.499537) + (xy 268.541086 159.640723) + (xy 268.559006 159.720242) + (xy 268.560535 159.724006) + (xy 268.560536 159.724011) + (xy 268.632667 159.901648) + (xy 268.645649 159.933618) + (xy 268.647773 159.937084) + (xy 268.647775 159.937088) + (xy 268.689089 160.004506) + (xy 268.765979 160.129978) + (xy 268.768638 160.133048) + (xy 268.768639 160.133049) + (xy 268.891806 160.275237) + (xy 268.916763 160.304048) + (xy 269.093953 160.451154) + (xy 269.29279 160.567345) + (xy 269.507934 160.649501) + (xy 269.511909 160.65031) + (xy 269.51191 160.65031) + (xy 269.729624 160.694604) + (xy 269.729628 160.694604) + (xy 269.733607 160.695414) + (xy 269.737667 160.695563) + (xy 269.737668 160.695563) + (xy 269.779459 160.697095) + (xy 269.963749 160.703853) + (xy 269.967767 160.703338) + (xy 269.967773 160.703338) + (xy 270.188146 160.675108) + (xy 270.188152 160.675107) + (xy 270.192178 160.674591) + (xy 270.196071 160.673423) + (xy 270.196076 160.673422) + (xy 270.373673 160.62014) + (xy 270.412761 160.608413) + (xy 270.619574 160.507096) + (xy 270.807062 160.373363) + (xy 270.97019 160.210803) + (xy 271.104577 160.023783) + (xy 271.206615 159.817325) + (xy 271.236112 159.720242) + (xy 271.27238 159.600869) + (xy 271.27238 159.600868) + (xy 271.273563 159.596975) + (xy 271.303622 159.368649) + (xy 271.3053 159.3) + (xy 271.304923 159.295414) + (xy 271.286763 159.074525) + (xy 271.286762 159.074522) + (xy 271.28643 159.070478) + (xy 271.279419 159.042563) + (xy 271.244625 158.904048) + (xy 271.230326 158.84712) + (xy 271.155309 158.674591) + (xy 271.140118 158.639654) + (xy 271.140117 158.639652) + (xy 271.138496 158.635924) + (xy 271.013405 158.442563) + (xy 270.858412 158.272229) + (xy 270.855226 158.269713) + (xy 270.855223 158.26971) + (xy 270.680869 158.132013) + (xy 270.680863 158.132009) + (xy 270.677681 158.129496) + (xy 270.476065 158.018198) + (xy 270.472234 158.016841) + (xy 270.472231 158.01684) + (xy 270.262814 157.942681) + (xy 270.262809 157.94268) + (xy 270.258978 157.941323) + (xy 270.03225 157.900937) + (xy 270.028204 157.900888) + (xy 270.028198 157.900887) + (xy 269.901094 157.899334) + (xy 269.801971 157.898123) + (xy 269.574325 157.932958) + (xy 269.457116 157.971268) + (xy 269.359281 158.003245) + (xy 269.359277 158.003247) + (xy 269.355424 158.004506) + (xy 269.351824 158.00638) + (xy 269.154754 158.108968) + (xy 269.154751 158.10897) + (xy 269.151149 158.110845) + (xy 268.966984 158.249119) + (xy 268.807877 158.415616) + (xy 268.805585 158.418976) + (xy 268.680389 158.602505) + (xy 268.680386 158.602511) + (xy 268.678099 158.605863) + (xy 268.581136 158.814752) + (xy 268.556372 158.904048) + (xy 268.525432 159.015616) + (xy 268.519592 159.036673) + (xy 268.519159 159.040721) + (xy 268.519159 159.040723) + (xy 268.518321 159.048562) + (xy 268.495119 159.265665) + (xy 170.751428 159.265665) + (xy 170.740118 159.239654) + (xy 170.740117 159.239652) + (xy 170.738496 159.235924) + (xy 170.613405 159.042563) + (xy 170.608046 159.036673) + (xy 170.461149 158.875237) + (xy 170.458412 158.872229) + (xy 170.455226 158.869713) + (xy 170.455223 158.86971) + (xy 170.280869 158.732013) + (xy 170.280863 158.732009) + (xy 170.277681 158.729496) + (xy 170.230296 158.703338) + (xy 170.079623 158.620162) + (xy 170.079622 158.620161) + (xy 170.076065 158.618198) + (xy 170.072234 158.616841) + (xy 170.072231 158.61684) + (xy 169.862814 158.542681) + (xy 169.862809 158.54268) + (xy 169.858978 158.541323) + (xy 169.63225 158.500937) + (xy 169.628204 158.500888) + (xy 169.628198 158.500887) + (xy 169.501094 158.499334) + (xy 169.401971 158.498123) + (xy 169.174325 158.532958) + (xy 169.075384 158.565297) + (xy 168.959281 158.603245) + (xy 168.959277 158.603247) + (xy 168.955424 158.604506) + (xy 168.951824 158.60638) + (xy 168.754754 158.708968) + (xy 168.754751 158.70897) + (xy 168.751149 158.710845) + (xy 168.566984 158.849119) + (xy 168.407877 159.015616) + (xy 168.405585 159.018976) + (xy 168.280389 159.202505) + (xy 168.280386 159.202511) + (xy 168.278099 159.205863) + (xy 168.181136 159.414752) + (xy 168.119592 159.636673) + (xy 168.095119 159.865665) + (xy 162.902477 159.865665) + (xy 162.898503 159.817325) + (xy 162.886763 159.674525) + (xy 162.886762 159.674522) + (xy 162.88643 159.670478) + (xy 162.868946 159.600869) + (xy 162.831317 159.451066) + (xy 162.830326 159.44712) + (xy 162.755309 159.274591) + (xy 162.740118 159.239654) + (xy 162.740117 159.239652) + (xy 162.738496 159.235924) + (xy 162.613405 159.042563) + (xy 162.608046 159.036673) + (xy 162.461149 158.875237) + (xy 162.458412 158.872229) + (xy 162.455226 158.869713) + (xy 162.455223 158.86971) + (xy 162.280869 158.732013) + (xy 162.280863 158.732009) + (xy 162.277681 158.729496) + (xy 162.230296 158.703338) + (xy 162.079623 158.620162) + (xy 162.079622 158.620161) + (xy 162.076065 158.618198) + (xy 162.072234 158.616841) + (xy 162.072231 158.61684) + (xy 161.862814 158.542681) + (xy 161.862809 158.54268) + (xy 161.858978 158.541323) + (xy 161.63225 158.500937) + (xy 161.628204 158.500888) + (xy 161.628198 158.500887) + (xy 161.501094 158.499334) + (xy 161.401971 158.498123) + (xy 161.174325 158.532958) + (xy 161.075384 158.565297) + (xy 160.959281 158.603245) + (xy 160.959277 158.603247) + (xy 160.955424 158.604506) + (xy 160.951824 158.60638) + (xy 160.754754 158.708968) + (xy 160.754751 158.70897) + (xy 160.751149 158.710845) + (xy 160.566984 158.849119) + (xy 160.407877 159.015616) + (xy 160.405585 159.018976) + (xy 160.280389 159.202505) + (xy 160.280386 159.202511) + (xy 160.278099 159.205863) + (xy 160.181136 159.414752) + (xy 160.119592 159.636673) + (xy 160.095119 159.865665) + (xy 136.664992 159.865665) + (xy 136.665399 157.865665) + (xy 164.095119 157.865665) + (xy 164.108376 158.09558) + (xy 164.115577 158.127533) + (xy 164.155942 158.306644) + (xy 164.159006 158.320242) + (xy 164.160535 158.324006) + (xy 164.160536 158.324011) + (xy 164.244118 158.529848) + (xy 164.245649 158.533618) + (xy 164.247773 158.537084) + (xy 164.247775 158.537088) + (xy 164.316662 158.649501) + (xy 164.365979 158.729978) + (xy 164.368638 158.733048) + (xy 164.368639 158.733049) + (xy 164.491806 158.875237) + (xy 164.516763 158.904048) + (xy 164.693953 159.051154) + (xy 164.89279 159.167345) + (xy 165.107934 159.249501) + (xy 165.111909 159.25031) + (xy 165.11191 159.25031) + (xy 165.329624 159.294604) + (xy 165.329628 159.294604) + (xy 165.333607 159.295414) + (xy 165.337667 159.295563) + (xy 165.337668 159.295563) + (xy 165.379459 159.297095) + (xy 165.563749 159.303853) + (xy 165.567767 159.303338) + (xy 165.567773 159.303338) + (xy 165.788146 159.275108) + (xy 165.788152 159.275107) + (xy 165.792178 159.274591) + (xy 165.796071 159.273423) + (xy 165.796076 159.273422) + (xy 165.954836 159.225791) + (xy 166.012761 159.208413) + (xy 166.219574 159.107096) + (xy 166.407062 158.973363) + (xy 166.57019 158.810803) + (xy 166.704577 158.623783) + (xy 166.806615 158.417325) + (xy 166.819256 158.375721) + (xy 166.87238 158.200869) + (xy 166.87238 158.200868) + (xy 166.873563 158.196975) + (xy 166.903622 157.968649) + (xy 166.9053 157.9) + (xy 166.898503 157.817325) + (xy 166.886763 157.674525) + (xy 166.886762 157.674522) + (xy 166.88643 157.670478) + (xy 166.868946 157.600869) + (xy 166.831317 157.451066) + (xy 166.830326 157.44712) + (xy 166.755309 157.274591) + (xy 166.740118 157.239654) + (xy 166.740117 157.239652) + (xy 166.738496 157.235924) + (xy 166.613405 157.042563) + (xy 166.608046 157.036673) + (xy 166.461149 156.875237) + (xy 166.458412 156.872229) + (xy 166.455226 156.869713) + (xy 166.455223 156.86971) + (xy 166.280869 156.732013) + (xy 166.280863 156.732009) + (xy 166.277681 156.729496) + (xy 166.230296 156.703338) + (xy 166.079623 156.620162) + (xy 166.079622 156.620161) + (xy 166.076065 156.618198) + (xy 166.072234 156.616841) + (xy 166.072231 156.61684) + (xy 165.862814 156.542681) + (xy 165.862809 156.54268) + (xy 165.858978 156.541323) + (xy 165.63225 156.500937) + (xy 165.628204 156.500888) + (xy 165.628198 156.500887) + (xy 165.501094 156.499334) + (xy 165.401971 156.498123) + (xy 165.174325 156.532958) + (xy 165.075384 156.565297) + (xy 164.959281 156.603245) + (xy 164.959277 156.603247) + (xy 164.955424 156.604506) + (xy 164.951824 156.60638) + (xy 164.754754 156.708968) + (xy 164.754751 156.70897) + (xy 164.751149 156.710845) + (xy 164.566984 156.849119) + (xy 164.407877 157.015616) + (xy 164.405585 157.018976) + (xy 164.280389 157.202505) + (xy 164.280386 157.202511) + (xy 164.278099 157.205863) + (xy 164.181136 157.414752) + (xy 164.119592 157.636673) + (xy 164.095119 157.865665) + (xy 136.665399 157.865665) + (xy 136.665806 155.865665) + (xy 160.095119 155.865665) + (xy 160.108376 156.09558) + (xy 160.115577 156.127533) + (xy 160.155942 156.306644) + (xy 160.159006 156.320242) + (xy 160.160535 156.324006) + (xy 160.160536 156.324011) + (xy 160.244118 156.529848) + (xy 160.245649 156.533618) + (xy 160.247773 156.537084) + (xy 160.247775 156.537088) + (xy 160.31637 156.649024) + (xy 160.365979 156.729978) + (xy 160.368638 156.733048) + (xy 160.368639 156.733049) + (xy 160.491806 156.875237) + (xy 160.516763 156.904048) + (xy 160.693953 157.051154) + (xy 160.89279 157.167345) + (xy 161.107934 157.249501) + (xy 161.111909 157.25031) + (xy 161.11191 157.25031) + (xy 161.329624 157.294604) + (xy 161.329628 157.294604) + (xy 161.333607 157.295414) + (xy 161.337667 157.295563) + (xy 161.337668 157.295563) + (xy 161.379459 157.297095) + (xy 161.563749 157.303853) + (xy 161.567767 157.303338) + (xy 161.567773 157.303338) + (xy 161.788146 157.275108) + (xy 161.788152 157.275107) + (xy 161.792178 157.274591) + (xy 161.796071 157.273423) + (xy 161.796076 157.273422) + (xy 161.954836 157.225791) + (xy 162.012761 157.208413) + (xy 162.219574 157.107096) + (xy 162.407062 156.973363) + (xy 162.57019 156.810803) + (xy 162.704577 156.623783) + (xy 162.806615 156.417325) + (xy 162.819256 156.375721) + (xy 162.87238 156.200869) + (xy 162.87238 156.200868) + (xy 162.873563 156.196975) + (xy 162.903622 155.968649) + (xy 162.9053 155.9) + (xy 162.90281 155.869717) + (xy 162.902477 155.865665) + (xy 168.095119 155.865665) + (xy 168.108376 156.09558) + (xy 168.115577 156.127533) + (xy 168.155942 156.306644) + (xy 168.159006 156.320242) + (xy 168.160535 156.324006) + (xy 168.160536 156.324011) + (xy 168.244118 156.529848) + (xy 168.245649 156.533618) + (xy 168.247773 156.537084) + (xy 168.247775 156.537088) + (xy 168.31637 156.649024) + (xy 168.365979 156.729978) + (xy 168.368638 156.733048) + (xy 168.368639 156.733049) + (xy 168.491806 156.875237) + (xy 168.516763 156.904048) + (xy 168.693953 157.051154) + (xy 168.89279 157.167345) + (xy 169.107934 157.249501) + (xy 169.111909 157.25031) + (xy 169.11191 157.25031) + (xy 169.329624 157.294604) + (xy 169.329628 157.294604) + (xy 169.333607 157.295414) + (xy 169.337667 157.295563) + (xy 169.337668 157.295563) + (xy 169.379459 157.297095) + (xy 169.563749 157.303853) + (xy 169.567767 157.303338) + (xy 169.567773 157.303338) + (xy 169.788146 157.275108) + (xy 169.788152 157.275107) + (xy 169.792178 157.274591) + (xy 169.796071 157.273423) + (xy 169.796076 157.273422) + (xy 169.821931 157.265665) + (xy 264.495119 157.265665) + (xy 264.508376 157.49558) + (xy 264.509268 157.499537) + (xy 264.541086 157.640723) + (xy 264.559006 157.720242) + (xy 264.560535 157.724006) + (xy 264.560536 157.724011) + (xy 264.632667 157.901648) + (xy 264.645649 157.933618) + (xy 264.647773 157.937084) + (xy 264.647775 157.937088) + (xy 264.689089 158.004506) + (xy 264.765979 158.129978) + (xy 264.768638 158.133048) + (xy 264.768639 158.133049) + (xy 264.891806 158.275237) + (xy 264.916763 158.304048) + (xy 265.093953 158.451154) + (xy 265.29279 158.567345) + (xy 265.507934 158.649501) + (xy 265.511909 158.65031) + (xy 265.51191 158.65031) + (xy 265.729624 158.694604) + (xy 265.729628 158.694604) + (xy 265.733607 158.695414) + (xy 265.737667 158.695563) + (xy 265.737668 158.695563) + (xy 265.779459 158.697095) + (xy 265.963749 158.703853) + (xy 265.967767 158.703338) + (xy 265.967773 158.703338) + (xy 266.188146 158.675108) + (xy 266.188152 158.675107) + (xy 266.192178 158.674591) + (xy 266.196071 158.673423) + (xy 266.196076 158.673422) + (xy 266.36153 158.623783) + (xy 266.412761 158.608413) + (xy 266.619574 158.507096) + (xy 266.807062 158.373363) + (xy 266.97019 158.210803) + (xy 267.104577 158.023783) + (xy 267.206615 157.817325) + (xy 267.236112 157.720242) + (xy 267.27238 157.600869) + (xy 267.27238 157.600868) + (xy 267.273563 157.596975) + (xy 267.303622 157.368649) + (xy 267.3053 157.3) + (xy 267.304923 157.295414) + (xy 267.302477 157.265665) + (xy 272.495119 157.265665) + (xy 272.508376 157.49558) + (xy 272.509268 157.499537) + (xy 272.541086 157.640723) + (xy 272.559006 157.720242) + (xy 272.560535 157.724006) + (xy 272.560536 157.724011) + (xy 272.632667 157.901648) + (xy 272.645649 157.933618) + (xy 272.647773 157.937084) + (xy 272.647775 157.937088) + (xy 272.689089 158.004506) + (xy 272.765979 158.129978) + (xy 272.768638 158.133048) + (xy 272.768639 158.133049) + (xy 272.891806 158.275237) + (xy 272.916763 158.304048) + (xy 273.093953 158.451154) + (xy 273.29279 158.567345) + (xy 273.507934 158.649501) + (xy 273.511909 158.65031) + (xy 273.51191 158.65031) + (xy 273.729624 158.694604) + (xy 273.729628 158.694604) + (xy 273.733607 158.695414) + (xy 273.737667 158.695563) + (xy 273.737668 158.695563) + (xy 273.779459 158.697095) + (xy 273.963749 158.703853) + (xy 273.967767 158.703338) + (xy 273.967773 158.703338) + (xy 274.188146 158.675108) + (xy 274.188152 158.675107) + (xy 274.192178 158.674591) + (xy 274.196071 158.673423) + (xy 274.196076 158.673422) + (xy 274.36153 158.623783) + (xy 274.412761 158.608413) + (xy 274.619574 158.507096) + (xy 274.807062 158.373363) + (xy 274.97019 158.210803) + (xy 275.104577 158.023783) + (xy 275.206615 157.817325) + (xy 275.236112 157.720242) + (xy 275.27238 157.600869) + (xy 275.27238 157.600868) + (xy 275.273563 157.596975) + (xy 275.303622 157.368649) + (xy 275.3053 157.3) + (xy 275.304923 157.295414) + (xy 275.286763 157.074525) + (xy 275.286762 157.074522) + (xy 275.28643 157.070478) + (xy 275.279419 157.042563) + (xy 275.244625 156.904048) + (xy 275.230326 156.84712) + (xy 275.155309 156.674591) + (xy 275.140118 156.639654) + (xy 275.140117 156.639652) + (xy 275.138496 156.635924) + (xy 275.013405 156.442563) + (xy 274.858412 156.272229) + (xy 274.855226 156.269713) + (xy 274.855223 156.26971) + (xy 274.680869 156.132013) + (xy 274.680863 156.132009) + (xy 274.677681 156.129496) + (xy 274.608908 156.091531) + (xy 274.479623 156.020162) + (xy 274.479622 156.020161) + (xy 274.476065 156.018198) + (xy 274.472234 156.016841) + (xy 274.472231 156.01684) + (xy 274.262814 155.942681) + (xy 274.262809 155.94268) + (xy 274.258978 155.941323) + (xy 274.03225 155.900937) + (xy 274.028204 155.900888) + (xy 274.028198 155.900887) + (xy 273.901094 155.899334) + (xy 273.801971 155.898123) + (xy 273.574325 155.932958) + (xy 273.457116 155.971268) + (xy 273.359281 156.003245) + (xy 273.359277 156.003247) + (xy 273.355424 156.004506) + (xy 273.351824 156.00638) + (xy 273.154754 156.108968) + (xy 273.154751 156.10897) + (xy 273.151149 156.110845) + (xy 272.966984 156.249119) + (xy 272.807877 156.415616) + (xy 272.805585 156.418976) + (xy 272.680389 156.602505) + (xy 272.680386 156.602511) + (xy 272.678099 156.605863) + (xy 272.581136 156.814752) + (xy 272.557104 156.90141) + (xy 272.525432 157.015616) + (xy 272.519592 157.036673) + (xy 272.519159 157.040721) + (xy 272.519159 157.040723) + (xy 272.518321 157.048562) + (xy 272.495119 157.265665) + (xy 267.302477 157.265665) + (xy 267.286763 157.074525) + (xy 267.286762 157.074522) + (xy 267.28643 157.070478) + (xy 267.279419 157.042563) + (xy 267.244625 156.904048) + (xy 267.230326 156.84712) + (xy 267.155309 156.674591) + (xy 267.140118 156.639654) + (xy 267.140117 156.639652) + (xy 267.138496 156.635924) + (xy 267.013405 156.442563) + (xy 266.858412 156.272229) + (xy 266.855226 156.269713) + (xy 266.855223 156.26971) + (xy 266.680869 156.132013) + (xy 266.680863 156.132009) + (xy 266.677681 156.129496) + (xy 266.608908 156.091531) + (xy 266.479623 156.020162) + (xy 266.479622 156.020161) + (xy 266.476065 156.018198) + (xy 266.472234 156.016841) + (xy 266.472231 156.01684) + (xy 266.262814 155.942681) + (xy 266.262809 155.94268) + (xy 266.258978 155.941323) + (xy 266.03225 155.900937) + (xy 266.028204 155.900888) + (xy 266.028198 155.900887) + (xy 265.901094 155.899334) + (xy 265.801971 155.898123) + (xy 265.574325 155.932958) + (xy 265.457116 155.971268) + (xy 265.359281 156.003245) + (xy 265.359277 156.003247) + (xy 265.355424 156.004506) + (xy 265.351824 156.00638) + (xy 265.154754 156.108968) + (xy 265.154751 156.10897) + (xy 265.151149 156.110845) + (xy 264.966984 156.249119) + (xy 264.807877 156.415616) + (xy 264.805585 156.418976) + (xy 264.680389 156.602505) + (xy 264.680386 156.602511) + (xy 264.678099 156.605863) + (xy 264.581136 156.814752) + (xy 264.557104 156.90141) + (xy 264.525432 157.015616) + (xy 264.519592 157.036673) + (xy 264.519159 157.040721) + (xy 264.519159 157.040723) + (xy 264.518321 157.048562) + (xy 264.495119 157.265665) + (xy 169.821931 157.265665) + (xy 169.954836 157.225791) + (xy 170.012761 157.208413) + (xy 170.219574 157.107096) + (xy 170.407062 156.973363) + (xy 170.57019 156.810803) + (xy 170.704577 156.623783) + (xy 170.806615 156.417325) + (xy 170.819256 156.375721) + (xy 170.87238 156.200869) + (xy 170.87238 156.200868) + (xy 170.873563 156.196975) + (xy 170.903622 155.968649) + (xy 170.9053 155.9) + (xy 170.90281 155.869717) + (xy 170.894484 155.76844) + (xy 194.59477 155.76844) + (xy 194.597719 155.813439) + (xy 194.608064 155.971268) + (xy 194.6092 155.988604) + (xy 194.610316 155.992997) + (xy 194.610316 155.992999) + (xy 194.645885 156.133049) + (xy 194.663511 156.202452) + (xy 194.755883 156.402821) + (xy 194.883222 156.583002) + (xy 195.041264 156.736961) + (xy 195.224717 156.85954) + (xy 195.427436 156.946635) + (xy 195.505165 156.964223) + (xy 195.638206 156.994328) + (xy 195.638211 156.994329) + (xy 195.642632 156.995329) + (xy 195.752865 156.99966) + (xy 195.858565 157.003813) + (xy 195.858566 157.003813) + (xy 195.863098 157.003991) + (xy 196.081452 156.972331) + (xy 196.085751 156.970872) + (xy 196.085754 156.970871) + (xy 196.286078 156.90287) + (xy 196.290379 156.90141) + (xy 196.337115 156.875237) + (xy 196.447043 156.813674) + (xy 196.482884 156.793602) + (xy 196.652518 156.652518) + (xy 196.793602 156.482884) + (xy 196.884686 156.320242) + (xy 196.899192 156.29434) + (xy 196.899193 156.294338) + (xy 196.90141 156.290379) + (xy 196.931257 156.202452) + (xy 196.970871 156.085754) + (xy 196.970872 156.085751) + (xy 196.972331 156.081452) + (xy 196.981221 156.02014) + (xy 197.003571 155.865997) + (xy 197.003571 155.865991) + (xy 197.003991 155.863098) + (xy 197.00519 155.817325) + (xy 197.005567 155.802914) + (xy 197.005567 155.802909) + (xy 197.005643 155.8) + (xy 197.002743 155.76844) + (xy 241.99477 155.76844) + (xy 241.997719 155.813439) + (xy 242.008064 155.971268) + (xy 242.0092 155.988604) + (xy 242.010316 155.992997) + (xy 242.010316 155.992999) + (xy 242.045885 156.133049) + (xy 242.063511 156.202452) + (xy 242.155883 156.402821) + (xy 242.283222 156.583002) + (xy 242.441264 156.736961) + (xy 242.624717 156.85954) + (xy 242.827436 156.946635) + (xy 242.905165 156.964223) + (xy 243.038206 156.994328) + (xy 243.038211 156.994329) + (xy 243.042632 156.995329) + (xy 243.152865 156.99966) + (xy 243.258565 157.003813) + (xy 243.258566 157.003813) + (xy 243.263098 157.003991) + (xy 243.481452 156.972331) + (xy 243.485751 156.970872) + (xy 243.485754 156.970871) + (xy 243.686078 156.90287) + (xy 243.690379 156.90141) + (xy 243.737115 156.875237) + (xy 243.847043 156.813674) + (xy 243.882884 156.793602) + (xy 244.052518 156.652518) + (xy 244.193602 156.482884) + (xy 244.284686 156.320242) + (xy 244.299192 156.29434) + (xy 244.299193 156.294338) + (xy 244.30141 156.290379) + (xy 244.331257 156.202452) + (xy 244.370871 156.085754) + (xy 244.370872 156.085751) + (xy 244.372331 156.081452) + (xy 244.381221 156.02014) + (xy 244.403571 155.865997) + (xy 244.403571 155.865991) + (xy 244.403991 155.863098) + (xy 244.40519 155.817325) + (xy 244.405567 155.802914) + (xy 244.405567 155.802909) + (xy 244.405643 155.8) + (xy 244.398661 155.724011) + (xy 244.386987 155.596975) + (xy 244.385454 155.580289) + (xy 244.338768 155.414752) + (xy 244.326799 155.372311) + (xy 244.326798 155.37231) + (xy 244.325565 155.367936) + (xy 244.323557 155.363864) + (xy 244.323555 155.363859) + (xy 244.275131 155.265665) + (xy 268.495119 155.265665) + (xy 268.508376 155.49558) + (xy 268.509268 155.499537) + (xy 268.541086 155.640723) + (xy 268.559006 155.720242) + (xy 268.560535 155.724006) + (xy 268.560536 155.724011) + (xy 268.632667 155.901648) + (xy 268.645649 155.933618) + (xy 268.647773 155.937084) + (xy 268.647775 155.937088) + (xy 268.689089 156.004506) + (xy 268.765979 156.129978) + (xy 268.768638 156.133048) + (xy 268.768639 156.133049) + (xy 268.908354 156.29434) + (xy 268.916763 156.304048) + (xy 269.093953 156.451154) + (xy 269.29279 156.567345) + (xy 269.507934 156.649501) + (xy 269.511909 156.65031) + (xy 269.51191 156.65031) + (xy 269.729624 156.694604) + (xy 269.729628 156.694604) + (xy 269.733607 156.695414) + (xy 269.737667 156.695563) + (xy 269.737668 156.695563) + (xy 269.779459 156.697095) + (xy 269.963749 156.703853) + (xy 269.967767 156.703338) + (xy 269.967773 156.703338) + (xy 270.188146 156.675108) + (xy 270.188152 156.675107) + (xy 270.192178 156.674591) + (xy 270.196071 156.673423) + (xy 270.196076 156.673422) + (xy 270.36153 156.623783) + (xy 270.412761 156.608413) + (xy 270.619574 156.507096) + (xy 270.807062 156.373363) + (xy 270.97019 156.210803) + (xy 271.104577 156.023783) + (xy 271.206615 155.817325) + (xy 271.210994 155.802914) + (xy 271.27238 155.600869) + (xy 271.27238 155.600868) + (xy 271.273563 155.596975) + (xy 271.303622 155.368649) + (xy 271.3053 155.3) + (xy 271.304923 155.295414) + (xy 271.286763 155.074525) + (xy 271.286762 155.074522) + (xy 271.28643 155.070478) + (xy 271.279419 155.042563) + (xy 271.244556 154.90377) + (xy 271.230326 154.84712) + (xy 271.177559 154.725764) + (xy 271.140118 154.639654) + (xy 271.140117 154.639652) + (xy 271.138496 154.635924) + (xy 271.013405 154.442563) + (xy 271.006905 154.435419) + (xy 270.861149 154.275237) + (xy 270.858412 154.272229) + (xy 270.855226 154.269713) + (xy 270.855223 154.26971) + (xy 270.680869 154.132013) + (xy 270.680863 154.132009) + (xy 270.677681 154.129496) + (xy 270.51909 154.041949) + (xy 270.479623 154.020162) + (xy 270.479622 154.020161) + (xy 270.476065 154.018198) + (xy 270.472234 154.016841) + (xy 270.472231 154.01684) + (xy 270.262814 153.942681) + (xy 270.262809 153.94268) + (xy 270.258978 153.941323) + (xy 270.03225 153.900937) + (xy 270.028204 153.900888) + (xy 270.028198 153.900887) + (xy 269.901094 153.899334) + (xy 269.801971 153.898123) + (xy 269.574325 153.932958) + (xy 269.457116 153.971268) + (xy 269.359281 154.003245) + (xy 269.359277 154.003247) + (xy 269.355424 154.004506) + (xy 269.351824 154.00638) + (xy 269.154754 154.108968) + (xy 269.154751 154.10897) + (xy 269.151149 154.110845) + (xy 268.966984 154.249119) + (xy 268.807877 154.415616) + (xy 268.805585 154.418976) + (xy 268.680389 154.602505) + (xy 268.680386 154.602511) + (xy 268.678099 154.605863) + (xy 268.676387 154.60955) + (xy 268.676387 154.609551) + (xy 268.668248 154.627085) + (xy 268.581136 154.814752) + (xy 268.542722 154.95327) + (xy 268.525432 155.015616) + (xy 268.519592 155.036673) + (xy 268.519159 155.040721) + (xy 268.519159 155.040723) + (xy 268.518321 155.048562) + (xy 268.495119 155.265665) + (xy 244.275131 155.265665) + (xy 244.229988 155.174125) + (xy 244.22798 155.170053) + (xy 244.095967 154.993267) + (xy 244.074435 154.973363) + (xy 243.937279 154.846577) + (xy 243.937278 154.846576) + (xy 243.933949 154.843499) + (xy 243.894598 154.81867) + (xy 243.751187 154.728185) + (xy 243.74735 154.725764) + (xy 243.739112 154.722477) + (xy 243.616153 154.673422) + (xy 243.542421 154.644006) + (xy 243.326024 154.600962) + (xy 243.217347 154.599539) + (xy 243.109946 154.598133) + (xy 243.109941 154.598133) + (xy 243.105406 154.598074) + (xy 243.100933 154.598843) + (xy 243.100928 154.598843) + (xy 242.892435 154.634668) + (xy 242.892429 154.63467) + (xy 242.887957 154.635438) + (xy 242.847645 154.65031) + (xy 242.68522 154.710231) + (xy 242.685217 154.710232) + (xy 242.680957 154.711804) + (xy 242.677054 154.714126) + (xy 242.677052 154.714127) + (xy 242.650409 154.729978) + (xy 242.491341 154.824614) + (xy 242.487926 154.827609) + (xy 242.487923 154.827611) + (xy 242.404268 154.900975) + (xy 242.325457 154.97009) + (xy 242.322649 154.973652) + (xy 242.243128 155.074525) + (xy 242.188863 155.14336) + (xy 242.186749 155.147378) + (xy 242.108785 155.295563) + (xy 242.086131 155.33862) + (xy 242.020703 155.549333) + (xy 242.020169 155.553843) + (xy 242.020169 155.553844) + (xy 241.995303 155.763936) + (xy 241.99477 155.76844) + (xy 197.002743 155.76844) + (xy 196.998661 155.724011) + (xy 196.986987 155.596975) + (xy 196.985454 155.580289) + (xy 196.938768 155.414752) + (xy 196.926799 155.372311) + (xy 196.926798 155.37231) + (xy 196.925565 155.367936) + (xy 196.923557 155.363864) + (xy 196.923555 155.363859) + (xy 196.829988 155.174125) + (xy 196.82798 155.170053) + (xy 196.695967 154.993267) + (xy 196.674435 154.973363) + (xy 196.537279 154.846577) + (xy 196.537278 154.846576) + (xy 196.533949 154.843499) + (xy 196.494598 154.81867) + (xy 196.351187 154.728185) + (xy 196.34735 154.725764) + (xy 196.339112 154.722477) + (xy 196.216153 154.673422) + (xy 196.142421 154.644006) + (xy 195.926024 154.600962) + (xy 195.817347 154.599539) + (xy 195.709946 154.598133) + (xy 195.709941 154.598133) + (xy 195.705406 154.598074) + (xy 195.700933 154.598843) + (xy 195.700928 154.598843) + (xy 195.492435 154.634668) + (xy 195.492429 154.63467) + (xy 195.487957 154.635438) + (xy 195.447645 154.65031) + (xy 195.28522 154.710231) + (xy 195.285217 154.710232) + (xy 195.280957 154.711804) + (xy 195.277054 154.714126) + (xy 195.277052 154.714127) + (xy 195.250409 154.729978) + (xy 195.091341 154.824614) + (xy 195.087926 154.827609) + (xy 195.087923 154.827611) + (xy 195.004268 154.900975) + (xy 194.925457 154.97009) + (xy 194.922649 154.973652) + (xy 194.843128 155.074525) + (xy 194.788863 155.14336) + (xy 194.786749 155.147378) + (xy 194.708785 155.295563) + (xy 194.686131 155.33862) + (xy 194.620703 155.549333) + (xy 194.620169 155.553843) + (xy 194.620169 155.553844) + (xy 194.595303 155.763936) + (xy 194.59477 155.76844) + (xy 170.894484 155.76844) + (xy 170.886763 155.674525) + (xy 170.886762 155.674522) + (xy 170.88643 155.670478) + (xy 170.868946 155.600869) + (xy 170.831317 155.451066) + (xy 170.830326 155.44712) + (xy 170.755309 155.274591) + (xy 170.740118 155.239654) + (xy 170.740117 155.239652) + (xy 170.738496 155.235924) + (xy 170.613405 155.042563) + (xy 170.608046 155.036673) + (xy 170.468071 154.882844) + (xy 170.458412 154.872229) + (xy 170.455226 154.869713) + (xy 170.455223 154.86971) + (xy 170.280869 154.732013) + (xy 170.280863 154.732009) + (xy 170.277681 154.729496) + (xy 170.230296 154.703338) + (xy 170.079623 154.620162) + (xy 170.079622 154.620161) + (xy 170.076065 154.618198) + (xy 170.072234 154.616841) + (xy 170.072231 154.61684) + (xy 169.862814 154.542681) + (xy 169.862809 154.54268) + (xy 169.858978 154.541323) + (xy 169.63225 154.500937) + (xy 169.628204 154.500888) + (xy 169.628198 154.500887) + (xy 169.501094 154.499334) + (xy 169.401971 154.498123) + (xy 169.174325 154.532958) + (xy 169.075384 154.565297) + (xy 168.959281 154.603245) + (xy 168.959277 154.603247) + (xy 168.955424 154.604506) + (xy 168.951824 154.60638) + (xy 168.754754 154.708968) + (xy 168.754751 154.70897) + (xy 168.751149 154.710845) + (xy 168.566984 154.849119) + (xy 168.407877 155.015616) + (xy 168.405585 155.018976) + (xy 168.280389 155.202505) + (xy 168.280386 155.202511) + (xy 168.278099 155.205863) + (xy 168.181136 155.414752) + (xy 168.119592 155.636673) + (xy 168.095119 155.865665) + (xy 162.902477 155.865665) + (xy 162.886763 155.674525) + (xy 162.886762 155.674522) + (xy 162.88643 155.670478) + (xy 162.868946 155.600869) + (xy 162.831317 155.451066) + (xy 162.830326 155.44712) + (xy 162.755309 155.274591) + (xy 162.740118 155.239654) + (xy 162.740117 155.239652) + (xy 162.738496 155.235924) + (xy 162.613405 155.042563) + (xy 162.608046 155.036673) + (xy 162.468071 154.882844) + (xy 162.458412 154.872229) + (xy 162.455226 154.869713) + (xy 162.455223 154.86971) + (xy 162.280869 154.732013) + (xy 162.280863 154.732009) + (xy 162.277681 154.729496) + (xy 162.230296 154.703338) + (xy 162.079623 154.620162) + (xy 162.079622 154.620161) + (xy 162.076065 154.618198) + (xy 162.072234 154.616841) + (xy 162.072231 154.61684) + (xy 161.862814 154.542681) + (xy 161.862809 154.54268) + (xy 161.858978 154.541323) + (xy 161.63225 154.500937) + (xy 161.628204 154.500888) + (xy 161.628198 154.500887) + (xy 161.501094 154.499334) + (xy 161.401971 154.498123) + (xy 161.174325 154.532958) + (xy 161.075384 154.565297) + (xy 160.959281 154.603245) + (xy 160.959277 154.603247) + (xy 160.955424 154.604506) + (xy 160.951824 154.60638) + (xy 160.754754 154.708968) + (xy 160.754751 154.70897) + (xy 160.751149 154.710845) + (xy 160.566984 154.849119) + (xy 160.407877 155.015616) + (xy 160.405585 155.018976) + (xy 160.280389 155.202505) + (xy 160.280386 155.202511) + (xy 160.278099 155.205863) + (xy 160.181136 155.414752) + (xy 160.119592 155.636673) + (xy 160.095119 155.865665) + (xy 136.665806 155.865665) + (xy 136.665988 154.97255) + (xy 136.684907 154.914363) + (xy 136.734414 154.878409) + (xy 136.765221 154.87357) + (xy 138.726911 154.878194) + (xy 138.749032 154.880751) + (xy 138.755063 154.882149) + (xy 138.75507 154.88215) + (xy 138.760532 154.883416) + (xy 138.761248 154.883417) + (xy 138.762869 154.883048) + (xy 138.763785 154.883153) + (xy 138.763762 154.882844) + (xy 138.79169 154.880751) + (xy 139.014838 154.864028) + (xy 139.187523 154.824614) + (xy 139.25915 154.808266) + (xy 139.259154 154.808265) + (xy 139.262763 154.807441) + (xy 139.45231 154.733049) + (xy 139.496036 154.715888) + (xy 139.49604 154.715886) + (xy 139.499485 154.714534) + (xy 139.705097 154.595824) + (xy 139.71651 154.589235) + (xy 139.719716 154.587384) + (xy 139.827226 154.501648) + (xy 139.915637 154.431143) + (xy 139.91564 154.431141) + (xy 139.918537 154.42883) + (xy 140.091505 154.242414) + (xy 140.234758 154.032301) + (xy 140.29935 153.898173) + (xy 140.315005 153.865665) + (xy 164.095119 153.865665) + (xy 164.108376 154.09558) + (xy 164.115577 154.127533) + (xy 164.155942 154.306644) + (xy 164.159006 154.320242) + (xy 164.160535 154.324006) + (xy 164.160536 154.324011) + (xy 164.241963 154.524541) + (xy 164.245649 154.533618) + (xy 164.247773 154.537084) + (xy 164.247775 154.537088) + (xy 164.316662 154.649501) + (xy 164.365979 154.729978) + (xy 164.368638 154.733048) + (xy 164.368639 154.733049) + (xy 164.504599 154.890006) + (xy 164.516763 154.904048) + (xy 164.693953 155.051154) + (xy 164.89279 155.167345) + (xy 165.107934 155.249501) + (xy 165.111909 155.25031) + (xy 165.11191 155.25031) + (xy 165.329624 155.294604) + (xy 165.329628 155.294604) + (xy 165.333607 155.295414) + (xy 165.337667 155.295563) + (xy 165.337668 155.295563) + (xy 165.379459 155.297095) + (xy 165.563749 155.303853) + (xy 165.567767 155.303338) + (xy 165.567773 155.303338) + (xy 165.788146 155.275108) + (xy 165.788152 155.275107) + (xy 165.792178 155.274591) + (xy 165.796071 155.273423) + (xy 165.796076 155.273422) + (xy 165.954836 155.225791) + (xy 166.012761 155.208413) + (xy 166.219574 155.107096) + (xy 166.407062 154.973363) + (xy 166.57019 154.810803) + (xy 166.704577 154.623783) + (xy 166.806615 154.417325) + (xy 166.819256 154.375721) + (xy 166.87238 154.200869) + (xy 166.87238 154.200868) + (xy 166.873563 154.196975) + (xy 166.903622 153.968649) + (xy 166.9053 153.9) + (xy 166.898503 153.817325) + (xy 166.886763 153.674525) + (xy 166.886762 153.674522) + (xy 166.88643 153.670478) + (xy 166.868946 153.600869) + (xy 166.831317 153.451066) + (xy 166.830326 153.44712) + (xy 166.784411 153.341522) + (xy 166.740118 153.239654) + (xy 166.740117 153.239652) + (xy 166.738496 153.235924) + (xy 166.613405 153.042563) + (xy 166.608046 153.036673) + (xy 166.461149 152.875237) + (xy 166.458412 152.872229) + (xy 166.455226 152.869713) + (xy 166.455223 152.86971) + (xy 166.280869 152.732013) + (xy 166.280863 152.732009) + (xy 166.277681 152.729496) + (xy 166.230296 152.703338) + (xy 166.079623 152.620162) + (xy 166.079622 152.620161) + (xy 166.076065 152.618198) + (xy 166.072234 152.616841) + (xy 166.072231 152.61684) + (xy 165.862814 152.542681) + (xy 165.862809 152.54268) + (xy 165.858978 152.541323) + (xy 165.63225 152.500937) + (xy 165.628204 152.500888) + (xy 165.628198 152.500887) + (xy 165.501094 152.499334) + (xy 165.401971 152.498123) + (xy 165.174325 152.532958) + (xy 165.101913 152.556626) + (xy 164.959281 152.603245) + (xy 164.959277 152.603247) + (xy 164.955424 152.604506) + (xy 164.951824 152.60638) + (xy 164.754754 152.708968) + (xy 164.754751 152.70897) + (xy 164.751149 152.710845) + (xy 164.566984 152.849119) + (xy 164.407877 153.015616) + (xy 164.405585 153.018976) + (xy 164.280389 153.202505) + (xy 164.280386 153.202511) + (xy 164.278099 153.205863) + (xy 164.181136 153.414752) + (xy 164.119592 153.636673) + (xy 164.095119 153.865665) + (xy 140.315005 153.865665) + (xy 140.343489 153.806518) + (xy 140.343489 153.806517) + (xy 140.345095 153.803183) + (xy 140.366615 153.733418) + (xy 140.41896 153.563721) + (xy 140.418961 153.563718) + (xy 140.420052 153.56018) + (xy 140.441381 153.41867) + (xy 140.457401 153.312384) + (xy 140.457401 153.312379) + (xy 140.457953 153.308719) + (xy 140.457953 153.054419) + (xy 140.457071 153.048562) + (xy 140.420605 152.806627) + (xy 140.420052 152.802958) + (xy 140.345095 152.559955) + (xy 140.330596 152.529848) + (xy 140.236363 152.33417) + (xy 140.236361 152.334166) + (xy 140.234758 152.330838) + (xy 140.091505 152.120724) + (xy 139.918537 151.934308) + (xy 139.912945 151.929848) + (xy 139.832462 151.865665) + (xy 160.095119 151.865665) + (xy 160.108376 152.09558) + (xy 160.115577 152.127533) + (xy 160.156681 152.309924) + (xy 160.159006 152.320242) + (xy 160.160535 152.324006) + (xy 160.160536 152.324011) + (xy 160.244118 152.529848) + (xy 160.245649 152.533618) + (xy 160.247773 152.537084) + (xy 160.247775 152.537088) + (xy 160.316662 152.649501) + (xy 160.365979 152.729978) + (xy 160.368638 152.733048) + (xy 160.368639 152.733049) + (xy 160.491806 152.875237) + (xy 160.516763 152.904048) + (xy 160.693953 153.051154) + (xy 160.89279 153.167345) + (xy 161.107934 153.249501) + (xy 161.111909 153.25031) + (xy 161.11191 153.25031) + (xy 161.329624 153.294604) + (xy 161.329628 153.294604) + (xy 161.333607 153.295414) + (xy 161.337667 153.295563) + (xy 161.337668 153.295563) + (xy 161.379459 153.297095) + (xy 161.563749 153.303853) + (xy 161.567767 153.303338) + (xy 161.567773 153.303338) + (xy 161.788146 153.275108) + (xy 161.788152 153.275107) + (xy 161.792178 153.274591) + (xy 161.796071 153.273423) + (xy 161.796076 153.273422) + (xy 161.954836 153.225791) + (xy 162.012761 153.208413) + (xy 162.219574 153.107096) + (xy 162.407062 152.973363) + (xy 162.57019 152.810803) + (xy 162.704577 152.623783) + (xy 162.806615 152.417325) + (xy 162.819256 152.375721) + (xy 162.87238 152.200869) + (xy 162.87238 152.200868) + (xy 162.873563 152.196975) + (xy 162.903622 151.968649) + (xy 162.9053 151.9) + (xy 162.904592 151.891389) + (xy 162.902477 151.865665) + (xy 168.095119 151.865665) + (xy 168.108376 152.09558) + (xy 168.115577 152.127533) + (xy 168.156681 152.309924) + (xy 168.159006 152.320242) + (xy 168.160535 152.324006) + (xy 168.160536 152.324011) + (xy 168.244118 152.529848) + (xy 168.245649 152.533618) + (xy 168.247773 152.537084) + (xy 168.247775 152.537088) + (xy 168.316662 152.649501) + (xy 168.365979 152.729978) + (xy 168.368638 152.733048) + (xy 168.368639 152.733049) + (xy 168.491806 152.875237) + (xy 168.516763 152.904048) + (xy 168.693953 153.051154) + (xy 168.89279 153.167345) + (xy 169.107934 153.249501) + (xy 169.111909 153.25031) + (xy 169.11191 153.25031) + (xy 169.329624 153.294604) + (xy 169.329628 153.294604) + (xy 169.333607 153.295414) + (xy 169.337667 153.295563) + (xy 169.337668 153.295563) + (xy 169.379459 153.297095) + (xy 169.563749 153.303853) + (xy 169.567767 153.303338) + (xy 169.567773 153.303338) + (xy 169.788146 153.275108) + (xy 169.788152 153.275107) + (xy 169.792178 153.274591) + (xy 169.796071 153.273423) + (xy 169.796076 153.273422) + (xy 169.821931 153.265665) + (xy 264.495119 153.265665) + (xy 264.508376 153.49558) + (xy 264.522934 153.56018) + (xy 264.541086 153.640723) + (xy 264.559006 153.720242) + (xy 264.560535 153.724006) + (xy 264.560536 153.724011) + (xy 264.632667 153.901648) + (xy 264.645649 153.933618) + (xy 264.647773 153.937084) + (xy 264.647775 153.937088) + (xy 264.722976 154.059803) + (xy 264.765979 154.129978) + (xy 264.768638 154.133048) + (xy 264.768639 154.133049) + (xy 264.891806 154.275237) + (xy 264.916763 154.304048) + (xy 265.093953 154.451154) + (xy 265.29279 154.567345) + (xy 265.507934 154.649501) + (xy 265.511909 154.65031) + (xy 265.51191 154.65031) + (xy 265.729624 154.694604) + (xy 265.729628 154.694604) + (xy 265.733607 154.695414) + (xy 265.737667 154.695563) + (xy 265.737668 154.695563) + (xy 265.779459 154.697095) + (xy 265.963749 154.703853) + (xy 265.967767 154.703338) + (xy 265.967773 154.703338) + (xy 266.188146 154.675108) + (xy 266.188152 154.675107) + (xy 266.192178 154.674591) + (xy 266.196071 154.673423) + (xy 266.196076 154.673422) + (xy 266.36153 154.623783) + (xy 266.412761 154.608413) + (xy 266.619574 154.507096) + (xy 266.807062 154.373363) + (xy 266.97019 154.210803) + (xy 267.104577 154.023783) + (xy 267.206615 153.817325) + (xy 267.209899 153.806518) + (xy 267.27238 153.600869) + (xy 267.27238 153.600868) + (xy 267.273563 153.596975) + (xy 267.277074 153.57031) + (xy 267.297037 153.41867) + (xy 267.303622 153.368649) + (xy 267.3053 153.3) + (xy 267.304909 153.295239) + (xy 267.302478 153.265665) + (xy 272.495119 153.265665) + (xy 272.508376 153.49558) + (xy 272.522934 153.56018) + (xy 272.541086 153.640723) + (xy 272.559006 153.720242) + (xy 272.560535 153.724006) + (xy 272.560536 153.724011) + (xy 272.632667 153.901648) + (xy 272.645649 153.933618) + (xy 272.647773 153.937084) + (xy 272.647775 153.937088) + (xy 272.722976 154.059803) + (xy 272.765979 154.129978) + (xy 272.768638 154.133048) + (xy 272.768639 154.133049) + (xy 272.891806 154.275237) + (xy 272.916763 154.304048) + (xy 273.093953 154.451154) + (xy 273.29279 154.567345) + (xy 273.507934 154.649501) + (xy 273.511909 154.65031) + (xy 273.51191 154.65031) + (xy 273.729624 154.694604) + (xy 273.729628 154.694604) + (xy 273.733607 154.695414) + (xy 273.737667 154.695563) + (xy 273.737668 154.695563) + (xy 273.779459 154.697095) + (xy 273.963749 154.703853) + (xy 273.967767 154.703338) + (xy 273.967773 154.703338) + (xy 274.188146 154.675108) + (xy 274.188152 154.675107) + (xy 274.192178 154.674591) + (xy 274.196071 154.673423) + (xy 274.196076 154.673422) + (xy 274.36153 154.623783) + (xy 274.412761 154.608413) + (xy 274.619574 154.507096) + (xy 274.807062 154.373363) + (xy 274.97019 154.210803) + (xy 275.104577 154.023783) + (xy 275.206615 153.817325) + (xy 275.209899 153.806518) + (xy 275.27238 153.600869) + (xy 275.27238 153.600868) + (xy 275.273563 153.596975) + (xy 275.277074 153.57031) + (xy 275.297037 153.41867) + (xy 275.303622 153.368649) + (xy 275.3053 153.3) + (xy 275.304909 153.295239) + (xy 275.286763 153.074525) + (xy 275.286762 153.074522) + (xy 275.28643 153.070478) + (xy 275.284052 153.061008) + (xy 275.244625 152.904048) + (xy 275.230326 152.84712) + (xy 275.155309 152.674591) + (xy 275.140118 152.639654) + (xy 275.140117 152.639652) + (xy 275.138496 152.635924) + (xy 275.013405 152.442563) + (xy 274.858412 152.272229) + (xy 274.855226 152.269713) + (xy 274.855223 152.26971) + (xy 274.680869 152.132013) + (xy 274.680863 152.132009) + (xy 274.677681 152.129496) + (xy 274.476065 152.018198) + (xy 274.472234 152.016841) + (xy 274.472231 152.01684) + (xy 274.262814 151.942681) + (xy 274.262809 151.94268) + (xy 274.258978 151.941323) + (xy 274.03225 151.900937) + (xy 274.028204 151.900888) + (xy 274.028198 151.900887) + (xy 273.901094 151.899334) + (xy 273.801971 151.898123) + (xy 273.574325 151.932958) + (xy 273.457116 151.971268) + (xy 273.359281 152.003245) + (xy 273.359277 152.003247) + (xy 273.355424 152.004506) + (xy 273.351824 152.00638) + (xy 273.154754 152.108968) + (xy 273.154751 152.10897) + (xy 273.151149 152.110845) + (xy 272.966984 152.249119) + (xy 272.807877 152.415616) + (xy 272.805585 152.418976) + (xy 272.680389 152.602505) + (xy 272.680386 152.602511) + (xy 272.678099 152.605863) + (xy 272.581136 152.814752) + (xy 272.556372 152.904048) + (xy 272.525432 153.015616) + (xy 272.519592 153.036673) + (xy 272.519159 153.040721) + (xy 272.519159 153.040723) + (xy 272.518321 153.048562) + (xy 272.495119 153.265665) + (xy 267.302478 153.265665) + (xy 267.286763 153.074525) + (xy 267.286762 153.074522) + (xy 267.28643 153.070478) + (xy 267.284052 153.061008) + (xy 267.244625 152.904048) + (xy 267.230326 152.84712) + (xy 267.155309 152.674591) + (xy 267.140118 152.639654) + (xy 267.140117 152.639652) + (xy 267.138496 152.635924) + (xy 267.013405 152.442563) + (xy 266.858412 152.272229) + (xy 266.855226 152.269713) + (xy 266.855223 152.26971) + (xy 266.680869 152.132013) + (xy 266.680863 152.132009) + (xy 266.677681 152.129496) + (xy 266.476065 152.018198) + (xy 266.472234 152.016841) + (xy 266.472231 152.01684) + (xy 266.262814 151.942681) + (xy 266.262809 151.94268) + (xy 266.258978 151.941323) + (xy 266.03225 151.900937) + (xy 266.028204 151.900888) + (xy 266.028198 151.900887) + (xy 265.901094 151.899334) + (xy 265.801971 151.898123) + (xy 265.574325 151.932958) + (xy 265.457116 151.971268) + (xy 265.359281 152.003245) + (xy 265.359277 152.003247) + (xy 265.355424 152.004506) + (xy 265.351824 152.00638) + (xy 265.154754 152.108968) + (xy 265.154751 152.10897) + (xy 265.151149 152.110845) + (xy 264.966984 152.249119) + (xy 264.807877 152.415616) + (xy 264.805585 152.418976) + (xy 264.680389 152.602505) + (xy 264.680386 152.602511) + (xy 264.678099 152.605863) + (xy 264.581136 152.814752) + (xy 264.556372 152.904048) + (xy 264.525432 153.015616) + (xy 264.519592 153.036673) + (xy 264.519159 153.040721) + (xy 264.519159 153.040723) + (xy 264.518321 153.048562) + (xy 264.495119 153.265665) + (xy 169.821931 153.265665) + (xy 169.954836 153.225791) + (xy 170.012761 153.208413) + (xy 170.219574 153.107096) + (xy 170.407062 152.973363) + (xy 170.57019 152.810803) + (xy 170.704577 152.623783) + (xy 170.806615 152.417325) + (xy 170.819256 152.375721) + (xy 170.87238 152.200869) + (xy 170.87238 152.200868) + (xy 170.873563 152.196975) + (xy 170.903622 151.968649) + (xy 170.9053 151.9) + (xy 170.904592 151.891389) + (xy 170.886763 151.674525) + (xy 170.886762 151.674522) + (xy 170.88643 151.670478) + (xy 170.883056 151.657043) + (xy 170.838515 151.479721) + (xy 170.830326 151.44712) + (xy 170.755309 151.274591) + (xy 170.751428 151.265665) + (xy 268.495119 151.265665) + (xy 268.508376 151.49558) + (xy 268.510843 151.506525) + (xy 268.549709 151.678987) + (xy 268.559006 151.720242) + (xy 268.560535 151.724006) + (xy 268.560536 151.724011) + (xy 268.632667 151.901648) + (xy 268.645649 151.933618) + (xy 268.647773 151.937084) + (xy 268.647775 151.937088) + (xy 268.715583 152.04774) + (xy 268.765979 152.129978) + (xy 268.768638 152.133048) + (xy 268.768639 152.133049) + (xy 268.891806 152.275237) + (xy 268.916763 152.304048) + (xy 269.093953 152.451154) + (xy 269.29279 152.567345) + (xy 269.507934 152.649501) + (xy 269.511909 152.65031) + (xy 269.51191 152.65031) + (xy 269.729624 152.694604) + (xy 269.729628 152.694604) + (xy 269.733607 152.695414) + (xy 269.737667 152.695563) + (xy 269.737668 152.695563) + (xy 269.779459 152.697095) + (xy 269.963749 152.703853) + (xy 269.967767 152.703338) + (xy 269.967773 152.703338) + (xy 270.188146 152.675108) + (xy 270.188152 152.675107) + (xy 270.192178 152.674591) + (xy 270.196071 152.673423) + (xy 270.196076 152.673422) + (xy 270.36153 152.623783) + (xy 270.412761 152.608413) + (xy 270.619574 152.507096) + (xy 270.807062 152.373363) + (xy 270.97019 152.210803) + (xy 271.104577 152.023783) + (xy 271.206615 151.817325) + (xy 271.214341 151.791898) + (xy 271.27238 151.600869) + (xy 271.27238 151.600868) + (xy 271.273563 151.596975) + (xy 271.277952 151.563641) + (xy 271.297328 151.416457) + (xy 271.303622 151.368649) + (xy 271.3053 151.3) + (xy 271.304923 151.295414) + (xy 271.286763 151.074525) + (xy 271.286762 151.074522) + (xy 271.28643 151.070478) + (xy 271.279419 151.042563) + (xy 271.244625 150.904048) + (xy 271.230326 150.84712) + (xy 271.155309 150.674591) + (xy 271.140118 150.639654) + (xy 271.140117 150.639652) + (xy 271.138496 150.635924) + (xy 271.013405 150.442563) + (xy 270.858412 150.272229) + (xy 270.855226 150.269713) + (xy 270.855223 150.26971) + (xy 270.680869 150.132013) + (xy 270.680863 150.132009) + (xy 270.677681 150.129496) + (xy 270.476065 150.018198) + (xy 270.472234 150.016841) + (xy 270.472231 150.01684) + (xy 270.262814 149.942681) + (xy 270.262809 149.94268) + (xy 270.258978 149.941323) + (xy 270.03225 149.900937) + (xy 270.028204 149.900888) + (xy 270.028198 149.900887) + (xy 269.901094 149.899334) + (xy 269.801971 149.898123) + (xy 269.574325 149.932958) + (xy 269.457116 149.971268) + (xy 269.359281 150.003245) + (xy 269.359277 150.003247) + (xy 269.355424 150.004506) + (xy 269.351824 150.00638) + (xy 269.154754 150.108968) + (xy 269.154751 150.10897) + (xy 269.151149 150.110845) + (xy 268.966984 150.249119) + (xy 268.807877 150.415616) + (xy 268.805585 150.418976) + (xy 268.680389 150.602505) + (xy 268.680386 150.602511) + (xy 268.678099 150.605863) + (xy 268.581136 150.814752) + (xy 268.556372 150.904048) + (xy 268.525432 151.015616) + (xy 268.519592 151.036673) + (xy 268.519159 151.040721) + (xy 268.519159 151.040723) + (xy 268.518321 151.048562) + (xy 268.495119 151.265665) + (xy 170.751428 151.265665) + (xy 170.740118 151.239654) + (xy 170.740117 151.239652) + (xy 170.738496 151.235924) + (xy 170.613405 151.042563) + (xy 170.608046 151.036673) + (xy 170.461149 150.875237) + (xy 170.458412 150.872229) + (xy 170.455226 150.869713) + (xy 170.455223 150.86971) + (xy 170.280869 150.732013) + (xy 170.280863 150.732009) + (xy 170.277681 150.729496) + (xy 170.230296 150.703338) + (xy 170.079623 150.620162) + (xy 170.079622 150.620161) + (xy 170.076065 150.618198) + (xy 170.072234 150.616841) + (xy 170.072231 150.61684) + (xy 169.862814 150.542681) + (xy 169.862809 150.54268) + (xy 169.858978 150.541323) + (xy 169.63225 150.500937) + (xy 169.628204 150.500888) + (xy 169.628198 150.500887) + (xy 169.501094 150.499334) + (xy 169.401971 150.498123) + (xy 169.174325 150.532958) + (xy 169.075384 150.565297) + (xy 168.959281 150.603245) + (xy 168.959277 150.603247) + (xy 168.955424 150.604506) + (xy 168.951824 150.60638) + (xy 168.754754 150.708968) + (xy 168.754751 150.70897) + (xy 168.751149 150.710845) + (xy 168.566984 150.849119) + (xy 168.407877 151.015616) + (xy 168.405585 151.018976) + (xy 168.280389 151.202505) + (xy 168.280386 151.202511) + (xy 168.278099 151.205863) + (xy 168.181136 151.414752) + (xy 168.119592 151.636673) + (xy 168.119159 151.640721) + (xy 168.119159 151.640723) + (xy 168.118317 151.648604) + (xy 168.095119 151.865665) + (xy 162.902477 151.865665) + (xy 162.886763 151.674525) + (xy 162.886762 151.674522) + (xy 162.88643 151.670478) + (xy 162.883056 151.657043) + (xy 162.838515 151.479721) + (xy 162.830326 151.44712) + (xy 162.755309 151.274591) + (xy 162.740118 151.239654) + (xy 162.740117 151.239652) + (xy 162.738496 151.235924) + (xy 162.613405 151.042563) + (xy 162.608046 151.036673) + (xy 162.461149 150.875237) + (xy 162.458412 150.872229) + (xy 162.455226 150.869713) + (xy 162.455223 150.86971) + (xy 162.280869 150.732013) + (xy 162.280863 150.732009) + (xy 162.277681 150.729496) + (xy 162.230296 150.703338) + (xy 162.079623 150.620162) + (xy 162.079622 150.620161) + (xy 162.076065 150.618198) + (xy 162.072234 150.616841) + (xy 162.072231 150.61684) + (xy 161.862814 150.542681) + (xy 161.862809 150.54268) + (xy 161.858978 150.541323) + (xy 161.63225 150.500937) + (xy 161.628204 150.500888) + (xy 161.628198 150.500887) + (xy 161.501094 150.499334) + (xy 161.401971 150.498123) + (xy 161.174325 150.532958) + (xy 161.075384 150.565297) + (xy 160.959281 150.603245) + (xy 160.959277 150.603247) + (xy 160.955424 150.604506) + (xy 160.951824 150.60638) + (xy 160.754754 150.708968) + (xy 160.754751 150.70897) + (xy 160.751149 150.710845) + (xy 160.566984 150.849119) + (xy 160.407877 151.015616) + (xy 160.405585 151.018976) + (xy 160.280389 151.202505) + (xy 160.280386 151.202511) + (xy 160.278099 151.205863) + (xy 160.181136 151.414752) + (xy 160.119592 151.636673) + (xy 160.119159 151.640721) + (xy 160.119159 151.640723) + (xy 160.118317 151.648604) + (xy 160.095119 151.865665) + (xy 139.832462 151.865665) + (xy 139.739866 151.791823) + (xy 139.719716 151.775754) + (xy 139.499485 151.648604) + (xy 139.49604 151.647252) + (xy 139.496036 151.64725) + (xy 139.266215 151.557052) + (xy 139.262763 151.555697) + (xy 139.259154 151.554873) + (xy 139.25915 151.554872) + (xy 139.151775 151.530365) + (xy 139.014838 151.49911) + (xy 138.764754 151.480369) + (xy 138.764783 151.479976) + (xy 138.76442 151.479999) + (xy 138.763569 151.480094) + (xy 138.761964 151.479722) + (xy 138.761248 151.479721) + (xy 138.755799 151.480964) + (xy 138.749569 151.482385) + (xy 138.727552 151.484864) + (xy 136.760248 151.484864) + (xy 136.702057 151.465957) + (xy 136.666093 151.416457) + (xy 136.661248 151.385864) + (xy 136.661248 149.865665) + (xy 164.095119 149.865665) + (xy 164.108376 150.09558) + (xy 164.115577 150.127533) + (xy 164.155942 150.306644) + (xy 164.159006 150.320242) + (xy 164.160535 150.324006) + (xy 164.160536 150.324011) + (xy 164.244118 150.529848) + (xy 164.245649 150.533618) + (xy 164.247773 150.537084) + (xy 164.247775 150.537088) + (xy 164.316662 150.649501) + (xy 164.365979 150.729978) + (xy 164.368638 150.733048) + (xy 164.368639 150.733049) + (xy 164.491806 150.875237) + (xy 164.516763 150.904048) + (xy 164.693953 151.051154) + (xy 164.89279 151.167345) + (xy 165.107934 151.249501) + (xy 165.111909 151.25031) + (xy 165.11191 151.25031) + (xy 165.329624 151.294604) + (xy 165.329628 151.294604) + (xy 165.333607 151.295414) + (xy 165.337667 151.295563) + (xy 165.337668 151.295563) + (xy 165.379459 151.297095) + (xy 165.563749 151.303853) + (xy 165.567767 151.303338) + (xy 165.567773 151.303338) + (xy 165.788146 151.275108) + (xy 165.788152 151.275107) + (xy 165.792178 151.274591) + (xy 165.796071 151.273423) + (xy 165.796076 151.273422) + (xy 165.954836 151.225791) + (xy 166.012761 151.208413) + (xy 166.219574 151.107096) + (xy 166.407062 150.973363) + (xy 166.57019 150.810803) + (xy 166.704577 150.623783) + (xy 166.806615 150.417325) + (xy 166.819256 150.375721) + (xy 166.87238 150.200869) + (xy 166.87238 150.200868) + (xy 166.873563 150.196975) + (xy 166.903622 149.968649) + (xy 166.9053 149.9) + (xy 166.898503 149.817325) + (xy 166.886763 149.674525) + (xy 166.886762 149.674522) + (xy 166.88643 149.670478) + (xy 166.868946 149.600869) + (xy 166.831317 149.451066) + (xy 166.830326 149.44712) + (xy 166.755309 149.274591) + (xy 166.740118 149.239654) + (xy 166.740117 149.239652) + (xy 166.738496 149.235924) + (xy 166.613405 149.042563) + (xy 166.608046 149.036673) + (xy 166.461149 148.875237) + (xy 166.458412 148.872229) + (xy 166.455226 148.869713) + (xy 166.455223 148.86971) + (xy 166.280869 148.732013) + (xy 166.280863 148.732009) + (xy 166.277681 148.729496) + (xy 166.230296 148.703338) + (xy 166.079623 148.620162) + (xy 166.079622 148.620161) + (xy 166.076065 148.618198) + (xy 166.072234 148.616841) + (xy 166.072231 148.61684) + (xy 165.862814 148.542681) + (xy 165.862809 148.54268) + (xy 165.858978 148.541323) + (xy 165.63225 148.500937) + (xy 165.628204 148.500888) + (xy 165.628198 148.500887) + (xy 165.501094 148.499334) + (xy 165.401971 148.498123) + (xy 165.174325 148.532958) + (xy 165.075384 148.565297) + (xy 164.959281 148.603245) + (xy 164.959277 148.603247) + (xy 164.955424 148.604506) + (xy 164.951824 148.60638) + (xy 164.754754 148.708968) + (xy 164.754751 148.70897) + (xy 164.751149 148.710845) + (xy 164.566984 148.849119) + (xy 164.407877 149.015616) + (xy 164.405585 149.018976) + (xy 164.280389 149.202505) + (xy 164.280386 149.202511) + (xy 164.278099 149.205863) + (xy 164.181136 149.414752) + (xy 164.119592 149.636673) + (xy 164.095119 149.865665) + (xy 136.661248 149.865665) + (xy 136.661248 147.865665) + (xy 160.095119 147.865665) + (xy 160.108376 148.09558) + (xy 160.115577 148.127533) + (xy 160.155942 148.306644) + (xy 160.159006 148.320242) + (xy 160.160535 148.324006) + (xy 160.160536 148.324011) + (xy 160.244118 148.529848) + (xy 160.245649 148.533618) + (xy 160.247773 148.537084) + (xy 160.247775 148.537088) + (xy 160.316662 148.649501) + (xy 160.365979 148.729978) + (xy 160.368638 148.733048) + (xy 160.368639 148.733049) + (xy 160.491806 148.875237) + (xy 160.516763 148.904048) + (xy 160.693953 149.051154) + (xy 160.89279 149.167345) + (xy 161.107934 149.249501) + (xy 161.111909 149.25031) + (xy 161.11191 149.25031) + (xy 161.329624 149.294604) + (xy 161.329628 149.294604) + (xy 161.333607 149.295414) + (xy 161.337667 149.295563) + (xy 161.337668 149.295563) + (xy 161.379459 149.297095) + (xy 161.563749 149.303853) + (xy 161.567767 149.303338) + (xy 161.567773 149.303338) + (xy 161.788146 149.275108) + (xy 161.788152 149.275107) + (xy 161.792178 149.274591) + (xy 161.796071 149.273423) + (xy 161.796076 149.273422) + (xy 161.954836 149.225791) + (xy 162.012761 149.208413) + (xy 162.219574 149.107096) + (xy 162.407062 148.973363) + (xy 162.57019 148.810803) + (xy 162.704577 148.623783) + (xy 162.806615 148.417325) + (xy 162.819256 148.375721) + (xy 162.87238 148.200869) + (xy 162.87238 148.200868) + (xy 162.873563 148.196975) + (xy 162.903622 147.968649) + (xy 162.9053 147.9) + (xy 162.902477 147.865665) + (xy 168.095119 147.865665) + (xy 168.108376 148.09558) + (xy 168.115577 148.127533) + (xy 168.155942 148.306644) + (xy 168.159006 148.320242) + (xy 168.160535 148.324006) + (xy 168.160536 148.324011) + (xy 168.244118 148.529848) + (xy 168.245649 148.533618) + (xy 168.247773 148.537084) + (xy 168.247775 148.537088) + (xy 168.316662 148.649501) + (xy 168.365979 148.729978) + (xy 168.368638 148.733048) + (xy 168.368639 148.733049) + (xy 168.491806 148.875237) + (xy 168.516763 148.904048) + (xy 168.693953 149.051154) + (xy 168.89279 149.167345) + (xy 169.107934 149.249501) + (xy 169.111909 149.25031) + (xy 169.11191 149.25031) + (xy 169.329624 149.294604) + (xy 169.329628 149.294604) + (xy 169.333607 149.295414) + (xy 169.337667 149.295563) + (xy 169.337668 149.295563) + (xy 169.379459 149.297095) + (xy 169.563749 149.303853) + (xy 169.567767 149.303338) + (xy 169.567773 149.303338) + (xy 169.788146 149.275108) + (xy 169.788152 149.275107) + (xy 169.792178 149.274591) + (xy 169.796071 149.273423) + (xy 169.796076 149.273422) + (xy 169.821931 149.265665) + (xy 264.495119 149.265665) + (xy 264.508376 149.49558) + (xy 264.509268 149.499537) + (xy 264.541086 149.640723) + (xy 264.559006 149.720242) + (xy 264.560535 149.724006) + (xy 264.560536 149.724011) + (xy 264.632667 149.901648) + (xy 264.645649 149.933618) + (xy 264.647773 149.937084) + (xy 264.647775 149.937088) + (xy 264.689089 150.004506) + (xy 264.765979 150.129978) + (xy 264.768638 150.133048) + (xy 264.768639 150.133049) + (xy 264.891806 150.275237) + (xy 264.916763 150.304048) + (xy 265.093953 150.451154) + (xy 265.29279 150.567345) + (xy 265.507934 150.649501) + (xy 265.511909 150.65031) + (xy 265.51191 150.65031) + (xy 265.729624 150.694604) + (xy 265.729628 150.694604) + (xy 265.733607 150.695414) + (xy 265.737667 150.695563) + (xy 265.737668 150.695563) + (xy 265.779459 150.697095) + (xy 265.963749 150.703853) + (xy 265.967767 150.703338) + (xy 265.967773 150.703338) + (xy 266.188146 150.675108) + (xy 266.188152 150.675107) + (xy 266.192178 150.674591) + (xy 266.196071 150.673423) + (xy 266.196076 150.673422) + (xy 266.36153 150.623783) + (xy 266.412761 150.608413) + (xy 266.619574 150.507096) + (xy 266.807062 150.373363) + (xy 266.97019 150.210803) + (xy 267.104577 150.023783) + (xy 267.206615 149.817325) + (xy 267.236112 149.720242) + (xy 267.27238 149.600869) + (xy 267.27238 149.600868) + (xy 267.273563 149.596975) + (xy 267.303622 149.368649) + (xy 267.3053 149.3) + (xy 267.304923 149.295414) + (xy 267.302477 149.265665) + (xy 272.495119 149.265665) + (xy 272.508376 149.49558) + (xy 272.509268 149.499537) + (xy 272.541086 149.640723) + (xy 272.559006 149.720242) + (xy 272.560535 149.724006) + (xy 272.560536 149.724011) + (xy 272.632667 149.901648) + (xy 272.645649 149.933618) + (xy 272.647773 149.937084) + (xy 272.647775 149.937088) + (xy 272.689089 150.004506) + (xy 272.765979 150.129978) + (xy 272.768638 150.133048) + (xy 272.768639 150.133049) + (xy 272.891806 150.275237) + (xy 272.916763 150.304048) + (xy 273.093953 150.451154) + (xy 273.29279 150.567345) + (xy 273.507934 150.649501) + (xy 273.511909 150.65031) + (xy 273.51191 150.65031) + (xy 273.729624 150.694604) + (xy 273.729628 150.694604) + (xy 273.733607 150.695414) + (xy 273.737667 150.695563) + (xy 273.737668 150.695563) + (xy 273.779459 150.697095) + (xy 273.963749 150.703853) + (xy 273.967767 150.703338) + (xy 273.967773 150.703338) + (xy 274.188146 150.675108) + (xy 274.188152 150.675107) + (xy 274.192178 150.674591) + (xy 274.196071 150.673423) + (xy 274.196076 150.673422) + (xy 274.36153 150.623783) + (xy 274.412761 150.608413) + (xy 274.619574 150.507096) + (xy 274.807062 150.373363) + (xy 274.97019 150.210803) + (xy 275.104577 150.023783) + (xy 275.206615 149.817325) + (xy 275.236112 149.720242) + (xy 275.27238 149.600869) + (xy 275.27238 149.600868) + (xy 275.273563 149.596975) + (xy 275.303622 149.368649) + (xy 275.3053 149.3) + (xy 275.304923 149.295414) + (xy 275.286763 149.074525) + (xy 275.286762 149.074522) + (xy 275.28643 149.070478) + (xy 275.279419 149.042563) + (xy 275.244625 148.904048) + (xy 275.230326 148.84712) + (xy 275.155309 148.674591) + (xy 275.140118 148.639654) + (xy 275.140117 148.639652) + (xy 275.138496 148.635924) + (xy 275.013405 148.442563) + (xy 274.858412 148.272229) + (xy 274.855226 148.269713) + (xy 274.855223 148.26971) + (xy 274.680869 148.132013) + (xy 274.680863 148.132009) + (xy 274.677681 148.129496) + (xy 274.476065 148.018198) + (xy 274.472234 148.016841) + (xy 274.472231 148.01684) + (xy 274.262814 147.942681) + (xy 274.262809 147.94268) + (xy 274.258978 147.941323) + (xy 274.03225 147.900937) + (xy 274.028204 147.900888) + (xy 274.028198 147.900887) + (xy 273.901094 147.899334) + (xy 273.801971 147.898123) + (xy 273.574325 147.932958) + (xy 273.457116 147.971268) + (xy 273.359281 148.003245) + (xy 273.359277 148.003247) + (xy 273.355424 148.004506) + (xy 273.351824 148.00638) + (xy 273.154754 148.108968) + (xy 273.154751 148.10897) + (xy 273.151149 148.110845) + (xy 272.966984 148.249119) + (xy 272.807877 148.415616) + (xy 272.805585 148.418976) + (xy 272.680389 148.602505) + (xy 272.680386 148.602511) + (xy 272.678099 148.605863) + (xy 272.581136 148.814752) + (xy 272.556372 148.904048) + (xy 272.525432 149.015616) + (xy 272.519592 149.036673) + (xy 272.519159 149.040721) + (xy 272.519159 149.040723) + (xy 272.518321 149.048562) + (xy 272.495119 149.265665) + (xy 267.302477 149.265665) + (xy 267.286763 149.074525) + (xy 267.286762 149.074522) + (xy 267.28643 149.070478) + (xy 267.279419 149.042563) + (xy 267.244625 148.904048) + (xy 267.230326 148.84712) + (xy 267.155309 148.674591) + (xy 267.140118 148.639654) + (xy 267.140117 148.639652) + (xy 267.138496 148.635924) + (xy 267.013405 148.442563) + (xy 266.858412 148.272229) + (xy 266.855226 148.269713) + (xy 266.855223 148.26971) + (xy 266.680869 148.132013) + (xy 266.680863 148.132009) + (xy 266.677681 148.129496) + (xy 266.476065 148.018198) + (xy 266.472234 148.016841) + (xy 266.472231 148.01684) + (xy 266.262814 147.942681) + (xy 266.262809 147.94268) + (xy 266.258978 147.941323) + (xy 266.03225 147.900937) + (xy 266.028204 147.900888) + (xy 266.028198 147.900887) + (xy 265.901094 147.899334) + (xy 265.801971 147.898123) + (xy 265.574325 147.932958) + (xy 265.457116 147.971268) + (xy 265.359281 148.003245) + (xy 265.359277 148.003247) + (xy 265.355424 148.004506) + (xy 265.351824 148.00638) + (xy 265.154754 148.108968) + (xy 265.154751 148.10897) + (xy 265.151149 148.110845) + (xy 264.966984 148.249119) + (xy 264.807877 148.415616) + (xy 264.805585 148.418976) + (xy 264.680389 148.602505) + (xy 264.680386 148.602511) + (xy 264.678099 148.605863) + (xy 264.581136 148.814752) + (xy 264.556372 148.904048) + (xy 264.525432 149.015616) + (xy 264.519592 149.036673) + (xy 264.519159 149.040721) + (xy 264.519159 149.040723) + (xy 264.518321 149.048562) + (xy 264.495119 149.265665) + (xy 169.821931 149.265665) + (xy 169.954836 149.225791) + (xy 170.012761 149.208413) + (xy 170.219574 149.107096) + (xy 170.407062 148.973363) + (xy 170.57019 148.810803) + (xy 170.704577 148.623783) + (xy 170.806615 148.417325) + (xy 170.819256 148.375721) + (xy 170.87238 148.200869) + (xy 170.87238 148.200868) + (xy 170.873563 148.196975) + (xy 170.903622 147.968649) + (xy 170.9053 147.9) + (xy 170.898503 147.817325) + (xy 170.886763 147.674525) + (xy 170.886762 147.674522) + (xy 170.88643 147.670478) + (xy 170.868946 147.600869) + (xy 170.831317 147.451066) + (xy 170.830326 147.44712) + (xy 170.755309 147.274591) + (xy 170.751428 147.265665) + (xy 268.495119 147.265665) + (xy 268.508376 147.49558) + (xy 268.509268 147.499537) + (xy 268.541086 147.640723) + (xy 268.559006 147.720242) + (xy 268.560535 147.724006) + (xy 268.560536 147.724011) + (xy 268.632667 147.901648) + (xy 268.645649 147.933618) + (xy 268.647773 147.937084) + (xy 268.647775 147.937088) + (xy 268.689089 148.004506) + (xy 268.765979 148.129978) + (xy 268.768638 148.133048) + (xy 268.768639 148.133049) + (xy 268.891806 148.275237) + (xy 268.916763 148.304048) + (xy 269.093953 148.451154) + (xy 269.29279 148.567345) + (xy 269.507934 148.649501) + (xy 269.511909 148.65031) + (xy 269.51191 148.65031) + (xy 269.729624 148.694604) + (xy 269.729628 148.694604) + (xy 269.733607 148.695414) + (xy 269.737667 148.695563) + (xy 269.737668 148.695563) + (xy 269.779459 148.697095) + (xy 269.963749 148.703853) + (xy 269.967767 148.703338) + (xy 269.967773 148.703338) + (xy 270.188146 148.675108) + (xy 270.188152 148.675107) + (xy 270.192178 148.674591) + (xy 270.196071 148.673423) + (xy 270.196076 148.673422) + (xy 270.36153 148.623783) + (xy 270.412761 148.608413) + (xy 270.619574 148.507096) + (xy 270.807062 148.373363) + (xy 270.97019 148.210803) + (xy 271.104577 148.023783) + (xy 271.206615 147.817325) + (xy 271.236112 147.720242) + (xy 271.27238 147.600869) + (xy 271.27238 147.600868) + (xy 271.273563 147.596975) + (xy 271.303622 147.368649) + (xy 271.3053 147.3) + (xy 271.304923 147.295414) + (xy 271.286763 147.074525) + (xy 271.286762 147.074522) + (xy 271.28643 147.070478) + (xy 271.279419 147.042563) + (xy 271.244625 146.904048) + (xy 271.230326 146.84712) + (xy 271.155309 146.674591) + (xy 271.140118 146.639654) + (xy 271.140117 146.639652) + (xy 271.138496 146.635924) + (xy 271.013405 146.442563) + (xy 270.858412 146.272229) + (xy 270.855226 146.269713) + (xy 270.855223 146.26971) + (xy 270.680869 146.132013) + (xy 270.680863 146.132009) + (xy 270.677681 146.129496) + (xy 270.476065 146.018198) + (xy 270.472234 146.016841) + (xy 270.472231 146.01684) + (xy 270.262814 145.942681) + (xy 270.262809 145.94268) + (xy 270.258978 145.941323) + (xy 270.03225 145.900937) + (xy 270.028204 145.900888) + (xy 270.028198 145.900887) + (xy 269.901094 145.899334) + (xy 269.801971 145.898123) + (xy 269.574325 145.932958) + (xy 269.457116 145.971268) + (xy 269.359281 146.003245) + (xy 269.359277 146.003247) + (xy 269.355424 146.004506) + (xy 269.351824 146.00638) + (xy 269.154754 146.108968) + (xy 269.154751 146.10897) + (xy 269.151149 146.110845) + (xy 268.966984 146.249119) + (xy 268.807877 146.415616) + (xy 268.805585 146.418976) + (xy 268.680389 146.602505) + (xy 268.680386 146.602511) + (xy 268.678099 146.605863) + (xy 268.581136 146.814752) + (xy 268.556372 146.904048) + (xy 268.525432 147.015616) + (xy 268.519592 147.036673) + (xy 268.519159 147.040721) + (xy 268.519159 147.040723) + (xy 268.518321 147.048562) + (xy 268.495119 147.265665) + (xy 170.751428 147.265665) + (xy 170.740118 147.239654) + (xy 170.740117 147.239652) + (xy 170.738496 147.235924) + (xy 170.613405 147.042563) + (xy 170.608046 147.036673) + (xy 170.461149 146.875237) + (xy 170.458412 146.872229) + (xy 170.455226 146.869713) + (xy 170.455223 146.86971) + (xy 170.280869 146.732013) + (xy 170.280863 146.732009) + (xy 170.277681 146.729496) + (xy 170.230296 146.703338) + (xy 170.079623 146.620162) + (xy 170.079622 146.620161) + (xy 170.076065 146.618198) + (xy 170.072234 146.616841) + (xy 170.072231 146.61684) + (xy 169.862814 146.542681) + (xy 169.862809 146.54268) + (xy 169.858978 146.541323) + (xy 169.63225 146.500937) + (xy 169.628204 146.500888) + (xy 169.628198 146.500887) + (xy 169.501094 146.499334) + (xy 169.401971 146.498123) + (xy 169.174325 146.532958) + (xy 169.075384 146.565297) + (xy 168.959281 146.603245) + (xy 168.959277 146.603247) + (xy 168.955424 146.604506) + (xy 168.951824 146.60638) + (xy 168.754754 146.708968) + (xy 168.754751 146.70897) + (xy 168.751149 146.710845) + (xy 168.566984 146.849119) + (xy 168.407877 147.015616) + (xy 168.405585 147.018976) + (xy 168.280389 147.202505) + (xy 168.280386 147.202511) + (xy 168.278099 147.205863) + (xy 168.181136 147.414752) + (xy 168.119592 147.636673) + (xy 168.095119 147.865665) + (xy 162.902477 147.865665) + (xy 162.898503 147.817325) + (xy 162.886763 147.674525) + (xy 162.886762 147.674522) + (xy 162.88643 147.670478) + (xy 162.868946 147.600869) + (xy 162.831317 147.451066) + (xy 162.830326 147.44712) + (xy 162.755309 147.274591) + (xy 162.740118 147.239654) + (xy 162.740117 147.239652) + (xy 162.738496 147.235924) + (xy 162.613405 147.042563) + (xy 162.608046 147.036673) + (xy 162.461149 146.875237) + (xy 162.458412 146.872229) + (xy 162.455226 146.869713) + (xy 162.455223 146.86971) + (xy 162.280869 146.732013) + (xy 162.280863 146.732009) + (xy 162.277681 146.729496) + (xy 162.230296 146.703338) + (xy 162.079623 146.620162) + (xy 162.079622 146.620161) + (xy 162.076065 146.618198) + (xy 162.072234 146.616841) + (xy 162.072231 146.61684) + (xy 161.862814 146.542681) + (xy 161.862809 146.54268) + (xy 161.858978 146.541323) + (xy 161.63225 146.500937) + (xy 161.628204 146.500888) + (xy 161.628198 146.500887) + (xy 161.501094 146.499334) + (xy 161.401971 146.498123) + (xy 161.174325 146.532958) + (xy 161.075384 146.565297) + (xy 160.959281 146.603245) + (xy 160.959277 146.603247) + (xy 160.955424 146.604506) + (xy 160.951824 146.60638) + (xy 160.754754 146.708968) + (xy 160.754751 146.70897) + (xy 160.751149 146.710845) + (xy 160.566984 146.849119) + (xy 160.407877 147.015616) + (xy 160.405585 147.018976) + (xy 160.280389 147.202505) + (xy 160.280386 147.202511) + (xy 160.278099 147.205863) + (xy 160.181136 147.414752) + (xy 160.119592 147.636673) + (xy 160.095119 147.865665) + (xy 136.661248 147.865665) + (xy 136.661248 145.865665) + (xy 164.095119 145.865665) + (xy 164.108376 146.09558) + (xy 164.115577 146.127533) + (xy 164.155942 146.306644) + (xy 164.159006 146.320242) + (xy 164.160535 146.324006) + (xy 164.160536 146.324011) + (xy 164.244118 146.529848) + (xy 164.245649 146.533618) + (xy 164.247773 146.537084) + (xy 164.247775 146.537088) + (xy 164.316662 146.649501) + (xy 164.365979 146.729978) + (xy 164.368638 146.733048) + (xy 164.368639 146.733049) + (xy 164.491806 146.875237) + (xy 164.516763 146.904048) + (xy 164.693953 147.051154) + (xy 164.89279 147.167345) + (xy 165.107934 147.249501) + (xy 165.111909 147.25031) + (xy 165.11191 147.25031) + (xy 165.329624 147.294604) + (xy 165.329628 147.294604) + (xy 165.333607 147.295414) + (xy 165.337667 147.295563) + (xy 165.337668 147.295563) + (xy 165.379459 147.297095) + (xy 165.563749 147.303853) + (xy 165.567767 147.303338) + (xy 165.567773 147.303338) + (xy 165.788146 147.275108) + (xy 165.788152 147.275107) + (xy 165.792178 147.274591) + (xy 165.796071 147.273423) + (xy 165.796076 147.273422) + (xy 165.954836 147.225791) + (xy 166.012761 147.208413) + (xy 166.219574 147.107096) + (xy 166.407062 146.973363) + (xy 166.57019 146.810803) + (xy 166.704577 146.623783) + (xy 166.806615 146.417325) + (xy 166.819256 146.375721) + (xy 166.87238 146.200869) + (xy 166.87238 146.200868) + (xy 166.873563 146.196975) + (xy 166.903622 145.968649) + (xy 166.9053 145.9) + (xy 166.898503 145.817325) + (xy 166.886763 145.674525) + (xy 166.886762 145.674522) + (xy 166.88643 145.670478) + (xy 166.868946 145.600869) + (xy 166.831317 145.451066) + (xy 166.830326 145.44712) + (xy 166.755309 145.274591) + (xy 166.740118 145.239654) + (xy 166.740117 145.239652) + (xy 166.738496 145.235924) + (xy 166.613405 145.042563) + (xy 166.608046 145.036673) + (xy 166.461149 144.875237) + (xy 166.458412 144.872229) + (xy 166.455226 144.869713) + (xy 166.455223 144.86971) + (xy 166.280869 144.732013) + (xy 166.280863 144.732009) + (xy 166.277681 144.729496) + (xy 166.230296 144.703338) + (xy 166.079623 144.620162) + (xy 166.079622 144.620161) + (xy 166.076065 144.618198) + (xy 166.072234 144.616841) + (xy 166.072231 144.61684) + (xy 165.862814 144.542681) + (xy 165.862809 144.54268) + (xy 165.858978 144.541323) + (xy 165.63225 144.500937) + (xy 165.628204 144.500888) + (xy 165.628198 144.500887) + (xy 165.501094 144.499334) + (xy 165.401971 144.498123) + (xy 165.174325 144.532958) + (xy 165.075384 144.565297) + (xy 164.959281 144.603245) + (xy 164.959277 144.603247) + (xy 164.955424 144.604506) + (xy 164.951824 144.60638) + (xy 164.754754 144.708968) + (xy 164.754751 144.70897) + (xy 164.751149 144.710845) + (xy 164.566984 144.849119) + (xy 164.407877 145.015616) + (xy 164.405585 145.018976) + (xy 164.280389 145.202505) + (xy 164.280386 145.202511) + (xy 164.278099 145.205863) + (xy 164.181136 145.414752) + (xy 164.119592 145.636673) + (xy 164.095119 145.865665) + (xy 136.661248 145.865665) + (xy 136.661248 143.865665) + (xy 160.095119 143.865665) + (xy 160.108376 144.09558) + (xy 160.115577 144.127533) + (xy 160.155942 144.306644) + (xy 160.159006 144.320242) + (xy 160.160535 144.324006) + (xy 160.160536 144.324011) + (xy 160.244118 144.529848) + (xy 160.245649 144.533618) + (xy 160.247773 144.537084) + (xy 160.247775 144.537088) + (xy 160.316662 144.649501) + (xy 160.365979 144.729978) + (xy 160.368638 144.733048) + (xy 160.368639 144.733049) + (xy 160.491806 144.875237) + (xy 160.516763 144.904048) + (xy 160.693953 145.051154) + (xy 160.89279 145.167345) + (xy 161.107934 145.249501) + (xy 161.111909 145.25031) + (xy 161.11191 145.25031) + (xy 161.329624 145.294604) + (xy 161.329628 145.294604) + (xy 161.333607 145.295414) + (xy 161.337667 145.295563) + (xy 161.337668 145.295563) + (xy 161.379459 145.297095) + (xy 161.563749 145.303853) + (xy 161.567767 145.303338) + (xy 161.567773 145.303338) + (xy 161.788146 145.275108) + (xy 161.788152 145.275107) + (xy 161.792178 145.274591) + (xy 161.796071 145.273423) + (xy 161.796076 145.273422) + (xy 161.954836 145.225791) + (xy 162.012761 145.208413) + (xy 162.219574 145.107096) + (xy 162.407062 144.973363) + (xy 162.57019 144.810803) + (xy 162.704577 144.623783) + (xy 162.806615 144.417325) + (xy 162.819256 144.375721) + (xy 162.87238 144.200869) + (xy 162.87238 144.200868) + (xy 162.873563 144.196975) + (xy 162.903622 143.968649) + (xy 162.9053 143.9) + (xy 162.902477 143.865665) + (xy 168.095119 143.865665) + (xy 168.108376 144.09558) + (xy 168.115577 144.127533) + (xy 168.155942 144.306644) + (xy 168.159006 144.320242) + (xy 168.160535 144.324006) + (xy 168.160536 144.324011) + (xy 168.244118 144.529848) + (xy 168.245649 144.533618) + (xy 168.247773 144.537084) + (xy 168.247775 144.537088) + (xy 168.316662 144.649501) + (xy 168.365979 144.729978) + (xy 168.368638 144.733048) + (xy 168.368639 144.733049) + (xy 168.491806 144.875237) + (xy 168.516763 144.904048) + (xy 168.693953 145.051154) + (xy 168.89279 145.167345) + (xy 169.107934 145.249501) + (xy 169.111909 145.25031) + (xy 169.11191 145.25031) + (xy 169.329624 145.294604) + (xy 169.329628 145.294604) + (xy 169.333607 145.295414) + (xy 169.337667 145.295563) + (xy 169.337668 145.295563) + (xy 169.379459 145.297095) + (xy 169.563749 145.303853) + (xy 169.567767 145.303338) + (xy 169.567773 145.303338) + (xy 169.788146 145.275108) + (xy 169.788152 145.275107) + (xy 169.792178 145.274591) + (xy 169.796071 145.273423) + (xy 169.796076 145.273422) + (xy 169.821931 145.265665) + (xy 264.495119 145.265665) + (xy 264.508376 145.49558) + (xy 264.509268 145.499537) + (xy 264.541086 145.640723) + (xy 264.559006 145.720242) + (xy 264.560535 145.724006) + (xy 264.560536 145.724011) + (xy 264.632667 145.901648) + (xy 264.645649 145.933618) + (xy 264.647773 145.937084) + (xy 264.647775 145.937088) + (xy 264.689089 146.004506) + (xy 264.765979 146.129978) + (xy 264.768638 146.133048) + (xy 264.768639 146.133049) + (xy 264.891806 146.275237) + (xy 264.916763 146.304048) + (xy 265.093953 146.451154) + (xy 265.29279 146.567345) + (xy 265.507934 146.649501) + (xy 265.511909 146.65031) + (xy 265.51191 146.65031) + (xy 265.729624 146.694604) + (xy 265.729628 146.694604) + (xy 265.733607 146.695414) + (xy 265.737667 146.695563) + (xy 265.737668 146.695563) + (xy 265.779459 146.697095) + (xy 265.963749 146.703853) + (xy 265.967767 146.703338) + (xy 265.967773 146.703338) + (xy 266.188146 146.675108) + (xy 266.188152 146.675107) + (xy 266.192178 146.674591) + (xy 266.196071 146.673423) + (xy 266.196076 146.673422) + (xy 266.36153 146.623783) + (xy 266.412761 146.608413) + (xy 266.619574 146.507096) + (xy 266.807062 146.373363) + (xy 266.97019 146.210803) + (xy 267.104577 146.023783) + (xy 267.206615 145.817325) + (xy 267.236112 145.720242) + (xy 267.27238 145.600869) + (xy 267.27238 145.600868) + (xy 267.273563 145.596975) + (xy 267.303622 145.368649) + (xy 267.3053 145.3) + (xy 267.304923 145.295414) + (xy 267.302477 145.265665) + (xy 272.495119 145.265665) + (xy 272.508376 145.49558) + (xy 272.509268 145.499537) + (xy 272.541086 145.640723) + (xy 272.559006 145.720242) + (xy 272.560535 145.724006) + (xy 272.560536 145.724011) + (xy 272.632667 145.901648) + (xy 272.645649 145.933618) + (xy 272.647773 145.937084) + (xy 272.647775 145.937088) + (xy 272.689089 146.004506) + (xy 272.765979 146.129978) + (xy 272.768638 146.133048) + (xy 272.768639 146.133049) + (xy 272.891806 146.275237) + (xy 272.916763 146.304048) + (xy 273.093953 146.451154) + (xy 273.29279 146.567345) + (xy 273.507934 146.649501) + (xy 273.511909 146.65031) + (xy 273.51191 146.65031) + (xy 273.729624 146.694604) + (xy 273.729628 146.694604) + (xy 273.733607 146.695414) + (xy 273.737667 146.695563) + (xy 273.737668 146.695563) + (xy 273.779459 146.697095) + (xy 273.963749 146.703853) + (xy 273.967767 146.703338) + (xy 273.967773 146.703338) + (xy 274.188146 146.675108) + (xy 274.188152 146.675107) + (xy 274.192178 146.674591) + (xy 274.196071 146.673423) + (xy 274.196076 146.673422) + (xy 274.36153 146.623783) + (xy 274.412761 146.608413) + (xy 274.619574 146.507096) + (xy 274.807062 146.373363) + (xy 274.97019 146.210803) + (xy 275.104577 146.023783) + (xy 275.206615 145.817325) + (xy 275.236112 145.720242) + (xy 275.27238 145.600869) + (xy 275.27238 145.600868) + (xy 275.273563 145.596975) + (xy 275.303622 145.368649) + (xy 275.3053 145.3) + (xy 275.304923 145.295414) + (xy 275.286763 145.074525) + (xy 275.286762 145.074522) + (xy 275.28643 145.070478) + (xy 275.279419 145.042563) + (xy 275.244625 144.904048) + (xy 275.230326 144.84712) + (xy 275.155309 144.674591) + (xy 275.140118 144.639654) + (xy 275.140117 144.639652) + (xy 275.138496 144.635924) + (xy 275.013405 144.442563) + (xy 274.858412 144.272229) + (xy 274.855226 144.269713) + (xy 274.855223 144.26971) + (xy 274.680869 144.132013) + (xy 274.680863 144.132009) + (xy 274.677681 144.129496) + (xy 274.476065 144.018198) + (xy 274.472234 144.016841) + (xy 274.472231 144.01684) + (xy 274.262814 143.942681) + (xy 274.262809 143.94268) + (xy 274.258978 143.941323) + (xy 274.03225 143.900937) + (xy 274.028204 143.900888) + (xy 274.028198 143.900887) + (xy 273.901094 143.899334) + (xy 273.801971 143.898123) + (xy 273.574325 143.932958) + (xy 273.457116 143.971268) + (xy 273.359281 144.003245) + (xy 273.359277 144.003247) + (xy 273.355424 144.004506) + (xy 273.351824 144.00638) + (xy 273.154754 144.108968) + (xy 273.154751 144.10897) + (xy 273.151149 144.110845) + (xy 272.966984 144.249119) + (xy 272.807877 144.415616) + (xy 272.805585 144.418976) + (xy 272.680389 144.602505) + (xy 272.680386 144.602511) + (xy 272.678099 144.605863) + (xy 272.581136 144.814752) + (xy 272.556372 144.904048) + (xy 272.525432 145.015616) + (xy 272.519592 145.036673) + (xy 272.519159 145.040721) + (xy 272.519159 145.040723) + (xy 272.518321 145.048562) + (xy 272.495119 145.265665) + (xy 267.302477 145.265665) + (xy 267.286763 145.074525) + (xy 267.286762 145.074522) + (xy 267.28643 145.070478) + (xy 267.279419 145.042563) + (xy 267.244625 144.904048) + (xy 267.230326 144.84712) + (xy 267.155309 144.674591) + (xy 267.140118 144.639654) + (xy 267.140117 144.639652) + (xy 267.138496 144.635924) + (xy 267.013405 144.442563) + (xy 266.858412 144.272229) + (xy 266.855226 144.269713) + (xy 266.855223 144.26971) + (xy 266.680869 144.132013) + (xy 266.680863 144.132009) + (xy 266.677681 144.129496) + (xy 266.476065 144.018198) + (xy 266.472234 144.016841) + (xy 266.472231 144.01684) + (xy 266.262814 143.942681) + (xy 266.262809 143.94268) + (xy 266.258978 143.941323) + (xy 266.03225 143.900937) + (xy 266.028204 143.900888) + (xy 266.028198 143.900887) + (xy 265.901094 143.899334) + (xy 265.801971 143.898123) + (xy 265.574325 143.932958) + (xy 265.457116 143.971268) + (xy 265.359281 144.003245) + (xy 265.359277 144.003247) + (xy 265.355424 144.004506) + (xy 265.351824 144.00638) + (xy 265.154754 144.108968) + (xy 265.154751 144.10897) + (xy 265.151149 144.110845) + (xy 264.966984 144.249119) + (xy 264.807877 144.415616) + (xy 264.805585 144.418976) + (xy 264.680389 144.602505) + (xy 264.680386 144.602511) + (xy 264.678099 144.605863) + (xy 264.581136 144.814752) + (xy 264.556372 144.904048) + (xy 264.525432 145.015616) + (xy 264.519592 145.036673) + (xy 264.519159 145.040721) + (xy 264.519159 145.040723) + (xy 264.518321 145.048562) + (xy 264.495119 145.265665) + (xy 169.821931 145.265665) + (xy 169.954836 145.225791) + (xy 170.012761 145.208413) + (xy 170.219574 145.107096) + (xy 170.407062 144.973363) + (xy 170.57019 144.810803) + (xy 170.704577 144.623783) + (xy 170.806615 144.417325) + (xy 170.819256 144.375721) + (xy 170.87238 144.200869) + (xy 170.87238 144.200868) + (xy 170.873563 144.196975) + (xy 170.903622 143.968649) + (xy 170.9053 143.9) + (xy 170.898503 143.817325) + (xy 170.886763 143.674525) + (xy 170.886762 143.674522) + (xy 170.88643 143.670478) + (xy 170.868946 143.600869) + (xy 170.831317 143.451066) + (xy 170.830326 143.44712) + (xy 170.755309 143.274591) + (xy 170.751428 143.265665) + (xy 268.495119 143.265665) + (xy 268.508376 143.49558) + (xy 268.509268 143.499537) + (xy 268.541086 143.640723) + (xy 268.559006 143.720242) + (xy 268.560535 143.724006) + (xy 268.560536 143.724011) + (xy 268.632667 143.901648) + (xy 268.645649 143.933618) + (xy 268.647773 143.937084) + (xy 268.647775 143.937088) + (xy 268.689089 144.004506) + (xy 268.765979 144.129978) + (xy 268.768638 144.133048) + (xy 268.768639 144.133049) + (xy 268.891806 144.275237) + (xy 268.916763 144.304048) + (xy 269.093953 144.451154) + (xy 269.29279 144.567345) + (xy 269.507934 144.649501) + (xy 269.511909 144.65031) + (xy 269.51191 144.65031) + (xy 269.729624 144.694604) + (xy 269.729628 144.694604) + (xy 269.733607 144.695414) + (xy 269.737667 144.695563) + (xy 269.737668 144.695563) + (xy 269.779459 144.697095) + (xy 269.963749 144.703853) + (xy 269.967767 144.703338) + (xy 269.967773 144.703338) + (xy 270.188146 144.675108) + (xy 270.188152 144.675107) + (xy 270.192178 144.674591) + (xy 270.196071 144.673423) + (xy 270.196076 144.673422) + (xy 270.36153 144.623783) + (xy 270.412761 144.608413) + (xy 270.619574 144.507096) + (xy 270.807062 144.373363) + (xy 270.97019 144.210803) + (xy 271.104577 144.023783) + (xy 271.206615 143.817325) + (xy 271.236112 143.720242) + (xy 271.27238 143.600869) + (xy 271.27238 143.600868) + (xy 271.273563 143.596975) + (xy 271.303622 143.368649) + (xy 271.3053 143.3) + (xy 271.304923 143.295414) + (xy 271.286763 143.074525) + (xy 271.286762 143.074522) + (xy 271.28643 143.070478) + (xy 271.279419 143.042563) + (xy 271.244625 142.904048) + (xy 271.230326 142.84712) + (xy 271.155309 142.674591) + (xy 271.140118 142.639654) + (xy 271.140117 142.639652) + (xy 271.138496 142.635924) + (xy 271.013405 142.442563) + (xy 270.858412 142.272229) + (xy 270.855226 142.269713) + (xy 270.855223 142.26971) + (xy 270.680869 142.132013) + (xy 270.680863 142.132009) + (xy 270.677681 142.129496) + (xy 270.476065 142.018198) + (xy 270.472234 142.016841) + (xy 270.472231 142.01684) + (xy 270.262814 141.942681) + (xy 270.262809 141.94268) + (xy 270.258978 141.941323) + (xy 270.03225 141.900937) + (xy 270.028204 141.900888) + (xy 270.028198 141.900887) + (xy 269.901094 141.899334) + (xy 269.801971 141.898123) + (xy 269.574325 141.932958) + (xy 269.457116 141.971268) + (xy 269.359281 142.003245) + (xy 269.359277 142.003247) + (xy 269.355424 142.004506) + (xy 269.351824 142.00638) + (xy 269.154754 142.108968) + (xy 269.154751 142.10897) + (xy 269.151149 142.110845) + (xy 268.966984 142.249119) + (xy 268.807877 142.415616) + (xy 268.805585 142.418976) + (xy 268.680389 142.602505) + (xy 268.680386 142.602511) + (xy 268.678099 142.605863) + (xy 268.581136 142.814752) + (xy 268.556372 142.904048) + (xy 268.525432 143.015616) + (xy 268.519592 143.036673) + (xy 268.519159 143.040721) + (xy 268.519159 143.040723) + (xy 268.518321 143.048562) + (xy 268.495119 143.265665) + (xy 170.751428 143.265665) + (xy 170.740118 143.239654) + (xy 170.740117 143.239652) + (xy 170.738496 143.235924) + (xy 170.613405 143.042563) + (xy 170.608046 143.036673) + (xy 170.461149 142.875237) + (xy 170.458412 142.872229) + (xy 170.455226 142.869713) + (xy 170.455223 142.86971) + (xy 170.280869 142.732013) + (xy 170.280863 142.732009) + (xy 170.277681 142.729496) + (xy 170.230296 142.703338) + (xy 170.079623 142.620162) + (xy 170.079622 142.620161) + (xy 170.076065 142.618198) + (xy 170.072234 142.616841) + (xy 170.072231 142.61684) + (xy 169.862814 142.542681) + (xy 169.862809 142.54268) + (xy 169.858978 142.541323) + (xy 169.63225 142.500937) + (xy 169.628204 142.500888) + (xy 169.628198 142.500887) + (xy 169.501094 142.499334) + (xy 169.401971 142.498123) + (xy 169.174325 142.532958) + (xy 169.075384 142.565297) + (xy 168.959281 142.603245) + (xy 168.959277 142.603247) + (xy 168.955424 142.604506) + (xy 168.951824 142.60638) + (xy 168.754754 142.708968) + (xy 168.754751 142.70897) + (xy 168.751149 142.710845) + (xy 168.566984 142.849119) + (xy 168.407877 143.015616) + (xy 168.405585 143.018976) + (xy 168.280389 143.202505) + (xy 168.280386 143.202511) + (xy 168.278099 143.205863) + (xy 168.181136 143.414752) + (xy 168.119592 143.636673) + (xy 168.095119 143.865665) + (xy 162.902477 143.865665) + (xy 162.898503 143.817325) + (xy 162.886763 143.674525) + (xy 162.886762 143.674522) + (xy 162.88643 143.670478) + (xy 162.868946 143.600869) + (xy 162.831317 143.451066) + (xy 162.830326 143.44712) + (xy 162.755309 143.274591) + (xy 162.740118 143.239654) + (xy 162.740117 143.239652) + (xy 162.738496 143.235924) + (xy 162.613405 143.042563) + (xy 162.608046 143.036673) + (xy 162.461149 142.875237) + (xy 162.458412 142.872229) + (xy 162.455226 142.869713) + (xy 162.455223 142.86971) + (xy 162.280869 142.732013) + (xy 162.280863 142.732009) + (xy 162.277681 142.729496) + (xy 162.230296 142.703338) + (xy 162.079623 142.620162) + (xy 162.079622 142.620161) + (xy 162.076065 142.618198) + (xy 162.072234 142.616841) + (xy 162.072231 142.61684) + (xy 161.862814 142.542681) + (xy 161.862809 142.54268) + (xy 161.858978 142.541323) + (xy 161.63225 142.500937) + (xy 161.628204 142.500888) + (xy 161.628198 142.500887) + (xy 161.501094 142.499334) + (xy 161.401971 142.498123) + (xy 161.174325 142.532958) + (xy 161.075384 142.565297) + (xy 160.959281 142.603245) + (xy 160.959277 142.603247) + (xy 160.955424 142.604506) + (xy 160.951824 142.60638) + (xy 160.754754 142.708968) + (xy 160.754751 142.70897) + (xy 160.751149 142.710845) + (xy 160.566984 142.849119) + (xy 160.407877 143.015616) + (xy 160.405585 143.018976) + (xy 160.280389 143.202505) + (xy 160.280386 143.202511) + (xy 160.278099 143.205863) + (xy 160.181136 143.414752) + (xy 160.119592 143.636673) + (xy 160.095119 143.865665) + (xy 136.661248 143.865665) + (xy 136.661248 141.865665) + (xy 164.095119 141.865665) + (xy 164.108376 142.09558) + (xy 164.115577 142.127533) + (xy 164.155942 142.306644) + (xy 164.159006 142.320242) + (xy 164.160535 142.324006) + (xy 164.160536 142.324011) + (xy 164.244118 142.529848) + (xy 164.245649 142.533618) + (xy 164.247773 142.537084) + (xy 164.247775 142.537088) + (xy 164.316662 142.649501) + (xy 164.365979 142.729978) + (xy 164.368638 142.733048) + (xy 164.368639 142.733049) + (xy 164.491806 142.875237) + (xy 164.516763 142.904048) + (xy 164.693953 143.051154) + (xy 164.89279 143.167345) + (xy 165.107934 143.249501) + (xy 165.111909 143.25031) + (xy 165.11191 143.25031) + (xy 165.329624 143.294604) + (xy 165.329628 143.294604) + (xy 165.333607 143.295414) + (xy 165.337667 143.295563) + (xy 165.337668 143.295563) + (xy 165.379459 143.297095) + (xy 165.563749 143.303853) + (xy 165.567767 143.303338) + (xy 165.567773 143.303338) + (xy 165.788146 143.275108) + (xy 165.788152 143.275107) + (xy 165.792178 143.274591) + (xy 165.796071 143.273423) + (xy 165.796076 143.273422) + (xy 165.954836 143.225791) + (xy 166.012761 143.208413) + (xy 166.219574 143.107096) + (xy 166.407062 142.973363) + (xy 166.57019 142.810803) + (xy 166.704577 142.623783) + (xy 166.806615 142.417325) + (xy 166.819256 142.375721) + (xy 166.87238 142.200869) + (xy 166.87238 142.200868) + (xy 166.873563 142.196975) + (xy 166.903622 141.968649) + (xy 166.9053 141.9) + (xy 166.898503 141.817325) + (xy 166.886763 141.674525) + (xy 166.886762 141.674522) + (xy 166.88643 141.670478) + (xy 166.868946 141.600869) + (xy 166.831317 141.451066) + (xy 166.830326 141.44712) + (xy 166.755309 141.274591) + (xy 166.740118 141.239654) + (xy 166.740117 141.239652) + (xy 166.738496 141.235924) + (xy 166.613405 141.042563) + (xy 166.608046 141.036673) + (xy 166.461149 140.875237) + (xy 166.458412 140.872229) + (xy 166.455226 140.869713) + (xy 166.455223 140.86971) + (xy 166.280869 140.732013) + (xy 166.280863 140.732009) + (xy 166.277681 140.729496) + (xy 166.230296 140.703338) + (xy 166.079623 140.620162) + (xy 166.079622 140.620161) + (xy 166.076065 140.618198) + (xy 166.072234 140.616841) + (xy 166.072231 140.61684) + (xy 165.862814 140.542681) + (xy 165.862809 140.54268) + (xy 165.858978 140.541323) + (xy 165.63225 140.500937) + (xy 165.628204 140.500888) + (xy 165.628198 140.500887) + (xy 165.501094 140.499334) + (xy 165.401971 140.498123) + (xy 165.174325 140.532958) + (xy 165.075384 140.565297) + (xy 164.959281 140.603245) + (xy 164.959277 140.603247) + (xy 164.955424 140.604506) + (xy 164.951824 140.60638) + (xy 164.754754 140.708968) + (xy 164.754751 140.70897) + (xy 164.751149 140.710845) + (xy 164.566984 140.849119) + (xy 164.407877 141.015616) + (xy 164.405585 141.018976) + (xy 164.280389 141.202505) + (xy 164.280386 141.202511) + (xy 164.278099 141.205863) + (xy 164.181136 141.414752) + (xy 164.119592 141.636673) + (xy 164.095119 141.865665) + (xy 136.661248 141.865665) + (xy 136.661248 139.865665) + (xy 160.095119 139.865665) + (xy 160.108376 140.09558) + (xy 160.115577 140.127533) + (xy 160.155942 140.306644) + (xy 160.159006 140.320242) + (xy 160.160535 140.324006) + (xy 160.160536 140.324011) + (xy 160.244118 140.529848) + (xy 160.245649 140.533618) + (xy 160.247773 140.537084) + (xy 160.247775 140.537088) + (xy 160.316662 140.649501) + (xy 160.365979 140.729978) + (xy 160.368638 140.733048) + (xy 160.368639 140.733049) + (xy 160.491806 140.875237) + (xy 160.516763 140.904048) + (xy 160.693953 141.051154) + (xy 160.89279 141.167345) + (xy 161.107934 141.249501) + (xy 161.111909 141.25031) + (xy 161.11191 141.25031) + (xy 161.329624 141.294604) + (xy 161.329628 141.294604) + (xy 161.333607 141.295414) + (xy 161.337667 141.295563) + (xy 161.337668 141.295563) + (xy 161.379459 141.297095) + (xy 161.563749 141.303853) + (xy 161.567767 141.303338) + (xy 161.567773 141.303338) + (xy 161.788146 141.275108) + (xy 161.788152 141.275107) + (xy 161.792178 141.274591) + (xy 161.796071 141.273423) + (xy 161.796076 141.273422) + (xy 161.954836 141.225791) + (xy 162.012761 141.208413) + (xy 162.219574 141.107096) + (xy 162.407062 140.973363) + (xy 162.57019 140.810803) + (xy 162.704577 140.623783) + (xy 162.806615 140.417325) + (xy 162.819256 140.375721) + (xy 162.87238 140.200869) + (xy 162.87238 140.200868) + (xy 162.873563 140.196975) + (xy 162.903622 139.968649) + (xy 162.9053 139.9) + (xy 162.902477 139.865665) + (xy 168.095119 139.865665) + (xy 168.108376 140.09558) + (xy 168.115577 140.127533) + (xy 168.155942 140.306644) + (xy 168.159006 140.320242) + (xy 168.160535 140.324006) + (xy 168.160536 140.324011) + (xy 168.244118 140.529848) + (xy 168.245649 140.533618) + (xy 168.247773 140.537084) + (xy 168.247775 140.537088) + (xy 168.316662 140.649501) + (xy 168.365979 140.729978) + (xy 168.368638 140.733048) + (xy 168.368639 140.733049) + (xy 168.491806 140.875237) + (xy 168.516763 140.904048) + (xy 168.693953 141.051154) + (xy 168.89279 141.167345) + (xy 169.107934 141.249501) + (xy 169.111909 141.25031) + (xy 169.11191 141.25031) + (xy 169.329624 141.294604) + (xy 169.329628 141.294604) + (xy 169.333607 141.295414) + (xy 169.337667 141.295563) + (xy 169.337668 141.295563) + (xy 169.379459 141.297095) + (xy 169.563749 141.303853) + (xy 169.567767 141.303338) + (xy 169.567773 141.303338) + (xy 169.788146 141.275108) + (xy 169.788152 141.275107) + (xy 169.792178 141.274591) + (xy 169.796071 141.273423) + (xy 169.796076 141.273422) + (xy 169.821931 141.265665) + (xy 264.495119 141.265665) + (xy 264.508376 141.49558) + (xy 264.509268 141.499537) + (xy 264.541086 141.640723) + (xy 264.559006 141.720242) + (xy 264.560535 141.724006) + (xy 264.560536 141.724011) + (xy 264.632667 141.901648) + (xy 264.645649 141.933618) + (xy 264.647773 141.937084) + (xy 264.647775 141.937088) + (xy 264.689089 142.004506) + (xy 264.765979 142.129978) + (xy 264.768638 142.133048) + (xy 264.768639 142.133049) + (xy 264.891806 142.275237) + (xy 264.916763 142.304048) + (xy 265.093953 142.451154) + (xy 265.29279 142.567345) + (xy 265.507934 142.649501) + (xy 265.511909 142.65031) + (xy 265.51191 142.65031) + (xy 265.729624 142.694604) + (xy 265.729628 142.694604) + (xy 265.733607 142.695414) + (xy 265.737667 142.695563) + (xy 265.737668 142.695563) + (xy 265.779459 142.697095) + (xy 265.963749 142.703853) + (xy 265.967767 142.703338) + (xy 265.967773 142.703338) + (xy 266.188146 142.675108) + (xy 266.188152 142.675107) + (xy 266.192178 142.674591) + (xy 266.196071 142.673423) + (xy 266.196076 142.673422) + (xy 266.36153 142.623783) + (xy 266.412761 142.608413) + (xy 266.619574 142.507096) + (xy 266.807062 142.373363) + (xy 266.97019 142.210803) + (xy 267.104577 142.023783) + (xy 267.206615 141.817325) + (xy 267.236112 141.720242) + (xy 267.27238 141.600869) + (xy 267.27238 141.600868) + (xy 267.273563 141.596975) + (xy 267.303622 141.368649) + (xy 267.3053 141.3) + (xy 267.304923 141.295414) + (xy 267.302477 141.265665) + (xy 272.495119 141.265665) + (xy 272.508376 141.49558) + (xy 272.509268 141.499537) + (xy 272.541086 141.640723) + (xy 272.559006 141.720242) + (xy 272.560535 141.724006) + (xy 272.560536 141.724011) + (xy 272.632667 141.901648) + (xy 272.645649 141.933618) + (xy 272.647773 141.937084) + (xy 272.647775 141.937088) + (xy 272.689089 142.004506) + (xy 272.765979 142.129978) + (xy 272.768638 142.133048) + (xy 272.768639 142.133049) + (xy 272.891806 142.275237) + (xy 272.916763 142.304048) + (xy 273.093953 142.451154) + (xy 273.29279 142.567345) + (xy 273.507934 142.649501) + (xy 273.511909 142.65031) + (xy 273.51191 142.65031) + (xy 273.729624 142.694604) + (xy 273.729628 142.694604) + (xy 273.733607 142.695414) + (xy 273.737667 142.695563) + (xy 273.737668 142.695563) + (xy 273.779459 142.697095) + (xy 273.963749 142.703853) + (xy 273.967767 142.703338) + (xy 273.967773 142.703338) + (xy 274.188146 142.675108) + (xy 274.188152 142.675107) + (xy 274.192178 142.674591) + (xy 274.196071 142.673423) + (xy 274.196076 142.673422) + (xy 274.36153 142.623783) + (xy 274.412761 142.608413) + (xy 274.619574 142.507096) + (xy 274.807062 142.373363) + (xy 274.97019 142.210803) + (xy 275.104577 142.023783) + (xy 275.206615 141.817325) + (xy 275.236112 141.720242) + (xy 275.27238 141.600869) + (xy 275.27238 141.600868) + (xy 275.273563 141.596975) + (xy 275.303622 141.368649) + (xy 275.3053 141.3) + (xy 275.304923 141.295414) + (xy 275.286763 141.074525) + (xy 275.286762 141.074522) + (xy 275.28643 141.070478) + (xy 275.279419 141.042563) + (xy 275.244625 140.904048) + (xy 275.230326 140.84712) + (xy 275.155309 140.674591) + (xy 275.140118 140.639654) + (xy 275.140117 140.639652) + (xy 275.138496 140.635924) + (xy 275.013405 140.442563) + (xy 274.858412 140.272229) + (xy 274.855226 140.269713) + (xy 274.855223 140.26971) + (xy 274.680869 140.132013) + (xy 274.680863 140.132009) + (xy 274.677681 140.129496) + (xy 274.476065 140.018198) + (xy 274.472234 140.016841) + (xy 274.472231 140.01684) + (xy 274.262814 139.942681) + (xy 274.262809 139.94268) + (xy 274.258978 139.941323) + (xy 274.03225 139.900937) + (xy 274.028204 139.900888) + (xy 274.028198 139.900887) + (xy 273.901094 139.899334) + (xy 273.801971 139.898123) + (xy 273.574325 139.932958) + (xy 273.457116 139.971268) + (xy 273.359281 140.003245) + (xy 273.359277 140.003247) + (xy 273.355424 140.004506) + (xy 273.351824 140.00638) + (xy 273.154754 140.108968) + (xy 273.154751 140.10897) + (xy 273.151149 140.110845) + (xy 272.966984 140.249119) + (xy 272.807877 140.415616) + (xy 272.805585 140.418976) + (xy 272.680389 140.602505) + (xy 272.680386 140.602511) + (xy 272.678099 140.605863) + (xy 272.581136 140.814752) + (xy 272.556372 140.904048) + (xy 272.525432 141.015616) + (xy 272.519592 141.036673) + (xy 272.519159 141.040721) + (xy 272.519159 141.040723) + (xy 272.518321 141.048562) + (xy 272.495119 141.265665) + (xy 267.302477 141.265665) + (xy 267.286763 141.074525) + (xy 267.286762 141.074522) + (xy 267.28643 141.070478) + (xy 267.279419 141.042563) + (xy 267.244625 140.904048) + (xy 267.230326 140.84712) + (xy 267.155309 140.674591) + (xy 267.140118 140.639654) + (xy 267.140117 140.639652) + (xy 267.138496 140.635924) + (xy 267.013405 140.442563) + (xy 266.858412 140.272229) + (xy 266.855226 140.269713) + (xy 266.855223 140.26971) + (xy 266.680869 140.132013) + (xy 266.680863 140.132009) + (xy 266.677681 140.129496) + (xy 266.476065 140.018198) + (xy 266.472234 140.016841) + (xy 266.472231 140.01684) + (xy 266.262814 139.942681) + (xy 266.262809 139.94268) + (xy 266.258978 139.941323) + (xy 266.03225 139.900937) + (xy 266.028204 139.900888) + (xy 266.028198 139.900887) + (xy 265.901094 139.899334) + (xy 265.801971 139.898123) + (xy 265.574325 139.932958) + (xy 265.457116 139.971268) + (xy 265.359281 140.003245) + (xy 265.359277 140.003247) + (xy 265.355424 140.004506) + (xy 265.351824 140.00638) + (xy 265.154754 140.108968) + (xy 265.154751 140.10897) + (xy 265.151149 140.110845) + (xy 264.966984 140.249119) + (xy 264.807877 140.415616) + (xy 264.805585 140.418976) + (xy 264.680389 140.602505) + (xy 264.680386 140.602511) + (xy 264.678099 140.605863) + (xy 264.581136 140.814752) + (xy 264.556372 140.904048) + (xy 264.525432 141.015616) + (xy 264.519592 141.036673) + (xy 264.519159 141.040721) + (xy 264.519159 141.040723) + (xy 264.518321 141.048562) + (xy 264.495119 141.265665) + (xy 169.821931 141.265665) + (xy 169.954836 141.225791) + (xy 170.012761 141.208413) + (xy 170.219574 141.107096) + (xy 170.407062 140.973363) + (xy 170.57019 140.810803) + (xy 170.704577 140.623783) + (xy 170.806615 140.417325) + (xy 170.819256 140.375721) + (xy 170.87238 140.200869) + (xy 170.87238 140.200868) + (xy 170.873563 140.196975) + (xy 170.903622 139.968649) + (xy 170.9053 139.9) + (xy 170.898503 139.817325) + (xy 170.886763 139.674525) + (xy 170.886762 139.674522) + (xy 170.88643 139.670478) + (xy 170.868946 139.600869) + (xy 170.831317 139.451066) + (xy 170.830326 139.44712) + (xy 170.755309 139.274591) + (xy 170.751428 139.265665) + (xy 268.495119 139.265665) + (xy 268.508376 139.49558) + (xy 268.509268 139.499537) + (xy 268.541086 139.640723) + (xy 268.559006 139.720242) + (xy 268.560535 139.724006) + (xy 268.560536 139.724011) + (xy 268.632667 139.901648) + (xy 268.645649 139.933618) + (xy 268.647773 139.937084) + (xy 268.647775 139.937088) + (xy 268.689089 140.004506) + (xy 268.765979 140.129978) + (xy 268.768638 140.133048) + (xy 268.768639 140.133049) + (xy 268.891806 140.275237) + (xy 268.916763 140.304048) + (xy 269.093953 140.451154) + (xy 269.29279 140.567345) + (xy 269.507934 140.649501) + (xy 269.511909 140.65031) + (xy 269.51191 140.65031) + (xy 269.729624 140.694604) + (xy 269.729628 140.694604) + (xy 269.733607 140.695414) + (xy 269.737667 140.695563) + (xy 269.737668 140.695563) + (xy 269.779459 140.697095) + (xy 269.963749 140.703853) + (xy 269.967767 140.703338) + (xy 269.967773 140.703338) + (xy 270.188146 140.675108) + (xy 270.188152 140.675107) + (xy 270.192178 140.674591) + (xy 270.196071 140.673423) + (xy 270.196076 140.673422) + (xy 270.36153 140.623783) + (xy 270.412761 140.608413) + (xy 270.619574 140.507096) + (xy 270.807062 140.373363) + (xy 270.97019 140.210803) + (xy 271.104577 140.023783) + (xy 271.206615 139.817325) + (xy 271.236112 139.720242) + (xy 271.27238 139.600869) + (xy 271.27238 139.600868) + (xy 271.273563 139.596975) + (xy 271.303622 139.368649) + (xy 271.3053 139.3) + (xy 271.304923 139.295414) + (xy 271.286763 139.074525) + (xy 271.286762 139.074522) + (xy 271.28643 139.070478) + (xy 271.279419 139.042563) + (xy 271.244625 138.904048) + (xy 271.230326 138.84712) + (xy 271.155309 138.674591) + (xy 271.140118 138.639654) + (xy 271.140117 138.639652) + (xy 271.138496 138.635924) + (xy 271.013405 138.442563) + (xy 270.858412 138.272229) + (xy 270.855226 138.269713) + (xy 270.855223 138.26971) + (xy 270.680869 138.132013) + (xy 270.680863 138.132009) + (xy 270.677681 138.129496) + (xy 270.476065 138.018198) + (xy 270.472234 138.016841) + (xy 270.472231 138.01684) + (xy 270.262814 137.942681) + (xy 270.262809 137.94268) + (xy 270.258978 137.941323) + (xy 270.03225 137.900937) + (xy 270.028204 137.900888) + (xy 270.028198 137.900887) + (xy 269.901094 137.899334) + (xy 269.801971 137.898123) + (xy 269.574325 137.932958) + (xy 269.457116 137.971268) + (xy 269.359281 138.003245) + (xy 269.359277 138.003247) + (xy 269.355424 138.004506) + (xy 269.351824 138.00638) + (xy 269.154754 138.108968) + (xy 269.154751 138.10897) + (xy 269.151149 138.110845) + (xy 268.966984 138.249119) + (xy 268.807877 138.415616) + (xy 268.805585 138.418976) + (xy 268.680389 138.602505) + (xy 268.680386 138.602511) + (xy 268.678099 138.605863) + (xy 268.581136 138.814752) + (xy 268.556372 138.904048) + (xy 268.525432 139.015616) + (xy 268.519592 139.036673) + (xy 268.519159 139.040721) + (xy 268.519159 139.040723) + (xy 268.518321 139.048562) + (xy 268.495119 139.265665) + (xy 170.751428 139.265665) + (xy 170.740118 139.239654) + (xy 170.740117 139.239652) + (xy 170.738496 139.235924) + (xy 170.613405 139.042563) + (xy 170.608046 139.036673) + (xy 170.461149 138.875237) + (xy 170.458412 138.872229) + (xy 170.455226 138.869713) + (xy 170.455223 138.86971) + (xy 170.280869 138.732013) + (xy 170.280863 138.732009) + (xy 170.277681 138.729496) + (xy 170.230296 138.703338) + (xy 170.079623 138.620162) + (xy 170.079622 138.620161) + (xy 170.076065 138.618198) + (xy 170.072234 138.616841) + (xy 170.072231 138.61684) + (xy 169.862814 138.542681) + (xy 169.862809 138.54268) + (xy 169.858978 138.541323) + (xy 169.63225 138.500937) + (xy 169.628204 138.500888) + (xy 169.628198 138.500887) + (xy 169.501094 138.499334) + (xy 169.401971 138.498123) + (xy 169.174325 138.532958) + (xy 169.075384 138.565297) + (xy 168.959281 138.603245) + (xy 168.959277 138.603247) + (xy 168.955424 138.604506) + (xy 168.951824 138.60638) + (xy 168.754754 138.708968) + (xy 168.754751 138.70897) + (xy 168.751149 138.710845) + (xy 168.566984 138.849119) + (xy 168.407877 139.015616) + (xy 168.405585 139.018976) + (xy 168.280389 139.202505) + (xy 168.280386 139.202511) + (xy 168.278099 139.205863) + (xy 168.181136 139.414752) + (xy 168.119592 139.636673) + (xy 168.095119 139.865665) + (xy 162.902477 139.865665) + (xy 162.898503 139.817325) + (xy 162.886763 139.674525) + (xy 162.886762 139.674522) + (xy 162.88643 139.670478) + (xy 162.868946 139.600869) + (xy 162.831317 139.451066) + (xy 162.830326 139.44712) + (xy 162.755309 139.274591) + (xy 162.740118 139.239654) + (xy 162.740117 139.239652) + (xy 162.738496 139.235924) + (xy 162.613405 139.042563) + (xy 162.608046 139.036673) + (xy 162.461149 138.875237) + (xy 162.458412 138.872229) + (xy 162.455226 138.869713) + (xy 162.455223 138.86971) + (xy 162.280869 138.732013) + (xy 162.280863 138.732009) + (xy 162.277681 138.729496) + (xy 162.230296 138.703338) + (xy 162.079623 138.620162) + (xy 162.079622 138.620161) + (xy 162.076065 138.618198) + (xy 162.072234 138.616841) + (xy 162.072231 138.61684) + (xy 161.862814 138.542681) + (xy 161.862809 138.54268) + (xy 161.858978 138.541323) + (xy 161.63225 138.500937) + (xy 161.628204 138.500888) + (xy 161.628198 138.500887) + (xy 161.501094 138.499334) + (xy 161.401971 138.498123) + (xy 161.174325 138.532958) + (xy 161.075384 138.565297) + (xy 160.959281 138.603245) + (xy 160.959277 138.603247) + (xy 160.955424 138.604506) + (xy 160.951824 138.60638) + (xy 160.754754 138.708968) + (xy 160.754751 138.70897) + (xy 160.751149 138.710845) + (xy 160.566984 138.849119) + (xy 160.407877 139.015616) + (xy 160.405585 139.018976) + (xy 160.280389 139.202505) + (xy 160.280386 139.202511) + (xy 160.278099 139.205863) + (xy 160.181136 139.414752) + (xy 160.119592 139.636673) + (xy 160.095119 139.865665) + (xy 136.661248 139.865665) + (xy 136.661248 137.865665) + (xy 164.095119 137.865665) + (xy 164.108376 138.09558) + (xy 164.115577 138.127533) + (xy 164.155942 138.306644) + (xy 164.159006 138.320242) + (xy 164.160535 138.324006) + (xy 164.160536 138.324011) + (xy 164.244118 138.529848) + (xy 164.245649 138.533618) + (xy 164.247773 138.537084) + (xy 164.247775 138.537088) + (xy 164.316662 138.649501) + (xy 164.365979 138.729978) + (xy 164.368638 138.733048) + (xy 164.368639 138.733049) + (xy 164.491806 138.875237) + (xy 164.516763 138.904048) + (xy 164.693953 139.051154) + (xy 164.89279 139.167345) + (xy 165.107934 139.249501) + (xy 165.111909 139.25031) + (xy 165.11191 139.25031) + (xy 165.329624 139.294604) + (xy 165.329628 139.294604) + (xy 165.333607 139.295414) + (xy 165.337667 139.295563) + (xy 165.337668 139.295563) + (xy 165.379459 139.297095) + (xy 165.563749 139.303853) + (xy 165.567767 139.303338) + (xy 165.567773 139.303338) + (xy 165.788146 139.275108) + (xy 165.788152 139.275107) + (xy 165.792178 139.274591) + (xy 165.796071 139.273423) + (xy 165.796076 139.273422) + (xy 165.954836 139.225791) + (xy 166.012761 139.208413) + (xy 166.219574 139.107096) + (xy 166.407062 138.973363) + (xy 166.57019 138.810803) + (xy 166.704577 138.623783) + (xy 166.806615 138.417325) + (xy 166.819256 138.375721) + (xy 166.87238 138.200869) + (xy 166.87238 138.200868) + (xy 166.873563 138.196975) + (xy 166.903622 137.968649) + (xy 166.9053 137.9) + (xy 166.898503 137.817325) + (xy 166.886763 137.674525) + (xy 166.886762 137.674522) + (xy 166.88643 137.670478) + (xy 166.868946 137.600869) + (xy 166.831317 137.451066) + (xy 166.830326 137.44712) + (xy 166.755309 137.274591) + (xy 166.740118 137.239654) + (xy 166.740117 137.239652) + (xy 166.738496 137.235924) + (xy 166.613405 137.042563) + (xy 166.608046 137.036673) + (xy 166.461149 136.875237) + (xy 166.458412 136.872229) + (xy 166.455226 136.869713) + (xy 166.455223 136.86971) + (xy 166.280869 136.732013) + (xy 166.280863 136.732009) + (xy 166.277681 136.729496) + (xy 166.230296 136.703338) + (xy 166.079623 136.620162) + (xy 166.079622 136.620161) + (xy 166.076065 136.618198) + (xy 166.072234 136.616841) + (xy 166.072231 136.61684) + (xy 165.862814 136.542681) + (xy 165.862809 136.54268) + (xy 165.858978 136.541323) + (xy 165.63225 136.500937) + (xy 165.628204 136.500888) + (xy 165.628198 136.500887) + (xy 165.501094 136.499334) + (xy 165.401971 136.498123) + (xy 165.174325 136.532958) + (xy 165.075384 136.565297) + (xy 164.959281 136.603245) + (xy 164.959277 136.603247) + (xy 164.955424 136.604506) + (xy 164.951824 136.60638) + (xy 164.754754 136.708968) + (xy 164.754751 136.70897) + (xy 164.751149 136.710845) + (xy 164.566984 136.849119) + (xy 164.407877 137.015616) + (xy 164.405585 137.018976) + (xy 164.280389 137.202505) + (xy 164.280386 137.202511) + (xy 164.278099 137.205863) + (xy 164.181136 137.414752) + (xy 164.119592 137.636673) + (xy 164.095119 137.865665) + (xy 136.661248 137.865665) + (xy 136.661248 135.865665) + (xy 160.095119 135.865665) + (xy 160.108376 136.09558) + (xy 160.115577 136.127533) + (xy 160.155942 136.306644) + (xy 160.159006 136.320242) + (xy 160.160535 136.324006) + (xy 160.160536 136.324011) + (xy 160.244118 136.529848) + (xy 160.245649 136.533618) + (xy 160.247773 136.537084) + (xy 160.247775 136.537088) + (xy 160.316662 136.649501) + (xy 160.365979 136.729978) + (xy 160.368638 136.733048) + (xy 160.368639 136.733049) + (xy 160.491806 136.875237) + (xy 160.516763 136.904048) + (xy 160.693953 137.051154) + (xy 160.89279 137.167345) + (xy 161.107934 137.249501) + (xy 161.111909 137.25031) + (xy 161.11191 137.25031) + (xy 161.329624 137.294604) + (xy 161.329628 137.294604) + (xy 161.333607 137.295414) + (xy 161.337667 137.295563) + (xy 161.337668 137.295563) + (xy 161.379459 137.297095) + (xy 161.563749 137.303853) + (xy 161.567767 137.303338) + (xy 161.567773 137.303338) + (xy 161.788146 137.275108) + (xy 161.788152 137.275107) + (xy 161.792178 137.274591) + (xy 161.796071 137.273423) + (xy 161.796076 137.273422) + (xy 161.954836 137.225791) + (xy 162.012761 137.208413) + (xy 162.219574 137.107096) + (xy 162.407062 136.973363) + (xy 162.57019 136.810803) + (xy 162.704577 136.623783) + (xy 162.806615 136.417325) + (xy 162.819256 136.375721) + (xy 162.87238 136.200869) + (xy 162.87238 136.200868) + (xy 162.873563 136.196975) + (xy 162.903622 135.968649) + (xy 162.9053 135.9) + (xy 162.902477 135.865665) + (xy 168.095119 135.865665) + (xy 168.108376 136.09558) + (xy 168.115577 136.127533) + (xy 168.155942 136.306644) + (xy 168.159006 136.320242) + (xy 168.160535 136.324006) + (xy 168.160536 136.324011) + (xy 168.244118 136.529848) + (xy 168.245649 136.533618) + (xy 168.247773 136.537084) + (xy 168.247775 136.537088) + (xy 168.316662 136.649501) + (xy 168.365979 136.729978) + (xy 168.368638 136.733048) + (xy 168.368639 136.733049) + (xy 168.491806 136.875237) + (xy 168.516763 136.904048) + (xy 168.693953 137.051154) + (xy 168.89279 137.167345) + (xy 169.107934 137.249501) + (xy 169.111909 137.25031) + (xy 169.11191 137.25031) + (xy 169.329624 137.294604) + (xy 169.329628 137.294604) + (xy 169.333607 137.295414) + (xy 169.337667 137.295563) + (xy 169.337668 137.295563) + (xy 169.379459 137.297095) + (xy 169.563749 137.303853) + (xy 169.567767 137.303338) + (xy 169.567773 137.303338) + (xy 169.788146 137.275108) + (xy 169.788152 137.275107) + (xy 169.792178 137.274591) + (xy 169.796071 137.273423) + (xy 169.796076 137.273422) + (xy 169.821931 137.265665) + (xy 264.495119 137.265665) + (xy 264.508376 137.49558) + (xy 264.509268 137.499537) + (xy 264.541086 137.640723) + (xy 264.559006 137.720242) + (xy 264.560535 137.724006) + (xy 264.560536 137.724011) + (xy 264.632667 137.901648) + (xy 264.645649 137.933618) + (xy 264.647773 137.937084) + (xy 264.647775 137.937088) + (xy 264.689089 138.004506) + (xy 264.765979 138.129978) + (xy 264.768638 138.133048) + (xy 264.768639 138.133049) + (xy 264.891806 138.275237) + (xy 264.916763 138.304048) + (xy 265.093953 138.451154) + (xy 265.29279 138.567345) + (xy 265.507934 138.649501) + (xy 265.511909 138.65031) + (xy 265.51191 138.65031) + (xy 265.729624 138.694604) + (xy 265.729628 138.694604) + (xy 265.733607 138.695414) + (xy 265.737667 138.695563) + (xy 265.737668 138.695563) + (xy 265.779459 138.697095) + (xy 265.963749 138.703853) + (xy 265.967767 138.703338) + (xy 265.967773 138.703338) + (xy 266.188146 138.675108) + (xy 266.188152 138.675107) + (xy 266.192178 138.674591) + (xy 266.196071 138.673423) + (xy 266.196076 138.673422) + (xy 266.36153 138.623783) + (xy 266.412761 138.608413) + (xy 266.619574 138.507096) + (xy 266.807062 138.373363) + (xy 266.97019 138.210803) + (xy 267.104577 138.023783) + (xy 267.206615 137.817325) + (xy 267.236112 137.720242) + (xy 267.27238 137.600869) + (xy 267.27238 137.600868) + (xy 267.273563 137.596975) + (xy 267.303622 137.368649) + (xy 267.3053 137.3) + (xy 267.304923 137.295414) + (xy 267.302477 137.265665) + (xy 272.495119 137.265665) + (xy 272.508376 137.49558) + (xy 272.509268 137.499537) + (xy 272.541086 137.640723) + (xy 272.559006 137.720242) + (xy 272.560535 137.724006) + (xy 272.560536 137.724011) + (xy 272.632667 137.901648) + (xy 272.645649 137.933618) + (xy 272.647773 137.937084) + (xy 272.647775 137.937088) + (xy 272.689089 138.004506) + (xy 272.765979 138.129978) + (xy 272.768638 138.133048) + (xy 272.768639 138.133049) + (xy 272.891806 138.275237) + (xy 272.916763 138.304048) + (xy 273.093953 138.451154) + (xy 273.29279 138.567345) + (xy 273.507934 138.649501) + (xy 273.511909 138.65031) + (xy 273.51191 138.65031) + (xy 273.729624 138.694604) + (xy 273.729628 138.694604) + (xy 273.733607 138.695414) + (xy 273.737667 138.695563) + (xy 273.737668 138.695563) + (xy 273.779459 138.697095) + (xy 273.963749 138.703853) + (xy 273.967767 138.703338) + (xy 273.967773 138.703338) + (xy 274.188146 138.675108) + (xy 274.188152 138.675107) + (xy 274.192178 138.674591) + (xy 274.196071 138.673423) + (xy 274.196076 138.673422) + (xy 274.36153 138.623783) + (xy 274.412761 138.608413) + (xy 274.619574 138.507096) + (xy 274.807062 138.373363) + (xy 274.97019 138.210803) + (xy 275.104577 138.023783) + (xy 275.206615 137.817325) + (xy 275.236112 137.720242) + (xy 275.27238 137.600869) + (xy 275.27238 137.600868) + (xy 275.273563 137.596975) + (xy 275.303622 137.368649) + (xy 275.3053 137.3) + (xy 275.304923 137.295414) + (xy 275.286763 137.074525) + (xy 275.286762 137.074522) + (xy 275.28643 137.070478) + (xy 275.279419 137.042563) + (xy 275.244625 136.904048) + (xy 275.230326 136.84712) + (xy 275.155309 136.674591) + (xy 275.140118 136.639654) + (xy 275.140117 136.639652) + (xy 275.138496 136.635924) + (xy 275.013405 136.442563) + (xy 274.858412 136.272229) + (xy 274.855226 136.269713) + (xy 274.855223 136.26971) + (xy 274.680869 136.132013) + (xy 274.680863 136.132009) + (xy 274.677681 136.129496) + (xy 274.476065 136.018198) + (xy 274.472234 136.016841) + (xy 274.472231 136.01684) + (xy 274.262814 135.942681) + (xy 274.262809 135.94268) + (xy 274.258978 135.941323) + (xy 274.03225 135.900937) + (xy 274.028204 135.900888) + (xy 274.028198 135.900887) + (xy 273.901094 135.899334) + (xy 273.801971 135.898123) + (xy 273.574325 135.932958) + (xy 273.457116 135.971268) + (xy 273.359281 136.003245) + (xy 273.359277 136.003247) + (xy 273.355424 136.004506) + (xy 273.351824 136.00638) + (xy 273.154754 136.108968) + (xy 273.154751 136.10897) + (xy 273.151149 136.110845) + (xy 272.966984 136.249119) + (xy 272.807877 136.415616) + (xy 272.805585 136.418976) + (xy 272.680389 136.602505) + (xy 272.680386 136.602511) + (xy 272.678099 136.605863) + (xy 272.581136 136.814752) + (xy 272.556372 136.904048) + (xy 272.525432 137.015616) + (xy 272.519592 137.036673) + (xy 272.519159 137.040721) + (xy 272.519159 137.040723) + (xy 272.518321 137.048562) + (xy 272.495119 137.265665) + (xy 267.302477 137.265665) + (xy 267.286763 137.074525) + (xy 267.286762 137.074522) + (xy 267.28643 137.070478) + (xy 267.279419 137.042563) + (xy 267.244625 136.904048) + (xy 267.230326 136.84712) + (xy 267.155309 136.674591) + (xy 267.140118 136.639654) + (xy 267.140117 136.639652) + (xy 267.138496 136.635924) + (xy 267.013405 136.442563) + (xy 266.858412 136.272229) + (xy 266.855226 136.269713) + (xy 266.855223 136.26971) + (xy 266.680869 136.132013) + (xy 266.680863 136.132009) + (xy 266.677681 136.129496) + (xy 266.476065 136.018198) + (xy 266.472234 136.016841) + (xy 266.472231 136.01684) + (xy 266.262814 135.942681) + (xy 266.262809 135.94268) + (xy 266.258978 135.941323) + (xy 266.03225 135.900937) + (xy 266.028204 135.900888) + (xy 266.028198 135.900887) + (xy 265.901094 135.899334) + (xy 265.801971 135.898123) + (xy 265.574325 135.932958) + (xy 265.457116 135.971268) + (xy 265.359281 136.003245) + (xy 265.359277 136.003247) + (xy 265.355424 136.004506) + (xy 265.351824 136.00638) + (xy 265.154754 136.108968) + (xy 265.154751 136.10897) + (xy 265.151149 136.110845) + (xy 264.966984 136.249119) + (xy 264.807877 136.415616) + (xy 264.805585 136.418976) + (xy 264.680389 136.602505) + (xy 264.680386 136.602511) + (xy 264.678099 136.605863) + (xy 264.581136 136.814752) + (xy 264.556372 136.904048) + (xy 264.525432 137.015616) + (xy 264.519592 137.036673) + (xy 264.519159 137.040721) + (xy 264.519159 137.040723) + (xy 264.518321 137.048562) + (xy 264.495119 137.265665) + (xy 169.821931 137.265665) + (xy 169.954836 137.225791) + (xy 170.012761 137.208413) + (xy 170.219574 137.107096) + (xy 170.407062 136.973363) + (xy 170.57019 136.810803) + (xy 170.704577 136.623783) + (xy 170.806615 136.417325) + (xy 170.819256 136.375721) + (xy 170.87238 136.200869) + (xy 170.87238 136.200868) + (xy 170.873563 136.196975) + (xy 170.903622 135.968649) + (xy 170.9053 135.9) + (xy 170.898503 135.817325) + (xy 170.886763 135.674525) + (xy 170.886762 135.674522) + (xy 170.88643 135.670478) + (xy 170.868946 135.600869) + (xy 170.831317 135.451066) + (xy 170.830326 135.44712) + (xy 170.755309 135.274591) + (xy 170.751428 135.265665) + (xy 268.495119 135.265665) + (xy 268.508376 135.49558) + (xy 268.509268 135.499537) + (xy 268.541086 135.640723) + (xy 268.559006 135.720242) + (xy 268.560535 135.724006) + (xy 268.560536 135.724011) + (xy 268.632667 135.901648) + (xy 268.645649 135.933618) + (xy 268.647773 135.937084) + (xy 268.647775 135.937088) + (xy 268.689089 136.004506) + (xy 268.765979 136.129978) + (xy 268.768638 136.133048) + (xy 268.768639 136.133049) + (xy 268.891806 136.275237) + (xy 268.916763 136.304048) + (xy 269.093953 136.451154) + (xy 269.29279 136.567345) + (xy 269.507934 136.649501) + (xy 269.511909 136.65031) + (xy 269.51191 136.65031) + (xy 269.729624 136.694604) + (xy 269.729628 136.694604) + (xy 269.733607 136.695414) + (xy 269.737667 136.695563) + (xy 269.737668 136.695563) + (xy 269.779459 136.697095) + (xy 269.963749 136.703853) + (xy 269.967767 136.703338) + (xy 269.967773 136.703338) + (xy 270.188146 136.675108) + (xy 270.188152 136.675107) + (xy 270.192178 136.674591) + (xy 270.196071 136.673423) + (xy 270.196076 136.673422) + (xy 270.36153 136.623783) + (xy 270.412761 136.608413) + (xy 270.619574 136.507096) + (xy 270.807062 136.373363) + (xy 270.97019 136.210803) + (xy 271.104577 136.023783) + (xy 271.206615 135.817325) + (xy 271.236112 135.720242) + (xy 271.27238 135.600869) + (xy 271.27238 135.600868) + (xy 271.273563 135.596975) + (xy 271.303622 135.368649) + (xy 271.3053 135.3) + (xy 271.304923 135.295414) + (xy 271.286763 135.074525) + (xy 271.286762 135.074522) + (xy 271.28643 135.070478) + (xy 271.279419 135.042563) + (xy 271.244625 134.904048) + (xy 271.230326 134.84712) + (xy 271.155309 134.674591) + (xy 271.140118 134.639654) + (xy 271.140117 134.639652) + (xy 271.138496 134.635924) + (xy 271.013405 134.442563) + (xy 270.858412 134.272229) + (xy 270.855226 134.269713) + (xy 270.855223 134.26971) + (xy 270.680869 134.132013) + (xy 270.680863 134.132009) + (xy 270.677681 134.129496) + (xy 270.476065 134.018198) + (xy 270.472234 134.016841) + (xy 270.472231 134.01684) + (xy 270.262814 133.942681) + (xy 270.262809 133.94268) + (xy 270.258978 133.941323) + (xy 270.03225 133.900937) + (xy 270.028204 133.900888) + (xy 270.028198 133.900887) + (xy 269.901094 133.899334) + (xy 269.801971 133.898123) + (xy 269.574325 133.932958) + (xy 269.457116 133.971268) + (xy 269.359281 134.003245) + (xy 269.359277 134.003247) + (xy 269.355424 134.004506) + (xy 269.351824 134.00638) + (xy 269.154754 134.108968) + (xy 269.154751 134.10897) + (xy 269.151149 134.110845) + (xy 268.966984 134.249119) + (xy 268.807877 134.415616) + (xy 268.805585 134.418976) + (xy 268.680389 134.602505) + (xy 268.680386 134.602511) + (xy 268.678099 134.605863) + (xy 268.581136 134.814752) + (xy 268.556372 134.904048) + (xy 268.525432 135.015616) + (xy 268.519592 135.036673) + (xy 268.519159 135.040721) + (xy 268.519159 135.040723) + (xy 268.518321 135.048562) + (xy 268.495119 135.265665) + (xy 170.751428 135.265665) + (xy 170.740118 135.239654) + (xy 170.740117 135.239652) + (xy 170.738496 135.235924) + (xy 170.613405 135.042563) + (xy 170.608046 135.036673) + (xy 170.461149 134.875237) + (xy 170.458412 134.872229) + (xy 170.455226 134.869713) + (xy 170.455223 134.86971) + (xy 170.280869 134.732013) + (xy 170.280863 134.732009) + (xy 170.277681 134.729496) + (xy 170.230296 134.703338) + (xy 170.079623 134.620162) + (xy 170.079622 134.620161) + (xy 170.076065 134.618198) + (xy 170.072234 134.616841) + (xy 170.072231 134.61684) + (xy 169.862814 134.542681) + (xy 169.862809 134.54268) + (xy 169.858978 134.541323) + (xy 169.63225 134.500937) + (xy 169.628204 134.500888) + (xy 169.628198 134.500887) + (xy 169.501094 134.499334) + (xy 169.401971 134.498123) + (xy 169.174325 134.532958) + (xy 169.075384 134.565297) + (xy 168.959281 134.603245) + (xy 168.959277 134.603247) + (xy 168.955424 134.604506) + (xy 168.951824 134.60638) + (xy 168.754754 134.708968) + (xy 168.754751 134.70897) + (xy 168.751149 134.710845) + (xy 168.566984 134.849119) + (xy 168.407877 135.015616) + (xy 168.405585 135.018976) + (xy 168.280389 135.202505) + (xy 168.280386 135.202511) + (xy 168.278099 135.205863) + (xy 168.181136 135.414752) + (xy 168.119592 135.636673) + (xy 168.095119 135.865665) + (xy 162.902477 135.865665) + (xy 162.898503 135.817325) + (xy 162.886763 135.674525) + (xy 162.886762 135.674522) + (xy 162.88643 135.670478) + (xy 162.868946 135.600869) + (xy 162.831317 135.451066) + (xy 162.830326 135.44712) + (xy 162.755309 135.274591) + (xy 162.740118 135.239654) + (xy 162.740117 135.239652) + (xy 162.738496 135.235924) + (xy 162.613405 135.042563) + (xy 162.608046 135.036673) + (xy 162.461149 134.875237) + (xy 162.458412 134.872229) + (xy 162.455226 134.869713) + (xy 162.455223 134.86971) + (xy 162.280869 134.732013) + (xy 162.280863 134.732009) + (xy 162.277681 134.729496) + (xy 162.230296 134.703338) + (xy 162.079623 134.620162) + (xy 162.079622 134.620161) + (xy 162.076065 134.618198) + (xy 162.072234 134.616841) + (xy 162.072231 134.61684) + (xy 161.862814 134.542681) + (xy 161.862809 134.54268) + (xy 161.858978 134.541323) + (xy 161.63225 134.500937) + (xy 161.628204 134.500888) + (xy 161.628198 134.500887) + (xy 161.501094 134.499334) + (xy 161.401971 134.498123) + (xy 161.174325 134.532958) + (xy 161.075384 134.565297) + (xy 160.959281 134.603245) + (xy 160.959277 134.603247) + (xy 160.955424 134.604506) + (xy 160.951824 134.60638) + (xy 160.754754 134.708968) + (xy 160.754751 134.70897) + (xy 160.751149 134.710845) + (xy 160.566984 134.849119) + (xy 160.407877 135.015616) + (xy 160.405585 135.018976) + (xy 160.280389 135.202505) + (xy 160.280386 135.202511) + (xy 160.278099 135.205863) + (xy 160.181136 135.414752) + (xy 160.119592 135.636673) + (xy 160.095119 135.865665) + (xy 136.661248 135.865665) + (xy 136.661248 133.865665) + (xy 164.095119 133.865665) + (xy 164.108376 134.09558) + (xy 164.115577 134.127533) + (xy 164.155942 134.306644) + (xy 164.159006 134.320242) + (xy 164.160535 134.324006) + (xy 164.160536 134.324011) + (xy 164.244118 134.529848) + (xy 164.245649 134.533618) + (xy 164.247773 134.537084) + (xy 164.247775 134.537088) + (xy 164.316662 134.649501) + (xy 164.365979 134.729978) + (xy 164.368638 134.733048) + (xy 164.368639 134.733049) + (xy 164.491806 134.875237) + (xy 164.516763 134.904048) + (xy 164.693953 135.051154) + (xy 164.89279 135.167345) + (xy 165.107934 135.249501) + (xy 165.111909 135.25031) + (xy 165.11191 135.25031) + (xy 165.329624 135.294604) + (xy 165.329628 135.294604) + (xy 165.333607 135.295414) + (xy 165.337667 135.295563) + (xy 165.337668 135.295563) + (xy 165.379459 135.297095) + (xy 165.563749 135.303853) + (xy 165.567767 135.303338) + (xy 165.567773 135.303338) + (xy 165.788146 135.275108) + (xy 165.788152 135.275107) + (xy 165.792178 135.274591) + (xy 165.796071 135.273423) + (xy 165.796076 135.273422) + (xy 165.954836 135.225791) + (xy 166.012761 135.208413) + (xy 166.219574 135.107096) + (xy 166.407062 134.973363) + (xy 166.57019 134.810803) + (xy 166.704577 134.623783) + (xy 166.806615 134.417325) + (xy 166.819256 134.375721) + (xy 166.87238 134.200869) + (xy 166.87238 134.200868) + (xy 166.873563 134.196975) + (xy 166.903622 133.968649) + (xy 166.9053 133.9) + (xy 166.898503 133.817325) + (xy 166.886763 133.674525) + (xy 166.886762 133.674522) + (xy 166.88643 133.670478) + (xy 166.868946 133.600869) + (xy 166.831317 133.451066) + (xy 166.830326 133.44712) + (xy 166.755309 133.274591) + (xy 166.740118 133.239654) + (xy 166.740117 133.239652) + (xy 166.738496 133.235924) + (xy 166.613405 133.042563) + (xy 166.608046 133.036673) + (xy 166.461149 132.875237) + (xy 166.458412 132.872229) + (xy 166.455226 132.869713) + (xy 166.455223 132.86971) + (xy 166.280869 132.732013) + (xy 166.280863 132.732009) + (xy 166.277681 132.729496) + (xy 166.230296 132.703338) + (xy 166.079623 132.620162) + (xy 166.079622 132.620161) + (xy 166.076065 132.618198) + (xy 166.072234 132.616841) + (xy 166.072231 132.61684) + (xy 165.862814 132.542681) + (xy 165.862809 132.54268) + (xy 165.858978 132.541323) + (xy 165.63225 132.500937) + (xy 165.628204 132.500888) + (xy 165.628198 132.500887) + (xy 165.501094 132.499334) + (xy 165.401971 132.498123) + (xy 165.174325 132.532958) + (xy 165.075384 132.565297) + (xy 164.959281 132.603245) + (xy 164.959277 132.603247) + (xy 164.955424 132.604506) + (xy 164.951824 132.60638) + (xy 164.754754 132.708968) + (xy 164.754751 132.70897) + (xy 164.751149 132.710845) + (xy 164.566984 132.849119) + (xy 164.407877 133.015616) + (xy 164.405585 133.018976) + (xy 164.280389 133.202505) + (xy 164.280386 133.202511) + (xy 164.278099 133.205863) + (xy 164.181136 133.414752) + (xy 164.119592 133.636673) + (xy 164.095119 133.865665) + (xy 136.661248 133.865665) + (xy 136.661248 131.865665) + (xy 160.095119 131.865665) + (xy 160.108376 132.09558) + (xy 160.115577 132.127533) + (xy 160.155942 132.306644) + (xy 160.159006 132.320242) + (xy 160.160535 132.324006) + (xy 160.160536 132.324011) + (xy 160.244118 132.529848) + (xy 160.245649 132.533618) + (xy 160.247773 132.537084) + (xy 160.247775 132.537088) + (xy 160.316662 132.649501) + (xy 160.365979 132.729978) + (xy 160.368638 132.733048) + (xy 160.368639 132.733049) + (xy 160.491806 132.875237) + (xy 160.516763 132.904048) + (xy 160.693953 133.051154) + (xy 160.89279 133.167345) + (xy 161.107934 133.249501) + (xy 161.111909 133.25031) + (xy 161.11191 133.25031) + (xy 161.329624 133.294604) + (xy 161.329628 133.294604) + (xy 161.333607 133.295414) + (xy 161.337667 133.295563) + (xy 161.337668 133.295563) + (xy 161.379459 133.297095) + (xy 161.563749 133.303853) + (xy 161.567767 133.303338) + (xy 161.567773 133.303338) + (xy 161.788146 133.275108) + (xy 161.788152 133.275107) + (xy 161.792178 133.274591) + (xy 161.796071 133.273423) + (xy 161.796076 133.273422) + (xy 161.954836 133.225791) + (xy 162.012761 133.208413) + (xy 162.219574 133.107096) + (xy 162.407062 132.973363) + (xy 162.57019 132.810803) + (xy 162.704577 132.623783) + (xy 162.806615 132.417325) + (xy 162.819256 132.375721) + (xy 162.87238 132.200869) + (xy 162.87238 132.200868) + (xy 162.873563 132.196975) + (xy 162.903622 131.968649) + (xy 162.9053 131.9) + (xy 162.902477 131.865665) + (xy 168.095119 131.865665) + (xy 168.108376 132.09558) + (xy 168.115577 132.127533) + (xy 168.155942 132.306644) + (xy 168.159006 132.320242) + (xy 168.160535 132.324006) + (xy 168.160536 132.324011) + (xy 168.244118 132.529848) + (xy 168.245649 132.533618) + (xy 168.247773 132.537084) + (xy 168.247775 132.537088) + (xy 168.316662 132.649501) + (xy 168.365979 132.729978) + (xy 168.368638 132.733048) + (xy 168.368639 132.733049) + (xy 168.491806 132.875237) + (xy 168.516763 132.904048) + (xy 168.693953 133.051154) + (xy 168.89279 133.167345) + (xy 169.107934 133.249501) + (xy 169.111909 133.25031) + (xy 169.11191 133.25031) + (xy 169.329624 133.294604) + (xy 169.329628 133.294604) + (xy 169.333607 133.295414) + (xy 169.337667 133.295563) + (xy 169.337668 133.295563) + (xy 169.379459 133.297095) + (xy 169.563749 133.303853) + (xy 169.567767 133.303338) + (xy 169.567773 133.303338) + (xy 169.788146 133.275108) + (xy 169.788152 133.275107) + (xy 169.792178 133.274591) + (xy 169.796071 133.273423) + (xy 169.796076 133.273422) + (xy 169.821931 133.265665) + (xy 264.495119 133.265665) + (xy 264.508376 133.49558) + (xy 264.509268 133.499537) + (xy 264.541086 133.640723) + (xy 264.559006 133.720242) + (xy 264.560535 133.724006) + (xy 264.560536 133.724011) + (xy 264.632667 133.901648) + (xy 264.645649 133.933618) + (xy 264.647773 133.937084) + (xy 264.647775 133.937088) + (xy 264.689089 134.004506) + (xy 264.765979 134.129978) + (xy 264.768638 134.133048) + (xy 264.768639 134.133049) + (xy 264.891806 134.275237) + (xy 264.916763 134.304048) + (xy 265.093953 134.451154) + (xy 265.29279 134.567345) + (xy 265.507934 134.649501) + (xy 265.511909 134.65031) + (xy 265.51191 134.65031) + (xy 265.729624 134.694604) + (xy 265.729628 134.694604) + (xy 265.733607 134.695414) + (xy 265.737667 134.695563) + (xy 265.737668 134.695563) + (xy 265.779459 134.697095) + (xy 265.963749 134.703853) + (xy 265.967767 134.703338) + (xy 265.967773 134.703338) + (xy 266.188146 134.675108) + (xy 266.188152 134.675107) + (xy 266.192178 134.674591) + (xy 266.196071 134.673423) + (xy 266.196076 134.673422) + (xy 266.36153 134.623783) + (xy 266.412761 134.608413) + (xy 266.619574 134.507096) + (xy 266.807062 134.373363) + (xy 266.97019 134.210803) + (xy 267.104577 134.023783) + (xy 267.206615 133.817325) + (xy 267.236112 133.720242) + (xy 267.27238 133.600869) + (xy 267.27238 133.600868) + (xy 267.273563 133.596975) + (xy 267.303622 133.368649) + (xy 267.3053 133.3) + (xy 267.304923 133.295414) + (xy 267.302477 133.265665) + (xy 272.495119 133.265665) + (xy 272.508376 133.49558) + (xy 272.509268 133.499537) + (xy 272.541086 133.640723) + (xy 272.559006 133.720242) + (xy 272.560535 133.724006) + (xy 272.560536 133.724011) + (xy 272.632667 133.901648) + (xy 272.645649 133.933618) + (xy 272.647773 133.937084) + (xy 272.647775 133.937088) + (xy 272.689089 134.004506) + (xy 272.765979 134.129978) + (xy 272.768638 134.133048) + (xy 272.768639 134.133049) + (xy 272.891806 134.275237) + (xy 272.916763 134.304048) + (xy 273.093953 134.451154) + (xy 273.29279 134.567345) + (xy 273.507934 134.649501) + (xy 273.511909 134.65031) + (xy 273.51191 134.65031) + (xy 273.729624 134.694604) + (xy 273.729628 134.694604) + (xy 273.733607 134.695414) + (xy 273.737667 134.695563) + (xy 273.737668 134.695563) + (xy 273.779459 134.697095) + (xy 273.963749 134.703853) + (xy 273.967767 134.703338) + (xy 273.967773 134.703338) + (xy 274.188146 134.675108) + (xy 274.188152 134.675107) + (xy 274.192178 134.674591) + (xy 274.196071 134.673423) + (xy 274.196076 134.673422) + (xy 274.36153 134.623783) + (xy 274.412761 134.608413) + (xy 274.619574 134.507096) + (xy 274.807062 134.373363) + (xy 274.97019 134.210803) + (xy 275.104577 134.023783) + (xy 275.206615 133.817325) + (xy 275.236112 133.720242) + (xy 275.27238 133.600869) + (xy 275.27238 133.600868) + (xy 275.273563 133.596975) + (xy 275.303622 133.368649) + (xy 275.3053 133.3) + (xy 275.304923 133.295414) + (xy 275.286763 133.074525) + (xy 275.286762 133.074522) + (xy 275.28643 133.070478) + (xy 275.279419 133.042563) + (xy 275.244625 132.904048) + (xy 275.230326 132.84712) + (xy 275.155309 132.674591) + (xy 275.140118 132.639654) + (xy 275.140117 132.639652) + (xy 275.138496 132.635924) + (xy 275.013405 132.442563) + (xy 274.858412 132.272229) + (xy 274.855226 132.269713) + (xy 274.855223 132.26971) + (xy 274.680869 132.132013) + (xy 274.680863 132.132009) + (xy 274.677681 132.129496) + (xy 274.476065 132.018198) + (xy 274.472234 132.016841) + (xy 274.472231 132.01684) + (xy 274.262814 131.942681) + (xy 274.262809 131.94268) + (xy 274.258978 131.941323) + (xy 274.03225 131.900937) + (xy 274.028204 131.900888) + (xy 274.028198 131.900887) + (xy 273.901094 131.899334) + (xy 273.801971 131.898123) + (xy 273.574325 131.932958) + (xy 273.457116 131.971268) + (xy 273.359281 132.003245) + (xy 273.359277 132.003247) + (xy 273.355424 132.004506) + (xy 273.351824 132.00638) + (xy 273.154754 132.108968) + (xy 273.154751 132.10897) + (xy 273.151149 132.110845) + (xy 272.966984 132.249119) + (xy 272.807877 132.415616) + (xy 272.805585 132.418976) + (xy 272.680389 132.602505) + (xy 272.680386 132.602511) + (xy 272.678099 132.605863) + (xy 272.581136 132.814752) + (xy 272.556372 132.904048) + (xy 272.525432 133.015616) + (xy 272.519592 133.036673) + (xy 272.519159 133.040721) + (xy 272.519159 133.040723) + (xy 272.518321 133.048562) + (xy 272.495119 133.265665) + (xy 267.302477 133.265665) + (xy 267.286763 133.074525) + (xy 267.286762 133.074522) + (xy 267.28643 133.070478) + (xy 267.279419 133.042563) + (xy 267.244625 132.904048) + (xy 267.230326 132.84712) + (xy 267.155309 132.674591) + (xy 267.140118 132.639654) + (xy 267.140117 132.639652) + (xy 267.138496 132.635924) + (xy 267.013405 132.442563) + (xy 266.858412 132.272229) + (xy 266.855226 132.269713) + (xy 266.855223 132.26971) + (xy 266.680869 132.132013) + (xy 266.680863 132.132009) + (xy 266.677681 132.129496) + (xy 266.476065 132.018198) + (xy 266.472234 132.016841) + (xy 266.472231 132.01684) + (xy 266.262814 131.942681) + (xy 266.262809 131.94268) + (xy 266.258978 131.941323) + (xy 266.03225 131.900937) + (xy 266.028204 131.900888) + (xy 266.028198 131.900887) + (xy 265.901094 131.899334) + (xy 265.801971 131.898123) + (xy 265.574325 131.932958) + (xy 265.457116 131.971268) + (xy 265.359281 132.003245) + (xy 265.359277 132.003247) + (xy 265.355424 132.004506) + (xy 265.351824 132.00638) + (xy 265.154754 132.108968) + (xy 265.154751 132.10897) + (xy 265.151149 132.110845) + (xy 264.966984 132.249119) + (xy 264.807877 132.415616) + (xy 264.805585 132.418976) + (xy 264.680389 132.602505) + (xy 264.680386 132.602511) + (xy 264.678099 132.605863) + (xy 264.581136 132.814752) + (xy 264.556372 132.904048) + (xy 264.525432 133.015616) + (xy 264.519592 133.036673) + (xy 264.519159 133.040721) + (xy 264.519159 133.040723) + (xy 264.518321 133.048562) + (xy 264.495119 133.265665) + (xy 169.821931 133.265665) + (xy 169.954836 133.225791) + (xy 170.012761 133.208413) + (xy 170.219574 133.107096) + (xy 170.407062 132.973363) + (xy 170.57019 132.810803) + (xy 170.704577 132.623783) + (xy 170.806615 132.417325) + (xy 170.819256 132.375721) + (xy 170.87238 132.200869) + (xy 170.87238 132.200868) + (xy 170.873563 132.196975) + (xy 170.903622 131.968649) + (xy 170.9053 131.9) + (xy 170.898503 131.817325) + (xy 170.886763 131.674525) + (xy 170.886762 131.674522) + (xy 170.88643 131.670478) + (xy 170.868946 131.600869) + (xy 170.831317 131.451066) + (xy 170.830326 131.44712) + (xy 170.755309 131.274591) + (xy 170.751428 131.265665) + (xy 268.495119 131.265665) + (xy 268.508376 131.49558) + (xy 268.509268 131.499537) + (xy 268.541086 131.640723) + (xy 268.559006 131.720242) + (xy 268.560535 131.724006) + (xy 268.560536 131.724011) + (xy 268.632667 131.901648) + (xy 268.645649 131.933618) + (xy 268.647773 131.937084) + (xy 268.647775 131.937088) + (xy 268.689089 132.004506) + (xy 268.765979 132.129978) + (xy 268.768638 132.133048) + (xy 268.768639 132.133049) + (xy 268.891806 132.275237) + (xy 268.916763 132.304048) + (xy 269.093953 132.451154) + (xy 269.29279 132.567345) + (xy 269.507934 132.649501) + (xy 269.511909 132.65031) + (xy 269.51191 132.65031) + (xy 269.729624 132.694604) + (xy 269.729628 132.694604) + (xy 269.733607 132.695414) + (xy 269.737667 132.695563) + (xy 269.737668 132.695563) + (xy 269.779459 132.697095) + (xy 269.963749 132.703853) + (xy 269.967767 132.703338) + (xy 269.967773 132.703338) + (xy 270.188146 132.675108) + (xy 270.188152 132.675107) + (xy 270.192178 132.674591) + (xy 270.196071 132.673423) + (xy 270.196076 132.673422) + (xy 270.36153 132.623783) + (xy 270.412761 132.608413) + (xy 270.619574 132.507096) + (xy 270.807062 132.373363) + (xy 270.97019 132.210803) + (xy 271.104577 132.023783) + (xy 271.206615 131.817325) + (xy 271.236112 131.720242) + (xy 271.27238 131.600869) + (xy 271.27238 131.600868) + (xy 271.273563 131.596975) + (xy 271.303622 131.368649) + (xy 271.3053 131.3) + (xy 271.304923 131.295414) + (xy 271.286763 131.074525) + (xy 271.286762 131.074522) + (xy 271.28643 131.070478) + (xy 271.279419 131.042563) + (xy 271.244625 130.904048) + (xy 271.230326 130.84712) + (xy 271.155309 130.674591) + (xy 271.140118 130.639654) + (xy 271.140117 130.639652) + (xy 271.138496 130.635924) + (xy 271.013405 130.442563) + (xy 270.858412 130.272229) + (xy 270.855226 130.269713) + (xy 270.855223 130.26971) + (xy 270.680869 130.132013) + (xy 270.680863 130.132009) + (xy 270.677681 130.129496) + (xy 270.476065 130.018198) + (xy 270.472234 130.016841) + (xy 270.472231 130.01684) + (xy 270.262814 129.942681) + (xy 270.262809 129.94268) + (xy 270.258978 129.941323) + (xy 270.03225 129.900937) + (xy 270.028204 129.900888) + (xy 270.028198 129.900887) + (xy 269.901094 129.899334) + (xy 269.801971 129.898123) + (xy 269.574325 129.932958) + (xy 269.457116 129.971268) + (xy 269.359281 130.003245) + (xy 269.359277 130.003247) + (xy 269.355424 130.004506) + (xy 269.351824 130.00638) + (xy 269.154754 130.108968) + (xy 269.154751 130.10897) + (xy 269.151149 130.110845) + (xy 268.966984 130.249119) + (xy 268.807877 130.415616) + (xy 268.805585 130.418976) + (xy 268.680389 130.602505) + (xy 268.680386 130.602511) + (xy 268.678099 130.605863) + (xy 268.581136 130.814752) + (xy 268.556372 130.904048) + (xy 268.525432 131.015616) + (xy 268.519592 131.036673) + (xy 268.519159 131.040721) + (xy 268.519159 131.040723) + (xy 268.518321 131.048562) + (xy 268.495119 131.265665) + (xy 170.751428 131.265665) + (xy 170.740118 131.239654) + (xy 170.740117 131.239652) + (xy 170.738496 131.235924) + (xy 170.613405 131.042563) + (xy 170.608046 131.036673) + (xy 170.461149 130.875237) + (xy 170.458412 130.872229) + (xy 170.455226 130.869713) + (xy 170.455223 130.86971) + (xy 170.280869 130.732013) + (xy 170.280863 130.732009) + (xy 170.277681 130.729496) + (xy 170.230296 130.703338) + (xy 170.079623 130.620162) + (xy 170.079622 130.620161) + (xy 170.076065 130.618198) + (xy 170.072234 130.616841) + (xy 170.072231 130.61684) + (xy 169.862814 130.542681) + (xy 169.862809 130.54268) + (xy 169.858978 130.541323) + (xy 169.63225 130.500937) + (xy 169.628204 130.500888) + (xy 169.628198 130.500887) + (xy 169.501094 130.499334) + (xy 169.401971 130.498123) + (xy 169.174325 130.532958) + (xy 169.075384 130.565297) + (xy 168.959281 130.603245) + (xy 168.959277 130.603247) + (xy 168.955424 130.604506) + (xy 168.951824 130.60638) + (xy 168.754754 130.708968) + (xy 168.754751 130.70897) + (xy 168.751149 130.710845) + (xy 168.566984 130.849119) + (xy 168.407877 131.015616) + (xy 168.405585 131.018976) + (xy 168.280389 131.202505) + (xy 168.280386 131.202511) + (xy 168.278099 131.205863) + (xy 168.181136 131.414752) + (xy 168.119592 131.636673) + (xy 168.095119 131.865665) + (xy 162.902477 131.865665) + (xy 162.898503 131.817325) + (xy 162.886763 131.674525) + (xy 162.886762 131.674522) + (xy 162.88643 131.670478) + (xy 162.868946 131.600869) + (xy 162.831317 131.451066) + (xy 162.830326 131.44712) + (xy 162.755309 131.274591) + (xy 162.740118 131.239654) + (xy 162.740117 131.239652) + (xy 162.738496 131.235924) + (xy 162.613405 131.042563) + (xy 162.608046 131.036673) + (xy 162.461149 130.875237) + (xy 162.458412 130.872229) + (xy 162.455226 130.869713) + (xy 162.455223 130.86971) + (xy 162.280869 130.732013) + (xy 162.280863 130.732009) + (xy 162.277681 130.729496) + (xy 162.230296 130.703338) + (xy 162.079623 130.620162) + (xy 162.079622 130.620161) + (xy 162.076065 130.618198) + (xy 162.072234 130.616841) + (xy 162.072231 130.61684) + (xy 161.862814 130.542681) + (xy 161.862809 130.54268) + (xy 161.858978 130.541323) + (xy 161.63225 130.500937) + (xy 161.628204 130.500888) + (xy 161.628198 130.500887) + (xy 161.501094 130.499334) + (xy 161.401971 130.498123) + (xy 161.174325 130.532958) + (xy 161.075384 130.565297) + (xy 160.959281 130.603245) + (xy 160.959277 130.603247) + (xy 160.955424 130.604506) + (xy 160.951824 130.60638) + (xy 160.754754 130.708968) + (xy 160.754751 130.70897) + (xy 160.751149 130.710845) + (xy 160.566984 130.849119) + (xy 160.407877 131.015616) + (xy 160.405585 131.018976) + (xy 160.280389 131.202505) + (xy 160.280386 131.202511) + (xy 160.278099 131.205863) + (xy 160.181136 131.414752) + (xy 160.119592 131.636673) + (xy 160.095119 131.865665) + (xy 136.661248 131.865665) + (xy 136.661248 129.865665) + (xy 164.095119 129.865665) + (xy 164.108376 130.09558) + (xy 164.115577 130.127533) + (xy 164.155942 130.306644) + (xy 164.159006 130.320242) + (xy 164.160535 130.324006) + (xy 164.160536 130.324011) + (xy 164.244118 130.529848) + (xy 164.245649 130.533618) + (xy 164.247773 130.537084) + (xy 164.247775 130.537088) + (xy 164.316662 130.649501) + (xy 164.365979 130.729978) + (xy 164.368638 130.733048) + (xy 164.368639 130.733049) + (xy 164.491806 130.875237) + (xy 164.516763 130.904048) + (xy 164.693953 131.051154) + (xy 164.89279 131.167345) + (xy 165.107934 131.249501) + (xy 165.111909 131.25031) + (xy 165.11191 131.25031) + (xy 165.329624 131.294604) + (xy 165.329628 131.294604) + (xy 165.333607 131.295414) + (xy 165.337667 131.295563) + (xy 165.337668 131.295563) + (xy 165.379459 131.297095) + (xy 165.563749 131.303853) + (xy 165.567767 131.303338) + (xy 165.567773 131.303338) + (xy 165.788146 131.275108) + (xy 165.788152 131.275107) + (xy 165.792178 131.274591) + (xy 165.796071 131.273423) + (xy 165.796076 131.273422) + (xy 165.954836 131.225791) + (xy 166.012761 131.208413) + (xy 166.219574 131.107096) + (xy 166.407062 130.973363) + (xy 166.57019 130.810803) + (xy 166.704577 130.623783) + (xy 166.806615 130.417325) + (xy 166.819256 130.375721) + (xy 166.87238 130.200869) + (xy 166.87238 130.200868) + (xy 166.873563 130.196975) + (xy 166.903622 129.968649) + (xy 166.9053 129.9) + (xy 166.898503 129.817325) + (xy 166.886763 129.674525) + (xy 166.886762 129.674522) + (xy 166.88643 129.670478) + (xy 166.868946 129.600869) + (xy 166.831317 129.451066) + (xy 166.830326 129.44712) + (xy 166.755309 129.274591) + (xy 166.740118 129.239654) + (xy 166.740117 129.239652) + (xy 166.738496 129.235924) + (xy 166.613405 129.042563) + (xy 166.608046 129.036673) + (xy 166.461149 128.875237) + (xy 166.458412 128.872229) + (xy 166.455226 128.869713) + (xy 166.455223 128.86971) + (xy 166.280869 128.732013) + (xy 166.280863 128.732009) + (xy 166.277681 128.729496) + (xy 166.230296 128.703338) + (xy 166.079623 128.620162) + (xy 166.079622 128.620161) + (xy 166.076065 128.618198) + (xy 166.072234 128.616841) + (xy 166.072231 128.61684) + (xy 165.862814 128.542681) + (xy 165.862809 128.54268) + (xy 165.858978 128.541323) + (xy 165.63225 128.500937) + (xy 165.628204 128.500888) + (xy 165.628198 128.500887) + (xy 165.501094 128.499334) + (xy 165.401971 128.498123) + (xy 165.174325 128.532958) + (xy 165.075384 128.565297) + (xy 164.959281 128.603245) + (xy 164.959277 128.603247) + (xy 164.955424 128.604506) + (xy 164.951824 128.60638) + (xy 164.754754 128.708968) + (xy 164.754751 128.70897) + (xy 164.751149 128.710845) + (xy 164.566984 128.849119) + (xy 164.407877 129.015616) + (xy 164.405585 129.018976) + (xy 164.280389 129.202505) + (xy 164.280386 129.202511) + (xy 164.278099 129.205863) + (xy 164.181136 129.414752) + (xy 164.119592 129.636673) + (xy 164.095119 129.865665) + (xy 136.661248 129.865665) + (xy 136.661248 127.865665) + (xy 160.095119 127.865665) + (xy 160.108376 128.09558) + (xy 160.115577 128.127533) + (xy 160.155942 128.306644) + (xy 160.159006 128.320242) + (xy 160.160535 128.324006) + (xy 160.160536 128.324011) + (xy 160.244118 128.529848) + (xy 160.245649 128.533618) + (xy 160.247773 128.537084) + (xy 160.247775 128.537088) + (xy 160.316662 128.649501) + (xy 160.365979 128.729978) + (xy 160.368638 128.733048) + (xy 160.368639 128.733049) + (xy 160.491806 128.875237) + (xy 160.516763 128.904048) + (xy 160.693953 129.051154) + (xy 160.89279 129.167345) + (xy 161.107934 129.249501) + (xy 161.111909 129.25031) + (xy 161.11191 129.25031) + (xy 161.329624 129.294604) + (xy 161.329628 129.294604) + (xy 161.333607 129.295414) + (xy 161.337667 129.295563) + (xy 161.337668 129.295563) + (xy 161.379459 129.297095) + (xy 161.563749 129.303853) + (xy 161.567767 129.303338) + (xy 161.567773 129.303338) + (xy 161.788146 129.275108) + (xy 161.788152 129.275107) + (xy 161.792178 129.274591) + (xy 161.796071 129.273423) + (xy 161.796076 129.273422) + (xy 161.954836 129.225791) + (xy 162.012761 129.208413) + (xy 162.219574 129.107096) + (xy 162.407062 128.973363) + (xy 162.57019 128.810803) + (xy 162.704577 128.623783) + (xy 162.806615 128.417325) + (xy 162.819256 128.375721) + (xy 162.87238 128.200869) + (xy 162.87238 128.200868) + (xy 162.873563 128.196975) + (xy 162.903622 127.968649) + (xy 162.9053 127.9) + (xy 162.902477 127.865665) + (xy 168.095119 127.865665) + (xy 168.108376 128.09558) + (xy 168.115577 128.127533) + (xy 168.155942 128.306644) + (xy 168.159006 128.320242) + (xy 168.160535 128.324006) + (xy 168.160536 128.324011) + (xy 168.244118 128.529848) + (xy 168.245649 128.533618) + (xy 168.247773 128.537084) + (xy 168.247775 128.537088) + (xy 168.316662 128.649501) + (xy 168.365979 128.729978) + (xy 168.368638 128.733048) + (xy 168.368639 128.733049) + (xy 168.491806 128.875237) + (xy 168.516763 128.904048) + (xy 168.693953 129.051154) + (xy 168.89279 129.167345) + (xy 169.107934 129.249501) + (xy 169.111909 129.25031) + (xy 169.11191 129.25031) + (xy 169.329624 129.294604) + (xy 169.329628 129.294604) + (xy 169.333607 129.295414) + (xy 169.337667 129.295563) + (xy 169.337668 129.295563) + (xy 169.379459 129.297095) + (xy 169.563749 129.303853) + (xy 169.567767 129.303338) + (xy 169.567773 129.303338) + (xy 169.788146 129.275108) + (xy 169.788152 129.275107) + (xy 169.792178 129.274591) + (xy 169.796071 129.273423) + (xy 169.796076 129.273422) + (xy 169.821931 129.265665) + (xy 264.495119 129.265665) + (xy 264.508376 129.49558) + (xy 264.509268 129.499537) + (xy 264.541086 129.640723) + (xy 264.559006 129.720242) + (xy 264.560535 129.724006) + (xy 264.560536 129.724011) + (xy 264.632667 129.901648) + (xy 264.645649 129.933618) + (xy 264.647773 129.937084) + (xy 264.647775 129.937088) + (xy 264.689089 130.004506) + (xy 264.765979 130.129978) + (xy 264.768638 130.133048) + (xy 264.768639 130.133049) + (xy 264.891806 130.275237) + (xy 264.916763 130.304048) + (xy 265.093953 130.451154) + (xy 265.29279 130.567345) + (xy 265.507934 130.649501) + (xy 265.511909 130.65031) + (xy 265.51191 130.65031) + (xy 265.729624 130.694604) + (xy 265.729628 130.694604) + (xy 265.733607 130.695414) + (xy 265.737667 130.695563) + (xy 265.737668 130.695563) + (xy 265.779459 130.697095) + (xy 265.963749 130.703853) + (xy 265.967767 130.703338) + (xy 265.967773 130.703338) + (xy 266.188146 130.675108) + (xy 266.188152 130.675107) + (xy 266.192178 130.674591) + (xy 266.196071 130.673423) + (xy 266.196076 130.673422) + (xy 266.36153 130.623783) + (xy 266.412761 130.608413) + (xy 266.619574 130.507096) + (xy 266.807062 130.373363) + (xy 266.97019 130.210803) + (xy 267.104577 130.023783) + (xy 267.206615 129.817325) + (xy 267.236112 129.720242) + (xy 267.27238 129.600869) + (xy 267.27238 129.600868) + (xy 267.273563 129.596975) + (xy 267.303622 129.368649) + (xy 267.3053 129.3) + (xy 267.304923 129.295414) + (xy 267.302477 129.265665) + (xy 272.495119 129.265665) + (xy 272.508376 129.49558) + (xy 272.509268 129.499537) + (xy 272.541086 129.640723) + (xy 272.559006 129.720242) + (xy 272.560535 129.724006) + (xy 272.560536 129.724011) + (xy 272.632667 129.901648) + (xy 272.645649 129.933618) + (xy 272.647773 129.937084) + (xy 272.647775 129.937088) + (xy 272.689089 130.004506) + (xy 272.765979 130.129978) + (xy 272.768638 130.133048) + (xy 272.768639 130.133049) + (xy 272.891806 130.275237) + (xy 272.916763 130.304048) + (xy 273.093953 130.451154) + (xy 273.29279 130.567345) + (xy 273.507934 130.649501) + (xy 273.511909 130.65031) + (xy 273.51191 130.65031) + (xy 273.729624 130.694604) + (xy 273.729628 130.694604) + (xy 273.733607 130.695414) + (xy 273.737667 130.695563) + (xy 273.737668 130.695563) + (xy 273.779459 130.697095) + (xy 273.963749 130.703853) + (xy 273.967767 130.703338) + (xy 273.967773 130.703338) + (xy 274.188146 130.675108) + (xy 274.188152 130.675107) + (xy 274.192178 130.674591) + (xy 274.196071 130.673423) + (xy 274.196076 130.673422) + (xy 274.36153 130.623783) + (xy 274.412761 130.608413) + (xy 274.619574 130.507096) + (xy 274.807062 130.373363) + (xy 274.97019 130.210803) + (xy 275.104577 130.023783) + (xy 275.206615 129.817325) + (xy 275.236112 129.720242) + (xy 275.27238 129.600869) + (xy 275.27238 129.600868) + (xy 275.273563 129.596975) + (xy 275.303622 129.368649) + (xy 275.3053 129.3) + (xy 275.304923 129.295414) + (xy 275.286763 129.074525) + (xy 275.286762 129.074522) + (xy 275.28643 129.070478) + (xy 275.279419 129.042563) + (xy 275.244625 128.904048) + (xy 275.230326 128.84712) + (xy 275.155309 128.674591) + (xy 275.140118 128.639654) + (xy 275.140117 128.639652) + (xy 275.138496 128.635924) + (xy 275.013405 128.442563) + (xy 274.858412 128.272229) + (xy 274.855226 128.269713) + (xy 274.855223 128.26971) + (xy 274.680869 128.132013) + (xy 274.680863 128.132009) + (xy 274.677681 128.129496) + (xy 274.476065 128.018198) + (xy 274.472234 128.016841) + (xy 274.472231 128.01684) + (xy 274.262814 127.942681) + (xy 274.262809 127.94268) + (xy 274.258978 127.941323) + (xy 274.03225 127.900937) + (xy 274.028204 127.900888) + (xy 274.028198 127.900887) + (xy 273.901094 127.899334) + (xy 273.801971 127.898123) + (xy 273.574325 127.932958) + (xy 273.457116 127.971268) + (xy 273.359281 128.003245) + (xy 273.359277 128.003247) + (xy 273.355424 128.004506) + (xy 273.351824 128.00638) + (xy 273.154754 128.108968) + (xy 273.154751 128.10897) + (xy 273.151149 128.110845) + (xy 272.966984 128.249119) + (xy 272.807877 128.415616) + (xy 272.805585 128.418976) + (xy 272.680389 128.602505) + (xy 272.680386 128.602511) + (xy 272.678099 128.605863) + (xy 272.581136 128.814752) + (xy 272.556372 128.904048) + (xy 272.525432 129.015616) + (xy 272.519592 129.036673) + (xy 272.519159 129.040721) + (xy 272.519159 129.040723) + (xy 272.518321 129.048562) + (xy 272.495119 129.265665) + (xy 267.302477 129.265665) + (xy 267.286763 129.074525) + (xy 267.286762 129.074522) + (xy 267.28643 129.070478) + (xy 267.279419 129.042563) + (xy 267.244625 128.904048) + (xy 267.230326 128.84712) + (xy 267.155309 128.674591) + (xy 267.140118 128.639654) + (xy 267.140117 128.639652) + (xy 267.138496 128.635924) + (xy 267.013405 128.442563) + (xy 266.858412 128.272229) + (xy 266.855226 128.269713) + (xy 266.855223 128.26971) + (xy 266.680869 128.132013) + (xy 266.680863 128.132009) + (xy 266.677681 128.129496) + (xy 266.476065 128.018198) + (xy 266.472234 128.016841) + (xy 266.472231 128.01684) + (xy 266.262814 127.942681) + (xy 266.262809 127.94268) + (xy 266.258978 127.941323) + (xy 266.03225 127.900937) + (xy 266.028204 127.900888) + (xy 266.028198 127.900887) + (xy 265.901094 127.899334) + (xy 265.801971 127.898123) + (xy 265.574325 127.932958) + (xy 265.457116 127.971268) + (xy 265.359281 128.003245) + (xy 265.359277 128.003247) + (xy 265.355424 128.004506) + (xy 265.351824 128.00638) + (xy 265.154754 128.108968) + (xy 265.154751 128.10897) + (xy 265.151149 128.110845) + (xy 264.966984 128.249119) + (xy 264.807877 128.415616) + (xy 264.805585 128.418976) + (xy 264.680389 128.602505) + (xy 264.680386 128.602511) + (xy 264.678099 128.605863) + (xy 264.581136 128.814752) + (xy 264.556372 128.904048) + (xy 264.525432 129.015616) + (xy 264.519592 129.036673) + (xy 264.519159 129.040721) + (xy 264.519159 129.040723) + (xy 264.518321 129.048562) + (xy 264.495119 129.265665) + (xy 169.821931 129.265665) + (xy 169.954836 129.225791) + (xy 170.012761 129.208413) + (xy 170.219574 129.107096) + (xy 170.407062 128.973363) + (xy 170.57019 128.810803) + (xy 170.704577 128.623783) + (xy 170.806615 128.417325) + (xy 170.819256 128.375721) + (xy 170.87238 128.200869) + (xy 170.87238 128.200868) + (xy 170.873563 128.196975) + (xy 170.903622 127.968649) + (xy 170.9053 127.9) + (xy 170.898503 127.817325) + (xy 170.886763 127.674525) + (xy 170.886762 127.674522) + (xy 170.88643 127.670478) + (xy 170.868946 127.600869) + (xy 170.831317 127.451066) + (xy 170.830326 127.44712) + (xy 170.755309 127.274591) + (xy 170.751428 127.265665) + (xy 268.495119 127.265665) + (xy 268.508376 127.49558) + (xy 268.509268 127.499537) + (xy 268.541086 127.640723) + (xy 268.559006 127.720242) + (xy 268.560535 127.724006) + (xy 268.560536 127.724011) + (xy 268.632667 127.901648) + (xy 268.645649 127.933618) + (xy 268.647773 127.937084) + (xy 268.647775 127.937088) + (xy 268.689089 128.004506) + (xy 268.765979 128.129978) + (xy 268.768638 128.133048) + (xy 268.768639 128.133049) + (xy 268.891806 128.275237) + (xy 268.916763 128.304048) + (xy 269.093953 128.451154) + (xy 269.29279 128.567345) + (xy 269.507934 128.649501) + (xy 269.511909 128.65031) + (xy 269.51191 128.65031) + (xy 269.729624 128.694604) + (xy 269.729628 128.694604) + (xy 269.733607 128.695414) + (xy 269.737667 128.695563) + (xy 269.737668 128.695563) + (xy 269.779459 128.697095) + (xy 269.963749 128.703853) + (xy 269.967767 128.703338) + (xy 269.967773 128.703338) + (xy 270.188146 128.675108) + (xy 270.188152 128.675107) + (xy 270.192178 128.674591) + (xy 270.196071 128.673423) + (xy 270.196076 128.673422) + (xy 270.36153 128.623783) + (xy 270.412761 128.608413) + (xy 270.619574 128.507096) + (xy 270.807062 128.373363) + (xy 270.97019 128.210803) + (xy 271.104577 128.023783) + (xy 271.206615 127.817325) + (xy 271.236112 127.720242) + (xy 271.27238 127.600869) + (xy 271.27238 127.600868) + (xy 271.273563 127.596975) + (xy 271.303622 127.368649) + (xy 271.3053 127.3) + (xy 271.304923 127.295414) + (xy 271.286763 127.074525) + (xy 271.286762 127.074522) + (xy 271.28643 127.070478) + (xy 271.279419 127.042563) + (xy 271.244625 126.904048) + (xy 271.230326 126.84712) + (xy 271.155309 126.674591) + (xy 271.140118 126.639654) + (xy 271.140117 126.639652) + (xy 271.138496 126.635924) + (xy 271.013405 126.442563) + (xy 270.858412 126.272229) + (xy 270.855226 126.269713) + (xy 270.855223 126.26971) + (xy 270.680869 126.132013) + (xy 270.680863 126.132009) + (xy 270.677681 126.129496) + (xy 270.476065 126.018198) + (xy 270.472234 126.016841) + (xy 270.472231 126.01684) + (xy 270.262814 125.942681) + (xy 270.262809 125.94268) + (xy 270.258978 125.941323) + (xy 270.03225 125.900937) + (xy 270.028204 125.900888) + (xy 270.028198 125.900887) + (xy 269.901094 125.899334) + (xy 269.801971 125.898123) + (xy 269.574325 125.932958) + (xy 269.457116 125.971268) + (xy 269.359281 126.003245) + (xy 269.359277 126.003247) + (xy 269.355424 126.004506) + (xy 269.351824 126.00638) + (xy 269.154754 126.108968) + (xy 269.154751 126.10897) + (xy 269.151149 126.110845) + (xy 268.966984 126.249119) + (xy 268.807877 126.415616) + (xy 268.805585 126.418976) + (xy 268.680389 126.602505) + (xy 268.680386 126.602511) + (xy 268.678099 126.605863) + (xy 268.581136 126.814752) + (xy 268.556372 126.904048) + (xy 268.525432 127.015616) + (xy 268.519592 127.036673) + (xy 268.519159 127.040721) + (xy 268.519159 127.040723) + (xy 268.518321 127.048562) + (xy 268.495119 127.265665) + (xy 170.751428 127.265665) + (xy 170.740118 127.239654) + (xy 170.740117 127.239652) + (xy 170.738496 127.235924) + (xy 170.613405 127.042563) + (xy 170.608046 127.036673) + (xy 170.461149 126.875237) + (xy 170.458412 126.872229) + (xy 170.455226 126.869713) + (xy 170.455223 126.86971) + (xy 170.280869 126.732013) + (xy 170.280863 126.732009) + (xy 170.277681 126.729496) + (xy 170.230296 126.703338) + (xy 170.079623 126.620162) + (xy 170.079622 126.620161) + (xy 170.076065 126.618198) + (xy 170.072234 126.616841) + (xy 170.072231 126.61684) + (xy 169.862814 126.542681) + (xy 169.862809 126.54268) + (xy 169.858978 126.541323) + (xy 169.63225 126.500937) + (xy 169.628204 126.500888) + (xy 169.628198 126.500887) + (xy 169.501094 126.499334) + (xy 169.401971 126.498123) + (xy 169.174325 126.532958) + (xy 169.075384 126.565297) + (xy 168.959281 126.603245) + (xy 168.959277 126.603247) + (xy 168.955424 126.604506) + (xy 168.951824 126.60638) + (xy 168.754754 126.708968) + (xy 168.754751 126.70897) + (xy 168.751149 126.710845) + (xy 168.566984 126.849119) + (xy 168.407877 127.015616) + (xy 168.405585 127.018976) + (xy 168.280389 127.202505) + (xy 168.280386 127.202511) + (xy 168.278099 127.205863) + (xy 168.181136 127.414752) + (xy 168.119592 127.636673) + (xy 168.095119 127.865665) + (xy 162.902477 127.865665) + (xy 162.898503 127.817325) + (xy 162.886763 127.674525) + (xy 162.886762 127.674522) + (xy 162.88643 127.670478) + (xy 162.868946 127.600869) + (xy 162.831317 127.451066) + (xy 162.830326 127.44712) + (xy 162.755309 127.274591) + (xy 162.740118 127.239654) + (xy 162.740117 127.239652) + (xy 162.738496 127.235924) + (xy 162.613405 127.042563) + (xy 162.608046 127.036673) + (xy 162.461149 126.875237) + (xy 162.458412 126.872229) + (xy 162.455226 126.869713) + (xy 162.455223 126.86971) + (xy 162.280869 126.732013) + (xy 162.280863 126.732009) + (xy 162.277681 126.729496) + (xy 162.230296 126.703338) + (xy 162.079623 126.620162) + (xy 162.079622 126.620161) + (xy 162.076065 126.618198) + (xy 162.072234 126.616841) + (xy 162.072231 126.61684) + (xy 161.862814 126.542681) + (xy 161.862809 126.54268) + (xy 161.858978 126.541323) + (xy 161.63225 126.500937) + (xy 161.628204 126.500888) + (xy 161.628198 126.500887) + (xy 161.501094 126.499334) + (xy 161.401971 126.498123) + (xy 161.174325 126.532958) + (xy 161.075384 126.565297) + (xy 160.959281 126.603245) + (xy 160.959277 126.603247) + (xy 160.955424 126.604506) + (xy 160.951824 126.60638) + (xy 160.754754 126.708968) + (xy 160.754751 126.70897) + (xy 160.751149 126.710845) + (xy 160.566984 126.849119) + (xy 160.407877 127.015616) + (xy 160.405585 127.018976) + (xy 160.280389 127.202505) + (xy 160.280386 127.202511) + (xy 160.278099 127.205863) + (xy 160.181136 127.414752) + (xy 160.119592 127.636673) + (xy 160.095119 127.865665) + (xy 136.661248 127.865665) + (xy 136.661248 125.865665) + (xy 164.095119 125.865665) + (xy 164.108376 126.09558) + (xy 164.115577 126.127533) + (xy 164.155942 126.306644) + (xy 164.159006 126.320242) + (xy 164.160535 126.324006) + (xy 164.160536 126.324011) + (xy 164.244118 126.529848) + (xy 164.245649 126.533618) + (xy 164.247773 126.537084) + (xy 164.247775 126.537088) + (xy 164.316662 126.649501) + (xy 164.365979 126.729978) + (xy 164.368638 126.733048) + (xy 164.368639 126.733049) + (xy 164.491806 126.875237) + (xy 164.516763 126.904048) + (xy 164.693953 127.051154) + (xy 164.89279 127.167345) + (xy 165.107934 127.249501) + (xy 165.111909 127.25031) + (xy 165.11191 127.25031) + (xy 165.329624 127.294604) + (xy 165.329628 127.294604) + (xy 165.333607 127.295414) + (xy 165.337667 127.295563) + (xy 165.337668 127.295563) + (xy 165.379459 127.297095) + (xy 165.563749 127.303853) + (xy 165.567767 127.303338) + (xy 165.567773 127.303338) + (xy 165.788146 127.275108) + (xy 165.788152 127.275107) + (xy 165.792178 127.274591) + (xy 165.796071 127.273423) + (xy 165.796076 127.273422) + (xy 165.954836 127.225791) + (xy 166.012761 127.208413) + (xy 166.219574 127.107096) + (xy 166.407062 126.973363) + (xy 166.57019 126.810803) + (xy 166.704577 126.623783) + (xy 166.806615 126.417325) + (xy 166.819256 126.375721) + (xy 166.87238 126.200869) + (xy 166.87238 126.200868) + (xy 166.873563 126.196975) + (xy 166.903622 125.968649) + (xy 166.9053 125.9) + (xy 166.898503 125.817325) + (xy 166.886763 125.674525) + (xy 166.886762 125.674522) + (xy 166.88643 125.670478) + (xy 166.868946 125.600869) + (xy 166.831317 125.451066) + (xy 166.830326 125.44712) + (xy 166.755309 125.274591) + (xy 166.740118 125.239654) + (xy 166.740117 125.239652) + (xy 166.738496 125.235924) + (xy 166.613405 125.042563) + (xy 166.608046 125.036673) + (xy 166.461149 124.875237) + (xy 166.458412 124.872229) + (xy 166.455226 124.869713) + (xy 166.455223 124.86971) + (xy 166.280869 124.732013) + (xy 166.280863 124.732009) + (xy 166.277681 124.729496) + (xy 166.230296 124.703338) + (xy 166.079623 124.620162) + (xy 166.079622 124.620161) + (xy 166.076065 124.618198) + (xy 166.072234 124.616841) + (xy 166.072231 124.61684) + (xy 165.862814 124.542681) + (xy 165.862809 124.54268) + (xy 165.858978 124.541323) + (xy 165.63225 124.500937) + (xy 165.628204 124.500888) + (xy 165.628198 124.500887) + (xy 165.501094 124.499334) + (xy 165.401971 124.498123) + (xy 165.174325 124.532958) + (xy 165.075384 124.565297) + (xy 164.959281 124.603245) + (xy 164.959277 124.603247) + (xy 164.955424 124.604506) + (xy 164.951824 124.60638) + (xy 164.754754 124.708968) + (xy 164.754751 124.70897) + (xy 164.751149 124.710845) + (xy 164.566984 124.849119) + (xy 164.407877 125.015616) + (xy 164.405585 125.018976) + (xy 164.280389 125.202505) + (xy 164.280386 125.202511) + (xy 164.278099 125.205863) + (xy 164.181136 125.414752) + (xy 164.119592 125.636673) + (xy 164.095119 125.865665) + (xy 136.661248 125.865665) + (xy 136.661248 123.865665) + (xy 160.095119 123.865665) + (xy 160.108376 124.09558) + (xy 160.115577 124.127533) + (xy 160.155942 124.306644) + (xy 160.159006 124.320242) + (xy 160.160535 124.324006) + (xy 160.160536 124.324011) + (xy 160.244118 124.529848) + (xy 160.245649 124.533618) + (xy 160.247773 124.537084) + (xy 160.247775 124.537088) + (xy 160.316662 124.649501) + (xy 160.365979 124.729978) + (xy 160.368638 124.733048) + (xy 160.368639 124.733049) + (xy 160.491806 124.875237) + (xy 160.516763 124.904048) + (xy 160.693953 125.051154) + (xy 160.89279 125.167345) + (xy 161.107934 125.249501) + (xy 161.111909 125.25031) + (xy 161.11191 125.25031) + (xy 161.329624 125.294604) + (xy 161.329628 125.294604) + (xy 161.333607 125.295414) + (xy 161.337667 125.295563) + (xy 161.337668 125.295563) + (xy 161.379459 125.297095) + (xy 161.563749 125.303853) + (xy 161.567767 125.303338) + (xy 161.567773 125.303338) + (xy 161.788146 125.275108) + (xy 161.788152 125.275107) + (xy 161.792178 125.274591) + (xy 161.796071 125.273423) + (xy 161.796076 125.273422) + (xy 161.954836 125.225791) + (xy 162.012761 125.208413) + (xy 162.219574 125.107096) + (xy 162.407062 124.973363) + (xy 162.57019 124.810803) + (xy 162.704577 124.623783) + (xy 162.806615 124.417325) + (xy 162.819256 124.375721) + (xy 162.87238 124.200869) + (xy 162.87238 124.200868) + (xy 162.873563 124.196975) + (xy 162.903622 123.968649) + (xy 162.9053 123.9) + (xy 162.902477 123.865665) + (xy 168.095119 123.865665) + (xy 168.108376 124.09558) + (xy 168.115577 124.127533) + (xy 168.155942 124.306644) + (xy 168.159006 124.320242) + (xy 168.160535 124.324006) + (xy 168.160536 124.324011) + (xy 168.244118 124.529848) + (xy 168.245649 124.533618) + (xy 168.247773 124.537084) + (xy 168.247775 124.537088) + (xy 168.316662 124.649501) + (xy 168.365979 124.729978) + (xy 168.368638 124.733048) + (xy 168.368639 124.733049) + (xy 168.491806 124.875237) + (xy 168.516763 124.904048) + (xy 168.693953 125.051154) + (xy 168.89279 125.167345) + (xy 169.107934 125.249501) + (xy 169.111909 125.25031) + (xy 169.11191 125.25031) + (xy 169.329624 125.294604) + (xy 169.329628 125.294604) + (xy 169.333607 125.295414) + (xy 169.337667 125.295563) + (xy 169.337668 125.295563) + (xy 169.379459 125.297095) + (xy 169.563749 125.303853) + (xy 169.567767 125.303338) + (xy 169.567773 125.303338) + (xy 169.788146 125.275108) + (xy 169.788152 125.275107) + (xy 169.792178 125.274591) + (xy 169.796071 125.273423) + (xy 169.796076 125.273422) + (xy 169.821931 125.265665) + (xy 264.495119 125.265665) + (xy 264.508376 125.49558) + (xy 264.509268 125.499537) + (xy 264.541086 125.640723) + (xy 264.559006 125.720242) + (xy 264.560535 125.724006) + (xy 264.560536 125.724011) + (xy 264.632667 125.901648) + (xy 264.645649 125.933618) + (xy 264.647773 125.937084) + (xy 264.647775 125.937088) + (xy 264.689089 126.004506) + (xy 264.765979 126.129978) + (xy 264.768638 126.133048) + (xy 264.768639 126.133049) + (xy 264.891806 126.275237) + (xy 264.916763 126.304048) + (xy 265.093953 126.451154) + (xy 265.29279 126.567345) + (xy 265.507934 126.649501) + (xy 265.511909 126.65031) + (xy 265.51191 126.65031) + (xy 265.729624 126.694604) + (xy 265.729628 126.694604) + (xy 265.733607 126.695414) + (xy 265.737667 126.695563) + (xy 265.737668 126.695563) + (xy 265.779459 126.697095) + (xy 265.963749 126.703853) + (xy 265.967767 126.703338) + (xy 265.967773 126.703338) + (xy 266.188146 126.675108) + (xy 266.188152 126.675107) + (xy 266.192178 126.674591) + (xy 266.196071 126.673423) + (xy 266.196076 126.673422) + (xy 266.36153 126.623783) + (xy 266.412761 126.608413) + (xy 266.619574 126.507096) + (xy 266.807062 126.373363) + (xy 266.97019 126.210803) + (xy 267.104577 126.023783) + (xy 267.206615 125.817325) + (xy 267.236112 125.720242) + (xy 267.27238 125.600869) + (xy 267.27238 125.600868) + (xy 267.273563 125.596975) + (xy 267.303622 125.368649) + (xy 267.3053 125.3) + (xy 267.304923 125.295414) + (xy 267.302477 125.265665) + (xy 272.495119 125.265665) + (xy 272.508376 125.49558) + (xy 272.509268 125.499537) + (xy 272.541086 125.640723) + (xy 272.559006 125.720242) + (xy 272.560535 125.724006) + (xy 272.560536 125.724011) + (xy 272.632667 125.901648) + (xy 272.645649 125.933618) + (xy 272.647773 125.937084) + (xy 272.647775 125.937088) + (xy 272.689089 126.004506) + (xy 272.765979 126.129978) + (xy 272.768638 126.133048) + (xy 272.768639 126.133049) + (xy 272.891806 126.275237) + (xy 272.916763 126.304048) + (xy 273.093953 126.451154) + (xy 273.29279 126.567345) + (xy 273.507934 126.649501) + (xy 273.511909 126.65031) + (xy 273.51191 126.65031) + (xy 273.729624 126.694604) + (xy 273.729628 126.694604) + (xy 273.733607 126.695414) + (xy 273.737667 126.695563) + (xy 273.737668 126.695563) + (xy 273.779459 126.697095) + (xy 273.963749 126.703853) + (xy 273.967767 126.703338) + (xy 273.967773 126.703338) + (xy 274.188146 126.675108) + (xy 274.188152 126.675107) + (xy 274.192178 126.674591) + (xy 274.196071 126.673423) + (xy 274.196076 126.673422) + (xy 274.36153 126.623783) + (xy 274.412761 126.608413) + (xy 274.619574 126.507096) + (xy 274.807062 126.373363) + (xy 274.97019 126.210803) + (xy 275.104577 126.023783) + (xy 275.206615 125.817325) + (xy 275.236112 125.720242) + (xy 275.27238 125.600869) + (xy 275.27238 125.600868) + (xy 275.273563 125.596975) + (xy 275.303622 125.368649) + (xy 275.3053 125.3) + (xy 275.304923 125.295414) + (xy 275.286763 125.074525) + (xy 275.286762 125.074522) + (xy 275.28643 125.070478) + (xy 275.279419 125.042563) + (xy 275.244625 124.904048) + (xy 275.230326 124.84712) + (xy 275.155309 124.674591) + (xy 275.140118 124.639654) + (xy 275.140117 124.639652) + (xy 275.138496 124.635924) + (xy 275.013405 124.442563) + (xy 274.858412 124.272229) + (xy 274.855226 124.269713) + (xy 274.855223 124.26971) + (xy 274.680869 124.132013) + (xy 274.680863 124.132009) + (xy 274.677681 124.129496) + (xy 274.476065 124.018198) + (xy 274.472234 124.016841) + (xy 274.472231 124.01684) + (xy 274.262814 123.942681) + (xy 274.262809 123.94268) + (xy 274.258978 123.941323) + (xy 274.03225 123.900937) + (xy 274.028204 123.900888) + (xy 274.028198 123.900887) + (xy 273.901094 123.899334) + (xy 273.801971 123.898123) + (xy 273.574325 123.932958) + (xy 273.457116 123.971268) + (xy 273.359281 124.003245) + (xy 273.359277 124.003247) + (xy 273.355424 124.004506) + (xy 273.351824 124.00638) + (xy 273.154754 124.108968) + (xy 273.154751 124.10897) + (xy 273.151149 124.110845) + (xy 272.966984 124.249119) + (xy 272.807877 124.415616) + (xy 272.805585 124.418976) + (xy 272.680389 124.602505) + (xy 272.680386 124.602511) + (xy 272.678099 124.605863) + (xy 272.581136 124.814752) + (xy 272.556372 124.904048) + (xy 272.525432 125.015616) + (xy 272.519592 125.036673) + (xy 272.519159 125.040721) + (xy 272.519159 125.040723) + (xy 272.518321 125.048562) + (xy 272.495119 125.265665) + (xy 267.302477 125.265665) + (xy 267.286763 125.074525) + (xy 267.286762 125.074522) + (xy 267.28643 125.070478) + (xy 267.279419 125.042563) + (xy 267.244625 124.904048) + (xy 267.230326 124.84712) + (xy 267.155309 124.674591) + (xy 267.140118 124.639654) + (xy 267.140117 124.639652) + (xy 267.138496 124.635924) + (xy 267.013405 124.442563) + (xy 266.858412 124.272229) + (xy 266.855226 124.269713) + (xy 266.855223 124.26971) + (xy 266.680869 124.132013) + (xy 266.680863 124.132009) + (xy 266.677681 124.129496) + (xy 266.476065 124.018198) + (xy 266.472234 124.016841) + (xy 266.472231 124.01684) + (xy 266.262814 123.942681) + (xy 266.262809 123.94268) + (xy 266.258978 123.941323) + (xy 266.03225 123.900937) + (xy 266.028204 123.900888) + (xy 266.028198 123.900887) + (xy 265.901094 123.899334) + (xy 265.801971 123.898123) + (xy 265.574325 123.932958) + (xy 265.457116 123.971268) + (xy 265.359281 124.003245) + (xy 265.359277 124.003247) + (xy 265.355424 124.004506) + (xy 265.351824 124.00638) + (xy 265.154754 124.108968) + (xy 265.154751 124.10897) + (xy 265.151149 124.110845) + (xy 264.966984 124.249119) + (xy 264.807877 124.415616) + (xy 264.805585 124.418976) + (xy 264.680389 124.602505) + (xy 264.680386 124.602511) + (xy 264.678099 124.605863) + (xy 264.581136 124.814752) + (xy 264.556372 124.904048) + (xy 264.525432 125.015616) + (xy 264.519592 125.036673) + (xy 264.519159 125.040721) + (xy 264.519159 125.040723) + (xy 264.518321 125.048562) + (xy 264.495119 125.265665) + (xy 169.821931 125.265665) + (xy 169.954836 125.225791) + (xy 170.012761 125.208413) + (xy 170.219574 125.107096) + (xy 170.407062 124.973363) + (xy 170.57019 124.810803) + (xy 170.704577 124.623783) + (xy 170.806615 124.417325) + (xy 170.819256 124.375721) + (xy 170.87238 124.200869) + (xy 170.87238 124.200868) + (xy 170.873563 124.196975) + (xy 170.903622 123.968649) + (xy 170.9053 123.9) + (xy 170.898503 123.817325) + (xy 170.886763 123.674525) + (xy 170.886762 123.674522) + (xy 170.88643 123.670478) + (xy 170.868946 123.600869) + (xy 170.831317 123.451066) + (xy 170.830326 123.44712) + (xy 170.755309 123.274591) + (xy 170.751428 123.265665) + (xy 268.495119 123.265665) + (xy 268.508376 123.49558) + (xy 268.509268 123.499537) + (xy 268.541086 123.640723) + (xy 268.559006 123.720242) + (xy 268.560535 123.724006) + (xy 268.560536 123.724011) + (xy 268.632667 123.901648) + (xy 268.645649 123.933618) + (xy 268.647773 123.937084) + (xy 268.647775 123.937088) + (xy 268.689089 124.004506) + (xy 268.765979 124.129978) + (xy 268.768638 124.133048) + (xy 268.768639 124.133049) + (xy 268.891806 124.275237) + (xy 268.916763 124.304048) + (xy 269.093953 124.451154) + (xy 269.29279 124.567345) + (xy 269.507934 124.649501) + (xy 269.511909 124.65031) + (xy 269.51191 124.65031) + (xy 269.729624 124.694604) + (xy 269.729628 124.694604) + (xy 269.733607 124.695414) + (xy 269.737667 124.695563) + (xy 269.737668 124.695563) + (xy 269.779459 124.697095) + (xy 269.963749 124.703853) + (xy 269.967767 124.703338) + (xy 269.967773 124.703338) + (xy 270.188146 124.675108) + (xy 270.188152 124.675107) + (xy 270.192178 124.674591) + (xy 270.196071 124.673423) + (xy 270.196076 124.673422) + (xy 270.36153 124.623783) + (xy 270.412761 124.608413) + (xy 270.619574 124.507096) + (xy 270.807062 124.373363) + (xy 270.97019 124.210803) + (xy 271.104577 124.023783) + (xy 271.206615 123.817325) + (xy 271.236112 123.720242) + (xy 271.27238 123.600869) + (xy 271.27238 123.600868) + (xy 271.273563 123.596975) + (xy 271.303622 123.368649) + (xy 271.3053 123.3) + (xy 271.304923 123.295414) + (xy 271.286763 123.074525) + (xy 271.286762 123.074522) + (xy 271.28643 123.070478) + (xy 271.279419 123.042563) + (xy 271.244625 122.904048) + (xy 271.230326 122.84712) + (xy 271.155309 122.674591) + (xy 271.140118 122.639654) + (xy 271.140117 122.639652) + (xy 271.138496 122.635924) + (xy 271.013405 122.442563) + (xy 270.858412 122.272229) + (xy 270.855226 122.269713) + (xy 270.855223 122.26971) + (xy 270.680869 122.132013) + (xy 270.680863 122.132009) + (xy 270.677681 122.129496) + (xy 270.476065 122.018198) + (xy 270.472234 122.016841) + (xy 270.472231 122.01684) + (xy 270.262814 121.942681) + (xy 270.262809 121.94268) + (xy 270.258978 121.941323) + (xy 270.03225 121.900937) + (xy 270.028204 121.900888) + (xy 270.028198 121.900887) + (xy 269.901094 121.899334) + (xy 269.801971 121.898123) + (xy 269.574325 121.932958) + (xy 269.457116 121.971268) + (xy 269.359281 122.003245) + (xy 269.359277 122.003247) + (xy 269.355424 122.004506) + (xy 269.351824 122.00638) + (xy 269.154754 122.108968) + (xy 269.154751 122.10897) + (xy 269.151149 122.110845) + (xy 268.966984 122.249119) + (xy 268.807877 122.415616) + (xy 268.805585 122.418976) + (xy 268.680389 122.602505) + (xy 268.680386 122.602511) + (xy 268.678099 122.605863) + (xy 268.581136 122.814752) + (xy 268.556372 122.904048) + (xy 268.525432 123.015616) + (xy 268.519592 123.036673) + (xy 268.519159 123.040721) + (xy 268.519159 123.040723) + (xy 268.518321 123.048562) + (xy 268.495119 123.265665) + (xy 170.751428 123.265665) + (xy 170.740118 123.239654) + (xy 170.740117 123.239652) + (xy 170.738496 123.235924) + (xy 170.613405 123.042563) + (xy 170.608046 123.036673) + (xy 170.461149 122.875237) + (xy 170.458412 122.872229) + (xy 170.455226 122.869713) + (xy 170.455223 122.86971) + (xy 170.280869 122.732013) + (xy 170.280863 122.732009) + (xy 170.277681 122.729496) + (xy 170.230296 122.703338) + (xy 170.079623 122.620162) + (xy 170.079622 122.620161) + (xy 170.076065 122.618198) + (xy 170.072234 122.616841) + (xy 170.072231 122.61684) + (xy 169.862814 122.542681) + (xy 169.862809 122.54268) + (xy 169.858978 122.541323) + (xy 169.63225 122.500937) + (xy 169.628204 122.500888) + (xy 169.628198 122.500887) + (xy 169.501094 122.499334) + (xy 169.401971 122.498123) + (xy 169.174325 122.532958) + (xy 169.075384 122.565297) + (xy 168.959281 122.603245) + (xy 168.959277 122.603247) + (xy 168.955424 122.604506) + (xy 168.951824 122.60638) + (xy 168.754754 122.708968) + (xy 168.754751 122.70897) + (xy 168.751149 122.710845) + (xy 168.566984 122.849119) + (xy 168.407877 123.015616) + (xy 168.405585 123.018976) + (xy 168.280389 123.202505) + (xy 168.280386 123.202511) + (xy 168.278099 123.205863) + (xy 168.181136 123.414752) + (xy 168.119592 123.636673) + (xy 168.095119 123.865665) + (xy 162.902477 123.865665) + (xy 162.898503 123.817325) + (xy 162.886763 123.674525) + (xy 162.886762 123.674522) + (xy 162.88643 123.670478) + (xy 162.868946 123.600869) + (xy 162.831317 123.451066) + (xy 162.830326 123.44712) + (xy 162.755309 123.274591) + (xy 162.740118 123.239654) + (xy 162.740117 123.239652) + (xy 162.738496 123.235924) + (xy 162.613405 123.042563) + (xy 162.608046 123.036673) + (xy 162.461149 122.875237) + (xy 162.458412 122.872229) + (xy 162.455226 122.869713) + (xy 162.455223 122.86971) + (xy 162.280869 122.732013) + (xy 162.280863 122.732009) + (xy 162.277681 122.729496) + (xy 162.230296 122.703338) + (xy 162.079623 122.620162) + (xy 162.079622 122.620161) + (xy 162.076065 122.618198) + (xy 162.072234 122.616841) + (xy 162.072231 122.61684) + (xy 161.862814 122.542681) + (xy 161.862809 122.54268) + (xy 161.858978 122.541323) + (xy 161.63225 122.500937) + (xy 161.628204 122.500888) + (xy 161.628198 122.500887) + (xy 161.501094 122.499334) + (xy 161.401971 122.498123) + (xy 161.174325 122.532958) + (xy 161.075384 122.565297) + (xy 160.959281 122.603245) + (xy 160.959277 122.603247) + (xy 160.955424 122.604506) + (xy 160.951824 122.60638) + (xy 160.754754 122.708968) + (xy 160.754751 122.70897) + (xy 160.751149 122.710845) + (xy 160.566984 122.849119) + (xy 160.407877 123.015616) + (xy 160.405585 123.018976) + (xy 160.280389 123.202505) + (xy 160.280386 123.202511) + (xy 160.278099 123.205863) + (xy 160.181136 123.414752) + (xy 160.119592 123.636673) + (xy 160.095119 123.865665) + (xy 136.661248 123.865665) + (xy 136.661248 121.865665) + (xy 164.095119 121.865665) + (xy 164.108376 122.09558) + (xy 164.115577 122.127533) + (xy 164.155942 122.306644) + (xy 164.159006 122.320242) + (xy 164.160535 122.324006) + (xy 164.160536 122.324011) + (xy 164.244118 122.529848) + (xy 164.245649 122.533618) + (xy 164.247773 122.537084) + (xy 164.247775 122.537088) + (xy 164.316662 122.649501) + (xy 164.365979 122.729978) + (xy 164.368638 122.733048) + (xy 164.368639 122.733049) + (xy 164.491806 122.875237) + (xy 164.516763 122.904048) + (xy 164.693953 123.051154) + (xy 164.89279 123.167345) + (xy 165.107934 123.249501) + (xy 165.111909 123.25031) + (xy 165.11191 123.25031) + (xy 165.329624 123.294604) + (xy 165.329628 123.294604) + (xy 165.333607 123.295414) + (xy 165.337667 123.295563) + (xy 165.337668 123.295563) + (xy 165.379459 123.297095) + (xy 165.563749 123.303853) + (xy 165.567767 123.303338) + (xy 165.567773 123.303338) + (xy 165.788146 123.275108) + (xy 165.788152 123.275107) + (xy 165.792178 123.274591) + (xy 165.796071 123.273423) + (xy 165.796076 123.273422) + (xy 165.954836 123.225791) + (xy 166.012761 123.208413) + (xy 166.219574 123.107096) + (xy 166.407062 122.973363) + (xy 166.57019 122.810803) + (xy 166.704577 122.623783) + (xy 166.806615 122.417325) + (xy 166.819256 122.375721) + (xy 166.87238 122.200869) + (xy 166.87238 122.200868) + (xy 166.873563 122.196975) + (xy 166.903622 121.968649) + (xy 166.9053 121.9) + (xy 166.898503 121.817325) + (xy 166.886763 121.674525) + (xy 166.886762 121.674522) + (xy 166.88643 121.670478) + (xy 166.868946 121.600869) + (xy 166.831317 121.451066) + (xy 166.830326 121.44712) + (xy 166.755309 121.274591) + (xy 166.740118 121.239654) + (xy 166.740117 121.239652) + (xy 166.738496 121.235924) + (xy 166.613405 121.042563) + (xy 166.608046 121.036673) + (xy 166.461149 120.875237) + (xy 166.458412 120.872229) + (xy 166.455226 120.869713) + (xy 166.455223 120.86971) + (xy 166.280869 120.732013) + (xy 166.280863 120.732009) + (xy 166.277681 120.729496) + (xy 166.230296 120.703338) + (xy 166.079623 120.620162) + (xy 166.079622 120.620161) + (xy 166.076065 120.618198) + (xy 166.072234 120.616841) + (xy 166.072231 120.61684) + (xy 165.862814 120.542681) + (xy 165.862809 120.54268) + (xy 165.858978 120.541323) + (xy 165.63225 120.500937) + (xy 165.628204 120.500888) + (xy 165.628198 120.500887) + (xy 165.501094 120.499334) + (xy 165.401971 120.498123) + (xy 165.174325 120.532958) + (xy 165.075384 120.565297) + (xy 164.959281 120.603245) + (xy 164.959277 120.603247) + (xy 164.955424 120.604506) + (xy 164.951824 120.60638) + (xy 164.754754 120.708968) + (xy 164.754751 120.70897) + (xy 164.751149 120.710845) + (xy 164.566984 120.849119) + (xy 164.407877 121.015616) + (xy 164.405585 121.018976) + (xy 164.280389 121.202505) + (xy 164.280386 121.202511) + (xy 164.278099 121.205863) + (xy 164.181136 121.414752) + (xy 164.119592 121.636673) + (xy 164.095119 121.865665) + (xy 136.661248 121.865665) + (xy 136.661248 119.865665) + (xy 160.095119 119.865665) + (xy 160.108376 120.09558) + (xy 160.115577 120.127533) + (xy 160.155942 120.306644) + (xy 160.159006 120.320242) + (xy 160.160535 120.324006) + (xy 160.160536 120.324011) + (xy 160.244118 120.529848) + (xy 160.245649 120.533618) + (xy 160.247773 120.537084) + (xy 160.247775 120.537088) + (xy 160.316662 120.649501) + (xy 160.365979 120.729978) + (xy 160.368638 120.733048) + (xy 160.368639 120.733049) + (xy 160.491806 120.875237) + (xy 160.516763 120.904048) + (xy 160.693953 121.051154) + (xy 160.89279 121.167345) + (xy 161.107934 121.249501) + (xy 161.111909 121.25031) + (xy 161.11191 121.25031) + (xy 161.329624 121.294604) + (xy 161.329628 121.294604) + (xy 161.333607 121.295414) + (xy 161.337667 121.295563) + (xy 161.337668 121.295563) + (xy 161.379459 121.297095) + (xy 161.563749 121.303853) + (xy 161.567767 121.303338) + (xy 161.567773 121.303338) + (xy 161.788146 121.275108) + (xy 161.788152 121.275107) + (xy 161.792178 121.274591) + (xy 161.796071 121.273423) + (xy 161.796076 121.273422) + (xy 161.954836 121.225791) + (xy 162.012761 121.208413) + (xy 162.219574 121.107096) + (xy 162.407062 120.973363) + (xy 162.57019 120.810803) + (xy 162.704577 120.623783) + (xy 162.806615 120.417325) + (xy 162.819256 120.375721) + (xy 162.87238 120.200869) + (xy 162.87238 120.200868) + (xy 162.873563 120.196975) + (xy 162.903622 119.968649) + (xy 162.9053 119.9) + (xy 162.902477 119.865665) + (xy 168.095119 119.865665) + (xy 168.108376 120.09558) + (xy 168.115577 120.127533) + (xy 168.155942 120.306644) + (xy 168.159006 120.320242) + (xy 168.160535 120.324006) + (xy 168.160536 120.324011) + (xy 168.244118 120.529848) + (xy 168.245649 120.533618) + (xy 168.247773 120.537084) + (xy 168.247775 120.537088) + (xy 168.316662 120.649501) + (xy 168.365979 120.729978) + (xy 168.368638 120.733048) + (xy 168.368639 120.733049) + (xy 168.491806 120.875237) + (xy 168.516763 120.904048) + (xy 168.693953 121.051154) + (xy 168.89279 121.167345) + (xy 169.107934 121.249501) + (xy 169.111909 121.25031) + (xy 169.11191 121.25031) + (xy 169.329624 121.294604) + (xy 169.329628 121.294604) + (xy 169.333607 121.295414) + (xy 169.337667 121.295563) + (xy 169.337668 121.295563) + (xy 169.379459 121.297095) + (xy 169.563749 121.303853) + (xy 169.567767 121.303338) + (xy 169.567773 121.303338) + (xy 169.788146 121.275108) + (xy 169.788152 121.275107) + (xy 169.792178 121.274591) + (xy 169.796071 121.273423) + (xy 169.796076 121.273422) + (xy 169.821931 121.265665) + (xy 264.495119 121.265665) + (xy 264.508376 121.49558) + (xy 264.509268 121.499537) + (xy 264.541086 121.640723) + (xy 264.559006 121.720242) + (xy 264.560535 121.724006) + (xy 264.560536 121.724011) + (xy 264.632667 121.901648) + (xy 264.645649 121.933618) + (xy 264.647773 121.937084) + (xy 264.647775 121.937088) + (xy 264.689089 122.004506) + (xy 264.765979 122.129978) + (xy 264.768638 122.133048) + (xy 264.768639 122.133049) + (xy 264.891806 122.275237) + (xy 264.916763 122.304048) + (xy 265.093953 122.451154) + (xy 265.29279 122.567345) + (xy 265.507934 122.649501) + (xy 265.511909 122.65031) + (xy 265.51191 122.65031) + (xy 265.729624 122.694604) + (xy 265.729628 122.694604) + (xy 265.733607 122.695414) + (xy 265.737667 122.695563) + (xy 265.737668 122.695563) + (xy 265.779459 122.697095) + (xy 265.963749 122.703853) + (xy 265.967767 122.703338) + (xy 265.967773 122.703338) + (xy 266.188146 122.675108) + (xy 266.188152 122.675107) + (xy 266.192178 122.674591) + (xy 266.196071 122.673423) + (xy 266.196076 122.673422) + (xy 266.36153 122.623783) + (xy 266.412761 122.608413) + (xy 266.619574 122.507096) + (xy 266.807062 122.373363) + (xy 266.97019 122.210803) + (xy 267.104577 122.023783) + (xy 267.206615 121.817325) + (xy 267.236112 121.720242) + (xy 267.27238 121.600869) + (xy 267.27238 121.600868) + (xy 267.273563 121.596975) + (xy 267.303622 121.368649) + (xy 267.3053 121.3) + (xy 267.304923 121.295414) + (xy 267.302477 121.265665) + (xy 272.495119 121.265665) + (xy 272.508376 121.49558) + (xy 272.509268 121.499537) + (xy 272.541086 121.640723) + (xy 272.559006 121.720242) + (xy 272.560535 121.724006) + (xy 272.560536 121.724011) + (xy 272.632667 121.901648) + (xy 272.645649 121.933618) + (xy 272.647773 121.937084) + (xy 272.647775 121.937088) + (xy 272.689089 122.004506) + (xy 272.765979 122.129978) + (xy 272.768638 122.133048) + (xy 272.768639 122.133049) + (xy 272.891806 122.275237) + (xy 272.916763 122.304048) + (xy 273.093953 122.451154) + (xy 273.29279 122.567345) + (xy 273.507934 122.649501) + (xy 273.511909 122.65031) + (xy 273.51191 122.65031) + (xy 273.729624 122.694604) + (xy 273.729628 122.694604) + (xy 273.733607 122.695414) + (xy 273.737667 122.695563) + (xy 273.737668 122.695563) + (xy 273.779459 122.697095) + (xy 273.963749 122.703853) + (xy 273.967767 122.703338) + (xy 273.967773 122.703338) + (xy 274.188146 122.675108) + (xy 274.188152 122.675107) + (xy 274.192178 122.674591) + (xy 274.196071 122.673423) + (xy 274.196076 122.673422) + (xy 274.36153 122.623783) + (xy 274.412761 122.608413) + (xy 274.619574 122.507096) + (xy 274.807062 122.373363) + (xy 274.97019 122.210803) + (xy 275.104577 122.023783) + (xy 275.206615 121.817325) + (xy 275.236112 121.720242) + (xy 275.27238 121.600869) + (xy 275.27238 121.600868) + (xy 275.273563 121.596975) + (xy 275.303622 121.368649) + (xy 275.3053 121.3) + (xy 275.304923 121.295414) + (xy 275.286763 121.074525) + (xy 275.286762 121.074522) + (xy 275.28643 121.070478) + (xy 275.279419 121.042563) + (xy 275.244625 120.904048) + (xy 275.230326 120.84712) + (xy 275.155309 120.674591) + (xy 275.140118 120.639654) + (xy 275.140117 120.639652) + (xy 275.138496 120.635924) + (xy 275.013405 120.442563) + (xy 274.858412 120.272229) + (xy 274.855226 120.269713) + (xy 274.855223 120.26971) + (xy 274.680869 120.132013) + (xy 274.680863 120.132009) + (xy 274.677681 120.129496) + (xy 274.476065 120.018198) + (xy 274.472234 120.016841) + (xy 274.472231 120.01684) + (xy 274.262814 119.942681) + (xy 274.262809 119.94268) + (xy 274.258978 119.941323) + (xy 274.03225 119.900937) + (xy 274.028204 119.900888) + (xy 274.028198 119.900887) + (xy 273.901094 119.899334) + (xy 273.801971 119.898123) + (xy 273.574325 119.932958) + (xy 273.457116 119.971268) + (xy 273.359281 120.003245) + (xy 273.359277 120.003247) + (xy 273.355424 120.004506) + (xy 273.351824 120.00638) + (xy 273.154754 120.108968) + (xy 273.154751 120.10897) + (xy 273.151149 120.110845) + (xy 272.966984 120.249119) + (xy 272.807877 120.415616) + (xy 272.805585 120.418976) + (xy 272.680389 120.602505) + (xy 272.680386 120.602511) + (xy 272.678099 120.605863) + (xy 272.581136 120.814752) + (xy 272.556372 120.904048) + (xy 272.525432 121.015616) + (xy 272.519592 121.036673) + (xy 272.519159 121.040721) + (xy 272.519159 121.040723) + (xy 272.518321 121.048562) + (xy 272.495119 121.265665) + (xy 267.302477 121.265665) + (xy 267.286763 121.074525) + (xy 267.286762 121.074522) + (xy 267.28643 121.070478) + (xy 267.279419 121.042563) + (xy 267.244625 120.904048) + (xy 267.230326 120.84712) + (xy 267.155309 120.674591) + (xy 267.140118 120.639654) + (xy 267.140117 120.639652) + (xy 267.138496 120.635924) + (xy 267.013405 120.442563) + (xy 266.858412 120.272229) + (xy 266.855226 120.269713) + (xy 266.855223 120.26971) + (xy 266.680869 120.132013) + (xy 266.680863 120.132009) + (xy 266.677681 120.129496) + (xy 266.476065 120.018198) + (xy 266.472234 120.016841) + (xy 266.472231 120.01684) + (xy 266.262814 119.942681) + (xy 266.262809 119.94268) + (xy 266.258978 119.941323) + (xy 266.03225 119.900937) + (xy 266.028204 119.900888) + (xy 266.028198 119.900887) + (xy 265.901094 119.899334) + (xy 265.801971 119.898123) + (xy 265.574325 119.932958) + (xy 265.457116 119.971268) + (xy 265.359281 120.003245) + (xy 265.359277 120.003247) + (xy 265.355424 120.004506) + (xy 265.351824 120.00638) + (xy 265.154754 120.108968) + (xy 265.154751 120.10897) + (xy 265.151149 120.110845) + (xy 264.966984 120.249119) + (xy 264.807877 120.415616) + (xy 264.805585 120.418976) + (xy 264.680389 120.602505) + (xy 264.680386 120.602511) + (xy 264.678099 120.605863) + (xy 264.581136 120.814752) + (xy 264.556372 120.904048) + (xy 264.525432 121.015616) + (xy 264.519592 121.036673) + (xy 264.519159 121.040721) + (xy 264.519159 121.040723) + (xy 264.518321 121.048562) + (xy 264.495119 121.265665) + (xy 169.821931 121.265665) + (xy 169.954836 121.225791) + (xy 170.012761 121.208413) + (xy 170.219574 121.107096) + (xy 170.407062 120.973363) + (xy 170.57019 120.810803) + (xy 170.704577 120.623783) + (xy 170.806615 120.417325) + (xy 170.819256 120.375721) + (xy 170.87238 120.200869) + (xy 170.87238 120.200868) + (xy 170.873563 120.196975) + (xy 170.903622 119.968649) + (xy 170.9053 119.9) + (xy 170.898503 119.817325) + (xy 170.886763 119.674525) + (xy 170.886762 119.674522) + (xy 170.88643 119.670478) + (xy 170.868946 119.600869) + (xy 170.831317 119.451066) + (xy 170.830326 119.44712) + (xy 170.755309 119.274591) + (xy 170.751428 119.265665) + (xy 268.495119 119.265665) + (xy 268.508376 119.49558) + (xy 268.509268 119.499537) + (xy 268.541086 119.640723) + (xy 268.559006 119.720242) + (xy 268.560535 119.724006) + (xy 268.560536 119.724011) + (xy 268.632667 119.901648) + (xy 268.645649 119.933618) + (xy 268.647773 119.937084) + (xy 268.647775 119.937088) + (xy 268.689089 120.004506) + (xy 268.765979 120.129978) + (xy 268.768638 120.133048) + (xy 268.768639 120.133049) + (xy 268.891806 120.275237) + (xy 268.916763 120.304048) + (xy 269.093953 120.451154) + (xy 269.29279 120.567345) + (xy 269.507934 120.649501) + (xy 269.511909 120.65031) + (xy 269.51191 120.65031) + (xy 269.729624 120.694604) + (xy 269.729628 120.694604) + (xy 269.733607 120.695414) + (xy 269.737667 120.695563) + (xy 269.737668 120.695563) + (xy 269.779459 120.697095) + (xy 269.963749 120.703853) + (xy 269.967767 120.703338) + (xy 269.967773 120.703338) + (xy 270.188146 120.675108) + (xy 270.188152 120.675107) + (xy 270.192178 120.674591) + (xy 270.196071 120.673423) + (xy 270.196076 120.673422) + (xy 270.36153 120.623783) + (xy 270.412761 120.608413) + (xy 270.619574 120.507096) + (xy 270.807062 120.373363) + (xy 270.97019 120.210803) + (xy 271.104577 120.023783) + (xy 271.206615 119.817325) + (xy 271.236112 119.720242) + (xy 271.27238 119.600869) + (xy 271.27238 119.600868) + (xy 271.273563 119.596975) + (xy 271.303622 119.368649) + (xy 271.3053 119.3) + (xy 271.304923 119.295414) + (xy 271.286763 119.074525) + (xy 271.286762 119.074522) + (xy 271.28643 119.070478) + (xy 271.279419 119.042563) + (xy 271.244625 118.904048) + (xy 271.230326 118.84712) + (xy 271.155309 118.674591) + (xy 271.140118 118.639654) + (xy 271.140117 118.639652) + (xy 271.138496 118.635924) + (xy 271.013405 118.442563) + (xy 270.858412 118.272229) + (xy 270.855226 118.269713) + (xy 270.855223 118.26971) + (xy 270.680869 118.132013) + (xy 270.680863 118.132009) + (xy 270.677681 118.129496) + (xy 270.476065 118.018198) + (xy 270.472234 118.016841) + (xy 270.472231 118.01684) + (xy 270.262814 117.942681) + (xy 270.262809 117.94268) + (xy 270.258978 117.941323) + (xy 270.03225 117.900937) + (xy 270.028204 117.900888) + (xy 270.028198 117.900887) + (xy 269.901094 117.899334) + (xy 269.801971 117.898123) + (xy 269.574325 117.932958) + (xy 269.457116 117.971268) + (xy 269.359281 118.003245) + (xy 269.359277 118.003247) + (xy 269.355424 118.004506) + (xy 269.351824 118.00638) + (xy 269.154754 118.108968) + (xy 269.154751 118.10897) + (xy 269.151149 118.110845) + (xy 268.966984 118.249119) + (xy 268.807877 118.415616) + (xy 268.805585 118.418976) + (xy 268.680389 118.602505) + (xy 268.680386 118.602511) + (xy 268.678099 118.605863) + (xy 268.581136 118.814752) + (xy 268.556372 118.904048) + (xy 268.525432 119.015616) + (xy 268.519592 119.036673) + (xy 268.519159 119.040721) + (xy 268.519159 119.040723) + (xy 268.518321 119.048562) + (xy 268.495119 119.265665) + (xy 170.751428 119.265665) + (xy 170.740118 119.239654) + (xy 170.740117 119.239652) + (xy 170.738496 119.235924) + (xy 170.613405 119.042563) + (xy 170.608046 119.036673) + (xy 170.461149 118.875237) + (xy 170.458412 118.872229) + (xy 170.455226 118.869713) + (xy 170.455223 118.86971) + (xy 170.280869 118.732013) + (xy 170.280863 118.732009) + (xy 170.277681 118.729496) + (xy 170.230296 118.703338) + (xy 170.079623 118.620162) + (xy 170.079622 118.620161) + (xy 170.076065 118.618198) + (xy 170.072234 118.616841) + (xy 170.072231 118.61684) + (xy 169.862814 118.542681) + (xy 169.862809 118.54268) + (xy 169.858978 118.541323) + (xy 169.63225 118.500937) + (xy 169.628204 118.500888) + (xy 169.628198 118.500887) + (xy 169.501094 118.499334) + (xy 169.401971 118.498123) + (xy 169.174325 118.532958) + (xy 169.075384 118.565297) + (xy 168.959281 118.603245) + (xy 168.959277 118.603247) + (xy 168.955424 118.604506) + (xy 168.951824 118.60638) + (xy 168.754754 118.708968) + (xy 168.754751 118.70897) + (xy 168.751149 118.710845) + (xy 168.566984 118.849119) + (xy 168.407877 119.015616) + (xy 168.405585 119.018976) + (xy 168.280389 119.202505) + (xy 168.280386 119.202511) + (xy 168.278099 119.205863) + (xy 168.181136 119.414752) + (xy 168.119592 119.636673) + (xy 168.095119 119.865665) + (xy 162.902477 119.865665) + (xy 162.898503 119.817325) + (xy 162.886763 119.674525) + (xy 162.886762 119.674522) + (xy 162.88643 119.670478) + (xy 162.868946 119.600869) + (xy 162.831317 119.451066) + (xy 162.830326 119.44712) + (xy 162.755309 119.274591) + (xy 162.740118 119.239654) + (xy 162.740117 119.239652) + (xy 162.738496 119.235924) + (xy 162.613405 119.042563) + (xy 162.608046 119.036673) + (xy 162.461149 118.875237) + (xy 162.458412 118.872229) + (xy 162.455226 118.869713) + (xy 162.455223 118.86971) + (xy 162.280869 118.732013) + (xy 162.280863 118.732009) + (xy 162.277681 118.729496) + (xy 162.230296 118.703338) + (xy 162.079623 118.620162) + (xy 162.079622 118.620161) + (xy 162.076065 118.618198) + (xy 162.072234 118.616841) + (xy 162.072231 118.61684) + (xy 161.862814 118.542681) + (xy 161.862809 118.54268) + (xy 161.858978 118.541323) + (xy 161.63225 118.500937) + (xy 161.628204 118.500888) + (xy 161.628198 118.500887) + (xy 161.501094 118.499334) + (xy 161.401971 118.498123) + (xy 161.174325 118.532958) + (xy 161.075384 118.565297) + (xy 160.959281 118.603245) + (xy 160.959277 118.603247) + (xy 160.955424 118.604506) + (xy 160.951824 118.60638) + (xy 160.754754 118.708968) + (xy 160.754751 118.70897) + (xy 160.751149 118.710845) + (xy 160.566984 118.849119) + (xy 160.407877 119.015616) + (xy 160.405585 119.018976) + (xy 160.280389 119.202505) + (xy 160.280386 119.202511) + (xy 160.278099 119.205863) + (xy 160.181136 119.414752) + (xy 160.119592 119.636673) + (xy 160.095119 119.865665) + (xy 136.661248 119.865665) + (xy 136.661248 117.865665) + (xy 164.095119 117.865665) + (xy 164.108376 118.09558) + (xy 164.115577 118.127533) + (xy 164.155942 118.306644) + (xy 164.159006 118.320242) + (xy 164.160535 118.324006) + (xy 164.160536 118.324011) + (xy 164.244118 118.529848) + (xy 164.245649 118.533618) + (xy 164.247773 118.537084) + (xy 164.247775 118.537088) + (xy 164.316662 118.649501) + (xy 164.365979 118.729978) + (xy 164.368638 118.733048) + (xy 164.368639 118.733049) + (xy 164.491806 118.875237) + (xy 164.516763 118.904048) + (xy 164.693953 119.051154) + (xy 164.89279 119.167345) + (xy 165.107934 119.249501) + (xy 165.111909 119.25031) + (xy 165.11191 119.25031) + (xy 165.329624 119.294604) + (xy 165.329628 119.294604) + (xy 165.333607 119.295414) + (xy 165.337667 119.295563) + (xy 165.337668 119.295563) + (xy 165.379459 119.297095) + (xy 165.563749 119.303853) + (xy 165.567767 119.303338) + (xy 165.567773 119.303338) + (xy 165.788146 119.275108) + (xy 165.788152 119.275107) + (xy 165.792178 119.274591) + (xy 165.796071 119.273423) + (xy 165.796076 119.273422) + (xy 165.954836 119.225791) + (xy 166.012761 119.208413) + (xy 166.219574 119.107096) + (xy 166.407062 118.973363) + (xy 166.57019 118.810803) + (xy 166.704577 118.623783) + (xy 166.806615 118.417325) + (xy 166.819256 118.375721) + (xy 166.87238 118.200869) + (xy 166.87238 118.200868) + (xy 166.873563 118.196975) + (xy 166.903622 117.968649) + (xy 166.9053 117.9) + (xy 166.898503 117.817325) + (xy 166.886763 117.674525) + (xy 166.886762 117.674522) + (xy 166.88643 117.670478) + (xy 166.868946 117.600869) + (xy 166.831317 117.451066) + (xy 166.830326 117.44712) + (xy 166.755309 117.274591) + (xy 166.740118 117.239654) + (xy 166.740117 117.239652) + (xy 166.738496 117.235924) + (xy 166.613405 117.042563) + (xy 166.608046 117.036673) + (xy 166.461149 116.875237) + (xy 166.458412 116.872229) + (xy 166.455226 116.869713) + (xy 166.455223 116.86971) + (xy 166.280869 116.732013) + (xy 166.280863 116.732009) + (xy 166.277681 116.729496) + (xy 166.240495 116.708968) + (xy 166.079623 116.620162) + (xy 166.079622 116.620161) + (xy 166.076065 116.618198) + (xy 166.072234 116.616841) + (xy 166.072231 116.61684) + (xy 165.862814 116.542681) + (xy 165.862809 116.54268) + (xy 165.858978 116.541323) + (xy 165.63225 116.500937) + (xy 165.628204 116.500888) + (xy 165.628198 116.500887) + (xy 165.501094 116.499334) + (xy 165.401971 116.498123) + (xy 165.174325 116.532958) + (xy 165.056433 116.571491) + (xy 164.959281 116.603245) + (xy 164.959277 116.603247) + (xy 164.955424 116.604506) + (xy 164.951824 116.60638) + (xy 164.754754 116.708968) + (xy 164.754751 116.70897) + (xy 164.751149 116.710845) + (xy 164.566984 116.849119) + (xy 164.407877 117.015616) + (xy 164.405585 117.018976) + (xy 164.280389 117.202505) + (xy 164.280386 117.202511) + (xy 164.278099 117.205863) + (xy 164.181136 117.414752) + (xy 164.119592 117.636673) + (xy 164.095119 117.865665) + (xy 136.661248 117.865665) + (xy 136.661248 115.865665) + (xy 160.095119 115.865665) + (xy 160.108376 116.09558) + (xy 160.109268 116.099537) + (xy 160.148864 116.275237) + (xy 160.159006 116.320242) + (xy 160.160535 116.324006) + (xy 160.160536 116.324011) + (xy 160.232667 116.501648) + (xy 160.245649 116.533618) + (xy 160.247773 116.537084) + (xy 160.247775 116.537088) + (xy 160.289089 116.604506) + (xy 160.365979 116.729978) + (xy 160.368638 116.733048) + (xy 160.368639 116.733049) + (xy 160.491806 116.875237) + (xy 160.516763 116.904048) + (xy 160.693953 117.051154) + (xy 160.89279 117.167345) + (xy 161.107934 117.249501) + (xy 161.111909 117.25031) + (xy 161.11191 117.25031) + (xy 161.329624 117.294604) + (xy 161.329628 117.294604) + (xy 161.333607 117.295414) + (xy 161.337667 117.295563) + (xy 161.337668 117.295563) + (xy 161.379459 117.297095) + (xy 161.563749 117.303853) + (xy 161.567767 117.303338) + (xy 161.567773 117.303338) + (xy 161.788146 117.275108) + (xy 161.788152 117.275107) + (xy 161.792178 117.274591) + (xy 161.796071 117.273423) + (xy 161.796076 117.273422) + (xy 161.954836 117.225791) + (xy 162.012761 117.208413) + (xy 162.219574 117.107096) + (xy 162.407062 116.973363) + (xy 162.57019 116.810803) + (xy 162.704577 116.623783) + (xy 162.806615 116.417325) + (xy 162.836112 116.320242) + (xy 162.87238 116.200869) + (xy 162.87238 116.200868) + (xy 162.873563 116.196975) + (xy 162.903622 115.968649) + (xy 162.9053 115.9) + (xy 162.902477 115.865665) + (xy 168.095119 115.865665) + (xy 168.108376 116.09558) + (xy 168.109268 116.099537) + (xy 168.148864 116.275237) + (xy 168.159006 116.320242) + (xy 168.160535 116.324006) + (xy 168.160536 116.324011) + (xy 168.232667 116.501648) + (xy 168.245649 116.533618) + (xy 168.247773 116.537084) + (xy 168.247775 116.537088) + (xy 168.289089 116.604506) + (xy 168.365979 116.729978) + (xy 168.368638 116.733048) + (xy 168.368639 116.733049) + (xy 168.491806 116.875237) + (xy 168.516763 116.904048) + (xy 168.693953 117.051154) + (xy 168.89279 117.167345) + (xy 169.107934 117.249501) + (xy 169.111909 117.25031) + (xy 169.11191 117.25031) + (xy 169.329624 117.294604) + (xy 169.329628 117.294604) + (xy 169.333607 117.295414) + (xy 169.337667 117.295563) + (xy 169.337668 117.295563) + (xy 169.379459 117.297095) + (xy 169.563749 117.303853) + (xy 169.567767 117.303338) + (xy 169.567773 117.303338) + (xy 169.788146 117.275108) + (xy 169.788152 117.275107) + (xy 169.792178 117.274591) + (xy 169.796071 117.273423) + (xy 169.796076 117.273422) + (xy 169.821931 117.265665) + (xy 264.495119 117.265665) + (xy 264.508376 117.49558) + (xy 264.509268 117.499537) + (xy 264.541086 117.640723) + (xy 264.559006 117.720242) + (xy 264.560535 117.724006) + (xy 264.560536 117.724011) + (xy 264.632667 117.901648) + (xy 264.645649 117.933618) + (xy 264.647773 117.937084) + (xy 264.647775 117.937088) + (xy 264.689089 118.004506) + (xy 264.765979 118.129978) + (xy 264.768638 118.133048) + (xy 264.768639 118.133049) + (xy 264.891806 118.275237) + (xy 264.916763 118.304048) + (xy 265.093953 118.451154) + (xy 265.29279 118.567345) + (xy 265.507934 118.649501) + (xy 265.511909 118.65031) + (xy 265.51191 118.65031) + (xy 265.729624 118.694604) + (xy 265.729628 118.694604) + (xy 265.733607 118.695414) + (xy 265.737667 118.695563) + (xy 265.737668 118.695563) + (xy 265.779459 118.697095) + (xy 265.963749 118.703853) + (xy 265.967767 118.703338) + (xy 265.967773 118.703338) + (xy 266.188146 118.675108) + (xy 266.188152 118.675107) + (xy 266.192178 118.674591) + (xy 266.196071 118.673423) + (xy 266.196076 118.673422) + (xy 266.36153 118.623783) + (xy 266.412761 118.608413) + (xy 266.619574 118.507096) + (xy 266.807062 118.373363) + (xy 266.97019 118.210803) + (xy 267.104577 118.023783) + (xy 267.206615 117.817325) + (xy 267.236112 117.720242) + (xy 267.27238 117.600869) + (xy 267.27238 117.600868) + (xy 267.273563 117.596975) + (xy 267.303622 117.368649) + (xy 267.3053 117.3) + (xy 267.304923 117.295414) + (xy 267.302477 117.265665) + (xy 272.495119 117.265665) + (xy 272.508376 117.49558) + (xy 272.509268 117.499537) + (xy 272.541086 117.640723) + (xy 272.559006 117.720242) + (xy 272.560535 117.724006) + (xy 272.560536 117.724011) + (xy 272.632667 117.901648) + (xy 272.645649 117.933618) + (xy 272.647773 117.937084) + (xy 272.647775 117.937088) + (xy 272.689089 118.004506) + (xy 272.765979 118.129978) + (xy 272.768638 118.133048) + (xy 272.768639 118.133049) + (xy 272.891806 118.275237) + (xy 272.916763 118.304048) + (xy 273.093953 118.451154) + (xy 273.29279 118.567345) + (xy 273.507934 118.649501) + (xy 273.511909 118.65031) + (xy 273.51191 118.65031) + (xy 273.729624 118.694604) + (xy 273.729628 118.694604) + (xy 273.733607 118.695414) + (xy 273.737667 118.695563) + (xy 273.737668 118.695563) + (xy 273.779459 118.697095) + (xy 273.963749 118.703853) + (xy 273.967767 118.703338) + (xy 273.967773 118.703338) + (xy 274.188146 118.675108) + (xy 274.188152 118.675107) + (xy 274.192178 118.674591) + (xy 274.196071 118.673423) + (xy 274.196076 118.673422) + (xy 274.36153 118.623783) + (xy 274.412761 118.608413) + (xy 274.619574 118.507096) + (xy 274.807062 118.373363) + (xy 274.97019 118.210803) + (xy 275.104577 118.023783) + (xy 275.206615 117.817325) + (xy 275.236112 117.720242) + (xy 275.27238 117.600869) + (xy 275.27238 117.600868) + (xy 275.273563 117.596975) + (xy 275.303622 117.368649) + (xy 275.3053 117.3) + (xy 275.304923 117.295414) + (xy 275.286763 117.074525) + (xy 275.286762 117.074522) + (xy 275.28643 117.070478) + (xy 275.279419 117.042563) + (xy 275.244625 116.904048) + (xy 275.230326 116.84712) + (xy 275.138496 116.635924) + (xy 275.013405 116.442563) + (xy 274.858412 116.272229) + (xy 274.855226 116.269713) + (xy 274.855223 116.26971) + (xy 274.680869 116.132013) + (xy 274.680863 116.132009) + (xy 274.677681 116.129496) + (xy 274.476065 116.018198) + (xy 274.472234 116.016841) + (xy 274.472231 116.01684) + (xy 274.262814 115.942681) + (xy 274.262809 115.94268) + (xy 274.258978 115.941323) + (xy 274.03225 115.900937) + (xy 274.028204 115.900888) + (xy 274.028198 115.900887) + (xy 273.901094 115.899334) + (xy 273.801971 115.898123) + (xy 273.574325 115.932958) + (xy 273.457116 115.971268) + (xy 273.359281 116.003245) + (xy 273.359277 116.003247) + (xy 273.355424 116.004506) + (xy 273.351824 116.00638) + (xy 273.154754 116.108968) + (xy 273.154751 116.10897) + (xy 273.151149 116.110845) + (xy 272.966984 116.249119) + (xy 272.807877 116.415616) + (xy 272.805585 116.418976) + (xy 272.680389 116.602505) + (xy 272.680386 116.602511) + (xy 272.678099 116.605863) + (xy 272.581136 116.814752) + (xy 272.556372 116.904048) + (xy 272.525432 117.015616) + (xy 272.519592 117.036673) + (xy 272.519159 117.040721) + (xy 272.519159 117.040723) + (xy 272.518321 117.048562) + (xy 272.495119 117.265665) + (xy 267.302477 117.265665) + (xy 267.286763 117.074525) + (xy 267.286762 117.074522) + (xy 267.28643 117.070478) + (xy 267.279419 117.042563) + (xy 267.244625 116.904048) + (xy 267.230326 116.84712) + (xy 267.138496 116.635924) + (xy 267.013405 116.442563) + (xy 266.858412 116.272229) + (xy 266.855226 116.269713) + (xy 266.855223 116.26971) + (xy 266.680869 116.132013) + (xy 266.680863 116.132009) + (xy 266.677681 116.129496) + (xy 266.476065 116.018198) + (xy 266.472234 116.016841) + (xy 266.472231 116.01684) + (xy 266.262814 115.942681) + (xy 266.262809 115.94268) + (xy 266.258978 115.941323) + (xy 266.03225 115.900937) + (xy 266.028204 115.900888) + (xy 266.028198 115.900887) + (xy 265.901094 115.899334) + (xy 265.801971 115.898123) + (xy 265.574325 115.932958) + (xy 265.457116 115.971268) + (xy 265.359281 116.003245) + (xy 265.359277 116.003247) + (xy 265.355424 116.004506) + (xy 265.351824 116.00638) + (xy 265.154754 116.108968) + (xy 265.154751 116.10897) + (xy 265.151149 116.110845) + (xy 264.966984 116.249119) + (xy 264.807877 116.415616) + (xy 264.805585 116.418976) + (xy 264.680389 116.602505) + (xy 264.680386 116.602511) + (xy 264.678099 116.605863) + (xy 264.581136 116.814752) + (xy 264.556372 116.904048) + (xy 264.525432 117.015616) + (xy 264.519592 117.036673) + (xy 264.519159 117.040721) + (xy 264.519159 117.040723) + (xy 264.518321 117.048562) + (xy 264.495119 117.265665) + (xy 169.821931 117.265665) + (xy 169.954836 117.225791) + (xy 170.012761 117.208413) + (xy 170.219574 117.107096) + (xy 170.407062 116.973363) + (xy 170.57019 116.810803) + (xy 170.704577 116.623783) + (xy 170.806615 116.417325) + (xy 170.836112 116.320242) + (xy 170.87238 116.200869) + (xy 170.87238 116.200868) + (xy 170.873563 116.196975) + (xy 170.903622 115.968649) + (xy 170.9053 115.9) + (xy 170.88643 115.670478) + (xy 170.830326 115.44712) + (xy 170.738496 115.235924) + (xy 170.613405 115.042563) + (xy 170.458412 114.872229) + (xy 170.455226 114.869713) + (xy 170.455223 114.86971) + (xy 170.280869 114.732013) + (xy 170.280863 114.732009) + (xy 170.277681 114.729496) + (xy 170.076065 114.618198) + (xy 170.072234 114.616841) + (xy 170.072231 114.61684) + (xy 169.862814 114.542681) + (xy 169.862809 114.54268) + (xy 169.858978 114.541323) + (xy 169.63225 114.500937) + (xy 169.628204 114.500888) + (xy 169.628198 114.500887) + (xy 169.501094 114.499334) + (xy 169.401971 114.498123) + (xy 169.174325 114.532958) + (xy 169.056433 114.571491) + (xy 168.959281 114.603245) + (xy 168.959277 114.603247) + (xy 168.955424 114.604506) + (xy 168.951824 114.60638) + (xy 168.754754 114.708968) + (xy 168.754751 114.70897) + (xy 168.751149 114.710845) + (xy 168.566984 114.849119) + (xy 168.407877 115.015616) + (xy 168.405585 115.018976) + (xy 168.280389 115.202505) + (xy 168.280386 115.202511) + (xy 168.278099 115.205863) + (xy 168.181136 115.414752) + (xy 168.119592 115.636673) + (xy 168.095119 115.865665) + (xy 162.902477 115.865665) + (xy 162.88643 115.670478) + (xy 162.830326 115.44712) + (xy 162.738496 115.235924) + (xy 162.613405 115.042563) + (xy 162.458412 114.872229) + (xy 162.455226 114.869713) + (xy 162.455223 114.86971) + (xy 162.280869 114.732013) + (xy 162.280863 114.732009) + (xy 162.277681 114.729496) + (xy 162.076065 114.618198) + (xy 162.072234 114.616841) + (xy 162.072231 114.61684) + (xy 161.862814 114.542681) + (xy 161.862809 114.54268) + (xy 161.858978 114.541323) + (xy 161.63225 114.500937) + (xy 161.628204 114.500888) + (xy 161.628198 114.500887) + (xy 161.501094 114.499334) + (xy 161.401971 114.498123) + (xy 161.174325 114.532958) + (xy 161.056433 114.571491) + (xy 160.959281 114.603245) + (xy 160.959277 114.603247) + (xy 160.955424 114.604506) + (xy 160.951824 114.60638) + (xy 160.754754 114.708968) + (xy 160.754751 114.70897) + (xy 160.751149 114.710845) + (xy 160.566984 114.849119) + (xy 160.407877 115.015616) + (xy 160.405585 115.018976) + (xy 160.280389 115.202505) + (xy 160.280386 115.202511) + (xy 160.278099 115.205863) + (xy 160.181136 115.414752) + (xy 160.119592 115.636673) + (xy 160.095119 115.865665) + (xy 136.661248 115.865665) + (xy 136.661248 109.4) + (xy 163.369474 109.4) + (xy 163.369779 109.403875) + (xy 163.38915 109.650011) + (xy 163.389548 109.65507) + (xy 163.449278 109.90386) + (xy 163.547191 110.140243) + (xy 163.680877 110.358399) + (xy 163.847044 110.552956) + (xy 164.041601 110.719123) + (xy 164.259757 110.852809) + (xy 164.49614 110.950722) + (xy 164.499915 110.951628) + (xy 164.499917 110.951629) + (xy 164.633099 110.983603) + (xy 164.74493 111.010452) + (xy 164.748795 111.010756) + (xy 164.7488 111.010757) + (xy 164.996125 111.030221) + (xy 165 111.030526) + (xy 165.003875 111.030221) + (xy 165.2512 111.010757) + (xy 165.251205 111.010756) + (xy 165.25507 111.010452) + (xy 165.366901 110.983603) + (xy 165.500083 110.951629) + (xy 165.500085 110.951628) + (xy 165.50386 110.950722) + (xy 165.740243 110.852809) + (xy 165.82642 110.8) + (xy 268.769474 110.8) + (xy 268.769779 110.803875) + (xy 268.786061 111.010757) + (xy 268.789548 111.05507) + (xy 268.849278 111.30386) + (xy 268.947191 111.540243) + (xy 269.080877 111.758399) + (xy 269.247044 111.952956) + (xy 269.441601 112.119123) + (xy 269.659757 112.252809) + (xy 269.89614 112.350722) + (xy 269.899915 112.351628) + (xy 269.899917 112.351629) + (xy 270.033099 112.383603) + (xy 270.14493 112.410452) + (xy 270.148795 112.410756) + (xy 270.1488 112.410757) + (xy 270.396125 112.430221) + (xy 270.4 112.430526) + (xy 270.403875 112.430221) + (xy 270.6512 112.410757) + (xy 270.651205 112.410756) + (xy 270.65507 112.410452) + (xy 270.766901 112.383603) + (xy 270.900083 112.351629) + (xy 270.900085 112.351628) + (xy 270.90386 112.350722) + (xy 271.140243 112.252809) + (xy 271.358399 112.119123) + (xy 271.552956 111.952956) + (xy 271.719123 111.758399) + (xy 271.852809 111.540243) + (xy 271.950722 111.30386) + (xy 272.010452 111.05507) + (xy 272.01394 111.010757) + (xy 272.030221 110.803875) + (xy 272.030526 110.8) + (xy 272.011084 110.552956) + (xy 272.010757 110.5488) + (xy 272.010756 110.548795) + (xy 272.010452 110.54493) + (xy 271.950722 110.29614) + (xy 271.852809 110.059757) + (xy 271.719123 109.841601) + (xy 271.552956 109.647044) + (xy 271.358399 109.480877) + (xy 271.140243 109.347191) + (xy 270.90386 109.249278) + (xy 270.900085 109.248372) + (xy 270.900083 109.248371) + (xy 270.766901 109.216397) + (xy 270.65507 109.189548) + (xy 270.651205 109.189244) + (xy 270.6512 109.189243) + (xy 270.403875 109.169779) + (xy 270.4 109.169474) + (xy 270.396125 109.169779) + (xy 270.1488 109.189243) + (xy 270.148795 109.189244) + (xy 270.14493 109.189548) + (xy 270.033099 109.216397) + (xy 269.899917 109.248371) + (xy 269.899915 109.248372) + (xy 269.89614 109.249278) + (xy 269.659757 109.347191) + (xy 269.441601 109.480877) + (xy 269.247044 109.647044) + (xy 269.080877 109.841601) + (xy 268.947191 110.059757) + (xy 268.849278 110.29614) + (xy 268.789548 110.54493) + (xy 268.789244 110.548795) + (xy 268.789243 110.5488) + (xy 268.788916 110.552956) + (xy 268.769474 110.8) + (xy 165.82642 110.8) + (xy 165.958399 110.719123) + (xy 166.152956 110.552956) + (xy 166.319123 110.358399) + (xy 166.452809 110.140243) + (xy 166.550722 109.90386) + (xy 166.610452 109.65507) + (xy 166.610851 109.650011) + (xy 166.630221 109.403875) + (xy 166.630526 109.4) + (xy 166.614035 109.190454) + (xy 166.610757 109.1488) + (xy 166.610756 109.148795) + (xy 166.610452 109.14493) + (xy 166.550722 108.89614) + (xy 166.452809 108.659757) + (xy 166.319123 108.441601) + (xy 166.152956 108.247044) + (xy 165.974162 108.09434) + (xy 165.961359 108.083405) + (xy 165.961358 108.083405) + (xy 165.958399 108.080877) + (xy 165.740243 107.947191) + (xy 165.50386 107.849278) + (xy 165.500085 107.848372) + (xy 165.500083 107.848371) + (xy 165.269444 107.792999) + (xy 165.25507 107.789548) + (xy 165.251205 107.789244) + (xy 165.2512 107.789243) + (xy 165.003875 107.769779) + (xy 165 107.769474) + (xy 164.996125 107.769779) + (xy 164.7488 107.789243) + (xy 164.748795 107.789244) + (xy 164.74493 107.789548) + (xy 164.730556 107.792999) + (xy 164.499917 107.848371) + (xy 164.499915 107.848372) + (xy 164.49614 107.849278) + (xy 164.259757 107.947191) + (xy 164.041601 108.080877) + (xy 164.038642 108.083405) + (xy 164.038641 108.083405) + (xy 164.025838 108.09434) + (xy 163.847044 108.247044) + (xy 163.680877 108.441601) + (xy 163.547191 108.659757) + (xy 163.449278 108.89614) + (xy 163.389548 109.14493) + (xy 163.389244 109.148795) + (xy 163.389243 109.1488) + (xy 163.385965 109.190454) + (xy 163.369474 109.4) + (xy 136.661248 109.4) + (xy 136.661248 107.56844) + (xy 257.89477 107.56844) + (xy 257.9092 107.788604) + (xy 257.910316 107.792997) + (xy 257.910316 107.792999) + (xy 257.933873 107.885754) + (xy 257.963511 108.002452) + (xy 258.055883 108.202821) + (xy 258.183222 108.383002) + (xy 258.341264 108.536961) + (xy 258.524717 108.65954) + (xy 258.727436 108.746635) + (xy 258.805165 108.764223) + (xy 258.938206 108.794328) + (xy 258.938211 108.794329) + (xy 258.942632 108.795329) + (xy 259.052865 108.79966) + (xy 259.158565 108.803813) + (xy 259.158566 108.803813) + (xy 259.163098 108.803991) + (xy 259.381452 108.772331) + (xy 259.385751 108.770872) + (xy 259.385754 108.770871) + (xy 259.586078 108.70287) + (xy 259.590379 108.70141) + (xy 259.661944 108.661332) + (xy 259.778925 108.595819) + (xy 259.782884 108.593602) + (xy 259.952518 108.452518) + (xy 260.093602 108.282884) + (xy 260.20141 108.090379) + (xy 260.203777 108.083405) + (xy 260.270871 107.885754) + (xy 260.270872 107.885751) + (xy 260.272331 107.881452) + (xy 260.285701 107.789243) + (xy 260.303571 107.665997) + (xy 260.303571 107.665991) + (xy 260.303991 107.663098) + (xy 260.305643 107.6) + (xy 260.285454 107.380289) + (xy 260.250688 107.257018) + (xy 260.226799 107.172311) + (xy 260.226798 107.17231) + (xy 260.225565 107.167936) + (xy 260.223557 107.163864) + (xy 260.223555 107.163859) + (xy 260.129988 106.974125) + (xy 260.12798 106.970053) + (xy 259.995967 106.793267) + (xy 259.967658 106.767098) + (xy 259.837279 106.646577) + (xy 259.837278 106.646576) + (xy 259.833949 106.643499) + (xy 259.775419 106.606569) + (xy 259.651187 106.528185) + (xy 259.64735 106.525764) + (xy 259.442421 106.444006) + (xy 259.226024 106.400962) + (xy 259.117347 106.399539) + (xy 259.009946 106.398133) + (xy 259.009941 106.398133) + (xy 259.005406 106.398074) + (xy 259.000933 106.398843) + (xy 259.000928 106.398843) + (xy 258.792435 106.434668) + (xy 258.792429 106.43467) + (xy 258.787957 106.435438) + (xy 258.760176 106.445687) + (xy 258.58522 106.510231) + (xy 258.585217 106.510232) + (xy 258.580957 106.511804) + (xy 258.577054 106.514126) + (xy 258.577052 106.514127) + (xy 258.557492 106.525764) + (xy 258.391341 106.624614) + (xy 258.387926 106.627609) + (xy 258.387923 106.627611) + (xy 258.306865 106.698697) + (xy 258.225457 106.77009) + (xy 258.222649 106.773652) + (xy 258.133788 106.886373) + (xy 258.088863 106.94336) + (xy 258.086749 106.947378) + (xy 258.066343 106.986164) + (xy 257.986131 107.13862) + (xy 257.984787 107.142949) + (xy 257.937472 107.295329) + (xy 257.920703 107.349333) + (xy 257.920169 107.353843) + (xy 257.920169 107.353844) + (xy 257.917039 107.380289) + (xy 257.89477 107.56844) + (xy 136.661248 107.56844) + (xy 136.661248 106.16844) + (xy 175.09477 106.16844) + (xy 175.100428 106.25476) + (xy 175.108437 106.376955) + (xy 175.1092 106.388604) + (xy 175.110316 106.392997) + (xy 175.110316 106.392999) + (xy 175.144649 106.528185) + (xy 175.163511 106.602452) + (xy 175.255883 106.802821) + (xy 175.383222 106.983002) + (xy 175.541264 107.136961) + (xy 175.724717 107.25954) + (xy 175.927436 107.346635) + (xy 176.005165 107.364223) + (xy 176.138206 107.394328) + (xy 176.138211 107.394329) + (xy 176.142632 107.395329) + (xy 176.252865 107.39966) + (xy 176.358565 107.403813) + (xy 176.358566 107.403813) + (xy 176.363098 107.403991) + (xy 176.581452 107.372331) + (xy 176.585751 107.370872) + (xy 176.585754 107.370871) + (xy 176.786078 107.30287) + (xy 176.790379 107.30141) + (xy 176.801238 107.295329) + (xy 176.978925 107.195819) + (xy 176.982884 107.193602) + (xy 177.152518 107.052518) + (xy 177.293602 106.882884) + (xy 177.40141 106.690379) + (xy 177.434011 106.59434) + (xy 177.470871 106.485754) + (xy 177.470872 106.485751) + (xy 177.472331 106.481452) + (xy 177.485156 106.392999) + (xy 177.503571 106.265997) + (xy 177.503571 106.265991) + (xy 177.503991 106.263098) + (xy 177.505643 106.2) + (xy 177.501984 106.160173) + (xy 177.493554 106.06844) + (xy 275.99477 106.06844) + (xy 276.000428 106.15476) + (xy 276.007337 106.260173) + (xy 276.0092 106.288604) + (xy 276.010316 106.292997) + (xy 276.010316 106.292999) + (xy 276.058178 106.481452) + (xy 276.063511 106.502452) + (xy 276.155883 106.702821) + (xy 276.283222 106.883002) + (xy 276.441264 107.036961) + (xy 276.624717 107.15954) + (xy 276.827436 107.246635) + (xy 276.884468 107.25954) + (xy 277.038206 107.294328) + (xy 277.038211 107.294329) + (xy 277.042632 107.295329) + (xy 277.152865 107.29966) + (xy 277.258565 107.303813) + (xy 277.258566 107.303813) + (xy 277.263098 107.303991) + (xy 277.481452 107.272331) + (xy 277.485751 107.270872) + (xy 277.485754 107.270871) + (xy 277.686078 107.20287) + (xy 277.690379 107.20141) + (xy 277.700363 107.195819) + (xy 277.878925 107.095819) + (xy 277.882884 107.093602) + (xy 278.052518 106.952518) + (xy 278.193602 106.782884) + (xy 278.269938 106.646577) + (xy 278.299192 106.59434) + (xy 278.299193 106.594338) + (xy 278.30141 106.590379) + (xy 278.351397 106.443122) + (xy 278.370871 106.385754) + (xy 278.370872 106.385751) + (xy 278.372331 106.381452) + (xy 278.385156 106.292999) + (xy 278.403571 106.165997) + (xy 278.403571 106.165991) + (xy 278.403991 106.163098) + (xy 278.405643 106.1) + (xy 278.385454 105.880289) + (xy 278.362583 105.799192) + (xy 278.326799 105.672311) + (xy 278.326798 105.67231) + (xy 278.325565 105.667936) + (xy 278.323557 105.663864) + (xy 278.323555 105.663859) + (xy 278.229988 105.474125) + (xy 278.22798 105.470053) + (xy 278.095967 105.293267) + (xy 278.019185 105.22229) + (xy 277.937279 105.146577) + (xy 277.937278 105.146576) + (xy 277.933949 105.143499) + (xy 277.883716 105.111804) + (xy 277.751187 105.028185) + (xy 277.74735 105.025764) + (xy 277.542421 104.944006) + (xy 277.326024 104.900962) + (xy 277.217347 104.899539) + (xy 277.109946 104.898133) + (xy 277.109941 104.898133) + (xy 277.105406 104.898074) + (xy 277.100933 104.898843) + (xy 277.100928 104.898843) + (xy 276.892435 104.934668) + (xy 276.892429 104.93467) + (xy 276.887957 104.935438) + (xy 276.860176 104.945687) + (xy 276.68522 105.010231) + (xy 276.685217 105.010232) + (xy 276.680957 105.011804) + (xy 276.677054 105.014126) + (xy 276.677052 105.014127) + (xy 276.657492 105.025764) + (xy 276.491341 105.124614) + (xy 276.487926 105.127609) + (xy 276.487923 105.127611) + (xy 276.380036 105.222225) + (xy 276.325457 105.27009) + (xy 276.322649 105.273652) + (xy 276.225486 105.396904) + (xy 276.188863 105.44336) + (xy 276.186749 105.447378) + (xy 276.120064 105.574125) + (xy 276.086131 105.63862) + (xy 276.084787 105.642949) + (xy 276.049368 105.757018) + (xy 276.020703 105.849333) + (xy 276.020169 105.853843) + (xy 276.020169 105.853844) + (xy 276.017039 105.880289) + (xy 275.99477 106.06844) + (xy 177.493554 106.06844) + (xy 177.485869 105.984809) + (xy 177.485454 105.980289) + (xy 177.458526 105.884809) + (xy 177.426799 105.772311) + (xy 177.426798 105.77231) + (xy 177.425565 105.767936) + (xy 177.423557 105.763864) + (xy 177.423555 105.763859) + (xy 177.329988 105.574125) + (xy 177.32798 105.570053) + (xy 177.195967 105.393267) + (xy 177.167658 105.367098) + (xy 177.037279 105.246577) + (xy 177.037278 105.246576) + (xy 177.033949 105.243499) + (xy 176.84735 105.125764) + (xy 176.642421 105.044006) + (xy 176.426024 105.000962) + (xy 176.317347 104.999539) + (xy 176.209946 104.998133) + (xy 176.209941 104.998133) + (xy 176.205406 104.998074) + (xy 176.200933 104.998843) + (xy 176.200928 104.998843) + (xy 175.992435 105.034668) + (xy 175.992429 105.03467) + (xy 175.987957 105.035438) + (xy 175.960176 105.045687) + (xy 175.78522 105.110231) + (xy 175.785217 105.110232) + (xy 175.780957 105.111804) + (xy 175.777054 105.114126) + (xy 175.777052 105.114127) + (xy 175.759425 105.124614) + (xy 175.591341 105.224614) + (xy 175.587926 105.227609) + (xy 175.587923 105.227611) + (xy 175.51657 105.290186) + (xy 175.425457 105.37009) + (xy 175.422649 105.373652) + (xy 175.364529 105.447378) + (xy 175.288863 105.54336) + (xy 175.186131 105.73862) + (xy 175.184787 105.742949) + (xy 175.137472 105.895329) + (xy 175.120703 105.949333) + (xy 175.120169 105.953843) + (xy 175.120169 105.953844) + (xy 175.095748 106.160173) + (xy 175.09477 106.16844) + (xy 136.661248 106.16844) + (xy 136.661248 104.66844) + (xy 156.99477 104.66844) + (xy 157.0092 104.888604) + (xy 157.010316 104.892997) + (xy 157.010316 104.892999) + (xy 157.044649 105.028185) + (xy 157.063511 105.102452) + (xy 157.155883 105.302821) + (xy 157.283222 105.483002) + (xy 157.441264 105.636961) + (xy 157.624717 105.75954) + (xy 157.827436 105.846635) + (xy 157.905165 105.864223) + (xy 158.038206 105.894328) + (xy 158.038211 105.894329) + (xy 158.042632 105.895329) + (xy 158.152865 105.89966) + (xy 158.258565 105.903813) + (xy 158.258566 105.903813) + (xy 158.263098 105.903991) + (xy 158.481452 105.872331) + (xy 158.485751 105.870872) + (xy 158.485754 105.870871) + (xy 158.686078 105.80287) + (xy 158.690379 105.80141) + (xy 158.761944 105.761332) + (xy 158.878925 105.695819) + (xy 158.882884 105.693602) + (xy 159.052518 105.552518) + (xy 159.193602 105.382884) + (xy 159.269938 105.246577) + (xy 159.299192 105.19434) + (xy 159.299193 105.194338) + (xy 159.30141 105.190379) + (xy 159.351397 105.043122) + (xy 159.370871 104.985754) + (xy 159.370872 104.985751) + (xy 159.372331 104.981452) + (xy 159.385156 104.892999) + (xy 159.403571 104.765997) + (xy 159.403571 104.765991) + (xy 159.403991 104.763098) + (xy 159.405643 104.7) + (xy 159.385454 104.480289) + (xy 159.325565 104.267936) + (xy 159.323557 104.263864) + (xy 159.323555 104.263859) + (xy 159.229988 104.074125) + (xy 159.22798 104.070053) + (xy 159.095967 103.893267) + (xy 158.933949 103.743499) + (xy 158.74735 103.625764) + (xy 158.542421 103.544006) + (xy 158.326024 103.500962) + (xy 158.217347 103.499539) + (xy 158.109946 103.498133) + (xy 158.109941 103.498133) + (xy 158.105406 103.498074) + (xy 158.100933 103.498843) + (xy 158.100928 103.498843) + (xy 157.892435 103.534668) + (xy 157.892429 103.53467) + (xy 157.887957 103.535438) + (xy 157.860176 103.545687) + (xy 157.68522 103.610231) + (xy 157.685217 103.610232) + (xy 157.680957 103.611804) + (xy 157.677054 103.614126) + (xy 157.677052 103.614127) + (xy 157.657492 103.625764) + (xy 157.491341 103.724614) + (xy 157.487926 103.727609) + (xy 157.487923 103.727611) + (xy 157.380036 103.822225) + (xy 157.325457 103.87009) + (xy 157.188863 104.04336) + (xy 157.086131 104.23862) + (xy 157.020703 104.449333) + (xy 157.020169 104.453843) + (xy 157.020169 104.453844) + (xy 157.017039 104.480289) + (xy 156.99477 104.66844) + (xy 136.661248 104.66844) + (xy 136.661248 90.640237) + (xy 138.357065 90.640237) + (xy 138.359209 90.684863) + (xy 138.369188 90.892622) + (xy 138.418483 91.140442) + (xy 138.419723 91.143897) + (xy 138.419724 91.143899) + (xy 138.465459 91.27128) + (xy 138.503866 91.378254) + (xy 138.623462 91.600834) + (xy 138.625661 91.603779) + (xy 138.625663 91.603782) + (xy 138.700338 91.703784) + (xy 138.774644 91.803291) + (xy 138.95409 91.981178) + (xy 138.957057 91.983354) + (xy 138.95706 91.983356) + (xy 139.06387 92.061672) + (xy 139.157859 92.130587) + (xy 139.381474 92.248237) + (xy 139.384945 92.249449) + (xy 139.384947 92.24945) + (xy 139.46838 92.278586) + (xy 139.620022 92.331541) + (xy 139.868263 92.378672) + (xy 140.005742 92.384074) + (xy 140.117074 92.388448) + (xy 140.117077 92.388448) + (xy 140.120744 92.388592) + (xy 140.249809 92.374457) + (xy 140.368276 92.361483) + (xy 140.368279 92.361482) + (xy 140.371917 92.361084) + (xy 140.616266 92.296752) + (xy 140.848422 92.19701) + (xy 140.95927 92.128415) + (xy 141.060159 92.065983) + (xy 141.060164 92.065979) + (xy 141.063285 92.064048) + (xy 141.256135 91.900789) + (xy 141.422735 91.710817) + (xy 141.559426 91.498308) + (xy 141.612045 91.3815) + (xy 141.661695 91.27128) + (xy 141.663205 91.267928) + (xy 141.731791 91.024739) + (xy 141.763679 90.774084) + (xy 141.766015 90.684863) + (xy 141.74729 90.432882) + (xy 141.691525 90.186437) + (xy 141.676382 90.147495) + (xy 141.601276 89.954362) + (xy 141.599946 89.950942) + (xy 141.474564 89.731569) + (xy 141.318134 89.533139) + (xy 141.315461 89.530625) + (xy 141.315456 89.530619) + (xy 141.136765 89.362524) + (xy 141.134093 89.36001) + (xy 140.926483 89.215986) + (xy 140.699865 89.104231) + (xy 140.459218 89.027199) + (xy 140.209828 88.986583) + (xy 140.206165 88.986535) + (xy 140.206164 88.986535) + (xy 140.083501 88.984929) + (xy 139.957174 88.983276) + (xy 139.953546 88.98377) + (xy 139.953542 88.98377) + (xy 139.794202 89.005455) + (xy 139.706807 89.017349) + (xy 139.464226 89.088055) + (xy 139.234761 89.19384) + (xy 139.023452 89.33238) + (xy 139.020719 89.334819) + (xy 139.020718 89.33482) + (xy 138.837682 89.498185) + (xy 138.837678 89.498189) + (xy 138.834941 89.500632) + (xy 138.832594 89.503454) + (xy 138.832592 89.503456) + (xy 138.796931 89.546334) + (xy 138.673371 89.6949) + (xy 138.671471 89.698032) + (xy 138.671469 89.698034) + (xy 138.629571 89.767079) + (xy 138.542289 89.910915) + (xy 138.444577 90.143932) + (xy 138.443672 90.147494) + (xy 138.443672 90.147495) + (xy 138.420119 90.240237) + (xy 138.38238 90.388833) + (xy 138.357065 90.640237) + (xy 136.661248 90.640237) + (xy 136.661248 88.722928) + (xy 136.680155 88.664737) + (xy 136.690244 88.652924) + (xy 137.344843 87.998325) + (xy 158.398714 87.998325) + (xy 158.398851 88.001816) + (xy 158.398851 88.001821) + (xy 158.403417 88.118011) + (xy 158.409603 88.275464) + (xy 158.440296 88.443522) + (xy 158.45546 88.526552) + (xy 158.459432 88.548303) + (xy 158.460539 88.551621) + (xy 158.46054 88.551625) + (xy 158.54389 88.801456) + (xy 158.547208 88.8114) + (xy 158.671178 89.059504) + (xy 158.828871 89.287666) + (xy 159.017138 89.491332) + (xy 159.019848 89.493539) + (xy 159.019852 89.493542) + (xy 159.167099 89.61342) + (xy 159.232223 89.666439) + (xy 159.469836 89.809494) + (xy 159.725235 89.917641) + (xy 159.993324 89.988724) + (xy 159.996797 89.989135) + (xy 159.996802 89.989136) + (xy 160.20869 90.014214) + (xy 160.268754 90.021323) + (xy 160.272243 90.021241) + (xy 160.272248 90.021241) + (xy 160.398534 90.018265) + (xy 160.54603 90.014789) + (xy 160.819619 89.969251) + (xy 160.822951 89.968197) + (xy 160.822956 89.968196) + (xy 160.921699 89.936968) + (xy 161.084062 89.885619) + (xy 161.08722 89.884103) + (xy 161.087224 89.884101) + (xy 161.330922 89.767079) + (xy 161.330923 89.767078) + (xy 161.334083 89.765561) + (xy 161.342406 89.76) + (xy 266.605971 89.76) + (xy 266.625041 89.977977) + (xy 266.62616 89.982152) + (xy 266.62616 89.982154) + (xy 266.658482 90.10278) + (xy 266.681673 90.18933) + (xy 266.774146 90.387638) + (xy 266.89965 90.566877) + (xy 267.054371 90.721598) + (xy 267.125783 90.771601) + (xy 267.215629 90.834512) + (xy 267.233609 90.847102) + (xy 267.431918 90.939575) + (xy 267.457546 90.946442) + (xy 267.639094 90.995088) + (xy 267.639096 90.995088) + (xy 267.643271 90.996207) + (xy 267.861248 91.015277) + (xy 268.079225 90.996207) + (xy 268.0834 90.995088) + (xy 268.083402 90.995088) + (xy 268.26495 90.946442) + (xy 268.290578 90.939575) + (xy 268.488887 90.847102) + (xy 268.506868 90.834512) + (xy 268.596713 90.771601) + (xy 268.668125 90.721598) + (xy 268.822846 90.566877) + (xy 268.94835 90.387638) + (xy 269.040823 90.18933) + (xy 269.064014 90.10278) + (xy 269.096336 89.982154) + (xy 269.096336 89.982152) + (xy 269.097455 89.977977) + (xy 269.116525 89.76) + (xy 269.097455 89.542023) + (xy 269.0944 89.530619) + (xy 269.041941 89.334843) + (xy 269.040823 89.33067) + (xy 268.94835 89.132362) + (xy 268.822846 88.953123) + (xy 268.668125 88.798402) + (xy 268.553244 88.717961) + (xy 268.513671 88.690252) + (xy 273.110748 88.690252) + (xy 273.110748 90.829748) + (xy 273.122381 90.888231) + (xy 273.166696 90.954552) + (xy 273.233017 90.998867) + (xy 273.24258 91.000769) + (xy 273.242582 91.00077) + (xy 273.265253 91.005279) + (xy 273.2915 91.0105) + (xy 275.430996 91.0105) + (xy 275.457243 91.005279) + (xy 275.479914 91.00077) + (xy 275.479916 91.000769) + (xy 275.489479 90.998867) + (xy 275.5558 90.954552) + (xy 275.600115 90.888231) + (xy 275.611748 90.829748) + (xy 275.611748 90.640237) + (xy 295.157065 90.640237) + (xy 295.159209 90.684863) + (xy 295.169188 90.892622) + (xy 295.218483 91.140442) + (xy 295.219723 91.143897) + (xy 295.219724 91.143899) + (xy 295.265459 91.27128) + (xy 295.303866 91.378254) + (xy 295.423462 91.600834) + (xy 295.425661 91.603779) + (xy 295.425663 91.603782) + (xy 295.500338 91.703784) + (xy 295.574644 91.803291) + (xy 295.75409 91.981178) + (xy 295.757057 91.983354) + (xy 295.75706 91.983356) + (xy 295.86387 92.061672) + (xy 295.957859 92.130587) + (xy 296.181474 92.248237) + (xy 296.184945 92.249449) + (xy 296.184947 92.24945) + (xy 296.26838 92.278586) + (xy 296.420022 92.331541) + (xy 296.668263 92.378672) + (xy 296.805742 92.384074) + (xy 296.917074 92.388448) + (xy 296.917077 92.388448) + (xy 296.920744 92.388592) + (xy 297.049809 92.374457) + (xy 297.168276 92.361483) + (xy 297.168279 92.361482) + (xy 297.171917 92.361084) + (xy 297.416266 92.296752) + (xy 297.648422 92.19701) + (xy 297.75927 92.128415) + (xy 297.860159 92.065983) + (xy 297.860164 92.065979) + (xy 297.863285 92.064048) + (xy 298.056135 91.900789) + (xy 298.222735 91.710817) + (xy 298.359426 91.498308) + (xy 298.412045 91.3815) + (xy 298.461695 91.27128) + (xy 298.463205 91.267928) + (xy 298.531791 91.024739) + (xy 298.563679 90.774084) + (xy 298.566015 90.684863) + (xy 298.54729 90.432882) + (xy 298.491525 90.186437) + (xy 298.476382 90.147495) + (xy 298.401276 89.954362) + (xy 298.399946 89.950942) + (xy 298.274564 89.731569) + (xy 298.118134 89.533139) + (xy 298.115461 89.530625) + (xy 298.115456 89.530619) + (xy 297.936765 89.362524) + (xy 297.934093 89.36001) + (xy 297.726483 89.215986) + (xy 297.499865 89.104231) + (xy 297.259218 89.027199) + (xy 297.009828 88.986583) + (xy 297.006165 88.986535) + (xy 297.006164 88.986535) + (xy 296.883501 88.984929) + (xy 296.757174 88.983276) + (xy 296.753546 88.98377) + (xy 296.753542 88.98377) + (xy 296.594202 89.005455) + (xy 296.506807 89.017349) + (xy 296.264226 89.088055) + (xy 296.034761 89.19384) + (xy 295.823452 89.33238) + (xy 295.820719 89.334819) + (xy 295.820718 89.33482) + (xy 295.637682 89.498185) + (xy 295.637678 89.498189) + (xy 295.634941 89.500632) + (xy 295.632594 89.503454) + (xy 295.632592 89.503456) + (xy 295.596931 89.546334) + (xy 295.473371 89.6949) + (xy 295.471471 89.698032) + (xy 295.471469 89.698034) + (xy 295.429571 89.767079) + (xy 295.342289 89.910915) + (xy 295.244577 90.143932) + (xy 295.243672 90.147494) + (xy 295.243672 90.147495) + (xy 295.220119 90.240237) + (xy 295.18238 90.388833) + (xy 295.157065 90.640237) + (xy 275.611748 90.640237) + (xy 275.611748 88.690252) + (xy 275.600115 88.631769) + (xy 275.5558 88.565448) + (xy 275.489479 88.521133) + (xy 275.479916 88.519231) + (xy 275.479914 88.51923) + (xy 275.457243 88.514721) + (xy 275.430996 88.5095) + (xy 273.2915 88.5095) + (xy 273.265253 88.514721) + (xy 273.242582 88.51923) + (xy 273.24258 88.519231) + (xy 273.233017 88.521133) + (xy 273.166696 88.565448) + (xy 273.122381 88.631769) + (xy 273.110748 88.690252) + (xy 268.513671 88.690252) + (xy 268.492432 88.67538) + (xy 268.49243 88.675379) + (xy 268.488887 88.672898) + (xy 268.290578 88.580425) + (xy 268.183095 88.551625) + (xy 268.083402 88.524912) + (xy 268.0834 88.524912) + (xy 268.079225 88.523793) + (xy 267.861248 88.504723) + (xy 267.643271 88.523793) + (xy 267.639096 88.524912) + (xy 267.639094 88.524912) + (xy 267.539401 88.551625) + (xy 267.431918 88.580425) + (xy 267.23361 88.672898) + (xy 267.230067 88.675379) + (xy 267.230065 88.67538) + (xy 267.208826 88.690252) + (xy 267.054371 88.798402) + (xy 266.89965 88.953123) + (xy 266.774146 89.132362) + (xy 266.681673 89.33067) + (xy 266.680555 89.334843) + (xy 266.628097 89.530619) + (xy 266.625041 89.542023) + (xy 266.605971 89.76) + (xy 161.342406 89.76) + (xy 161.564693 89.611472) + (xy 161.567307 89.609131) + (xy 161.76868 89.428766) + (xy 161.768683 89.428763) + (xy 161.771291 89.426427) + (xy 161.949755 89.214118) + (xy 162.065629 89.02832) + (xy 162.094672 88.981752) + (xy 162.094673 88.981749) + (xy 162.096524 88.978782) + (xy 162.106303 88.956664) + (xy 162.177365 88.795923) + (xy 162.20867 88.725113) + (xy 162.219846 88.685488) + (xy 162.26467 88.526552) + (xy 162.283955 88.458173) + (xy 162.320876 88.183289) + (xy 162.324751 88.06) + (xy 162.320384 87.998325) + (xy 162.30541 87.786836) + (xy 162.305409 87.78683) + (xy 162.305162 87.78334) + (xy 162.246788 87.5122) + (xy 162.172586 87.311069) + (xy 167.106412 87.311069) + (xy 167.11964 87.512894) + (xy 167.169426 87.708928) + (xy 167.254104 87.892607) + (xy 167.370836 88.05778) + (xy 167.515714 88.198913) + (xy 167.683885 88.311282) + (xy 167.869718 88.391122) + (xy 168.066988 88.43576) + (xy 168.26909 88.4437) + (xy 168.322625 88.435938) + (xy 168.464767 88.415329) + (xy 168.46477 88.415328) + (xy 168.469255 88.414678) + (xy 168.565017 88.382171) + (xy 168.656482 88.351123) + (xy 168.656485 88.351121) + (xy 168.660779 88.349664) + (xy 168.837249 88.250837) + (xy 168.992753 88.121505) + (xy 169.122085 87.966001) + (xy 169.220912 87.789531) + (xy 169.224179 87.779909) + (xy 169.284467 87.602304) + (xy 169.285926 87.598007) + (xy 169.29787 87.515633) + (xy 169.314531 87.400717) + (xy 169.314948 87.397842) + (xy 169.316311 87.345813) + (xy 169.316387 87.342913) + (xy 169.316387 87.342908) + (xy 169.316463 87.34) + (xy 169.313805 87.311069) + (xy 171.406412 87.311069) + (xy 171.41964 87.512894) + (xy 171.469426 87.708928) + (xy 171.554104 87.892607) + (xy 171.670836 88.05778) + (xy 171.815714 88.198913) + (xy 171.983885 88.311282) + (xy 172.169718 88.391122) + (xy 172.366988 88.43576) + (xy 172.56909 88.4437) + (xy 172.622625 88.435938) + (xy 172.764767 88.415329) + (xy 172.76477 88.415328) + (xy 172.769255 88.414678) + (xy 172.865017 88.382171) + (xy 172.956482 88.351123) + (xy 172.956485 88.351121) + (xy 172.960779 88.349664) + (xy 173.137249 88.250837) + (xy 173.292753 88.121505) + (xy 173.422085 87.966001) + (xy 173.520912 87.789531) + (xy 173.524179 87.779909) + (xy 173.584467 87.602304) + (xy 173.585926 87.598007) + (xy 173.59787 87.515633) + (xy 173.614531 87.400717) + (xy 173.614948 87.397842) + (xy 173.616311 87.345813) + (xy 173.616387 87.342913) + (xy 173.616387 87.342908) + (xy 173.616463 87.34) + (xy 173.613805 87.311069) + (xy 175.706412 87.311069) + (xy 175.71964 87.512894) + (xy 175.769426 87.708928) + (xy 175.854104 87.892607) + (xy 175.970836 88.05778) + (xy 176.115714 88.198913) + (xy 176.283885 88.311282) + (xy 176.469718 88.391122) + (xy 176.666988 88.43576) + (xy 176.86909 88.4437) + (xy 176.922625 88.435938) + (xy 177.064767 88.415329) + (xy 177.06477 88.415328) + (xy 177.069255 88.414678) + (xy 177.165017 88.382171) + (xy 177.256482 88.351123) + (xy 177.256485 88.351121) + (xy 177.260779 88.349664) + (xy 177.437249 88.250837) + (xy 177.592753 88.121505) + (xy 177.722085 87.966001) + (xy 177.820912 87.789531) + (xy 177.824179 87.779909) + (xy 177.884467 87.602304) + (xy 177.885926 87.598007) + (xy 177.89787 87.515633) + (xy 177.914531 87.400717) + (xy 177.914948 87.397842) + (xy 177.916311 87.345813) + (xy 177.916387 87.342913) + (xy 177.916387 87.342908) + (xy 177.916463 87.34) + (xy 177.913805 87.311069) + (xy 180.006412 87.311069) + (xy 180.01964 87.512894) + (xy 180.069426 87.708928) + (xy 180.154104 87.892607) + (xy 180.270836 88.05778) + (xy 180.415714 88.198913) + (xy 180.583885 88.311282) + (xy 180.769718 88.391122) + (xy 180.966988 88.43576) + (xy 181.16909 88.4437) + (xy 181.222625 88.435938) + (xy 181.364767 88.415329) + (xy 181.36477 88.415328) + (xy 181.369255 88.414678) + (xy 181.465017 88.382171) + (xy 181.556482 88.351123) + (xy 181.556485 88.351121) + (xy 181.560779 88.349664) + (xy 181.737249 88.250837) + (xy 181.892753 88.121505) + (xy 182.022085 87.966001) + (xy 182.120912 87.789531) + (xy 182.124179 87.779909) + (xy 182.184467 87.602304) + (xy 182.185926 87.598007) + (xy 182.19787 87.515633) + (xy 182.214531 87.400717) + (xy 182.214948 87.397842) + (xy 182.216311 87.345813) + (xy 182.216387 87.342913) + (xy 182.216387 87.342908) + (xy 182.216463 87.34) + (xy 182.213805 87.311069) + (xy 184.306412 87.311069) + (xy 184.31964 87.512894) + (xy 184.369426 87.708928) + (xy 184.454104 87.892607) + (xy 184.570836 88.05778) + (xy 184.715714 88.198913) + (xy 184.883885 88.311282) + (xy 185.069718 88.391122) + (xy 185.266988 88.43576) + (xy 185.46909 88.4437) + (xy 185.522625 88.435938) + (xy 185.664767 88.415329) + (xy 185.66477 88.415328) + (xy 185.669255 88.414678) + (xy 185.765017 88.382171) + (xy 185.856482 88.351123) + (xy 185.856485 88.351121) + (xy 185.860779 88.349664) + (xy 186.037249 88.250837) + (xy 186.192753 88.121505) + (xy 186.322085 87.966001) + (xy 186.420912 87.789531) + (xy 186.424179 87.779909) + (xy 186.484467 87.602304) + (xy 186.485926 87.598007) + (xy 186.49787 87.515633) + (xy 186.514531 87.400717) + (xy 186.514948 87.397842) + (xy 186.516311 87.345813) + (xy 186.516387 87.342913) + (xy 186.516387 87.342908) + (xy 186.516463 87.34) + (xy 186.513805 87.311069) + (xy 188.606412 87.311069) + (xy 188.61964 87.512894) + (xy 188.669426 87.708928) + (xy 188.754104 87.892607) + (xy 188.870836 88.05778) + (xy 189.015714 88.198913) + (xy 189.183885 88.311282) + (xy 189.369718 88.391122) + (xy 189.566988 88.43576) + (xy 189.76909 88.4437) + (xy 189.822625 88.435938) + (xy 189.964767 88.415329) + (xy 189.96477 88.415328) + (xy 189.969255 88.414678) + (xy 190.065017 88.382171) + (xy 190.156482 88.351123) + (xy 190.156485 88.351121) + (xy 190.160779 88.349664) + (xy 190.337249 88.250837) + (xy 190.492753 88.121505) + (xy 190.622085 87.966001) + (xy 190.720912 87.789531) + (xy 190.724179 87.779909) + (xy 190.784467 87.602304) + (xy 190.785926 87.598007) + (xy 190.79787 87.515633) + (xy 190.814531 87.400717) + (xy 190.814948 87.397842) + (xy 190.816311 87.345813) + (xy 190.816387 87.342913) + (xy 190.816387 87.342908) + (xy 190.816463 87.34) + (xy 190.813805 87.311069) + (xy 192.906412 87.311069) + (xy 192.91964 87.512894) + (xy 192.969426 87.708928) + (xy 193.054104 87.892607) + (xy 193.170836 88.05778) + (xy 193.315714 88.198913) + (xy 193.483885 88.311282) + (xy 193.669718 88.391122) + (xy 193.866988 88.43576) + (xy 194.06909 88.4437) + (xy 194.122625 88.435938) + (xy 194.264767 88.415329) + (xy 194.26477 88.415328) + (xy 194.269255 88.414678) + (xy 194.365017 88.382171) + (xy 194.456482 88.351123) + (xy 194.456485 88.351121) + (xy 194.460779 88.349664) + (xy 194.637249 88.250837) + (xy 194.792753 88.121505) + (xy 194.922085 87.966001) + (xy 195.020912 87.789531) + (xy 195.024179 87.779909) + (xy 195.084467 87.602304) + (xy 195.085926 87.598007) + (xy 195.09787 87.515633) + (xy 195.114531 87.400717) + (xy 195.114948 87.397842) + (xy 195.116311 87.345813) + (xy 195.116387 87.342913) + (xy 195.116387 87.342908) + (xy 195.116463 87.34) + (xy 195.113805 87.311069) + (xy 210.706412 87.311069) + (xy 210.71964 87.512894) + (xy 210.769426 87.708928) + (xy 210.854104 87.892607) + (xy 210.970836 88.05778) + (xy 211.115714 88.198913) + (xy 211.283885 88.311282) + (xy 211.469718 88.391122) + (xy 211.666988 88.43576) + (xy 211.86909 88.4437) + (xy 211.922625 88.435938) + (xy 212.064767 88.415329) + (xy 212.06477 88.415328) + (xy 212.069255 88.414678) + (xy 212.165017 88.382171) + (xy 212.256482 88.351123) + (xy 212.256485 88.351121) + (xy 212.260779 88.349664) + (xy 212.437249 88.250837) + (xy 212.592753 88.121505) + (xy 212.722085 87.966001) + (xy 212.820912 87.789531) + (xy 212.824179 87.779909) + (xy 212.884467 87.602304) + (xy 212.885926 87.598007) + (xy 212.89787 87.515633) + (xy 212.914531 87.400717) + (xy 212.914948 87.397842) + (xy 212.916311 87.345813) + (xy 212.916387 87.342913) + (xy 212.916387 87.342908) + (xy 212.916463 87.34) + (xy 212.913805 87.311069) + (xy 215.006412 87.311069) + (xy 215.01964 87.512894) + (xy 215.069426 87.708928) + (xy 215.154104 87.892607) + (xy 215.270836 88.05778) + (xy 215.415714 88.198913) + (xy 215.583885 88.311282) + (xy 215.769718 88.391122) + (xy 215.966988 88.43576) + (xy 216.16909 88.4437) + (xy 216.222625 88.435938) + (xy 216.364767 88.415329) + (xy 216.36477 88.415328) + (xy 216.369255 88.414678) + (xy 216.465017 88.382171) + (xy 216.556482 88.351123) + (xy 216.556485 88.351121) + (xy 216.560779 88.349664) + (xy 216.737249 88.250837) + (xy 216.892753 88.121505) + (xy 217.022085 87.966001) + (xy 217.120912 87.789531) + (xy 217.124179 87.779909) + (xy 217.184467 87.602304) + (xy 217.185926 87.598007) + (xy 217.19787 87.515633) + (xy 217.214531 87.400717) + (xy 217.214948 87.397842) + (xy 217.216311 87.345813) + (xy 217.216387 87.342913) + (xy 217.216387 87.342908) + (xy 217.216463 87.34) + (xy 217.213805 87.311069) + (xy 219.306412 87.311069) + (xy 219.31964 87.512894) + (xy 219.369426 87.708928) + (xy 219.454104 87.892607) + (xy 219.570836 88.05778) + (xy 219.715714 88.198913) + (xy 219.883885 88.311282) + (xy 220.069718 88.391122) + (xy 220.266988 88.43576) + (xy 220.46909 88.4437) + (xy 220.522625 88.435938) + (xy 220.664767 88.415329) + (xy 220.66477 88.415328) + (xy 220.669255 88.414678) + (xy 220.765017 88.382171) + (xy 220.856482 88.351123) + (xy 220.856485 88.351121) + (xy 220.860779 88.349664) + (xy 221.037249 88.250837) + (xy 221.192753 88.121505) + (xy 221.322085 87.966001) + (xy 221.420912 87.789531) + (xy 221.424179 87.779909) + (xy 221.484467 87.602304) + (xy 221.485926 87.598007) + (xy 221.49787 87.515633) + (xy 221.514531 87.400717) + (xy 221.514948 87.397842) + (xy 221.516311 87.345813) + (xy 221.516387 87.342913) + (xy 221.516387 87.342908) + (xy 221.516463 87.34) + (xy 221.513805 87.311069) + (xy 223.606412 87.311069) + (xy 223.61964 87.512894) + (xy 223.669426 87.708928) + (xy 223.754104 87.892607) + (xy 223.870836 88.05778) + (xy 224.015714 88.198913) + (xy 224.183885 88.311282) + (xy 224.369718 88.391122) + (xy 224.566988 88.43576) + (xy 224.76909 88.4437) + (xy 224.822625 88.435938) + (xy 224.964767 88.415329) + (xy 224.96477 88.415328) + (xy 224.969255 88.414678) + (xy 225.065017 88.382171) + (xy 225.156482 88.351123) + (xy 225.156485 88.351121) + (xy 225.160779 88.349664) + (xy 225.337249 88.250837) + (xy 225.492753 88.121505) + (xy 225.622085 87.966001) + (xy 225.720912 87.789531) + (xy 225.724179 87.779909) + (xy 225.784467 87.602304) + (xy 225.785926 87.598007) + (xy 225.79787 87.515633) + (xy 225.814531 87.400717) + (xy 225.814948 87.397842) + (xy 225.816311 87.345813) + (xy 225.816387 87.342913) + (xy 225.816387 87.342908) + (xy 225.816463 87.34) + (xy 225.813805 87.311069) + (xy 227.906412 87.311069) + (xy 227.91964 87.512894) + (xy 227.969426 87.708928) + (xy 228.054104 87.892607) + (xy 228.170836 88.05778) + (xy 228.315714 88.198913) + (xy 228.483885 88.311282) + (xy 228.669718 88.391122) + (xy 228.866988 88.43576) + (xy 229.06909 88.4437) + (xy 229.122625 88.435938) + (xy 229.264767 88.415329) + (xy 229.26477 88.415328) + (xy 229.269255 88.414678) + (xy 229.365017 88.382171) + (xy 229.456482 88.351123) + (xy 229.456485 88.351121) + (xy 229.460779 88.349664) + (xy 229.637249 88.250837) + (xy 229.792753 88.121505) + (xy 229.922085 87.966001) + (xy 230.020912 87.789531) + (xy 230.024179 87.779909) + (xy 230.084467 87.602304) + (xy 230.085926 87.598007) + (xy 230.09787 87.515633) + (xy 230.114531 87.400717) + (xy 230.114948 87.397842) + (xy 230.116311 87.345813) + (xy 230.116387 87.342913) + (xy 230.116387 87.342908) + (xy 230.116463 87.34) + (xy 230.113805 87.311069) + (xy 232.206412 87.311069) + (xy 232.21964 87.512894) + (xy 232.269426 87.708928) + (xy 232.354104 87.892607) + (xy 232.470836 88.05778) + (xy 232.615714 88.198913) + (xy 232.783885 88.311282) + (xy 232.969718 88.391122) + (xy 233.166988 88.43576) + (xy 233.36909 88.4437) + (xy 233.422625 88.435938) + (xy 233.564767 88.415329) + (xy 233.56477 88.415328) + (xy 233.569255 88.414678) + (xy 233.665017 88.382171) + (xy 233.756482 88.351123) + (xy 233.756485 88.351121) + (xy 233.760779 88.349664) + (xy 233.937249 88.250837) + (xy 234.092753 88.121505) + (xy 234.222085 87.966001) + (xy 234.320912 87.789531) + (xy 234.324179 87.779909) + (xy 234.384467 87.602304) + (xy 234.385926 87.598007) + (xy 234.39787 87.515633) + (xy 234.414531 87.400717) + (xy 234.414948 87.397842) + (xy 234.416311 87.345813) + (xy 234.416387 87.342913) + (xy 234.416387 87.342908) + (xy 234.416463 87.34) + (xy 234.413805 87.311069) + (xy 236.506412 87.311069) + (xy 236.51964 87.512894) + (xy 236.569426 87.708928) + (xy 236.654104 87.892607) + (xy 236.770836 88.05778) + (xy 236.915714 88.198913) + (xy 237.083885 88.311282) + (xy 237.269718 88.391122) + (xy 237.466988 88.43576) + (xy 237.66909 88.4437) + (xy 237.722625 88.435938) + (xy 237.864767 88.415329) + (xy 237.86477 88.415328) + (xy 237.869255 88.414678) + (xy 237.965017 88.382171) + (xy 238.056482 88.351123) + (xy 238.056485 88.351121) + (xy 238.060779 88.349664) + (xy 238.237249 88.250837) + (xy 238.392753 88.121505) + (xy 238.522085 87.966001) + (xy 238.620912 87.789531) + (xy 238.624179 87.779909) + (xy 238.684467 87.602304) + (xy 238.685926 87.598007) + (xy 238.69787 87.515633) + (xy 238.714531 87.400717) + (xy 238.714948 87.397842) + (xy 238.716311 87.345813) + (xy 238.716387 87.342913) + (xy 238.716387 87.342908) + (xy 238.716463 87.34) + (xy 238.713805 87.311069) + (xy 240.806412 87.311069) + (xy 240.81964 87.512894) + (xy 240.869426 87.708928) + (xy 240.954104 87.892607) + (xy 241.070836 88.05778) + (xy 241.215714 88.198913) + (xy 241.383885 88.311282) + (xy 241.569718 88.391122) + (xy 241.766988 88.43576) + (xy 241.96909 88.4437) + (xy 242.022625 88.435938) + (xy 242.164767 88.415329) + (xy 242.16477 88.415328) + (xy 242.169255 88.414678) + (xy 242.265017 88.382171) + (xy 242.356482 88.351123) + (xy 242.356485 88.351121) + (xy 242.360779 88.349664) + (xy 242.537249 88.250837) + (xy 242.692753 88.121505) + (xy 242.822085 87.966001) + (xy 242.920912 87.789531) + (xy 242.924179 87.779909) + (xy 242.984467 87.602304) + (xy 242.985926 87.598007) + (xy 242.99787 87.515633) + (xy 243.014531 87.400717) + (xy 243.014948 87.397842) + (xy 243.016311 87.345813) + (xy 243.016387 87.342913) + (xy 243.016387 87.342908) + (xy 243.016463 87.34) + (xy 243.013805 87.311069) + (xy 245.106412 87.311069) + (xy 245.11964 87.512894) + (xy 245.169426 87.708928) + (xy 245.254104 87.892607) + (xy 245.370836 88.05778) + (xy 245.515714 88.198913) + (xy 245.683885 88.311282) + (xy 245.869718 88.391122) + (xy 246.066988 88.43576) + (xy 246.26909 88.4437) + (xy 246.322625 88.435938) + (xy 246.464767 88.415329) + (xy 246.46477 88.415328) + (xy 246.469255 88.414678) + (xy 246.565017 88.382171) + (xy 246.656482 88.351123) + (xy 246.656485 88.351121) + (xy 246.660779 88.349664) + (xy 246.837249 88.250837) + (xy 246.992753 88.121505) + (xy 247.122085 87.966001) + (xy 247.220912 87.789531) + (xy 247.224179 87.779909) + (xy 247.284467 87.602304) + (xy 247.285926 87.598007) + (xy 247.29787 87.515633) + (xy 247.314531 87.400717) + (xy 247.314948 87.397842) + (xy 247.316311 87.345813) + (xy 247.316387 87.342913) + (xy 247.316387 87.342908) + (xy 247.316463 87.34) + (xy 247.313805 87.311069) + (xy 249.406412 87.311069) + (xy 249.41964 87.512894) + (xy 249.469426 87.708928) + (xy 249.554104 87.892607) + (xy 249.670836 88.05778) + (xy 249.815714 88.198913) + (xy 249.983885 88.311282) + (xy 250.169718 88.391122) + (xy 250.366988 88.43576) + (xy 250.56909 88.4437) + (xy 250.622625 88.435938) + (xy 250.764767 88.415329) + (xy 250.76477 88.415328) + (xy 250.769255 88.414678) + (xy 250.865017 88.382171) + (xy 250.956482 88.351123) + (xy 250.956485 88.351121) + (xy 250.960779 88.349664) + (xy 251.137249 88.250837) + (xy 251.292753 88.121505) + (xy 251.422085 87.966001) + (xy 251.520912 87.789531) + (xy 251.524179 87.779909) + (xy 251.584467 87.602304) + (xy 251.585926 87.598007) + (xy 251.59787 87.515633) + (xy 251.614531 87.400717) + (xy 251.614948 87.397842) + (xy 251.616311 87.345813) + (xy 251.616387 87.342913) + (xy 251.616387 87.342908) + (xy 251.616463 87.34) + (xy 251.613805 87.311069) + (xy 253.706412 87.311069) + (xy 253.71964 87.512894) + (xy 253.769426 87.708928) + (xy 253.854104 87.892607) + (xy 253.970836 88.05778) + (xy 254.115714 88.198913) + (xy 254.283885 88.311282) + (xy 254.469718 88.391122) + (xy 254.666988 88.43576) + (xy 254.86909 88.4437) + (xy 254.922625 88.435938) + (xy 255.064767 88.415329) + (xy 255.06477 88.415328) + (xy 255.069255 88.414678) + (xy 255.165017 88.382171) + (xy 255.256482 88.351123) + (xy 255.256485 88.351121) + (xy 255.260779 88.349664) + (xy 255.437249 88.250837) + (xy 255.592753 88.121505) + (xy 255.722085 87.966001) + (xy 255.820912 87.789531) + (xy 255.824179 87.779909) + (xy 255.884467 87.602304) + (xy 255.885926 87.598007) + (xy 255.89787 87.515633) + (xy 255.914531 87.400717) + (xy 255.914948 87.397842) + (xy 255.916311 87.345813) + (xy 255.916387 87.342913) + (xy 255.916387 87.342908) + (xy 255.916463 87.34) + (xy 255.913805 87.311069) + (xy 258.006412 87.311069) + (xy 258.01964 87.512894) + (xy 258.069426 87.708928) + (xy 258.154104 87.892607) + (xy 258.270836 88.05778) + (xy 258.415714 88.198913) + (xy 258.583885 88.311282) + (xy 258.769718 88.391122) + (xy 258.966988 88.43576) + (xy 259.16909 88.4437) + (xy 259.222625 88.435938) + (xy 259.364767 88.415329) + (xy 259.36477 88.415328) + (xy 259.369255 88.414678) + (xy 259.465017 88.382171) + (xy 259.556482 88.351123) + (xy 259.556485 88.351121) + (xy 259.560779 88.349664) + (xy 259.737249 88.250837) + (xy 259.892753 88.121505) + (xy 259.995201 87.998325) + (xy 277.198714 87.998325) + (xy 277.198851 88.001816) + (xy 277.198851 88.001821) + (xy 277.203417 88.118011) + (xy 277.209603 88.275464) + (xy 277.240296 88.443522) + (xy 277.25546 88.526552) + (xy 277.259432 88.548303) + (xy 277.260539 88.551621) + (xy 277.26054 88.551625) + (xy 277.34389 88.801456) + (xy 277.347208 88.8114) + (xy 277.471178 89.059504) + (xy 277.628871 89.287666) + (xy 277.817138 89.491332) + (xy 277.819848 89.493539) + (xy 277.819852 89.493542) + (xy 277.967099 89.61342) + (xy 278.032223 89.666439) + (xy 278.269836 89.809494) + (xy 278.525235 89.917641) + (xy 278.793324 89.988724) + (xy 278.796797 89.989135) + (xy 278.796802 89.989136) + (xy 279.00869 90.014214) + (xy 279.068754 90.021323) + (xy 279.072243 90.021241) + (xy 279.072248 90.021241) + (xy 279.198534 90.018265) + (xy 279.34603 90.014789) + (xy 279.619619 89.969251) + (xy 279.622951 89.968197) + (xy 279.622956 89.968196) + (xy 279.721699 89.936968) + (xy 279.884062 89.885619) + (xy 279.88722 89.884103) + (xy 279.887224 89.884101) + (xy 280.130922 89.767079) + (xy 280.130923 89.767078) + (xy 280.134083 89.765561) + (xy 280.364693 89.611472) + (xy 280.367307 89.609131) + (xy 280.56868 89.428766) + (xy 280.568683 89.428763) + (xy 280.571291 89.426427) + (xy 280.749755 89.214118) + (xy 280.865629 89.02832) + (xy 280.894672 88.981752) + (xy 280.894673 88.981749) + (xy 280.896524 88.978782) + (xy 280.906303 88.956664) + (xy 280.977365 88.795923) + (xy 281.00867 88.725113) + (xy 281.019846 88.685488) + (xy 281.06467 88.526552) + (xy 281.083955 88.458173) + (xy 281.120876 88.183289) + (xy 281.124751 88.06) + (xy 281.120384 87.998325) + (xy 281.10541 87.786836) + (xy 281.105409 87.78683) + (xy 281.105162 87.78334) + (xy 281.046788 87.5122) + (xy 280.950791 87.25199) + (xy 280.865538 87.093989) + (xy 280.820749 87.01098) + (xy 280.820748 87.010978) + (xy 280.819088 87.007902) + (xy 280.815109 87.002514) + (xy 280.736698 86.896355) + (xy 280.654307 86.784807) + (xy 280.639064 86.769322) + (xy 280.472177 86.599793) + (xy 280.459736 86.587155) + (xy 280.239256 86.41889) + (xy 280.102762 86.342449) + (xy 280.000317 86.285077) + (xy 280.000316 86.285077) + (xy 279.997267 86.283369) + (xy 279.838664 86.222011) + (xy 279.74187 86.184564) + (xy 279.741867 86.184563) + (xy 279.738597 86.183298) + (xy 279.735184 86.182507) + (xy 279.735181 86.182506) + (xy 279.57534 86.145457) + (xy 279.468408 86.120671) + (xy 279.330249 86.108705) + (xy 279.195577 86.097041) + (xy 279.195576 86.097041) + (xy 279.192089 86.096739) + (xy 279.073293 86.103277) + (xy 278.918655 86.111787) + (xy 278.918649 86.111788) + (xy 278.915156 86.11198) + (xy 278.643132 86.166089) + (xy 278.381447 86.257986) + (xy 278.378346 86.259597) + (xy 278.378341 86.259599) + (xy 278.246597 86.328035) + (xy 278.135321 86.385838) + (xy 278.13248 86.387868) + (xy 278.132477 86.38787) + (xy 277.912514 86.545058) + (xy 277.912511 86.545061) + (xy 277.909664 86.547095) + (xy 277.907131 86.549511) + (xy 277.907129 86.549513) + (xy 277.711518 86.736116) + (xy 277.711512 86.736123) + (xy 277.70898 86.738538) + (xy 277.537273 86.956348) + (xy 277.535518 86.95937) + (xy 277.535517 86.959371) + (xy 277.422698 87.153603) + (xy 277.397968 87.196178) + (xy 277.293846 87.453244) + (xy 277.226983 87.722417) + (xy 277.198714 87.998325) + (xy 259.995201 87.998325) + (xy 260.022085 87.966001) + (xy 260.120912 87.789531) + (xy 260.124179 87.779909) + (xy 260.184467 87.602304) + (xy 260.185926 87.598007) + (xy 260.19787 87.515633) + (xy 260.214531 87.400717) + (xy 260.214948 87.397842) + (xy 260.216311 87.345813) + (xy 260.216387 87.342913) + (xy 260.216387 87.342908) + (xy 260.216463 87.34) + (xy 260.21348 87.307529) + (xy 260.198371 87.143109) + (xy 260.197956 87.138591) + (xy 260.143055 86.943926) + (xy 260.053599 86.762527) + (xy 259.932583 86.600467) + (xy 259.78406 86.463174) + (xy 259.761373 86.448859) + (xy 259.616842 86.357667) + (xy 259.613005 86.355246) + (xy 259.425146 86.280298) + (xy 259.226774 86.240839) + (xy 259.127178 86.239535) + (xy 259.029074 86.238251) + (xy 259.029069 86.238251) + (xy 259.024534 86.238192) + (xy 259.020061 86.238961) + (xy 259.020056 86.238961) + (xy 258.921493 86.255898) + (xy 258.825197 86.272444) + (xy 258.635441 86.342449) + (xy 258.461619 86.445862) + (xy 258.458204 86.448857) + (xy 258.458201 86.448859) + (xy 258.442014 86.463055) + (xy 258.309553 86.57922) + (xy 258.184337 86.738057) + (xy 258.090162 86.917053) + (xy 258.088817 86.921384) + (xy 258.088816 86.921387) + (xy 258.035223 87.093989) + (xy 258.030185 87.110213) + (xy 258.025142 87.152823) + (xy 258.01377 87.248906) + (xy 258.006412 87.311069) + (xy 255.913805 87.311069) + (xy 255.91348 87.307529) + (xy 255.898371 87.143109) + (xy 255.897956 87.138591) + (xy 255.843055 86.943926) + (xy 255.753599 86.762527) + (xy 255.632583 86.600467) + (xy 255.48406 86.463174) + (xy 255.461373 86.448859) + (xy 255.316842 86.357667) + (xy 255.313005 86.355246) + (xy 255.125146 86.280298) + (xy 254.926774 86.240839) + (xy 254.827178 86.239535) + (xy 254.729074 86.238251) + (xy 254.729069 86.238251) + (xy 254.724534 86.238192) + (xy 254.720061 86.238961) + (xy 254.720056 86.238961) + (xy 254.621493 86.255898) + (xy 254.525197 86.272444) + (xy 254.335441 86.342449) + (xy 254.161619 86.445862) + (xy 254.158204 86.448857) + (xy 254.158201 86.448859) + (xy 254.142014 86.463055) + (xy 254.009553 86.57922) + (xy 253.884337 86.738057) + (xy 253.790162 86.917053) + (xy 253.788817 86.921384) + (xy 253.788816 86.921387) + (xy 253.735223 87.093989) + (xy 253.730185 87.110213) + (xy 253.725142 87.152823) + (xy 253.71377 87.248906) + (xy 253.706412 87.311069) + (xy 251.613805 87.311069) + (xy 251.61348 87.307529) + (xy 251.598371 87.143109) + (xy 251.597956 87.138591) + (xy 251.543055 86.943926) + (xy 251.453599 86.762527) + (xy 251.332583 86.600467) + (xy 251.18406 86.463174) + (xy 251.161373 86.448859) + (xy 251.016842 86.357667) + (xy 251.013005 86.355246) + (xy 250.825146 86.280298) + (xy 250.626774 86.240839) + (xy 250.527178 86.239535) + (xy 250.429074 86.238251) + (xy 250.429069 86.238251) + (xy 250.424534 86.238192) + (xy 250.420061 86.238961) + (xy 250.420056 86.238961) + (xy 250.321493 86.255898) + (xy 250.225197 86.272444) + (xy 250.035441 86.342449) + (xy 249.861619 86.445862) + (xy 249.858204 86.448857) + (xy 249.858201 86.448859) + (xy 249.842014 86.463055) + (xy 249.709553 86.57922) + (xy 249.584337 86.738057) + (xy 249.490162 86.917053) + (xy 249.488817 86.921384) + (xy 249.488816 86.921387) + (xy 249.435223 87.093989) + (xy 249.430185 87.110213) + (xy 249.425142 87.152823) + (xy 249.41377 87.248906) + (xy 249.406412 87.311069) + (xy 247.313805 87.311069) + (xy 247.31348 87.307529) + (xy 247.298371 87.143109) + (xy 247.297956 87.138591) + (xy 247.243055 86.943926) + (xy 247.153599 86.762527) + (xy 247.032583 86.600467) + (xy 246.88406 86.463174) + (xy 246.861373 86.448859) + (xy 246.716842 86.357667) + (xy 246.713005 86.355246) + (xy 246.525146 86.280298) + (xy 246.326774 86.240839) + (xy 246.227178 86.239535) + (xy 246.129074 86.238251) + (xy 246.129069 86.238251) + (xy 246.124534 86.238192) + (xy 246.120061 86.238961) + (xy 246.120056 86.238961) + (xy 246.021493 86.255898) + (xy 245.925197 86.272444) + (xy 245.735441 86.342449) + (xy 245.561619 86.445862) + (xy 245.558204 86.448857) + (xy 245.558201 86.448859) + (xy 245.542014 86.463055) + (xy 245.409553 86.57922) + (xy 245.284337 86.738057) + (xy 245.190162 86.917053) + (xy 245.188817 86.921384) + (xy 245.188816 86.921387) + (xy 245.135223 87.093989) + (xy 245.130185 87.110213) + (xy 245.125142 87.152823) + (xy 245.11377 87.248906) + (xy 245.106412 87.311069) + (xy 243.013805 87.311069) + (xy 243.01348 87.307529) + (xy 242.998371 87.143109) + (xy 242.997956 87.138591) + (xy 242.943055 86.943926) + (xy 242.853599 86.762527) + (xy 242.732583 86.600467) + (xy 242.58406 86.463174) + (xy 242.561373 86.448859) + (xy 242.416842 86.357667) + (xy 242.413005 86.355246) + (xy 242.225146 86.280298) + (xy 242.026774 86.240839) + (xy 241.927178 86.239535) + (xy 241.829074 86.238251) + (xy 241.829069 86.238251) + (xy 241.824534 86.238192) + (xy 241.820061 86.238961) + (xy 241.820056 86.238961) + (xy 241.721493 86.255898) + (xy 241.625197 86.272444) + (xy 241.435441 86.342449) + (xy 241.261619 86.445862) + (xy 241.258204 86.448857) + (xy 241.258201 86.448859) + (xy 241.242014 86.463055) + (xy 241.109553 86.57922) + (xy 240.984337 86.738057) + (xy 240.890162 86.917053) + (xy 240.888817 86.921384) + (xy 240.888816 86.921387) + (xy 240.835223 87.093989) + (xy 240.830185 87.110213) + (xy 240.825142 87.152823) + (xy 240.81377 87.248906) + (xy 240.806412 87.311069) + (xy 238.713805 87.311069) + (xy 238.71348 87.307529) + (xy 238.698371 87.143109) + (xy 238.697956 87.138591) + (xy 238.643055 86.943926) + (xy 238.553599 86.762527) + (xy 238.432583 86.600467) + (xy 238.28406 86.463174) + (xy 238.261373 86.448859) + (xy 238.116842 86.357667) + (xy 238.113005 86.355246) + (xy 237.925146 86.280298) + (xy 237.726774 86.240839) + (xy 237.627178 86.239535) + (xy 237.529074 86.238251) + (xy 237.529069 86.238251) + (xy 237.524534 86.238192) + (xy 237.520061 86.238961) + (xy 237.520056 86.238961) + (xy 237.421493 86.255898) + (xy 237.325197 86.272444) + (xy 237.135441 86.342449) + (xy 236.961619 86.445862) + (xy 236.958204 86.448857) + (xy 236.958201 86.448859) + (xy 236.942014 86.463055) + (xy 236.809553 86.57922) + (xy 236.684337 86.738057) + (xy 236.590162 86.917053) + (xy 236.588817 86.921384) + (xy 236.588816 86.921387) + (xy 236.535223 87.093989) + (xy 236.530185 87.110213) + (xy 236.525142 87.152823) + (xy 236.51377 87.248906) + (xy 236.506412 87.311069) + (xy 234.413805 87.311069) + (xy 234.41348 87.307529) + (xy 234.398371 87.143109) + (xy 234.397956 87.138591) + (xy 234.343055 86.943926) + (xy 234.253599 86.762527) + (xy 234.132583 86.600467) + (xy 233.98406 86.463174) + (xy 233.961373 86.448859) + (xy 233.816842 86.357667) + (xy 233.813005 86.355246) + (xy 233.625146 86.280298) + (xy 233.426774 86.240839) + (xy 233.327178 86.239535) + (xy 233.229074 86.238251) + (xy 233.229069 86.238251) + (xy 233.224534 86.238192) + (xy 233.220061 86.238961) + (xy 233.220056 86.238961) + (xy 233.121493 86.255898) + (xy 233.025197 86.272444) + (xy 232.835441 86.342449) + (xy 232.661619 86.445862) + (xy 232.658204 86.448857) + (xy 232.658201 86.448859) + (xy 232.642014 86.463055) + (xy 232.509553 86.57922) + (xy 232.384337 86.738057) + (xy 232.290162 86.917053) + (xy 232.288817 86.921384) + (xy 232.288816 86.921387) + (xy 232.235223 87.093989) + (xy 232.230185 87.110213) + (xy 232.225142 87.152823) + (xy 232.21377 87.248906) + (xy 232.206412 87.311069) + (xy 230.113805 87.311069) + (xy 230.11348 87.307529) + (xy 230.098371 87.143109) + (xy 230.097956 87.138591) + (xy 230.043055 86.943926) + (xy 229.953599 86.762527) + (xy 229.832583 86.600467) + (xy 229.68406 86.463174) + (xy 229.661373 86.448859) + (xy 229.516842 86.357667) + (xy 229.513005 86.355246) + (xy 229.325146 86.280298) + (xy 229.126774 86.240839) + (xy 229.027178 86.239535) + (xy 228.929074 86.238251) + (xy 228.929069 86.238251) + (xy 228.924534 86.238192) + (xy 228.920061 86.238961) + (xy 228.920056 86.238961) + (xy 228.821493 86.255898) + (xy 228.725197 86.272444) + (xy 228.535441 86.342449) + (xy 228.361619 86.445862) + (xy 228.358204 86.448857) + (xy 228.358201 86.448859) + (xy 228.342014 86.463055) + (xy 228.209553 86.57922) + (xy 228.084337 86.738057) + (xy 227.990162 86.917053) + (xy 227.988817 86.921384) + (xy 227.988816 86.921387) + (xy 227.935223 87.093989) + (xy 227.930185 87.110213) + (xy 227.925142 87.152823) + (xy 227.91377 87.248906) + (xy 227.906412 87.311069) + (xy 225.813805 87.311069) + (xy 225.81348 87.307529) + (xy 225.798371 87.143109) + (xy 225.797956 87.138591) + (xy 225.743055 86.943926) + (xy 225.653599 86.762527) + (xy 225.532583 86.600467) + (xy 225.38406 86.463174) + (xy 225.361373 86.448859) + (xy 225.216842 86.357667) + (xy 225.213005 86.355246) + (xy 225.025146 86.280298) + (xy 224.826774 86.240839) + (xy 224.727178 86.239535) + (xy 224.629074 86.238251) + (xy 224.629069 86.238251) + (xy 224.624534 86.238192) + (xy 224.620061 86.238961) + (xy 224.620056 86.238961) + (xy 224.521493 86.255898) + (xy 224.425197 86.272444) + (xy 224.235441 86.342449) + (xy 224.061619 86.445862) + (xy 224.058204 86.448857) + (xy 224.058201 86.448859) + (xy 224.042014 86.463055) + (xy 223.909553 86.57922) + (xy 223.784337 86.738057) + (xy 223.690162 86.917053) + (xy 223.688817 86.921384) + (xy 223.688816 86.921387) + (xy 223.635223 87.093989) + (xy 223.630185 87.110213) + (xy 223.625142 87.152823) + (xy 223.61377 87.248906) + (xy 223.606412 87.311069) + (xy 221.513805 87.311069) + (xy 221.51348 87.307529) + (xy 221.498371 87.143109) + (xy 221.497956 87.138591) + (xy 221.443055 86.943926) + (xy 221.353599 86.762527) + (xy 221.232583 86.600467) + (xy 221.08406 86.463174) + (xy 221.061373 86.448859) + (xy 220.916842 86.357667) + (xy 220.913005 86.355246) + (xy 220.725146 86.280298) + (xy 220.526774 86.240839) + (xy 220.427178 86.239535) + (xy 220.329074 86.238251) + (xy 220.329069 86.238251) + (xy 220.324534 86.238192) + (xy 220.320061 86.238961) + (xy 220.320056 86.238961) + (xy 220.221493 86.255898) + (xy 220.125197 86.272444) + (xy 219.935441 86.342449) + (xy 219.761619 86.445862) + (xy 219.758204 86.448857) + (xy 219.758201 86.448859) + (xy 219.742014 86.463055) + (xy 219.609553 86.57922) + (xy 219.484337 86.738057) + (xy 219.390162 86.917053) + (xy 219.388817 86.921384) + (xy 219.388816 86.921387) + (xy 219.335223 87.093989) + (xy 219.330185 87.110213) + (xy 219.325142 87.152823) + (xy 219.31377 87.248906) + (xy 219.306412 87.311069) + (xy 217.213805 87.311069) + (xy 217.21348 87.307529) + (xy 217.198371 87.143109) + (xy 217.197956 87.138591) + (xy 217.143055 86.943926) + (xy 217.053599 86.762527) + (xy 216.932583 86.600467) + (xy 216.78406 86.463174) + (xy 216.761373 86.448859) + (xy 216.616842 86.357667) + (xy 216.613005 86.355246) + (xy 216.425146 86.280298) + (xy 216.226774 86.240839) + (xy 216.127178 86.239535) + (xy 216.029074 86.238251) + (xy 216.029069 86.238251) + (xy 216.024534 86.238192) + (xy 216.020061 86.238961) + (xy 216.020056 86.238961) + (xy 215.921493 86.255898) + (xy 215.825197 86.272444) + (xy 215.635441 86.342449) + (xy 215.461619 86.445862) + (xy 215.458204 86.448857) + (xy 215.458201 86.448859) + (xy 215.442014 86.463055) + (xy 215.309553 86.57922) + (xy 215.184337 86.738057) + (xy 215.090162 86.917053) + (xy 215.088817 86.921384) + (xy 215.088816 86.921387) + (xy 215.035223 87.093989) + (xy 215.030185 87.110213) + (xy 215.025142 87.152823) + (xy 215.01377 87.248906) + (xy 215.006412 87.311069) + (xy 212.913805 87.311069) + (xy 212.91348 87.307529) + (xy 212.898371 87.143109) + (xy 212.897956 87.138591) + (xy 212.843055 86.943926) + (xy 212.753599 86.762527) + (xy 212.632583 86.600467) + (xy 212.48406 86.463174) + (xy 212.461373 86.448859) + (xy 212.316842 86.357667) + (xy 212.313005 86.355246) + (xy 212.125146 86.280298) + (xy 211.926774 86.240839) + (xy 211.827178 86.239535) + (xy 211.729074 86.238251) + (xy 211.729069 86.238251) + (xy 211.724534 86.238192) + (xy 211.720061 86.238961) + (xy 211.720056 86.238961) + (xy 211.621493 86.255898) + (xy 211.525197 86.272444) + (xy 211.335441 86.342449) + (xy 211.161619 86.445862) + (xy 211.158204 86.448857) + (xy 211.158201 86.448859) + (xy 211.142014 86.463055) + (xy 211.009553 86.57922) + (xy 210.884337 86.738057) + (xy 210.790162 86.917053) + (xy 210.788817 86.921384) + (xy 210.788816 86.921387) + (xy 210.735223 87.093989) + (xy 210.730185 87.110213) + (xy 210.725142 87.152823) + (xy 210.71377 87.248906) + (xy 210.706412 87.311069) + (xy 195.113805 87.311069) + (xy 195.11348 87.307529) + (xy 195.098371 87.143109) + (xy 195.097956 87.138591) + (xy 195.043055 86.943926) + (xy 194.953599 86.762527) + (xy 194.832583 86.600467) + (xy 194.68406 86.463174) + (xy 194.661373 86.448859) + (xy 194.516842 86.357667) + (xy 194.513005 86.355246) + (xy 194.325146 86.280298) + (xy 194.126774 86.240839) + (xy 194.027178 86.239535) + (xy 193.929074 86.238251) + (xy 193.929069 86.238251) + (xy 193.924534 86.238192) + (xy 193.920061 86.238961) + (xy 193.920056 86.238961) + (xy 193.821493 86.255898) + (xy 193.725197 86.272444) + (xy 193.535441 86.342449) + (xy 193.361619 86.445862) + (xy 193.358204 86.448857) + (xy 193.358201 86.448859) + (xy 193.342014 86.463055) + (xy 193.209553 86.57922) + (xy 193.084337 86.738057) + (xy 192.990162 86.917053) + (xy 192.988817 86.921384) + (xy 192.988816 86.921387) + (xy 192.935223 87.093989) + (xy 192.930185 87.110213) + (xy 192.925142 87.152823) + (xy 192.91377 87.248906) + (xy 192.906412 87.311069) + (xy 190.813805 87.311069) + (xy 190.81348 87.307529) + (xy 190.798371 87.143109) + (xy 190.797956 87.138591) + (xy 190.743055 86.943926) + (xy 190.653599 86.762527) + (xy 190.532583 86.600467) + (xy 190.38406 86.463174) + (xy 190.361373 86.448859) + (xy 190.216842 86.357667) + (xy 190.213005 86.355246) + (xy 190.025146 86.280298) + (xy 189.826774 86.240839) + (xy 189.727178 86.239535) + (xy 189.629074 86.238251) + (xy 189.629069 86.238251) + (xy 189.624534 86.238192) + (xy 189.620061 86.238961) + (xy 189.620056 86.238961) + (xy 189.521493 86.255898) + (xy 189.425197 86.272444) + (xy 189.235441 86.342449) + (xy 189.061619 86.445862) + (xy 189.058204 86.448857) + (xy 189.058201 86.448859) + (xy 189.042014 86.463055) + (xy 188.909553 86.57922) + (xy 188.784337 86.738057) + (xy 188.690162 86.917053) + (xy 188.688817 86.921384) + (xy 188.688816 86.921387) + (xy 188.635223 87.093989) + (xy 188.630185 87.110213) + (xy 188.625142 87.152823) + (xy 188.61377 87.248906) + (xy 188.606412 87.311069) + (xy 186.513805 87.311069) + (xy 186.51348 87.307529) + (xy 186.498371 87.143109) + (xy 186.497956 87.138591) + (xy 186.443055 86.943926) + (xy 186.353599 86.762527) + (xy 186.232583 86.600467) + (xy 186.08406 86.463174) + (xy 186.061373 86.448859) + (xy 185.916842 86.357667) + (xy 185.913005 86.355246) + (xy 185.725146 86.280298) + (xy 185.526774 86.240839) + (xy 185.427178 86.239535) + (xy 185.329074 86.238251) + (xy 185.329069 86.238251) + (xy 185.324534 86.238192) + (xy 185.320061 86.238961) + (xy 185.320056 86.238961) + (xy 185.221493 86.255898) + (xy 185.125197 86.272444) + (xy 184.935441 86.342449) + (xy 184.761619 86.445862) + (xy 184.758204 86.448857) + (xy 184.758201 86.448859) + (xy 184.742014 86.463055) + (xy 184.609553 86.57922) + (xy 184.484337 86.738057) + (xy 184.390162 86.917053) + (xy 184.388817 86.921384) + (xy 184.388816 86.921387) + (xy 184.335223 87.093989) + (xy 184.330185 87.110213) + (xy 184.325142 87.152823) + (xy 184.31377 87.248906) + (xy 184.306412 87.311069) + (xy 182.213805 87.311069) + (xy 182.21348 87.307529) + (xy 182.198371 87.143109) + (xy 182.197956 87.138591) + (xy 182.143055 86.943926) + (xy 182.053599 86.762527) + (xy 181.932583 86.600467) + (xy 181.78406 86.463174) + (xy 181.761373 86.448859) + (xy 181.616842 86.357667) + (xy 181.613005 86.355246) + (xy 181.425146 86.280298) + (xy 181.226774 86.240839) + (xy 181.127178 86.239535) + (xy 181.029074 86.238251) + (xy 181.029069 86.238251) + (xy 181.024534 86.238192) + (xy 181.020061 86.238961) + (xy 181.020056 86.238961) + (xy 180.921493 86.255898) + (xy 180.825197 86.272444) + (xy 180.635441 86.342449) + (xy 180.461619 86.445862) + (xy 180.458204 86.448857) + (xy 180.458201 86.448859) + (xy 180.442014 86.463055) + (xy 180.309553 86.57922) + (xy 180.184337 86.738057) + (xy 180.090162 86.917053) + (xy 180.088817 86.921384) + (xy 180.088816 86.921387) + (xy 180.035223 87.093989) + (xy 180.030185 87.110213) + (xy 180.025142 87.152823) + (xy 180.01377 87.248906) + (xy 180.006412 87.311069) + (xy 177.913805 87.311069) + (xy 177.91348 87.307529) + (xy 177.898371 87.143109) + (xy 177.897956 87.138591) + (xy 177.843055 86.943926) + (xy 177.753599 86.762527) + (xy 177.632583 86.600467) + (xy 177.48406 86.463174) + (xy 177.461373 86.448859) + (xy 177.316842 86.357667) + (xy 177.313005 86.355246) + (xy 177.125146 86.280298) + (xy 176.926774 86.240839) + (xy 176.827178 86.239535) + (xy 176.729074 86.238251) + (xy 176.729069 86.238251) + (xy 176.724534 86.238192) + (xy 176.720061 86.238961) + (xy 176.720056 86.238961) + (xy 176.621493 86.255898) + (xy 176.525197 86.272444) + (xy 176.335441 86.342449) + (xy 176.161619 86.445862) + (xy 176.158204 86.448857) + (xy 176.158201 86.448859) + (xy 176.142014 86.463055) + (xy 176.009553 86.57922) + (xy 175.884337 86.738057) + (xy 175.790162 86.917053) + (xy 175.788817 86.921384) + (xy 175.788816 86.921387) + (xy 175.735223 87.093989) + (xy 175.730185 87.110213) + (xy 175.725142 87.152823) + (xy 175.71377 87.248906) + (xy 175.706412 87.311069) + (xy 173.613805 87.311069) + (xy 173.61348 87.307529) + (xy 173.598371 87.143109) + (xy 173.597956 87.138591) + (xy 173.543055 86.943926) + (xy 173.453599 86.762527) + (xy 173.332583 86.600467) + (xy 173.18406 86.463174) + (xy 173.161373 86.448859) + (xy 173.016842 86.357667) + (xy 173.013005 86.355246) + (xy 172.825146 86.280298) + (xy 172.626774 86.240839) + (xy 172.527178 86.239535) + (xy 172.429074 86.238251) + (xy 172.429069 86.238251) + (xy 172.424534 86.238192) + (xy 172.420061 86.238961) + (xy 172.420056 86.238961) + (xy 172.321493 86.255898) + (xy 172.225197 86.272444) + (xy 172.035441 86.342449) + (xy 171.861619 86.445862) + (xy 171.858204 86.448857) + (xy 171.858201 86.448859) + (xy 171.842014 86.463055) + (xy 171.709553 86.57922) + (xy 171.584337 86.738057) + (xy 171.490162 86.917053) + (xy 171.488817 86.921384) + (xy 171.488816 86.921387) + (xy 171.435223 87.093989) + (xy 171.430185 87.110213) + (xy 171.425142 87.152823) + (xy 171.41377 87.248906) + (xy 171.406412 87.311069) + (xy 169.313805 87.311069) + (xy 169.31348 87.307529) + (xy 169.298371 87.143109) + (xy 169.297956 87.138591) + (xy 169.243055 86.943926) + (xy 169.153599 86.762527) + (xy 169.032583 86.600467) + (xy 168.88406 86.463174) + (xy 168.861373 86.448859) + (xy 168.716842 86.357667) + (xy 168.713005 86.355246) + (xy 168.525146 86.280298) + (xy 168.326774 86.240839) + (xy 168.227178 86.239535) + (xy 168.129074 86.238251) + (xy 168.129069 86.238251) + (xy 168.124534 86.238192) + (xy 168.120061 86.238961) + (xy 168.120056 86.238961) + (xy 168.021493 86.255898) + (xy 167.925197 86.272444) + (xy 167.735441 86.342449) + (xy 167.561619 86.445862) + (xy 167.558204 86.448857) + (xy 167.558201 86.448859) + (xy 167.542014 86.463055) + (xy 167.409553 86.57922) + (xy 167.284337 86.738057) + (xy 167.190162 86.917053) + (xy 167.188817 86.921384) + (xy 167.188816 86.921387) + (xy 167.135223 87.093989) + (xy 167.130185 87.110213) + (xy 167.125142 87.152823) + (xy 167.11377 87.248906) + (xy 167.106412 87.311069) + (xy 162.172586 87.311069) + (xy 162.150791 87.25199) + (xy 162.065538 87.093989) + (xy 162.020749 87.01098) + (xy 162.020748 87.010978) + (xy 162.019088 87.007902) + (xy 162.015109 87.002514) + (xy 161.936697 86.896354) + (xy 161.854307 86.784807) + (xy 161.839064 86.769322) + (xy 161.672177 86.599793) + (xy 161.659736 86.587155) + (xy 161.439256 86.41889) + (xy 161.302762 86.342449) + (xy 161.200317 86.285077) + (xy 161.200316 86.285077) + (xy 161.197267 86.283369) + (xy 161.038664 86.222011) + (xy 160.94187 86.184564) + (xy 160.941867 86.184563) + (xy 160.938597 86.183298) + (xy 160.935184 86.182507) + (xy 160.935181 86.182506) + (xy 160.77534 86.145457) + (xy 160.668408 86.120671) + (xy 160.530249 86.108705) + (xy 160.395577 86.097041) + (xy 160.395576 86.097041) + (xy 160.392089 86.096739) + (xy 160.273293 86.103277) + (xy 160.118655 86.111787) + (xy 160.118649 86.111788) + (xy 160.115156 86.11198) + (xy 159.843132 86.166089) + (xy 159.581447 86.257986) + (xy 159.578346 86.259597) + (xy 159.578341 86.259599) + (xy 159.446597 86.328035) + (xy 159.335321 86.385838) + (xy 159.33248 86.387868) + (xy 159.332477 86.38787) + (xy 159.112514 86.545058) + (xy 159.112511 86.545061) + (xy 159.109664 86.547095) + (xy 159.107131 86.549511) + (xy 159.107129 86.549513) + (xy 158.911518 86.736116) + (xy 158.911512 86.736123) + (xy 158.90898 86.738538) + (xy 158.737273 86.956348) + (xy 158.735518 86.95937) + (xy 158.735517 86.959371) + (xy 158.622698 87.153603) + (xy 158.597968 87.196178) + (xy 158.493846 87.453244) + (xy 158.426983 87.722417) + (xy 158.398714 87.998325) + (xy 137.344843 87.998325) + (xy 137.929309 87.413859) + (xy 137.983826 87.386082) + (xy 137.999313 87.384863) + (xy 146.406146 87.384863) + (xy 146.426634 87.387595) + (xy 146.426647 87.387508) + (xy 146.431282 87.388215) + (xy 146.43209 87.388323) + (xy 146.432221 87.388358) + (xy 146.43766 87.389835) + (xy 146.438375 87.389862) + (xy 146.440028 87.38955) + (xy 146.441092 87.389712) + (xy 146.441089 87.389349) + (xy 146.858835 87.386386) + (xy 146.858844 87.386386) + (xy 146.861034 87.38637) + (xy 147.281735 87.345813) + (xy 147.283891 87.345409) + (xy 147.2839 87.345408) + (xy 147.695008 87.268422) + (xy 147.69501 87.268422) + (xy 147.697165 87.268018) + (xy 147.941113 87.199418) + (xy 148.101913 87.1542) + (xy 148.101919 87.154198) + (xy 148.104035 87.153603) + (xy 148.106088 87.152823) + (xy 148.497076 87.00425) + (xy 148.497079 87.004249) + (xy 148.499123 87.003472) + (xy 148.50109 87.002517) + (xy 148.501096 87.002514) + (xy 148.87732 86.819776) + (xy 148.879301 86.818814) + (xy 148.894697 86.809561) + (xy 149.239676 86.602223) + (xy 149.239681 86.60222) + (xy 149.241559 86.601091) + (xy 149.275647 86.576228) + (xy 149.581243 86.353331) + (xy 149.581248 86.353327) + (xy 149.583029 86.352028) + (xy 149.604011 86.333656) + (xy 149.899359 86.075039) + (xy 149.901007 86.073596) + (xy 149.902514 86.072018) + (xy 149.902523 86.07201) + (xy 150.191455 85.76959) + (xy 150.191456 85.769589) + (xy 150.192974 85.768) + (xy 150.280037 85.658913) + (xy 150.455248 85.439379) + (xy 150.455256 85.439369) + (xy 150.45662 85.437659) + (xy 150.614173 85.199564) + (xy 150.68864 85.08703) + (xy 150.688645 85.087021) + (xy 150.689857 85.08519) + (xy 150.690903 85.083255) + (xy 150.859655 84.771069) + (xy 164.956412 84.771069) + (xy 164.956709 84.775597) + (xy 164.969183 84.965918) + (xy 164.96964 84.972894) + (xy 164.970756 84.977287) + (xy 164.970756 84.977289) + (xy 164.992005 85.060956) + (xy 165.019426 85.168928) + (xy 165.104104 85.352607) + (xy 165.220836 85.51778) + (xy 165.365714 85.658913) + (xy 165.533885 85.771282) + (xy 165.719718 85.851122) + (xy 165.916988 85.89576) + (xy 166.11909 85.9037) + (xy 166.172625 85.895938) + (xy 166.314767 85.875329) + (xy 166.31477 85.875328) + (xy 166.319255 85.874678) + (xy 166.415017 85.842171) + (xy 166.506482 85.811123) + (xy 166.506485 85.811121) + (xy 166.510779 85.809664) + (xy 166.671664 85.719565) + (xy 166.683287 85.713056) + (xy 166.683289 85.713055) + (xy 166.687249 85.710837) + (xy 166.842753 85.581505) + (xy 166.972085 85.426001) + (xy 167.070912 85.249531) + (xy 167.135926 85.058007) + (xy 167.164948 84.857842) + (xy 167.166463 84.8) + (xy 167.163805 84.771069) + (xy 169.256412 84.771069) + (xy 169.256709 84.775597) + (xy 169.269183 84.965918) + (xy 169.26964 84.972894) + (xy 169.270756 84.977287) + (xy 169.270756 84.977289) + (xy 169.292005 85.060956) + (xy 169.319426 85.168928) + (xy 169.404104 85.352607) + (xy 169.520836 85.51778) + (xy 169.665714 85.658913) + (xy 169.833885 85.771282) + (xy 170.019718 85.851122) + (xy 170.216988 85.89576) + (xy 170.41909 85.9037) + (xy 170.472625 85.895938) + (xy 170.614767 85.875329) + (xy 170.61477 85.875328) + (xy 170.619255 85.874678) + (xy 170.715017 85.842171) + (xy 170.806482 85.811123) + (xy 170.806485 85.811121) + (xy 170.810779 85.809664) + (xy 170.971664 85.719565) + (xy 170.983287 85.713056) + (xy 170.983289 85.713055) + (xy 170.987249 85.710837) + (xy 171.142753 85.581505) + (xy 171.272085 85.426001) + (xy 171.370912 85.249531) + (xy 171.435926 85.058007) + (xy 171.464948 84.857842) + (xy 171.466463 84.8) + (xy 171.463805 84.771069) + (xy 173.556412 84.771069) + (xy 173.556709 84.775597) + (xy 173.569183 84.965918) + (xy 173.56964 84.972894) + (xy 173.570756 84.977287) + (xy 173.570756 84.977289) + (xy 173.592005 85.060956) + (xy 173.619426 85.168928) + (xy 173.704104 85.352607) + (xy 173.820836 85.51778) + (xy 173.965714 85.658913) + (xy 174.133885 85.771282) + (xy 174.319718 85.851122) + (xy 174.516988 85.89576) + (xy 174.71909 85.9037) + (xy 174.772625 85.895938) + (xy 174.914767 85.875329) + (xy 174.91477 85.875328) + (xy 174.919255 85.874678) + (xy 175.015017 85.842171) + (xy 175.106482 85.811123) + (xy 175.106485 85.811121) + (xy 175.110779 85.809664) + (xy 175.271664 85.719565) + (xy 175.283287 85.713056) + (xy 175.283289 85.713055) + (xy 175.287249 85.710837) + (xy 175.442753 85.581505) + (xy 175.572085 85.426001) + (xy 175.670912 85.249531) + (xy 175.735926 85.058007) + (xy 175.764948 84.857842) + (xy 175.766463 84.8) + (xy 175.763805 84.771069) + (xy 177.856412 84.771069) + (xy 177.856709 84.775597) + (xy 177.869183 84.965918) + (xy 177.86964 84.972894) + (xy 177.870756 84.977287) + (xy 177.870756 84.977289) + (xy 177.892005 85.060956) + (xy 177.919426 85.168928) + (xy 178.004104 85.352607) + (xy 178.120836 85.51778) + (xy 178.265714 85.658913) + (xy 178.433885 85.771282) + (xy 178.619718 85.851122) + (xy 178.816988 85.89576) + (xy 179.01909 85.9037) + (xy 179.072625 85.895938) + (xy 179.214767 85.875329) + (xy 179.21477 85.875328) + (xy 179.219255 85.874678) + (xy 179.315017 85.842171) + (xy 179.406482 85.811123) + (xy 179.406485 85.811121) + (xy 179.410779 85.809664) + (xy 179.571664 85.719565) + (xy 179.583287 85.713056) + (xy 179.583289 85.713055) + (xy 179.587249 85.710837) + (xy 179.742753 85.581505) + (xy 179.872085 85.426001) + (xy 179.970912 85.249531) + (xy 180.035926 85.058007) + (xy 180.064948 84.857842) + (xy 180.066463 84.8) + (xy 180.063805 84.771069) + (xy 182.156412 84.771069) + (xy 182.156709 84.775597) + (xy 182.169183 84.965918) + (xy 182.16964 84.972894) + (xy 182.170756 84.977287) + (xy 182.170756 84.977289) + (xy 182.192005 85.060956) + (xy 182.219426 85.168928) + (xy 182.304104 85.352607) + (xy 182.420836 85.51778) + (xy 182.565714 85.658913) + (xy 182.733885 85.771282) + (xy 182.919718 85.851122) + (xy 183.116988 85.89576) + (xy 183.31909 85.9037) + (xy 183.372625 85.895938) + (xy 183.514767 85.875329) + (xy 183.51477 85.875328) + (xy 183.519255 85.874678) + (xy 183.615017 85.842171) + (xy 183.706482 85.811123) + (xy 183.706485 85.811121) + (xy 183.710779 85.809664) + (xy 183.871664 85.719565) + (xy 183.883287 85.713056) + (xy 183.883289 85.713055) + (xy 183.887249 85.710837) + (xy 184.042753 85.581505) + (xy 184.172085 85.426001) + (xy 184.270912 85.249531) + (xy 184.335926 85.058007) + (xy 184.364948 84.857842) + (xy 184.366463 84.8) + (xy 184.363805 84.771069) + (xy 186.456412 84.771069) + (xy 186.456709 84.775597) + (xy 186.469183 84.965918) + (xy 186.46964 84.972894) + (xy 186.470756 84.977287) + (xy 186.470756 84.977289) + (xy 186.492005 85.060956) + (xy 186.519426 85.168928) + (xy 186.604104 85.352607) + (xy 186.720836 85.51778) + (xy 186.865714 85.658913) + (xy 187.033885 85.771282) + (xy 187.219718 85.851122) + (xy 187.416988 85.89576) + (xy 187.61909 85.9037) + (xy 187.672625 85.895938) + (xy 187.814767 85.875329) + (xy 187.81477 85.875328) + (xy 187.819255 85.874678) + (xy 187.915017 85.842171) + (xy 188.006482 85.811123) + (xy 188.006485 85.811121) + (xy 188.010779 85.809664) + (xy 188.171664 85.719565) + (xy 188.183287 85.713056) + (xy 188.183289 85.713055) + (xy 188.187249 85.710837) + (xy 188.342753 85.581505) + (xy 188.472085 85.426001) + (xy 188.570912 85.249531) + (xy 188.635926 85.058007) + (xy 188.664948 84.857842) + (xy 188.666463 84.8) + (xy 188.663805 84.771069) + (xy 190.756412 84.771069) + (xy 190.756709 84.775597) + (xy 190.769183 84.965918) + (xy 190.76964 84.972894) + (xy 190.770756 84.977287) + (xy 190.770756 84.977289) + (xy 190.792005 85.060956) + (xy 190.819426 85.168928) + (xy 190.904104 85.352607) + (xy 191.020836 85.51778) + (xy 191.165714 85.658913) + (xy 191.333885 85.771282) + (xy 191.519718 85.851122) + (xy 191.716988 85.89576) + (xy 191.91909 85.9037) + (xy 191.972625 85.895938) + (xy 192.114767 85.875329) + (xy 192.11477 85.875328) + (xy 192.119255 85.874678) + (xy 192.215017 85.842171) + (xy 192.306482 85.811123) + (xy 192.306485 85.811121) + (xy 192.310779 85.809664) + (xy 192.471664 85.719565) + (xy 192.483287 85.713056) + (xy 192.483289 85.713055) + (xy 192.487249 85.710837) + (xy 192.642753 85.581505) + (xy 192.772085 85.426001) + (xy 192.870912 85.249531) + (xy 192.935926 85.058007) + (xy 192.964948 84.857842) + (xy 192.966463 84.8) + (xy 192.963805 84.771069) + (xy 208.556412 84.771069) + (xy 208.556709 84.775597) + (xy 208.569183 84.965918) + (xy 208.56964 84.972894) + (xy 208.570756 84.977287) + (xy 208.570756 84.977289) + (xy 208.592005 85.060956) + (xy 208.619426 85.168928) + (xy 208.704104 85.352607) + (xy 208.820836 85.51778) + (xy 208.965714 85.658913) + (xy 209.133885 85.771282) + (xy 209.319718 85.851122) + (xy 209.516988 85.89576) + (xy 209.71909 85.9037) + (xy 209.772625 85.895938) + (xy 209.914767 85.875329) + (xy 209.91477 85.875328) + (xy 209.919255 85.874678) + (xy 210.015017 85.842171) + (xy 210.106482 85.811123) + (xy 210.106485 85.811121) + (xy 210.110779 85.809664) + (xy 210.271664 85.719565) + (xy 210.283287 85.713056) + (xy 210.283289 85.713055) + (xy 210.287249 85.710837) + (xy 210.442753 85.581505) + (xy 210.572085 85.426001) + (xy 210.670912 85.249531) + (xy 210.735926 85.058007) + (xy 210.764948 84.857842) + (xy 210.766463 84.8) + (xy 210.763805 84.771069) + (xy 212.856412 84.771069) + (xy 212.856709 84.775597) + (xy 212.869183 84.965918) + (xy 212.86964 84.972894) + (xy 212.870756 84.977287) + (xy 212.870756 84.977289) + (xy 212.892005 85.060956) + (xy 212.919426 85.168928) + (xy 213.004104 85.352607) + (xy 213.120836 85.51778) + (xy 213.265714 85.658913) + (xy 213.433885 85.771282) + (xy 213.619718 85.851122) + (xy 213.816988 85.89576) + (xy 214.01909 85.9037) + (xy 214.072625 85.895938) + (xy 214.214767 85.875329) + (xy 214.21477 85.875328) + (xy 214.219255 85.874678) + (xy 214.315017 85.842171) + (xy 214.406482 85.811123) + (xy 214.406485 85.811121) + (xy 214.410779 85.809664) + (xy 214.571664 85.719565) + (xy 214.583287 85.713056) + (xy 214.583289 85.713055) + (xy 214.587249 85.710837) + (xy 214.742753 85.581505) + (xy 214.872085 85.426001) + (xy 214.970912 85.249531) + (xy 215.035926 85.058007) + (xy 215.064948 84.857842) + (xy 215.066463 84.8) + (xy 215.063805 84.771069) + (xy 217.156412 84.771069) + (xy 217.156709 84.775597) + (xy 217.169183 84.965918) + (xy 217.16964 84.972894) + (xy 217.170756 84.977287) + (xy 217.170756 84.977289) + (xy 217.192005 85.060956) + (xy 217.219426 85.168928) + (xy 217.304104 85.352607) + (xy 217.420836 85.51778) + (xy 217.565714 85.658913) + (xy 217.733885 85.771282) + (xy 217.919718 85.851122) + (xy 218.116988 85.89576) + (xy 218.31909 85.9037) + (xy 218.372625 85.895938) + (xy 218.514767 85.875329) + (xy 218.51477 85.875328) + (xy 218.519255 85.874678) + (xy 218.615017 85.842171) + (xy 218.706482 85.811123) + (xy 218.706485 85.811121) + (xy 218.710779 85.809664) + (xy 218.871664 85.719565) + (xy 218.883287 85.713056) + (xy 218.883289 85.713055) + (xy 218.887249 85.710837) + (xy 219.042753 85.581505) + (xy 219.172085 85.426001) + (xy 219.270912 85.249531) + (xy 219.335926 85.058007) + (xy 219.364948 84.857842) + (xy 219.366463 84.8) + (xy 219.363805 84.771069) + (xy 221.456412 84.771069) + (xy 221.456709 84.775597) + (xy 221.469183 84.965918) + (xy 221.46964 84.972894) + (xy 221.470756 84.977287) + (xy 221.470756 84.977289) + (xy 221.492005 85.060956) + (xy 221.519426 85.168928) + (xy 221.604104 85.352607) + (xy 221.720836 85.51778) + (xy 221.865714 85.658913) + (xy 222.033885 85.771282) + (xy 222.219718 85.851122) + (xy 222.416988 85.89576) + (xy 222.61909 85.9037) + (xy 222.672625 85.895938) + (xy 222.814767 85.875329) + (xy 222.81477 85.875328) + (xy 222.819255 85.874678) + (xy 222.915017 85.842171) + (xy 223.006482 85.811123) + (xy 223.006485 85.811121) + (xy 223.010779 85.809664) + (xy 223.171664 85.719565) + (xy 223.183287 85.713056) + (xy 223.183289 85.713055) + (xy 223.187249 85.710837) + (xy 223.342753 85.581505) + (xy 223.472085 85.426001) + (xy 223.570912 85.249531) + (xy 223.635926 85.058007) + (xy 223.664948 84.857842) + (xy 223.666463 84.8) + (xy 223.663805 84.771069) + (xy 225.756412 84.771069) + (xy 225.756709 84.775597) + (xy 225.769183 84.965918) + (xy 225.76964 84.972894) + (xy 225.770756 84.977287) + (xy 225.770756 84.977289) + (xy 225.792005 85.060956) + (xy 225.819426 85.168928) + (xy 225.904104 85.352607) + (xy 226.020836 85.51778) + (xy 226.165714 85.658913) + (xy 226.333885 85.771282) + (xy 226.519718 85.851122) + (xy 226.716988 85.89576) + (xy 226.91909 85.9037) + (xy 226.972625 85.895938) + (xy 227.114767 85.875329) + (xy 227.11477 85.875328) + (xy 227.119255 85.874678) + (xy 227.215017 85.842171) + (xy 227.306482 85.811123) + (xy 227.306485 85.811121) + (xy 227.310779 85.809664) + (xy 227.471664 85.719565) + (xy 227.483287 85.713056) + (xy 227.483289 85.713055) + (xy 227.487249 85.710837) + (xy 227.642753 85.581505) + (xy 227.772085 85.426001) + (xy 227.870912 85.249531) + (xy 227.935926 85.058007) + (xy 227.964948 84.857842) + (xy 227.966463 84.8) + (xy 227.963805 84.771069) + (xy 230.056412 84.771069) + (xy 230.056709 84.775597) + (xy 230.069183 84.965918) + (xy 230.06964 84.972894) + (xy 230.070756 84.977287) + (xy 230.070756 84.977289) + (xy 230.092005 85.060956) + (xy 230.119426 85.168928) + (xy 230.204104 85.352607) + (xy 230.320836 85.51778) + (xy 230.465714 85.658913) + (xy 230.633885 85.771282) + (xy 230.819718 85.851122) + (xy 231.016988 85.89576) + (xy 231.21909 85.9037) + (xy 231.272625 85.895938) + (xy 231.414767 85.875329) + (xy 231.41477 85.875328) + (xy 231.419255 85.874678) + (xy 231.515017 85.842171) + (xy 231.606482 85.811123) + (xy 231.606485 85.811121) + (xy 231.610779 85.809664) + (xy 231.771664 85.719565) + (xy 231.783287 85.713056) + (xy 231.783289 85.713055) + (xy 231.787249 85.710837) + (xy 231.942753 85.581505) + (xy 232.072085 85.426001) + (xy 232.170912 85.249531) + (xy 232.235926 85.058007) + (xy 232.264948 84.857842) + (xy 232.266463 84.8) + (xy 232.263805 84.771069) + (xy 234.356412 84.771069) + (xy 234.356709 84.775597) + (xy 234.369183 84.965918) + (xy 234.36964 84.972894) + (xy 234.370756 84.977287) + (xy 234.370756 84.977289) + (xy 234.392005 85.060956) + (xy 234.419426 85.168928) + (xy 234.504104 85.352607) + (xy 234.620836 85.51778) + (xy 234.765714 85.658913) + (xy 234.933885 85.771282) + (xy 235.119718 85.851122) + (xy 235.316988 85.89576) + (xy 235.51909 85.9037) + (xy 235.572625 85.895938) + (xy 235.714767 85.875329) + (xy 235.71477 85.875328) + (xy 235.719255 85.874678) + (xy 235.815017 85.842171) + (xy 235.906482 85.811123) + (xy 235.906485 85.811121) + (xy 235.910779 85.809664) + (xy 236.071664 85.719565) + (xy 236.083287 85.713056) + (xy 236.083289 85.713055) + (xy 236.087249 85.710837) + (xy 236.242753 85.581505) + (xy 236.372085 85.426001) + (xy 236.470912 85.249531) + (xy 236.535926 85.058007) + (xy 236.564948 84.857842) + (xy 236.566463 84.8) + (xy 236.563805 84.771069) + (xy 238.656412 84.771069) + (xy 238.656709 84.775597) + (xy 238.669183 84.965918) + (xy 238.66964 84.972894) + (xy 238.670756 84.977287) + (xy 238.670756 84.977289) + (xy 238.692005 85.060956) + (xy 238.719426 85.168928) + (xy 238.804104 85.352607) + (xy 238.920836 85.51778) + (xy 239.065714 85.658913) + (xy 239.233885 85.771282) + (xy 239.419718 85.851122) + (xy 239.616988 85.89576) + (xy 239.81909 85.9037) + (xy 239.872625 85.895938) + (xy 240.014767 85.875329) + (xy 240.01477 85.875328) + (xy 240.019255 85.874678) + (xy 240.115017 85.842171) + (xy 240.206482 85.811123) + (xy 240.206485 85.811121) + (xy 240.210779 85.809664) + (xy 240.371664 85.719565) + (xy 240.383287 85.713056) + (xy 240.383289 85.713055) + (xy 240.387249 85.710837) + (xy 240.542753 85.581505) + (xy 240.672085 85.426001) + (xy 240.770912 85.249531) + (xy 240.835926 85.058007) + (xy 240.864948 84.857842) + (xy 240.866463 84.8) + (xy 240.863805 84.771069) + (xy 242.956412 84.771069) + (xy 242.956709 84.775597) + (xy 242.969183 84.965918) + (xy 242.96964 84.972894) + (xy 242.970756 84.977287) + (xy 242.970756 84.977289) + (xy 242.992005 85.060956) + (xy 243.019426 85.168928) + (xy 243.104104 85.352607) + (xy 243.220836 85.51778) + (xy 243.365714 85.658913) + (xy 243.533885 85.771282) + (xy 243.719718 85.851122) + (xy 243.916988 85.89576) + (xy 244.11909 85.9037) + (xy 244.172625 85.895938) + (xy 244.314767 85.875329) + (xy 244.31477 85.875328) + (xy 244.319255 85.874678) + (xy 244.415017 85.842171) + (xy 244.506482 85.811123) + (xy 244.506485 85.811121) + (xy 244.510779 85.809664) + (xy 244.671664 85.719565) + (xy 244.683287 85.713056) + (xy 244.683289 85.713055) + (xy 244.687249 85.710837) + (xy 244.842753 85.581505) + (xy 244.972085 85.426001) + (xy 245.070912 85.249531) + (xy 245.135926 85.058007) + (xy 245.164948 84.857842) + (xy 245.166463 84.8) + (xy 245.163805 84.771069) + (xy 247.256412 84.771069) + (xy 247.256709 84.775597) + (xy 247.269183 84.965918) + (xy 247.26964 84.972894) + (xy 247.270756 84.977287) + (xy 247.270756 84.977289) + (xy 247.292005 85.060956) + (xy 247.319426 85.168928) + (xy 247.404104 85.352607) + (xy 247.520836 85.51778) + (xy 247.665714 85.658913) + (xy 247.833885 85.771282) + (xy 248.019718 85.851122) + (xy 248.216988 85.89576) + (xy 248.41909 85.9037) + (xy 248.472625 85.895938) + (xy 248.614767 85.875329) + (xy 248.61477 85.875328) + (xy 248.619255 85.874678) + (xy 248.715017 85.842171) + (xy 248.806482 85.811123) + (xy 248.806485 85.811121) + (xy 248.810779 85.809664) + (xy 248.971664 85.719565) + (xy 248.983287 85.713056) + (xy 248.983289 85.713055) + (xy 248.987249 85.710837) + (xy 249.142753 85.581505) + (xy 249.272085 85.426001) + (xy 249.370912 85.249531) + (xy 249.435926 85.058007) + (xy 249.464948 84.857842) + (xy 249.466463 84.8) + (xy 249.463805 84.771069) + (xy 251.556412 84.771069) + (xy 251.556709 84.775597) + (xy 251.569183 84.965918) + (xy 251.56964 84.972894) + (xy 251.570756 84.977287) + (xy 251.570756 84.977289) + (xy 251.592005 85.060956) + (xy 251.619426 85.168928) + (xy 251.704104 85.352607) + (xy 251.820836 85.51778) + (xy 251.965714 85.658913) + (xy 252.133885 85.771282) + (xy 252.319718 85.851122) + (xy 252.516988 85.89576) + (xy 252.71909 85.9037) + (xy 252.772625 85.895938) + (xy 252.914767 85.875329) + (xy 252.91477 85.875328) + (xy 252.919255 85.874678) + (xy 253.015017 85.842171) + (xy 253.106482 85.811123) + (xy 253.106485 85.811121) + (xy 253.110779 85.809664) + (xy 253.271664 85.719565) + (xy 253.283287 85.713056) + (xy 253.283289 85.713055) + (xy 253.287249 85.710837) + (xy 253.442753 85.581505) + (xy 253.572085 85.426001) + (xy 253.670912 85.249531) + (xy 253.735926 85.058007) + (xy 253.764948 84.857842) + (xy 253.766463 84.8) + (xy 253.763805 84.771069) + (xy 255.856412 84.771069) + (xy 255.856709 84.775597) + (xy 255.869183 84.965918) + (xy 255.86964 84.972894) + (xy 255.870756 84.977287) + (xy 255.870756 84.977289) + (xy 255.892005 85.060956) + (xy 255.919426 85.168928) + (xy 256.004104 85.352607) + (xy 256.120836 85.51778) + (xy 256.265714 85.658913) + (xy 256.433885 85.771282) + (xy 256.619718 85.851122) + (xy 256.816988 85.89576) + (xy 257.01909 85.9037) + (xy 257.072625 85.895938) + (xy 257.214767 85.875329) + (xy 257.21477 85.875328) + (xy 257.219255 85.874678) + (xy 257.315017 85.842171) + (xy 257.406482 85.811123) + (xy 257.406485 85.811121) + (xy 257.410779 85.809664) + (xy 257.571664 85.719565) + (xy 257.583287 85.713056) + (xy 257.583289 85.713055) + (xy 257.587249 85.710837) + (xy 257.742753 85.581505) + (xy 257.872085 85.426001) + (xy 257.970912 85.249531) + (xy 258.035926 85.058007) + (xy 258.064948 84.857842) + (xy 258.066463 84.8) + (xy 258.057633 84.703898) + (xy 258.048371 84.603109) + (xy 258.047956 84.598591) + (xy 257.993055 84.403926) + (xy 257.903599 84.222527) + (xy 257.782583 84.060467) + (xy 257.63406 83.923174) + (xy 257.611373 83.908859) + (xy 257.466842 83.817667) + (xy 257.463005 83.815246) + (xy 257.275146 83.740298) + (xy 257.076774 83.700839) + (xy 256.977178 83.699535) + (xy 256.879074 83.698251) + (xy 256.879069 83.698251) + (xy 256.874534 83.698192) + (xy 256.870061 83.698961) + (xy 256.870056 83.698961) + (xy 256.771493 83.715898) + (xy 256.675197 83.732444) + (xy 256.485441 83.802449) + (xy 256.311619 83.905862) + (xy 256.308204 83.908857) + (xy 256.308201 83.908859) + (xy 256.264419 83.947255) + (xy 256.159553 84.03922) + (xy 256.156745 84.042782) + (xy 256.047106 84.18186) + (xy 256.034337 84.198057) + (xy 255.940162 84.377053) + (xy 255.938817 84.381384) + (xy 255.938816 84.381387) + (xy 255.890501 84.53699) + (xy 255.880185 84.570213) + (xy 255.856412 84.771069) + (xy 253.763805 84.771069) + (xy 253.757633 84.703898) + (xy 253.748371 84.603109) + (xy 253.747956 84.598591) + (xy 253.693055 84.403926) + (xy 253.603599 84.222527) + (xy 253.482583 84.060467) + (xy 253.33406 83.923174) + (xy 253.311373 83.908859) + (xy 253.166842 83.817667) + (xy 253.163005 83.815246) + (xy 252.975146 83.740298) + (xy 252.776774 83.700839) + (xy 252.677178 83.699535) + (xy 252.579074 83.698251) + (xy 252.579069 83.698251) + (xy 252.574534 83.698192) + (xy 252.570061 83.698961) + (xy 252.570056 83.698961) + (xy 252.471493 83.715898) + (xy 252.375197 83.732444) + (xy 252.185441 83.802449) + (xy 252.011619 83.905862) + (xy 252.008204 83.908857) + (xy 252.008201 83.908859) + (xy 251.964419 83.947255) + (xy 251.859553 84.03922) + (xy 251.856745 84.042782) + (xy 251.747106 84.18186) + (xy 251.734337 84.198057) + (xy 251.640162 84.377053) + (xy 251.638817 84.381384) + (xy 251.638816 84.381387) + (xy 251.590501 84.53699) + (xy 251.580185 84.570213) + (xy 251.556412 84.771069) + (xy 249.463805 84.771069) + (xy 249.457633 84.703898) + (xy 249.448371 84.603109) + (xy 249.447956 84.598591) + (xy 249.393055 84.403926) + (xy 249.303599 84.222527) + (xy 249.182583 84.060467) + (xy 249.03406 83.923174) + (xy 249.011373 83.908859) + (xy 248.866842 83.817667) + (xy 248.863005 83.815246) + (xy 248.675146 83.740298) + (xy 248.476774 83.700839) + (xy 248.377178 83.699535) + (xy 248.279074 83.698251) + (xy 248.279069 83.698251) + (xy 248.274534 83.698192) + (xy 248.270061 83.698961) + (xy 248.270056 83.698961) + (xy 248.171493 83.715898) + (xy 248.075197 83.732444) + (xy 247.885441 83.802449) + (xy 247.711619 83.905862) + (xy 247.708204 83.908857) + (xy 247.708201 83.908859) + (xy 247.664419 83.947255) + (xy 247.559553 84.03922) + (xy 247.556745 84.042782) + (xy 247.447106 84.18186) + (xy 247.434337 84.198057) + (xy 247.340162 84.377053) + (xy 247.338817 84.381384) + (xy 247.338816 84.381387) + (xy 247.290501 84.53699) + (xy 247.280185 84.570213) + (xy 247.256412 84.771069) + (xy 245.163805 84.771069) + (xy 245.157633 84.703898) + (xy 245.148371 84.603109) + (xy 245.147956 84.598591) + (xy 245.093055 84.403926) + (xy 245.003599 84.222527) + (xy 244.882583 84.060467) + (xy 244.73406 83.923174) + (xy 244.711373 83.908859) + (xy 244.566842 83.817667) + (xy 244.563005 83.815246) + (xy 244.375146 83.740298) + (xy 244.176774 83.700839) + (xy 244.077178 83.699535) + (xy 243.979074 83.698251) + (xy 243.979069 83.698251) + (xy 243.974534 83.698192) + (xy 243.970061 83.698961) + (xy 243.970056 83.698961) + (xy 243.871493 83.715898) + (xy 243.775197 83.732444) + (xy 243.585441 83.802449) + (xy 243.411619 83.905862) + (xy 243.408204 83.908857) + (xy 243.408201 83.908859) + (xy 243.364419 83.947255) + (xy 243.259553 84.03922) + (xy 243.256745 84.042782) + (xy 243.147106 84.18186) + (xy 243.134337 84.198057) + (xy 243.040162 84.377053) + (xy 243.038817 84.381384) + (xy 243.038816 84.381387) + (xy 242.990501 84.53699) + (xy 242.980185 84.570213) + (xy 242.956412 84.771069) + (xy 240.863805 84.771069) + (xy 240.857633 84.703898) + (xy 240.848371 84.603109) + (xy 240.847956 84.598591) + (xy 240.793055 84.403926) + (xy 240.703599 84.222527) + (xy 240.582583 84.060467) + (xy 240.43406 83.923174) + (xy 240.411373 83.908859) + (xy 240.266842 83.817667) + (xy 240.263005 83.815246) + (xy 240.075146 83.740298) + (xy 239.876774 83.700839) + (xy 239.777178 83.699535) + (xy 239.679074 83.698251) + (xy 239.679069 83.698251) + (xy 239.674534 83.698192) + (xy 239.670061 83.698961) + (xy 239.670056 83.698961) + (xy 239.571493 83.715898) + (xy 239.475197 83.732444) + (xy 239.285441 83.802449) + (xy 239.111619 83.905862) + (xy 239.108204 83.908857) + (xy 239.108201 83.908859) + (xy 239.064419 83.947255) + (xy 238.959553 84.03922) + (xy 238.956745 84.042782) + (xy 238.847106 84.18186) + (xy 238.834337 84.198057) + (xy 238.740162 84.377053) + (xy 238.738817 84.381384) + (xy 238.738816 84.381387) + (xy 238.690501 84.53699) + (xy 238.680185 84.570213) + (xy 238.656412 84.771069) + (xy 236.563805 84.771069) + (xy 236.557633 84.703898) + (xy 236.548371 84.603109) + (xy 236.547956 84.598591) + (xy 236.493055 84.403926) + (xy 236.403599 84.222527) + (xy 236.282583 84.060467) + (xy 236.13406 83.923174) + (xy 236.111373 83.908859) + (xy 235.966842 83.817667) + (xy 235.963005 83.815246) + (xy 235.775146 83.740298) + (xy 235.576774 83.700839) + (xy 235.477178 83.699535) + (xy 235.379074 83.698251) + (xy 235.379069 83.698251) + (xy 235.374534 83.698192) + (xy 235.370061 83.698961) + (xy 235.370056 83.698961) + (xy 235.271493 83.715898) + (xy 235.175197 83.732444) + (xy 234.985441 83.802449) + (xy 234.811619 83.905862) + (xy 234.808204 83.908857) + (xy 234.808201 83.908859) + (xy 234.764419 83.947255) + (xy 234.659553 84.03922) + (xy 234.656745 84.042782) + (xy 234.547106 84.18186) + (xy 234.534337 84.198057) + (xy 234.440162 84.377053) + (xy 234.438817 84.381384) + (xy 234.438816 84.381387) + (xy 234.390501 84.53699) + (xy 234.380185 84.570213) + (xy 234.356412 84.771069) + (xy 232.263805 84.771069) + (xy 232.257633 84.703898) + (xy 232.248371 84.603109) + (xy 232.247956 84.598591) + (xy 232.193055 84.403926) + (xy 232.103599 84.222527) + (xy 231.982583 84.060467) + (xy 231.83406 83.923174) + (xy 231.811373 83.908859) + (xy 231.666842 83.817667) + (xy 231.663005 83.815246) + (xy 231.475146 83.740298) + (xy 231.276774 83.700839) + (xy 231.177178 83.699535) + (xy 231.079074 83.698251) + (xy 231.079069 83.698251) + (xy 231.074534 83.698192) + (xy 231.070061 83.698961) + (xy 231.070056 83.698961) + (xy 230.971493 83.715898) + (xy 230.875197 83.732444) + (xy 230.685441 83.802449) + (xy 230.511619 83.905862) + (xy 230.508204 83.908857) + (xy 230.508201 83.908859) + (xy 230.464419 83.947255) + (xy 230.359553 84.03922) + (xy 230.356745 84.042782) + (xy 230.247106 84.18186) + (xy 230.234337 84.198057) + (xy 230.140162 84.377053) + (xy 230.138817 84.381384) + (xy 230.138816 84.381387) + (xy 230.090501 84.53699) + (xy 230.080185 84.570213) + (xy 230.056412 84.771069) + (xy 227.963805 84.771069) + (xy 227.957633 84.703898) + (xy 227.948371 84.603109) + (xy 227.947956 84.598591) + (xy 227.893055 84.403926) + (xy 227.803599 84.222527) + (xy 227.682583 84.060467) + (xy 227.53406 83.923174) + (xy 227.511373 83.908859) + (xy 227.366842 83.817667) + (xy 227.363005 83.815246) + (xy 227.175146 83.740298) + (xy 226.976774 83.700839) + (xy 226.877178 83.699535) + (xy 226.779074 83.698251) + (xy 226.779069 83.698251) + (xy 226.774534 83.698192) + (xy 226.770061 83.698961) + (xy 226.770056 83.698961) + (xy 226.671493 83.715898) + (xy 226.575197 83.732444) + (xy 226.385441 83.802449) + (xy 226.211619 83.905862) + (xy 226.208204 83.908857) + (xy 226.208201 83.908859) + (xy 226.164419 83.947255) + (xy 226.059553 84.03922) + (xy 226.056745 84.042782) + (xy 225.947106 84.18186) + (xy 225.934337 84.198057) + (xy 225.840162 84.377053) + (xy 225.838817 84.381384) + (xy 225.838816 84.381387) + (xy 225.790501 84.53699) + (xy 225.780185 84.570213) + (xy 225.756412 84.771069) + (xy 223.663805 84.771069) + (xy 223.657633 84.703898) + (xy 223.648371 84.603109) + (xy 223.647956 84.598591) + (xy 223.593055 84.403926) + (xy 223.503599 84.222527) + (xy 223.382583 84.060467) + (xy 223.23406 83.923174) + (xy 223.211373 83.908859) + (xy 223.066842 83.817667) + (xy 223.063005 83.815246) + (xy 222.875146 83.740298) + (xy 222.676774 83.700839) + (xy 222.577178 83.699535) + (xy 222.479074 83.698251) + (xy 222.479069 83.698251) + (xy 222.474534 83.698192) + (xy 222.470061 83.698961) + (xy 222.470056 83.698961) + (xy 222.371493 83.715898) + (xy 222.275197 83.732444) + (xy 222.085441 83.802449) + (xy 221.911619 83.905862) + (xy 221.908204 83.908857) + (xy 221.908201 83.908859) + (xy 221.864419 83.947255) + (xy 221.759553 84.03922) + (xy 221.756745 84.042782) + (xy 221.647106 84.18186) + (xy 221.634337 84.198057) + (xy 221.540162 84.377053) + (xy 221.538817 84.381384) + (xy 221.538816 84.381387) + (xy 221.490501 84.53699) + (xy 221.480185 84.570213) + (xy 221.456412 84.771069) + (xy 219.363805 84.771069) + (xy 219.357633 84.703898) + (xy 219.348371 84.603109) + (xy 219.347956 84.598591) + (xy 219.293055 84.403926) + (xy 219.203599 84.222527) + (xy 219.082583 84.060467) + (xy 218.93406 83.923174) + (xy 218.911373 83.908859) + (xy 218.766842 83.817667) + (xy 218.763005 83.815246) + (xy 218.575146 83.740298) + (xy 218.376774 83.700839) + (xy 218.277178 83.699535) + (xy 218.179074 83.698251) + (xy 218.179069 83.698251) + (xy 218.174534 83.698192) + (xy 218.170061 83.698961) + (xy 218.170056 83.698961) + (xy 218.071493 83.715898) + (xy 217.975197 83.732444) + (xy 217.785441 83.802449) + (xy 217.611619 83.905862) + (xy 217.608204 83.908857) + (xy 217.608201 83.908859) + (xy 217.564419 83.947255) + (xy 217.459553 84.03922) + (xy 217.456745 84.042782) + (xy 217.347106 84.18186) + (xy 217.334337 84.198057) + (xy 217.240162 84.377053) + (xy 217.238817 84.381384) + (xy 217.238816 84.381387) + (xy 217.190501 84.53699) + (xy 217.180185 84.570213) + (xy 217.156412 84.771069) + (xy 215.063805 84.771069) + (xy 215.057633 84.703898) + (xy 215.048371 84.603109) + (xy 215.047956 84.598591) + (xy 214.993055 84.403926) + (xy 214.903599 84.222527) + (xy 214.782583 84.060467) + (xy 214.63406 83.923174) + (xy 214.611373 83.908859) + (xy 214.466842 83.817667) + (xy 214.463005 83.815246) + (xy 214.275146 83.740298) + (xy 214.076774 83.700839) + (xy 213.977178 83.699535) + (xy 213.879074 83.698251) + (xy 213.879069 83.698251) + (xy 213.874534 83.698192) + (xy 213.870061 83.698961) + (xy 213.870056 83.698961) + (xy 213.771493 83.715898) + (xy 213.675197 83.732444) + (xy 213.485441 83.802449) + (xy 213.311619 83.905862) + (xy 213.308204 83.908857) + (xy 213.308201 83.908859) + (xy 213.264419 83.947255) + (xy 213.159553 84.03922) + (xy 213.156745 84.042782) + (xy 213.047106 84.18186) + (xy 213.034337 84.198057) + (xy 212.940162 84.377053) + (xy 212.938817 84.381384) + (xy 212.938816 84.381387) + (xy 212.890501 84.53699) + (xy 212.880185 84.570213) + (xy 212.856412 84.771069) + (xy 210.763805 84.771069) + (xy 210.757633 84.703898) + (xy 210.748371 84.603109) + (xy 210.747956 84.598591) + (xy 210.693055 84.403926) + (xy 210.603599 84.222527) + (xy 210.482583 84.060467) + (xy 210.33406 83.923174) + (xy 210.311373 83.908859) + (xy 210.166842 83.817667) + (xy 210.163005 83.815246) + (xy 209.975146 83.740298) + (xy 209.776774 83.700839) + (xy 209.677178 83.699535) + (xy 209.579074 83.698251) + (xy 209.579069 83.698251) + (xy 209.574534 83.698192) + (xy 209.570061 83.698961) + (xy 209.570056 83.698961) + (xy 209.471493 83.715898) + (xy 209.375197 83.732444) + (xy 209.185441 83.802449) + (xy 209.011619 83.905862) + (xy 209.008204 83.908857) + (xy 209.008201 83.908859) + (xy 208.964419 83.947255) + (xy 208.859553 84.03922) + (xy 208.856745 84.042782) + (xy 208.747106 84.18186) + (xy 208.734337 84.198057) + (xy 208.640162 84.377053) + (xy 208.638817 84.381384) + (xy 208.638816 84.381387) + (xy 208.590501 84.53699) + (xy 208.580185 84.570213) + (xy 208.556412 84.771069) + (xy 192.963805 84.771069) + (xy 192.957633 84.703898) + (xy 192.948371 84.603109) + (xy 192.947956 84.598591) + (xy 192.893055 84.403926) + (xy 192.803599 84.222527) + (xy 192.682583 84.060467) + (xy 192.53406 83.923174) + (xy 192.511373 83.908859) + (xy 192.366842 83.817667) + (xy 192.363005 83.815246) + (xy 192.175146 83.740298) + (xy 191.976774 83.700839) + (xy 191.877178 83.699535) + (xy 191.779074 83.698251) + (xy 191.779069 83.698251) + (xy 191.774534 83.698192) + (xy 191.770061 83.698961) + (xy 191.770056 83.698961) + (xy 191.671493 83.715898) + (xy 191.575197 83.732444) + (xy 191.385441 83.802449) + (xy 191.211619 83.905862) + (xy 191.208204 83.908857) + (xy 191.208201 83.908859) + (xy 191.164419 83.947255) + (xy 191.059553 84.03922) + (xy 191.056745 84.042782) + (xy 190.947106 84.18186) + (xy 190.934337 84.198057) + (xy 190.840162 84.377053) + (xy 190.838817 84.381384) + (xy 190.838816 84.381387) + (xy 190.790501 84.53699) + (xy 190.780185 84.570213) + (xy 190.756412 84.771069) + (xy 188.663805 84.771069) + (xy 188.657633 84.703898) + (xy 188.648371 84.603109) + (xy 188.647956 84.598591) + (xy 188.593055 84.403926) + (xy 188.503599 84.222527) + (xy 188.382583 84.060467) + (xy 188.23406 83.923174) + (xy 188.211373 83.908859) + (xy 188.066842 83.817667) + (xy 188.063005 83.815246) + (xy 187.875146 83.740298) + (xy 187.676774 83.700839) + (xy 187.577178 83.699535) + (xy 187.479074 83.698251) + (xy 187.479069 83.698251) + (xy 187.474534 83.698192) + (xy 187.470061 83.698961) + (xy 187.470056 83.698961) + (xy 187.371493 83.715898) + (xy 187.275197 83.732444) + (xy 187.085441 83.802449) + (xy 186.911619 83.905862) + (xy 186.908204 83.908857) + (xy 186.908201 83.908859) + (xy 186.864419 83.947255) + (xy 186.759553 84.03922) + (xy 186.756745 84.042782) + (xy 186.647106 84.18186) + (xy 186.634337 84.198057) + (xy 186.540162 84.377053) + (xy 186.538817 84.381384) + (xy 186.538816 84.381387) + (xy 186.490501 84.53699) + (xy 186.480185 84.570213) + (xy 186.456412 84.771069) + (xy 184.363805 84.771069) + (xy 184.357633 84.703898) + (xy 184.348371 84.603109) + (xy 184.347956 84.598591) + (xy 184.293055 84.403926) + (xy 184.203599 84.222527) + (xy 184.082583 84.060467) + (xy 183.93406 83.923174) + (xy 183.911373 83.908859) + (xy 183.766842 83.817667) + (xy 183.763005 83.815246) + (xy 183.575146 83.740298) + (xy 183.376774 83.700839) + (xy 183.277178 83.699535) + (xy 183.179074 83.698251) + (xy 183.179069 83.698251) + (xy 183.174534 83.698192) + (xy 183.170061 83.698961) + (xy 183.170056 83.698961) + (xy 183.071493 83.715898) + (xy 182.975197 83.732444) + (xy 182.785441 83.802449) + (xy 182.611619 83.905862) + (xy 182.608204 83.908857) + (xy 182.608201 83.908859) + (xy 182.564419 83.947255) + (xy 182.459553 84.03922) + (xy 182.456745 84.042782) + (xy 182.347106 84.18186) + (xy 182.334337 84.198057) + (xy 182.240162 84.377053) + (xy 182.238817 84.381384) + (xy 182.238816 84.381387) + (xy 182.190501 84.53699) + (xy 182.180185 84.570213) + (xy 182.156412 84.771069) + (xy 180.063805 84.771069) + (xy 180.057633 84.703898) + (xy 180.048371 84.603109) + (xy 180.047956 84.598591) + (xy 179.993055 84.403926) + (xy 179.903599 84.222527) + (xy 179.782583 84.060467) + (xy 179.63406 83.923174) + (xy 179.611373 83.908859) + (xy 179.466842 83.817667) + (xy 179.463005 83.815246) + (xy 179.275146 83.740298) + (xy 179.076774 83.700839) + (xy 178.977178 83.699535) + (xy 178.879074 83.698251) + (xy 178.879069 83.698251) + (xy 178.874534 83.698192) + (xy 178.870061 83.698961) + (xy 178.870056 83.698961) + (xy 178.771493 83.715898) + (xy 178.675197 83.732444) + (xy 178.485441 83.802449) + (xy 178.311619 83.905862) + (xy 178.308204 83.908857) + (xy 178.308201 83.908859) + (xy 178.264419 83.947255) + (xy 178.159553 84.03922) + (xy 178.156745 84.042782) + (xy 178.047106 84.18186) + (xy 178.034337 84.198057) + (xy 177.940162 84.377053) + (xy 177.938817 84.381384) + (xy 177.938816 84.381387) + (xy 177.890501 84.53699) + (xy 177.880185 84.570213) + (xy 177.856412 84.771069) + (xy 175.763805 84.771069) + (xy 175.757633 84.703898) + (xy 175.748371 84.603109) + (xy 175.747956 84.598591) + (xy 175.693055 84.403926) + (xy 175.603599 84.222527) + (xy 175.482583 84.060467) + (xy 175.33406 83.923174) + (xy 175.311373 83.908859) + (xy 175.166842 83.817667) + (xy 175.163005 83.815246) + (xy 174.975146 83.740298) + (xy 174.776774 83.700839) + (xy 174.677178 83.699535) + (xy 174.579074 83.698251) + (xy 174.579069 83.698251) + (xy 174.574534 83.698192) + (xy 174.570061 83.698961) + (xy 174.570056 83.698961) + (xy 174.471493 83.715898) + (xy 174.375197 83.732444) + (xy 174.185441 83.802449) + (xy 174.011619 83.905862) + (xy 174.008204 83.908857) + (xy 174.008201 83.908859) + (xy 173.964419 83.947255) + (xy 173.859553 84.03922) + (xy 173.856745 84.042782) + (xy 173.747106 84.18186) + (xy 173.734337 84.198057) + (xy 173.640162 84.377053) + (xy 173.638817 84.381384) + (xy 173.638816 84.381387) + (xy 173.590501 84.53699) + (xy 173.580185 84.570213) + (xy 173.556412 84.771069) + (xy 171.463805 84.771069) + (xy 171.457633 84.703898) + (xy 171.448371 84.603109) + (xy 171.447956 84.598591) + (xy 171.393055 84.403926) + (xy 171.303599 84.222527) + (xy 171.182583 84.060467) + (xy 171.03406 83.923174) + (xy 171.011373 83.908859) + (xy 170.866842 83.817667) + (xy 170.863005 83.815246) + (xy 170.675146 83.740298) + (xy 170.476774 83.700839) + (xy 170.377178 83.699535) + (xy 170.279074 83.698251) + (xy 170.279069 83.698251) + (xy 170.274534 83.698192) + (xy 170.270061 83.698961) + (xy 170.270056 83.698961) + (xy 170.171493 83.715898) + (xy 170.075197 83.732444) + (xy 169.885441 83.802449) + (xy 169.711619 83.905862) + (xy 169.708204 83.908857) + (xy 169.708201 83.908859) + (xy 169.664419 83.947255) + (xy 169.559553 84.03922) + (xy 169.556745 84.042782) + (xy 169.447106 84.18186) + (xy 169.434337 84.198057) + (xy 169.340162 84.377053) + (xy 169.338817 84.381384) + (xy 169.338816 84.381387) + (xy 169.290501 84.53699) + (xy 169.280185 84.570213) + (xy 169.256412 84.771069) + (xy 167.163805 84.771069) + (xy 167.157633 84.703898) + (xy 167.148371 84.603109) + (xy 167.147956 84.598591) + (xy 167.093055 84.403926) + (xy 167.003599 84.222527) + (xy 166.882583 84.060467) + (xy 166.73406 83.923174) + (xy 166.711373 83.908859) + (xy 166.566842 83.817667) + (xy 166.563005 83.815246) + (xy 166.375146 83.740298) + (xy 166.176774 83.700839) + (xy 166.077178 83.699535) + (xy 165.979074 83.698251) + (xy 165.979069 83.698251) + (xy 165.974534 83.698192) + (xy 165.970061 83.698961) + (xy 165.970056 83.698961) + (xy 165.871493 83.715898) + (xy 165.775197 83.732444) + (xy 165.585441 83.802449) + (xy 165.411619 83.905862) + (xy 165.408204 83.908857) + (xy 165.408201 83.908859) + (xy 165.364419 83.947255) + (xy 165.259553 84.03922) + (xy 165.256745 84.042782) + (xy 165.147106 84.18186) + (xy 165.134337 84.198057) + (xy 165.040162 84.377053) + (xy 165.038817 84.381384) + (xy 165.038816 84.381387) + (xy 164.990501 84.53699) + (xy 164.980185 84.570213) + (xy 164.956412 84.771069) + (xy 150.859655 84.771069) + (xy 150.889791 84.715319) + (xy 150.889791 84.715318) + (xy 150.890838 84.713382) + (xy 151.057972 84.325181) + (xy 151.100924 84.194492) + (xy 151.189248 83.92575) + (xy 151.189249 83.925745) + (xy 151.189935 83.923659) + (xy 151.193378 83.908859) + (xy 151.285183 83.514143) + (xy 151.285184 83.514135) + (xy 151.285682 83.511996) + (xy 151.339345 83.129847) + (xy 151.34415 83.095631) + (xy 151.34415 83.095628) + (xy 151.344456 83.093451) + (xy 151.36271 82.732317) + (xy 151.365614 82.674853) + (xy 151.366029 82.674874) + (xy 151.3659 82.673654) + (xy 151.36628 82.672058) + (xy 151.366285 82.671342) + (xy 151.363414 82.658397) + (xy 151.361066 82.637208) + (xy 151.355163 80.238477) + (xy 152.80607 80.238477) + (xy 152.806264 80.241842) + (xy 152.806264 80.241846) + (xy 152.809793 80.303043) + (xy 152.822164 80.517596) + (xy 152.875989 80.791948) + (xy 152.877082 80.79514) + (xy 152.955751 81.024912) + (xy 152.966551 81.056457) + (xy 153.092173 81.306228) + (xy 153.25053 81.536639) + (xy 153.2528 81.539133) + (xy 153.252801 81.539135) + (xy 153.386983 81.686599) + (xy 153.438692 81.743427) + (xy 153.441279 81.74559) + (xy 153.44128 81.745591) + (xy 153.474508 81.773374) + (xy 153.653178 81.922765) + (xy 153.890019 82.071335) + (xy 153.893087 82.07272) + (xy 153.893094 82.072724) + (xy 154.058606 82.147455) + (xy 154.144831 82.186387) + (xy 154.148053 82.187341) + (xy 154.14806 82.187344) + (xy 154.295674 82.231069) + (xy 154.4129 82.265793) + (xy 154.416225 82.266302) + (xy 154.416226 82.266302) + (xy 154.685932 82.307573) + (xy 154.685935 82.307573) + (xy 154.689266 82.308083) + (xy 154.692637 82.308136) + (xy 154.692638 82.308136) + (xy 154.740819 82.308893) + (xy 154.968814 82.312474) + (xy 154.972151 82.31207) + (xy 154.972155 82.31207) + (xy 155.243027 82.279291) + (xy 155.243032 82.27929) + (xy 155.246371 82.278886) + (xy 155.428639 82.231069) + (xy 164.956412 82.231069) + (xy 164.96964 82.432894) + (xy 164.970756 82.437287) + (xy 164.970756 82.437289) + (xy 164.98215 82.482154) + (xy 165.019426 82.628928) + (xy 165.104104 82.812607) + (xy 165.220836 82.97778) + (xy 165.365714 83.118913) + (xy 165.533885 83.231282) + (xy 165.719718 83.311122) + (xy 165.916988 83.35576) + (xy 166.11909 83.3637) + (xy 166.172625 83.355938) + (xy 166.314767 83.335329) + (xy 166.31477 83.335328) + (xy 166.319255 83.334678) + (xy 166.415017 83.302171) + (xy 166.506482 83.271123) + (xy 166.506485 83.271121) + (xy 166.510779 83.269664) + (xy 166.687249 83.170837) + (xy 166.842753 83.041505) + (xy 166.972085 82.886001) + (xy 167.050389 82.746178) + (xy 167.095318 82.704645) + (xy 167.15608 82.697453) + (xy 167.209464 82.727349) + (xy 167.226672 82.753103) + (xy 167.254104 82.812607) + (xy 167.370836 82.97778) + (xy 167.515714 83.118913) + (xy 167.683885 83.231282) + (xy 167.869718 83.311122) + (xy 168.066988 83.35576) + (xy 168.26909 83.3637) + (xy 168.322625 83.355938) + (xy 168.464767 83.335329) + (xy 168.46477 83.335328) + (xy 168.469255 83.334678) + (xy 168.565017 83.302171) + (xy 168.656482 83.271123) + (xy 168.656485 83.271121) + (xy 168.660779 83.269664) + (xy 168.837249 83.170837) + (xy 168.992753 83.041505) + (xy 169.122085 82.886001) + (xy 169.200389 82.746178) + (xy 169.245318 82.704645) + (xy 169.30608 82.697453) + (xy 169.359464 82.727349) + (xy 169.376672 82.753103) + (xy 169.404104 82.812607) + (xy 169.520836 82.97778) + (xy 169.665714 83.118913) + (xy 169.833885 83.231282) + (xy 170.019718 83.311122) + (xy 170.216988 83.35576) + (xy 170.41909 83.3637) + (xy 170.472625 83.355938) + (xy 170.614767 83.335329) + (xy 170.61477 83.335328) + (xy 170.619255 83.334678) + (xy 170.715017 83.302171) + (xy 170.806482 83.271123) + (xy 170.806485 83.271121) + (xy 170.810779 83.269664) + (xy 170.987249 83.170837) + (xy 171.142753 83.041505) + (xy 171.272085 82.886001) + (xy 171.350389 82.746178) + (xy 171.395318 82.704645) + (xy 171.45608 82.697453) + (xy 171.509464 82.727349) + (xy 171.526672 82.753103) + (xy 171.554104 82.812607) + (xy 171.670836 82.97778) + (xy 171.815714 83.118913) + (xy 171.983885 83.231282) + (xy 172.169718 83.311122) + (xy 172.366988 83.35576) + (xy 172.56909 83.3637) + (xy 172.622625 83.355938) + (xy 172.764767 83.335329) + (xy 172.76477 83.335328) + (xy 172.769255 83.334678) + (xy 172.865017 83.302171) + (xy 172.956482 83.271123) + (xy 172.956485 83.271121) + (xy 172.960779 83.269664) + (xy 173.137249 83.170837) + (xy 173.292753 83.041505) + (xy 173.422085 82.886001) + (xy 173.500389 82.746178) + (xy 173.545318 82.704645) + (xy 173.60608 82.697453) + (xy 173.659464 82.727349) + (xy 173.676672 82.753103) + (xy 173.704104 82.812607) + (xy 173.820836 82.97778) + (xy 173.965714 83.118913) + (xy 174.133885 83.231282) + (xy 174.319718 83.311122) + (xy 174.516988 83.35576) + (xy 174.71909 83.3637) + (xy 174.772625 83.355938) + (xy 174.914767 83.335329) + (xy 174.91477 83.335328) + (xy 174.919255 83.334678) + (xy 175.015017 83.302171) + (xy 175.106482 83.271123) + (xy 175.106485 83.271121) + (xy 175.110779 83.269664) + (xy 175.287249 83.170837) + (xy 175.442753 83.041505) + (xy 175.572085 82.886001) + (xy 175.650389 82.746178) + (xy 175.695318 82.704645) + (xy 175.75608 82.697453) + (xy 175.809464 82.727349) + (xy 175.826672 82.753103) + (xy 175.854104 82.812607) + (xy 175.970836 82.97778) + (xy 176.115714 83.118913) + (xy 176.283885 83.231282) + (xy 176.469718 83.311122) + (xy 176.666988 83.35576) + (xy 176.86909 83.3637) + (xy 176.922625 83.355938) + (xy 177.064767 83.335329) + (xy 177.06477 83.335328) + (xy 177.069255 83.334678) + (xy 177.165017 83.302171) + (xy 177.256482 83.271123) + (xy 177.256485 83.271121) + (xy 177.260779 83.269664) + (xy 177.437249 83.170837) + (xy 177.592753 83.041505) + (xy 177.722085 82.886001) + (xy 177.800389 82.746178) + (xy 177.845318 82.704645) + (xy 177.90608 82.697453) + (xy 177.959464 82.727349) + (xy 177.976672 82.753103) + (xy 178.004104 82.812607) + (xy 178.120836 82.97778) + (xy 178.265714 83.118913) + (xy 178.433885 83.231282) + (xy 178.619718 83.311122) + (xy 178.816988 83.35576) + (xy 179.01909 83.3637) + (xy 179.072625 83.355938) + (xy 179.214767 83.335329) + (xy 179.21477 83.335328) + (xy 179.219255 83.334678) + (xy 179.315017 83.302171) + (xy 179.406482 83.271123) + (xy 179.406485 83.271121) + (xy 179.410779 83.269664) + (xy 179.587249 83.170837) + (xy 179.742753 83.041505) + (xy 179.872085 82.886001) + (xy 179.950389 82.746178) + (xy 179.995318 82.704645) + (xy 180.05608 82.697453) + (xy 180.109464 82.727349) + (xy 180.126672 82.753103) + (xy 180.154104 82.812607) + (xy 180.270836 82.97778) + (xy 180.415714 83.118913) + (xy 180.583885 83.231282) + (xy 180.769718 83.311122) + (xy 180.966988 83.35576) + (xy 181.16909 83.3637) + (xy 181.222625 83.355938) + (xy 181.364767 83.335329) + (xy 181.36477 83.335328) + (xy 181.369255 83.334678) + (xy 181.465017 83.302171) + (xy 181.556482 83.271123) + (xy 181.556485 83.271121) + (xy 181.560779 83.269664) + (xy 181.737249 83.170837) + (xy 181.892753 83.041505) + (xy 182.022085 82.886001) + (xy 182.100389 82.746178) + (xy 182.145318 82.704645) + (xy 182.20608 82.697453) + (xy 182.259464 82.727349) + (xy 182.276672 82.753103) + (xy 182.304104 82.812607) + (xy 182.420836 82.97778) + (xy 182.565714 83.118913) + (xy 182.733885 83.231282) + (xy 182.919718 83.311122) + (xy 183.116988 83.35576) + (xy 183.31909 83.3637) + (xy 183.372625 83.355938) + (xy 183.514767 83.335329) + (xy 183.51477 83.335328) + (xy 183.519255 83.334678) + (xy 183.615017 83.302171) + (xy 183.706482 83.271123) + (xy 183.706485 83.271121) + (xy 183.710779 83.269664) + (xy 183.887249 83.170837) + (xy 184.042753 83.041505) + (xy 184.172085 82.886001) + (xy 184.250389 82.746178) + (xy 184.295318 82.704645) + (xy 184.35608 82.697453) + (xy 184.409464 82.727349) + (xy 184.426672 82.753103) + (xy 184.454104 82.812607) + (xy 184.570836 82.97778) + (xy 184.715714 83.118913) + (xy 184.883885 83.231282) + (xy 185.069718 83.311122) + (xy 185.266988 83.35576) + (xy 185.46909 83.3637) + (xy 185.522625 83.355938) + (xy 185.664767 83.335329) + (xy 185.66477 83.335328) + (xy 185.669255 83.334678) + (xy 185.765017 83.302171) + (xy 185.856482 83.271123) + (xy 185.856485 83.271121) + (xy 185.860779 83.269664) + (xy 186.037249 83.170837) + (xy 186.192753 83.041505) + (xy 186.322085 82.886001) + (xy 186.400389 82.746178) + (xy 186.445318 82.704645) + (xy 186.50608 82.697453) + (xy 186.559464 82.727349) + (xy 186.576672 82.753103) + (xy 186.604104 82.812607) + (xy 186.720836 82.97778) + (xy 186.865714 83.118913) + (xy 187.033885 83.231282) + (xy 187.219718 83.311122) + (xy 187.416988 83.35576) + (xy 187.61909 83.3637) + (xy 187.672625 83.355938) + (xy 187.814767 83.335329) + (xy 187.81477 83.335328) + (xy 187.819255 83.334678) + (xy 187.915017 83.302171) + (xy 188.006482 83.271123) + (xy 188.006485 83.271121) + (xy 188.010779 83.269664) + (xy 188.187249 83.170837) + (xy 188.342753 83.041505) + (xy 188.472085 82.886001) + (xy 188.550389 82.746178) + (xy 188.595318 82.704645) + (xy 188.65608 82.697453) + (xy 188.709464 82.727349) + (xy 188.726672 82.753103) + (xy 188.754104 82.812607) + (xy 188.870836 82.97778) + (xy 189.015714 83.118913) + (xy 189.183885 83.231282) + (xy 189.369718 83.311122) + (xy 189.566988 83.35576) + (xy 189.76909 83.3637) + (xy 189.822625 83.355938) + (xy 189.964767 83.335329) + (xy 189.96477 83.335328) + (xy 189.969255 83.334678) + (xy 190.065017 83.302171) + (xy 190.156482 83.271123) + (xy 190.156485 83.271121) + (xy 190.160779 83.269664) + (xy 190.337249 83.170837) + (xy 190.492753 83.041505) + (xy 190.622085 82.886001) + (xy 190.700389 82.746178) + (xy 190.745318 82.704645) + (xy 190.80608 82.697453) + (xy 190.859464 82.727349) + (xy 190.876672 82.753103) + (xy 190.904104 82.812607) + (xy 191.020836 82.97778) + (xy 191.165714 83.118913) + (xy 191.333885 83.231282) + (xy 191.519718 83.311122) + (xy 191.716988 83.35576) + (xy 191.91909 83.3637) + (xy 191.972625 83.355938) + (xy 192.114767 83.335329) + (xy 192.11477 83.335328) + (xy 192.119255 83.334678) + (xy 192.215017 83.302171) + (xy 192.306482 83.271123) + (xy 192.306485 83.271121) + (xy 192.310779 83.269664) + (xy 192.487249 83.170837) + (xy 192.642753 83.041505) + (xy 192.772085 82.886001) + (xy 192.850389 82.746178) + (xy 192.895318 82.704645) + (xy 192.95608 82.697453) + (xy 193.009464 82.727349) + (xy 193.026672 82.753103) + (xy 193.054104 82.812607) + (xy 193.170836 82.97778) + (xy 193.315714 83.118913) + (xy 193.483885 83.231282) + (xy 193.669718 83.311122) + (xy 193.866988 83.35576) + (xy 194.06909 83.3637) + (xy 194.122625 83.355938) + (xy 194.264767 83.335329) + (xy 194.26477 83.335328) + (xy 194.269255 83.334678) + (xy 194.365017 83.302171) + (xy 194.456482 83.271123) + (xy 194.456485 83.271121) + (xy 194.460779 83.269664) + (xy 194.637249 83.170837) + (xy 194.792753 83.041505) + (xy 194.922085 82.886001) + (xy 195.020912 82.709531) + (xy 195.02777 82.68933) + (xy 195.084467 82.522304) + (xy 195.085926 82.518007) + (xy 195.091125 82.482154) + (xy 195.114531 82.320717) + (xy 195.114948 82.317842) + (xy 195.116298 82.266302) + (xy 195.116387 82.262913) + (xy 195.116387 82.262908) + (xy 195.116463 82.26) + (xy 195.113805 82.231069) + (xy 208.556412 82.231069) + (xy 208.56964 82.432894) + (xy 208.570756 82.437287) + (xy 208.570756 82.437289) + (xy 208.58215 82.482154) + (xy 208.619426 82.628928) + (xy 208.704104 82.812607) + (xy 208.820836 82.97778) + (xy 208.965714 83.118913) + (xy 209.133885 83.231282) + (xy 209.319718 83.311122) + (xy 209.516988 83.35576) + (xy 209.71909 83.3637) + (xy 209.772625 83.355938) + (xy 209.914767 83.335329) + (xy 209.91477 83.335328) + (xy 209.919255 83.334678) + (xy 210.015017 83.302171) + (xy 210.106482 83.271123) + (xy 210.106485 83.271121) + (xy 210.110779 83.269664) + (xy 210.287249 83.170837) + (xy 210.442753 83.041505) + (xy 210.572085 82.886001) + (xy 210.650389 82.746178) + (xy 210.695318 82.704645) + (xy 210.75608 82.697453) + (xy 210.809464 82.727349) + (xy 210.826672 82.753103) + (xy 210.854104 82.812607) + (xy 210.970836 82.97778) + (xy 211.115714 83.118913) + (xy 211.283885 83.231282) + (xy 211.469718 83.311122) + (xy 211.666988 83.35576) + (xy 211.86909 83.3637) + (xy 211.922625 83.355938) + (xy 212.064767 83.335329) + (xy 212.06477 83.335328) + (xy 212.069255 83.334678) + (xy 212.165017 83.302171) + (xy 212.256482 83.271123) + (xy 212.256485 83.271121) + (xy 212.260779 83.269664) + (xy 212.437249 83.170837) + (xy 212.592753 83.041505) + (xy 212.722085 82.886001) + (xy 212.800389 82.746178) + (xy 212.845318 82.704645) + (xy 212.90608 82.697453) + (xy 212.959464 82.727349) + (xy 212.976672 82.753103) + (xy 213.004104 82.812607) + (xy 213.120836 82.97778) + (xy 213.265714 83.118913) + (xy 213.433885 83.231282) + (xy 213.619718 83.311122) + (xy 213.816988 83.35576) + (xy 214.01909 83.3637) + (xy 214.072625 83.355938) + (xy 214.214767 83.335329) + (xy 214.21477 83.335328) + (xy 214.219255 83.334678) + (xy 214.315017 83.302171) + (xy 214.406482 83.271123) + (xy 214.406485 83.271121) + (xy 214.410779 83.269664) + (xy 214.587249 83.170837) + (xy 214.742753 83.041505) + (xy 214.872085 82.886001) + (xy 214.950389 82.746178) + (xy 214.995318 82.704645) + (xy 215.05608 82.697453) + (xy 215.109464 82.727349) + (xy 215.126672 82.753103) + (xy 215.154104 82.812607) + (xy 215.270836 82.97778) + (xy 215.415714 83.118913) + (xy 215.583885 83.231282) + (xy 215.769718 83.311122) + (xy 215.966988 83.35576) + (xy 216.16909 83.3637) + (xy 216.222625 83.355938) + (xy 216.364767 83.335329) + (xy 216.36477 83.335328) + (xy 216.369255 83.334678) + (xy 216.465017 83.302171) + (xy 216.556482 83.271123) + (xy 216.556485 83.271121) + (xy 216.560779 83.269664) + (xy 216.737249 83.170837) + (xy 216.892753 83.041505) + (xy 217.022085 82.886001) + (xy 217.100389 82.746178) + (xy 217.145318 82.704645) + (xy 217.20608 82.697453) + (xy 217.259464 82.727349) + (xy 217.276672 82.753103) + (xy 217.304104 82.812607) + (xy 217.420836 82.97778) + (xy 217.565714 83.118913) + (xy 217.733885 83.231282) + (xy 217.919718 83.311122) + (xy 218.116988 83.35576) + (xy 218.31909 83.3637) + (xy 218.372625 83.355938) + (xy 218.514767 83.335329) + (xy 218.51477 83.335328) + (xy 218.519255 83.334678) + (xy 218.615017 83.302171) + (xy 218.706482 83.271123) + (xy 218.706485 83.271121) + (xy 218.710779 83.269664) + (xy 218.887249 83.170837) + (xy 219.042753 83.041505) + (xy 219.172085 82.886001) + (xy 219.250389 82.746178) + (xy 219.295318 82.704645) + (xy 219.35608 82.697453) + (xy 219.409464 82.727349) + (xy 219.426672 82.753103) + (xy 219.454104 82.812607) + (xy 219.570836 82.97778) + (xy 219.715714 83.118913) + (xy 219.883885 83.231282) + (xy 220.069718 83.311122) + (xy 220.266988 83.35576) + (xy 220.46909 83.3637) + (xy 220.522625 83.355938) + (xy 220.664767 83.335329) + (xy 220.66477 83.335328) + (xy 220.669255 83.334678) + (xy 220.765017 83.302171) + (xy 220.856482 83.271123) + (xy 220.856485 83.271121) + (xy 220.860779 83.269664) + (xy 221.037249 83.170837) + (xy 221.192753 83.041505) + (xy 221.322085 82.886001) + (xy 221.400389 82.746178) + (xy 221.445318 82.704645) + (xy 221.50608 82.697453) + (xy 221.559464 82.727349) + (xy 221.576672 82.753103) + (xy 221.604104 82.812607) + (xy 221.720836 82.97778) + (xy 221.865714 83.118913) + (xy 222.033885 83.231282) + (xy 222.219718 83.311122) + (xy 222.416988 83.35576) + (xy 222.61909 83.3637) + (xy 222.672625 83.355938) + (xy 222.814767 83.335329) + (xy 222.81477 83.335328) + (xy 222.819255 83.334678) + (xy 222.915017 83.302171) + (xy 223.006482 83.271123) + (xy 223.006485 83.271121) + (xy 223.010779 83.269664) + (xy 223.187249 83.170837) + (xy 223.342753 83.041505) + (xy 223.472085 82.886001) + (xy 223.550389 82.746178) + (xy 223.595318 82.704645) + (xy 223.65608 82.697453) + (xy 223.709464 82.727349) + (xy 223.726672 82.753103) + (xy 223.754104 82.812607) + (xy 223.870836 82.97778) + (xy 224.015714 83.118913) + (xy 224.183885 83.231282) + (xy 224.369718 83.311122) + (xy 224.566988 83.35576) + (xy 224.76909 83.3637) + (xy 224.822625 83.355938) + (xy 224.964767 83.335329) + (xy 224.96477 83.335328) + (xy 224.969255 83.334678) + (xy 225.065017 83.302171) + (xy 225.156482 83.271123) + (xy 225.156485 83.271121) + (xy 225.160779 83.269664) + (xy 225.337249 83.170837) + (xy 225.492753 83.041505) + (xy 225.622085 82.886001) + (xy 225.700389 82.746178) + (xy 225.745318 82.704645) + (xy 225.80608 82.697453) + (xy 225.859464 82.727349) + (xy 225.876672 82.753103) + (xy 225.904104 82.812607) + (xy 226.020836 82.97778) + (xy 226.165714 83.118913) + (xy 226.333885 83.231282) + (xy 226.519718 83.311122) + (xy 226.716988 83.35576) + (xy 226.91909 83.3637) + (xy 226.972625 83.355938) + (xy 227.114767 83.335329) + (xy 227.11477 83.335328) + (xy 227.119255 83.334678) + (xy 227.215017 83.302171) + (xy 227.306482 83.271123) + (xy 227.306485 83.271121) + (xy 227.310779 83.269664) + (xy 227.487249 83.170837) + (xy 227.642753 83.041505) + (xy 227.772085 82.886001) + (xy 227.850389 82.746178) + (xy 227.895318 82.704645) + (xy 227.95608 82.697453) + (xy 228.009464 82.727349) + (xy 228.026672 82.753103) + (xy 228.054104 82.812607) + (xy 228.170836 82.97778) + (xy 228.315714 83.118913) + (xy 228.483885 83.231282) + (xy 228.669718 83.311122) + (xy 228.866988 83.35576) + (xy 229.06909 83.3637) + (xy 229.122625 83.355938) + (xy 229.264767 83.335329) + (xy 229.26477 83.335328) + (xy 229.269255 83.334678) + (xy 229.365017 83.302171) + (xy 229.456482 83.271123) + (xy 229.456485 83.271121) + (xy 229.460779 83.269664) + (xy 229.637249 83.170837) + (xy 229.792753 83.041505) + (xy 229.922085 82.886001) + (xy 230.000389 82.746178) + (xy 230.045318 82.704645) + (xy 230.10608 82.697453) + (xy 230.159464 82.727349) + (xy 230.176672 82.753103) + (xy 230.204104 82.812607) + (xy 230.320836 82.97778) + (xy 230.465714 83.118913) + (xy 230.633885 83.231282) + (xy 230.819718 83.311122) + (xy 231.016988 83.35576) + (xy 231.21909 83.3637) + (xy 231.272625 83.355938) + (xy 231.414767 83.335329) + (xy 231.41477 83.335328) + (xy 231.419255 83.334678) + (xy 231.515017 83.302171) + (xy 231.606482 83.271123) + (xy 231.606485 83.271121) + (xy 231.610779 83.269664) + (xy 231.787249 83.170837) + (xy 231.942753 83.041505) + (xy 232.072085 82.886001) + (xy 232.150389 82.746178) + (xy 232.195318 82.704645) + (xy 232.25608 82.697453) + (xy 232.309464 82.727349) + (xy 232.326672 82.753103) + (xy 232.354104 82.812607) + (xy 232.470836 82.97778) + (xy 232.615714 83.118913) + (xy 232.783885 83.231282) + (xy 232.969718 83.311122) + (xy 233.166988 83.35576) + (xy 233.36909 83.3637) + (xy 233.422625 83.355938) + (xy 233.564767 83.335329) + (xy 233.56477 83.335328) + (xy 233.569255 83.334678) + (xy 233.665017 83.302171) + (xy 233.756482 83.271123) + (xy 233.756485 83.271121) + (xy 233.760779 83.269664) + (xy 233.937249 83.170837) + (xy 234.092753 83.041505) + (xy 234.222085 82.886001) + (xy 234.300389 82.746178) + (xy 234.345318 82.704645) + (xy 234.40608 82.697453) + (xy 234.459464 82.727349) + (xy 234.476672 82.753103) + (xy 234.504104 82.812607) + (xy 234.620836 82.97778) + (xy 234.765714 83.118913) + (xy 234.933885 83.231282) + (xy 235.119718 83.311122) + (xy 235.316988 83.35576) + (xy 235.51909 83.3637) + (xy 235.572625 83.355938) + (xy 235.714767 83.335329) + (xy 235.71477 83.335328) + (xy 235.719255 83.334678) + (xy 235.815017 83.302171) + (xy 235.906482 83.271123) + (xy 235.906485 83.271121) + (xy 235.910779 83.269664) + (xy 236.087249 83.170837) + (xy 236.242753 83.041505) + (xy 236.372085 82.886001) + (xy 236.450389 82.746178) + (xy 236.495318 82.704645) + (xy 236.55608 82.697453) + (xy 236.609464 82.727349) + (xy 236.626672 82.753103) + (xy 236.654104 82.812607) + (xy 236.770836 82.97778) + (xy 236.915714 83.118913) + (xy 237.083885 83.231282) + (xy 237.269718 83.311122) + (xy 237.466988 83.35576) + (xy 237.66909 83.3637) + (xy 237.722625 83.355938) + (xy 237.864767 83.335329) + (xy 237.86477 83.335328) + (xy 237.869255 83.334678) + (xy 237.965017 83.302171) + (xy 238.056482 83.271123) + (xy 238.056485 83.271121) + (xy 238.060779 83.269664) + (xy 238.237249 83.170837) + (xy 238.392753 83.041505) + (xy 238.522085 82.886001) + (xy 238.600389 82.746178) + (xy 238.645318 82.704645) + (xy 238.70608 82.697453) + (xy 238.759464 82.727349) + (xy 238.776672 82.753103) + (xy 238.804104 82.812607) + (xy 238.920836 82.97778) + (xy 239.065714 83.118913) + (xy 239.233885 83.231282) + (xy 239.419718 83.311122) + (xy 239.616988 83.35576) + (xy 239.81909 83.3637) + (xy 239.872625 83.355938) + (xy 240.014767 83.335329) + (xy 240.01477 83.335328) + (xy 240.019255 83.334678) + (xy 240.115017 83.302171) + (xy 240.206482 83.271123) + (xy 240.206485 83.271121) + (xy 240.210779 83.269664) + (xy 240.387249 83.170837) + (xy 240.542753 83.041505) + (xy 240.672085 82.886001) + (xy 240.750389 82.746178) + (xy 240.795318 82.704645) + (xy 240.85608 82.697453) + (xy 240.909464 82.727349) + (xy 240.926672 82.753103) + (xy 240.954104 82.812607) + (xy 241.070836 82.97778) + (xy 241.215714 83.118913) + (xy 241.383885 83.231282) + (xy 241.569718 83.311122) + (xy 241.766988 83.35576) + (xy 241.96909 83.3637) + (xy 242.022625 83.355938) + (xy 242.164767 83.335329) + (xy 242.16477 83.335328) + (xy 242.169255 83.334678) + (xy 242.265017 83.302171) + (xy 242.356482 83.271123) + (xy 242.356485 83.271121) + (xy 242.360779 83.269664) + (xy 242.537249 83.170837) + (xy 242.692753 83.041505) + (xy 242.822085 82.886001) + (xy 242.900389 82.746178) + (xy 242.945318 82.704645) + (xy 243.00608 82.697453) + (xy 243.059464 82.727349) + (xy 243.076672 82.753103) + (xy 243.104104 82.812607) + (xy 243.220836 82.97778) + (xy 243.365714 83.118913) + (xy 243.533885 83.231282) + (xy 243.719718 83.311122) + (xy 243.916988 83.35576) + (xy 244.11909 83.3637) + (xy 244.172625 83.355938) + (xy 244.314767 83.335329) + (xy 244.31477 83.335328) + (xy 244.319255 83.334678) + (xy 244.415017 83.302171) + (xy 244.506482 83.271123) + (xy 244.506485 83.271121) + (xy 244.510779 83.269664) + (xy 244.687249 83.170837) + (xy 244.842753 83.041505) + (xy 244.972085 82.886001) + (xy 245.050389 82.746178) + (xy 245.095318 82.704645) + (xy 245.15608 82.697453) + (xy 245.209464 82.727349) + (xy 245.226672 82.753103) + (xy 245.254104 82.812607) + (xy 245.370836 82.97778) + (xy 245.515714 83.118913) + (xy 245.683885 83.231282) + (xy 245.869718 83.311122) + (xy 246.066988 83.35576) + (xy 246.26909 83.3637) + (xy 246.322625 83.355938) + (xy 246.464767 83.335329) + (xy 246.46477 83.335328) + (xy 246.469255 83.334678) + (xy 246.565017 83.302171) + (xy 246.656482 83.271123) + (xy 246.656485 83.271121) + (xy 246.660779 83.269664) + (xy 246.837249 83.170837) + (xy 246.992753 83.041505) + (xy 247.122085 82.886001) + (xy 247.200389 82.746178) + (xy 247.245318 82.704645) + (xy 247.30608 82.697453) + (xy 247.359464 82.727349) + (xy 247.376672 82.753103) + (xy 247.404104 82.812607) + (xy 247.520836 82.97778) + (xy 247.665714 83.118913) + (xy 247.833885 83.231282) + (xy 248.019718 83.311122) + (xy 248.216988 83.35576) + (xy 248.41909 83.3637) + (xy 248.472625 83.355938) + (xy 248.614767 83.335329) + (xy 248.61477 83.335328) + (xy 248.619255 83.334678) + (xy 248.715017 83.302171) + (xy 248.806482 83.271123) + (xy 248.806485 83.271121) + (xy 248.810779 83.269664) + (xy 248.987249 83.170837) + (xy 249.142753 83.041505) + (xy 249.272085 82.886001) + (xy 249.350389 82.746178) + (xy 249.395318 82.704645) + (xy 249.45608 82.697453) + (xy 249.509464 82.727349) + (xy 249.526672 82.753103) + (xy 249.554104 82.812607) + (xy 249.670836 82.97778) + (xy 249.815714 83.118913) + (xy 249.983885 83.231282) + (xy 250.169718 83.311122) + (xy 250.366988 83.35576) + (xy 250.56909 83.3637) + (xy 250.622625 83.355938) + (xy 250.764767 83.335329) + (xy 250.76477 83.335328) + (xy 250.769255 83.334678) + (xy 250.865017 83.302171) + (xy 250.956482 83.271123) + (xy 250.956485 83.271121) + (xy 250.960779 83.269664) + (xy 251.137249 83.170837) + (xy 251.292753 83.041505) + (xy 251.422085 82.886001) + (xy 251.500389 82.746178) + (xy 251.545318 82.704645) + (xy 251.60608 82.697453) + (xy 251.659464 82.727349) + (xy 251.676672 82.753103) + (xy 251.704104 82.812607) + (xy 251.820836 82.97778) + (xy 251.965714 83.118913) + (xy 252.133885 83.231282) + (xy 252.319718 83.311122) + (xy 252.516988 83.35576) + (xy 252.71909 83.3637) + (xy 252.772625 83.355938) + (xy 252.914767 83.335329) + (xy 252.91477 83.335328) + (xy 252.919255 83.334678) + (xy 253.015017 83.302171) + (xy 253.106482 83.271123) + (xy 253.106485 83.271121) + (xy 253.110779 83.269664) + (xy 253.287249 83.170837) + (xy 253.442753 83.041505) + (xy 253.572085 82.886001) + (xy 253.650389 82.746178) + (xy 253.695318 82.704645) + (xy 253.75608 82.697453) + (xy 253.809464 82.727349) + (xy 253.826672 82.753103) + (xy 253.854104 82.812607) + (xy 253.970836 82.97778) + (xy 254.115714 83.118913) + (xy 254.283885 83.231282) + (xy 254.469718 83.311122) + (xy 254.666988 83.35576) + (xy 254.86909 83.3637) + (xy 254.922625 83.355938) + (xy 255.064767 83.335329) + (xy 255.06477 83.335328) + (xy 255.069255 83.334678) + (xy 255.165017 83.302171) + (xy 255.256482 83.271123) + (xy 255.256485 83.271121) + (xy 255.260779 83.269664) + (xy 255.437249 83.170837) + (xy 255.592753 83.041505) + (xy 255.722085 82.886001) + (xy 255.800389 82.746178) + (xy 255.845318 82.704645) + (xy 255.90608 82.697453) + (xy 255.959464 82.727349) + (xy 255.976672 82.753103) + (xy 256.004104 82.812607) + (xy 256.120836 82.97778) + (xy 256.265714 83.118913) + (xy 256.433885 83.231282) + (xy 256.619718 83.311122) + (xy 256.816988 83.35576) + (xy 257.01909 83.3637) + (xy 257.072625 83.355938) + (xy 257.214767 83.335329) + (xy 257.21477 83.335328) + (xy 257.219255 83.334678) + (xy 257.315017 83.302171) + (xy 257.406482 83.271123) + (xy 257.406485 83.271121) + (xy 257.410779 83.269664) + (xy 257.587249 83.170837) + (xy 257.742753 83.041505) + (xy 257.872085 82.886001) + (xy 257.950389 82.746178) + (xy 257.995318 82.704645) + (xy 258.05608 82.697453) + (xy 258.109464 82.727349) + (xy 258.126672 82.753103) + (xy 258.154104 82.812607) + (xy 258.270836 82.97778) + (xy 258.415714 83.118913) + (xy 258.583885 83.231282) + (xy 258.769718 83.311122) + (xy 258.966988 83.35576) + (xy 259.16909 83.3637) + (xy 259.222625 83.355938) + (xy 259.364767 83.335329) + (xy 259.36477 83.335328) + (xy 259.369255 83.334678) + (xy 259.465017 83.302171) + (xy 259.556482 83.271123) + (xy 259.556485 83.271121) + (xy 259.560779 83.269664) + (xy 259.737249 83.170837) + (xy 259.892753 83.041505) + (xy 260.022085 82.886001) + (xy 260.120912 82.709531) + (xy 260.12777 82.68933) + (xy 260.184467 82.522304) + (xy 260.185926 82.518007) + (xy 260.191125 82.482154) + (xy 260.214531 82.320717) + (xy 260.214948 82.317842) + (xy 260.216298 82.266302) + (xy 260.216387 82.262913) + (xy 260.216387 82.262908) + (xy 260.216463 82.26) + (xy 263.355971 82.26) + (xy 263.375041 82.477977) + (xy 263.431673 82.68933) + (xy 263.524146 82.887638) + (xy 263.64965 83.066877) + (xy 263.804371 83.221598) + (xy 263.8751 83.271123) + (xy 263.965866 83.334678) + (xy 263.983609 83.347102) + (xy 264.181918 83.439575) + (xy 264.268468 83.462766) + (xy 264.389094 83.495088) + (xy 264.389096 83.495088) + (xy 264.393271 83.496207) + (xy 264.611248 83.515277) + (xy 264.829225 83.496207) + (xy 264.8334 83.495088) + (xy 264.833402 83.495088) + (xy 264.954028 83.462766) + (xy 265.040578 83.439575) + (xy 265.238887 83.347102) + (xy 265.256631 83.334678) + (xy 265.347396 83.271123) + (xy 265.418125 83.221598) + (xy 265.572846 83.066877) + (xy 265.69835 82.887638) + (xy 265.790823 82.68933) + (xy 265.847455 82.477977) + (xy 265.866525 82.26) + (xy 269.855971 82.26) + (xy 269.875041 82.477977) + (xy 269.931673 82.68933) + (xy 270.024146 82.887638) + (xy 270.14965 83.066877) + (xy 270.304371 83.221598) + (xy 270.3751 83.271123) + (xy 270.465866 83.334678) + (xy 270.483609 83.347102) + (xy 270.681918 83.439575) + (xy 270.768468 83.462766) + (xy 270.889094 83.495088) + (xy 270.889096 83.495088) + (xy 270.893271 83.496207) + (xy 271.111248 83.515277) + (xy 271.329225 83.496207) + (xy 271.3334 83.495088) + (xy 271.333402 83.495088) + (xy 271.454028 83.462766) + (xy 271.540578 83.439575) + (xy 271.738887 83.347102) + (xy 271.756631 83.334678) + (xy 271.847396 83.271123) + (xy 271.918125 83.221598) + (xy 272.072846 83.066877) + (xy 272.19835 82.887638) + (xy 272.290823 82.68933) + (xy 272.347455 82.477977) + (xy 272.366525 82.26) + (xy 272.347455 82.042023) + (xy 272.345498 82.034717) + (xy 272.298642 81.859852) + (xy 272.290823 81.83067) + (xy 272.19835 81.632362) + (xy 272.072846 81.453123) + (xy 271.918125 81.298402) + (xy 271.738887 81.172898) + (xy 271.540578 81.080425) + (xy 271.439197 81.05326) + (xy 271.333402 81.024912) + (xy 271.3334 81.024912) + (xy 271.329225 81.023793) + (xy 271.111248 81.004723) + (xy 270.893271 81.023793) + (xy 270.889096 81.024912) + (xy 270.889094 81.024912) + (xy 270.783299 81.05326) + (xy 270.681918 81.080425) + (xy 270.48361 81.172898) + (xy 270.480067 81.175379) + (xy 270.480065 81.17538) + (xy 270.442078 81.201979) + (xy 270.304371 81.298402) + (xy 270.14965 81.453123) + (xy 270.024146 81.632362) + (xy 269.931673 81.83067) + (xy 269.923854 81.859852) + (xy 269.876999 82.034717) + (xy 269.875041 82.042023) + (xy 269.855971 82.26) + (xy 265.866525 82.26) + (xy 265.847455 82.042023) + (xy 265.845498 82.034717) + (xy 265.798642 81.859852) + (xy 265.790823 81.83067) + (xy 265.69835 81.632362) + (xy 265.572846 81.453123) + (xy 265.418125 81.298402) + (xy 265.238887 81.172898) + (xy 265.040578 81.080425) + (xy 264.939197 81.05326) + (xy 264.833402 81.024912) + (xy 264.8334 81.024912) + (xy 264.829225 81.023793) + (xy 264.611248 81.004723) + (xy 264.393271 81.023793) + (xy 264.389096 81.024912) + (xy 264.389094 81.024912) + (xy 264.283299 81.05326) + (xy 264.181918 81.080425) + (xy 263.98361 81.172898) + (xy 263.980067 81.175379) + (xy 263.980065 81.17538) + (xy 263.942078 81.201979) + (xy 263.804371 81.298402) + (xy 263.64965 81.453123) + (xy 263.524146 81.632362) + (xy 263.431673 81.83067) + (xy 263.423854 81.859852) + (xy 263.376999 82.034717) + (xy 263.375041 82.042023) + (xy 263.355971 82.26) + (xy 260.216463 82.26) + (xy 260.21168 82.20794) + (xy 260.198371 82.063109) + (xy 260.197956 82.058591) + (xy 260.143055 81.863926) + (xy 260.053599 81.682527) + (xy 259.932583 81.520467) + (xy 259.78406 81.383174) + (xy 259.761373 81.368859) + (xy 259.616842 81.277667) + (xy 259.613005 81.275246) + (xy 259.425146 81.200298) + (xy 259.226774 81.160839) + (xy 259.127178 81.159535) + (xy 259.029074 81.158251) + (xy 259.029069 81.158251) + (xy 259.024534 81.158192) + (xy 259.020061 81.158961) + (xy 259.020056 81.158961) + (xy 258.949599 81.171068) + (xy 258.825197 81.192444) + (xy 258.635441 81.262449) + (xy 258.461619 81.365862) + (xy 258.458204 81.368857) + (xy 258.458201 81.368859) + (xy 258.441878 81.383174) + (xy 258.309553 81.49922) + (xy 258.306745 81.502782) + (xy 258.207388 81.628817) + (xy 258.184337 81.658057) + (xy 258.182223 81.662075) + (xy 258.123665 81.773374) + (xy 258.079837 81.816069) + (xy 258.019285 81.824848) + (xy 257.965137 81.796359) + (xy 257.947261 81.771064) + (xy 257.90561 81.686603) + (xy 257.905605 81.686595) + (xy 257.903599 81.682527) + (xy 257.782583 81.520467) + (xy 257.63406 81.383174) + (xy 257.611373 81.368859) + (xy 257.466842 81.277667) + (xy 257.463005 81.275246) + (xy 257.275146 81.200298) + (xy 257.076774 81.160839) + (xy 256.977178 81.159535) + (xy 256.879074 81.158251) + (xy 256.879069 81.158251) + (xy 256.874534 81.158192) + (xy 256.870061 81.158961) + (xy 256.870056 81.158961) + (xy 256.799599 81.171068) + (xy 256.675197 81.192444) + (xy 256.485441 81.262449) + (xy 256.311619 81.365862) + (xy 256.308204 81.368857) + (xy 256.308201 81.368859) + (xy 256.291878 81.383174) + (xy 256.159553 81.49922) + (xy 256.156745 81.502782) + (xy 256.057388 81.628817) + (xy 256.034337 81.658057) + (xy 256.032223 81.662075) + (xy 255.973665 81.773374) + (xy 255.929837 81.816069) + (xy 255.869285 81.824848) + (xy 255.815137 81.796359) + (xy 255.797261 81.771064) + (xy 255.75561 81.686603) + (xy 255.755605 81.686595) + (xy 255.753599 81.682527) + (xy 255.632583 81.520467) + (xy 255.48406 81.383174) + (xy 255.461373 81.368859) + (xy 255.316842 81.277667) + (xy 255.313005 81.275246) + (xy 255.125146 81.200298) + (xy 254.926774 81.160839) + (xy 254.827178 81.159535) + (xy 254.729074 81.158251) + (xy 254.729069 81.158251) + (xy 254.724534 81.158192) + (xy 254.720061 81.158961) + (xy 254.720056 81.158961) + (xy 254.649599 81.171068) + (xy 254.525197 81.192444) + (xy 254.335441 81.262449) + (xy 254.161619 81.365862) + (xy 254.158204 81.368857) + (xy 254.158201 81.368859) + (xy 254.141878 81.383174) + (xy 254.009553 81.49922) + (xy 254.006745 81.502782) + (xy 253.907388 81.628817) + (xy 253.884337 81.658057) + (xy 253.882223 81.662075) + (xy 253.823665 81.773374) + (xy 253.779837 81.816069) + (xy 253.719285 81.824848) + (xy 253.665137 81.796359) + (xy 253.647261 81.771064) + (xy 253.60561 81.686603) + (xy 253.605605 81.686595) + (xy 253.603599 81.682527) + (xy 253.482583 81.520467) + (xy 253.33406 81.383174) + (xy 253.311373 81.368859) + (xy 253.166842 81.277667) + (xy 253.163005 81.275246) + (xy 252.975146 81.200298) + (xy 252.776774 81.160839) + (xy 252.677178 81.159535) + (xy 252.579074 81.158251) + (xy 252.579069 81.158251) + (xy 252.574534 81.158192) + (xy 252.570061 81.158961) + (xy 252.570056 81.158961) + (xy 252.499599 81.171068) + (xy 252.375197 81.192444) + (xy 252.185441 81.262449) + (xy 252.011619 81.365862) + (xy 252.008204 81.368857) + (xy 252.008201 81.368859) + (xy 251.991878 81.383174) + (xy 251.859553 81.49922) + (xy 251.856745 81.502782) + (xy 251.757388 81.628817) + (xy 251.734337 81.658057) + (xy 251.732223 81.662075) + (xy 251.673665 81.773374) + (xy 251.629837 81.816069) + (xy 251.569285 81.824848) + (xy 251.515137 81.796359) + (xy 251.497261 81.771064) + (xy 251.45561 81.686603) + (xy 251.455605 81.686595) + (xy 251.453599 81.682527) + (xy 251.332583 81.520467) + (xy 251.18406 81.383174) + (xy 251.161373 81.368859) + (xy 251.016842 81.277667) + (xy 251.013005 81.275246) + (xy 250.825146 81.200298) + (xy 250.626774 81.160839) + (xy 250.527178 81.159535) + (xy 250.429074 81.158251) + (xy 250.429069 81.158251) + (xy 250.424534 81.158192) + (xy 250.420061 81.158961) + (xy 250.420056 81.158961) + (xy 250.349599 81.171068) + (xy 250.225197 81.192444) + (xy 250.035441 81.262449) + (xy 249.861619 81.365862) + (xy 249.858204 81.368857) + (xy 249.858201 81.368859) + (xy 249.841878 81.383174) + (xy 249.709553 81.49922) + (xy 249.706745 81.502782) + (xy 249.607388 81.628817) + (xy 249.584337 81.658057) + (xy 249.582223 81.662075) + (xy 249.523665 81.773374) + (xy 249.479837 81.816069) + (xy 249.419285 81.824848) + (xy 249.365137 81.796359) + (xy 249.347261 81.771064) + (xy 249.30561 81.686603) + (xy 249.305605 81.686595) + (xy 249.303599 81.682527) + (xy 249.182583 81.520467) + (xy 249.03406 81.383174) + (xy 249.011373 81.368859) + (xy 248.866842 81.277667) + (xy 248.863005 81.275246) + (xy 248.675146 81.200298) + (xy 248.476774 81.160839) + (xy 248.377178 81.159535) + (xy 248.279074 81.158251) + (xy 248.279069 81.158251) + (xy 248.274534 81.158192) + (xy 248.270061 81.158961) + (xy 248.270056 81.158961) + (xy 248.199599 81.171068) + (xy 248.075197 81.192444) + (xy 247.885441 81.262449) + (xy 247.711619 81.365862) + (xy 247.708204 81.368857) + (xy 247.708201 81.368859) + (xy 247.691878 81.383174) + (xy 247.559553 81.49922) + (xy 247.556745 81.502782) + (xy 247.457388 81.628817) + (xy 247.434337 81.658057) + (xy 247.432223 81.662075) + (xy 247.373665 81.773374) + (xy 247.329837 81.816069) + (xy 247.269285 81.824848) + (xy 247.215137 81.796359) + (xy 247.197261 81.771064) + (xy 247.15561 81.686603) + (xy 247.155605 81.686595) + (xy 247.153599 81.682527) + (xy 247.032583 81.520467) + (xy 246.88406 81.383174) + (xy 246.861373 81.368859) + (xy 246.716842 81.277667) + (xy 246.713005 81.275246) + (xy 246.525146 81.200298) + (xy 246.326774 81.160839) + (xy 246.227178 81.159535) + (xy 246.129074 81.158251) + (xy 246.129069 81.158251) + (xy 246.124534 81.158192) + (xy 246.120061 81.158961) + (xy 246.120056 81.158961) + (xy 246.049599 81.171068) + (xy 245.925197 81.192444) + (xy 245.735441 81.262449) + (xy 245.561619 81.365862) + (xy 245.558204 81.368857) + (xy 245.558201 81.368859) + (xy 245.541878 81.383174) + (xy 245.409553 81.49922) + (xy 245.406745 81.502782) + (xy 245.307388 81.628817) + (xy 245.284337 81.658057) + (xy 245.282223 81.662075) + (xy 245.223665 81.773374) + (xy 245.179837 81.816069) + (xy 245.119285 81.824848) + (xy 245.065137 81.796359) + (xy 245.047261 81.771064) + (xy 245.00561 81.686603) + (xy 245.005605 81.686595) + (xy 245.003599 81.682527) + (xy 244.882583 81.520467) + (xy 244.73406 81.383174) + (xy 244.711373 81.368859) + (xy 244.566842 81.277667) + (xy 244.563005 81.275246) + (xy 244.375146 81.200298) + (xy 244.176774 81.160839) + (xy 244.077178 81.159535) + (xy 243.979074 81.158251) + (xy 243.979069 81.158251) + (xy 243.974534 81.158192) + (xy 243.970061 81.158961) + (xy 243.970056 81.158961) + (xy 243.899599 81.171068) + (xy 243.775197 81.192444) + (xy 243.585441 81.262449) + (xy 243.411619 81.365862) + (xy 243.408204 81.368857) + (xy 243.408201 81.368859) + (xy 243.391878 81.383174) + (xy 243.259553 81.49922) + (xy 243.256745 81.502782) + (xy 243.157388 81.628817) + (xy 243.134337 81.658057) + (xy 243.132223 81.662075) + (xy 243.073665 81.773374) + (xy 243.029837 81.816069) + (xy 242.969285 81.824848) + (xy 242.915137 81.796359) + (xy 242.897261 81.771064) + (xy 242.85561 81.686603) + (xy 242.855605 81.686595) + (xy 242.853599 81.682527) + (xy 242.732583 81.520467) + (xy 242.58406 81.383174) + (xy 242.561373 81.368859) + (xy 242.416842 81.277667) + (xy 242.413005 81.275246) + (xy 242.225146 81.200298) + (xy 242.026774 81.160839) + (xy 241.927178 81.159535) + (xy 241.829074 81.158251) + (xy 241.829069 81.158251) + (xy 241.824534 81.158192) + (xy 241.820061 81.158961) + (xy 241.820056 81.158961) + (xy 241.749599 81.171068) + (xy 241.625197 81.192444) + (xy 241.435441 81.262449) + (xy 241.261619 81.365862) + (xy 241.258204 81.368857) + (xy 241.258201 81.368859) + (xy 241.241878 81.383174) + (xy 241.109553 81.49922) + (xy 241.106745 81.502782) + (xy 241.007388 81.628817) + (xy 240.984337 81.658057) + (xy 240.982223 81.662075) + (xy 240.923665 81.773374) + (xy 240.879837 81.816069) + (xy 240.819285 81.824848) + (xy 240.765137 81.796359) + (xy 240.747261 81.771064) + (xy 240.70561 81.686603) + (xy 240.705605 81.686595) + (xy 240.703599 81.682527) + (xy 240.582583 81.520467) + (xy 240.43406 81.383174) + (xy 240.411373 81.368859) + (xy 240.266842 81.277667) + (xy 240.263005 81.275246) + (xy 240.075146 81.200298) + (xy 239.876774 81.160839) + (xy 239.777178 81.159535) + (xy 239.679074 81.158251) + (xy 239.679069 81.158251) + (xy 239.674534 81.158192) + (xy 239.670061 81.158961) + (xy 239.670056 81.158961) + (xy 239.599599 81.171068) + (xy 239.475197 81.192444) + (xy 239.285441 81.262449) + (xy 239.111619 81.365862) + (xy 239.108204 81.368857) + (xy 239.108201 81.368859) + (xy 239.091878 81.383174) + (xy 238.959553 81.49922) + (xy 238.956745 81.502782) + (xy 238.857388 81.628817) + (xy 238.834337 81.658057) + (xy 238.832223 81.662075) + (xy 238.773665 81.773374) + (xy 238.729837 81.816069) + (xy 238.669285 81.824848) + (xy 238.615137 81.796359) + (xy 238.597261 81.771064) + (xy 238.55561 81.686603) + (xy 238.555605 81.686595) + (xy 238.553599 81.682527) + (xy 238.432583 81.520467) + (xy 238.28406 81.383174) + (xy 238.261373 81.368859) + (xy 238.116842 81.277667) + (xy 238.113005 81.275246) + (xy 237.925146 81.200298) + (xy 237.726774 81.160839) + (xy 237.627178 81.159535) + (xy 237.529074 81.158251) + (xy 237.529069 81.158251) + (xy 237.524534 81.158192) + (xy 237.520061 81.158961) + (xy 237.520056 81.158961) + (xy 237.449599 81.171068) + (xy 237.325197 81.192444) + (xy 237.135441 81.262449) + (xy 236.961619 81.365862) + (xy 236.958204 81.368857) + (xy 236.958201 81.368859) + (xy 236.941878 81.383174) + (xy 236.809553 81.49922) + (xy 236.806745 81.502782) + (xy 236.707388 81.628817) + (xy 236.684337 81.658057) + (xy 236.682223 81.662075) + (xy 236.623665 81.773374) + (xy 236.579837 81.816069) + (xy 236.519285 81.824848) + (xy 236.465137 81.796359) + (xy 236.447261 81.771064) + (xy 236.40561 81.686603) + (xy 236.405605 81.686595) + (xy 236.403599 81.682527) + (xy 236.282583 81.520467) + (xy 236.13406 81.383174) + (xy 236.111373 81.368859) + (xy 235.966842 81.277667) + (xy 235.963005 81.275246) + (xy 235.775146 81.200298) + (xy 235.576774 81.160839) + (xy 235.477178 81.159535) + (xy 235.379074 81.158251) + (xy 235.379069 81.158251) + (xy 235.374534 81.158192) + (xy 235.370061 81.158961) + (xy 235.370056 81.158961) + (xy 235.299599 81.171068) + (xy 235.175197 81.192444) + (xy 234.985441 81.262449) + (xy 234.811619 81.365862) + (xy 234.808204 81.368857) + (xy 234.808201 81.368859) + (xy 234.791878 81.383174) + (xy 234.659553 81.49922) + (xy 234.656745 81.502782) + (xy 234.557388 81.628817) + (xy 234.534337 81.658057) + (xy 234.532223 81.662075) + (xy 234.473665 81.773374) + (xy 234.429837 81.816069) + (xy 234.369285 81.824848) + (xy 234.315137 81.796359) + (xy 234.297261 81.771064) + (xy 234.25561 81.686603) + (xy 234.255605 81.686595) + (xy 234.253599 81.682527) + (xy 234.132583 81.520467) + (xy 233.98406 81.383174) + (xy 233.961373 81.368859) + (xy 233.816842 81.277667) + (xy 233.813005 81.275246) + (xy 233.625146 81.200298) + (xy 233.426774 81.160839) + (xy 233.327178 81.159535) + (xy 233.229074 81.158251) + (xy 233.229069 81.158251) + (xy 233.224534 81.158192) + (xy 233.220061 81.158961) + (xy 233.220056 81.158961) + (xy 233.149599 81.171068) + (xy 233.025197 81.192444) + (xy 232.835441 81.262449) + (xy 232.661619 81.365862) + (xy 232.658204 81.368857) + (xy 232.658201 81.368859) + (xy 232.641878 81.383174) + (xy 232.509553 81.49922) + (xy 232.506745 81.502782) + (xy 232.407388 81.628817) + (xy 232.384337 81.658057) + (xy 232.382223 81.662075) + (xy 232.323665 81.773374) + (xy 232.279837 81.816069) + (xy 232.219285 81.824848) + (xy 232.165137 81.796359) + (xy 232.147261 81.771064) + (xy 232.10561 81.686603) + (xy 232.105605 81.686595) + (xy 232.103599 81.682527) + (xy 231.982583 81.520467) + (xy 231.83406 81.383174) + (xy 231.811373 81.368859) + (xy 231.666842 81.277667) + (xy 231.663005 81.275246) + (xy 231.475146 81.200298) + (xy 231.276774 81.160839) + (xy 231.177178 81.159535) + (xy 231.079074 81.158251) + (xy 231.079069 81.158251) + (xy 231.074534 81.158192) + (xy 231.070061 81.158961) + (xy 231.070056 81.158961) + (xy 230.999599 81.171068) + (xy 230.875197 81.192444) + (xy 230.685441 81.262449) + (xy 230.511619 81.365862) + (xy 230.508204 81.368857) + (xy 230.508201 81.368859) + (xy 230.491878 81.383174) + (xy 230.359553 81.49922) + (xy 230.356745 81.502782) + (xy 230.257388 81.628817) + (xy 230.234337 81.658057) + (xy 230.232223 81.662075) + (xy 230.173665 81.773374) + (xy 230.129837 81.816069) + (xy 230.069285 81.824848) + (xy 230.015137 81.796359) + (xy 229.997261 81.771064) + (xy 229.95561 81.686603) + (xy 229.955605 81.686595) + (xy 229.953599 81.682527) + (xy 229.832583 81.520467) + (xy 229.68406 81.383174) + (xy 229.661373 81.368859) + (xy 229.516842 81.277667) + (xy 229.513005 81.275246) + (xy 229.325146 81.200298) + (xy 229.126774 81.160839) + (xy 229.027178 81.159535) + (xy 228.929074 81.158251) + (xy 228.929069 81.158251) + (xy 228.924534 81.158192) + (xy 228.920061 81.158961) + (xy 228.920056 81.158961) + (xy 228.849599 81.171068) + (xy 228.725197 81.192444) + (xy 228.535441 81.262449) + (xy 228.361619 81.365862) + (xy 228.358204 81.368857) + (xy 228.358201 81.368859) + (xy 228.341878 81.383174) + (xy 228.209553 81.49922) + (xy 228.206745 81.502782) + (xy 228.107388 81.628817) + (xy 228.084337 81.658057) + (xy 228.082223 81.662075) + (xy 228.023665 81.773374) + (xy 227.979837 81.816069) + (xy 227.919285 81.824848) + (xy 227.865137 81.796359) + (xy 227.847261 81.771064) + (xy 227.80561 81.686603) + (xy 227.805605 81.686595) + (xy 227.803599 81.682527) + (xy 227.682583 81.520467) + (xy 227.53406 81.383174) + (xy 227.511373 81.368859) + (xy 227.366842 81.277667) + (xy 227.363005 81.275246) + (xy 227.175146 81.200298) + (xy 226.976774 81.160839) + (xy 226.877178 81.159535) + (xy 226.779074 81.158251) + (xy 226.779069 81.158251) + (xy 226.774534 81.158192) + (xy 226.770061 81.158961) + (xy 226.770056 81.158961) + (xy 226.699599 81.171068) + (xy 226.575197 81.192444) + (xy 226.385441 81.262449) + (xy 226.211619 81.365862) + (xy 226.208204 81.368857) + (xy 226.208201 81.368859) + (xy 226.191878 81.383174) + (xy 226.059553 81.49922) + (xy 226.056745 81.502782) + (xy 225.957388 81.628817) + (xy 225.934337 81.658057) + (xy 225.932223 81.662075) + (xy 225.873665 81.773374) + (xy 225.829837 81.816069) + (xy 225.769285 81.824848) + (xy 225.715137 81.796359) + (xy 225.697261 81.771064) + (xy 225.65561 81.686603) + (xy 225.655605 81.686595) + (xy 225.653599 81.682527) + (xy 225.532583 81.520467) + (xy 225.38406 81.383174) + (xy 225.361373 81.368859) + (xy 225.216842 81.277667) + (xy 225.213005 81.275246) + (xy 225.025146 81.200298) + (xy 224.826774 81.160839) + (xy 224.727178 81.159535) + (xy 224.629074 81.158251) + (xy 224.629069 81.158251) + (xy 224.624534 81.158192) + (xy 224.620061 81.158961) + (xy 224.620056 81.158961) + (xy 224.549599 81.171068) + (xy 224.425197 81.192444) + (xy 224.235441 81.262449) + (xy 224.061619 81.365862) + (xy 224.058204 81.368857) + (xy 224.058201 81.368859) + (xy 224.041878 81.383174) + (xy 223.909553 81.49922) + (xy 223.906745 81.502782) + (xy 223.807388 81.628817) + (xy 223.784337 81.658057) + (xy 223.782223 81.662075) + (xy 223.723665 81.773374) + (xy 223.679837 81.816069) + (xy 223.619285 81.824848) + (xy 223.565137 81.796359) + (xy 223.547261 81.771064) + (xy 223.50561 81.686603) + (xy 223.505605 81.686595) + (xy 223.503599 81.682527) + (xy 223.382583 81.520467) + (xy 223.23406 81.383174) + (xy 223.211373 81.368859) + (xy 223.066842 81.277667) + (xy 223.063005 81.275246) + (xy 222.875146 81.200298) + (xy 222.676774 81.160839) + (xy 222.577178 81.159535) + (xy 222.479074 81.158251) + (xy 222.479069 81.158251) + (xy 222.474534 81.158192) + (xy 222.470061 81.158961) + (xy 222.470056 81.158961) + (xy 222.399599 81.171068) + (xy 222.275197 81.192444) + (xy 222.085441 81.262449) + (xy 221.911619 81.365862) + (xy 221.908204 81.368857) + (xy 221.908201 81.368859) + (xy 221.891878 81.383174) + (xy 221.759553 81.49922) + (xy 221.756745 81.502782) + (xy 221.657388 81.628817) + (xy 221.634337 81.658057) + (xy 221.632223 81.662075) + (xy 221.573665 81.773374) + (xy 221.529837 81.816069) + (xy 221.469285 81.824848) + (xy 221.415137 81.796359) + (xy 221.397261 81.771064) + (xy 221.35561 81.686603) + (xy 221.355605 81.686595) + (xy 221.353599 81.682527) + (xy 221.232583 81.520467) + (xy 221.08406 81.383174) + (xy 221.061373 81.368859) + (xy 220.916842 81.277667) + (xy 220.913005 81.275246) + (xy 220.725146 81.200298) + (xy 220.526774 81.160839) + (xy 220.427178 81.159535) + (xy 220.329074 81.158251) + (xy 220.329069 81.158251) + (xy 220.324534 81.158192) + (xy 220.320061 81.158961) + (xy 220.320056 81.158961) + (xy 220.249599 81.171068) + (xy 220.125197 81.192444) + (xy 219.935441 81.262449) + (xy 219.761619 81.365862) + (xy 219.758204 81.368857) + (xy 219.758201 81.368859) + (xy 219.741878 81.383174) + (xy 219.609553 81.49922) + (xy 219.606745 81.502782) + (xy 219.507388 81.628817) + (xy 219.484337 81.658057) + (xy 219.482223 81.662075) + (xy 219.423665 81.773374) + (xy 219.379837 81.816069) + (xy 219.319285 81.824848) + (xy 219.265137 81.796359) + (xy 219.247261 81.771064) + (xy 219.20561 81.686603) + (xy 219.205605 81.686595) + (xy 219.203599 81.682527) + (xy 219.082583 81.520467) + (xy 218.93406 81.383174) + (xy 218.911373 81.368859) + (xy 218.766842 81.277667) + (xy 218.763005 81.275246) + (xy 218.575146 81.200298) + (xy 218.376774 81.160839) + (xy 218.277178 81.159535) + (xy 218.179074 81.158251) + (xy 218.179069 81.158251) + (xy 218.174534 81.158192) + (xy 218.170061 81.158961) + (xy 218.170056 81.158961) + (xy 218.099599 81.171068) + (xy 217.975197 81.192444) + (xy 217.785441 81.262449) + (xy 217.611619 81.365862) + (xy 217.608204 81.368857) + (xy 217.608201 81.368859) + (xy 217.591878 81.383174) + (xy 217.459553 81.49922) + (xy 217.456745 81.502782) + (xy 217.357388 81.628817) + (xy 217.334337 81.658057) + (xy 217.332223 81.662075) + (xy 217.273665 81.773374) + (xy 217.229837 81.816069) + (xy 217.169285 81.824848) + (xy 217.115137 81.796359) + (xy 217.097261 81.771064) + (xy 217.05561 81.686603) + (xy 217.055605 81.686595) + (xy 217.053599 81.682527) + (xy 216.932583 81.520467) + (xy 216.78406 81.383174) + (xy 216.761373 81.368859) + (xy 216.616842 81.277667) + (xy 216.613005 81.275246) + (xy 216.425146 81.200298) + (xy 216.226774 81.160839) + (xy 216.127178 81.159535) + (xy 216.029074 81.158251) + (xy 216.029069 81.158251) + (xy 216.024534 81.158192) + (xy 216.020061 81.158961) + (xy 216.020056 81.158961) + (xy 215.949599 81.171068) + (xy 215.825197 81.192444) + (xy 215.635441 81.262449) + (xy 215.461619 81.365862) + (xy 215.458204 81.368857) + (xy 215.458201 81.368859) + (xy 215.441878 81.383174) + (xy 215.309553 81.49922) + (xy 215.306745 81.502782) + (xy 215.207388 81.628817) + (xy 215.184337 81.658057) + (xy 215.182223 81.662075) + (xy 215.123665 81.773374) + (xy 215.079837 81.816069) + (xy 215.019285 81.824848) + (xy 214.965137 81.796359) + (xy 214.947261 81.771064) + (xy 214.90561 81.686603) + (xy 214.905605 81.686595) + (xy 214.903599 81.682527) + (xy 214.782583 81.520467) + (xy 214.63406 81.383174) + (xy 214.611373 81.368859) + (xy 214.466842 81.277667) + (xy 214.463005 81.275246) + (xy 214.275146 81.200298) + (xy 214.076774 81.160839) + (xy 213.977178 81.159535) + (xy 213.879074 81.158251) + (xy 213.879069 81.158251) + (xy 213.874534 81.158192) + (xy 213.870061 81.158961) + (xy 213.870056 81.158961) + (xy 213.799599 81.171068) + (xy 213.675197 81.192444) + (xy 213.485441 81.262449) + (xy 213.311619 81.365862) + (xy 213.308204 81.368857) + (xy 213.308201 81.368859) + (xy 213.291878 81.383174) + (xy 213.159553 81.49922) + (xy 213.156745 81.502782) + (xy 213.057388 81.628817) + (xy 213.034337 81.658057) + (xy 213.032223 81.662075) + (xy 212.973665 81.773374) + (xy 212.929837 81.816069) + (xy 212.869285 81.824848) + (xy 212.815137 81.796359) + (xy 212.797261 81.771064) + (xy 212.75561 81.686603) + (xy 212.755605 81.686595) + (xy 212.753599 81.682527) + (xy 212.632583 81.520467) + (xy 212.48406 81.383174) + (xy 212.461373 81.368859) + (xy 212.316842 81.277667) + (xy 212.313005 81.275246) + (xy 212.125146 81.200298) + (xy 211.926774 81.160839) + (xy 211.827178 81.159535) + (xy 211.729074 81.158251) + (xy 211.729069 81.158251) + (xy 211.724534 81.158192) + (xy 211.720061 81.158961) + (xy 211.720056 81.158961) + (xy 211.649599 81.171068) + (xy 211.525197 81.192444) + (xy 211.335441 81.262449) + (xy 211.161619 81.365862) + (xy 211.158204 81.368857) + (xy 211.158201 81.368859) + (xy 211.141878 81.383174) + (xy 211.009553 81.49922) + (xy 211.006745 81.502782) + (xy 210.907388 81.628817) + (xy 210.884337 81.658057) + (xy 210.882223 81.662075) + (xy 210.823665 81.773374) + (xy 210.779837 81.816069) + (xy 210.719285 81.824848) + (xy 210.665137 81.796359) + (xy 210.647261 81.771064) + (xy 210.60561 81.686603) + (xy 210.605605 81.686595) + (xy 210.603599 81.682527) + (xy 210.482583 81.520467) + (xy 210.33406 81.383174) + (xy 210.311373 81.368859) + (xy 210.166842 81.277667) + (xy 210.163005 81.275246) + (xy 209.975146 81.200298) + (xy 209.776774 81.160839) + (xy 209.677178 81.159535) + (xy 209.579074 81.158251) + (xy 209.579069 81.158251) + (xy 209.574534 81.158192) + (xy 209.570061 81.158961) + (xy 209.570056 81.158961) + (xy 209.499599 81.171068) + (xy 209.375197 81.192444) + (xy 209.185441 81.262449) + (xy 209.011619 81.365862) + (xy 209.008204 81.368857) + (xy 209.008201 81.368859) + (xy 208.991878 81.383174) + (xy 208.859553 81.49922) + (xy 208.856745 81.502782) + (xy 208.757388 81.628817) + (xy 208.734337 81.658057) + (xy 208.640162 81.837053) + (xy 208.638817 81.841384) + (xy 208.638816 81.841387) + (xy 208.585304 82.013728) + (xy 208.580185 82.030213) + (xy 208.572014 82.099249) + (xy 208.55915 82.20794) + (xy 208.556412 82.231069) + (xy 195.113805 82.231069) + (xy 195.11168 82.20794) + (xy 195.098371 82.063109) + (xy 195.097956 82.058591) + (xy 195.043055 81.863926) + (xy 194.953599 81.682527) + (xy 194.832583 81.520467) + (xy 194.68406 81.383174) + (xy 194.661373 81.368859) + (xy 194.516842 81.277667) + (xy 194.513005 81.275246) + (xy 194.325146 81.200298) + (xy 194.126774 81.160839) + (xy 194.027178 81.159535) + (xy 193.929074 81.158251) + (xy 193.929069 81.158251) + (xy 193.924534 81.158192) + (xy 193.920061 81.158961) + (xy 193.920056 81.158961) + (xy 193.849599 81.171068) + (xy 193.725197 81.192444) + (xy 193.535441 81.262449) + (xy 193.361619 81.365862) + (xy 193.358204 81.368857) + (xy 193.358201 81.368859) + (xy 193.341878 81.383174) + (xy 193.209553 81.49922) + (xy 193.206745 81.502782) + (xy 193.107388 81.628817) + (xy 193.084337 81.658057) + (xy 193.082223 81.662075) + (xy 193.023665 81.773374) + (xy 192.979837 81.816069) + (xy 192.919285 81.824848) + (xy 192.865137 81.796359) + (xy 192.847261 81.771064) + (xy 192.80561 81.686603) + (xy 192.805605 81.686595) + (xy 192.803599 81.682527) + (xy 192.682583 81.520467) + (xy 192.53406 81.383174) + (xy 192.511373 81.368859) + (xy 192.366842 81.277667) + (xy 192.363005 81.275246) + (xy 192.175146 81.200298) + (xy 191.976774 81.160839) + (xy 191.877178 81.159535) + (xy 191.779074 81.158251) + (xy 191.779069 81.158251) + (xy 191.774534 81.158192) + (xy 191.770061 81.158961) + (xy 191.770056 81.158961) + (xy 191.699599 81.171068) + (xy 191.575197 81.192444) + (xy 191.385441 81.262449) + (xy 191.211619 81.365862) + (xy 191.208204 81.368857) + (xy 191.208201 81.368859) + (xy 191.191878 81.383174) + (xy 191.059553 81.49922) + (xy 191.056745 81.502782) + (xy 190.957388 81.628817) + (xy 190.934337 81.658057) + (xy 190.932223 81.662075) + (xy 190.873665 81.773374) + (xy 190.829837 81.816069) + (xy 190.769285 81.824848) + (xy 190.715137 81.796359) + (xy 190.697261 81.771064) + (xy 190.65561 81.686603) + (xy 190.655605 81.686595) + (xy 190.653599 81.682527) + (xy 190.532583 81.520467) + (xy 190.38406 81.383174) + (xy 190.361373 81.368859) + (xy 190.216842 81.277667) + (xy 190.213005 81.275246) + (xy 190.025146 81.200298) + (xy 189.826774 81.160839) + (xy 189.727178 81.159535) + (xy 189.629074 81.158251) + (xy 189.629069 81.158251) + (xy 189.624534 81.158192) + (xy 189.620061 81.158961) + (xy 189.620056 81.158961) + (xy 189.549599 81.171068) + (xy 189.425197 81.192444) + (xy 189.235441 81.262449) + (xy 189.061619 81.365862) + (xy 189.058204 81.368857) + (xy 189.058201 81.368859) + (xy 189.041878 81.383174) + (xy 188.909553 81.49922) + (xy 188.906745 81.502782) + (xy 188.807388 81.628817) + (xy 188.784337 81.658057) + (xy 188.782223 81.662075) + (xy 188.723665 81.773374) + (xy 188.679837 81.816069) + (xy 188.619285 81.824848) + (xy 188.565137 81.796359) + (xy 188.547261 81.771064) + (xy 188.50561 81.686603) + (xy 188.505605 81.686595) + (xy 188.503599 81.682527) + (xy 188.382583 81.520467) + (xy 188.23406 81.383174) + (xy 188.211373 81.368859) + (xy 188.066842 81.277667) + (xy 188.063005 81.275246) + (xy 187.875146 81.200298) + (xy 187.676774 81.160839) + (xy 187.577178 81.159535) + (xy 187.479074 81.158251) + (xy 187.479069 81.158251) + (xy 187.474534 81.158192) + (xy 187.470061 81.158961) + (xy 187.470056 81.158961) + (xy 187.399599 81.171068) + (xy 187.275197 81.192444) + (xy 187.085441 81.262449) + (xy 186.911619 81.365862) + (xy 186.908204 81.368857) + (xy 186.908201 81.368859) + (xy 186.891878 81.383174) + (xy 186.759553 81.49922) + (xy 186.756745 81.502782) + (xy 186.657388 81.628817) + (xy 186.634337 81.658057) + (xy 186.632223 81.662075) + (xy 186.573665 81.773374) + (xy 186.529837 81.816069) + (xy 186.469285 81.824848) + (xy 186.415137 81.796359) + (xy 186.397261 81.771064) + (xy 186.35561 81.686603) + (xy 186.355605 81.686595) + (xy 186.353599 81.682527) + (xy 186.232583 81.520467) + (xy 186.08406 81.383174) + (xy 186.061373 81.368859) + (xy 185.916842 81.277667) + (xy 185.913005 81.275246) + (xy 185.725146 81.200298) + (xy 185.526774 81.160839) + (xy 185.427178 81.159535) + (xy 185.329074 81.158251) + (xy 185.329069 81.158251) + (xy 185.324534 81.158192) + (xy 185.320061 81.158961) + (xy 185.320056 81.158961) + (xy 185.249599 81.171068) + (xy 185.125197 81.192444) + (xy 184.935441 81.262449) + (xy 184.761619 81.365862) + (xy 184.758204 81.368857) + (xy 184.758201 81.368859) + (xy 184.741878 81.383174) + (xy 184.609553 81.49922) + (xy 184.606745 81.502782) + (xy 184.507388 81.628817) + (xy 184.484337 81.658057) + (xy 184.482223 81.662075) + (xy 184.423665 81.773374) + (xy 184.379837 81.816069) + (xy 184.319285 81.824848) + (xy 184.265137 81.796359) + (xy 184.247261 81.771064) + (xy 184.20561 81.686603) + (xy 184.205605 81.686595) + (xy 184.203599 81.682527) + (xy 184.082583 81.520467) + (xy 183.93406 81.383174) + (xy 183.911373 81.368859) + (xy 183.766842 81.277667) + (xy 183.763005 81.275246) + (xy 183.575146 81.200298) + (xy 183.376774 81.160839) + (xy 183.277178 81.159535) + (xy 183.179074 81.158251) + (xy 183.179069 81.158251) + (xy 183.174534 81.158192) + (xy 183.170061 81.158961) + (xy 183.170056 81.158961) + (xy 183.099599 81.171068) + (xy 182.975197 81.192444) + (xy 182.785441 81.262449) + (xy 182.611619 81.365862) + (xy 182.608204 81.368857) + (xy 182.608201 81.368859) + (xy 182.591878 81.383174) + (xy 182.459553 81.49922) + (xy 182.456745 81.502782) + (xy 182.357388 81.628817) + (xy 182.334337 81.658057) + (xy 182.332223 81.662075) + (xy 182.273665 81.773374) + (xy 182.229837 81.816069) + (xy 182.169285 81.824848) + (xy 182.115137 81.796359) + (xy 182.097261 81.771064) + (xy 182.05561 81.686603) + (xy 182.055605 81.686595) + (xy 182.053599 81.682527) + (xy 181.932583 81.520467) + (xy 181.78406 81.383174) + (xy 181.761373 81.368859) + (xy 181.616842 81.277667) + (xy 181.613005 81.275246) + (xy 181.425146 81.200298) + (xy 181.226774 81.160839) + (xy 181.127178 81.159535) + (xy 181.029074 81.158251) + (xy 181.029069 81.158251) + (xy 181.024534 81.158192) + (xy 181.020061 81.158961) + (xy 181.020056 81.158961) + (xy 180.949599 81.171068) + (xy 180.825197 81.192444) + (xy 180.635441 81.262449) + (xy 180.461619 81.365862) + (xy 180.458204 81.368857) + (xy 180.458201 81.368859) + (xy 180.441878 81.383174) + (xy 180.309553 81.49922) + (xy 180.306745 81.502782) + (xy 180.207388 81.628817) + (xy 180.184337 81.658057) + (xy 180.182223 81.662075) + (xy 180.123665 81.773374) + (xy 180.079837 81.816069) + (xy 180.019285 81.824848) + (xy 179.965137 81.796359) + (xy 179.947261 81.771064) + (xy 179.90561 81.686603) + (xy 179.905605 81.686595) + (xy 179.903599 81.682527) + (xy 179.782583 81.520467) + (xy 179.63406 81.383174) + (xy 179.611373 81.368859) + (xy 179.466842 81.277667) + (xy 179.463005 81.275246) + (xy 179.275146 81.200298) + (xy 179.076774 81.160839) + (xy 178.977178 81.159535) + (xy 178.879074 81.158251) + (xy 178.879069 81.158251) + (xy 178.874534 81.158192) + (xy 178.870061 81.158961) + (xy 178.870056 81.158961) + (xy 178.799599 81.171068) + (xy 178.675197 81.192444) + (xy 178.485441 81.262449) + (xy 178.311619 81.365862) + (xy 178.308204 81.368857) + (xy 178.308201 81.368859) + (xy 178.291878 81.383174) + (xy 178.159553 81.49922) + (xy 178.156745 81.502782) + (xy 178.057388 81.628817) + (xy 178.034337 81.658057) + (xy 178.032223 81.662075) + (xy 177.973665 81.773374) + (xy 177.929837 81.816069) + (xy 177.869285 81.824848) + (xy 177.815137 81.796359) + (xy 177.797261 81.771064) + (xy 177.75561 81.686603) + (xy 177.755605 81.686595) + (xy 177.753599 81.682527) + (xy 177.632583 81.520467) + (xy 177.48406 81.383174) + (xy 177.461373 81.368859) + (xy 177.316842 81.277667) + (xy 177.313005 81.275246) + (xy 177.125146 81.200298) + (xy 176.926774 81.160839) + (xy 176.827178 81.159535) + (xy 176.729074 81.158251) + (xy 176.729069 81.158251) + (xy 176.724534 81.158192) + (xy 176.720061 81.158961) + (xy 176.720056 81.158961) + (xy 176.649599 81.171068) + (xy 176.525197 81.192444) + (xy 176.335441 81.262449) + (xy 176.161619 81.365862) + (xy 176.158204 81.368857) + (xy 176.158201 81.368859) + (xy 176.141878 81.383174) + (xy 176.009553 81.49922) + (xy 176.006745 81.502782) + (xy 175.907388 81.628817) + (xy 175.884337 81.658057) + (xy 175.882223 81.662075) + (xy 175.823665 81.773374) + (xy 175.779837 81.816069) + (xy 175.719285 81.824848) + (xy 175.665137 81.796359) + (xy 175.647261 81.771064) + (xy 175.60561 81.686603) + (xy 175.605605 81.686595) + (xy 175.603599 81.682527) + (xy 175.482583 81.520467) + (xy 175.33406 81.383174) + (xy 175.311373 81.368859) + (xy 175.166842 81.277667) + (xy 175.163005 81.275246) + (xy 174.975146 81.200298) + (xy 174.776774 81.160839) + (xy 174.677178 81.159535) + (xy 174.579074 81.158251) + (xy 174.579069 81.158251) + (xy 174.574534 81.158192) + (xy 174.570061 81.158961) + (xy 174.570056 81.158961) + (xy 174.499599 81.171068) + (xy 174.375197 81.192444) + (xy 174.185441 81.262449) + (xy 174.011619 81.365862) + (xy 174.008204 81.368857) + (xy 174.008201 81.368859) + (xy 173.991878 81.383174) + (xy 173.859553 81.49922) + (xy 173.856745 81.502782) + (xy 173.757388 81.628817) + (xy 173.734337 81.658057) + (xy 173.732223 81.662075) + (xy 173.673665 81.773374) + (xy 173.629837 81.816069) + (xy 173.569285 81.824848) + (xy 173.515137 81.796359) + (xy 173.497261 81.771064) + (xy 173.45561 81.686603) + (xy 173.455605 81.686595) + (xy 173.453599 81.682527) + (xy 173.332583 81.520467) + (xy 173.18406 81.383174) + (xy 173.161373 81.368859) + (xy 173.016842 81.277667) + (xy 173.013005 81.275246) + (xy 172.825146 81.200298) + (xy 172.626774 81.160839) + (xy 172.527178 81.159535) + (xy 172.429074 81.158251) + (xy 172.429069 81.158251) + (xy 172.424534 81.158192) + (xy 172.420061 81.158961) + (xy 172.420056 81.158961) + (xy 172.349599 81.171068) + (xy 172.225197 81.192444) + (xy 172.035441 81.262449) + (xy 171.861619 81.365862) + (xy 171.858204 81.368857) + (xy 171.858201 81.368859) + (xy 171.841878 81.383174) + (xy 171.709553 81.49922) + (xy 171.706745 81.502782) + (xy 171.607388 81.628817) + (xy 171.584337 81.658057) + (xy 171.582223 81.662075) + (xy 171.523665 81.773374) + (xy 171.479837 81.816069) + (xy 171.419285 81.824848) + (xy 171.365137 81.796359) + (xy 171.347261 81.771064) + (xy 171.30561 81.686603) + (xy 171.305605 81.686595) + (xy 171.303599 81.682527) + (xy 171.182583 81.520467) + (xy 171.03406 81.383174) + (xy 171.011373 81.368859) + (xy 170.866842 81.277667) + (xy 170.863005 81.275246) + (xy 170.675146 81.200298) + (xy 170.476774 81.160839) + (xy 170.377178 81.159535) + (xy 170.279074 81.158251) + (xy 170.279069 81.158251) + (xy 170.274534 81.158192) + (xy 170.270061 81.158961) + (xy 170.270056 81.158961) + (xy 170.199599 81.171068) + (xy 170.075197 81.192444) + (xy 169.885441 81.262449) + (xy 169.711619 81.365862) + (xy 169.708204 81.368857) + (xy 169.708201 81.368859) + (xy 169.691878 81.383174) + (xy 169.559553 81.49922) + (xy 169.556745 81.502782) + (xy 169.457388 81.628817) + (xy 169.434337 81.658057) + (xy 169.432223 81.662075) + (xy 169.373665 81.773374) + (xy 169.329837 81.816069) + (xy 169.269285 81.824848) + (xy 169.215137 81.796359) + (xy 169.197261 81.771064) + (xy 169.15561 81.686603) + (xy 169.155605 81.686595) + (xy 169.153599 81.682527) + (xy 169.032583 81.520467) + (xy 168.88406 81.383174) + (xy 168.861373 81.368859) + (xy 168.716842 81.277667) + (xy 168.713005 81.275246) + (xy 168.525146 81.200298) + (xy 168.326774 81.160839) + (xy 168.227178 81.159535) + (xy 168.129074 81.158251) + (xy 168.129069 81.158251) + (xy 168.124534 81.158192) + (xy 168.120061 81.158961) + (xy 168.120056 81.158961) + (xy 168.049599 81.171068) + (xy 167.925197 81.192444) + (xy 167.735441 81.262449) + (xy 167.561619 81.365862) + (xy 167.558204 81.368857) + (xy 167.558201 81.368859) + (xy 167.541878 81.383174) + (xy 167.409553 81.49922) + (xy 167.406745 81.502782) + (xy 167.307388 81.628817) + (xy 167.284337 81.658057) + (xy 167.282223 81.662075) + (xy 167.223665 81.773374) + (xy 167.179837 81.816069) + (xy 167.119285 81.824848) + (xy 167.065137 81.796359) + (xy 167.047261 81.771064) + (xy 167.00561 81.686603) + (xy 167.005605 81.686595) + (xy 167.003599 81.682527) + (xy 166.882583 81.520467) + (xy 166.73406 81.383174) + (xy 166.711373 81.368859) + (xy 166.566842 81.277667) + (xy 166.563005 81.275246) + (xy 166.375146 81.200298) + (xy 166.176774 81.160839) + (xy 166.077178 81.159535) + (xy 165.979074 81.158251) + (xy 165.979069 81.158251) + (xy 165.974534 81.158192) + (xy 165.970061 81.158961) + (xy 165.970056 81.158961) + (xy 165.899599 81.171068) + (xy 165.775197 81.192444) + (xy 165.585441 81.262449) + (xy 165.411619 81.365862) + (xy 165.408204 81.368857) + (xy 165.408201 81.368859) + (xy 165.391878 81.383174) + (xy 165.259553 81.49922) + (xy 165.256745 81.502782) + (xy 165.157388 81.628817) + (xy 165.134337 81.658057) + (xy 165.040162 81.837053) + (xy 165.038817 81.841384) + (xy 165.038816 81.841387) + (xy 164.985304 82.013728) + (xy 164.980185 82.030213) + (xy 164.972014 82.099249) + (xy 164.95915 82.20794) + (xy 164.956412 82.231069) + (xy 155.428639 82.231069) + (xy 155.513547 82.208794) + (xy 155.513548 82.208794) + (xy 155.516802 82.20794) + (xy 155.682838 82.139165) + (xy 155.771994 82.102236) + (xy 155.771997 82.102235) + (xy 155.775103 82.100948) + (xy 155.778008 82.099251) + (xy 155.778011 82.099249) + (xy 156.013577 81.961595) + (xy 156.016493 81.959891) + (xy 156.236506 81.787379) + (xy 156.431071 81.586603) + (xy 156.467774 81.536639) + (xy 156.529122 81.453123) + (xy 156.596588 81.36128) + (xy 156.628112 81.303221) + (xy 156.706438 81.158961) + (xy 156.729993 81.115578) + (xy 156.828818 80.854044) + (xy 156.855009 80.739689) + (xy 156.890482 80.584808) + (xy 156.890483 80.584801) + (xy 156.891235 80.581518) + (xy 156.916088 80.303043) + (xy 156.916539 80.26) + (xy 156.915072 80.238477) + (xy 279.56607 80.238477) + (xy 279.566264 80.241842) + (xy 279.566264 80.241846) + (xy 279.569793 80.303043) + (xy 279.582164 80.517596) + (xy 279.635989 80.791948) + (xy 279.637082 80.79514) + (xy 279.715751 81.024912) + (xy 279.726551 81.056457) + (xy 279.852173 81.306228) + (xy 280.01053 81.536639) + (xy 280.0128 81.539133) + (xy 280.012801 81.539135) + (xy 280.146983 81.686599) + (xy 280.198692 81.743427) + (xy 280.201279 81.74559) + (xy 280.20128 81.745591) + (xy 280.234508 81.773374) + (xy 280.413178 81.922765) + (xy 280.650019 82.071335) + (xy 280.653087 82.07272) + (xy 280.653094 82.072724) + (xy 280.818606 82.147455) + (xy 280.904831 82.186387) + (xy 280.908053 82.187341) + (xy 280.90806 82.187344) + (xy 281.055674 82.231069) + (xy 281.1729 82.265793) + (xy 281.176225 82.266302) + (xy 281.176226 82.266302) + (xy 281.445932 82.307573) + (xy 281.445935 82.307573) + (xy 281.449266 82.308083) + (xy 281.452637 82.308136) + (xy 281.452638 82.308136) + (xy 281.500819 82.308893) + (xy 281.728814 82.312474) + (xy 281.732151 82.31207) + (xy 281.732155 82.31207) + (xy 282.003027 82.279291) + (xy 282.003032 82.27929) + (xy 282.006371 82.278886) + (xy 282.188639 82.231069) + (xy 282.273547 82.208794) + (xy 282.273548 82.208794) + (xy 282.276802 82.20794) + (xy 282.442838 82.139165) + (xy 282.531994 82.102236) + (xy 282.531997 82.102235) + (xy 282.535103 82.100948) + (xy 282.538008 82.099251) + (xy 282.538011 82.099249) + (xy 282.773577 81.961595) + (xy 282.776493 81.959891) + (xy 282.996506 81.787379) + (xy 283.191071 81.586603) + (xy 283.227774 81.536639) + (xy 283.289122 81.453123) + (xy 283.356588 81.36128) + (xy 283.388112 81.303221) + (xy 283.466438 81.158961) + (xy 283.489993 81.115578) + (xy 283.588818 80.854044) + (xy 283.615009 80.739688) + (xy 283.650482 80.584808) + (xy 283.650483 80.584801) + (xy 283.651235 80.581518) + (xy 283.676088 80.303043) + (xy 283.676539 80.26) + (xy 283.657523 79.981065) + (xy 283.600827 79.707292) + (xy 283.507501 79.443746) + (xy 283.49579 79.421056) + (xy 283.380821 79.198308) + (xy 283.380818 79.198302) + (xy 283.37927 79.195304) + (xy 283.218509 78.966563) + (xy 283.20076 78.947462) + (xy 283.030486 78.764226) + (xy 283.028192 78.761757) + (xy 282.811839 78.584675) + (xy 282.573456 78.438594) + (xy 282.570374 78.437241) + (xy 282.320536 78.327569) + (xy 282.320532 78.327567) + (xy 282.317453 78.326216) + (xy 282.268165 78.312176) + (xy 282.051819 78.250548) + (xy 282.051814 78.250547) + (xy 282.048567 78.249622) + (xy 281.771774 78.210229) + (xy 281.768407 78.210211) + (xy 281.768402 78.210211) + (xy 281.643435 78.209557) + (xy 281.492195 78.208765) + (xy 281.215005 78.245257) + (xy 281.211754 78.246146) + (xy 281.211751 78.246147) + (xy 281.120863 78.271012) + (xy 280.945331 78.319032) + (xy 280.688165 78.428722) + (xy 280.448266 78.572299) + (xy 280.230071 78.747106) + (xy 280.037619 78.949908) + (xy 280.035654 78.952643) + (xy 280.035652 78.952645) + (xy 280.023669 78.969322) + (xy 279.874471 79.176952) + (xy 279.743646 79.424038) + (xy 279.647565 79.686592) + (xy 279.588005 79.959757) + (xy 279.56607 80.238477) + (xy 156.915072 80.238477) + (xy 156.897523 79.981065) + (xy 156.840827 79.707292) + (xy 156.747501 79.443746) + (xy 156.73579 79.421056) + (xy 156.620821 79.198308) + (xy 156.620818 79.198302) + (xy 156.61927 79.195304) + (xy 156.458509 78.966563) + (xy 156.44076 78.947462) + (xy 156.270486 78.764226) + (xy 156.268192 78.761757) + (xy 156.051839 78.584675) + (xy 155.813456 78.438594) + (xy 155.810374 78.437241) + (xy 155.560536 78.327569) + (xy 155.560532 78.327567) + (xy 155.557453 78.326216) + (xy 155.508165 78.312176) + (xy 155.291819 78.250548) + (xy 155.291814 78.250547) + (xy 155.288567 78.249622) + (xy 155.011774 78.210229) + (xy 155.008407 78.210211) + (xy 155.008402 78.210211) + (xy 154.883435 78.209557) + (xy 154.732195 78.208765) + (xy 154.455005 78.245257) + (xy 154.451754 78.246146) + (xy 154.451751 78.246147) + (xy 154.360863 78.271012) + (xy 154.185331 78.319032) + (xy 153.928165 78.428722) + (xy 153.688266 78.572299) + (xy 153.470071 78.747106) + (xy 153.277619 78.949908) + (xy 153.275654 78.952643) + (xy 153.275652 78.952645) + (xy 153.263669 78.969322) + (xy 153.114471 79.176952) + (xy 152.983646 79.424038) + (xy 152.887565 79.686592) + (xy 152.828005 79.959757) + (xy 152.80607 80.238477) + (xy 151.355163 80.238477) + (xy 151.346667 76.785938) + (xy 151.365431 76.727701) + (xy 151.414843 76.691615) + (xy 151.428494 76.688195) + (xy 162.510203 74.736364) + (xy 162.527376 74.734863) + (xy 274.395082 74.734863) + ) + ) + ) + (zone (net 0) (net_name "") (layer "B.Cu") (tstamp 39fd81c8-5cc9-479e-bbfb-ca2b52e47c28) (hatch edge 0.508) + (connect_pads (clearance 0.2)) + (min_thickness 0.2) (filled_areas_thickness no) + (fill yes (thermal_gap 0.25) (thermal_bridge_width 0.25)) + (polygon + (pts + (xy 302.536048 194.042864) + (xy 136.536048 194.042864) + (xy 136.536048 73.042864) + (xy 302.536048 73.042864) + ) + ) + (filled_polygon + (layer "B.Cu") + (island) + (pts + (xy 274.412278 74.736368) + (xy 285.479444 76.688248) + (xy 285.533465 76.716975) + (xy 285.560285 76.771969) + (xy 285.561248 76.785743) + (xy 285.561248 82.712313) + (xy 285.559206 82.732317) + (xy 285.556149 82.747134) + (xy 285.556166 82.74785) + (xy 285.557216 82.751986) + (xy 285.55748 82.754581) + (xy 285.581706 83.115747) + (xy 285.584164 83.152396) + (xy 285.584483 83.154477) + (xy 285.584484 83.154482) + (xy 285.636943 83.496207) + (xy 285.645688 83.553177) + (xy 285.741151 83.947255) + (xy 285.869857 84.331762) + (xy 286.030871 84.703898) + (xy 286.031873 84.70576) + (xy 286.082588 84.8) + (xy 286.223019 85.060956) + (xy 286.444904 85.400334) + (xy 286.446195 85.401983) + (xy 286.446199 85.401988) + (xy 286.474135 85.437659) + (xy 286.69491 85.719565) + (xy 286.971217 86.016322) + (xy 287.271814 86.288448) + (xy 287.41747 86.399264) + (xy 287.592826 86.532677) + (xy 287.592833 86.532682) + (xy 287.594513 86.53396) + (xy 287.596302 86.535094) + (xy 287.596306 86.535097) + (xy 287.921545 86.741295) + (xy 287.936965 86.751071) + (xy 287.938838 86.752045) + (xy 287.938846 86.75205) + (xy 288.248308 86.913039) + (xy 288.296678 86.938202) + (xy 288.298639 86.939018) + (xy 288.53071 87.035594) + (xy 288.671032 87.093989) + (xy 288.673045 87.094632) + (xy 288.673046 87.094632) + (xy 288.859643 87.1542) + (xy 289.057303 87.2173) + (xy 289.059358 87.217767) + (xy 289.05936 87.217768) + (xy 289.450615 87.306767) + (xy 289.450626 87.306769) + (xy 289.452679 87.307236) + (xy 289.454772 87.307527) + (xy 289.454781 87.307529) + (xy 289.852184 87.36285) + (xy 289.852189 87.36285) + (xy 289.854283 87.363142) + (xy 289.892908 87.36519) + (xy 290.251455 87.384203) + (xy 290.254306 87.384457) + (xy 290.258465 87.385466) + (xy 290.259181 87.385474) + (xy 290.264629 87.38429) + (xy 290.264632 87.38429) + (xy 290.268497 87.38345) + (xy 290.272336 87.382616) + (xy 290.293403 87.380358) + (xy 297.574523 87.384106) + (xy 298.92317 87.3848) + (xy 298.981351 87.403737) + (xy 298.993123 87.413796) + (xy 300.232252 88.652924) + (xy 300.260029 88.707441) + (xy 300.261248 88.722928) + (xy 300.261248 151.386718) + (xy 300.242341 151.444909) + (xy 300.192841 151.480873) + (xy 300.162533 151.485718) + (xy 299.062691 151.488869) + (xy 298.195129 151.491354) + (xy 298.172492 151.488797) + (xy 298.167235 151.487578) + (xy 298.167225 151.487577) + (xy 298.161764 151.486311) + (xy 298.161048 151.48631) + (xy 298.159427 151.486679) + (xy 298.158511 151.486574) + (xy 298.158534 151.486883) + (xy 297.907458 151.505699) + (xy 297.770521 151.536954) + (xy 297.663146 151.561461) + (xy 297.663142 151.561462) + (xy 297.659533 151.562286) + (xy 297.656081 151.563641) + (xy 297.42626 151.653839) + (xy 297.426256 151.653841) + (xy 297.422811 151.655193) + (xy 297.303614 151.724011) + (xy 297.213993 151.775754) + (xy 297.20258 151.782343) + (xy 297.164905 151.812388) + (xy 297.012887 151.933618) + (xy 297.003759 151.940897) + (xy 296.830791 152.127313) + (xy 296.687538 152.337427) + (xy 296.685935 152.340755) + (xy 296.685933 152.340759) + (xy 296.594873 152.529848) + (xy 296.577201 152.566544) + (xy 296.556854 152.632507) + (xy 296.505369 152.799417) + (xy 296.502244 152.809547) + (xy 296.501691 152.813216) + (xy 296.465889 153.050754) + (xy 296.464343 153.061008) + (xy 296.464343 153.315308) + (xy 296.464895 153.318968) + (xy 296.464895 153.318973) + (xy 296.492164 153.499891) + (xy 296.502244 153.566769) + (xy 296.503335 153.570307) + (xy 296.503336 153.57031) + (xy 296.544096 153.702449) + (xy 296.577201 153.809772) + (xy 296.578807 153.813106) + (xy 296.578807 153.813107) + (xy 296.678519 154.020162) + (xy 296.687538 154.03889) + (xy 296.830791 154.249003) + (xy 297.003759 154.435419) + (xy 297.006656 154.43773) + (xy 297.006659 154.437732) + (xy 297.122645 154.530227) + (xy 297.20258 154.593973) + (xy 297.422811 154.721123) + (xy 297.426256 154.722475) + (xy 297.42626 154.722477) + (xy 297.578672 154.782294) + (xy 297.659533 154.81403) + (xy 297.663142 154.814854) + (xy 297.663146 154.814855) + (xy 297.770521 154.839362) + (xy 297.907458 154.870617) + (xy 298.149602 154.888763) + (xy 298.157542 154.889358) + (xy 298.157513 154.889751) + (xy 298.157876 154.889728) + (xy 298.158727 154.889633) + (xy 298.160332 154.890005) + (xy 298.161048 154.890006) + (xy 298.172726 154.887342) + (xy 298.194744 154.884863) + (xy 300.162248 154.884863) + (xy 300.220439 154.90377) + (xy 300.256403 154.95327) + (xy 300.261248 154.983863) + (xy 300.261248 178.136799) + (xy 300.242341 178.19499) + (xy 300.232252 178.206803) + (xy 298.993187 179.445867) + (xy 298.93867 179.473644) + (xy 298.923183 179.474863) + (xy 296.63547 179.474863) + (xy 296.613118 179.472306) + (xy 296.601964 179.469721) + (xy 296.601248 179.46972) + (xy 296.59832 179.470388) + (xy 296.597692 179.470433) + (xy 296.406109 179.476453) + (xy 296.024839 179.488435) + (xy 296.024829 179.488436) + (xy 296.02329 179.488484) + (xy 296.021758 179.488629) + (xy 296.021755 179.488629) + (xy 295.449146 179.542757) + (xy 295.449143 179.542757) + (xy 295.447613 179.542902) + (xy 295.446093 179.543143) + (xy 295.446083 179.543144) + (xy 294.87801 179.633118) + (xy 294.878007 179.633119) + (xy 294.876489 179.633359) + (xy 294.729934 179.666118) + (xy 294.313699 179.759157) + (xy 294.313685 179.75916) + (xy 294.312171 179.759499) + (xy 294.310666 179.759936) + (xy 294.310663 179.759937) + (xy 293.758381 179.920389) + (xy 293.758378 179.92039) + (xy 293.756888 179.920823) + (xy 293.755426 179.921349) + (xy 293.755424 179.92135) + (xy 293.214279 180.116174) + (xy 293.21427 180.116178) + (xy 293.21283 180.116696) + (xy 293.211425 180.117304) + (xy 292.683561 180.345731) + (xy 292.683559 180.345732) + (xy 292.682145 180.346344) + (xy 292.166926 180.608861) + (xy 291.669208 180.903211) + (xy 291.190954 181.228232) + (xy 291.189744 181.22917) + (xy 291.189731 181.22918) + (xy 290.840859 181.499793) + (xy 290.734052 181.582641) + (xy 290.732901 181.583656) + (xy 290.732891 181.583664) + (xy 290.323696 181.944419) + (xy 290.300305 181.965041) + (xy 289.891426 182.37392) + (xy 289.890399 182.375084) + (xy 289.890397 182.375087) + (xy 289.510049 182.806506) + (xy 289.510041 182.806516) + (xy 289.509026 182.807667) + (xy 289.508075 182.808893) + (xy 289.155565 183.263346) + (xy 289.155555 183.263359) + (xy 289.154617 183.264569) + (xy 288.829596 183.742823) + (xy 288.535246 184.240541) + (xy 288.272729 184.75576) + (xy 288.272119 184.75717) + (xy 288.272116 184.757176) + (xy 288.045525 185.280797) + (xy 288.043081 185.286445) + (xy 288.042563 185.287885) + (xy 288.042559 185.287894) + (xy 287.847735 185.829039) + (xy 287.847208 185.830503) + (xy 287.685884 186.385786) + (xy 287.559744 186.950104) + (xy 287.559504 186.951622) + (xy 287.559503 186.951625) + (xy 287.482623 187.437029) + (xy 287.469287 187.521228) + (xy 287.469142 187.522758) + (xy 287.469142 187.522761) + (xy 287.416319 188.081571) + (xy 287.414869 188.096905) + (xy 287.414821 188.098444) + (xy 287.41482 188.098454) + (xy 287.409715 188.260916) + (xy 287.396814 188.671421) + (xy 287.396105 188.674505) + (xy 287.398565 188.685377) + (xy 287.398807 188.686446) + (xy 287.401248 188.708295) + (xy 287.401248 190.996799) + (xy 287.382341 191.05499) + (xy 287.372252 191.066803) + (xy 286.133187 192.305867) + (xy 286.07867 192.333644) + (xy 286.063183 192.334863) + (xy 218.461248 192.334864) + (xy 150.859313 192.334864) + (xy 150.801122 192.315957) + (xy 150.789309 192.305868) + (xy 149.550244 191.066803) + (xy 149.522467 191.012286) + (xy 149.521248 190.996799) + (xy 149.521248 188.990238) + (xy 206.017065 188.990238) + (xy 206.019209 189.034864) + (xy 206.029188 189.242623) + (xy 206.078483 189.490443) + (xy 206.079723 189.493898) + (xy 206.079724 189.4939) + (xy 206.125459 189.621281) + (xy 206.163866 189.728255) + (xy 206.283462 189.950835) + (xy 206.285661 189.95378) + (xy 206.285663 189.953783) + (xy 206.360338 190.053785) + (xy 206.434644 190.153292) + (xy 206.61409 190.331179) + (xy 206.617057 190.333355) + (xy 206.61706 190.333357) + (xy 206.72387 190.411673) + (xy 206.817859 190.480588) + (xy 207.041474 190.598238) + (xy 207.044945 190.59945) + (xy 207.044947 190.599451) + (xy 207.12838 190.628587) + (xy 207.280022 190.681542) + (xy 207.528263 190.728673) + (xy 207.665742 190.734075) + (xy 207.777074 190.738449) + (xy 207.777077 190.738449) + (xy 207.780744 190.738593) + (xy 207.909809 190.724458) + (xy 208.028276 190.711484) + (xy 208.028279 190.711483) + (xy 208.031917 190.711085) + (xy 208.276266 190.646753) + (xy 208.508422 190.547011) + (xy 208.61576 190.480588) + (xy 208.720159 190.415984) + (xy 208.720164 190.41598) + (xy 208.723285 190.414049) + (xy 208.916135 190.25079) + (xy 208.918556 190.24803) + (xy 209.080315 190.063577) + (xy 209.082735 190.060818) + (xy 209.151583 189.953783) + (xy 209.217437 189.851402) + (xy 209.21744 189.851396) + (xy 209.219426 189.848309) + (xy 209.272045 189.731501) + (xy 209.321695 189.621281) + (xy 209.323205 189.617929) + (xy 209.391791 189.37474) + (xy 209.423679 189.124085) + (xy 209.426015 189.034864) + (xy 209.422699 188.990238) + (xy 222.217066 188.990238) + (xy 222.21921 189.034864) + (xy 222.229189 189.242623) + (xy 222.278484 189.490443) + (xy 222.279724 189.493898) + (xy 222.279725 189.4939) + (xy 222.348288 189.684863) + (xy 222.363867 189.728255) + (xy 222.483463 189.950834) + (xy 222.634645 190.153291) + (xy 222.814091 190.331178) + (xy 222.817052 190.333349) + (xy 222.817053 190.33335) + (xy 222.819118 190.334864) + (xy 223.01786 190.480588) + (xy 223.241474 190.598237) + (xy 223.480022 190.681542) + (xy 223.483626 190.682226) + (xy 223.483625 190.682226) + (xy 223.724654 190.727987) + (xy 223.724656 190.727987) + (xy 223.728263 190.728672) + (xy 223.865712 190.734072) + (xy 223.977073 190.738448) + (xy 223.977076 190.738448) + (xy 223.980743 190.738592) + (xy 224.109788 190.724459) + (xy 224.228276 190.711483) + (xy 224.228279 190.711482) + (xy 224.231917 190.711084) + (xy 224.476266 190.646752) + (xy 224.708421 190.54701) + (xy 224.819268 190.478416) + (xy 224.920158 190.415984) + (xy 224.920163 190.41598) + (xy 224.923284 190.414049) + (xy 225.116134 190.250789) + (xy 225.204227 190.150339) + (xy 225.280309 190.063585) + (xy 225.280315 190.063577) + (xy 225.282735 190.060818) + (xy 225.284726 190.057723) + (xy 225.41744 189.851396) + (xy 225.417441 189.851393) + (xy 225.419426 189.848308) + (xy 225.420938 189.844951) + (xy 225.521701 189.621265) + (xy 225.521702 189.621263) + (xy 225.523204 189.617928) + (xy 225.549079 189.526182) + (xy 225.590793 189.378276) + (xy 225.590794 189.378273) + (xy 225.59179 189.37474) + (xy 225.623678 189.124085) + (xy 225.626014 189.034864) + (xy 225.607561 188.786537) + (xy 225.60756 188.786532) + (xy 225.607289 188.782884) + (xy 225.551524 188.536439) + (xy 225.550194 188.533018) + (xy 225.461275 188.304362) + (xy 225.461273 188.304357) + (xy 225.459945 188.300943) + (xy 225.334563 188.081571) + (xy 225.178133 187.883141) + (xy 225.17546 187.880627) + (xy 225.175455 187.880621) + (xy 224.996764 187.712526) + (xy 224.996763 187.712525) + (xy 224.994092 187.710012) + (xy 224.786482 187.565988) + (xy 224.738456 187.542304) + (xy 224.563167 187.45586) + (xy 224.563163 187.455858) + (xy 224.559865 187.454232) + (xy 224.55636 187.45311) + (xy 224.556358 187.453109) + (xy 224.411547 187.406755) + (xy 224.319218 187.377201) + (xy 224.315603 187.376612) + (xy 224.315602 187.376612) + (xy 224.073445 187.337174) + (xy 224.073444 187.337174) + (xy 224.069828 187.336585) + (xy 224.066165 187.336537) + (xy 224.066164 187.336537) + (xy 223.943502 187.334932) + (xy 223.817175 187.333278) + (xy 223.813547 187.333772) + (xy 223.813543 187.333772) + (xy 223.653859 187.355504) + (xy 223.566807 187.367351) + (xy 223.324226 187.438057) + (xy 223.094761 187.543842) + (xy 222.883452 187.682382) + (xy 222.88072 187.684821) + (xy 222.880718 187.684822) + (xy 222.824651 187.734864) + (xy 222.694942 187.850634) + (xy 222.533372 188.044901) + (xy 222.40229 188.260916) + (xy 222.304578 188.493934) + (xy 222.303673 188.497496) + (xy 222.303673 188.497497) + (xy 222.252812 188.697764) + (xy 222.242381 188.738834) + (xy 222.217066 188.990238) + (xy 209.422699 188.990238) + (xy 209.40729 188.782883) + (xy 209.351525 188.536438) + (xy 209.336382 188.497496) + (xy 209.261276 188.304363) + (xy 209.261276 188.304362) + (xy 209.259946 188.300943) + (xy 209.134564 188.08157) + (xy 208.978134 187.88314) + (xy 208.975461 187.880626) + (xy 208.975456 187.88062) + (xy 208.841507 187.754614) + (xy 208.794093 187.710011) + (xy 208.586483 187.565987) + (xy 208.359865 187.454232) + (xy 208.119218 187.3772) + (xy 207.869828 187.336584) + (xy 207.866165 187.336536) + (xy 207.866164 187.336536) + (xy 207.743501 187.334931) + (xy 207.617174 187.333277) + (xy 207.613546 187.333771) + (xy 207.613542 187.333771) + (xy 207.454202 187.355456) + (xy 207.366807 187.36735) + (xy 207.124226 187.438056) + (xy 206.894761 187.543841) + (xy 206.683452 187.682381) + (xy 206.680719 187.68482) + (xy 206.680718 187.684821) + (xy 206.497682 187.848186) + (xy 206.497678 187.84819) + (xy 206.494941 187.850633) + (xy 206.492594 187.853455) + (xy 206.492592 187.853457) + (xy 206.465501 187.886031) + (xy 206.333371 188.044901) + (xy 206.331471 188.048033) + (xy 206.331469 188.048035) + (xy 206.300351 188.099316) + (xy 206.202289 188.260916) + (xy 206.104577 188.493933) + (xy 206.103672 188.497495) + (xy 206.103672 188.497496) + (xy 206.052811 188.697763) + (xy 206.04238 188.738834) + (xy 206.017065 188.990238) + (xy 149.521248 188.990238) + (xy 149.521248 188.709086) + (xy 149.523805 188.686731) + (xy 149.525123 188.681045) + (xy 149.52639 188.67558) + (xy 149.526391 188.674864) + (xy 149.525723 188.671936) + (xy 149.525678 188.671304) + (xy 149.523131 188.590238) + (xy 149.519658 188.479725) + (xy 149.507676 188.098455) + (xy 149.507675 188.098445) + (xy 149.507627 188.096906) + (xy 149.506178 188.081571) + (xy 149.453354 187.522762) + (xy 149.453354 187.522759) + (xy 149.453209 187.521229) + (xy 149.44028 187.439595) + (xy 149.362993 186.951626) + (xy 149.362992 186.951623) + (xy 149.362752 186.950105) + (xy 149.239176 186.397256) + (xy 149.236954 186.387315) + (xy 149.236951 186.387301) + (xy 149.236612 186.385787) + (xy 149.075722 185.831996) + (xy 149.07572 185.83199) + (xy 149.075288 185.830504) + (xy 148.879937 185.287894) + (xy 148.879933 185.287886) + (xy 148.879415 185.286446) + (xy 148.65038 184.757176) + (xy 148.650377 184.757171) + (xy 148.649767 184.755761) + (xy 148.38725 184.240542) + (xy 148.0929 183.742824) + (xy 147.767879 183.26457) + (xy 147.766941 183.26336) + (xy 147.766931 183.263347) + (xy 147.414421 182.808894) + (xy 147.41442 182.808893) + (xy 147.41347 182.807668) + (xy 147.412455 182.806517) + (xy 147.412447 182.806507) + (xy 147.349773 182.735417) + (xy 149.257065 182.735417) + (xy 149.259209 182.780043) + (xy 149.269188 182.987802) + (xy 149.318483 183.235622) + (xy 149.319723 183.239077) + (xy 149.319724 183.239079) + (xy 149.365459 183.36646) + (xy 149.403866 183.473434) + (xy 149.523462 183.696014) + (xy 149.525661 183.698959) + (xy 149.525663 183.698962) + (xy 149.590542 183.785845) + (xy 149.674644 183.898471) + (xy 149.85409 184.076358) + (xy 149.857057 184.078534) + (xy 149.85706 184.078536) + (xy 149.96387 184.156852) + (xy 150.057859 184.225767) + (xy 150.281474 184.343417) + (xy 150.284945 184.344629) + (xy 150.284947 184.34463) + (xy 150.36838 184.373766) + (xy 150.520022 184.426721) + (xy 150.768263 184.473852) + (xy 150.905742 184.479254) + (xy 151.017074 184.483628) + (xy 151.017077 184.483628) + (xy 151.020744 184.483772) + (xy 151.149809 184.469637) + (xy 151.268276 184.456663) + (xy 151.268279 184.456662) + (xy 151.271917 184.456264) + (xy 151.516266 184.391932) + (xy 151.748422 184.29219) + (xy 151.751549 184.290255) + (xy 151.960159 184.161163) + (xy 151.960164 184.161159) + (xy 151.963285 184.159228) + (xy 152.156135 183.995969) + (xy 152.322735 183.805997) + (xy 152.362511 183.744159) + (xy 152.457437 183.596581) + (xy 152.45744 183.596576) + (xy 152.459426 183.593488) + (xy 152.512045 183.47668) + (xy 152.561695 183.36646) + (xy 152.563205 183.363108) + (xy 152.590996 183.26457) + (xy 152.630792 183.12346) + (xy 152.631791 183.119919) + (xy 152.663679 182.869264) + (xy 152.666015 182.780043) + (xy 152.662699 182.735417) + (xy 284.257065 182.735417) + (xy 284.259209 182.780043) + (xy 284.269188 182.987802) + (xy 284.318483 183.235622) + (xy 284.319723 183.239077) + (xy 284.319724 183.239079) + (xy 284.365459 183.36646) + (xy 284.403866 183.473434) + (xy 284.523462 183.696014) + (xy 284.525661 183.698959) + (xy 284.525663 183.698962) + (xy 284.590542 183.785845) + (xy 284.674644 183.898471) + (xy 284.85409 184.076358) + (xy 284.857057 184.078534) + (xy 284.85706 184.078536) + (xy 284.96387 184.156852) + (xy 285.057859 184.225767) + (xy 285.281474 184.343417) + (xy 285.284945 184.344629) + (xy 285.284947 184.34463) + (xy 285.36838 184.373766) + (xy 285.520022 184.426721) + (xy 285.768263 184.473852) + (xy 285.905742 184.479254) + (xy 286.017074 184.483628) + (xy 286.017077 184.483628) + (xy 286.020744 184.483772) + (xy 286.149809 184.469637) + (xy 286.268276 184.456663) + (xy 286.268279 184.456662) + (xy 286.271917 184.456264) + (xy 286.516266 184.391932) + (xy 286.748422 184.29219) + (xy 286.751549 184.290255) + (xy 286.960159 184.161163) + (xy 286.960164 184.161159) + (xy 286.963285 184.159228) + (xy 287.156135 183.995969) + (xy 287.322735 183.805997) + (xy 287.362511 183.744159) + (xy 287.457437 183.596581) + (xy 287.45744 183.596576) + (xy 287.459426 183.593488) + (xy 287.512045 183.47668) + (xy 287.561695 183.36646) + (xy 287.563205 183.363108) + (xy 287.590996 183.26457) + (xy 287.630792 183.12346) + (xy 287.631791 183.119919) + (xy 287.663679 182.869264) + (xy 287.666015 182.780043) + (xy 287.64729 182.528062) + (xy 287.591525 182.281617) + (xy 287.576382 182.242675) + (xy 287.501276 182.049542) + (xy 287.499946 182.046122) + (xy 287.374564 181.826749) + (xy 287.218134 181.628319) + (xy 287.215461 181.625805) + (xy 287.215456 181.625799) + (xy 287.036765 181.457704) + (xy 287.034093 181.45519) + (xy 286.826483 181.311166) + (xy 286.599865 181.199411) + (xy 286.359218 181.122379) + (xy 286.109828 181.081763) + (xy 286.106165 181.081715) + (xy 286.106164 181.081715) + (xy 285.983501 181.080109) + (xy 285.857174 181.078456) + (xy 285.853546 181.07895) + (xy 285.853542 181.07895) + (xy 285.694202 181.100635) + (xy 285.606807 181.112529) + (xy 285.364226 181.183235) + (xy 285.134761 181.28902) + (xy 284.923452 181.42756) + (xy 284.920719 181.429999) + (xy 284.920718 181.43) + (xy 284.737682 181.593365) + (xy 284.737678 181.593369) + (xy 284.734941 181.595812) + (xy 284.573371 181.79008) + (xy 284.571471 181.793212) + (xy 284.571469 181.793214) + (xy 284.549182 181.829942) + (xy 284.442289 182.006095) + (xy 284.344577 182.239112) + (xy 284.343672 182.242674) + (xy 284.343672 182.242675) + (xy 284.310044 182.375087) + (xy 284.28238 182.484013) + (xy 284.257065 182.735417) + (xy 152.662699 182.735417) + (xy 152.64729 182.528062) + (xy 152.591525 182.281617) + (xy 152.576382 182.242675) + (xy 152.501276 182.049542) + (xy 152.499946 182.046122) + (xy 152.374564 181.826749) + (xy 152.218134 181.628319) + (xy 152.215461 181.625805) + (xy 152.215456 181.625799) + (xy 152.036765 181.457704) + (xy 152.034093 181.45519) + (xy 151.826483 181.311166) + (xy 151.599865 181.199411) + (xy 151.359218 181.122379) + (xy 151.109828 181.081763) + (xy 151.106165 181.081715) + (xy 151.106164 181.081715) + (xy 150.983501 181.080109) + (xy 150.857174 181.078456) + (xy 150.853546 181.07895) + (xy 150.853542 181.07895) + (xy 150.694202 181.100635) + (xy 150.606807 181.112529) + (xy 150.364226 181.183235) + (xy 150.134761 181.28902) + (xy 149.923452 181.42756) + (xy 149.920719 181.429999) + (xy 149.920718 181.43) + (xy 149.737682 181.593365) + (xy 149.737678 181.593369) + (xy 149.734941 181.595812) + (xy 149.573371 181.79008) + (xy 149.571471 181.793212) + (xy 149.571469 181.793214) + (xy 149.549182 181.829942) + (xy 149.442289 182.006095) + (xy 149.344577 182.239112) + (xy 149.343672 182.242674) + (xy 149.343672 182.242675) + (xy 149.310044 182.375087) + (xy 149.28238 182.484013) + (xy 149.257065 182.735417) + (xy 147.349773 182.735417) + (xy 147.032099 182.375088) + (xy 147.032097 182.375085) + (xy 147.03107 182.373921) + (xy 146.622191 181.965042) + (xy 146.598799 181.944419) + (xy 146.189605 181.583665) + (xy 146.189595 181.583657) + (xy 146.188444 181.582642) + (xy 145.991659 181.43) + (xy 145.732765 181.229181) + (xy 145.732752 181.229171) + (xy 145.731542 181.228233) + (xy 145.253288 180.903212) + (xy 144.75557 180.608862) + (xy 144.240351 180.346345) + (xy 144.238941 180.345735) + (xy 144.238935 180.345732) + (xy 143.711071 180.117305) + (xy 143.709666 180.116697) + (xy 143.708226 180.116179) + (xy 143.708217 180.116175) + (xy 143.167072 179.921351) + (xy 143.16707 179.92135) + (xy 143.165608 179.920824) + (xy 143.164122 179.920392) + (xy 143.164115 179.92039) + (xy 142.611833 179.759938) + (xy 142.61183 179.759937) + (xy 142.610325 179.7595) + (xy 142.608811 179.759161) + (xy 142.608797 179.759158) + (xy 142.192562 179.666119) + (xy 142.046007 179.63336) + (xy 142.044489 179.63312) + (xy 142.044486 179.633119) + (xy 141.476413 179.543145) + (xy 141.476403 179.543144) + (xy 141.474883 179.542903) + (xy 141.473353 179.542758) + (xy 141.47335 179.542758) + (xy 140.900741 179.48863) + (xy 140.900738 179.48863) + (xy 140.899206 179.488485) + (xy 140.897667 179.488437) + (xy 140.897657 179.488436) + (xy 140.325901 179.470468) + (xy 140.324852 179.470391) + (xy 140.321964 179.469722) + (xy 140.321248 179.469721) + (xy 140.315799 179.470964) + (xy 140.309569 179.472385) + (xy 140.287552 179.474864) + (xy 137.999311 179.474864) + (xy 137.94112 179.455957) + (xy 137.929307 179.445868) + (xy 136.690268 178.206829) + (xy 136.662491 178.152312) + (xy 136.661272 178.136805) + (xy 136.66189 175.103991) + (xy 136.662141 173.86844) + (xy 193.09477 173.86844) + (xy 193.1092 174.088604) + (xy 193.110316 174.092997) + (xy 193.110316 174.092999) + (xy 193.133873 174.185754) + (xy 193.163511 174.302452) + (xy 193.255883 174.502821) + (xy 193.383222 174.683002) + (xy 193.541264 174.836961) + (xy 193.724717 174.95954) + (xy 193.927436 175.046635) + (xy 194.005165 175.064223) + (xy 194.138206 175.094328) + (xy 194.138211 175.094329) + (xy 194.142632 175.095329) + (xy 194.252865 175.09966) + (xy 194.358565 175.103813) + (xy 194.358566 175.103813) + (xy 194.363098 175.103991) + (xy 194.581452 175.072331) + (xy 194.585751 175.070872) + (xy 194.585754 175.070871) + (xy 194.786078 175.00287) + (xy 194.790379 175.00141) + (xy 194.861944 174.961332) + (xy 194.978925 174.895819) + (xy 194.982884 174.893602) + (xy 195.152518 174.752518) + (xy 195.293602 174.582884) + (xy 195.40141 174.390379) + (xy 195.472331 174.181452) + (xy 195.485156 174.092999) + (xy 195.503571 173.965997) + (xy 195.503571 173.965991) + (xy 195.503991 173.963098) + (xy 195.505643 173.9) + (xy 195.502743 173.86844) + (xy 243.49477 173.86844) + (xy 243.5092 174.088604) + (xy 243.510316 174.092997) + (xy 243.510316 174.092999) + (xy 243.533873 174.185754) + (xy 243.563511 174.302452) + (xy 243.655883 174.502821) + (xy 243.783222 174.683002) + (xy 243.941264 174.836961) + (xy 244.124717 174.95954) + (xy 244.327436 175.046635) + (xy 244.405165 175.064223) + (xy 244.538206 175.094328) + (xy 244.538211 175.094329) + (xy 244.542632 175.095329) + (xy 244.652865 175.09966) + (xy 244.758565 175.103813) + (xy 244.758566 175.103813) + (xy 244.763098 175.103991) + (xy 244.981452 175.072331) + (xy 244.985751 175.070872) + (xy 244.985754 175.070871) + (xy 245.186078 175.00287) + (xy 245.190379 175.00141) + (xy 245.261944 174.961332) + (xy 245.378925 174.895819) + (xy 245.382884 174.893602) + (xy 245.552518 174.752518) + (xy 245.693602 174.582884) + (xy 245.80141 174.390379) + (xy 245.872331 174.181452) + (xy 245.885156 174.092999) + (xy 245.903571 173.965997) + (xy 245.903571 173.965991) + (xy 245.903991 173.963098) + (xy 245.905643 173.9) + (xy 245.885454 173.680289) + (xy 245.833429 173.495819) + (xy 245.826799 173.472311) + (xy 245.826798 173.47231) + (xy 245.825565 173.467936) + (xy 245.823557 173.463864) + (xy 245.823555 173.463859) + (xy 245.729988 173.274125) + (xy 245.72798 173.270053) + (xy 245.595967 173.093267) + (xy 245.567658 173.067098) + (xy 245.437279 172.946577) + (xy 245.437278 172.946576) + (xy 245.433949 172.943499) + (xy 245.375419 172.906569) + (xy 245.251187 172.828185) + (xy 245.24735 172.825764) + (xy 245.042421 172.744006) + (xy 244.826024 172.700962) + (xy 244.717347 172.699539) + (xy 244.609946 172.698133) + (xy 244.609941 172.698133) + (xy 244.605406 172.698074) + (xy 244.600933 172.698843) + (xy 244.600928 172.698843) + (xy 244.392435 172.734668) + (xy 244.392429 172.73467) + (xy 244.387957 172.735438) + (xy 244.360176 172.745687) + (xy 244.18522 172.810231) + (xy 244.185217 172.810232) + (xy 244.180957 172.811804) + (xy 244.177054 172.814126) + (xy 244.177052 172.814127) + (xy 244.157492 172.825764) + (xy 243.991341 172.924614) + (xy 243.987926 172.927609) + (xy 243.987923 172.927611) + (xy 243.880036 173.022225) + (xy 243.825457 173.07009) + (xy 243.822649 173.073652) + (xy 243.733788 173.186373) + (xy 243.688863 173.24336) + (xy 243.686749 173.247378) + (xy 243.666343 173.286164) + (xy 243.586131 173.43862) + (xy 243.584787 173.442949) + (xy 243.549368 173.557018) + (xy 243.520703 173.649333) + (xy 243.520169 173.653843) + (xy 243.520169 173.653844) + (xy 243.517039 173.680289) + (xy 243.49477 173.86844) + (xy 195.502743 173.86844) + (xy 195.485454 173.680289) + (xy 195.433429 173.495819) + (xy 195.426799 173.472311) + (xy 195.426798 173.47231) + (xy 195.425565 173.467936) + (xy 195.423557 173.463864) + (xy 195.423555 173.463859) + (xy 195.329988 173.274125) + (xy 195.32798 173.270053) + (xy 195.195967 173.093267) + (xy 195.167658 173.067098) + (xy 195.037279 172.946577) + (xy 195.037278 172.946576) + (xy 195.033949 172.943499) + (xy 194.975419 172.906569) + (xy 194.851187 172.828185) + (xy 194.84735 172.825764) + (xy 194.642421 172.744006) + (xy 194.426024 172.700962) + (xy 194.317347 172.699539) + (xy 194.209946 172.698133) + (xy 194.209941 172.698133) + (xy 194.205406 172.698074) + (xy 194.200933 172.698843) + (xy 194.200928 172.698843) + (xy 193.992435 172.734668) + (xy 193.992429 172.73467) + (xy 193.987957 172.735438) + (xy 193.960176 172.745687) + (xy 193.78522 172.810231) + (xy 193.785217 172.810232) + (xy 193.780957 172.811804) + (xy 193.777054 172.814126) + (xy 193.777052 172.814127) + (xy 193.757492 172.825764) + (xy 193.591341 172.924614) + (xy 193.587926 172.927609) + (xy 193.587923 172.927611) + (xy 193.480036 173.022225) + (xy 193.425457 173.07009) + (xy 193.422649 173.073652) + (xy 193.333788 173.186373) + (xy 193.288863 173.24336) + (xy 193.286749 173.247378) + (xy 193.266343 173.286164) + (xy 193.186131 173.43862) + (xy 193.184787 173.442949) + (xy 193.149368 173.557018) + (xy 193.120703 173.649333) + (xy 193.120169 173.653843) + (xy 193.120169 173.653844) + (xy 193.117039 173.680289) + (xy 193.09477 173.86844) + (xy 136.662141 173.86844) + (xy 136.662426 172.46844) + (xy 275.99477 172.46844) + (xy 276.0092 172.688604) + (xy 276.010316 172.692997) + (xy 276.010316 172.692999) + (xy 276.044649 172.828185) + (xy 276.063511 172.902452) + (xy 276.155883 173.102821) + (xy 276.283222 173.283002) + (xy 276.441264 173.436961) + (xy 276.624717 173.55954) + (xy 276.827436 173.646635) + (xy 276.905165 173.664223) + (xy 277.038206 173.694328) + (xy 277.038211 173.694329) + (xy 277.042632 173.695329) + (xy 277.152865 173.69966) + (xy 277.258565 173.703813) + (xy 277.258566 173.703813) + (xy 277.263098 173.703991) + (xy 277.481452 173.672331) + (xy 277.485751 173.670872) + (xy 277.485754 173.670871) + (xy 277.686078 173.60287) + (xy 277.690379 173.60141) + (xy 277.761944 173.561332) + (xy 277.878925 173.495819) + (xy 277.882884 173.493602) + (xy 278.052518 173.352518) + (xy 278.193602 173.182884) + (xy 278.30141 172.990379) + (xy 278.332749 172.898058) + (xy 278.370871 172.785754) + (xy 278.370872 172.785751) + (xy 278.372331 172.781452) + (xy 278.385156 172.692999) + (xy 278.403571 172.565997) + (xy 278.403571 172.565991) + (xy 278.403991 172.563098) + (xy 278.405643 172.5) + (xy 278.385454 172.280289) + (xy 278.350688 172.157018) + (xy 278.326799 172.072311) + (xy 278.326798 172.07231) + (xy 278.325565 172.067936) + (xy 278.323557 172.063864) + (xy 278.323555 172.063859) + (xy 278.229988 171.874125) + (xy 278.22798 171.870053) + (xy 278.095967 171.693267) + (xy 278.074435 171.673363) + (xy 277.937279 171.546577) + (xy 277.937278 171.546576) + (xy 277.933949 171.543499) + (xy 277.88668 171.513674) + (xy 277.751187 171.428185) + (xy 277.74735 171.425764) + (xy 277.542421 171.344006) + (xy 277.326024 171.300962) + (xy 277.217347 171.299539) + (xy 277.109946 171.298133) + (xy 277.109941 171.298133) + (xy 277.105406 171.298074) + (xy 277.100933 171.298843) + (xy 277.100928 171.298843) + (xy 276.892435 171.334668) + (xy 276.892429 171.33467) + (xy 276.887957 171.335438) + (xy 276.860176 171.345687) + (xy 276.68522 171.410231) + (xy 276.685217 171.410232) + (xy 276.680957 171.411804) + (xy 276.677054 171.414126) + (xy 276.677052 171.414127) + (xy 276.653423 171.428185) + (xy 276.491341 171.524614) + (xy 276.487926 171.527609) + (xy 276.487923 171.527611) + (xy 276.406865 171.598697) + (xy 276.325457 171.67009) + (xy 276.322649 171.673652) + (xy 276.233788 171.786373) + (xy 276.188863 171.84336) + (xy 276.186749 171.847378) + (xy 276.108785 171.995563) + (xy 276.086131 172.03862) + (xy 276.084787 172.042949) + (xy 276.037472 172.195329) + (xy 276.020703 172.249333) + (xy 276.020169 172.253843) + (xy 276.020169 172.253844) + (xy 276.017039 172.280289) + (xy 275.99477 172.46844) + (xy 136.662426 172.46844) + (xy 136.662711 171.06844) + (xy 156.99477 171.06844) + (xy 156.997719 171.113439) + (xy 157.008437 171.276955) + (xy 157.0092 171.288604) + (xy 157.010316 171.292997) + (xy 157.010316 171.292999) + (xy 157.044224 171.42651) + (xy 157.063511 171.502452) + (xy 157.155883 171.702821) + (xy 157.283222 171.883002) + (xy 157.441264 172.036961) + (xy 157.624717 172.15954) + (xy 157.827436 172.246635) + (xy 157.905165 172.264223) + (xy 158.038206 172.294328) + (xy 158.038211 172.294329) + (xy 158.042632 172.295329) + (xy 158.152865 172.29966) + (xy 158.258565 172.303813) + (xy 158.258566 172.303813) + (xy 158.263098 172.303991) + (xy 158.481452 172.272331) + (xy 158.485751 172.270872) + (xy 158.485754 172.270871) + (xy 158.686078 172.20287) + (xy 158.690379 172.20141) + (xy 158.701238 172.195329) + (xy 158.878925 172.095819) + (xy 158.882884 172.093602) + (xy 159.052518 171.952518) + (xy 159.193602 171.782884) + (xy 159.30141 171.590379) + (xy 159.334011 171.49434) + (xy 159.370871 171.385754) + (xy 159.370872 171.385751) + (xy 159.372331 171.381452) + (xy 159.385156 171.292999) + (xy 159.403571 171.165997) + (xy 159.403571 171.165991) + (xy 159.403991 171.163098) + (xy 159.40519 171.117325) + (xy 159.405567 171.102914) + (xy 159.405567 171.102909) + (xy 159.405643 171.1) + (xy 159.401984 171.060173) + (xy 159.386987 170.896975) + (xy 159.385454 170.880289) + (xy 159.358526 170.784809) + (xy 159.326799 170.672311) + (xy 159.326798 170.67231) + (xy 159.325565 170.667936) + (xy 159.323557 170.663864) + (xy 159.323555 170.663859) + (xy 159.229988 170.474125) + (xy 159.22798 170.470053) + (xy 159.095967 170.293267) + (xy 159.067658 170.267098) + (xy 158.937279 170.146577) + (xy 158.937278 170.146576) + (xy 158.933949 170.143499) + (xy 158.894598 170.11867) + (xy 158.751187 170.028185) + (xy 158.74735 170.025764) + (xy 158.542421 169.944006) + (xy 158.326024 169.900962) + (xy 158.217347 169.899539) + (xy 158.109946 169.898133) + (xy 158.109941 169.898133) + (xy 158.105406 169.898074) + (xy 158.100933 169.898843) + (xy 158.100928 169.898843) + (xy 157.892435 169.934668) + (xy 157.892429 169.93467) + (xy 157.887957 169.935438) + (xy 157.860176 169.945687) + (xy 157.68522 170.010231) + (xy 157.685217 170.010232) + (xy 157.680957 170.011804) + (xy 157.677054 170.014126) + (xy 157.677052 170.014127) + (xy 157.659425 170.024614) + (xy 157.491341 170.124614) + (xy 157.487926 170.127609) + (xy 157.487923 170.127611) + (xy 157.41657 170.190186) + (xy 157.325457 170.27009) + (xy 157.322649 170.273652) + (xy 157.243128 170.374525) + (xy 157.188863 170.44336) + (xy 157.086131 170.63862) + (xy 157.084787 170.642949) + (xy 157.037472 170.795329) + (xy 157.020703 170.849333) + (xy 157.020169 170.853843) + (xy 157.020169 170.853844) + (xy 156.995748 171.060173) + (xy 156.99477 171.06844) + (xy 136.662711 171.06844) + (xy 136.663016 169.56844) + (xy 175.09477 169.56844) + (xy 175.1092 169.788604) + (xy 175.110316 169.792997) + (xy 175.110316 169.792999) + (xy 175.144649 169.928185) + (xy 175.163511 170.002452) + (xy 175.255883 170.202821) + (xy 175.383222 170.383002) + (xy 175.541264 170.536961) + (xy 175.724717 170.65954) + (xy 175.927436 170.746635) + (xy 176.005165 170.764223) + (xy 176.138206 170.794328) + (xy 176.138211 170.794329) + (xy 176.142632 170.795329) + (xy 176.249734 170.799537) + (xy 176.358565 170.803813) + (xy 176.358566 170.803813) + (xy 176.363098 170.803991) + (xy 176.581452 170.772331) + (xy 176.585751 170.770872) + (xy 176.585754 170.770871) + (xy 176.786078 170.70287) + (xy 176.790379 170.70141) + (xy 176.844202 170.671268) + (xy 176.966745 170.60264) + (xy 176.982884 170.593602) + (xy 177.016474 170.565665) + (xy 204.095119 170.565665) + (xy 204.108376 170.79558) + (xy 204.159006 171.020242) + (xy 204.160535 171.024006) + (xy 204.160536 171.024011) + (xy 204.229155 171.192999) + (xy 204.245649 171.233618) + (xy 204.247773 171.237084) + (xy 204.247775 171.237088) + (xy 204.312753 171.343122) + (xy 204.365979 171.429978) + (xy 204.368638 171.433048) + (xy 204.368639 171.433049) + (xy 204.508354 171.59434) + (xy 204.516763 171.604048) + (xy 204.693953 171.751154) + (xy 204.89279 171.867345) + (xy 205.107934 171.949501) + (xy 205.111909 171.95031) + (xy 205.11191 171.95031) + (xy 205.329624 171.994604) + (xy 205.329628 171.994604) + (xy 205.333607 171.995414) + (xy 205.337667 171.995563) + (xy 205.337668 171.995563) + (xy 205.379459 171.997095) + (xy 205.563749 172.003853) + (xy 205.567767 172.003338) + (xy 205.567773 172.003338) + (xy 205.788146 171.975108) + (xy 205.788152 171.975107) + (xy 205.792178 171.974591) + (xy 205.796071 171.973423) + (xy 205.796076 171.973422) + (xy 205.954836 171.925791) + (xy 206.012761 171.908413) + (xy 206.219574 171.807096) + (xy 206.407062 171.673363) + (xy 206.57019 171.510803) + (xy 206.704577 171.323783) + (xy 206.806615 171.117325) + (xy 206.810994 171.102914) + (xy 206.87238 170.900869) + (xy 206.87238 170.900868) + (xy 206.873563 170.896975) + (xy 206.903622 170.668649) + (xy 206.903907 170.657018) + (xy 206.905235 170.60264) + (xy 206.9053 170.6) + (xy 206.904774 170.593602) + (xy 206.902477 170.565665) + (xy 208.095119 170.565665) + (xy 208.108376 170.79558) + (xy 208.159006 171.020242) + (xy 208.160535 171.024006) + (xy 208.160536 171.024011) + (xy 208.229155 171.192999) + (xy 208.245649 171.233618) + (xy 208.247773 171.237084) + (xy 208.247775 171.237088) + (xy 208.312753 171.343122) + (xy 208.365979 171.429978) + (xy 208.368638 171.433048) + (xy 208.368639 171.433049) + (xy 208.508354 171.59434) + (xy 208.516763 171.604048) + (xy 208.693953 171.751154) + (xy 208.89279 171.867345) + (xy 209.107934 171.949501) + (xy 209.111909 171.95031) + (xy 209.11191 171.95031) + (xy 209.329624 171.994604) + (xy 209.329628 171.994604) + (xy 209.333607 171.995414) + (xy 209.337667 171.995563) + (xy 209.337668 171.995563) + (xy 209.379459 171.997095) + (xy 209.563749 172.003853) + (xy 209.567767 172.003338) + (xy 209.567773 172.003338) + (xy 209.788146 171.975108) + (xy 209.788152 171.975107) + (xy 209.792178 171.974591) + (xy 209.796071 171.973423) + (xy 209.796076 171.973422) + (xy 209.954836 171.925791) + (xy 210.012761 171.908413) + (xy 210.219574 171.807096) + (xy 210.407062 171.673363) + (xy 210.57019 171.510803) + (xy 210.704577 171.323783) + (xy 210.806615 171.117325) + (xy 210.810994 171.102914) + (xy 210.87238 170.900869) + (xy 210.87238 170.900868) + (xy 210.873563 170.896975) + (xy 210.903622 170.668649) + (xy 210.903907 170.657018) + (xy 210.905235 170.60264) + (xy 210.9053 170.6) + (xy 210.904774 170.593602) + (xy 210.902477 170.565665) + (xy 212.095119 170.565665) + (xy 212.108376 170.79558) + (xy 212.159006 171.020242) + (xy 212.160535 171.024006) + (xy 212.160536 171.024011) + (xy 212.229155 171.192999) + (xy 212.245649 171.233618) + (xy 212.247773 171.237084) + (xy 212.247775 171.237088) + (xy 212.312753 171.343122) + (xy 212.365979 171.429978) + (xy 212.368638 171.433048) + (xy 212.368639 171.433049) + (xy 212.508354 171.59434) + (xy 212.516763 171.604048) + (xy 212.693953 171.751154) + (xy 212.89279 171.867345) + (xy 213.107934 171.949501) + (xy 213.111909 171.95031) + (xy 213.11191 171.95031) + (xy 213.329624 171.994604) + (xy 213.329628 171.994604) + (xy 213.333607 171.995414) + (xy 213.337667 171.995563) + (xy 213.337668 171.995563) + (xy 213.379459 171.997095) + (xy 213.563749 172.003853) + (xy 213.567767 172.003338) + (xy 213.567773 172.003338) + (xy 213.788146 171.975108) + (xy 213.788152 171.975107) + (xy 213.792178 171.974591) + (xy 213.796071 171.973423) + (xy 213.796076 171.973422) + (xy 213.954836 171.925791) + (xy 214.012761 171.908413) + (xy 214.219574 171.807096) + (xy 214.407062 171.673363) + (xy 214.57019 171.510803) + (xy 214.704577 171.323783) + (xy 214.806615 171.117325) + (xy 214.810994 171.102914) + (xy 214.87238 170.900869) + (xy 214.87238 170.900868) + (xy 214.873563 170.896975) + (xy 214.903622 170.668649) + (xy 214.903907 170.657018) + (xy 214.905235 170.60264) + (xy 214.9053 170.6) + (xy 214.904774 170.593602) + (xy 214.902477 170.565665) + (xy 216.095119 170.565665) + (xy 216.108376 170.79558) + (xy 216.159006 171.020242) + (xy 216.160535 171.024006) + (xy 216.160536 171.024011) + (xy 216.229155 171.192999) + (xy 216.245649 171.233618) + (xy 216.247773 171.237084) + (xy 216.247775 171.237088) + (xy 216.312753 171.343122) + (xy 216.365979 171.429978) + (xy 216.368638 171.433048) + (xy 216.368639 171.433049) + (xy 216.508354 171.59434) + (xy 216.516763 171.604048) + (xy 216.693953 171.751154) + (xy 216.89279 171.867345) + (xy 217.107934 171.949501) + (xy 217.111909 171.95031) + (xy 217.11191 171.95031) + (xy 217.329624 171.994604) + (xy 217.329628 171.994604) + (xy 217.333607 171.995414) + (xy 217.337667 171.995563) + (xy 217.337668 171.995563) + (xy 217.379459 171.997095) + (xy 217.563749 172.003853) + (xy 217.567767 172.003338) + (xy 217.567773 172.003338) + (xy 217.788146 171.975108) + (xy 217.788152 171.975107) + (xy 217.792178 171.974591) + (xy 217.796071 171.973423) + (xy 217.796076 171.973422) + (xy 217.954836 171.925791) + (xy 218.012761 171.908413) + (xy 218.219574 171.807096) + (xy 218.407062 171.673363) + (xy 218.57019 171.510803) + (xy 218.704577 171.323783) + (xy 218.806615 171.117325) + (xy 218.810994 171.102914) + (xy 218.87238 170.900869) + (xy 218.87238 170.900868) + (xy 218.873563 170.896975) + (xy 218.903622 170.668649) + (xy 218.903907 170.657018) + (xy 218.905235 170.60264) + (xy 218.9053 170.6) + (xy 218.904774 170.593602) + (xy 218.902477 170.565665) + (xy 220.095119 170.565665) + (xy 220.108376 170.79558) + (xy 220.159006 171.020242) + (xy 220.160535 171.024006) + (xy 220.160536 171.024011) + (xy 220.229155 171.192999) + (xy 220.245649 171.233618) + (xy 220.247773 171.237084) + (xy 220.247775 171.237088) + (xy 220.312753 171.343122) + (xy 220.365979 171.429978) + (xy 220.368638 171.433048) + (xy 220.368639 171.433049) + (xy 220.508354 171.59434) + (xy 220.516763 171.604048) + (xy 220.693953 171.751154) + (xy 220.89279 171.867345) + (xy 221.107934 171.949501) + (xy 221.111909 171.95031) + (xy 221.11191 171.95031) + (xy 221.329624 171.994604) + (xy 221.329628 171.994604) + (xy 221.333607 171.995414) + (xy 221.337667 171.995563) + (xy 221.337668 171.995563) + (xy 221.379459 171.997095) + (xy 221.563749 172.003853) + (xy 221.567767 172.003338) + (xy 221.567773 172.003338) + (xy 221.788146 171.975108) + (xy 221.788152 171.975107) + (xy 221.792178 171.974591) + (xy 221.796071 171.973423) + (xy 221.796076 171.973422) + (xy 221.954836 171.925791) + (xy 222.012761 171.908413) + (xy 222.219574 171.807096) + (xy 222.407062 171.673363) + (xy 222.57019 171.510803) + (xy 222.704577 171.323783) + (xy 222.806615 171.117325) + (xy 222.810994 171.102914) + (xy 222.87238 170.900869) + (xy 222.87238 170.900868) + (xy 222.873563 170.896975) + (xy 222.903622 170.668649) + (xy 222.903907 170.657018) + (xy 222.905235 170.60264) + (xy 222.9053 170.6) + (xy 222.904774 170.593602) + (xy 222.902477 170.565665) + (xy 224.095119 170.565665) + (xy 224.108376 170.79558) + (xy 224.159006 171.020242) + (xy 224.160535 171.024006) + (xy 224.160536 171.024011) + (xy 224.229155 171.192999) + (xy 224.245649 171.233618) + (xy 224.247773 171.237084) + (xy 224.247775 171.237088) + (xy 224.312753 171.343122) + (xy 224.365979 171.429978) + (xy 224.368638 171.433048) + (xy 224.368639 171.433049) + (xy 224.508354 171.59434) + (xy 224.516763 171.604048) + (xy 224.693953 171.751154) + (xy 224.89279 171.867345) + (xy 225.107934 171.949501) + (xy 225.111909 171.95031) + (xy 225.11191 171.95031) + (xy 225.329624 171.994604) + (xy 225.329628 171.994604) + (xy 225.333607 171.995414) + (xy 225.337667 171.995563) + (xy 225.337668 171.995563) + (xy 225.379459 171.997095) + (xy 225.563749 172.003853) + (xy 225.567767 172.003338) + (xy 225.567773 172.003338) + (xy 225.788146 171.975108) + (xy 225.788152 171.975107) + (xy 225.792178 171.974591) + (xy 225.796071 171.973423) + (xy 225.796076 171.973422) + (xy 225.954836 171.925791) + (xy 226.012761 171.908413) + (xy 226.219574 171.807096) + (xy 226.407062 171.673363) + (xy 226.57019 171.510803) + (xy 226.704577 171.323783) + (xy 226.806615 171.117325) + (xy 226.810994 171.102914) + (xy 226.87238 170.900869) + (xy 226.87238 170.900868) + (xy 226.873563 170.896975) + (xy 226.903622 170.668649) + (xy 226.903907 170.657018) + (xy 226.905235 170.60264) + (xy 226.9053 170.6) + (xy 226.904774 170.593602) + (xy 226.902477 170.565665) + (xy 228.095119 170.565665) + (xy 228.108376 170.79558) + (xy 228.159006 171.020242) + (xy 228.160535 171.024006) + (xy 228.160536 171.024011) + (xy 228.229155 171.192999) + (xy 228.245649 171.233618) + (xy 228.247773 171.237084) + (xy 228.247775 171.237088) + (xy 228.312753 171.343122) + (xy 228.365979 171.429978) + (xy 228.368638 171.433048) + (xy 228.368639 171.433049) + (xy 228.508354 171.59434) + (xy 228.516763 171.604048) + (xy 228.693953 171.751154) + (xy 228.89279 171.867345) + (xy 229.107934 171.949501) + (xy 229.111909 171.95031) + (xy 229.11191 171.95031) + (xy 229.329624 171.994604) + (xy 229.329628 171.994604) + (xy 229.333607 171.995414) + (xy 229.337667 171.995563) + (xy 229.337668 171.995563) + (xy 229.379459 171.997095) + (xy 229.563749 172.003853) + (xy 229.567767 172.003338) + (xy 229.567773 172.003338) + (xy 229.788146 171.975108) + (xy 229.788152 171.975107) + (xy 229.792178 171.974591) + (xy 229.796071 171.973423) + (xy 229.796076 171.973422) + (xy 229.954836 171.925791) + (xy 230.012761 171.908413) + (xy 230.219574 171.807096) + (xy 230.407062 171.673363) + (xy 230.57019 171.510803) + (xy 230.704577 171.323783) + (xy 230.806615 171.117325) + (xy 230.810994 171.102914) + (xy 230.87238 170.900869) + (xy 230.87238 170.900868) + (xy 230.873563 170.896975) + (xy 230.903622 170.668649) + (xy 230.903907 170.657018) + (xy 230.905235 170.60264) + (xy 230.9053 170.6) + (xy 230.904774 170.593602) + (xy 230.902477 170.565665) + (xy 232.095119 170.565665) + (xy 232.108376 170.79558) + (xy 232.159006 171.020242) + (xy 232.160535 171.024006) + (xy 232.160536 171.024011) + (xy 232.229155 171.192999) + (xy 232.245649 171.233618) + (xy 232.247773 171.237084) + (xy 232.247775 171.237088) + (xy 232.312753 171.343122) + (xy 232.365979 171.429978) + (xy 232.368638 171.433048) + (xy 232.368639 171.433049) + (xy 232.508354 171.59434) + (xy 232.516763 171.604048) + (xy 232.693953 171.751154) + (xy 232.89279 171.867345) + (xy 233.107934 171.949501) + (xy 233.111909 171.95031) + (xy 233.11191 171.95031) + (xy 233.329624 171.994604) + (xy 233.329628 171.994604) + (xy 233.333607 171.995414) + (xy 233.337667 171.995563) + (xy 233.337668 171.995563) + (xy 233.379459 171.997095) + (xy 233.563749 172.003853) + (xy 233.567767 172.003338) + (xy 233.567773 172.003338) + (xy 233.788146 171.975108) + (xy 233.788152 171.975107) + (xy 233.792178 171.974591) + (xy 233.796071 171.973423) + (xy 233.796076 171.973422) + (xy 233.954836 171.925791) + (xy 234.012761 171.908413) + (xy 234.219574 171.807096) + (xy 234.407062 171.673363) + (xy 234.57019 171.510803) + (xy 234.704577 171.323783) + (xy 234.806615 171.117325) + (xy 234.810994 171.102914) + (xy 234.85185 170.96844) + (xy 257.89477 170.96844) + (xy 257.897905 171.016277) + (xy 257.907337 171.160173) + (xy 257.9092 171.188604) + (xy 257.910316 171.192997) + (xy 257.910316 171.192999) + (xy 257.958178 171.381452) + (xy 257.963511 171.402452) + (xy 258.055883 171.602821) + (xy 258.183222 171.783002) + (xy 258.341264 171.936961) + (xy 258.524717 172.05954) + (xy 258.727436 172.146635) + (xy 258.784468 172.15954) + (xy 258.938206 172.194328) + (xy 258.938211 172.194329) + (xy 258.942632 172.195329) + (xy 259.052865 172.19966) + (xy 259.158565 172.203813) + (xy 259.158566 172.203813) + (xy 259.163098 172.203991) + (xy 259.381452 172.172331) + (xy 259.385751 172.170872) + (xy 259.385754 172.170871) + (xy 259.586078 172.10287) + (xy 259.590379 172.10141) + (xy 259.600363 172.095819) + (xy 259.7134 172.032515) + (xy 259.782884 171.993602) + (xy 259.952518 171.852518) + (xy 260.093602 171.682884) + (xy 260.169938 171.546577) + (xy 260.199192 171.49434) + (xy 260.199193 171.494338) + (xy 260.20141 171.490379) + (xy 260.251397 171.343122) + (xy 260.270871 171.285754) + (xy 260.270872 171.285751) + (xy 260.272331 171.281452) + (xy 260.285156 171.192999) + (xy 260.303571 171.065997) + (xy 260.303571 171.065991) + (xy 260.303991 171.063098) + (xy 260.305015 171.024011) + (xy 260.305567 171.002914) + (xy 260.305567 171.002909) + (xy 260.305643 171) + (xy 260.285454 170.780289) + (xy 260.262583 170.699192) + (xy 260.226799 170.572311) + (xy 260.226798 170.57231) + (xy 260.225565 170.567936) + (xy 260.223557 170.563864) + (xy 260.223555 170.563859) + (xy 260.129988 170.374125) + (xy 260.12798 170.370053) + (xy 259.995967 170.193267) + (xy 259.950314 170.151066) + (xy 259.837279 170.046577) + (xy 259.837278 170.046576) + (xy 259.833949 170.043499) + (xy 259.783716 170.011804) + (xy 259.651187 169.928185) + (xy 259.64735 169.925764) + (xy 259.442421 169.844006) + (xy 259.226024 169.800962) + (xy 259.117347 169.799539) + (xy 259.009946 169.798133) + (xy 259.009941 169.798133) + (xy 259.005406 169.798074) + (xy 259.000933 169.798843) + (xy 259.000928 169.798843) + (xy 258.792435 169.834668) + (xy 258.792429 169.83467) + (xy 258.787957 169.835438) + (xy 258.760176 169.845687) + (xy 258.58522 169.910231) + (xy 258.585217 169.910232) + (xy 258.580957 169.911804) + (xy 258.577054 169.914126) + (xy 258.577052 169.914127) + (xy 258.557492 169.925764) + (xy 258.391341 170.024614) + (xy 258.387926 170.027609) + (xy 258.387923 170.027611) + (xy 258.292762 170.111065) + (xy 258.225457 170.17009) + (xy 258.222649 170.173652) + (xy 258.094135 170.336673) + (xy 258.088863 170.34336) + (xy 258.086749 170.347378) + (xy 258.020064 170.474125) + (xy 257.986131 170.53862) + (xy 257.978294 170.563859) + (xy 257.949368 170.657018) + (xy 257.920703 170.749333) + (xy 257.920169 170.753843) + (xy 257.920169 170.753844) + (xy 257.895303 170.963936) + (xy 257.89477 170.96844) + (xy 234.85185 170.96844) + (xy 234.87238 170.900869) + (xy 234.87238 170.900868) + (xy 234.873563 170.896975) + (xy 234.903622 170.668649) + (xy 234.903907 170.657018) + (xy 234.905235 170.60264) + (xy 234.9053 170.6) + (xy 234.904774 170.593602) + (xy 234.886763 170.374525) + (xy 234.886762 170.374522) + (xy 234.88643 170.370478) + (xy 234.88541 170.366415) + (xy 234.831317 170.151066) + (xy 234.830326 170.14712) + (xy 234.767423 170.002452) + (xy 234.740118 169.939654) + (xy 234.740117 169.939652) + (xy 234.738496 169.935924) + (xy 234.613405 169.742563) + (xy 234.458412 169.572229) + (xy 234.455226 169.569713) + (xy 234.455223 169.56971) + (xy 234.280869 169.432013) + (xy 234.280863 169.432009) + (xy 234.277681 169.429496) + (xy 234.132466 169.349333) + (xy 234.079623 169.320162) + (xy 234.079622 169.320161) + (xy 234.076065 169.318198) + (xy 234.072234 169.316841) + (xy 234.072231 169.31684) + (xy 233.862814 169.242681) + (xy 233.862809 169.24268) + (xy 233.858978 169.241323) + (xy 233.63225 169.200937) + (xy 233.628204 169.200888) + (xy 233.628198 169.200887) + (xy 233.501094 169.199334) + (xy 233.401971 169.198123) + (xy 233.174325 169.232958) + (xy 233.056433 169.271491) + (xy 232.959281 169.303245) + (xy 232.959277 169.303247) + (xy 232.955424 169.304506) + (xy 232.951824 169.30638) + (xy 232.754754 169.408968) + (xy 232.754751 169.40897) + (xy 232.751149 169.410845) + (xy 232.566984 169.549119) + (xy 232.407877 169.715616) + (xy 232.405585 169.718976) + (xy 232.280389 169.902505) + (xy 232.280386 169.902511) + (xy 232.278099 169.905863) + (xy 232.181136 170.114752) + (xy 232.155684 170.206529) + (xy 232.13163 170.293267) + (xy 232.119592 170.336673) + (xy 232.119159 170.340721) + (xy 232.119159 170.340723) + (xy 232.115979 170.370478) + (xy 232.095119 170.565665) + (xy 230.902477 170.565665) + (xy 230.886763 170.374525) + (xy 230.886762 170.374522) + (xy 230.88643 170.370478) + (xy 230.88541 170.366415) + (xy 230.831317 170.151066) + (xy 230.830326 170.14712) + (xy 230.767423 170.002452) + (xy 230.740118 169.939654) + (xy 230.740117 169.939652) + (xy 230.738496 169.935924) + (xy 230.613405 169.742563) + (xy 230.458412 169.572229) + (xy 230.455226 169.569713) + (xy 230.455223 169.56971) + (xy 230.280869 169.432013) + (xy 230.280863 169.432009) + (xy 230.277681 169.429496) + (xy 230.132466 169.349333) + (xy 230.079623 169.320162) + (xy 230.079622 169.320161) + (xy 230.076065 169.318198) + (xy 230.072234 169.316841) + (xy 230.072231 169.31684) + (xy 229.862814 169.242681) + (xy 229.862809 169.24268) + (xy 229.858978 169.241323) + (xy 229.63225 169.200937) + (xy 229.628204 169.200888) + (xy 229.628198 169.200887) + (xy 229.501094 169.199334) + (xy 229.401971 169.198123) + (xy 229.174325 169.232958) + (xy 229.056433 169.271491) + (xy 228.959281 169.303245) + (xy 228.959277 169.303247) + (xy 228.955424 169.304506) + (xy 228.951824 169.30638) + (xy 228.754754 169.408968) + (xy 228.754751 169.40897) + (xy 228.751149 169.410845) + (xy 228.566984 169.549119) + (xy 228.407877 169.715616) + (xy 228.405585 169.718976) + (xy 228.280389 169.902505) + (xy 228.280386 169.902511) + (xy 228.278099 169.905863) + (xy 228.181136 170.114752) + (xy 228.155684 170.206529) + (xy 228.13163 170.293267) + (xy 228.119592 170.336673) + (xy 228.119159 170.340721) + (xy 228.119159 170.340723) + (xy 228.115979 170.370478) + (xy 228.095119 170.565665) + (xy 226.902477 170.565665) + (xy 226.886763 170.374525) + (xy 226.886762 170.374522) + (xy 226.88643 170.370478) + (xy 226.88541 170.366415) + (xy 226.831317 170.151066) + (xy 226.830326 170.14712) + (xy 226.767423 170.002452) + (xy 226.740118 169.939654) + (xy 226.740117 169.939652) + (xy 226.738496 169.935924) + (xy 226.613405 169.742563) + (xy 226.458412 169.572229) + (xy 226.455226 169.569713) + (xy 226.455223 169.56971) + (xy 226.280869 169.432013) + (xy 226.280863 169.432009) + (xy 226.277681 169.429496) + (xy 226.132466 169.349333) + (xy 226.079623 169.320162) + (xy 226.079622 169.320161) + (xy 226.076065 169.318198) + (xy 226.072234 169.316841) + (xy 226.072231 169.31684) + (xy 225.862814 169.242681) + (xy 225.862809 169.24268) + (xy 225.858978 169.241323) + (xy 225.63225 169.200937) + (xy 225.628204 169.200888) + (xy 225.628198 169.200887) + (xy 225.501094 169.199334) + (xy 225.401971 169.198123) + (xy 225.174325 169.232958) + (xy 225.056433 169.271491) + (xy 224.959281 169.303245) + (xy 224.959277 169.303247) + (xy 224.955424 169.304506) + (xy 224.951824 169.30638) + (xy 224.754754 169.408968) + (xy 224.754751 169.40897) + (xy 224.751149 169.410845) + (xy 224.566984 169.549119) + (xy 224.407877 169.715616) + (xy 224.405585 169.718976) + (xy 224.280389 169.902505) + (xy 224.280386 169.902511) + (xy 224.278099 169.905863) + (xy 224.181136 170.114752) + (xy 224.155684 170.206529) + (xy 224.13163 170.293267) + (xy 224.119592 170.336673) + (xy 224.119159 170.340721) + (xy 224.119159 170.340723) + (xy 224.115979 170.370478) + (xy 224.095119 170.565665) + (xy 222.902477 170.565665) + (xy 222.886763 170.374525) + (xy 222.886762 170.374522) + (xy 222.88643 170.370478) + (xy 222.88541 170.366415) + (xy 222.831317 170.151066) + (xy 222.830326 170.14712) + (xy 222.767423 170.002452) + (xy 222.740118 169.939654) + (xy 222.740117 169.939652) + (xy 222.738496 169.935924) + (xy 222.613405 169.742563) + (xy 222.458412 169.572229) + (xy 222.455226 169.569713) + (xy 222.455223 169.56971) + (xy 222.280869 169.432013) + (xy 222.280863 169.432009) + (xy 222.277681 169.429496) + (xy 222.132466 169.349333) + (xy 222.079623 169.320162) + (xy 222.079622 169.320161) + (xy 222.076065 169.318198) + (xy 222.072234 169.316841) + (xy 222.072231 169.31684) + (xy 221.862814 169.242681) + (xy 221.862809 169.24268) + (xy 221.858978 169.241323) + (xy 221.63225 169.200937) + (xy 221.628204 169.200888) + (xy 221.628198 169.200887) + (xy 221.501094 169.199334) + (xy 221.401971 169.198123) + (xy 221.174325 169.232958) + (xy 221.056433 169.271491) + (xy 220.959281 169.303245) + (xy 220.959277 169.303247) + (xy 220.955424 169.304506) + (xy 220.951824 169.30638) + (xy 220.754754 169.408968) + (xy 220.754751 169.40897) + (xy 220.751149 169.410845) + (xy 220.566984 169.549119) + (xy 220.407877 169.715616) + (xy 220.405585 169.718976) + (xy 220.280389 169.902505) + (xy 220.280386 169.902511) + (xy 220.278099 169.905863) + (xy 220.181136 170.114752) + (xy 220.155684 170.206529) + (xy 220.13163 170.293267) + (xy 220.119592 170.336673) + (xy 220.119159 170.340721) + (xy 220.119159 170.340723) + (xy 220.115979 170.370478) + (xy 220.095119 170.565665) + (xy 218.902477 170.565665) + (xy 218.886763 170.374525) + (xy 218.886762 170.374522) + (xy 218.88643 170.370478) + (xy 218.88541 170.366415) + (xy 218.831317 170.151066) + (xy 218.830326 170.14712) + (xy 218.767423 170.002452) + (xy 218.740118 169.939654) + (xy 218.740117 169.939652) + (xy 218.738496 169.935924) + (xy 218.613405 169.742563) + (xy 218.458412 169.572229) + (xy 218.455226 169.569713) + (xy 218.455223 169.56971) + (xy 218.280869 169.432013) + (xy 218.280863 169.432009) + (xy 218.277681 169.429496) + (xy 218.132466 169.349333) + (xy 218.079623 169.320162) + (xy 218.079622 169.320161) + (xy 218.076065 169.318198) + (xy 218.072234 169.316841) + (xy 218.072231 169.31684) + (xy 217.862814 169.242681) + (xy 217.862809 169.24268) + (xy 217.858978 169.241323) + (xy 217.63225 169.200937) + (xy 217.628204 169.200888) + (xy 217.628198 169.200887) + (xy 217.501094 169.199334) + (xy 217.401971 169.198123) + (xy 217.174325 169.232958) + (xy 217.056433 169.271491) + (xy 216.959281 169.303245) + (xy 216.959277 169.303247) + (xy 216.955424 169.304506) + (xy 216.951824 169.30638) + (xy 216.754754 169.408968) + (xy 216.754751 169.40897) + (xy 216.751149 169.410845) + (xy 216.566984 169.549119) + (xy 216.407877 169.715616) + (xy 216.405585 169.718976) + (xy 216.280389 169.902505) + (xy 216.280386 169.902511) + (xy 216.278099 169.905863) + (xy 216.181136 170.114752) + (xy 216.155684 170.206529) + (xy 216.13163 170.293267) + (xy 216.119592 170.336673) + (xy 216.119159 170.340721) + (xy 216.119159 170.340723) + (xy 216.115979 170.370478) + (xy 216.095119 170.565665) + (xy 214.902477 170.565665) + (xy 214.886763 170.374525) + (xy 214.886762 170.374522) + (xy 214.88643 170.370478) + (xy 214.88541 170.366415) + (xy 214.831317 170.151066) + (xy 214.830326 170.14712) + (xy 214.767423 170.002452) + (xy 214.740118 169.939654) + (xy 214.740117 169.939652) + (xy 214.738496 169.935924) + (xy 214.613405 169.742563) + (xy 214.458412 169.572229) + (xy 214.455226 169.569713) + (xy 214.455223 169.56971) + (xy 214.280869 169.432013) + (xy 214.280863 169.432009) + (xy 214.277681 169.429496) + (xy 214.132466 169.349333) + (xy 214.079623 169.320162) + (xy 214.079622 169.320161) + (xy 214.076065 169.318198) + (xy 214.072234 169.316841) + (xy 214.072231 169.31684) + (xy 213.862814 169.242681) + (xy 213.862809 169.24268) + (xy 213.858978 169.241323) + (xy 213.63225 169.200937) + (xy 213.628204 169.200888) + (xy 213.628198 169.200887) + (xy 213.501094 169.199334) + (xy 213.401971 169.198123) + (xy 213.174325 169.232958) + (xy 213.056433 169.271491) + (xy 212.959281 169.303245) + (xy 212.959277 169.303247) + (xy 212.955424 169.304506) + (xy 212.951824 169.30638) + (xy 212.754754 169.408968) + (xy 212.754751 169.40897) + (xy 212.751149 169.410845) + (xy 212.566984 169.549119) + (xy 212.407877 169.715616) + (xy 212.405585 169.718976) + (xy 212.280389 169.902505) + (xy 212.280386 169.902511) + (xy 212.278099 169.905863) + (xy 212.181136 170.114752) + (xy 212.155684 170.206529) + (xy 212.13163 170.293267) + (xy 212.119592 170.336673) + (xy 212.119159 170.340721) + (xy 212.119159 170.340723) + (xy 212.115979 170.370478) + (xy 212.095119 170.565665) + (xy 210.902477 170.565665) + (xy 210.886763 170.374525) + (xy 210.886762 170.374522) + (xy 210.88643 170.370478) + (xy 210.88541 170.366415) + (xy 210.831317 170.151066) + (xy 210.830326 170.14712) + (xy 210.767423 170.002452) + (xy 210.740118 169.939654) + (xy 210.740117 169.939652) + (xy 210.738496 169.935924) + (xy 210.613405 169.742563) + (xy 210.458412 169.572229) + (xy 210.455226 169.569713) + (xy 210.455223 169.56971) + (xy 210.280869 169.432013) + (xy 210.280863 169.432009) + (xy 210.277681 169.429496) + (xy 210.132466 169.349333) + (xy 210.079623 169.320162) + (xy 210.079622 169.320161) + (xy 210.076065 169.318198) + (xy 210.072234 169.316841) + (xy 210.072231 169.31684) + (xy 209.862814 169.242681) + (xy 209.862809 169.24268) + (xy 209.858978 169.241323) + (xy 209.63225 169.200937) + (xy 209.628204 169.200888) + (xy 209.628198 169.200887) + (xy 209.501094 169.199334) + (xy 209.401971 169.198123) + (xy 209.174325 169.232958) + (xy 209.056433 169.271491) + (xy 208.959281 169.303245) + (xy 208.959277 169.303247) + (xy 208.955424 169.304506) + (xy 208.951824 169.30638) + (xy 208.754754 169.408968) + (xy 208.754751 169.40897) + (xy 208.751149 169.410845) + (xy 208.566984 169.549119) + (xy 208.407877 169.715616) + (xy 208.405585 169.718976) + (xy 208.280389 169.902505) + (xy 208.280386 169.902511) + (xy 208.278099 169.905863) + (xy 208.181136 170.114752) + (xy 208.155684 170.206529) + (xy 208.13163 170.293267) + (xy 208.119592 170.336673) + (xy 208.119159 170.340721) + (xy 208.119159 170.340723) + (xy 208.115979 170.370478) + (xy 208.095119 170.565665) + (xy 206.902477 170.565665) + (xy 206.886763 170.374525) + (xy 206.886762 170.374522) + (xy 206.88643 170.370478) + (xy 206.88541 170.366415) + (xy 206.831317 170.151066) + (xy 206.830326 170.14712) + (xy 206.767423 170.002452) + (xy 206.740118 169.939654) + (xy 206.740117 169.939652) + (xy 206.738496 169.935924) + (xy 206.613405 169.742563) + (xy 206.458412 169.572229) + (xy 206.455226 169.569713) + (xy 206.455223 169.56971) + (xy 206.280869 169.432013) + (xy 206.280863 169.432009) + (xy 206.277681 169.429496) + (xy 206.132466 169.349333) + (xy 206.079623 169.320162) + (xy 206.079622 169.320161) + (xy 206.076065 169.318198) + (xy 206.072234 169.316841) + (xy 206.072231 169.31684) + (xy 205.862814 169.242681) + (xy 205.862809 169.24268) + (xy 205.858978 169.241323) + (xy 205.63225 169.200937) + (xy 205.628204 169.200888) + (xy 205.628198 169.200887) + (xy 205.501094 169.199334) + (xy 205.401971 169.198123) + (xy 205.174325 169.232958) + (xy 205.056433 169.271491) + (xy 204.959281 169.303245) + (xy 204.959277 169.303247) + (xy 204.955424 169.304506) + (xy 204.951824 169.30638) + (xy 204.754754 169.408968) + (xy 204.754751 169.40897) + (xy 204.751149 169.410845) + (xy 204.566984 169.549119) + (xy 204.407877 169.715616) + (xy 204.405585 169.718976) + (xy 204.280389 169.902505) + (xy 204.280386 169.902511) + (xy 204.278099 169.905863) + (xy 204.181136 170.114752) + (xy 204.155684 170.206529) + (xy 204.13163 170.293267) + (xy 204.119592 170.336673) + (xy 204.119159 170.340721) + (xy 204.119159 170.340723) + (xy 204.115979 170.370478) + (xy 204.095119 170.565665) + (xy 177.016474 170.565665) + (xy 177.152518 170.452518) + (xy 177.293602 170.282884) + (xy 177.369938 170.146577) + (xy 177.399192 170.09434) + (xy 177.399193 170.094338) + (xy 177.40141 170.090379) + (xy 177.452574 169.939654) + (xy 177.470871 169.885754) + (xy 177.470872 169.885751) + (xy 177.472331 169.881452) + (xy 177.485156 169.792999) + (xy 177.503571 169.665997) + (xy 177.503571 169.665991) + (xy 177.503991 169.663098) + (xy 177.505643 169.6) + (xy 177.485454 169.380289) + (xy 177.443903 169.232958) + (xy 177.426799 169.172311) + (xy 177.426798 169.17231) + (xy 177.425565 169.167936) + (xy 177.423557 169.163864) + (xy 177.423555 169.163859) + (xy 177.329988 168.974125) + (xy 177.32798 168.970053) + (xy 177.195967 168.793267) + (xy 177.158247 168.758399) + (xy 177.037279 168.646577) + (xy 177.037278 168.646576) + (xy 177.033949 168.643499) + (xy 176.84735 168.525764) + (xy 176.642421 168.444006) + (xy 176.426024 168.400962) + (xy 176.317347 168.399539) + (xy 176.209946 168.398133) + (xy 176.209941 168.398133) + (xy 176.205406 168.398074) + (xy 176.200933 168.398843) + (xy 176.200928 168.398843) + (xy 175.992435 168.434668) + (xy 175.992429 168.43467) + (xy 175.987957 168.435438) + (xy 175.960176 168.445687) + (xy 175.78522 168.510231) + (xy 175.785217 168.510232) + (xy 175.780957 168.511804) + (xy 175.777054 168.514126) + (xy 175.777052 168.514127) + (xy 175.757492 168.525764) + (xy 175.591341 168.624614) + (xy 175.587926 168.627609) + (xy 175.587923 168.627611) + (xy 175.563266 168.649235) + (xy 175.425457 168.77009) + (xy 175.288863 168.94336) + (xy 175.186131 169.13862) + (xy 175.184787 169.142949) + (xy 175.130371 169.318198) + (xy 175.120703 169.349333) + (xy 175.120169 169.353843) + (xy 175.120169 169.353844) + (xy 175.113134 169.413286) + (xy 175.09477 169.56844) + (xy 136.663016 169.56844) + (xy 136.663661 166.4) + (xy 163.369474 166.4) + (xy 163.369779 166.403875) + (xy 163.38915 166.650011) + (xy 163.389548 166.65507) + (xy 163.449278 166.90386) + (xy 163.547191 167.140243) + (xy 163.680877 167.358399) + (xy 163.847044 167.552956) + (xy 164.041601 167.719123) + (xy 164.259757 167.852809) + (xy 164.49614 167.950722) + (xy 164.499915 167.951628) + (xy 164.499917 167.951629) + (xy 164.633099 167.983603) + (xy 164.74493 168.010452) + (xy 164.748795 168.010756) + (xy 164.7488 168.010757) + (xy 164.996125 168.030221) + (xy 165 168.030526) + (xy 165.003875 168.030221) + (xy 165.2512 168.010757) + (xy 165.251205 168.010756) + (xy 165.25507 168.010452) + (xy 165.366901 167.983603) + (xy 165.500083 167.951629) + (xy 165.500085 167.951628) + (xy 165.50386 167.950722) + (xy 165.740243 167.852809) + (xy 165.958399 167.719123) + (xy 166.152956 167.552956) + (xy 166.319123 167.358399) + (xy 166.452809 167.140243) + (xy 166.469478 167.1) + (xy 197.369474 167.1) + (xy 197.369779 167.103875) + (xy 197.387346 167.327085) + (xy 197.389548 167.35507) + (xy 197.407532 167.429978) + (xy 197.436345 167.549989) + (xy 197.449278 167.60386) + (xy 197.547191 167.840243) + (xy 197.680877 168.058399) + (xy 197.847044 168.252956) + (xy 197.850011 168.25549) + (xy 198.021375 168.401848) + (xy 198.041601 168.419123) + (xy 198.259757 168.552809) + (xy 198.49614 168.650722) + (xy 198.499915 168.651628) + (xy 198.499917 168.651629) + (xy 198.633099 168.683603) + (xy 198.74493 168.710452) + (xy 198.748795 168.710756) + (xy 198.7488 168.710757) + (xy 198.996125 168.730221) + (xy 199 168.730526) + (xy 199.003875 168.730221) + (xy 199.2512 168.710757) + (xy 199.251205 168.710756) + (xy 199.25507 168.710452) + (xy 199.366901 168.683603) + (xy 199.500083 168.651629) + (xy 199.500085 168.651628) + (xy 199.50386 168.650722) + (xy 199.740243 168.552809) + (xy 199.958399 168.419123) + (xy 199.978626 168.401848) + (xy 200.149989 168.25549) + (xy 200.152956 168.252956) + (xy 200.319123 168.058399) + (xy 200.452809 167.840243) + (xy 200.550722 167.60386) + (xy 200.563656 167.549989) + (xy 200.592468 167.429978) + (xy 200.610452 167.35507) + (xy 200.612655 167.327085) + (xy 200.630221 167.103875) + (xy 200.630526 167.1) + (xy 200.623937 167.016277) + (xy 200.610757 166.8488) + (xy 200.610756 166.848795) + (xy 200.610452 166.84493) + (xy 200.563941 166.6512) + (xy 200.551629 166.599917) + (xy 200.551628 166.599915) + (xy 200.550722 166.59614) + (xy 200.538099 166.565665) + (xy 206.095119 166.565665) + (xy 206.108376 166.79558) + (xy 206.159006 167.020242) + (xy 206.160535 167.024006) + (xy 206.160536 167.024011) + (xy 206.175051 167.059757) + (xy 206.245649 167.233618) + (xy 206.247773 167.237084) + (xy 206.247775 167.237088) + (xy 206.286277 167.299917) + (xy 206.365979 167.429978) + (xy 206.516763 167.604048) + (xy 206.693953 167.751154) + (xy 206.89279 167.867345) + (xy 207.107934 167.949501) + (xy 207.111909 167.95031) + (xy 207.11191 167.95031) + (xy 207.329624 167.994604) + (xy 207.329628 167.994604) + (xy 207.333607 167.995414) + (xy 207.337667 167.995563) + (xy 207.337668 167.995563) + (xy 207.379459 167.997095) + (xy 207.563749 168.003853) + (xy 207.567767 168.003338) + (xy 207.567773 168.003338) + (xy 207.788146 167.975108) + (xy 207.788152 167.975107) + (xy 207.792178 167.974591) + (xy 207.796071 167.973423) + (xy 207.796076 167.973422) + (xy 207.954836 167.925791) + (xy 208.012761 167.908413) + (xy 208.219574 167.807096) + (xy 208.407062 167.673363) + (xy 208.57019 167.510803) + (xy 208.704577 167.323783) + (xy 208.806615 167.117325) + (xy 208.810702 167.103875) + (xy 208.87238 166.900869) + (xy 208.87238 166.900868) + (xy 208.873563 166.896975) + (xy 208.880912 166.841157) + (xy 208.903277 166.671268) + (xy 208.903622 166.668649) + (xy 208.9053 166.6) + (xy 208.902477 166.565665) + (xy 210.095119 166.565665) + (xy 210.108376 166.79558) + (xy 210.159006 167.020242) + (xy 210.160535 167.024006) + (xy 210.160536 167.024011) + (xy 210.175051 167.059757) + (xy 210.245649 167.233618) + (xy 210.247773 167.237084) + (xy 210.247775 167.237088) + (xy 210.286277 167.299917) + (xy 210.365979 167.429978) + (xy 210.516763 167.604048) + (xy 210.693953 167.751154) + (xy 210.89279 167.867345) + (xy 211.107934 167.949501) + (xy 211.111909 167.95031) + (xy 211.11191 167.95031) + (xy 211.329624 167.994604) + (xy 211.329628 167.994604) + (xy 211.333607 167.995414) + (xy 211.337667 167.995563) + (xy 211.337668 167.995563) + (xy 211.379459 167.997095) + (xy 211.563749 168.003853) + (xy 211.567767 168.003338) + (xy 211.567773 168.003338) + (xy 211.788146 167.975108) + (xy 211.788152 167.975107) + (xy 211.792178 167.974591) + (xy 211.796071 167.973423) + (xy 211.796076 167.973422) + (xy 211.954836 167.925791) + (xy 212.012761 167.908413) + (xy 212.219574 167.807096) + (xy 212.407062 167.673363) + (xy 212.57019 167.510803) + (xy 212.704577 167.323783) + (xy 212.806615 167.117325) + (xy 212.810702 167.103875) + (xy 212.87238 166.900869) + (xy 212.87238 166.900868) + (xy 212.873563 166.896975) + (xy 212.880912 166.841157) + (xy 212.903277 166.671268) + (xy 212.903622 166.668649) + (xy 212.9053 166.6) + (xy 212.902477 166.565665) + (xy 214.095119 166.565665) + (xy 214.108376 166.79558) + (xy 214.159006 167.020242) + (xy 214.160535 167.024006) + (xy 214.160536 167.024011) + (xy 214.175051 167.059757) + (xy 214.245649 167.233618) + (xy 214.247773 167.237084) + (xy 214.247775 167.237088) + (xy 214.286277 167.299917) + (xy 214.365979 167.429978) + (xy 214.516763 167.604048) + (xy 214.693953 167.751154) + (xy 214.89279 167.867345) + (xy 215.107934 167.949501) + (xy 215.111909 167.95031) + (xy 215.11191 167.95031) + (xy 215.329624 167.994604) + (xy 215.329628 167.994604) + (xy 215.333607 167.995414) + (xy 215.337667 167.995563) + (xy 215.337668 167.995563) + (xy 215.379459 167.997095) + (xy 215.563749 168.003853) + (xy 215.567767 168.003338) + (xy 215.567773 168.003338) + (xy 215.788146 167.975108) + (xy 215.788152 167.975107) + (xy 215.792178 167.974591) + (xy 215.796071 167.973423) + (xy 215.796076 167.973422) + (xy 215.954836 167.925791) + (xy 216.012761 167.908413) + (xy 216.219574 167.807096) + (xy 216.407062 167.673363) + (xy 216.57019 167.510803) + (xy 216.704577 167.323783) + (xy 216.806615 167.117325) + (xy 216.810702 167.103875) + (xy 216.87238 166.900869) + (xy 216.87238 166.900868) + (xy 216.873563 166.896975) + (xy 216.880912 166.841157) + (xy 216.903277 166.671268) + (xy 216.903622 166.668649) + (xy 216.9053 166.6) + (xy 216.902477 166.565665) + (xy 218.095119 166.565665) + (xy 218.108376 166.79558) + (xy 218.159006 167.020242) + (xy 218.160535 167.024006) + (xy 218.160536 167.024011) + (xy 218.175051 167.059757) + (xy 218.245649 167.233618) + (xy 218.247773 167.237084) + (xy 218.247775 167.237088) + (xy 218.286277 167.299917) + (xy 218.365979 167.429978) + (xy 218.516763 167.604048) + (xy 218.693953 167.751154) + (xy 218.89279 167.867345) + (xy 219.107934 167.949501) + (xy 219.111909 167.95031) + (xy 219.11191 167.95031) + (xy 219.329624 167.994604) + (xy 219.329628 167.994604) + (xy 219.333607 167.995414) + (xy 219.337667 167.995563) + (xy 219.337668 167.995563) + (xy 219.379459 167.997095) + (xy 219.563749 168.003853) + (xy 219.567767 168.003338) + (xy 219.567773 168.003338) + (xy 219.788146 167.975108) + (xy 219.788152 167.975107) + (xy 219.792178 167.974591) + (xy 219.796071 167.973423) + (xy 219.796076 167.973422) + (xy 219.954836 167.925791) + (xy 220.012761 167.908413) + (xy 220.219574 167.807096) + (xy 220.407062 167.673363) + (xy 220.57019 167.510803) + (xy 220.704577 167.323783) + (xy 220.806615 167.117325) + (xy 220.810702 167.103875) + (xy 220.87238 166.900869) + (xy 220.87238 166.900868) + (xy 220.873563 166.896975) + (xy 220.880912 166.841157) + (xy 220.903277 166.671268) + (xy 220.903622 166.668649) + (xy 220.9053 166.6) + (xy 220.902477 166.565665) + (xy 222.095119 166.565665) + (xy 222.108376 166.79558) + (xy 222.159006 167.020242) + (xy 222.160535 167.024006) + (xy 222.160536 167.024011) + (xy 222.175051 167.059757) + (xy 222.245649 167.233618) + (xy 222.247773 167.237084) + (xy 222.247775 167.237088) + (xy 222.286277 167.299917) + (xy 222.365979 167.429978) + (xy 222.516763 167.604048) + (xy 222.693953 167.751154) + (xy 222.89279 167.867345) + (xy 223.107934 167.949501) + (xy 223.111909 167.95031) + (xy 223.11191 167.95031) + (xy 223.329624 167.994604) + (xy 223.329628 167.994604) + (xy 223.333607 167.995414) + (xy 223.337667 167.995563) + (xy 223.337668 167.995563) + (xy 223.379459 167.997095) + (xy 223.563749 168.003853) + (xy 223.567767 168.003338) + (xy 223.567773 168.003338) + (xy 223.788146 167.975108) + (xy 223.788152 167.975107) + (xy 223.792178 167.974591) + (xy 223.796071 167.973423) + (xy 223.796076 167.973422) + (xy 223.954836 167.925791) + (xy 224.012761 167.908413) + (xy 224.219574 167.807096) + (xy 224.407062 167.673363) + (xy 224.57019 167.510803) + (xy 224.704577 167.323783) + (xy 224.806615 167.117325) + (xy 224.810702 167.103875) + (xy 224.87238 166.900869) + (xy 224.87238 166.900868) + (xy 224.873563 166.896975) + (xy 224.880912 166.841157) + (xy 224.903277 166.671268) + (xy 224.903622 166.668649) + (xy 224.9053 166.6) + (xy 224.902477 166.565665) + (xy 226.095119 166.565665) + (xy 226.108376 166.79558) + (xy 226.159006 167.020242) + (xy 226.160535 167.024006) + (xy 226.160536 167.024011) + (xy 226.175051 167.059757) + (xy 226.245649 167.233618) + (xy 226.247773 167.237084) + (xy 226.247775 167.237088) + (xy 226.286277 167.299917) + (xy 226.365979 167.429978) + (xy 226.516763 167.604048) + (xy 226.693953 167.751154) + (xy 226.89279 167.867345) + (xy 227.107934 167.949501) + (xy 227.111909 167.95031) + (xy 227.11191 167.95031) + (xy 227.329624 167.994604) + (xy 227.329628 167.994604) + (xy 227.333607 167.995414) + (xy 227.337667 167.995563) + (xy 227.337668 167.995563) + (xy 227.379459 167.997095) + (xy 227.563749 168.003853) + (xy 227.567767 168.003338) + (xy 227.567773 168.003338) + (xy 227.788146 167.975108) + (xy 227.788152 167.975107) + (xy 227.792178 167.974591) + (xy 227.796071 167.973423) + (xy 227.796076 167.973422) + (xy 227.954836 167.925791) + (xy 228.012761 167.908413) + (xy 228.219574 167.807096) + (xy 228.407062 167.673363) + (xy 228.57019 167.510803) + (xy 228.704577 167.323783) + (xy 228.806615 167.117325) + (xy 228.810702 167.103875) + (xy 228.87238 166.900869) + (xy 228.87238 166.900868) + (xy 228.873563 166.896975) + (xy 228.880912 166.841157) + (xy 228.903277 166.671268) + (xy 228.903622 166.668649) + (xy 228.9053 166.6) + (xy 228.902477 166.565665) + (xy 230.095119 166.565665) + (xy 230.108376 166.79558) + (xy 230.159006 167.020242) + (xy 230.160535 167.024006) + (xy 230.160536 167.024011) + (xy 230.175051 167.059757) + (xy 230.245649 167.233618) + (xy 230.247773 167.237084) + (xy 230.247775 167.237088) + (xy 230.286277 167.299917) + (xy 230.365979 167.429978) + (xy 230.516763 167.604048) + (xy 230.693953 167.751154) + (xy 230.89279 167.867345) + (xy 231.107934 167.949501) + (xy 231.111909 167.95031) + (xy 231.11191 167.95031) + (xy 231.329624 167.994604) + (xy 231.329628 167.994604) + (xy 231.333607 167.995414) + (xy 231.337667 167.995563) + (xy 231.337668 167.995563) + (xy 231.379459 167.997095) + (xy 231.563749 168.003853) + (xy 231.567767 168.003338) + (xy 231.567773 168.003338) + (xy 231.788146 167.975108) + (xy 231.788152 167.975107) + (xy 231.792178 167.974591) + (xy 231.796071 167.973423) + (xy 231.796076 167.973422) + (xy 231.954836 167.925791) + (xy 232.012761 167.908413) + (xy 232.219574 167.807096) + (xy 232.407062 167.673363) + (xy 232.57019 167.510803) + (xy 232.704577 167.323783) + (xy 232.806615 167.117325) + (xy 232.810702 167.103875) + (xy 232.811879 167.1) + (xy 238.369474 167.1) + (xy 238.369779 167.103875) + (xy 238.387346 167.327085) + (xy 238.389548 167.35507) + (xy 238.407532 167.429978) + (xy 238.436345 167.549989) + (xy 238.449278 167.60386) + (xy 238.547191 167.840243) + (xy 238.680877 168.058399) + (xy 238.847044 168.252956) + (xy 238.850011 168.25549) + (xy 239.021375 168.401848) + (xy 239.041601 168.419123) + (xy 239.259757 168.552809) + (xy 239.49614 168.650722) + (xy 239.499915 168.651628) + (xy 239.499917 168.651629) + (xy 239.633099 168.683603) + (xy 239.74493 168.710452) + (xy 239.748795 168.710756) + (xy 239.7488 168.710757) + (xy 239.996125 168.730221) + (xy 240 168.730526) + (xy 240.003875 168.730221) + (xy 240.2512 168.710757) + (xy 240.251205 168.710756) + (xy 240.25507 168.710452) + (xy 240.366901 168.683603) + (xy 240.500083 168.651629) + (xy 240.500085 168.651628) + (xy 240.50386 168.650722) + (xy 240.740243 168.552809) + (xy 240.958399 168.419123) + (xy 240.978626 168.401848) + (xy 241.149989 168.25549) + (xy 241.152956 168.252956) + (xy 241.319123 168.058399) + (xy 241.452809 167.840243) + (xy 241.469478 167.8) + (xy 268.769474 167.8) + (xy 268.769779 167.803875) + (xy 268.786061 168.010757) + (xy 268.789548 168.05507) + (xy 268.790454 168.058843) + (xy 268.836345 168.249989) + (xy 268.849278 168.30386) + (xy 268.947191 168.540243) + (xy 269.080877 168.758399) + (xy 269.247044 168.952956) + (xy 269.441601 169.119123) + (xy 269.659757 169.252809) + (xy 269.89614 169.350722) + (xy 269.899915 169.351628) + (xy 269.899917 169.351629) + (xy 270.001083 169.375917) + (xy 270.14493 169.410452) + (xy 270.148795 169.410756) + (xy 270.1488 169.410757) + (xy 270.361969 169.427533) + (xy 270.386913 169.429496) + (xy 270.396125 169.430221) + (xy 270.4 169.430526) + (xy 270.403875 169.430221) + (xy 270.413088 169.429496) + (xy 270.438031 169.427533) + (xy 270.6512 169.410757) + (xy 270.651205 169.410756) + (xy 270.65507 169.410452) + (xy 270.798917 169.375917) + (xy 270.900083 169.351629) + (xy 270.900085 169.351628) + (xy 270.90386 169.350722) + (xy 271.140243 169.252809) + (xy 271.358399 169.119123) + (xy 271.552956 168.952956) + (xy 271.719123 168.758399) + (xy 271.852809 168.540243) + (xy 271.950722 168.30386) + (xy 271.963656 168.249989) + (xy 272.009546 168.058843) + (xy 272.010452 168.05507) + (xy 272.01394 168.010757) + (xy 272.030221 167.803875) + (xy 272.030526 167.8) + (xy 272.020334 167.670496) + (xy 272.010757 167.5488) + (xy 272.010756 167.548795) + (xy 272.010452 167.54493) + (xy 271.982854 167.429978) + (xy 271.951629 167.299917) + (xy 271.951628 167.299915) + (xy 271.950722 167.29614) + (xy 271.852809 167.059757) + (xy 271.719123 166.841601) + (xy 271.552956 166.647044) + (xy 271.358399 166.480877) + (xy 271.140243 166.347191) + (xy 270.90386 166.249278) + (xy 270.900085 166.248372) + (xy 270.900083 166.248371) + (xy 270.766901 166.216397) + (xy 270.65507 166.189548) + (xy 270.651205 166.189244) + (xy 270.6512 166.189243) + (xy 270.403875 166.169779) + (xy 270.4 166.169474) + (xy 270.396125 166.169779) + (xy 270.1488 166.189243) + (xy 270.148795 166.189244) + (xy 270.14493 166.189548) + (xy 270.033099 166.216397) + (xy 269.899917 166.248371) + (xy 269.899915 166.248372) + (xy 269.89614 166.249278) + (xy 269.659757 166.347191) + (xy 269.441601 166.480877) + (xy 269.247044 166.647044) + (xy 269.080877 166.841601) + (xy 268.947191 167.059757) + (xy 268.849278 167.29614) + (xy 268.848372 167.299915) + (xy 268.848371 167.299917) + (xy 268.817146 167.429978) + (xy 268.789548 167.54493) + (xy 268.789244 167.548795) + (xy 268.789243 167.5488) + (xy 268.779666 167.670496) + (xy 268.769474 167.8) + (xy 241.469478 167.8) + (xy 241.550722 167.60386) + (xy 241.563656 167.549989) + (xy 241.592468 167.429978) + (xy 241.610452 167.35507) + (xy 241.612655 167.327085) + (xy 241.630221 167.103875) + (xy 241.630526 167.1) + (xy 241.623937 167.016277) + (xy 241.610757 166.8488) + (xy 241.610756 166.848795) + (xy 241.610452 166.84493) + (xy 241.563941 166.6512) + (xy 241.551629 166.599917) + (xy 241.551628 166.599915) + (xy 241.550722 166.59614) + (xy 241.452809 166.359757) + (xy 241.319123 166.141601) + (xy 241.152956 165.947044) + (xy 240.958399 165.780877) + (xy 240.740243 165.647191) + (xy 240.50386 165.549278) + (xy 240.500085 165.548372) + (xy 240.500083 165.548371) + (xy 240.366901 165.516397) + (xy 240.25507 165.489548) + (xy 240.251205 165.489244) + (xy 240.2512 165.489243) + (xy 240.003875 165.469779) + (xy 240 165.469474) + (xy 239.996125 165.469779) + (xy 239.7488 165.489243) + (xy 239.748795 165.489244) + (xy 239.74493 165.489548) + (xy 239.633099 165.516397) + (xy 239.499917 165.548371) + (xy 239.499915 165.548372) + (xy 239.49614 165.549278) + (xy 239.259757 165.647191) + (xy 239.041601 165.780877) + (xy 238.847044 165.947044) + (xy 238.680877 166.141601) + (xy 238.547191 166.359757) + (xy 238.449278 166.59614) + (xy 238.448372 166.599915) + (xy 238.448371 166.599917) + (xy 238.436059 166.6512) + (xy 238.389548 166.84493) + (xy 238.389244 166.848795) + (xy 238.389243 166.8488) + (xy 238.376063 167.016277) + (xy 238.369474 167.1) + (xy 232.811879 167.1) + (xy 232.87238 166.900869) + (xy 232.87238 166.900868) + (xy 232.873563 166.896975) + (xy 232.880912 166.841157) + (xy 232.903277 166.671268) + (xy 232.903622 166.668649) + (xy 232.9053 166.6) + (xy 232.895714 166.483405) + (xy 232.886763 166.374525) + (xy 232.886762 166.374522) + (xy 232.88643 166.370478) + (xy 232.882904 166.356438) + (xy 232.840907 166.189243) + (xy 232.830326 166.14712) + (xy 232.738496 165.935924) + (xy 232.613405 165.742563) + (xy 232.458412 165.572229) + (xy 232.455226 165.569713) + (xy 232.455223 165.56971) + (xy 232.280869 165.432013) + (xy 232.280863 165.432009) + (xy 232.277681 165.429496) + (xy 232.076065 165.318198) + (xy 232.072234 165.316841) + (xy 232.072231 165.31684) + (xy 231.862814 165.242681) + (xy 231.862809 165.24268) + (xy 231.858978 165.241323) + (xy 231.63225 165.200937) + (xy 231.628204 165.200888) + (xy 231.628198 165.200887) + (xy 231.501094 165.199334) + (xy 231.401971 165.198123) + (xy 231.174325 165.232958) + (xy 231.056433 165.271491) + (xy 230.959281 165.303245) + (xy 230.959277 165.303247) + (xy 230.955424 165.304506) + (xy 230.951824 165.30638) + (xy 230.754754 165.408968) + (xy 230.754751 165.40897) + (xy 230.751149 165.410845) + (xy 230.566984 165.549119) + (xy 230.407877 165.715616) + (xy 230.405585 165.718976) + (xy 230.280389 165.902505) + (xy 230.280386 165.902511) + (xy 230.278099 165.905863) + (xy 230.181136 166.114752) + (xy 230.119592 166.336673) + (xy 230.119159 166.340721) + (xy 230.119159 166.340723) + (xy 230.11748 166.356438) + (xy 230.095119 166.565665) + (xy 228.902477 166.565665) + (xy 228.895714 166.483405) + (xy 228.886763 166.374525) + (xy 228.886762 166.374522) + (xy 228.88643 166.370478) + (xy 228.882904 166.356438) + (xy 228.840907 166.189243) + (xy 228.830326 166.14712) + (xy 228.738496 165.935924) + (xy 228.613405 165.742563) + (xy 228.458412 165.572229) + (xy 228.455226 165.569713) + (xy 228.455223 165.56971) + (xy 228.280869 165.432013) + (xy 228.280863 165.432009) + (xy 228.277681 165.429496) + (xy 228.076065 165.318198) + (xy 228.072234 165.316841) + (xy 228.072231 165.31684) + (xy 227.862814 165.242681) + (xy 227.862809 165.24268) + (xy 227.858978 165.241323) + (xy 227.63225 165.200937) + (xy 227.628204 165.200888) + (xy 227.628198 165.200887) + (xy 227.501094 165.199334) + (xy 227.401971 165.198123) + (xy 227.174325 165.232958) + (xy 227.056433 165.271491) + (xy 226.959281 165.303245) + (xy 226.959277 165.303247) + (xy 226.955424 165.304506) + (xy 226.951824 165.30638) + (xy 226.754754 165.408968) + (xy 226.754751 165.40897) + (xy 226.751149 165.410845) + (xy 226.566984 165.549119) + (xy 226.407877 165.715616) + (xy 226.405585 165.718976) + (xy 226.280389 165.902505) + (xy 226.280386 165.902511) + (xy 226.278099 165.905863) + (xy 226.181136 166.114752) + (xy 226.119592 166.336673) + (xy 226.119159 166.340721) + (xy 226.119159 166.340723) + (xy 226.11748 166.356438) + (xy 226.095119 166.565665) + (xy 224.902477 166.565665) + (xy 224.895714 166.483405) + (xy 224.886763 166.374525) + (xy 224.886762 166.374522) + (xy 224.88643 166.370478) + (xy 224.882904 166.356438) + (xy 224.840907 166.189243) + (xy 224.830326 166.14712) + (xy 224.738496 165.935924) + (xy 224.613405 165.742563) + (xy 224.458412 165.572229) + (xy 224.455226 165.569713) + (xy 224.455223 165.56971) + (xy 224.280869 165.432013) + (xy 224.280863 165.432009) + (xy 224.277681 165.429496) + (xy 224.076065 165.318198) + (xy 224.072234 165.316841) + (xy 224.072231 165.31684) + (xy 223.862814 165.242681) + (xy 223.862809 165.24268) + (xy 223.858978 165.241323) + (xy 223.63225 165.200937) + (xy 223.628204 165.200888) + (xy 223.628198 165.200887) + (xy 223.501094 165.199334) + (xy 223.401971 165.198123) + (xy 223.174325 165.232958) + (xy 223.056433 165.271491) + (xy 222.959281 165.303245) + (xy 222.959277 165.303247) + (xy 222.955424 165.304506) + (xy 222.951824 165.30638) + (xy 222.754754 165.408968) + (xy 222.754751 165.40897) + (xy 222.751149 165.410845) + (xy 222.566984 165.549119) + (xy 222.407877 165.715616) + (xy 222.405585 165.718976) + (xy 222.280389 165.902505) + (xy 222.280386 165.902511) + (xy 222.278099 165.905863) + (xy 222.181136 166.114752) + (xy 222.119592 166.336673) + (xy 222.119159 166.340721) + (xy 222.119159 166.340723) + (xy 222.11748 166.356438) + (xy 222.095119 166.565665) + (xy 220.902477 166.565665) + (xy 220.895714 166.483405) + (xy 220.886763 166.374525) + (xy 220.886762 166.374522) + (xy 220.88643 166.370478) + (xy 220.882904 166.356438) + (xy 220.840907 166.189243) + (xy 220.830326 166.14712) + (xy 220.738496 165.935924) + (xy 220.613405 165.742563) + (xy 220.458412 165.572229) + (xy 220.455226 165.569713) + (xy 220.455223 165.56971) + (xy 220.280869 165.432013) + (xy 220.280863 165.432009) + (xy 220.277681 165.429496) + (xy 220.076065 165.318198) + (xy 220.072234 165.316841) + (xy 220.072231 165.31684) + (xy 219.862814 165.242681) + (xy 219.862809 165.24268) + (xy 219.858978 165.241323) + (xy 219.63225 165.200937) + (xy 219.628204 165.200888) + (xy 219.628198 165.200887) + (xy 219.501094 165.199334) + (xy 219.401971 165.198123) + (xy 219.174325 165.232958) + (xy 219.056433 165.271491) + (xy 218.959281 165.303245) + (xy 218.959277 165.303247) + (xy 218.955424 165.304506) + (xy 218.951824 165.30638) + (xy 218.754754 165.408968) + (xy 218.754751 165.40897) + (xy 218.751149 165.410845) + (xy 218.566984 165.549119) + (xy 218.407877 165.715616) + (xy 218.405585 165.718976) + (xy 218.280389 165.902505) + (xy 218.280386 165.902511) + (xy 218.278099 165.905863) + (xy 218.181136 166.114752) + (xy 218.119592 166.336673) + (xy 218.119159 166.340721) + (xy 218.119159 166.340723) + (xy 218.11748 166.356438) + (xy 218.095119 166.565665) + (xy 216.902477 166.565665) + (xy 216.895714 166.483405) + (xy 216.886763 166.374525) + (xy 216.886762 166.374522) + (xy 216.88643 166.370478) + (xy 216.882904 166.356438) + (xy 216.840907 166.189243) + (xy 216.830326 166.14712) + (xy 216.738496 165.935924) + (xy 216.613405 165.742563) + (xy 216.458412 165.572229) + (xy 216.455226 165.569713) + (xy 216.455223 165.56971) + (xy 216.280869 165.432013) + (xy 216.280863 165.432009) + (xy 216.277681 165.429496) + (xy 216.076065 165.318198) + (xy 216.072234 165.316841) + (xy 216.072231 165.31684) + (xy 215.862814 165.242681) + (xy 215.862809 165.24268) + (xy 215.858978 165.241323) + (xy 215.63225 165.200937) + (xy 215.628204 165.200888) + (xy 215.628198 165.200887) + (xy 215.501094 165.199334) + (xy 215.401971 165.198123) + (xy 215.174325 165.232958) + (xy 215.056433 165.271491) + (xy 214.959281 165.303245) + (xy 214.959277 165.303247) + (xy 214.955424 165.304506) + (xy 214.951824 165.30638) + (xy 214.754754 165.408968) + (xy 214.754751 165.40897) + (xy 214.751149 165.410845) + (xy 214.566984 165.549119) + (xy 214.407877 165.715616) + (xy 214.405585 165.718976) + (xy 214.280389 165.902505) + (xy 214.280386 165.902511) + (xy 214.278099 165.905863) + (xy 214.181136 166.114752) + (xy 214.119592 166.336673) + (xy 214.119159 166.340721) + (xy 214.119159 166.340723) + (xy 214.11748 166.356438) + (xy 214.095119 166.565665) + (xy 212.902477 166.565665) + (xy 212.895714 166.483405) + (xy 212.886763 166.374525) + (xy 212.886762 166.374522) + (xy 212.88643 166.370478) + (xy 212.882904 166.356438) + (xy 212.840907 166.189243) + (xy 212.830326 166.14712) + (xy 212.738496 165.935924) + (xy 212.613405 165.742563) + (xy 212.458412 165.572229) + (xy 212.455226 165.569713) + (xy 212.455223 165.56971) + (xy 212.280869 165.432013) + (xy 212.280863 165.432009) + (xy 212.277681 165.429496) + (xy 212.076065 165.318198) + (xy 212.072234 165.316841) + (xy 212.072231 165.31684) + (xy 211.862814 165.242681) + (xy 211.862809 165.24268) + (xy 211.858978 165.241323) + (xy 211.63225 165.200937) + (xy 211.628204 165.200888) + (xy 211.628198 165.200887) + (xy 211.501094 165.199334) + (xy 211.401971 165.198123) + (xy 211.174325 165.232958) + (xy 211.056433 165.271491) + (xy 210.959281 165.303245) + (xy 210.959277 165.303247) + (xy 210.955424 165.304506) + (xy 210.951824 165.30638) + (xy 210.754754 165.408968) + (xy 210.754751 165.40897) + (xy 210.751149 165.410845) + (xy 210.566984 165.549119) + (xy 210.407877 165.715616) + (xy 210.405585 165.718976) + (xy 210.280389 165.902505) + (xy 210.280386 165.902511) + (xy 210.278099 165.905863) + (xy 210.181136 166.114752) + (xy 210.119592 166.336673) + (xy 210.119159 166.340721) + (xy 210.119159 166.340723) + (xy 210.11748 166.356438) + (xy 210.095119 166.565665) + (xy 208.902477 166.565665) + (xy 208.895714 166.483405) + (xy 208.886763 166.374525) + (xy 208.886762 166.374522) + (xy 208.88643 166.370478) + (xy 208.882904 166.356438) + (xy 208.840907 166.189243) + (xy 208.830326 166.14712) + (xy 208.738496 165.935924) + (xy 208.613405 165.742563) + (xy 208.458412 165.572229) + (xy 208.455226 165.569713) + (xy 208.455223 165.56971) + (xy 208.280869 165.432013) + (xy 208.280863 165.432009) + (xy 208.277681 165.429496) + (xy 208.076065 165.318198) + (xy 208.072234 165.316841) + (xy 208.072231 165.31684) + (xy 207.862814 165.242681) + (xy 207.862809 165.24268) + (xy 207.858978 165.241323) + (xy 207.63225 165.200937) + (xy 207.628204 165.200888) + (xy 207.628198 165.200887) + (xy 207.501094 165.199334) + (xy 207.401971 165.198123) + (xy 207.174325 165.232958) + (xy 207.056433 165.271491) + (xy 206.959281 165.303245) + (xy 206.959277 165.303247) + (xy 206.955424 165.304506) + (xy 206.951824 165.30638) + (xy 206.754754 165.408968) + (xy 206.754751 165.40897) + (xy 206.751149 165.410845) + (xy 206.566984 165.549119) + (xy 206.407877 165.715616) + (xy 206.405585 165.718976) + (xy 206.280389 165.902505) + (xy 206.280386 165.902511) + (xy 206.278099 165.905863) + (xy 206.181136 166.114752) + (xy 206.119592 166.336673) + (xy 206.119159 166.340721) + (xy 206.119159 166.340723) + (xy 206.11748 166.356438) + (xy 206.095119 166.565665) + (xy 200.538099 166.565665) + (xy 200.452809 166.359757) + (xy 200.319123 166.141601) + (xy 200.152956 165.947044) + (xy 199.958399 165.780877) + (xy 199.740243 165.647191) + (xy 199.50386 165.549278) + (xy 199.500085 165.548372) + (xy 199.500083 165.548371) + (xy 199.366901 165.516397) + (xy 199.25507 165.489548) + (xy 199.251205 165.489244) + (xy 199.2512 165.489243) + (xy 199.003875 165.469779) + (xy 199 165.469474) + (xy 198.996125 165.469779) + (xy 198.7488 165.489243) + (xy 198.748795 165.489244) + (xy 198.74493 165.489548) + (xy 198.633099 165.516397) + (xy 198.499917 165.548371) + (xy 198.499915 165.548372) + (xy 198.49614 165.549278) + (xy 198.259757 165.647191) + (xy 198.041601 165.780877) + (xy 197.847044 165.947044) + (xy 197.680877 166.141601) + (xy 197.547191 166.359757) + (xy 197.449278 166.59614) + (xy 197.448372 166.599915) + (xy 197.448371 166.599917) + (xy 197.436059 166.6512) + (xy 197.389548 166.84493) + (xy 197.389244 166.848795) + (xy 197.389243 166.8488) + (xy 197.376063 167.016277) + (xy 197.369474 167.1) + (xy 166.469478 167.1) + (xy 166.550722 166.90386) + (xy 166.610452 166.65507) + (xy 166.610851 166.650011) + (xy 166.630221 166.403875) + (xy 166.630526 166.4) + (xy 166.614035 166.190454) + (xy 166.610757 166.1488) + (xy 166.610756 166.148795) + (xy 166.610452 166.14493) + (xy 166.583603 166.033099) + (xy 166.551629 165.899917) + (xy 166.551628 165.899915) + (xy 166.550722 165.89614) + (xy 166.452809 165.659757) + (xy 166.319123 165.441601) + (xy 166.152956 165.247044) + (xy 165.958399 165.080877) + (xy 165.740243 164.947191) + (xy 165.50386 164.849278) + (xy 165.500085 164.848372) + (xy 165.500083 164.848371) + (xy 165.366901 164.816397) + (xy 165.25507 164.789548) + (xy 165.251205 164.789244) + (xy 165.2512 164.789243) + (xy 165.003875 164.769779) + (xy 165 164.769474) + (xy 164.996125 164.769779) + (xy 164.7488 164.789243) + (xy 164.748795 164.789244) + (xy 164.74493 164.789548) + (xy 164.633099 164.816397) + (xy 164.499917 164.848371) + (xy 164.499915 164.848372) + (xy 164.49614 164.849278) + (xy 164.259757 164.947191) + (xy 164.041601 165.080877) + (xy 163.847044 165.247044) + (xy 163.680877 165.441601) + (xy 163.547191 165.659757) + (xy 163.449278 165.89614) + (xy 163.448372 165.899915) + (xy 163.448371 165.899917) + (xy 163.416397 166.033099) + (xy 163.389548 166.14493) + (xy 163.389244 166.148795) + (xy 163.389243 166.1488) + (xy 163.385965 166.190454) + (xy 163.369474 166.4) + (xy 136.663661 166.4) + (xy 136.664375 162.892943) + (xy 136.664442 162.565665) + (xy 204.095119 162.565665) + (xy 204.108376 162.79558) + (xy 204.159006 163.020242) + (xy 204.245649 163.233618) + (xy 204.365979 163.429978) + (xy 204.516763 163.604048) + (xy 204.693953 163.751154) + (xy 204.89279 163.867345) + (xy 205.107934 163.949501) + (xy 205.111909 163.95031) + (xy 205.11191 163.95031) + (xy 205.329624 163.994604) + (xy 205.329628 163.994604) + (xy 205.333607 163.995414) + (xy 205.337667 163.995563) + (xy 205.337668 163.995563) + (xy 205.379459 163.997095) + (xy 205.563749 164.003853) + (xy 205.567767 164.003338) + (xy 205.567773 164.003338) + (xy 205.788146 163.975108) + (xy 205.788152 163.975107) + (xy 205.792178 163.974591) + (xy 205.796071 163.973423) + (xy 205.796076 163.973422) + (xy 205.954836 163.925791) + (xy 206.012761 163.908413) + (xy 206.219574 163.807096) + (xy 206.407062 163.673363) + (xy 206.57019 163.510803) + (xy 206.704577 163.323783) + (xy 206.806615 163.117325) + (xy 206.836112 163.020242) + (xy 206.87238 162.900869) + (xy 206.87238 162.900868) + (xy 206.873563 162.896975) + (xy 206.903622 162.668649) + (xy 206.904126 162.648052) + (xy 206.904345 162.639057) + (xy 206.9053 162.6) + (xy 206.902477 162.565665) + (xy 208.095119 162.565665) + (xy 208.108376 162.79558) + (xy 208.159006 163.020242) + (xy 208.245649 163.233618) + (xy 208.365979 163.429978) + (xy 208.516763 163.604048) + (xy 208.693953 163.751154) + (xy 208.89279 163.867345) + (xy 209.107934 163.949501) + (xy 209.111909 163.95031) + (xy 209.11191 163.95031) + (xy 209.329624 163.994604) + (xy 209.329628 163.994604) + (xy 209.333607 163.995414) + (xy 209.337667 163.995563) + (xy 209.337668 163.995563) + (xy 209.379459 163.997095) + (xy 209.563749 164.003853) + (xy 209.567767 164.003338) + (xy 209.567773 164.003338) + (xy 209.788146 163.975108) + (xy 209.788152 163.975107) + (xy 209.792178 163.974591) + (xy 209.796071 163.973423) + (xy 209.796076 163.973422) + (xy 209.954836 163.925791) + (xy 210.012761 163.908413) + (xy 210.219574 163.807096) + (xy 210.407062 163.673363) + (xy 210.57019 163.510803) + (xy 210.704577 163.323783) + (xy 210.806615 163.117325) + (xy 210.836112 163.020242) + (xy 210.87238 162.900869) + (xy 210.87238 162.900868) + (xy 210.873563 162.896975) + (xy 210.903622 162.668649) + (xy 210.904126 162.648052) + (xy 210.904345 162.639057) + (xy 210.9053 162.6) + (xy 210.902477 162.565665) + (xy 212.095119 162.565665) + (xy 212.108376 162.79558) + (xy 212.159006 163.020242) + (xy 212.245649 163.233618) + (xy 212.365979 163.429978) + (xy 212.516763 163.604048) + (xy 212.693953 163.751154) + (xy 212.89279 163.867345) + (xy 213.107934 163.949501) + (xy 213.111909 163.95031) + (xy 213.11191 163.95031) + (xy 213.329624 163.994604) + (xy 213.329628 163.994604) + (xy 213.333607 163.995414) + (xy 213.337667 163.995563) + (xy 213.337668 163.995563) + (xy 213.379459 163.997095) + (xy 213.563749 164.003853) + (xy 213.567767 164.003338) + (xy 213.567773 164.003338) + (xy 213.788146 163.975108) + (xy 213.788152 163.975107) + (xy 213.792178 163.974591) + (xy 213.796071 163.973423) + (xy 213.796076 163.973422) + (xy 213.954836 163.925791) + (xy 214.012761 163.908413) + (xy 214.219574 163.807096) + (xy 214.407062 163.673363) + (xy 214.57019 163.510803) + (xy 214.704577 163.323783) + (xy 214.806615 163.117325) + (xy 214.836112 163.020242) + (xy 214.87238 162.900869) + (xy 214.87238 162.900868) + (xy 214.873563 162.896975) + (xy 214.903622 162.668649) + (xy 214.904126 162.648052) + (xy 214.904345 162.639057) + (xy 214.9053 162.6) + (xy 214.902477 162.565665) + (xy 216.095119 162.565665) + (xy 216.108376 162.79558) + (xy 216.159006 163.020242) + (xy 216.245649 163.233618) + (xy 216.365979 163.429978) + (xy 216.516763 163.604048) + (xy 216.693953 163.751154) + (xy 216.89279 163.867345) + (xy 217.107934 163.949501) + (xy 217.111909 163.95031) + (xy 217.11191 163.95031) + (xy 217.329624 163.994604) + (xy 217.329628 163.994604) + (xy 217.333607 163.995414) + (xy 217.337667 163.995563) + (xy 217.337668 163.995563) + (xy 217.379459 163.997095) + (xy 217.563749 164.003853) + (xy 217.567767 164.003338) + (xy 217.567773 164.003338) + (xy 217.788146 163.975108) + (xy 217.788152 163.975107) + (xy 217.792178 163.974591) + (xy 217.796071 163.973423) + (xy 217.796076 163.973422) + (xy 217.954836 163.925791) + (xy 218.012761 163.908413) + (xy 218.219574 163.807096) + (xy 218.407062 163.673363) + (xy 218.57019 163.510803) + (xy 218.704577 163.323783) + (xy 218.806615 163.117325) + (xy 218.836112 163.020242) + (xy 218.87238 162.900869) + (xy 218.87238 162.900868) + (xy 218.873563 162.896975) + (xy 218.903622 162.668649) + (xy 218.904126 162.648052) + (xy 218.904345 162.639057) + (xy 218.9053 162.6) + (xy 218.902477 162.565665) + (xy 220.095119 162.565665) + (xy 220.108376 162.79558) + (xy 220.159006 163.020242) + (xy 220.245649 163.233618) + (xy 220.365979 163.429978) + (xy 220.516763 163.604048) + (xy 220.693953 163.751154) + (xy 220.89279 163.867345) + (xy 221.107934 163.949501) + (xy 221.111909 163.95031) + (xy 221.11191 163.95031) + (xy 221.329624 163.994604) + (xy 221.329628 163.994604) + (xy 221.333607 163.995414) + (xy 221.337667 163.995563) + (xy 221.337668 163.995563) + (xy 221.379459 163.997095) + (xy 221.563749 164.003853) + (xy 221.567767 164.003338) + (xy 221.567773 164.003338) + (xy 221.788146 163.975108) + (xy 221.788152 163.975107) + (xy 221.792178 163.974591) + (xy 221.796071 163.973423) + (xy 221.796076 163.973422) + (xy 221.954836 163.925791) + (xy 222.012761 163.908413) + (xy 222.219574 163.807096) + (xy 222.407062 163.673363) + (xy 222.57019 163.510803) + (xy 222.704577 163.323783) + (xy 222.806615 163.117325) + (xy 222.836112 163.020242) + (xy 222.87238 162.900869) + (xy 222.87238 162.900868) + (xy 222.873563 162.896975) + (xy 222.903622 162.668649) + (xy 222.904126 162.648052) + (xy 222.904345 162.639057) + (xy 222.9053 162.6) + (xy 222.902477 162.565665) + (xy 224.095119 162.565665) + (xy 224.108376 162.79558) + (xy 224.159006 163.020242) + (xy 224.245649 163.233618) + (xy 224.365979 163.429978) + (xy 224.516763 163.604048) + (xy 224.693953 163.751154) + (xy 224.89279 163.867345) + (xy 225.107934 163.949501) + (xy 225.111909 163.95031) + (xy 225.11191 163.95031) + (xy 225.329624 163.994604) + (xy 225.329628 163.994604) + (xy 225.333607 163.995414) + (xy 225.337667 163.995563) + (xy 225.337668 163.995563) + (xy 225.379459 163.997095) + (xy 225.563749 164.003853) + (xy 225.567767 164.003338) + (xy 225.567773 164.003338) + (xy 225.788146 163.975108) + (xy 225.788152 163.975107) + (xy 225.792178 163.974591) + (xy 225.796071 163.973423) + (xy 225.796076 163.973422) + (xy 225.954836 163.925791) + (xy 226.012761 163.908413) + (xy 226.219574 163.807096) + (xy 226.407062 163.673363) + (xy 226.57019 163.510803) + (xy 226.704577 163.323783) + (xy 226.806615 163.117325) + (xy 226.836112 163.020242) + (xy 226.87238 162.900869) + (xy 226.87238 162.900868) + (xy 226.873563 162.896975) + (xy 226.903622 162.668649) + (xy 226.904126 162.648052) + (xy 226.904345 162.639057) + (xy 226.9053 162.6) + (xy 226.902477 162.565665) + (xy 228.095119 162.565665) + (xy 228.108376 162.79558) + (xy 228.159006 163.020242) + (xy 228.245649 163.233618) + (xy 228.365979 163.429978) + (xy 228.516763 163.604048) + (xy 228.693953 163.751154) + (xy 228.89279 163.867345) + (xy 229.107934 163.949501) + (xy 229.111909 163.95031) + (xy 229.11191 163.95031) + (xy 229.329624 163.994604) + (xy 229.329628 163.994604) + (xy 229.333607 163.995414) + (xy 229.337667 163.995563) + (xy 229.337668 163.995563) + (xy 229.379459 163.997095) + (xy 229.563749 164.003853) + (xy 229.567767 164.003338) + (xy 229.567773 164.003338) + (xy 229.788146 163.975108) + (xy 229.788152 163.975107) + (xy 229.792178 163.974591) + (xy 229.796071 163.973423) + (xy 229.796076 163.973422) + (xy 229.954836 163.925791) + (xy 230.012761 163.908413) + (xy 230.219574 163.807096) + (xy 230.407062 163.673363) + (xy 230.57019 163.510803) + (xy 230.704577 163.323783) + (xy 230.806615 163.117325) + (xy 230.836112 163.020242) + (xy 230.87238 162.900869) + (xy 230.87238 162.900868) + (xy 230.873563 162.896975) + (xy 230.903622 162.668649) + (xy 230.904126 162.648052) + (xy 230.904345 162.639057) + (xy 230.9053 162.6) + (xy 230.902477 162.565665) + (xy 232.095119 162.565665) + (xy 232.108376 162.79558) + (xy 232.159006 163.020242) + (xy 232.245649 163.233618) + (xy 232.365979 163.429978) + (xy 232.516763 163.604048) + (xy 232.693953 163.751154) + (xy 232.89279 163.867345) + (xy 233.107934 163.949501) + (xy 233.111909 163.95031) + (xy 233.11191 163.95031) + (xy 233.329624 163.994604) + (xy 233.329628 163.994604) + (xy 233.333607 163.995414) + (xy 233.337667 163.995563) + (xy 233.337668 163.995563) + (xy 233.379459 163.997095) + (xy 233.563749 164.003853) + (xy 233.567767 164.003338) + (xy 233.567773 164.003338) + (xy 233.788146 163.975108) + (xy 233.788152 163.975107) + (xy 233.792178 163.974591) + (xy 233.796071 163.973423) + (xy 233.796076 163.973422) + (xy 233.954836 163.925791) + (xy 234.012761 163.908413) + (xy 234.219574 163.807096) + (xy 234.407062 163.673363) + (xy 234.57019 163.510803) + (xy 234.704577 163.323783) + (xy 234.806615 163.117325) + (xy 234.836112 163.020242) + (xy 234.87238 162.900869) + (xy 234.87238 162.900868) + (xy 234.873563 162.896975) + (xy 234.903622 162.668649) + (xy 234.904126 162.648052) + (xy 234.904345 162.639057) + (xy 234.9053 162.6) + (xy 234.88643 162.370478) + (xy 234.830326 162.14712) + (xy 234.738496 161.935924) + (xy 234.613405 161.742563) + (xy 234.458412 161.572229) + (xy 234.455226 161.569713) + (xy 234.455223 161.56971) + (xy 234.280869 161.432013) + (xy 234.280863 161.432009) + (xy 234.277681 161.429496) + (xy 234.167457 161.368649) + (xy 234.079623 161.320162) + (xy 234.079622 161.320161) + (xy 234.076065 161.318198) + (xy 234.072234 161.316841) + (xy 234.072231 161.31684) + (xy 233.927718 161.265665) + (xy 264.495119 161.265665) + (xy 264.508376 161.49558) + (xy 264.509268 161.499537) + (xy 264.557301 161.712675) + (xy 264.559006 161.720242) + (xy 264.560535 161.724006) + (xy 264.560536 161.724011) + (xy 264.569456 161.745978) + (xy 264.645649 161.933618) + (xy 264.647773 161.937084) + (xy 264.647775 161.937088) + (xy 264.69867 162.02014) + (xy 264.765979 162.129978) + (xy 264.916763 162.304048) + (xy 265.093953 162.451154) + (xy 265.29279 162.567345) + (xy 265.507934 162.649501) + (xy 265.511909 162.65031) + (xy 265.51191 162.65031) + (xy 265.729624 162.694604) + (xy 265.729628 162.694604) + (xy 265.733607 162.695414) + (xy 265.737667 162.695563) + (xy 265.737668 162.695563) + (xy 265.779459 162.697095) + (xy 265.963749 162.703853) + (xy 265.967767 162.703338) + (xy 265.967773 162.703338) + (xy 266.188146 162.675108) + (xy 266.188152 162.675107) + (xy 266.192178 162.674591) + (xy 266.196071 162.673423) + (xy 266.196076 162.673422) + (xy 266.354836 162.625791) + (xy 266.412761 162.608413) + (xy 266.619574 162.507096) + (xy 266.807062 162.373363) + (xy 266.97019 162.210803) + (xy 267.104577 162.023783) + (xy 267.206615 161.817325) + (xy 267.236112 161.720242) + (xy 267.27238 161.600869) + (xy 267.27238 161.600868) + (xy 267.273563 161.596975) + (xy 267.277153 161.56971) + (xy 267.29528 161.432013) + (xy 267.303622 161.368649) + (xy 267.3053 161.3) + (xy 267.304923 161.295414) + (xy 267.302477 161.265665) + (xy 272.495119 161.265665) + (xy 272.508376 161.49558) + (xy 272.509268 161.499537) + (xy 272.557301 161.712675) + (xy 272.559006 161.720242) + (xy 272.560535 161.724006) + (xy 272.560536 161.724011) + (xy 272.569456 161.745978) + (xy 272.645649 161.933618) + (xy 272.647773 161.937084) + (xy 272.647775 161.937088) + (xy 272.69867 162.02014) + (xy 272.765979 162.129978) + (xy 272.916763 162.304048) + (xy 273.093953 162.451154) + (xy 273.29279 162.567345) + (xy 273.507934 162.649501) + (xy 273.511909 162.65031) + (xy 273.51191 162.65031) + (xy 273.729624 162.694604) + (xy 273.729628 162.694604) + (xy 273.733607 162.695414) + (xy 273.737667 162.695563) + (xy 273.737668 162.695563) + (xy 273.779459 162.697095) + (xy 273.963749 162.703853) + (xy 273.967767 162.703338) + (xy 273.967773 162.703338) + (xy 274.188146 162.675108) + (xy 274.188152 162.675107) + (xy 274.192178 162.674591) + (xy 274.196071 162.673423) + (xy 274.196076 162.673422) + (xy 274.354836 162.625791) + (xy 274.412761 162.608413) + (xy 274.619574 162.507096) + (xy 274.807062 162.373363) + (xy 274.97019 162.210803) + (xy 275.104577 162.023783) + (xy 275.206615 161.817325) + (xy 275.236112 161.720242) + (xy 275.27238 161.600869) + (xy 275.27238 161.600868) + (xy 275.273563 161.596975) + (xy 275.277153 161.56971) + (xy 275.29528 161.432013) + (xy 275.303622 161.368649) + (xy 275.3053 161.3) + (xy 275.304923 161.295414) + (xy 275.286763 161.074525) + (xy 275.286762 161.074522) + (xy 275.28643 161.070478) + (xy 275.230326 160.84712) + (xy 275.155309 160.674591) + (xy 275.140118 160.639654) + (xy 275.140117 160.639652) + (xy 275.138496 160.635924) + (xy 275.013405 160.442563) + (xy 274.858412 160.272229) + (xy 274.855226 160.269713) + (xy 274.855223 160.26971) + (xy 274.680869 160.132013) + (xy 274.680863 160.132009) + (xy 274.677681 160.129496) + (xy 274.476065 160.018198) + (xy 274.472234 160.016841) + (xy 274.472231 160.01684) + (xy 274.262814 159.942681) + (xy 274.262809 159.94268) + (xy 274.258978 159.941323) + (xy 274.03225 159.900937) + (xy 274.028204 159.900888) + (xy 274.028198 159.900887) + (xy 273.901094 159.899334) + (xy 273.801971 159.898123) + (xy 273.574325 159.932958) + (xy 273.457116 159.971268) + (xy 273.359281 160.003245) + (xy 273.359277 160.003247) + (xy 273.355424 160.004506) + (xy 273.351824 160.00638) + (xy 273.154754 160.108968) + (xy 273.154751 160.10897) + (xy 273.151149 160.110845) + (xy 272.966984 160.249119) + (xy 272.807877 160.415616) + (xy 272.805585 160.418976) + (xy 272.680389 160.602505) + (xy 272.680386 160.602511) + (xy 272.678099 160.605863) + (xy 272.581136 160.814752) + (xy 272.519592 161.036673) + (xy 272.495119 161.265665) + (xy 267.302477 161.265665) + (xy 267.286763 161.074525) + (xy 267.286762 161.074522) + (xy 267.28643 161.070478) + (xy 267.230326 160.84712) + (xy 267.155309 160.674591) + (xy 267.140118 160.639654) + (xy 267.140117 160.639652) + (xy 267.138496 160.635924) + (xy 267.013405 160.442563) + (xy 266.858412 160.272229) + (xy 266.855226 160.269713) + (xy 266.855223 160.26971) + (xy 266.680869 160.132013) + (xy 266.680863 160.132009) + (xy 266.677681 160.129496) + (xy 266.476065 160.018198) + (xy 266.472234 160.016841) + (xy 266.472231 160.01684) + (xy 266.262814 159.942681) + (xy 266.262809 159.94268) + (xy 266.258978 159.941323) + (xy 266.03225 159.900937) + (xy 266.028204 159.900888) + (xy 266.028198 159.900887) + (xy 265.901094 159.899334) + (xy 265.801971 159.898123) + (xy 265.574325 159.932958) + (xy 265.457116 159.971268) + (xy 265.359281 160.003245) + (xy 265.359277 160.003247) + (xy 265.355424 160.004506) + (xy 265.351824 160.00638) + (xy 265.154754 160.108968) + (xy 265.154751 160.10897) + (xy 265.151149 160.110845) + (xy 264.966984 160.249119) + (xy 264.807877 160.415616) + (xy 264.805585 160.418976) + (xy 264.680389 160.602505) + (xy 264.680386 160.602511) + (xy 264.678099 160.605863) + (xy 264.581136 160.814752) + (xy 264.519592 161.036673) + (xy 264.495119 161.265665) + (xy 233.927718 161.265665) + (xy 233.862814 161.242681) + (xy 233.862809 161.24268) + (xy 233.858978 161.241323) + (xy 233.63225 161.200937) + (xy 233.628204 161.200888) + (xy 233.628198 161.200887) + (xy 233.501094 161.199334) + (xy 233.401971 161.198123) + (xy 233.174325 161.232958) + (xy 233.074258 161.265665) + (xy 232.959281 161.303245) + (xy 232.959277 161.303247) + (xy 232.955424 161.304506) + (xy 232.951824 161.30638) + (xy 232.754754 161.408968) + (xy 232.754751 161.40897) + (xy 232.751149 161.410845) + (xy 232.566984 161.549119) + (xy 232.407877 161.715616) + (xy 232.405585 161.718976) + (xy 232.280389 161.902505) + (xy 232.280386 161.902511) + (xy 232.278099 161.905863) + (xy 232.181136 162.114752) + (xy 232.119592 162.336673) + (xy 232.095119 162.565665) + (xy 230.902477 162.565665) + (xy 230.88643 162.370478) + (xy 230.830326 162.14712) + (xy 230.738496 161.935924) + (xy 230.613405 161.742563) + (xy 230.458412 161.572229) + (xy 230.455226 161.569713) + (xy 230.455223 161.56971) + (xy 230.280869 161.432013) + (xy 230.280863 161.432009) + (xy 230.277681 161.429496) + (xy 230.167457 161.368649) + (xy 230.079623 161.320162) + (xy 230.079622 161.320161) + (xy 230.076065 161.318198) + (xy 230.072234 161.316841) + (xy 230.072231 161.31684) + (xy 229.862814 161.242681) + (xy 229.862809 161.24268) + (xy 229.858978 161.241323) + (xy 229.63225 161.200937) + (xy 229.628204 161.200888) + (xy 229.628198 161.200887) + (xy 229.501094 161.199334) + (xy 229.401971 161.198123) + (xy 229.174325 161.232958) + (xy 229.074258 161.265665) + (xy 228.959281 161.303245) + (xy 228.959277 161.303247) + (xy 228.955424 161.304506) + (xy 228.951824 161.30638) + (xy 228.754754 161.408968) + (xy 228.754751 161.40897) + (xy 228.751149 161.410845) + (xy 228.566984 161.549119) + (xy 228.407877 161.715616) + (xy 228.405585 161.718976) + (xy 228.280389 161.902505) + (xy 228.280386 161.902511) + (xy 228.278099 161.905863) + (xy 228.181136 162.114752) + (xy 228.119592 162.336673) + (xy 228.095119 162.565665) + (xy 226.902477 162.565665) + (xy 226.88643 162.370478) + (xy 226.830326 162.14712) + (xy 226.738496 161.935924) + (xy 226.613405 161.742563) + (xy 226.458412 161.572229) + (xy 226.455226 161.569713) + (xy 226.455223 161.56971) + (xy 226.280869 161.432013) + (xy 226.280863 161.432009) + (xy 226.277681 161.429496) + (xy 226.167457 161.368649) + (xy 226.079623 161.320162) + (xy 226.079622 161.320161) + (xy 226.076065 161.318198) + (xy 226.072234 161.316841) + (xy 226.072231 161.31684) + (xy 225.862814 161.242681) + (xy 225.862809 161.24268) + (xy 225.858978 161.241323) + (xy 225.63225 161.200937) + (xy 225.628204 161.200888) + (xy 225.628198 161.200887) + (xy 225.501094 161.199334) + (xy 225.401971 161.198123) + (xy 225.174325 161.232958) + (xy 225.074258 161.265665) + (xy 224.959281 161.303245) + (xy 224.959277 161.303247) + (xy 224.955424 161.304506) + (xy 224.951824 161.30638) + (xy 224.754754 161.408968) + (xy 224.754751 161.40897) + (xy 224.751149 161.410845) + (xy 224.566984 161.549119) + (xy 224.407877 161.715616) + (xy 224.405585 161.718976) + (xy 224.280389 161.902505) + (xy 224.280386 161.902511) + (xy 224.278099 161.905863) + (xy 224.181136 162.114752) + (xy 224.119592 162.336673) + (xy 224.095119 162.565665) + (xy 222.902477 162.565665) + (xy 222.88643 162.370478) + (xy 222.830326 162.14712) + (xy 222.738496 161.935924) + (xy 222.613405 161.742563) + (xy 222.458412 161.572229) + (xy 222.455226 161.569713) + (xy 222.455223 161.56971) + (xy 222.280869 161.432013) + (xy 222.280863 161.432009) + (xy 222.277681 161.429496) + (xy 222.167457 161.368649) + (xy 222.079623 161.320162) + (xy 222.079622 161.320161) + (xy 222.076065 161.318198) + (xy 222.072234 161.316841) + (xy 222.072231 161.31684) + (xy 221.862814 161.242681) + (xy 221.862809 161.24268) + (xy 221.858978 161.241323) + (xy 221.63225 161.200937) + (xy 221.628204 161.200888) + (xy 221.628198 161.200887) + (xy 221.501094 161.199334) + (xy 221.401971 161.198123) + (xy 221.174325 161.232958) + (xy 221.074258 161.265665) + (xy 220.959281 161.303245) + (xy 220.959277 161.303247) + (xy 220.955424 161.304506) + (xy 220.951824 161.30638) + (xy 220.754754 161.408968) + (xy 220.754751 161.40897) + (xy 220.751149 161.410845) + (xy 220.566984 161.549119) + (xy 220.407877 161.715616) + (xy 220.405585 161.718976) + (xy 220.280389 161.902505) + (xy 220.280386 161.902511) + (xy 220.278099 161.905863) + (xy 220.181136 162.114752) + (xy 220.119592 162.336673) + (xy 220.095119 162.565665) + (xy 218.902477 162.565665) + (xy 218.88643 162.370478) + (xy 218.830326 162.14712) + (xy 218.738496 161.935924) + (xy 218.613405 161.742563) + (xy 218.458412 161.572229) + (xy 218.455226 161.569713) + (xy 218.455223 161.56971) + (xy 218.280869 161.432013) + (xy 218.280863 161.432009) + (xy 218.277681 161.429496) + (xy 218.167457 161.368649) + (xy 218.079623 161.320162) + (xy 218.079622 161.320161) + (xy 218.076065 161.318198) + (xy 218.072234 161.316841) + (xy 218.072231 161.31684) + (xy 217.862814 161.242681) + (xy 217.862809 161.24268) + (xy 217.858978 161.241323) + (xy 217.63225 161.200937) + (xy 217.628204 161.200888) + (xy 217.628198 161.200887) + (xy 217.501094 161.199334) + (xy 217.401971 161.198123) + (xy 217.174325 161.232958) + (xy 217.074258 161.265665) + (xy 216.959281 161.303245) + (xy 216.959277 161.303247) + (xy 216.955424 161.304506) + (xy 216.951824 161.30638) + (xy 216.754754 161.408968) + (xy 216.754751 161.40897) + (xy 216.751149 161.410845) + (xy 216.566984 161.549119) + (xy 216.407877 161.715616) + (xy 216.405585 161.718976) + (xy 216.280389 161.902505) + (xy 216.280386 161.902511) + (xy 216.278099 161.905863) + (xy 216.181136 162.114752) + (xy 216.119592 162.336673) + (xy 216.095119 162.565665) + (xy 214.902477 162.565665) + (xy 214.88643 162.370478) + (xy 214.830326 162.14712) + (xy 214.738496 161.935924) + (xy 214.613405 161.742563) + (xy 214.458412 161.572229) + (xy 214.455226 161.569713) + (xy 214.455223 161.56971) + (xy 214.280869 161.432013) + (xy 214.280863 161.432009) + (xy 214.277681 161.429496) + (xy 214.167457 161.368649) + (xy 214.079623 161.320162) + (xy 214.079622 161.320161) + (xy 214.076065 161.318198) + (xy 214.072234 161.316841) + (xy 214.072231 161.31684) + (xy 213.862814 161.242681) + (xy 213.862809 161.24268) + (xy 213.858978 161.241323) + (xy 213.63225 161.200937) + (xy 213.628204 161.200888) + (xy 213.628198 161.200887) + (xy 213.501094 161.199334) + (xy 213.401971 161.198123) + (xy 213.174325 161.232958) + (xy 213.074258 161.265665) + (xy 212.959281 161.303245) + (xy 212.959277 161.303247) + (xy 212.955424 161.304506) + (xy 212.951824 161.30638) + (xy 212.754754 161.408968) + (xy 212.754751 161.40897) + (xy 212.751149 161.410845) + (xy 212.566984 161.549119) + (xy 212.407877 161.715616) + (xy 212.405585 161.718976) + (xy 212.280389 161.902505) + (xy 212.280386 161.902511) + (xy 212.278099 161.905863) + (xy 212.181136 162.114752) + (xy 212.119592 162.336673) + (xy 212.095119 162.565665) + (xy 210.902477 162.565665) + (xy 210.88643 162.370478) + (xy 210.830326 162.14712) + (xy 210.738496 161.935924) + (xy 210.613405 161.742563) + (xy 210.458412 161.572229) + (xy 210.455226 161.569713) + (xy 210.455223 161.56971) + (xy 210.280869 161.432013) + (xy 210.280863 161.432009) + (xy 210.277681 161.429496) + (xy 210.167457 161.368649) + (xy 210.079623 161.320162) + (xy 210.079622 161.320161) + (xy 210.076065 161.318198) + (xy 210.072234 161.316841) + (xy 210.072231 161.31684) + (xy 209.862814 161.242681) + (xy 209.862809 161.24268) + (xy 209.858978 161.241323) + (xy 209.63225 161.200937) + (xy 209.628204 161.200888) + (xy 209.628198 161.200887) + (xy 209.501094 161.199334) + (xy 209.401971 161.198123) + (xy 209.174325 161.232958) + (xy 209.074258 161.265665) + (xy 208.959281 161.303245) + (xy 208.959277 161.303247) + (xy 208.955424 161.304506) + (xy 208.951824 161.30638) + (xy 208.754754 161.408968) + (xy 208.754751 161.40897) + (xy 208.751149 161.410845) + (xy 208.566984 161.549119) + (xy 208.407877 161.715616) + (xy 208.405585 161.718976) + (xy 208.280389 161.902505) + (xy 208.280386 161.902511) + (xy 208.278099 161.905863) + (xy 208.181136 162.114752) + (xy 208.119592 162.336673) + (xy 208.095119 162.565665) + (xy 206.902477 162.565665) + (xy 206.88643 162.370478) + (xy 206.830326 162.14712) + (xy 206.738496 161.935924) + (xy 206.613405 161.742563) + (xy 206.458412 161.572229) + (xy 206.455226 161.569713) + (xy 206.455223 161.56971) + (xy 206.280869 161.432013) + (xy 206.280863 161.432009) + (xy 206.277681 161.429496) + (xy 206.167457 161.368649) + (xy 206.079623 161.320162) + (xy 206.079622 161.320161) + (xy 206.076065 161.318198) + (xy 206.072234 161.316841) + (xy 206.072231 161.31684) + (xy 205.862814 161.242681) + (xy 205.862809 161.24268) + (xy 205.858978 161.241323) + (xy 205.63225 161.200937) + (xy 205.628204 161.200888) + (xy 205.628198 161.200887) + (xy 205.501094 161.199334) + (xy 205.401971 161.198123) + (xy 205.174325 161.232958) + (xy 205.074258 161.265665) + (xy 204.959281 161.303245) + (xy 204.959277 161.303247) + (xy 204.955424 161.304506) + (xy 204.951824 161.30638) + (xy 204.754754 161.408968) + (xy 204.754751 161.40897) + (xy 204.751149 161.410845) + (xy 204.566984 161.549119) + (xy 204.407877 161.715616) + (xy 204.405585 161.718976) + (xy 204.280389 161.902505) + (xy 204.280386 161.902511) + (xy 204.278099 161.905863) + (xy 204.181136 162.114752) + (xy 204.119592 162.336673) + (xy 204.095119 162.565665) + (xy 136.664442 162.565665) + (xy 136.664992 159.865665) + (xy 160.095119 159.865665) + (xy 160.108376 160.09558) + (xy 160.115577 160.127533) + (xy 160.155942 160.306644) + (xy 160.159006 160.320242) + (xy 160.160535 160.324006) + (xy 160.160536 160.324011) + (xy 160.244118 160.529848) + (xy 160.245649 160.533618) + (xy 160.247773 160.537084) + (xy 160.247775 160.537088) + (xy 160.316662 160.649501) + (xy 160.365979 160.729978) + (xy 160.368638 160.733048) + (xy 160.368639 160.733049) + (xy 160.514101 160.900975) + (xy 160.516763 160.904048) + (xy 160.693953 161.051154) + (xy 160.89279 161.167345) + (xy 161.107934 161.249501) + (xy 161.111909 161.25031) + (xy 161.11191 161.25031) + (xy 161.329624 161.294604) + (xy 161.329628 161.294604) + (xy 161.333607 161.295414) + (xy 161.337667 161.295563) + (xy 161.337668 161.295563) + (xy 161.379459 161.297095) + (xy 161.563749 161.303853) + (xy 161.567767 161.303338) + (xy 161.567773 161.303338) + (xy 161.788146 161.275108) + (xy 161.788152 161.275107) + (xy 161.792178 161.274591) + (xy 161.796071 161.273423) + (xy 161.796076 161.273422) + (xy 161.954836 161.225791) + (xy 162.012761 161.208413) + (xy 162.219574 161.107096) + (xy 162.407062 160.973363) + (xy 162.57019 160.810803) + (xy 162.704577 160.623783) + (xy 162.806615 160.417325) + (xy 162.819256 160.375721) + (xy 162.87238 160.200869) + (xy 162.87238 160.200868) + (xy 162.873563 160.196975) + (xy 162.903622 159.968649) + (xy 162.9053 159.9) + (xy 162.902477 159.865665) + (xy 168.095119 159.865665) + (xy 168.108376 160.09558) + (xy 168.115577 160.127533) + (xy 168.155942 160.306644) + (xy 168.159006 160.320242) + (xy 168.160535 160.324006) + (xy 168.160536 160.324011) + (xy 168.244118 160.529848) + (xy 168.245649 160.533618) + (xy 168.247773 160.537084) + (xy 168.247775 160.537088) + (xy 168.316662 160.649501) + (xy 168.365979 160.729978) + (xy 168.368638 160.733048) + (xy 168.368639 160.733049) + (xy 168.514101 160.900975) + (xy 168.516763 160.904048) + (xy 168.693953 161.051154) + (xy 168.89279 161.167345) + (xy 169.107934 161.249501) + (xy 169.111909 161.25031) + (xy 169.11191 161.25031) + (xy 169.329624 161.294604) + (xy 169.329628 161.294604) + (xy 169.333607 161.295414) + (xy 169.337667 161.295563) + (xy 169.337668 161.295563) + (xy 169.379459 161.297095) + (xy 169.563749 161.303853) + (xy 169.567767 161.303338) + (xy 169.567773 161.303338) + (xy 169.788146 161.275108) + (xy 169.788152 161.275107) + (xy 169.792178 161.274591) + (xy 169.796071 161.273423) + (xy 169.796076 161.273422) + (xy 169.954836 161.225791) + (xy 170.012761 161.208413) + (xy 170.219574 161.107096) + (xy 170.407062 160.973363) + (xy 170.57019 160.810803) + (xy 170.704577 160.623783) + (xy 170.806615 160.417325) + (xy 170.819256 160.375721) + (xy 170.87238 160.200869) + (xy 170.87238 160.200868) + (xy 170.873563 160.196975) + (xy 170.903622 159.968649) + (xy 170.9053 159.9) + (xy 170.898503 159.817325) + (xy 170.886763 159.674525) + (xy 170.886762 159.674522) + (xy 170.88643 159.670478) + (xy 170.868946 159.600869) + (xy 170.831317 159.451066) + (xy 170.830326 159.44712) + (xy 170.755309 159.274591) + (xy 170.751428 159.265665) + (xy 268.495119 159.265665) + (xy 268.508376 159.49558) + (xy 268.509268 159.499537) + (xy 268.541086 159.640723) + (xy 268.559006 159.720242) + (xy 268.560535 159.724006) + (xy 268.560536 159.724011) + (xy 268.632667 159.901648) + (xy 268.645649 159.933618) + (xy 268.647773 159.937084) + (xy 268.647775 159.937088) + (xy 268.689089 160.004506) + (xy 268.765979 160.129978) + (xy 268.768638 160.133048) + (xy 268.768639 160.133049) + (xy 268.891806 160.275237) + (xy 268.916763 160.304048) + (xy 269.093953 160.451154) + (xy 269.29279 160.567345) + (xy 269.507934 160.649501) + (xy 269.511909 160.65031) + (xy 269.51191 160.65031) + (xy 269.729624 160.694604) + (xy 269.729628 160.694604) + (xy 269.733607 160.695414) + (xy 269.737667 160.695563) + (xy 269.737668 160.695563) + (xy 269.779459 160.697095) + (xy 269.963749 160.703853) + (xy 269.967767 160.703338) + (xy 269.967773 160.703338) + (xy 270.188146 160.675108) + (xy 270.188152 160.675107) + (xy 270.192178 160.674591) + (xy 270.196071 160.673423) + (xy 270.196076 160.673422) + (xy 270.373673 160.62014) + (xy 270.412761 160.608413) + (xy 270.619574 160.507096) + (xy 270.807062 160.373363) + (xy 270.97019 160.210803) + (xy 271.104577 160.023783) + (xy 271.206615 159.817325) + (xy 271.236112 159.720242) + (xy 271.27238 159.600869) + (xy 271.27238 159.600868) + (xy 271.273563 159.596975) + (xy 271.303622 159.368649) + (xy 271.3053 159.3) + (xy 271.304923 159.295414) + (xy 271.286763 159.074525) + (xy 271.286762 159.074522) + (xy 271.28643 159.070478) + (xy 271.279419 159.042563) + (xy 271.244625 158.904048) + (xy 271.230326 158.84712) + (xy 271.155309 158.674591) + (xy 271.140118 158.639654) + (xy 271.140117 158.639652) + (xy 271.138496 158.635924) + (xy 271.013405 158.442563) + (xy 270.858412 158.272229) + (xy 270.855226 158.269713) + (xy 270.855223 158.26971) + (xy 270.680869 158.132013) + (xy 270.680863 158.132009) + (xy 270.677681 158.129496) + (xy 270.476065 158.018198) + (xy 270.472234 158.016841) + (xy 270.472231 158.01684) + (xy 270.262814 157.942681) + (xy 270.262809 157.94268) + (xy 270.258978 157.941323) + (xy 270.03225 157.900937) + (xy 270.028204 157.900888) + (xy 270.028198 157.900887) + (xy 269.901094 157.899334) + (xy 269.801971 157.898123) + (xy 269.574325 157.932958) + (xy 269.457116 157.971268) + (xy 269.359281 158.003245) + (xy 269.359277 158.003247) + (xy 269.355424 158.004506) + (xy 269.351824 158.00638) + (xy 269.154754 158.108968) + (xy 269.154751 158.10897) + (xy 269.151149 158.110845) + (xy 268.966984 158.249119) + (xy 268.807877 158.415616) + (xy 268.805585 158.418976) + (xy 268.680389 158.602505) + (xy 268.680386 158.602511) + (xy 268.678099 158.605863) + (xy 268.581136 158.814752) + (xy 268.556372 158.904048) + (xy 268.525432 159.015616) + (xy 268.519592 159.036673) + (xy 268.519159 159.040721) + (xy 268.519159 159.040723) + (xy 268.518321 159.048562) + (xy 268.495119 159.265665) + (xy 170.751428 159.265665) + (xy 170.740118 159.239654) + (xy 170.740117 159.239652) + (xy 170.738496 159.235924) + (xy 170.613405 159.042563) + (xy 170.608046 159.036673) + (xy 170.461149 158.875237) + (xy 170.458412 158.872229) + (xy 170.455226 158.869713) + (xy 170.455223 158.86971) + (xy 170.280869 158.732013) + (xy 170.280863 158.732009) + (xy 170.277681 158.729496) + (xy 170.230296 158.703338) + (xy 170.079623 158.620162) + (xy 170.079622 158.620161) + (xy 170.076065 158.618198) + (xy 170.072234 158.616841) + (xy 170.072231 158.61684) + (xy 169.862814 158.542681) + (xy 169.862809 158.54268) + (xy 169.858978 158.541323) + (xy 169.63225 158.500937) + (xy 169.628204 158.500888) + (xy 169.628198 158.500887) + (xy 169.501094 158.499334) + (xy 169.401971 158.498123) + (xy 169.174325 158.532958) + (xy 169.075384 158.565297) + (xy 168.959281 158.603245) + (xy 168.959277 158.603247) + (xy 168.955424 158.604506) + (xy 168.951824 158.60638) + (xy 168.754754 158.708968) + (xy 168.754751 158.70897) + (xy 168.751149 158.710845) + (xy 168.566984 158.849119) + (xy 168.407877 159.015616) + (xy 168.405585 159.018976) + (xy 168.280389 159.202505) + (xy 168.280386 159.202511) + (xy 168.278099 159.205863) + (xy 168.181136 159.414752) + (xy 168.119592 159.636673) + (xy 168.095119 159.865665) + (xy 162.902477 159.865665) + (xy 162.898503 159.817325) + (xy 162.886763 159.674525) + (xy 162.886762 159.674522) + (xy 162.88643 159.670478) + (xy 162.868946 159.600869) + (xy 162.831317 159.451066) + (xy 162.830326 159.44712) + (xy 162.755309 159.274591) + (xy 162.740118 159.239654) + (xy 162.740117 159.239652) + (xy 162.738496 159.235924) + (xy 162.613405 159.042563) + (xy 162.608046 159.036673) + (xy 162.461149 158.875237) + (xy 162.458412 158.872229) + (xy 162.455226 158.869713) + (xy 162.455223 158.86971) + (xy 162.280869 158.732013) + (xy 162.280863 158.732009) + (xy 162.277681 158.729496) + (xy 162.230296 158.703338) + (xy 162.079623 158.620162) + (xy 162.079622 158.620161) + (xy 162.076065 158.618198) + (xy 162.072234 158.616841) + (xy 162.072231 158.61684) + (xy 161.862814 158.542681) + (xy 161.862809 158.54268) + (xy 161.858978 158.541323) + (xy 161.63225 158.500937) + (xy 161.628204 158.500888) + (xy 161.628198 158.500887) + (xy 161.501094 158.499334) + (xy 161.401971 158.498123) + (xy 161.174325 158.532958) + (xy 161.075384 158.565297) + (xy 160.959281 158.603245) + (xy 160.959277 158.603247) + (xy 160.955424 158.604506) + (xy 160.951824 158.60638) + (xy 160.754754 158.708968) + (xy 160.754751 158.70897) + (xy 160.751149 158.710845) + (xy 160.566984 158.849119) + (xy 160.407877 159.015616) + (xy 160.405585 159.018976) + (xy 160.280389 159.202505) + (xy 160.280386 159.202511) + (xy 160.278099 159.205863) + (xy 160.181136 159.414752) + (xy 160.119592 159.636673) + (xy 160.095119 159.865665) + (xy 136.664992 159.865665) + (xy 136.665399 157.865665) + (xy 164.095119 157.865665) + (xy 164.108376 158.09558) + (xy 164.115577 158.127533) + (xy 164.155942 158.306644) + (xy 164.159006 158.320242) + (xy 164.160535 158.324006) + (xy 164.160536 158.324011) + (xy 164.244118 158.529848) + (xy 164.245649 158.533618) + (xy 164.247773 158.537084) + (xy 164.247775 158.537088) + (xy 164.316662 158.649501) + (xy 164.365979 158.729978) + (xy 164.368638 158.733048) + (xy 164.368639 158.733049) + (xy 164.491806 158.875237) + (xy 164.516763 158.904048) + (xy 164.693953 159.051154) + (xy 164.89279 159.167345) + (xy 165.107934 159.249501) + (xy 165.111909 159.25031) + (xy 165.11191 159.25031) + (xy 165.329624 159.294604) + (xy 165.329628 159.294604) + (xy 165.333607 159.295414) + (xy 165.337667 159.295563) + (xy 165.337668 159.295563) + (xy 165.379459 159.297095) + (xy 165.563749 159.303853) + (xy 165.567767 159.303338) + (xy 165.567773 159.303338) + (xy 165.788146 159.275108) + (xy 165.788152 159.275107) + (xy 165.792178 159.274591) + (xy 165.796071 159.273423) + (xy 165.796076 159.273422) + (xy 165.954836 159.225791) + (xy 166.012761 159.208413) + (xy 166.219574 159.107096) + (xy 166.407062 158.973363) + (xy 166.57019 158.810803) + (xy 166.704577 158.623783) + (xy 166.806615 158.417325) + (xy 166.819256 158.375721) + (xy 166.87238 158.200869) + (xy 166.87238 158.200868) + (xy 166.873563 158.196975) + (xy 166.903622 157.968649) + (xy 166.9053 157.9) + (xy 166.898503 157.817325) + (xy 166.886763 157.674525) + (xy 166.886762 157.674522) + (xy 166.88643 157.670478) + (xy 166.868946 157.600869) + (xy 166.831317 157.451066) + (xy 166.830326 157.44712) + (xy 166.755309 157.274591) + (xy 166.740118 157.239654) + (xy 166.740117 157.239652) + (xy 166.738496 157.235924) + (xy 166.613405 157.042563) + (xy 166.608046 157.036673) + (xy 166.461149 156.875237) + (xy 166.458412 156.872229) + (xy 166.455226 156.869713) + (xy 166.455223 156.86971) + (xy 166.280869 156.732013) + (xy 166.280863 156.732009) + (xy 166.277681 156.729496) + (xy 166.230296 156.703338) + (xy 166.079623 156.620162) + (xy 166.079622 156.620161) + (xy 166.076065 156.618198) + (xy 166.072234 156.616841) + (xy 166.072231 156.61684) + (xy 165.862814 156.542681) + (xy 165.862809 156.54268) + (xy 165.858978 156.541323) + (xy 165.63225 156.500937) + (xy 165.628204 156.500888) + (xy 165.628198 156.500887) + (xy 165.501094 156.499334) + (xy 165.401971 156.498123) + (xy 165.174325 156.532958) + (xy 165.075384 156.565297) + (xy 164.959281 156.603245) + (xy 164.959277 156.603247) + (xy 164.955424 156.604506) + (xy 164.951824 156.60638) + (xy 164.754754 156.708968) + (xy 164.754751 156.70897) + (xy 164.751149 156.710845) + (xy 164.566984 156.849119) + (xy 164.407877 157.015616) + (xy 164.405585 157.018976) + (xy 164.280389 157.202505) + (xy 164.280386 157.202511) + (xy 164.278099 157.205863) + (xy 164.181136 157.414752) + (xy 164.119592 157.636673) + (xy 164.095119 157.865665) + (xy 136.665399 157.865665) + (xy 136.665806 155.865665) + (xy 160.095119 155.865665) + (xy 160.108376 156.09558) + (xy 160.115577 156.127533) + (xy 160.155942 156.306644) + (xy 160.159006 156.320242) + (xy 160.160535 156.324006) + (xy 160.160536 156.324011) + (xy 160.244118 156.529848) + (xy 160.245649 156.533618) + (xy 160.247773 156.537084) + (xy 160.247775 156.537088) + (xy 160.31637 156.649024) + (xy 160.365979 156.729978) + (xy 160.368638 156.733048) + (xy 160.368639 156.733049) + (xy 160.491806 156.875237) + (xy 160.516763 156.904048) + (xy 160.693953 157.051154) + (xy 160.89279 157.167345) + (xy 161.107934 157.249501) + (xy 161.111909 157.25031) + (xy 161.11191 157.25031) + (xy 161.329624 157.294604) + (xy 161.329628 157.294604) + (xy 161.333607 157.295414) + (xy 161.337667 157.295563) + (xy 161.337668 157.295563) + (xy 161.379459 157.297095) + (xy 161.563749 157.303853) + (xy 161.567767 157.303338) + (xy 161.567773 157.303338) + (xy 161.788146 157.275108) + (xy 161.788152 157.275107) + (xy 161.792178 157.274591) + (xy 161.796071 157.273423) + (xy 161.796076 157.273422) + (xy 161.954836 157.225791) + (xy 162.012761 157.208413) + (xy 162.219574 157.107096) + (xy 162.407062 156.973363) + (xy 162.57019 156.810803) + (xy 162.704577 156.623783) + (xy 162.806615 156.417325) + (xy 162.819256 156.375721) + (xy 162.87238 156.200869) + (xy 162.87238 156.200868) + (xy 162.873563 156.196975) + (xy 162.903622 155.968649) + (xy 162.9053 155.9) + (xy 162.90281 155.869717) + (xy 162.902477 155.865665) + (xy 168.095119 155.865665) + (xy 168.108376 156.09558) + (xy 168.115577 156.127533) + (xy 168.155942 156.306644) + (xy 168.159006 156.320242) + (xy 168.160535 156.324006) + (xy 168.160536 156.324011) + (xy 168.244118 156.529848) + (xy 168.245649 156.533618) + (xy 168.247773 156.537084) + (xy 168.247775 156.537088) + (xy 168.31637 156.649024) + (xy 168.365979 156.729978) + (xy 168.368638 156.733048) + (xy 168.368639 156.733049) + (xy 168.491806 156.875237) + (xy 168.516763 156.904048) + (xy 168.693953 157.051154) + (xy 168.89279 157.167345) + (xy 169.107934 157.249501) + (xy 169.111909 157.25031) + (xy 169.11191 157.25031) + (xy 169.329624 157.294604) + (xy 169.329628 157.294604) + (xy 169.333607 157.295414) + (xy 169.337667 157.295563) + (xy 169.337668 157.295563) + (xy 169.379459 157.297095) + (xy 169.563749 157.303853) + (xy 169.567767 157.303338) + (xy 169.567773 157.303338) + (xy 169.788146 157.275108) + (xy 169.788152 157.275107) + (xy 169.792178 157.274591) + (xy 169.796071 157.273423) + (xy 169.796076 157.273422) + (xy 169.821931 157.265665) + (xy 264.495119 157.265665) + (xy 264.508376 157.49558) + (xy 264.509268 157.499537) + (xy 264.541086 157.640723) + (xy 264.559006 157.720242) + (xy 264.560535 157.724006) + (xy 264.560536 157.724011) + (xy 264.632667 157.901648) + (xy 264.645649 157.933618) + (xy 264.647773 157.937084) + (xy 264.647775 157.937088) + (xy 264.689089 158.004506) + (xy 264.765979 158.129978) + (xy 264.768638 158.133048) + (xy 264.768639 158.133049) + (xy 264.891806 158.275237) + (xy 264.916763 158.304048) + (xy 265.093953 158.451154) + (xy 265.29279 158.567345) + (xy 265.507934 158.649501) + (xy 265.511909 158.65031) + (xy 265.51191 158.65031) + (xy 265.729624 158.694604) + (xy 265.729628 158.694604) + (xy 265.733607 158.695414) + (xy 265.737667 158.695563) + (xy 265.737668 158.695563) + (xy 265.779459 158.697095) + (xy 265.963749 158.703853) + (xy 265.967767 158.703338) + (xy 265.967773 158.703338) + (xy 266.188146 158.675108) + (xy 266.188152 158.675107) + (xy 266.192178 158.674591) + (xy 266.196071 158.673423) + (xy 266.196076 158.673422) + (xy 266.36153 158.623783) + (xy 266.412761 158.608413) + (xy 266.619574 158.507096) + (xy 266.807062 158.373363) + (xy 266.97019 158.210803) + (xy 267.104577 158.023783) + (xy 267.206615 157.817325) + (xy 267.236112 157.720242) + (xy 267.27238 157.600869) + (xy 267.27238 157.600868) + (xy 267.273563 157.596975) + (xy 267.303622 157.368649) + (xy 267.3053 157.3) + (xy 267.304923 157.295414) + (xy 267.302477 157.265665) + (xy 272.495119 157.265665) + (xy 272.508376 157.49558) + (xy 272.509268 157.499537) + (xy 272.541086 157.640723) + (xy 272.559006 157.720242) + (xy 272.560535 157.724006) + (xy 272.560536 157.724011) + (xy 272.632667 157.901648) + (xy 272.645649 157.933618) + (xy 272.647773 157.937084) + (xy 272.647775 157.937088) + (xy 272.689089 158.004506) + (xy 272.765979 158.129978) + (xy 272.768638 158.133048) + (xy 272.768639 158.133049) + (xy 272.891806 158.275237) + (xy 272.916763 158.304048) + (xy 273.093953 158.451154) + (xy 273.29279 158.567345) + (xy 273.507934 158.649501) + (xy 273.511909 158.65031) + (xy 273.51191 158.65031) + (xy 273.729624 158.694604) + (xy 273.729628 158.694604) + (xy 273.733607 158.695414) + (xy 273.737667 158.695563) + (xy 273.737668 158.695563) + (xy 273.779459 158.697095) + (xy 273.963749 158.703853) + (xy 273.967767 158.703338) + (xy 273.967773 158.703338) + (xy 274.188146 158.675108) + (xy 274.188152 158.675107) + (xy 274.192178 158.674591) + (xy 274.196071 158.673423) + (xy 274.196076 158.673422) + (xy 274.36153 158.623783) + (xy 274.412761 158.608413) + (xy 274.619574 158.507096) + (xy 274.807062 158.373363) + (xy 274.97019 158.210803) + (xy 275.104577 158.023783) + (xy 275.206615 157.817325) + (xy 275.236112 157.720242) + (xy 275.27238 157.600869) + (xy 275.27238 157.600868) + (xy 275.273563 157.596975) + (xy 275.303622 157.368649) + (xy 275.3053 157.3) + (xy 275.304923 157.295414) + (xy 275.286763 157.074525) + (xy 275.286762 157.074522) + (xy 275.28643 157.070478) + (xy 275.279419 157.042563) + (xy 275.244625 156.904048) + (xy 275.230326 156.84712) + (xy 275.155309 156.674591) + (xy 275.140118 156.639654) + (xy 275.140117 156.639652) + (xy 275.138496 156.635924) + (xy 275.013405 156.442563) + (xy 274.858412 156.272229) + (xy 274.855226 156.269713) + (xy 274.855223 156.26971) + (xy 274.680869 156.132013) + (xy 274.680863 156.132009) + (xy 274.677681 156.129496) + (xy 274.608908 156.091531) + (xy 274.479623 156.020162) + (xy 274.479622 156.020161) + (xy 274.476065 156.018198) + (xy 274.472234 156.016841) + (xy 274.472231 156.01684) + (xy 274.262814 155.942681) + (xy 274.262809 155.94268) + (xy 274.258978 155.941323) + (xy 274.03225 155.900937) + (xy 274.028204 155.900888) + (xy 274.028198 155.900887) + (xy 273.901094 155.899334) + (xy 273.801971 155.898123) + (xy 273.574325 155.932958) + (xy 273.457116 155.971268) + (xy 273.359281 156.003245) + (xy 273.359277 156.003247) + (xy 273.355424 156.004506) + (xy 273.351824 156.00638) + (xy 273.154754 156.108968) + (xy 273.154751 156.10897) + (xy 273.151149 156.110845) + (xy 272.966984 156.249119) + (xy 272.807877 156.415616) + (xy 272.805585 156.418976) + (xy 272.680389 156.602505) + (xy 272.680386 156.602511) + (xy 272.678099 156.605863) + (xy 272.581136 156.814752) + (xy 272.557104 156.90141) + (xy 272.525432 157.015616) + (xy 272.519592 157.036673) + (xy 272.519159 157.040721) + (xy 272.519159 157.040723) + (xy 272.518321 157.048562) + (xy 272.495119 157.265665) + (xy 267.302477 157.265665) + (xy 267.286763 157.074525) + (xy 267.286762 157.074522) + (xy 267.28643 157.070478) + (xy 267.279419 157.042563) + (xy 267.244625 156.904048) + (xy 267.230326 156.84712) + (xy 267.155309 156.674591) + (xy 267.140118 156.639654) + (xy 267.140117 156.639652) + (xy 267.138496 156.635924) + (xy 267.013405 156.442563) + (xy 266.858412 156.272229) + (xy 266.855226 156.269713) + (xy 266.855223 156.26971) + (xy 266.680869 156.132013) + (xy 266.680863 156.132009) + (xy 266.677681 156.129496) + (xy 266.608908 156.091531) + (xy 266.479623 156.020162) + (xy 266.479622 156.020161) + (xy 266.476065 156.018198) + (xy 266.472234 156.016841) + (xy 266.472231 156.01684) + (xy 266.262814 155.942681) + (xy 266.262809 155.94268) + (xy 266.258978 155.941323) + (xy 266.03225 155.900937) + (xy 266.028204 155.900888) + (xy 266.028198 155.900887) + (xy 265.901094 155.899334) + (xy 265.801971 155.898123) + (xy 265.574325 155.932958) + (xy 265.457116 155.971268) + (xy 265.359281 156.003245) + (xy 265.359277 156.003247) + (xy 265.355424 156.004506) + (xy 265.351824 156.00638) + (xy 265.154754 156.108968) + (xy 265.154751 156.10897) + (xy 265.151149 156.110845) + (xy 264.966984 156.249119) + (xy 264.807877 156.415616) + (xy 264.805585 156.418976) + (xy 264.680389 156.602505) + (xy 264.680386 156.602511) + (xy 264.678099 156.605863) + (xy 264.581136 156.814752) + (xy 264.557104 156.90141) + (xy 264.525432 157.015616) + (xy 264.519592 157.036673) + (xy 264.519159 157.040721) + (xy 264.519159 157.040723) + (xy 264.518321 157.048562) + (xy 264.495119 157.265665) + (xy 169.821931 157.265665) + (xy 169.954836 157.225791) + (xy 170.012761 157.208413) + (xy 170.219574 157.107096) + (xy 170.407062 156.973363) + (xy 170.57019 156.810803) + (xy 170.704577 156.623783) + (xy 170.806615 156.417325) + (xy 170.819256 156.375721) + (xy 170.87238 156.200869) + (xy 170.87238 156.200868) + (xy 170.873563 156.196975) + (xy 170.903622 155.968649) + (xy 170.9053 155.9) + (xy 170.90281 155.869717) + (xy 170.894484 155.76844) + (xy 194.59477 155.76844) + (xy 194.597719 155.813439) + (xy 194.608064 155.971268) + (xy 194.6092 155.988604) + (xy 194.610316 155.992997) + (xy 194.610316 155.992999) + (xy 194.645885 156.133049) + (xy 194.663511 156.202452) + (xy 194.755883 156.402821) + (xy 194.883222 156.583002) + (xy 195.041264 156.736961) + (xy 195.224717 156.85954) + (xy 195.427436 156.946635) + (xy 195.505165 156.964223) + (xy 195.638206 156.994328) + (xy 195.638211 156.994329) + (xy 195.642632 156.995329) + (xy 195.752865 156.99966) + (xy 195.858565 157.003813) + (xy 195.858566 157.003813) + (xy 195.863098 157.003991) + (xy 196.081452 156.972331) + (xy 196.085751 156.970872) + (xy 196.085754 156.970871) + (xy 196.286078 156.90287) + (xy 196.290379 156.90141) + (xy 196.337115 156.875237) + (xy 196.447043 156.813674) + (xy 196.482884 156.793602) + (xy 196.652518 156.652518) + (xy 196.793602 156.482884) + (xy 196.884686 156.320242) + (xy 196.899192 156.29434) + (xy 196.899193 156.294338) + (xy 196.90141 156.290379) + (xy 196.931257 156.202452) + (xy 196.970871 156.085754) + (xy 196.970872 156.085751) + (xy 196.972331 156.081452) + (xy 196.981221 156.02014) + (xy 197.003571 155.865997) + (xy 197.003571 155.865991) + (xy 197.003991 155.863098) + (xy 197.00519 155.817325) + (xy 197.005567 155.802914) + (xy 197.005567 155.802909) + (xy 197.005643 155.8) + (xy 197.002743 155.76844) + (xy 241.99477 155.76844) + (xy 241.997719 155.813439) + (xy 242.008064 155.971268) + (xy 242.0092 155.988604) + (xy 242.010316 155.992997) + (xy 242.010316 155.992999) + (xy 242.045885 156.133049) + (xy 242.063511 156.202452) + (xy 242.155883 156.402821) + (xy 242.283222 156.583002) + (xy 242.441264 156.736961) + (xy 242.624717 156.85954) + (xy 242.827436 156.946635) + (xy 242.905165 156.964223) + (xy 243.038206 156.994328) + (xy 243.038211 156.994329) + (xy 243.042632 156.995329) + (xy 243.152865 156.99966) + (xy 243.258565 157.003813) + (xy 243.258566 157.003813) + (xy 243.263098 157.003991) + (xy 243.481452 156.972331) + (xy 243.485751 156.970872) + (xy 243.485754 156.970871) + (xy 243.686078 156.90287) + (xy 243.690379 156.90141) + (xy 243.737115 156.875237) + (xy 243.847043 156.813674) + (xy 243.882884 156.793602) + (xy 244.052518 156.652518) + (xy 244.193602 156.482884) + (xy 244.284686 156.320242) + (xy 244.299192 156.29434) + (xy 244.299193 156.294338) + (xy 244.30141 156.290379) + (xy 244.331257 156.202452) + (xy 244.370871 156.085754) + (xy 244.370872 156.085751) + (xy 244.372331 156.081452) + (xy 244.381221 156.02014) + (xy 244.403571 155.865997) + (xy 244.403571 155.865991) + (xy 244.403991 155.863098) + (xy 244.40519 155.817325) + (xy 244.405567 155.802914) + (xy 244.405567 155.802909) + (xy 244.405643 155.8) + (xy 244.398661 155.724011) + (xy 244.386987 155.596975) + (xy 244.385454 155.580289) + (xy 244.338768 155.414752) + (xy 244.326799 155.372311) + (xy 244.326798 155.37231) + (xy 244.325565 155.367936) + (xy 244.323557 155.363864) + (xy 244.323555 155.363859) + (xy 244.275131 155.265665) + (xy 268.495119 155.265665) + (xy 268.508376 155.49558) + (xy 268.509268 155.499537) + (xy 268.541086 155.640723) + (xy 268.559006 155.720242) + (xy 268.560535 155.724006) + (xy 268.560536 155.724011) + (xy 268.632667 155.901648) + (xy 268.645649 155.933618) + (xy 268.647773 155.937084) + (xy 268.647775 155.937088) + (xy 268.689089 156.004506) + (xy 268.765979 156.129978) + (xy 268.768638 156.133048) + (xy 268.768639 156.133049) + (xy 268.908354 156.29434) + (xy 268.916763 156.304048) + (xy 269.093953 156.451154) + (xy 269.29279 156.567345) + (xy 269.507934 156.649501) + (xy 269.511909 156.65031) + (xy 269.51191 156.65031) + (xy 269.729624 156.694604) + (xy 269.729628 156.694604) + (xy 269.733607 156.695414) + (xy 269.737667 156.695563) + (xy 269.737668 156.695563) + (xy 269.779459 156.697095) + (xy 269.963749 156.703853) + (xy 269.967767 156.703338) + (xy 269.967773 156.703338) + (xy 270.188146 156.675108) + (xy 270.188152 156.675107) + (xy 270.192178 156.674591) + (xy 270.196071 156.673423) + (xy 270.196076 156.673422) + (xy 270.36153 156.623783) + (xy 270.412761 156.608413) + (xy 270.619574 156.507096) + (xy 270.807062 156.373363) + (xy 270.97019 156.210803) + (xy 271.104577 156.023783) + (xy 271.206615 155.817325) + (xy 271.210994 155.802914) + (xy 271.27238 155.600869) + (xy 271.27238 155.600868) + (xy 271.273563 155.596975) + (xy 271.303622 155.368649) + (xy 271.3053 155.3) + (xy 271.304923 155.295414) + (xy 271.286763 155.074525) + (xy 271.286762 155.074522) + (xy 271.28643 155.070478) + (xy 271.279419 155.042563) + (xy 271.244556 154.90377) + (xy 271.230326 154.84712) + (xy 271.177559 154.725764) + (xy 271.140118 154.639654) + (xy 271.140117 154.639652) + (xy 271.138496 154.635924) + (xy 271.013405 154.442563) + (xy 271.006905 154.435419) + (xy 270.861149 154.275237) + (xy 270.858412 154.272229) + (xy 270.855226 154.269713) + (xy 270.855223 154.26971) + (xy 270.680869 154.132013) + (xy 270.680863 154.132009) + (xy 270.677681 154.129496) + (xy 270.51909 154.041949) + (xy 270.479623 154.020162) + (xy 270.479622 154.020161) + (xy 270.476065 154.018198) + (xy 270.472234 154.016841) + (xy 270.472231 154.01684) + (xy 270.262814 153.942681) + (xy 270.262809 153.94268) + (xy 270.258978 153.941323) + (xy 270.03225 153.900937) + (xy 270.028204 153.900888) + (xy 270.028198 153.900887) + (xy 269.901094 153.899334) + (xy 269.801971 153.898123) + (xy 269.574325 153.932958) + (xy 269.457116 153.971268) + (xy 269.359281 154.003245) + (xy 269.359277 154.003247) + (xy 269.355424 154.004506) + (xy 269.351824 154.00638) + (xy 269.154754 154.108968) + (xy 269.154751 154.10897) + (xy 269.151149 154.110845) + (xy 268.966984 154.249119) + (xy 268.807877 154.415616) + (xy 268.805585 154.418976) + (xy 268.680389 154.602505) + (xy 268.680386 154.602511) + (xy 268.678099 154.605863) + (xy 268.676387 154.60955) + (xy 268.676387 154.609551) + (xy 268.668248 154.627085) + (xy 268.581136 154.814752) + (xy 268.542722 154.95327) + (xy 268.525432 155.015616) + (xy 268.519592 155.036673) + (xy 268.519159 155.040721) + (xy 268.519159 155.040723) + (xy 268.518321 155.048562) + (xy 268.495119 155.265665) + (xy 244.275131 155.265665) + (xy 244.229988 155.174125) + (xy 244.22798 155.170053) + (xy 244.095967 154.993267) + (xy 244.074435 154.973363) + (xy 243.937279 154.846577) + (xy 243.937278 154.846576) + (xy 243.933949 154.843499) + (xy 243.894598 154.81867) + (xy 243.751187 154.728185) + (xy 243.74735 154.725764) + (xy 243.739112 154.722477) + (xy 243.616153 154.673422) + (xy 243.542421 154.644006) + (xy 243.326024 154.600962) + (xy 243.217347 154.599539) + (xy 243.109946 154.598133) + (xy 243.109941 154.598133) + (xy 243.105406 154.598074) + (xy 243.100933 154.598843) + (xy 243.100928 154.598843) + (xy 242.892435 154.634668) + (xy 242.892429 154.63467) + (xy 242.887957 154.635438) + (xy 242.847645 154.65031) + (xy 242.68522 154.710231) + (xy 242.685217 154.710232) + (xy 242.680957 154.711804) + (xy 242.677054 154.714126) + (xy 242.677052 154.714127) + (xy 242.650409 154.729978) + (xy 242.491341 154.824614) + (xy 242.487926 154.827609) + (xy 242.487923 154.827611) + (xy 242.404268 154.900975) + (xy 242.325457 154.97009) + (xy 242.322649 154.973652) + (xy 242.243128 155.074525) + (xy 242.188863 155.14336) + (xy 242.186749 155.147378) + (xy 242.108785 155.295563) + (xy 242.086131 155.33862) + (xy 242.020703 155.549333) + (xy 242.020169 155.553843) + (xy 242.020169 155.553844) + (xy 241.995303 155.763936) + (xy 241.99477 155.76844) + (xy 197.002743 155.76844) + (xy 196.998661 155.724011) + (xy 196.986987 155.596975) + (xy 196.985454 155.580289) + (xy 196.938768 155.414752) + (xy 196.926799 155.372311) + (xy 196.926798 155.37231) + (xy 196.925565 155.367936) + (xy 196.923557 155.363864) + (xy 196.923555 155.363859) + (xy 196.829988 155.174125) + (xy 196.82798 155.170053) + (xy 196.695967 154.993267) + (xy 196.674435 154.973363) + (xy 196.537279 154.846577) + (xy 196.537278 154.846576) + (xy 196.533949 154.843499) + (xy 196.494598 154.81867) + (xy 196.351187 154.728185) + (xy 196.34735 154.725764) + (xy 196.339112 154.722477) + (xy 196.216153 154.673422) + (xy 196.142421 154.644006) + (xy 195.926024 154.600962) + (xy 195.817347 154.599539) + (xy 195.709946 154.598133) + (xy 195.709941 154.598133) + (xy 195.705406 154.598074) + (xy 195.700933 154.598843) + (xy 195.700928 154.598843) + (xy 195.492435 154.634668) + (xy 195.492429 154.63467) + (xy 195.487957 154.635438) + (xy 195.447645 154.65031) + (xy 195.28522 154.710231) + (xy 195.285217 154.710232) + (xy 195.280957 154.711804) + (xy 195.277054 154.714126) + (xy 195.277052 154.714127) + (xy 195.250409 154.729978) + (xy 195.091341 154.824614) + (xy 195.087926 154.827609) + (xy 195.087923 154.827611) + (xy 195.004268 154.900975) + (xy 194.925457 154.97009) + (xy 194.922649 154.973652) + (xy 194.843128 155.074525) + (xy 194.788863 155.14336) + (xy 194.786749 155.147378) + (xy 194.708785 155.295563) + (xy 194.686131 155.33862) + (xy 194.620703 155.549333) + (xy 194.620169 155.553843) + (xy 194.620169 155.553844) + (xy 194.595303 155.763936) + (xy 194.59477 155.76844) + (xy 170.894484 155.76844) + (xy 170.886763 155.674525) + (xy 170.886762 155.674522) + (xy 170.88643 155.670478) + (xy 170.868946 155.600869) + (xy 170.831317 155.451066) + (xy 170.830326 155.44712) + (xy 170.755309 155.274591) + (xy 170.740118 155.239654) + (xy 170.740117 155.239652) + (xy 170.738496 155.235924) + (xy 170.613405 155.042563) + (xy 170.608046 155.036673) + (xy 170.468071 154.882844) + (xy 170.458412 154.872229) + (xy 170.455226 154.869713) + (xy 170.455223 154.86971) + (xy 170.280869 154.732013) + (xy 170.280863 154.732009) + (xy 170.277681 154.729496) + (xy 170.230296 154.703338) + (xy 170.079623 154.620162) + (xy 170.079622 154.620161) + (xy 170.076065 154.618198) + (xy 170.072234 154.616841) + (xy 170.072231 154.61684) + (xy 169.862814 154.542681) + (xy 169.862809 154.54268) + (xy 169.858978 154.541323) + (xy 169.63225 154.500937) + (xy 169.628204 154.500888) + (xy 169.628198 154.500887) + (xy 169.501094 154.499334) + (xy 169.401971 154.498123) + (xy 169.174325 154.532958) + (xy 169.075384 154.565297) + (xy 168.959281 154.603245) + (xy 168.959277 154.603247) + (xy 168.955424 154.604506) + (xy 168.951824 154.60638) + (xy 168.754754 154.708968) + (xy 168.754751 154.70897) + (xy 168.751149 154.710845) + (xy 168.566984 154.849119) + (xy 168.407877 155.015616) + (xy 168.405585 155.018976) + (xy 168.280389 155.202505) + (xy 168.280386 155.202511) + (xy 168.278099 155.205863) + (xy 168.181136 155.414752) + (xy 168.119592 155.636673) + (xy 168.095119 155.865665) + (xy 162.902477 155.865665) + (xy 162.886763 155.674525) + (xy 162.886762 155.674522) + (xy 162.88643 155.670478) + (xy 162.868946 155.600869) + (xy 162.831317 155.451066) + (xy 162.830326 155.44712) + (xy 162.755309 155.274591) + (xy 162.740118 155.239654) + (xy 162.740117 155.239652) + (xy 162.738496 155.235924) + (xy 162.613405 155.042563) + (xy 162.608046 155.036673) + (xy 162.468071 154.882844) + (xy 162.458412 154.872229) + (xy 162.455226 154.869713) + (xy 162.455223 154.86971) + (xy 162.280869 154.732013) + (xy 162.280863 154.732009) + (xy 162.277681 154.729496) + (xy 162.230296 154.703338) + (xy 162.079623 154.620162) + (xy 162.079622 154.620161) + (xy 162.076065 154.618198) + (xy 162.072234 154.616841) + (xy 162.072231 154.61684) + (xy 161.862814 154.542681) + (xy 161.862809 154.54268) + (xy 161.858978 154.541323) + (xy 161.63225 154.500937) + (xy 161.628204 154.500888) + (xy 161.628198 154.500887) + (xy 161.501094 154.499334) + (xy 161.401971 154.498123) + (xy 161.174325 154.532958) + (xy 161.075384 154.565297) + (xy 160.959281 154.603245) + (xy 160.959277 154.603247) + (xy 160.955424 154.604506) + (xy 160.951824 154.60638) + (xy 160.754754 154.708968) + (xy 160.754751 154.70897) + (xy 160.751149 154.710845) + (xy 160.566984 154.849119) + (xy 160.407877 155.015616) + (xy 160.405585 155.018976) + (xy 160.280389 155.202505) + (xy 160.280386 155.202511) + (xy 160.278099 155.205863) + (xy 160.181136 155.414752) + (xy 160.119592 155.636673) + (xy 160.095119 155.865665) + (xy 136.665806 155.865665) + (xy 136.665988 154.97255) + (xy 136.684907 154.914363) + (xy 136.734414 154.878409) + (xy 136.765221 154.87357) + (xy 138.726911 154.878194) + (xy 138.749032 154.880751) + (xy 138.755063 154.882149) + (xy 138.75507 154.88215) + (xy 138.760532 154.883416) + (xy 138.761248 154.883417) + (xy 138.762869 154.883048) + (xy 138.763785 154.883153) + (xy 138.763762 154.882844) + (xy 138.79169 154.880751) + (xy 139.014838 154.864028) + (xy 139.187523 154.824614) + (xy 139.25915 154.808266) + (xy 139.259154 154.808265) + (xy 139.262763 154.807441) + (xy 139.45231 154.733049) + (xy 139.496036 154.715888) + (xy 139.49604 154.715886) + (xy 139.499485 154.714534) + (xy 139.705097 154.595824) + (xy 139.71651 154.589235) + (xy 139.719716 154.587384) + (xy 139.827226 154.501648) + (xy 139.915637 154.431143) + (xy 139.91564 154.431141) + (xy 139.918537 154.42883) + (xy 140.091505 154.242414) + (xy 140.234758 154.032301) + (xy 140.29935 153.898173) + (xy 140.315005 153.865665) + (xy 164.095119 153.865665) + (xy 164.108376 154.09558) + (xy 164.115577 154.127533) + (xy 164.155942 154.306644) + (xy 164.159006 154.320242) + (xy 164.160535 154.324006) + (xy 164.160536 154.324011) + (xy 164.241963 154.524541) + (xy 164.245649 154.533618) + (xy 164.247773 154.537084) + (xy 164.247775 154.537088) + (xy 164.316662 154.649501) + (xy 164.365979 154.729978) + (xy 164.368638 154.733048) + (xy 164.368639 154.733049) + (xy 164.504599 154.890006) + (xy 164.516763 154.904048) + (xy 164.693953 155.051154) + (xy 164.89279 155.167345) + (xy 165.107934 155.249501) + (xy 165.111909 155.25031) + (xy 165.11191 155.25031) + (xy 165.329624 155.294604) + (xy 165.329628 155.294604) + (xy 165.333607 155.295414) + (xy 165.337667 155.295563) + (xy 165.337668 155.295563) + (xy 165.379459 155.297095) + (xy 165.563749 155.303853) + (xy 165.567767 155.303338) + (xy 165.567773 155.303338) + (xy 165.788146 155.275108) + (xy 165.788152 155.275107) + (xy 165.792178 155.274591) + (xy 165.796071 155.273423) + (xy 165.796076 155.273422) + (xy 165.954836 155.225791) + (xy 166.012761 155.208413) + (xy 166.219574 155.107096) + (xy 166.407062 154.973363) + (xy 166.57019 154.810803) + (xy 166.704577 154.623783) + (xy 166.806615 154.417325) + (xy 166.819256 154.375721) + (xy 166.87238 154.200869) + (xy 166.87238 154.200868) + (xy 166.873563 154.196975) + (xy 166.903622 153.968649) + (xy 166.9053 153.9) + (xy 166.898503 153.817325) + (xy 166.886763 153.674525) + (xy 166.886762 153.674522) + (xy 166.88643 153.670478) + (xy 166.868946 153.600869) + (xy 166.831317 153.451066) + (xy 166.830326 153.44712) + (xy 166.784411 153.341522) + (xy 166.740118 153.239654) + (xy 166.740117 153.239652) + (xy 166.738496 153.235924) + (xy 166.613405 153.042563) + (xy 166.608046 153.036673) + (xy 166.461149 152.875237) + (xy 166.458412 152.872229) + (xy 166.455226 152.869713) + (xy 166.455223 152.86971) + (xy 166.280869 152.732013) + (xy 166.280863 152.732009) + (xy 166.277681 152.729496) + (xy 166.230296 152.703338) + (xy 166.079623 152.620162) + (xy 166.079622 152.620161) + (xy 166.076065 152.618198) + (xy 166.072234 152.616841) + (xy 166.072231 152.61684) + (xy 165.862814 152.542681) + (xy 165.862809 152.54268) + (xy 165.858978 152.541323) + (xy 165.63225 152.500937) + (xy 165.628204 152.500888) + (xy 165.628198 152.500887) + (xy 165.501094 152.499334) + (xy 165.401971 152.498123) + (xy 165.174325 152.532958) + (xy 165.101913 152.556626) + (xy 164.959281 152.603245) + (xy 164.959277 152.603247) + (xy 164.955424 152.604506) + (xy 164.951824 152.60638) + (xy 164.754754 152.708968) + (xy 164.754751 152.70897) + (xy 164.751149 152.710845) + (xy 164.566984 152.849119) + (xy 164.407877 153.015616) + (xy 164.405585 153.018976) + (xy 164.280389 153.202505) + (xy 164.280386 153.202511) + (xy 164.278099 153.205863) + (xy 164.181136 153.414752) + (xy 164.119592 153.636673) + (xy 164.095119 153.865665) + (xy 140.315005 153.865665) + (xy 140.343489 153.806518) + (xy 140.343489 153.806517) + (xy 140.345095 153.803183) + (xy 140.366615 153.733418) + (xy 140.41896 153.563721) + (xy 140.418961 153.563718) + (xy 140.420052 153.56018) + (xy 140.441381 153.41867) + (xy 140.457401 153.312384) + (xy 140.457401 153.312379) + (xy 140.457953 153.308719) + (xy 140.457953 153.054419) + (xy 140.457071 153.048562) + (xy 140.420605 152.806627) + (xy 140.420052 152.802958) + (xy 140.345095 152.559955) + (xy 140.330596 152.529848) + (xy 140.236363 152.33417) + (xy 140.236361 152.334166) + (xy 140.234758 152.330838) + (xy 140.091505 152.120724) + (xy 139.918537 151.934308) + (xy 139.912945 151.929848) + (xy 139.832462 151.865665) + (xy 160.095119 151.865665) + (xy 160.108376 152.09558) + (xy 160.115577 152.127533) + (xy 160.156681 152.309924) + (xy 160.159006 152.320242) + (xy 160.160535 152.324006) + (xy 160.160536 152.324011) + (xy 160.244118 152.529848) + (xy 160.245649 152.533618) + (xy 160.247773 152.537084) + (xy 160.247775 152.537088) + (xy 160.316662 152.649501) + (xy 160.365979 152.729978) + (xy 160.368638 152.733048) + (xy 160.368639 152.733049) + (xy 160.491806 152.875237) + (xy 160.516763 152.904048) + (xy 160.693953 153.051154) + (xy 160.89279 153.167345) + (xy 161.107934 153.249501) + (xy 161.111909 153.25031) + (xy 161.11191 153.25031) + (xy 161.329624 153.294604) + (xy 161.329628 153.294604) + (xy 161.333607 153.295414) + (xy 161.337667 153.295563) + (xy 161.337668 153.295563) + (xy 161.379459 153.297095) + (xy 161.563749 153.303853) + (xy 161.567767 153.303338) + (xy 161.567773 153.303338) + (xy 161.788146 153.275108) + (xy 161.788152 153.275107) + (xy 161.792178 153.274591) + (xy 161.796071 153.273423) + (xy 161.796076 153.273422) + (xy 161.954836 153.225791) + (xy 162.012761 153.208413) + (xy 162.219574 153.107096) + (xy 162.407062 152.973363) + (xy 162.57019 152.810803) + (xy 162.704577 152.623783) + (xy 162.806615 152.417325) + (xy 162.819256 152.375721) + (xy 162.87238 152.200869) + (xy 162.87238 152.200868) + (xy 162.873563 152.196975) + (xy 162.903622 151.968649) + (xy 162.9053 151.9) + (xy 162.904592 151.891389) + (xy 162.902477 151.865665) + (xy 168.095119 151.865665) + (xy 168.108376 152.09558) + (xy 168.115577 152.127533) + (xy 168.156681 152.309924) + (xy 168.159006 152.320242) + (xy 168.160535 152.324006) + (xy 168.160536 152.324011) + (xy 168.244118 152.529848) + (xy 168.245649 152.533618) + (xy 168.247773 152.537084) + (xy 168.247775 152.537088) + (xy 168.316662 152.649501) + (xy 168.365979 152.729978) + (xy 168.368638 152.733048) + (xy 168.368639 152.733049) + (xy 168.491806 152.875237) + (xy 168.516763 152.904048) + (xy 168.693953 153.051154) + (xy 168.89279 153.167345) + (xy 169.107934 153.249501) + (xy 169.111909 153.25031) + (xy 169.11191 153.25031) + (xy 169.329624 153.294604) + (xy 169.329628 153.294604) + (xy 169.333607 153.295414) + (xy 169.337667 153.295563) + (xy 169.337668 153.295563) + (xy 169.379459 153.297095) + (xy 169.563749 153.303853) + (xy 169.567767 153.303338) + (xy 169.567773 153.303338) + (xy 169.788146 153.275108) + (xy 169.788152 153.275107) + (xy 169.792178 153.274591) + (xy 169.796071 153.273423) + (xy 169.796076 153.273422) + (xy 169.821931 153.265665) + (xy 264.495119 153.265665) + (xy 264.508376 153.49558) + (xy 264.522934 153.56018) + (xy 264.541086 153.640723) + (xy 264.559006 153.720242) + (xy 264.560535 153.724006) + (xy 264.560536 153.724011) + (xy 264.632667 153.901648) + (xy 264.645649 153.933618) + (xy 264.647773 153.937084) + (xy 264.647775 153.937088) + (xy 264.722976 154.059803) + (xy 264.765979 154.129978) + (xy 264.768638 154.133048) + (xy 264.768639 154.133049) + (xy 264.891806 154.275237) + (xy 264.916763 154.304048) + (xy 265.093953 154.451154) + (xy 265.29279 154.567345) + (xy 265.507934 154.649501) + (xy 265.511909 154.65031) + (xy 265.51191 154.65031) + (xy 265.729624 154.694604) + (xy 265.729628 154.694604) + (xy 265.733607 154.695414) + (xy 265.737667 154.695563) + (xy 265.737668 154.695563) + (xy 265.779459 154.697095) + (xy 265.963749 154.703853) + (xy 265.967767 154.703338) + (xy 265.967773 154.703338) + (xy 266.188146 154.675108) + (xy 266.188152 154.675107) + (xy 266.192178 154.674591) + (xy 266.196071 154.673423) + (xy 266.196076 154.673422) + (xy 266.36153 154.623783) + (xy 266.412761 154.608413) + (xy 266.619574 154.507096) + (xy 266.807062 154.373363) + (xy 266.97019 154.210803) + (xy 267.104577 154.023783) + (xy 267.206615 153.817325) + (xy 267.209899 153.806518) + (xy 267.27238 153.600869) + (xy 267.27238 153.600868) + (xy 267.273563 153.596975) + (xy 267.277074 153.57031) + (xy 267.297037 153.41867) + (xy 267.303622 153.368649) + (xy 267.3053 153.3) + (xy 267.304909 153.295239) + (xy 267.302478 153.265665) + (xy 272.495119 153.265665) + (xy 272.508376 153.49558) + (xy 272.522934 153.56018) + (xy 272.541086 153.640723) + (xy 272.559006 153.720242) + (xy 272.560535 153.724006) + (xy 272.560536 153.724011) + (xy 272.632667 153.901648) + (xy 272.645649 153.933618) + (xy 272.647773 153.937084) + (xy 272.647775 153.937088) + (xy 272.722976 154.059803) + (xy 272.765979 154.129978) + (xy 272.768638 154.133048) + (xy 272.768639 154.133049) + (xy 272.891806 154.275237) + (xy 272.916763 154.304048) + (xy 273.093953 154.451154) + (xy 273.29279 154.567345) + (xy 273.507934 154.649501) + (xy 273.511909 154.65031) + (xy 273.51191 154.65031) + (xy 273.729624 154.694604) + (xy 273.729628 154.694604) + (xy 273.733607 154.695414) + (xy 273.737667 154.695563) + (xy 273.737668 154.695563) + (xy 273.779459 154.697095) + (xy 273.963749 154.703853) + (xy 273.967767 154.703338) + (xy 273.967773 154.703338) + (xy 274.188146 154.675108) + (xy 274.188152 154.675107) + (xy 274.192178 154.674591) + (xy 274.196071 154.673423) + (xy 274.196076 154.673422) + (xy 274.36153 154.623783) + (xy 274.412761 154.608413) + (xy 274.619574 154.507096) + (xy 274.807062 154.373363) + (xy 274.97019 154.210803) + (xy 275.104577 154.023783) + (xy 275.206615 153.817325) + (xy 275.209899 153.806518) + (xy 275.27238 153.600869) + (xy 275.27238 153.600868) + (xy 275.273563 153.596975) + (xy 275.277074 153.57031) + (xy 275.297037 153.41867) + (xy 275.303622 153.368649) + (xy 275.3053 153.3) + (xy 275.304909 153.295239) + (xy 275.286763 153.074525) + (xy 275.286762 153.074522) + (xy 275.28643 153.070478) + (xy 275.284052 153.061008) + (xy 275.244625 152.904048) + (xy 275.230326 152.84712) + (xy 275.155309 152.674591) + (xy 275.140118 152.639654) + (xy 275.140117 152.639652) + (xy 275.138496 152.635924) + (xy 275.013405 152.442563) + (xy 274.858412 152.272229) + (xy 274.855226 152.269713) + (xy 274.855223 152.26971) + (xy 274.680869 152.132013) + (xy 274.680863 152.132009) + (xy 274.677681 152.129496) + (xy 274.476065 152.018198) + (xy 274.472234 152.016841) + (xy 274.472231 152.01684) + (xy 274.262814 151.942681) + (xy 274.262809 151.94268) + (xy 274.258978 151.941323) + (xy 274.03225 151.900937) + (xy 274.028204 151.900888) + (xy 274.028198 151.900887) + (xy 273.901094 151.899334) + (xy 273.801971 151.898123) + (xy 273.574325 151.932958) + (xy 273.457116 151.971268) + (xy 273.359281 152.003245) + (xy 273.359277 152.003247) + (xy 273.355424 152.004506) + (xy 273.351824 152.00638) + (xy 273.154754 152.108968) + (xy 273.154751 152.10897) + (xy 273.151149 152.110845) + (xy 272.966984 152.249119) + (xy 272.807877 152.415616) + (xy 272.805585 152.418976) + (xy 272.680389 152.602505) + (xy 272.680386 152.602511) + (xy 272.678099 152.605863) + (xy 272.581136 152.814752) + (xy 272.556372 152.904048) + (xy 272.525432 153.015616) + (xy 272.519592 153.036673) + (xy 272.519159 153.040721) + (xy 272.519159 153.040723) + (xy 272.518321 153.048562) + (xy 272.495119 153.265665) + (xy 267.302478 153.265665) + (xy 267.286763 153.074525) + (xy 267.286762 153.074522) + (xy 267.28643 153.070478) + (xy 267.284052 153.061008) + (xy 267.244625 152.904048) + (xy 267.230326 152.84712) + (xy 267.155309 152.674591) + (xy 267.140118 152.639654) + (xy 267.140117 152.639652) + (xy 267.138496 152.635924) + (xy 267.013405 152.442563) + (xy 266.858412 152.272229) + (xy 266.855226 152.269713) + (xy 266.855223 152.26971) + (xy 266.680869 152.132013) + (xy 266.680863 152.132009) + (xy 266.677681 152.129496) + (xy 266.476065 152.018198) + (xy 266.472234 152.016841) + (xy 266.472231 152.01684) + (xy 266.262814 151.942681) + (xy 266.262809 151.94268) + (xy 266.258978 151.941323) + (xy 266.03225 151.900937) + (xy 266.028204 151.900888) + (xy 266.028198 151.900887) + (xy 265.901094 151.899334) + (xy 265.801971 151.898123) + (xy 265.574325 151.932958) + (xy 265.457116 151.971268) + (xy 265.359281 152.003245) + (xy 265.359277 152.003247) + (xy 265.355424 152.004506) + (xy 265.351824 152.00638) + (xy 265.154754 152.108968) + (xy 265.154751 152.10897) + (xy 265.151149 152.110845) + (xy 264.966984 152.249119) + (xy 264.807877 152.415616) + (xy 264.805585 152.418976) + (xy 264.680389 152.602505) + (xy 264.680386 152.602511) + (xy 264.678099 152.605863) + (xy 264.581136 152.814752) + (xy 264.556372 152.904048) + (xy 264.525432 153.015616) + (xy 264.519592 153.036673) + (xy 264.519159 153.040721) + (xy 264.519159 153.040723) + (xy 264.518321 153.048562) + (xy 264.495119 153.265665) + (xy 169.821931 153.265665) + (xy 169.954836 153.225791) + (xy 170.012761 153.208413) + (xy 170.219574 153.107096) + (xy 170.407062 152.973363) + (xy 170.57019 152.810803) + (xy 170.704577 152.623783) + (xy 170.806615 152.417325) + (xy 170.819256 152.375721) + (xy 170.87238 152.200869) + (xy 170.87238 152.200868) + (xy 170.873563 152.196975) + (xy 170.903622 151.968649) + (xy 170.9053 151.9) + (xy 170.904592 151.891389) + (xy 170.886763 151.674525) + (xy 170.886762 151.674522) + (xy 170.88643 151.670478) + (xy 170.883056 151.657043) + (xy 170.838515 151.479721) + (xy 170.830326 151.44712) + (xy 170.755309 151.274591) + (xy 170.751428 151.265665) + (xy 268.495119 151.265665) + (xy 268.508376 151.49558) + (xy 268.510843 151.506525) + (xy 268.549709 151.678987) + (xy 268.559006 151.720242) + (xy 268.560535 151.724006) + (xy 268.560536 151.724011) + (xy 268.632667 151.901648) + (xy 268.645649 151.933618) + (xy 268.647773 151.937084) + (xy 268.647775 151.937088) + (xy 268.715583 152.04774) + (xy 268.765979 152.129978) + (xy 268.768638 152.133048) + (xy 268.768639 152.133049) + (xy 268.891806 152.275237) + (xy 268.916763 152.304048) + (xy 269.093953 152.451154) + (xy 269.29279 152.567345) + (xy 269.507934 152.649501) + (xy 269.511909 152.65031) + (xy 269.51191 152.65031) + (xy 269.729624 152.694604) + (xy 269.729628 152.694604) + (xy 269.733607 152.695414) + (xy 269.737667 152.695563) + (xy 269.737668 152.695563) + (xy 269.779459 152.697095) + (xy 269.963749 152.703853) + (xy 269.967767 152.703338) + (xy 269.967773 152.703338) + (xy 270.188146 152.675108) + (xy 270.188152 152.675107) + (xy 270.192178 152.674591) + (xy 270.196071 152.673423) + (xy 270.196076 152.673422) + (xy 270.36153 152.623783) + (xy 270.412761 152.608413) + (xy 270.619574 152.507096) + (xy 270.807062 152.373363) + (xy 270.97019 152.210803) + (xy 271.104577 152.023783) + (xy 271.206615 151.817325) + (xy 271.214341 151.791898) + (xy 271.27238 151.600869) + (xy 271.27238 151.600868) + (xy 271.273563 151.596975) + (xy 271.277952 151.563641) + (xy 271.297328 151.416457) + (xy 271.303622 151.368649) + (xy 271.3053 151.3) + (xy 271.304923 151.295414) + (xy 271.286763 151.074525) + (xy 271.286762 151.074522) + (xy 271.28643 151.070478) + (xy 271.279419 151.042563) + (xy 271.244625 150.904048) + (xy 271.230326 150.84712) + (xy 271.155309 150.674591) + (xy 271.140118 150.639654) + (xy 271.140117 150.639652) + (xy 271.138496 150.635924) + (xy 271.013405 150.442563) + (xy 270.858412 150.272229) + (xy 270.855226 150.269713) + (xy 270.855223 150.26971) + (xy 270.680869 150.132013) + (xy 270.680863 150.132009) + (xy 270.677681 150.129496) + (xy 270.476065 150.018198) + (xy 270.472234 150.016841) + (xy 270.472231 150.01684) + (xy 270.262814 149.942681) + (xy 270.262809 149.94268) + (xy 270.258978 149.941323) + (xy 270.03225 149.900937) + (xy 270.028204 149.900888) + (xy 270.028198 149.900887) + (xy 269.901094 149.899334) + (xy 269.801971 149.898123) + (xy 269.574325 149.932958) + (xy 269.457116 149.971268) + (xy 269.359281 150.003245) + (xy 269.359277 150.003247) + (xy 269.355424 150.004506) + (xy 269.351824 150.00638) + (xy 269.154754 150.108968) + (xy 269.154751 150.10897) + (xy 269.151149 150.110845) + (xy 268.966984 150.249119) + (xy 268.807877 150.415616) + (xy 268.805585 150.418976) + (xy 268.680389 150.602505) + (xy 268.680386 150.602511) + (xy 268.678099 150.605863) + (xy 268.581136 150.814752) + (xy 268.556372 150.904048) + (xy 268.525432 151.015616) + (xy 268.519592 151.036673) + (xy 268.519159 151.040721) + (xy 268.519159 151.040723) + (xy 268.518321 151.048562) + (xy 268.495119 151.265665) + (xy 170.751428 151.265665) + (xy 170.740118 151.239654) + (xy 170.740117 151.239652) + (xy 170.738496 151.235924) + (xy 170.613405 151.042563) + (xy 170.608046 151.036673) + (xy 170.461149 150.875237) + (xy 170.458412 150.872229) + (xy 170.455226 150.869713) + (xy 170.455223 150.86971) + (xy 170.280869 150.732013) + (xy 170.280863 150.732009) + (xy 170.277681 150.729496) + (xy 170.230296 150.703338) + (xy 170.079623 150.620162) + (xy 170.079622 150.620161) + (xy 170.076065 150.618198) + (xy 170.072234 150.616841) + (xy 170.072231 150.61684) + (xy 169.862814 150.542681) + (xy 169.862809 150.54268) + (xy 169.858978 150.541323) + (xy 169.63225 150.500937) + (xy 169.628204 150.500888) + (xy 169.628198 150.500887) + (xy 169.501094 150.499334) + (xy 169.401971 150.498123) + (xy 169.174325 150.532958) + (xy 169.075384 150.565297) + (xy 168.959281 150.603245) + (xy 168.959277 150.603247) + (xy 168.955424 150.604506) + (xy 168.951824 150.60638) + (xy 168.754754 150.708968) + (xy 168.754751 150.70897) + (xy 168.751149 150.710845) + (xy 168.566984 150.849119) + (xy 168.407877 151.015616) + (xy 168.405585 151.018976) + (xy 168.280389 151.202505) + (xy 168.280386 151.202511) + (xy 168.278099 151.205863) + (xy 168.181136 151.414752) + (xy 168.119592 151.636673) + (xy 168.119159 151.640721) + (xy 168.119159 151.640723) + (xy 168.118317 151.648604) + (xy 168.095119 151.865665) + (xy 162.902477 151.865665) + (xy 162.886763 151.674525) + (xy 162.886762 151.674522) + (xy 162.88643 151.670478) + (xy 162.883056 151.657043) + (xy 162.838515 151.479721) + (xy 162.830326 151.44712) + (xy 162.755309 151.274591) + (xy 162.740118 151.239654) + (xy 162.740117 151.239652) + (xy 162.738496 151.235924) + (xy 162.613405 151.042563) + (xy 162.608046 151.036673) + (xy 162.461149 150.875237) + (xy 162.458412 150.872229) + (xy 162.455226 150.869713) + (xy 162.455223 150.86971) + (xy 162.280869 150.732013) + (xy 162.280863 150.732009) + (xy 162.277681 150.729496) + (xy 162.230296 150.703338) + (xy 162.079623 150.620162) + (xy 162.079622 150.620161) + (xy 162.076065 150.618198) + (xy 162.072234 150.616841) + (xy 162.072231 150.61684) + (xy 161.862814 150.542681) + (xy 161.862809 150.54268) + (xy 161.858978 150.541323) + (xy 161.63225 150.500937) + (xy 161.628204 150.500888) + (xy 161.628198 150.500887) + (xy 161.501094 150.499334) + (xy 161.401971 150.498123) + (xy 161.174325 150.532958) + (xy 161.075384 150.565297) + (xy 160.959281 150.603245) + (xy 160.959277 150.603247) + (xy 160.955424 150.604506) + (xy 160.951824 150.60638) + (xy 160.754754 150.708968) + (xy 160.754751 150.70897) + (xy 160.751149 150.710845) + (xy 160.566984 150.849119) + (xy 160.407877 151.015616) + (xy 160.405585 151.018976) + (xy 160.280389 151.202505) + (xy 160.280386 151.202511) + (xy 160.278099 151.205863) + (xy 160.181136 151.414752) + (xy 160.119592 151.636673) + (xy 160.119159 151.640721) + (xy 160.119159 151.640723) + (xy 160.118317 151.648604) + (xy 160.095119 151.865665) + (xy 139.832462 151.865665) + (xy 139.739866 151.791823) + (xy 139.719716 151.775754) + (xy 139.499485 151.648604) + (xy 139.49604 151.647252) + (xy 139.496036 151.64725) + (xy 139.266215 151.557052) + (xy 139.262763 151.555697) + (xy 139.259154 151.554873) + (xy 139.25915 151.554872) + (xy 139.151775 151.530365) + (xy 139.014838 151.49911) + (xy 138.764754 151.480369) + (xy 138.764783 151.479976) + (xy 138.76442 151.479999) + (xy 138.763569 151.480094) + (xy 138.761964 151.479722) + (xy 138.761248 151.479721) + (xy 138.755799 151.480964) + (xy 138.749569 151.482385) + (xy 138.727552 151.484864) + (xy 136.760248 151.484864) + (xy 136.702057 151.465957) + (xy 136.666093 151.416457) + (xy 136.661248 151.385864) + (xy 136.661248 149.865665) + (xy 164.095119 149.865665) + (xy 164.108376 150.09558) + (xy 164.115577 150.127533) + (xy 164.155942 150.306644) + (xy 164.159006 150.320242) + (xy 164.160535 150.324006) + (xy 164.160536 150.324011) + (xy 164.244118 150.529848) + (xy 164.245649 150.533618) + (xy 164.247773 150.537084) + (xy 164.247775 150.537088) + (xy 164.316662 150.649501) + (xy 164.365979 150.729978) + (xy 164.368638 150.733048) + (xy 164.368639 150.733049) + (xy 164.491806 150.875237) + (xy 164.516763 150.904048) + (xy 164.693953 151.051154) + (xy 164.89279 151.167345) + (xy 165.107934 151.249501) + (xy 165.111909 151.25031) + (xy 165.11191 151.25031) + (xy 165.329624 151.294604) + (xy 165.329628 151.294604) + (xy 165.333607 151.295414) + (xy 165.337667 151.295563) + (xy 165.337668 151.295563) + (xy 165.379459 151.297095) + (xy 165.563749 151.303853) + (xy 165.567767 151.303338) + (xy 165.567773 151.303338) + (xy 165.788146 151.275108) + (xy 165.788152 151.275107) + (xy 165.792178 151.274591) + (xy 165.796071 151.273423) + (xy 165.796076 151.273422) + (xy 165.954836 151.225791) + (xy 166.012761 151.208413) + (xy 166.219574 151.107096) + (xy 166.407062 150.973363) + (xy 166.57019 150.810803) + (xy 166.704577 150.623783) + (xy 166.806615 150.417325) + (xy 166.819256 150.375721) + (xy 166.87238 150.200869) + (xy 166.87238 150.200868) + (xy 166.873563 150.196975) + (xy 166.903622 149.968649) + (xy 166.9053 149.9) + (xy 166.898503 149.817325) + (xy 166.886763 149.674525) + (xy 166.886762 149.674522) + (xy 166.88643 149.670478) + (xy 166.868946 149.600869) + (xy 166.831317 149.451066) + (xy 166.830326 149.44712) + (xy 166.755309 149.274591) + (xy 166.740118 149.239654) + (xy 166.740117 149.239652) + (xy 166.738496 149.235924) + (xy 166.613405 149.042563) + (xy 166.608046 149.036673) + (xy 166.461149 148.875237) + (xy 166.458412 148.872229) + (xy 166.455226 148.869713) + (xy 166.455223 148.86971) + (xy 166.280869 148.732013) + (xy 166.280863 148.732009) + (xy 166.277681 148.729496) + (xy 166.230296 148.703338) + (xy 166.079623 148.620162) + (xy 166.079622 148.620161) + (xy 166.076065 148.618198) + (xy 166.072234 148.616841) + (xy 166.072231 148.61684) + (xy 165.862814 148.542681) + (xy 165.862809 148.54268) + (xy 165.858978 148.541323) + (xy 165.63225 148.500937) + (xy 165.628204 148.500888) + (xy 165.628198 148.500887) + (xy 165.501094 148.499334) + (xy 165.401971 148.498123) + (xy 165.174325 148.532958) + (xy 165.075384 148.565297) + (xy 164.959281 148.603245) + (xy 164.959277 148.603247) + (xy 164.955424 148.604506) + (xy 164.951824 148.60638) + (xy 164.754754 148.708968) + (xy 164.754751 148.70897) + (xy 164.751149 148.710845) + (xy 164.566984 148.849119) + (xy 164.407877 149.015616) + (xy 164.405585 149.018976) + (xy 164.280389 149.202505) + (xy 164.280386 149.202511) + (xy 164.278099 149.205863) + (xy 164.181136 149.414752) + (xy 164.119592 149.636673) + (xy 164.095119 149.865665) + (xy 136.661248 149.865665) + (xy 136.661248 147.865665) + (xy 160.095119 147.865665) + (xy 160.108376 148.09558) + (xy 160.115577 148.127533) + (xy 160.155942 148.306644) + (xy 160.159006 148.320242) + (xy 160.160535 148.324006) + (xy 160.160536 148.324011) + (xy 160.244118 148.529848) + (xy 160.245649 148.533618) + (xy 160.247773 148.537084) + (xy 160.247775 148.537088) + (xy 160.316662 148.649501) + (xy 160.365979 148.729978) + (xy 160.368638 148.733048) + (xy 160.368639 148.733049) + (xy 160.491806 148.875237) + (xy 160.516763 148.904048) + (xy 160.693953 149.051154) + (xy 160.89279 149.167345) + (xy 161.107934 149.249501) + (xy 161.111909 149.25031) + (xy 161.11191 149.25031) + (xy 161.329624 149.294604) + (xy 161.329628 149.294604) + (xy 161.333607 149.295414) + (xy 161.337667 149.295563) + (xy 161.337668 149.295563) + (xy 161.379459 149.297095) + (xy 161.563749 149.303853) + (xy 161.567767 149.303338) + (xy 161.567773 149.303338) + (xy 161.788146 149.275108) + (xy 161.788152 149.275107) + (xy 161.792178 149.274591) + (xy 161.796071 149.273423) + (xy 161.796076 149.273422) + (xy 161.954836 149.225791) + (xy 162.012761 149.208413) + (xy 162.219574 149.107096) + (xy 162.407062 148.973363) + (xy 162.57019 148.810803) + (xy 162.704577 148.623783) + (xy 162.806615 148.417325) + (xy 162.819256 148.375721) + (xy 162.87238 148.200869) + (xy 162.87238 148.200868) + (xy 162.873563 148.196975) + (xy 162.903622 147.968649) + (xy 162.9053 147.9) + (xy 162.902477 147.865665) + (xy 168.095119 147.865665) + (xy 168.108376 148.09558) + (xy 168.115577 148.127533) + (xy 168.155942 148.306644) + (xy 168.159006 148.320242) + (xy 168.160535 148.324006) + (xy 168.160536 148.324011) + (xy 168.244118 148.529848) + (xy 168.245649 148.533618) + (xy 168.247773 148.537084) + (xy 168.247775 148.537088) + (xy 168.316662 148.649501) + (xy 168.365979 148.729978) + (xy 168.368638 148.733048) + (xy 168.368639 148.733049) + (xy 168.491806 148.875237) + (xy 168.516763 148.904048) + (xy 168.693953 149.051154) + (xy 168.89279 149.167345) + (xy 169.107934 149.249501) + (xy 169.111909 149.25031) + (xy 169.11191 149.25031) + (xy 169.329624 149.294604) + (xy 169.329628 149.294604) + (xy 169.333607 149.295414) + (xy 169.337667 149.295563) + (xy 169.337668 149.295563) + (xy 169.379459 149.297095) + (xy 169.563749 149.303853) + (xy 169.567767 149.303338) + (xy 169.567773 149.303338) + (xy 169.788146 149.275108) + (xy 169.788152 149.275107) + (xy 169.792178 149.274591) + (xy 169.796071 149.273423) + (xy 169.796076 149.273422) + (xy 169.821931 149.265665) + (xy 264.495119 149.265665) + (xy 264.508376 149.49558) + (xy 264.509268 149.499537) + (xy 264.541086 149.640723) + (xy 264.559006 149.720242) + (xy 264.560535 149.724006) + (xy 264.560536 149.724011) + (xy 264.632667 149.901648) + (xy 264.645649 149.933618) + (xy 264.647773 149.937084) + (xy 264.647775 149.937088) + (xy 264.689089 150.004506) + (xy 264.765979 150.129978) + (xy 264.768638 150.133048) + (xy 264.768639 150.133049) + (xy 264.891806 150.275237) + (xy 264.916763 150.304048) + (xy 265.093953 150.451154) + (xy 265.29279 150.567345) + (xy 265.507934 150.649501) + (xy 265.511909 150.65031) + (xy 265.51191 150.65031) + (xy 265.729624 150.694604) + (xy 265.729628 150.694604) + (xy 265.733607 150.695414) + (xy 265.737667 150.695563) + (xy 265.737668 150.695563) + (xy 265.779459 150.697095) + (xy 265.963749 150.703853) + (xy 265.967767 150.703338) + (xy 265.967773 150.703338) + (xy 266.188146 150.675108) + (xy 266.188152 150.675107) + (xy 266.192178 150.674591) + (xy 266.196071 150.673423) + (xy 266.196076 150.673422) + (xy 266.36153 150.623783) + (xy 266.412761 150.608413) + (xy 266.619574 150.507096) + (xy 266.807062 150.373363) + (xy 266.97019 150.210803) + (xy 267.104577 150.023783) + (xy 267.206615 149.817325) + (xy 267.236112 149.720242) + (xy 267.27238 149.600869) + (xy 267.27238 149.600868) + (xy 267.273563 149.596975) + (xy 267.303622 149.368649) + (xy 267.3053 149.3) + (xy 267.304923 149.295414) + (xy 267.302477 149.265665) + (xy 272.495119 149.265665) + (xy 272.508376 149.49558) + (xy 272.509268 149.499537) + (xy 272.541086 149.640723) + (xy 272.559006 149.720242) + (xy 272.560535 149.724006) + (xy 272.560536 149.724011) + (xy 272.632667 149.901648) + (xy 272.645649 149.933618) + (xy 272.647773 149.937084) + (xy 272.647775 149.937088) + (xy 272.689089 150.004506) + (xy 272.765979 150.129978) + (xy 272.768638 150.133048) + (xy 272.768639 150.133049) + (xy 272.891806 150.275237) + (xy 272.916763 150.304048) + (xy 273.093953 150.451154) + (xy 273.29279 150.567345) + (xy 273.507934 150.649501) + (xy 273.511909 150.65031) + (xy 273.51191 150.65031) + (xy 273.729624 150.694604) + (xy 273.729628 150.694604) + (xy 273.733607 150.695414) + (xy 273.737667 150.695563) + (xy 273.737668 150.695563) + (xy 273.779459 150.697095) + (xy 273.963749 150.703853) + (xy 273.967767 150.703338) + (xy 273.967773 150.703338) + (xy 274.188146 150.675108) + (xy 274.188152 150.675107) + (xy 274.192178 150.674591) + (xy 274.196071 150.673423) + (xy 274.196076 150.673422) + (xy 274.36153 150.623783) + (xy 274.412761 150.608413) + (xy 274.619574 150.507096) + (xy 274.807062 150.373363) + (xy 274.97019 150.210803) + (xy 275.104577 150.023783) + (xy 275.206615 149.817325) + (xy 275.236112 149.720242) + (xy 275.27238 149.600869) + (xy 275.27238 149.600868) + (xy 275.273563 149.596975) + (xy 275.303622 149.368649) + (xy 275.3053 149.3) + (xy 275.304923 149.295414) + (xy 275.286763 149.074525) + (xy 275.286762 149.074522) + (xy 275.28643 149.070478) + (xy 275.279419 149.042563) + (xy 275.244625 148.904048) + (xy 275.230326 148.84712) + (xy 275.155309 148.674591) + (xy 275.140118 148.639654) + (xy 275.140117 148.639652) + (xy 275.138496 148.635924) + (xy 275.013405 148.442563) + (xy 274.858412 148.272229) + (xy 274.855226 148.269713) + (xy 274.855223 148.26971) + (xy 274.680869 148.132013) + (xy 274.680863 148.132009) + (xy 274.677681 148.129496) + (xy 274.476065 148.018198) + (xy 274.472234 148.016841) + (xy 274.472231 148.01684) + (xy 274.262814 147.942681) + (xy 274.262809 147.94268) + (xy 274.258978 147.941323) + (xy 274.03225 147.900937) + (xy 274.028204 147.900888) + (xy 274.028198 147.900887) + (xy 273.901094 147.899334) + (xy 273.801971 147.898123) + (xy 273.574325 147.932958) + (xy 273.457116 147.971268) + (xy 273.359281 148.003245) + (xy 273.359277 148.003247) + (xy 273.355424 148.004506) + (xy 273.351824 148.00638) + (xy 273.154754 148.108968) + (xy 273.154751 148.10897) + (xy 273.151149 148.110845) + (xy 272.966984 148.249119) + (xy 272.807877 148.415616) + (xy 272.805585 148.418976) + (xy 272.680389 148.602505) + (xy 272.680386 148.602511) + (xy 272.678099 148.605863) + (xy 272.581136 148.814752) + (xy 272.556372 148.904048) + (xy 272.525432 149.015616) + (xy 272.519592 149.036673) + (xy 272.519159 149.040721) + (xy 272.519159 149.040723) + (xy 272.518321 149.048562) + (xy 272.495119 149.265665) + (xy 267.302477 149.265665) + (xy 267.286763 149.074525) + (xy 267.286762 149.074522) + (xy 267.28643 149.070478) + (xy 267.279419 149.042563) + (xy 267.244625 148.904048) + (xy 267.230326 148.84712) + (xy 267.155309 148.674591) + (xy 267.140118 148.639654) + (xy 267.140117 148.639652) + (xy 267.138496 148.635924) + (xy 267.013405 148.442563) + (xy 266.858412 148.272229) + (xy 266.855226 148.269713) + (xy 266.855223 148.26971) + (xy 266.680869 148.132013) + (xy 266.680863 148.132009) + (xy 266.677681 148.129496) + (xy 266.476065 148.018198) + (xy 266.472234 148.016841) + (xy 266.472231 148.01684) + (xy 266.262814 147.942681) + (xy 266.262809 147.94268) + (xy 266.258978 147.941323) + (xy 266.03225 147.900937) + (xy 266.028204 147.900888) + (xy 266.028198 147.900887) + (xy 265.901094 147.899334) + (xy 265.801971 147.898123) + (xy 265.574325 147.932958) + (xy 265.457116 147.971268) + (xy 265.359281 148.003245) + (xy 265.359277 148.003247) + (xy 265.355424 148.004506) + (xy 265.351824 148.00638) + (xy 265.154754 148.108968) + (xy 265.154751 148.10897) + (xy 265.151149 148.110845) + (xy 264.966984 148.249119) + (xy 264.807877 148.415616) + (xy 264.805585 148.418976) + (xy 264.680389 148.602505) + (xy 264.680386 148.602511) + (xy 264.678099 148.605863) + (xy 264.581136 148.814752) + (xy 264.556372 148.904048) + (xy 264.525432 149.015616) + (xy 264.519592 149.036673) + (xy 264.519159 149.040721) + (xy 264.519159 149.040723) + (xy 264.518321 149.048562) + (xy 264.495119 149.265665) + (xy 169.821931 149.265665) + (xy 169.954836 149.225791) + (xy 170.012761 149.208413) + (xy 170.219574 149.107096) + (xy 170.407062 148.973363) + (xy 170.57019 148.810803) + (xy 170.704577 148.623783) + (xy 170.806615 148.417325) + (xy 170.819256 148.375721) + (xy 170.87238 148.200869) + (xy 170.87238 148.200868) + (xy 170.873563 148.196975) + (xy 170.903622 147.968649) + (xy 170.9053 147.9) + (xy 170.898503 147.817325) + (xy 170.886763 147.674525) + (xy 170.886762 147.674522) + (xy 170.88643 147.670478) + (xy 170.868946 147.600869) + (xy 170.831317 147.451066) + (xy 170.830326 147.44712) + (xy 170.755309 147.274591) + (xy 170.751428 147.265665) + (xy 268.495119 147.265665) + (xy 268.508376 147.49558) + (xy 268.509268 147.499537) + (xy 268.541086 147.640723) + (xy 268.559006 147.720242) + (xy 268.560535 147.724006) + (xy 268.560536 147.724011) + (xy 268.632667 147.901648) + (xy 268.645649 147.933618) + (xy 268.647773 147.937084) + (xy 268.647775 147.937088) + (xy 268.689089 148.004506) + (xy 268.765979 148.129978) + (xy 268.768638 148.133048) + (xy 268.768639 148.133049) + (xy 268.891806 148.275237) + (xy 268.916763 148.304048) + (xy 269.093953 148.451154) + (xy 269.29279 148.567345) + (xy 269.507934 148.649501) + (xy 269.511909 148.65031) + (xy 269.51191 148.65031) + (xy 269.729624 148.694604) + (xy 269.729628 148.694604) + (xy 269.733607 148.695414) + (xy 269.737667 148.695563) + (xy 269.737668 148.695563) + (xy 269.779459 148.697095) + (xy 269.963749 148.703853) + (xy 269.967767 148.703338) + (xy 269.967773 148.703338) + (xy 270.188146 148.675108) + (xy 270.188152 148.675107) + (xy 270.192178 148.674591) + (xy 270.196071 148.673423) + (xy 270.196076 148.673422) + (xy 270.36153 148.623783) + (xy 270.412761 148.608413) + (xy 270.619574 148.507096) + (xy 270.807062 148.373363) + (xy 270.97019 148.210803) + (xy 271.104577 148.023783) + (xy 271.206615 147.817325) + (xy 271.236112 147.720242) + (xy 271.27238 147.600869) + (xy 271.27238 147.600868) + (xy 271.273563 147.596975) + (xy 271.303622 147.368649) + (xy 271.3053 147.3) + (xy 271.304923 147.295414) + (xy 271.286763 147.074525) + (xy 271.286762 147.074522) + (xy 271.28643 147.070478) + (xy 271.279419 147.042563) + (xy 271.244625 146.904048) + (xy 271.230326 146.84712) + (xy 271.155309 146.674591) + (xy 271.140118 146.639654) + (xy 271.140117 146.639652) + (xy 271.138496 146.635924) + (xy 271.013405 146.442563) + (xy 270.858412 146.272229) + (xy 270.855226 146.269713) + (xy 270.855223 146.26971) + (xy 270.680869 146.132013) + (xy 270.680863 146.132009) + (xy 270.677681 146.129496) + (xy 270.476065 146.018198) + (xy 270.472234 146.016841) + (xy 270.472231 146.01684) + (xy 270.262814 145.942681) + (xy 270.262809 145.94268) + (xy 270.258978 145.941323) + (xy 270.03225 145.900937) + (xy 270.028204 145.900888) + (xy 270.028198 145.900887) + (xy 269.901094 145.899334) + (xy 269.801971 145.898123) + (xy 269.574325 145.932958) + (xy 269.457116 145.971268) + (xy 269.359281 146.003245) + (xy 269.359277 146.003247) + (xy 269.355424 146.004506) + (xy 269.351824 146.00638) + (xy 269.154754 146.108968) + (xy 269.154751 146.10897) + (xy 269.151149 146.110845) + (xy 268.966984 146.249119) + (xy 268.807877 146.415616) + (xy 268.805585 146.418976) + (xy 268.680389 146.602505) + (xy 268.680386 146.602511) + (xy 268.678099 146.605863) + (xy 268.581136 146.814752) + (xy 268.556372 146.904048) + (xy 268.525432 147.015616) + (xy 268.519592 147.036673) + (xy 268.519159 147.040721) + (xy 268.519159 147.040723) + (xy 268.518321 147.048562) + (xy 268.495119 147.265665) + (xy 170.751428 147.265665) + (xy 170.740118 147.239654) + (xy 170.740117 147.239652) + (xy 170.738496 147.235924) + (xy 170.613405 147.042563) + (xy 170.608046 147.036673) + (xy 170.461149 146.875237) + (xy 170.458412 146.872229) + (xy 170.455226 146.869713) + (xy 170.455223 146.86971) + (xy 170.280869 146.732013) + (xy 170.280863 146.732009) + (xy 170.277681 146.729496) + (xy 170.230296 146.703338) + (xy 170.079623 146.620162) + (xy 170.079622 146.620161) + (xy 170.076065 146.618198) + (xy 170.072234 146.616841) + (xy 170.072231 146.61684) + (xy 169.862814 146.542681) + (xy 169.862809 146.54268) + (xy 169.858978 146.541323) + (xy 169.63225 146.500937) + (xy 169.628204 146.500888) + (xy 169.628198 146.500887) + (xy 169.501094 146.499334) + (xy 169.401971 146.498123) + (xy 169.174325 146.532958) + (xy 169.075384 146.565297) + (xy 168.959281 146.603245) + (xy 168.959277 146.603247) + (xy 168.955424 146.604506) + (xy 168.951824 146.60638) + (xy 168.754754 146.708968) + (xy 168.754751 146.70897) + (xy 168.751149 146.710845) + (xy 168.566984 146.849119) + (xy 168.407877 147.015616) + (xy 168.405585 147.018976) + (xy 168.280389 147.202505) + (xy 168.280386 147.202511) + (xy 168.278099 147.205863) + (xy 168.181136 147.414752) + (xy 168.119592 147.636673) + (xy 168.095119 147.865665) + (xy 162.902477 147.865665) + (xy 162.898503 147.817325) + (xy 162.886763 147.674525) + (xy 162.886762 147.674522) + (xy 162.88643 147.670478) + (xy 162.868946 147.600869) + (xy 162.831317 147.451066) + (xy 162.830326 147.44712) + (xy 162.755309 147.274591) + (xy 162.740118 147.239654) + (xy 162.740117 147.239652) + (xy 162.738496 147.235924) + (xy 162.613405 147.042563) + (xy 162.608046 147.036673) + (xy 162.461149 146.875237) + (xy 162.458412 146.872229) + (xy 162.455226 146.869713) + (xy 162.455223 146.86971) + (xy 162.280869 146.732013) + (xy 162.280863 146.732009) + (xy 162.277681 146.729496) + (xy 162.230296 146.703338) + (xy 162.079623 146.620162) + (xy 162.079622 146.620161) + (xy 162.076065 146.618198) + (xy 162.072234 146.616841) + (xy 162.072231 146.61684) + (xy 161.862814 146.542681) + (xy 161.862809 146.54268) + (xy 161.858978 146.541323) + (xy 161.63225 146.500937) + (xy 161.628204 146.500888) + (xy 161.628198 146.500887) + (xy 161.501094 146.499334) + (xy 161.401971 146.498123) + (xy 161.174325 146.532958) + (xy 161.075384 146.565297) + (xy 160.959281 146.603245) + (xy 160.959277 146.603247) + (xy 160.955424 146.604506) + (xy 160.951824 146.60638) + (xy 160.754754 146.708968) + (xy 160.754751 146.70897) + (xy 160.751149 146.710845) + (xy 160.566984 146.849119) + (xy 160.407877 147.015616) + (xy 160.405585 147.018976) + (xy 160.280389 147.202505) + (xy 160.280386 147.202511) + (xy 160.278099 147.205863) + (xy 160.181136 147.414752) + (xy 160.119592 147.636673) + (xy 160.095119 147.865665) + (xy 136.661248 147.865665) + (xy 136.661248 145.865665) + (xy 164.095119 145.865665) + (xy 164.108376 146.09558) + (xy 164.115577 146.127533) + (xy 164.155942 146.306644) + (xy 164.159006 146.320242) + (xy 164.160535 146.324006) + (xy 164.160536 146.324011) + (xy 164.244118 146.529848) + (xy 164.245649 146.533618) + (xy 164.247773 146.537084) + (xy 164.247775 146.537088) + (xy 164.316662 146.649501) + (xy 164.365979 146.729978) + (xy 164.368638 146.733048) + (xy 164.368639 146.733049) + (xy 164.491806 146.875237) + (xy 164.516763 146.904048) + (xy 164.693953 147.051154) + (xy 164.89279 147.167345) + (xy 165.107934 147.249501) + (xy 165.111909 147.25031) + (xy 165.11191 147.25031) + (xy 165.329624 147.294604) + (xy 165.329628 147.294604) + (xy 165.333607 147.295414) + (xy 165.337667 147.295563) + (xy 165.337668 147.295563) + (xy 165.379459 147.297095) + (xy 165.563749 147.303853) + (xy 165.567767 147.303338) + (xy 165.567773 147.303338) + (xy 165.788146 147.275108) + (xy 165.788152 147.275107) + (xy 165.792178 147.274591) + (xy 165.796071 147.273423) + (xy 165.796076 147.273422) + (xy 165.954836 147.225791) + (xy 166.012761 147.208413) + (xy 166.219574 147.107096) + (xy 166.407062 146.973363) + (xy 166.57019 146.810803) + (xy 166.704577 146.623783) + (xy 166.806615 146.417325) + (xy 166.819256 146.375721) + (xy 166.87238 146.200869) + (xy 166.87238 146.200868) + (xy 166.873563 146.196975) + (xy 166.903622 145.968649) + (xy 166.9053 145.9) + (xy 166.898503 145.817325) + (xy 166.886763 145.674525) + (xy 166.886762 145.674522) + (xy 166.88643 145.670478) + (xy 166.868946 145.600869) + (xy 166.831317 145.451066) + (xy 166.830326 145.44712) + (xy 166.755309 145.274591) + (xy 166.740118 145.239654) + (xy 166.740117 145.239652) + (xy 166.738496 145.235924) + (xy 166.613405 145.042563) + (xy 166.608046 145.036673) + (xy 166.461149 144.875237) + (xy 166.458412 144.872229) + (xy 166.455226 144.869713) + (xy 166.455223 144.86971) + (xy 166.280869 144.732013) + (xy 166.280863 144.732009) + (xy 166.277681 144.729496) + (xy 166.230296 144.703338) + (xy 166.079623 144.620162) + (xy 166.079622 144.620161) + (xy 166.076065 144.618198) + (xy 166.072234 144.616841) + (xy 166.072231 144.61684) + (xy 165.862814 144.542681) + (xy 165.862809 144.54268) + (xy 165.858978 144.541323) + (xy 165.63225 144.500937) + (xy 165.628204 144.500888) + (xy 165.628198 144.500887) + (xy 165.501094 144.499334) + (xy 165.401971 144.498123) + (xy 165.174325 144.532958) + (xy 165.075384 144.565297) + (xy 164.959281 144.603245) + (xy 164.959277 144.603247) + (xy 164.955424 144.604506) + (xy 164.951824 144.60638) + (xy 164.754754 144.708968) + (xy 164.754751 144.70897) + (xy 164.751149 144.710845) + (xy 164.566984 144.849119) + (xy 164.407877 145.015616) + (xy 164.405585 145.018976) + (xy 164.280389 145.202505) + (xy 164.280386 145.202511) + (xy 164.278099 145.205863) + (xy 164.181136 145.414752) + (xy 164.119592 145.636673) + (xy 164.095119 145.865665) + (xy 136.661248 145.865665) + (xy 136.661248 143.865665) + (xy 160.095119 143.865665) + (xy 160.108376 144.09558) + (xy 160.115577 144.127533) + (xy 160.155942 144.306644) + (xy 160.159006 144.320242) + (xy 160.160535 144.324006) + (xy 160.160536 144.324011) + (xy 160.244118 144.529848) + (xy 160.245649 144.533618) + (xy 160.247773 144.537084) + (xy 160.247775 144.537088) + (xy 160.316662 144.649501) + (xy 160.365979 144.729978) + (xy 160.368638 144.733048) + (xy 160.368639 144.733049) + (xy 160.491806 144.875237) + (xy 160.516763 144.904048) + (xy 160.693953 145.051154) + (xy 160.89279 145.167345) + (xy 161.107934 145.249501) + (xy 161.111909 145.25031) + (xy 161.11191 145.25031) + (xy 161.329624 145.294604) + (xy 161.329628 145.294604) + (xy 161.333607 145.295414) + (xy 161.337667 145.295563) + (xy 161.337668 145.295563) + (xy 161.379459 145.297095) + (xy 161.563749 145.303853) + (xy 161.567767 145.303338) + (xy 161.567773 145.303338) + (xy 161.788146 145.275108) + (xy 161.788152 145.275107) + (xy 161.792178 145.274591) + (xy 161.796071 145.273423) + (xy 161.796076 145.273422) + (xy 161.954836 145.225791) + (xy 162.012761 145.208413) + (xy 162.219574 145.107096) + (xy 162.407062 144.973363) + (xy 162.57019 144.810803) + (xy 162.704577 144.623783) + (xy 162.806615 144.417325) + (xy 162.819256 144.375721) + (xy 162.87238 144.200869) + (xy 162.87238 144.200868) + (xy 162.873563 144.196975) + (xy 162.903622 143.968649) + (xy 162.9053 143.9) + (xy 162.902477 143.865665) + (xy 168.095119 143.865665) + (xy 168.108376 144.09558) + (xy 168.115577 144.127533) + (xy 168.155942 144.306644) + (xy 168.159006 144.320242) + (xy 168.160535 144.324006) + (xy 168.160536 144.324011) + (xy 168.244118 144.529848) + (xy 168.245649 144.533618) + (xy 168.247773 144.537084) + (xy 168.247775 144.537088) + (xy 168.316662 144.649501) + (xy 168.365979 144.729978) + (xy 168.368638 144.733048) + (xy 168.368639 144.733049) + (xy 168.491806 144.875237) + (xy 168.516763 144.904048) + (xy 168.693953 145.051154) + (xy 168.89279 145.167345) + (xy 169.107934 145.249501) + (xy 169.111909 145.25031) + (xy 169.11191 145.25031) + (xy 169.329624 145.294604) + (xy 169.329628 145.294604) + (xy 169.333607 145.295414) + (xy 169.337667 145.295563) + (xy 169.337668 145.295563) + (xy 169.379459 145.297095) + (xy 169.563749 145.303853) + (xy 169.567767 145.303338) + (xy 169.567773 145.303338) + (xy 169.788146 145.275108) + (xy 169.788152 145.275107) + (xy 169.792178 145.274591) + (xy 169.796071 145.273423) + (xy 169.796076 145.273422) + (xy 169.821931 145.265665) + (xy 264.495119 145.265665) + (xy 264.508376 145.49558) + (xy 264.509268 145.499537) + (xy 264.541086 145.640723) + (xy 264.559006 145.720242) + (xy 264.560535 145.724006) + (xy 264.560536 145.724011) + (xy 264.632667 145.901648) + (xy 264.645649 145.933618) + (xy 264.647773 145.937084) + (xy 264.647775 145.937088) + (xy 264.689089 146.004506) + (xy 264.765979 146.129978) + (xy 264.768638 146.133048) + (xy 264.768639 146.133049) + (xy 264.891806 146.275237) + (xy 264.916763 146.304048) + (xy 265.093953 146.451154) + (xy 265.29279 146.567345) + (xy 265.507934 146.649501) + (xy 265.511909 146.65031) + (xy 265.51191 146.65031) + (xy 265.729624 146.694604) + (xy 265.729628 146.694604) + (xy 265.733607 146.695414) + (xy 265.737667 146.695563) + (xy 265.737668 146.695563) + (xy 265.779459 146.697095) + (xy 265.963749 146.703853) + (xy 265.967767 146.703338) + (xy 265.967773 146.703338) + (xy 266.188146 146.675108) + (xy 266.188152 146.675107) + (xy 266.192178 146.674591) + (xy 266.196071 146.673423) + (xy 266.196076 146.673422) + (xy 266.36153 146.623783) + (xy 266.412761 146.608413) + (xy 266.619574 146.507096) + (xy 266.807062 146.373363) + (xy 266.97019 146.210803) + (xy 267.104577 146.023783) + (xy 267.206615 145.817325) + (xy 267.236112 145.720242) + (xy 267.27238 145.600869) + (xy 267.27238 145.600868) + (xy 267.273563 145.596975) + (xy 267.303622 145.368649) + (xy 267.3053 145.3) + (xy 267.304923 145.295414) + (xy 267.302477 145.265665) + (xy 272.495119 145.265665) + (xy 272.508376 145.49558) + (xy 272.509268 145.499537) + (xy 272.541086 145.640723) + (xy 272.559006 145.720242) + (xy 272.560535 145.724006) + (xy 272.560536 145.724011) + (xy 272.632667 145.901648) + (xy 272.645649 145.933618) + (xy 272.647773 145.937084) + (xy 272.647775 145.937088) + (xy 272.689089 146.004506) + (xy 272.765979 146.129978) + (xy 272.768638 146.133048) + (xy 272.768639 146.133049) + (xy 272.891806 146.275237) + (xy 272.916763 146.304048) + (xy 273.093953 146.451154) + (xy 273.29279 146.567345) + (xy 273.507934 146.649501) + (xy 273.511909 146.65031) + (xy 273.51191 146.65031) + (xy 273.729624 146.694604) + (xy 273.729628 146.694604) + (xy 273.733607 146.695414) + (xy 273.737667 146.695563) + (xy 273.737668 146.695563) + (xy 273.779459 146.697095) + (xy 273.963749 146.703853) + (xy 273.967767 146.703338) + (xy 273.967773 146.703338) + (xy 274.188146 146.675108) + (xy 274.188152 146.675107) + (xy 274.192178 146.674591) + (xy 274.196071 146.673423) + (xy 274.196076 146.673422) + (xy 274.36153 146.623783) + (xy 274.412761 146.608413) + (xy 274.619574 146.507096) + (xy 274.807062 146.373363) + (xy 274.97019 146.210803) + (xy 275.104577 146.023783) + (xy 275.206615 145.817325) + (xy 275.236112 145.720242) + (xy 275.27238 145.600869) + (xy 275.27238 145.600868) + (xy 275.273563 145.596975) + (xy 275.303622 145.368649) + (xy 275.3053 145.3) + (xy 275.304923 145.295414) + (xy 275.286763 145.074525) + (xy 275.286762 145.074522) + (xy 275.28643 145.070478) + (xy 275.279419 145.042563) + (xy 275.244625 144.904048) + (xy 275.230326 144.84712) + (xy 275.155309 144.674591) + (xy 275.140118 144.639654) + (xy 275.140117 144.639652) + (xy 275.138496 144.635924) + (xy 275.013405 144.442563) + (xy 274.858412 144.272229) + (xy 274.855226 144.269713) + (xy 274.855223 144.26971) + (xy 274.680869 144.132013) + (xy 274.680863 144.132009) + (xy 274.677681 144.129496) + (xy 274.476065 144.018198) + (xy 274.472234 144.016841) + (xy 274.472231 144.01684) + (xy 274.262814 143.942681) + (xy 274.262809 143.94268) + (xy 274.258978 143.941323) + (xy 274.03225 143.900937) + (xy 274.028204 143.900888) + (xy 274.028198 143.900887) + (xy 273.901094 143.899334) + (xy 273.801971 143.898123) + (xy 273.574325 143.932958) + (xy 273.457116 143.971268) + (xy 273.359281 144.003245) + (xy 273.359277 144.003247) + (xy 273.355424 144.004506) + (xy 273.351824 144.00638) + (xy 273.154754 144.108968) + (xy 273.154751 144.10897) + (xy 273.151149 144.110845) + (xy 272.966984 144.249119) + (xy 272.807877 144.415616) + (xy 272.805585 144.418976) + (xy 272.680389 144.602505) + (xy 272.680386 144.602511) + (xy 272.678099 144.605863) + (xy 272.581136 144.814752) + (xy 272.556372 144.904048) + (xy 272.525432 145.015616) + (xy 272.519592 145.036673) + (xy 272.519159 145.040721) + (xy 272.519159 145.040723) + (xy 272.518321 145.048562) + (xy 272.495119 145.265665) + (xy 267.302477 145.265665) + (xy 267.286763 145.074525) + (xy 267.286762 145.074522) + (xy 267.28643 145.070478) + (xy 267.279419 145.042563) + (xy 267.244625 144.904048) + (xy 267.230326 144.84712) + (xy 267.155309 144.674591) + (xy 267.140118 144.639654) + (xy 267.140117 144.639652) + (xy 267.138496 144.635924) + (xy 267.013405 144.442563) + (xy 266.858412 144.272229) + (xy 266.855226 144.269713) + (xy 266.855223 144.26971) + (xy 266.680869 144.132013) + (xy 266.680863 144.132009) + (xy 266.677681 144.129496) + (xy 266.476065 144.018198) + (xy 266.472234 144.016841) + (xy 266.472231 144.01684) + (xy 266.262814 143.942681) + (xy 266.262809 143.94268) + (xy 266.258978 143.941323) + (xy 266.03225 143.900937) + (xy 266.028204 143.900888) + (xy 266.028198 143.900887) + (xy 265.901094 143.899334) + (xy 265.801971 143.898123) + (xy 265.574325 143.932958) + (xy 265.457116 143.971268) + (xy 265.359281 144.003245) + (xy 265.359277 144.003247) + (xy 265.355424 144.004506) + (xy 265.351824 144.00638) + (xy 265.154754 144.108968) + (xy 265.154751 144.10897) + (xy 265.151149 144.110845) + (xy 264.966984 144.249119) + (xy 264.807877 144.415616) + (xy 264.805585 144.418976) + (xy 264.680389 144.602505) + (xy 264.680386 144.602511) + (xy 264.678099 144.605863) + (xy 264.581136 144.814752) + (xy 264.556372 144.904048) + (xy 264.525432 145.015616) + (xy 264.519592 145.036673) + (xy 264.519159 145.040721) + (xy 264.519159 145.040723) + (xy 264.518321 145.048562) + (xy 264.495119 145.265665) + (xy 169.821931 145.265665) + (xy 169.954836 145.225791) + (xy 170.012761 145.208413) + (xy 170.219574 145.107096) + (xy 170.407062 144.973363) + (xy 170.57019 144.810803) + (xy 170.704577 144.623783) + (xy 170.806615 144.417325) + (xy 170.819256 144.375721) + (xy 170.87238 144.200869) + (xy 170.87238 144.200868) + (xy 170.873563 144.196975) + (xy 170.903622 143.968649) + (xy 170.9053 143.9) + (xy 170.898503 143.817325) + (xy 170.886763 143.674525) + (xy 170.886762 143.674522) + (xy 170.88643 143.670478) + (xy 170.868946 143.600869) + (xy 170.831317 143.451066) + (xy 170.830326 143.44712) + (xy 170.755309 143.274591) + (xy 170.751428 143.265665) + (xy 268.495119 143.265665) + (xy 268.508376 143.49558) + (xy 268.509268 143.499537) + (xy 268.541086 143.640723) + (xy 268.559006 143.720242) + (xy 268.560535 143.724006) + (xy 268.560536 143.724011) + (xy 268.632667 143.901648) + (xy 268.645649 143.933618) + (xy 268.647773 143.937084) + (xy 268.647775 143.937088) + (xy 268.689089 144.004506) + (xy 268.765979 144.129978) + (xy 268.768638 144.133048) + (xy 268.768639 144.133049) + (xy 268.891806 144.275237) + (xy 268.916763 144.304048) + (xy 269.093953 144.451154) + (xy 269.29279 144.567345) + (xy 269.507934 144.649501) + (xy 269.511909 144.65031) + (xy 269.51191 144.65031) + (xy 269.729624 144.694604) + (xy 269.729628 144.694604) + (xy 269.733607 144.695414) + (xy 269.737667 144.695563) + (xy 269.737668 144.695563) + (xy 269.779459 144.697095) + (xy 269.963749 144.703853) + (xy 269.967767 144.703338) + (xy 269.967773 144.703338) + (xy 270.188146 144.675108) + (xy 270.188152 144.675107) + (xy 270.192178 144.674591) + (xy 270.196071 144.673423) + (xy 270.196076 144.673422) + (xy 270.36153 144.623783) + (xy 270.412761 144.608413) + (xy 270.619574 144.507096) + (xy 270.807062 144.373363) + (xy 270.97019 144.210803) + (xy 271.104577 144.023783) + (xy 271.206615 143.817325) + (xy 271.236112 143.720242) + (xy 271.27238 143.600869) + (xy 271.27238 143.600868) + (xy 271.273563 143.596975) + (xy 271.303622 143.368649) + (xy 271.3053 143.3) + (xy 271.304923 143.295414) + (xy 271.286763 143.074525) + (xy 271.286762 143.074522) + (xy 271.28643 143.070478) + (xy 271.279419 143.042563) + (xy 271.244625 142.904048) + (xy 271.230326 142.84712) + (xy 271.155309 142.674591) + (xy 271.140118 142.639654) + (xy 271.140117 142.639652) + (xy 271.138496 142.635924) + (xy 271.013405 142.442563) + (xy 270.858412 142.272229) + (xy 270.855226 142.269713) + (xy 270.855223 142.26971) + (xy 270.680869 142.132013) + (xy 270.680863 142.132009) + (xy 270.677681 142.129496) + (xy 270.476065 142.018198) + (xy 270.472234 142.016841) + (xy 270.472231 142.01684) + (xy 270.262814 141.942681) + (xy 270.262809 141.94268) + (xy 270.258978 141.941323) + (xy 270.03225 141.900937) + (xy 270.028204 141.900888) + (xy 270.028198 141.900887) + (xy 269.901094 141.899334) + (xy 269.801971 141.898123) + (xy 269.574325 141.932958) + (xy 269.457116 141.971268) + (xy 269.359281 142.003245) + (xy 269.359277 142.003247) + (xy 269.355424 142.004506) + (xy 269.351824 142.00638) + (xy 269.154754 142.108968) + (xy 269.154751 142.10897) + (xy 269.151149 142.110845) + (xy 268.966984 142.249119) + (xy 268.807877 142.415616) + (xy 268.805585 142.418976) + (xy 268.680389 142.602505) + (xy 268.680386 142.602511) + (xy 268.678099 142.605863) + (xy 268.581136 142.814752) + (xy 268.556372 142.904048) + (xy 268.525432 143.015616) + (xy 268.519592 143.036673) + (xy 268.519159 143.040721) + (xy 268.519159 143.040723) + (xy 268.518321 143.048562) + (xy 268.495119 143.265665) + (xy 170.751428 143.265665) + (xy 170.740118 143.239654) + (xy 170.740117 143.239652) + (xy 170.738496 143.235924) + (xy 170.613405 143.042563) + (xy 170.608046 143.036673) + (xy 170.461149 142.875237) + (xy 170.458412 142.872229) + (xy 170.455226 142.869713) + (xy 170.455223 142.86971) + (xy 170.280869 142.732013) + (xy 170.280863 142.732009) + (xy 170.277681 142.729496) + (xy 170.230296 142.703338) + (xy 170.079623 142.620162) + (xy 170.079622 142.620161) + (xy 170.076065 142.618198) + (xy 170.072234 142.616841) + (xy 170.072231 142.61684) + (xy 169.862814 142.542681) + (xy 169.862809 142.54268) + (xy 169.858978 142.541323) + (xy 169.63225 142.500937) + (xy 169.628204 142.500888) + (xy 169.628198 142.500887) + (xy 169.501094 142.499334) + (xy 169.401971 142.498123) + (xy 169.174325 142.532958) + (xy 169.075384 142.565297) + (xy 168.959281 142.603245) + (xy 168.959277 142.603247) + (xy 168.955424 142.604506) + (xy 168.951824 142.60638) + (xy 168.754754 142.708968) + (xy 168.754751 142.70897) + (xy 168.751149 142.710845) + (xy 168.566984 142.849119) + (xy 168.407877 143.015616) + (xy 168.405585 143.018976) + (xy 168.280389 143.202505) + (xy 168.280386 143.202511) + (xy 168.278099 143.205863) + (xy 168.181136 143.414752) + (xy 168.119592 143.636673) + (xy 168.095119 143.865665) + (xy 162.902477 143.865665) + (xy 162.898503 143.817325) + (xy 162.886763 143.674525) + (xy 162.886762 143.674522) + (xy 162.88643 143.670478) + (xy 162.868946 143.600869) + (xy 162.831317 143.451066) + (xy 162.830326 143.44712) + (xy 162.755309 143.274591) + (xy 162.740118 143.239654) + (xy 162.740117 143.239652) + (xy 162.738496 143.235924) + (xy 162.613405 143.042563) + (xy 162.608046 143.036673) + (xy 162.461149 142.875237) + (xy 162.458412 142.872229) + (xy 162.455226 142.869713) + (xy 162.455223 142.86971) + (xy 162.280869 142.732013) + (xy 162.280863 142.732009) + (xy 162.277681 142.729496) + (xy 162.230296 142.703338) + (xy 162.079623 142.620162) + (xy 162.079622 142.620161) + (xy 162.076065 142.618198) + (xy 162.072234 142.616841) + (xy 162.072231 142.61684) + (xy 161.862814 142.542681) + (xy 161.862809 142.54268) + (xy 161.858978 142.541323) + (xy 161.63225 142.500937) + (xy 161.628204 142.500888) + (xy 161.628198 142.500887) + (xy 161.501094 142.499334) + (xy 161.401971 142.498123) + (xy 161.174325 142.532958) + (xy 161.075384 142.565297) + (xy 160.959281 142.603245) + (xy 160.959277 142.603247) + (xy 160.955424 142.604506) + (xy 160.951824 142.60638) + (xy 160.754754 142.708968) + (xy 160.754751 142.70897) + (xy 160.751149 142.710845) + (xy 160.566984 142.849119) + (xy 160.407877 143.015616) + (xy 160.405585 143.018976) + (xy 160.280389 143.202505) + (xy 160.280386 143.202511) + (xy 160.278099 143.205863) + (xy 160.181136 143.414752) + (xy 160.119592 143.636673) + (xy 160.095119 143.865665) + (xy 136.661248 143.865665) + (xy 136.661248 141.865665) + (xy 164.095119 141.865665) + (xy 164.108376 142.09558) + (xy 164.115577 142.127533) + (xy 164.155942 142.306644) + (xy 164.159006 142.320242) + (xy 164.160535 142.324006) + (xy 164.160536 142.324011) + (xy 164.244118 142.529848) + (xy 164.245649 142.533618) + (xy 164.247773 142.537084) + (xy 164.247775 142.537088) + (xy 164.316662 142.649501) + (xy 164.365979 142.729978) + (xy 164.368638 142.733048) + (xy 164.368639 142.733049) + (xy 164.491806 142.875237) + (xy 164.516763 142.904048) + (xy 164.693953 143.051154) + (xy 164.89279 143.167345) + (xy 165.107934 143.249501) + (xy 165.111909 143.25031) + (xy 165.11191 143.25031) + (xy 165.329624 143.294604) + (xy 165.329628 143.294604) + (xy 165.333607 143.295414) + (xy 165.337667 143.295563) + (xy 165.337668 143.295563) + (xy 165.379459 143.297095) + (xy 165.563749 143.303853) + (xy 165.567767 143.303338) + (xy 165.567773 143.303338) + (xy 165.788146 143.275108) + (xy 165.788152 143.275107) + (xy 165.792178 143.274591) + (xy 165.796071 143.273423) + (xy 165.796076 143.273422) + (xy 165.954836 143.225791) + (xy 166.012761 143.208413) + (xy 166.219574 143.107096) + (xy 166.407062 142.973363) + (xy 166.57019 142.810803) + (xy 166.704577 142.623783) + (xy 166.806615 142.417325) + (xy 166.819256 142.375721) + (xy 166.87238 142.200869) + (xy 166.87238 142.200868) + (xy 166.873563 142.196975) + (xy 166.903622 141.968649) + (xy 166.9053 141.9) + (xy 166.898503 141.817325) + (xy 166.886763 141.674525) + (xy 166.886762 141.674522) + (xy 166.88643 141.670478) + (xy 166.868946 141.600869) + (xy 166.831317 141.451066) + (xy 166.830326 141.44712) + (xy 166.755309 141.274591) + (xy 166.740118 141.239654) + (xy 166.740117 141.239652) + (xy 166.738496 141.235924) + (xy 166.613405 141.042563) + (xy 166.608046 141.036673) + (xy 166.461149 140.875237) + (xy 166.458412 140.872229) + (xy 166.455226 140.869713) + (xy 166.455223 140.86971) + (xy 166.280869 140.732013) + (xy 166.280863 140.732009) + (xy 166.277681 140.729496) + (xy 166.230296 140.703338) + (xy 166.079623 140.620162) + (xy 166.079622 140.620161) + (xy 166.076065 140.618198) + (xy 166.072234 140.616841) + (xy 166.072231 140.61684) + (xy 165.862814 140.542681) + (xy 165.862809 140.54268) + (xy 165.858978 140.541323) + (xy 165.63225 140.500937) + (xy 165.628204 140.500888) + (xy 165.628198 140.500887) + (xy 165.501094 140.499334) + (xy 165.401971 140.498123) + (xy 165.174325 140.532958) + (xy 165.075384 140.565297) + (xy 164.959281 140.603245) + (xy 164.959277 140.603247) + (xy 164.955424 140.604506) + (xy 164.951824 140.60638) + (xy 164.754754 140.708968) + (xy 164.754751 140.70897) + (xy 164.751149 140.710845) + (xy 164.566984 140.849119) + (xy 164.407877 141.015616) + (xy 164.405585 141.018976) + (xy 164.280389 141.202505) + (xy 164.280386 141.202511) + (xy 164.278099 141.205863) + (xy 164.181136 141.414752) + (xy 164.119592 141.636673) + (xy 164.095119 141.865665) + (xy 136.661248 141.865665) + (xy 136.661248 139.865665) + (xy 160.095119 139.865665) + (xy 160.108376 140.09558) + (xy 160.115577 140.127533) + (xy 160.155942 140.306644) + (xy 160.159006 140.320242) + (xy 160.160535 140.324006) + (xy 160.160536 140.324011) + (xy 160.244118 140.529848) + (xy 160.245649 140.533618) + (xy 160.247773 140.537084) + (xy 160.247775 140.537088) + (xy 160.316662 140.649501) + (xy 160.365979 140.729978) + (xy 160.368638 140.733048) + (xy 160.368639 140.733049) + (xy 160.491806 140.875237) + (xy 160.516763 140.904048) + (xy 160.693953 141.051154) + (xy 160.89279 141.167345) + (xy 161.107934 141.249501) + (xy 161.111909 141.25031) + (xy 161.11191 141.25031) + (xy 161.329624 141.294604) + (xy 161.329628 141.294604) + (xy 161.333607 141.295414) + (xy 161.337667 141.295563) + (xy 161.337668 141.295563) + (xy 161.379459 141.297095) + (xy 161.563749 141.303853) + (xy 161.567767 141.303338) + (xy 161.567773 141.303338) + (xy 161.788146 141.275108) + (xy 161.788152 141.275107) + (xy 161.792178 141.274591) + (xy 161.796071 141.273423) + (xy 161.796076 141.273422) + (xy 161.954836 141.225791) + (xy 162.012761 141.208413) + (xy 162.219574 141.107096) + (xy 162.407062 140.973363) + (xy 162.57019 140.810803) + (xy 162.704577 140.623783) + (xy 162.806615 140.417325) + (xy 162.819256 140.375721) + (xy 162.87238 140.200869) + (xy 162.87238 140.200868) + (xy 162.873563 140.196975) + (xy 162.903622 139.968649) + (xy 162.9053 139.9) + (xy 162.902477 139.865665) + (xy 168.095119 139.865665) + (xy 168.108376 140.09558) + (xy 168.115577 140.127533) + (xy 168.155942 140.306644) + (xy 168.159006 140.320242) + (xy 168.160535 140.324006) + (xy 168.160536 140.324011) + (xy 168.244118 140.529848) + (xy 168.245649 140.533618) + (xy 168.247773 140.537084) + (xy 168.247775 140.537088) + (xy 168.316662 140.649501) + (xy 168.365979 140.729978) + (xy 168.368638 140.733048) + (xy 168.368639 140.733049) + (xy 168.491806 140.875237) + (xy 168.516763 140.904048) + (xy 168.693953 141.051154) + (xy 168.89279 141.167345) + (xy 169.107934 141.249501) + (xy 169.111909 141.25031) + (xy 169.11191 141.25031) + (xy 169.329624 141.294604) + (xy 169.329628 141.294604) + (xy 169.333607 141.295414) + (xy 169.337667 141.295563) + (xy 169.337668 141.295563) + (xy 169.379459 141.297095) + (xy 169.563749 141.303853) + (xy 169.567767 141.303338) + (xy 169.567773 141.303338) + (xy 169.788146 141.275108) + (xy 169.788152 141.275107) + (xy 169.792178 141.274591) + (xy 169.796071 141.273423) + (xy 169.796076 141.273422) + (xy 169.821931 141.265665) + (xy 264.495119 141.265665) + (xy 264.508376 141.49558) + (xy 264.509268 141.499537) + (xy 264.541086 141.640723) + (xy 264.559006 141.720242) + (xy 264.560535 141.724006) + (xy 264.560536 141.724011) + (xy 264.632667 141.901648) + (xy 264.645649 141.933618) + (xy 264.647773 141.937084) + (xy 264.647775 141.937088) + (xy 264.689089 142.004506) + (xy 264.765979 142.129978) + (xy 264.768638 142.133048) + (xy 264.768639 142.133049) + (xy 264.891806 142.275237) + (xy 264.916763 142.304048) + (xy 265.093953 142.451154) + (xy 265.29279 142.567345) + (xy 265.507934 142.649501) + (xy 265.511909 142.65031) + (xy 265.51191 142.65031) + (xy 265.729624 142.694604) + (xy 265.729628 142.694604) + (xy 265.733607 142.695414) + (xy 265.737667 142.695563) + (xy 265.737668 142.695563) + (xy 265.779459 142.697095) + (xy 265.963749 142.703853) + (xy 265.967767 142.703338) + (xy 265.967773 142.703338) + (xy 266.188146 142.675108) + (xy 266.188152 142.675107) + (xy 266.192178 142.674591) + (xy 266.196071 142.673423) + (xy 266.196076 142.673422) + (xy 266.36153 142.623783) + (xy 266.412761 142.608413) + (xy 266.619574 142.507096) + (xy 266.807062 142.373363) + (xy 266.97019 142.210803) + (xy 267.104577 142.023783) + (xy 267.206615 141.817325) + (xy 267.236112 141.720242) + (xy 267.27238 141.600869) + (xy 267.27238 141.600868) + (xy 267.273563 141.596975) + (xy 267.303622 141.368649) + (xy 267.3053 141.3) + (xy 267.304923 141.295414) + (xy 267.302477 141.265665) + (xy 272.495119 141.265665) + (xy 272.508376 141.49558) + (xy 272.509268 141.499537) + (xy 272.541086 141.640723) + (xy 272.559006 141.720242) + (xy 272.560535 141.724006) + (xy 272.560536 141.724011) + (xy 272.632667 141.901648) + (xy 272.645649 141.933618) + (xy 272.647773 141.937084) + (xy 272.647775 141.937088) + (xy 272.689089 142.004506) + (xy 272.765979 142.129978) + (xy 272.768638 142.133048) + (xy 272.768639 142.133049) + (xy 272.891806 142.275237) + (xy 272.916763 142.304048) + (xy 273.093953 142.451154) + (xy 273.29279 142.567345) + (xy 273.507934 142.649501) + (xy 273.511909 142.65031) + (xy 273.51191 142.65031) + (xy 273.729624 142.694604) + (xy 273.729628 142.694604) + (xy 273.733607 142.695414) + (xy 273.737667 142.695563) + (xy 273.737668 142.695563) + (xy 273.779459 142.697095) + (xy 273.963749 142.703853) + (xy 273.967767 142.703338) + (xy 273.967773 142.703338) + (xy 274.188146 142.675108) + (xy 274.188152 142.675107) + (xy 274.192178 142.674591) + (xy 274.196071 142.673423) + (xy 274.196076 142.673422) + (xy 274.36153 142.623783) + (xy 274.412761 142.608413) + (xy 274.619574 142.507096) + (xy 274.807062 142.373363) + (xy 274.97019 142.210803) + (xy 275.104577 142.023783) + (xy 275.206615 141.817325) + (xy 275.236112 141.720242) + (xy 275.27238 141.600869) + (xy 275.27238 141.600868) + (xy 275.273563 141.596975) + (xy 275.303622 141.368649) + (xy 275.3053 141.3) + (xy 275.304923 141.295414) + (xy 275.286763 141.074525) + (xy 275.286762 141.074522) + (xy 275.28643 141.070478) + (xy 275.279419 141.042563) + (xy 275.244625 140.904048) + (xy 275.230326 140.84712) + (xy 275.155309 140.674591) + (xy 275.140118 140.639654) + (xy 275.140117 140.639652) + (xy 275.138496 140.635924) + (xy 275.013405 140.442563) + (xy 274.858412 140.272229) + (xy 274.855226 140.269713) + (xy 274.855223 140.26971) + (xy 274.680869 140.132013) + (xy 274.680863 140.132009) + (xy 274.677681 140.129496) + (xy 274.476065 140.018198) + (xy 274.472234 140.016841) + (xy 274.472231 140.01684) + (xy 274.262814 139.942681) + (xy 274.262809 139.94268) + (xy 274.258978 139.941323) + (xy 274.03225 139.900937) + (xy 274.028204 139.900888) + (xy 274.028198 139.900887) + (xy 273.901094 139.899334) + (xy 273.801971 139.898123) + (xy 273.574325 139.932958) + (xy 273.457116 139.971268) + (xy 273.359281 140.003245) + (xy 273.359277 140.003247) + (xy 273.355424 140.004506) + (xy 273.351824 140.00638) + (xy 273.154754 140.108968) + (xy 273.154751 140.10897) + (xy 273.151149 140.110845) + (xy 272.966984 140.249119) + (xy 272.807877 140.415616) + (xy 272.805585 140.418976) + (xy 272.680389 140.602505) + (xy 272.680386 140.602511) + (xy 272.678099 140.605863) + (xy 272.581136 140.814752) + (xy 272.556372 140.904048) + (xy 272.525432 141.015616) + (xy 272.519592 141.036673) + (xy 272.519159 141.040721) + (xy 272.519159 141.040723) + (xy 272.518321 141.048562) + (xy 272.495119 141.265665) + (xy 267.302477 141.265665) + (xy 267.286763 141.074525) + (xy 267.286762 141.074522) + (xy 267.28643 141.070478) + (xy 267.279419 141.042563) + (xy 267.244625 140.904048) + (xy 267.230326 140.84712) + (xy 267.155309 140.674591) + (xy 267.140118 140.639654) + (xy 267.140117 140.639652) + (xy 267.138496 140.635924) + (xy 267.013405 140.442563) + (xy 266.858412 140.272229) + (xy 266.855226 140.269713) + (xy 266.855223 140.26971) + (xy 266.680869 140.132013) + (xy 266.680863 140.132009) + (xy 266.677681 140.129496) + (xy 266.476065 140.018198) + (xy 266.472234 140.016841) + (xy 266.472231 140.01684) + (xy 266.262814 139.942681) + (xy 266.262809 139.94268) + (xy 266.258978 139.941323) + (xy 266.03225 139.900937) + (xy 266.028204 139.900888) + (xy 266.028198 139.900887) + (xy 265.901094 139.899334) + (xy 265.801971 139.898123) + (xy 265.574325 139.932958) + (xy 265.457116 139.971268) + (xy 265.359281 140.003245) + (xy 265.359277 140.003247) + (xy 265.355424 140.004506) + (xy 265.351824 140.00638) + (xy 265.154754 140.108968) + (xy 265.154751 140.10897) + (xy 265.151149 140.110845) + (xy 264.966984 140.249119) + (xy 264.807877 140.415616) + (xy 264.805585 140.418976) + (xy 264.680389 140.602505) + (xy 264.680386 140.602511) + (xy 264.678099 140.605863) + (xy 264.581136 140.814752) + (xy 264.556372 140.904048) + (xy 264.525432 141.015616) + (xy 264.519592 141.036673) + (xy 264.519159 141.040721) + (xy 264.519159 141.040723) + (xy 264.518321 141.048562) + (xy 264.495119 141.265665) + (xy 169.821931 141.265665) + (xy 169.954836 141.225791) + (xy 170.012761 141.208413) + (xy 170.219574 141.107096) + (xy 170.407062 140.973363) + (xy 170.57019 140.810803) + (xy 170.704577 140.623783) + (xy 170.806615 140.417325) + (xy 170.819256 140.375721) + (xy 170.87238 140.200869) + (xy 170.87238 140.200868) + (xy 170.873563 140.196975) + (xy 170.903622 139.968649) + (xy 170.9053 139.9) + (xy 170.898503 139.817325) + (xy 170.886763 139.674525) + (xy 170.886762 139.674522) + (xy 170.88643 139.670478) + (xy 170.868946 139.600869) + (xy 170.831317 139.451066) + (xy 170.830326 139.44712) + (xy 170.755309 139.274591) + (xy 170.751428 139.265665) + (xy 268.495119 139.265665) + (xy 268.508376 139.49558) + (xy 268.509268 139.499537) + (xy 268.541086 139.640723) + (xy 268.559006 139.720242) + (xy 268.560535 139.724006) + (xy 268.560536 139.724011) + (xy 268.632667 139.901648) + (xy 268.645649 139.933618) + (xy 268.647773 139.937084) + (xy 268.647775 139.937088) + (xy 268.689089 140.004506) + (xy 268.765979 140.129978) + (xy 268.768638 140.133048) + (xy 268.768639 140.133049) + (xy 268.891806 140.275237) + (xy 268.916763 140.304048) + (xy 269.093953 140.451154) + (xy 269.29279 140.567345) + (xy 269.507934 140.649501) + (xy 269.511909 140.65031) + (xy 269.51191 140.65031) + (xy 269.729624 140.694604) + (xy 269.729628 140.694604) + (xy 269.733607 140.695414) + (xy 269.737667 140.695563) + (xy 269.737668 140.695563) + (xy 269.779459 140.697095) + (xy 269.963749 140.703853) + (xy 269.967767 140.703338) + (xy 269.967773 140.703338) + (xy 270.188146 140.675108) + (xy 270.188152 140.675107) + (xy 270.192178 140.674591) + (xy 270.196071 140.673423) + (xy 270.196076 140.673422) + (xy 270.36153 140.623783) + (xy 270.412761 140.608413) + (xy 270.619574 140.507096) + (xy 270.807062 140.373363) + (xy 270.97019 140.210803) + (xy 271.104577 140.023783) + (xy 271.206615 139.817325) + (xy 271.236112 139.720242) + (xy 271.27238 139.600869) + (xy 271.27238 139.600868) + (xy 271.273563 139.596975) + (xy 271.303622 139.368649) + (xy 271.3053 139.3) + (xy 271.304923 139.295414) + (xy 271.286763 139.074525) + (xy 271.286762 139.074522) + (xy 271.28643 139.070478) + (xy 271.279419 139.042563) + (xy 271.244625 138.904048) + (xy 271.230326 138.84712) + (xy 271.155309 138.674591) + (xy 271.140118 138.639654) + (xy 271.140117 138.639652) + (xy 271.138496 138.635924) + (xy 271.013405 138.442563) + (xy 270.858412 138.272229) + (xy 270.855226 138.269713) + (xy 270.855223 138.26971) + (xy 270.680869 138.132013) + (xy 270.680863 138.132009) + (xy 270.677681 138.129496) + (xy 270.476065 138.018198) + (xy 270.472234 138.016841) + (xy 270.472231 138.01684) + (xy 270.262814 137.942681) + (xy 270.262809 137.94268) + (xy 270.258978 137.941323) + (xy 270.03225 137.900937) + (xy 270.028204 137.900888) + (xy 270.028198 137.900887) + (xy 269.901094 137.899334) + (xy 269.801971 137.898123) + (xy 269.574325 137.932958) + (xy 269.457116 137.971268) + (xy 269.359281 138.003245) + (xy 269.359277 138.003247) + (xy 269.355424 138.004506) + (xy 269.351824 138.00638) + (xy 269.154754 138.108968) + (xy 269.154751 138.10897) + (xy 269.151149 138.110845) + (xy 268.966984 138.249119) + (xy 268.807877 138.415616) + (xy 268.805585 138.418976) + (xy 268.680389 138.602505) + (xy 268.680386 138.602511) + (xy 268.678099 138.605863) + (xy 268.581136 138.814752) + (xy 268.556372 138.904048) + (xy 268.525432 139.015616) + (xy 268.519592 139.036673) + (xy 268.519159 139.040721) + (xy 268.519159 139.040723) + (xy 268.518321 139.048562) + (xy 268.495119 139.265665) + (xy 170.751428 139.265665) + (xy 170.740118 139.239654) + (xy 170.740117 139.239652) + (xy 170.738496 139.235924) + (xy 170.613405 139.042563) + (xy 170.608046 139.036673) + (xy 170.461149 138.875237) + (xy 170.458412 138.872229) + (xy 170.455226 138.869713) + (xy 170.455223 138.86971) + (xy 170.280869 138.732013) + (xy 170.280863 138.732009) + (xy 170.277681 138.729496) + (xy 170.230296 138.703338) + (xy 170.079623 138.620162) + (xy 170.079622 138.620161) + (xy 170.076065 138.618198) + (xy 170.072234 138.616841) + (xy 170.072231 138.61684) + (xy 169.862814 138.542681) + (xy 169.862809 138.54268) + (xy 169.858978 138.541323) + (xy 169.63225 138.500937) + (xy 169.628204 138.500888) + (xy 169.628198 138.500887) + (xy 169.501094 138.499334) + (xy 169.401971 138.498123) + (xy 169.174325 138.532958) + (xy 169.075384 138.565297) + (xy 168.959281 138.603245) + (xy 168.959277 138.603247) + (xy 168.955424 138.604506) + (xy 168.951824 138.60638) + (xy 168.754754 138.708968) + (xy 168.754751 138.70897) + (xy 168.751149 138.710845) + (xy 168.566984 138.849119) + (xy 168.407877 139.015616) + (xy 168.405585 139.018976) + (xy 168.280389 139.202505) + (xy 168.280386 139.202511) + (xy 168.278099 139.205863) + (xy 168.181136 139.414752) + (xy 168.119592 139.636673) + (xy 168.095119 139.865665) + (xy 162.902477 139.865665) + (xy 162.898503 139.817325) + (xy 162.886763 139.674525) + (xy 162.886762 139.674522) + (xy 162.88643 139.670478) + (xy 162.868946 139.600869) + (xy 162.831317 139.451066) + (xy 162.830326 139.44712) + (xy 162.755309 139.274591) + (xy 162.740118 139.239654) + (xy 162.740117 139.239652) + (xy 162.738496 139.235924) + (xy 162.613405 139.042563) + (xy 162.608046 139.036673) + (xy 162.461149 138.875237) + (xy 162.458412 138.872229) + (xy 162.455226 138.869713) + (xy 162.455223 138.86971) + (xy 162.280869 138.732013) + (xy 162.280863 138.732009) + (xy 162.277681 138.729496) + (xy 162.230296 138.703338) + (xy 162.079623 138.620162) + (xy 162.079622 138.620161) + (xy 162.076065 138.618198) + (xy 162.072234 138.616841) + (xy 162.072231 138.61684) + (xy 161.862814 138.542681) + (xy 161.862809 138.54268) + (xy 161.858978 138.541323) + (xy 161.63225 138.500937) + (xy 161.628204 138.500888) + (xy 161.628198 138.500887) + (xy 161.501094 138.499334) + (xy 161.401971 138.498123) + (xy 161.174325 138.532958) + (xy 161.075384 138.565297) + (xy 160.959281 138.603245) + (xy 160.959277 138.603247) + (xy 160.955424 138.604506) + (xy 160.951824 138.60638) + (xy 160.754754 138.708968) + (xy 160.754751 138.70897) + (xy 160.751149 138.710845) + (xy 160.566984 138.849119) + (xy 160.407877 139.015616) + (xy 160.405585 139.018976) + (xy 160.280389 139.202505) + (xy 160.280386 139.202511) + (xy 160.278099 139.205863) + (xy 160.181136 139.414752) + (xy 160.119592 139.636673) + (xy 160.095119 139.865665) + (xy 136.661248 139.865665) + (xy 136.661248 137.865665) + (xy 164.095119 137.865665) + (xy 164.108376 138.09558) + (xy 164.115577 138.127533) + (xy 164.155942 138.306644) + (xy 164.159006 138.320242) + (xy 164.160535 138.324006) + (xy 164.160536 138.324011) + (xy 164.244118 138.529848) + (xy 164.245649 138.533618) + (xy 164.247773 138.537084) + (xy 164.247775 138.537088) + (xy 164.316662 138.649501) + (xy 164.365979 138.729978) + (xy 164.368638 138.733048) + (xy 164.368639 138.733049) + (xy 164.491806 138.875237) + (xy 164.516763 138.904048) + (xy 164.693953 139.051154) + (xy 164.89279 139.167345) + (xy 165.107934 139.249501) + (xy 165.111909 139.25031) + (xy 165.11191 139.25031) + (xy 165.329624 139.294604) + (xy 165.329628 139.294604) + (xy 165.333607 139.295414) + (xy 165.337667 139.295563) + (xy 165.337668 139.295563) + (xy 165.379459 139.297095) + (xy 165.563749 139.303853) + (xy 165.567767 139.303338) + (xy 165.567773 139.303338) + (xy 165.788146 139.275108) + (xy 165.788152 139.275107) + (xy 165.792178 139.274591) + (xy 165.796071 139.273423) + (xy 165.796076 139.273422) + (xy 165.954836 139.225791) + (xy 166.012761 139.208413) + (xy 166.219574 139.107096) + (xy 166.407062 138.973363) + (xy 166.57019 138.810803) + (xy 166.704577 138.623783) + (xy 166.806615 138.417325) + (xy 166.819256 138.375721) + (xy 166.87238 138.200869) + (xy 166.87238 138.200868) + (xy 166.873563 138.196975) + (xy 166.903622 137.968649) + (xy 166.9053 137.9) + (xy 166.898503 137.817325) + (xy 166.886763 137.674525) + (xy 166.886762 137.674522) + (xy 166.88643 137.670478) + (xy 166.868946 137.600869) + (xy 166.831317 137.451066) + (xy 166.830326 137.44712) + (xy 166.755309 137.274591) + (xy 166.740118 137.239654) + (xy 166.740117 137.239652) + (xy 166.738496 137.235924) + (xy 166.613405 137.042563) + (xy 166.608046 137.036673) + (xy 166.461149 136.875237) + (xy 166.458412 136.872229) + (xy 166.455226 136.869713) + (xy 166.455223 136.86971) + (xy 166.280869 136.732013) + (xy 166.280863 136.732009) + (xy 166.277681 136.729496) + (xy 166.230296 136.703338) + (xy 166.079623 136.620162) + (xy 166.079622 136.620161) + (xy 166.076065 136.618198) + (xy 166.072234 136.616841) + (xy 166.072231 136.61684) + (xy 165.862814 136.542681) + (xy 165.862809 136.54268) + (xy 165.858978 136.541323) + (xy 165.63225 136.500937) + (xy 165.628204 136.500888) + (xy 165.628198 136.500887) + (xy 165.501094 136.499334) + (xy 165.401971 136.498123) + (xy 165.174325 136.532958) + (xy 165.075384 136.565297) + (xy 164.959281 136.603245) + (xy 164.959277 136.603247) + (xy 164.955424 136.604506) + (xy 164.951824 136.60638) + (xy 164.754754 136.708968) + (xy 164.754751 136.70897) + (xy 164.751149 136.710845) + (xy 164.566984 136.849119) + (xy 164.407877 137.015616) + (xy 164.405585 137.018976) + (xy 164.280389 137.202505) + (xy 164.280386 137.202511) + (xy 164.278099 137.205863) + (xy 164.181136 137.414752) + (xy 164.119592 137.636673) + (xy 164.095119 137.865665) + (xy 136.661248 137.865665) + (xy 136.661248 135.865665) + (xy 160.095119 135.865665) + (xy 160.108376 136.09558) + (xy 160.115577 136.127533) + (xy 160.155942 136.306644) + (xy 160.159006 136.320242) + (xy 160.160535 136.324006) + (xy 160.160536 136.324011) + (xy 160.244118 136.529848) + (xy 160.245649 136.533618) + (xy 160.247773 136.537084) + (xy 160.247775 136.537088) + (xy 160.316662 136.649501) + (xy 160.365979 136.729978) + (xy 160.368638 136.733048) + (xy 160.368639 136.733049) + (xy 160.491806 136.875237) + (xy 160.516763 136.904048) + (xy 160.693953 137.051154) + (xy 160.89279 137.167345) + (xy 161.107934 137.249501) + (xy 161.111909 137.25031) + (xy 161.11191 137.25031) + (xy 161.329624 137.294604) + (xy 161.329628 137.294604) + (xy 161.333607 137.295414) + (xy 161.337667 137.295563) + (xy 161.337668 137.295563) + (xy 161.379459 137.297095) + (xy 161.563749 137.303853) + (xy 161.567767 137.303338) + (xy 161.567773 137.303338) + (xy 161.788146 137.275108) + (xy 161.788152 137.275107) + (xy 161.792178 137.274591) + (xy 161.796071 137.273423) + (xy 161.796076 137.273422) + (xy 161.954836 137.225791) + (xy 162.012761 137.208413) + (xy 162.219574 137.107096) + (xy 162.407062 136.973363) + (xy 162.57019 136.810803) + (xy 162.704577 136.623783) + (xy 162.806615 136.417325) + (xy 162.819256 136.375721) + (xy 162.87238 136.200869) + (xy 162.87238 136.200868) + (xy 162.873563 136.196975) + (xy 162.903622 135.968649) + (xy 162.9053 135.9) + (xy 162.902477 135.865665) + (xy 168.095119 135.865665) + (xy 168.108376 136.09558) + (xy 168.115577 136.127533) + (xy 168.155942 136.306644) + (xy 168.159006 136.320242) + (xy 168.160535 136.324006) + (xy 168.160536 136.324011) + (xy 168.244118 136.529848) + (xy 168.245649 136.533618) + (xy 168.247773 136.537084) + (xy 168.247775 136.537088) + (xy 168.316662 136.649501) + (xy 168.365979 136.729978) + (xy 168.368638 136.733048) + (xy 168.368639 136.733049) + (xy 168.491806 136.875237) + (xy 168.516763 136.904048) + (xy 168.693953 137.051154) + (xy 168.89279 137.167345) + (xy 169.107934 137.249501) + (xy 169.111909 137.25031) + (xy 169.11191 137.25031) + (xy 169.329624 137.294604) + (xy 169.329628 137.294604) + (xy 169.333607 137.295414) + (xy 169.337667 137.295563) + (xy 169.337668 137.295563) + (xy 169.379459 137.297095) + (xy 169.563749 137.303853) + (xy 169.567767 137.303338) + (xy 169.567773 137.303338) + (xy 169.788146 137.275108) + (xy 169.788152 137.275107) + (xy 169.792178 137.274591) + (xy 169.796071 137.273423) + (xy 169.796076 137.273422) + (xy 169.821931 137.265665) + (xy 264.495119 137.265665) + (xy 264.508376 137.49558) + (xy 264.509268 137.499537) + (xy 264.541086 137.640723) + (xy 264.559006 137.720242) + (xy 264.560535 137.724006) + (xy 264.560536 137.724011) + (xy 264.632667 137.901648) + (xy 264.645649 137.933618) + (xy 264.647773 137.937084) + (xy 264.647775 137.937088) + (xy 264.689089 138.004506) + (xy 264.765979 138.129978) + (xy 264.768638 138.133048) + (xy 264.768639 138.133049) + (xy 264.891806 138.275237) + (xy 264.916763 138.304048) + (xy 265.093953 138.451154) + (xy 265.29279 138.567345) + (xy 265.507934 138.649501) + (xy 265.511909 138.65031) + (xy 265.51191 138.65031) + (xy 265.729624 138.694604) + (xy 265.729628 138.694604) + (xy 265.733607 138.695414) + (xy 265.737667 138.695563) + (xy 265.737668 138.695563) + (xy 265.779459 138.697095) + (xy 265.963749 138.703853) + (xy 265.967767 138.703338) + (xy 265.967773 138.703338) + (xy 266.188146 138.675108) + (xy 266.188152 138.675107) + (xy 266.192178 138.674591) + (xy 266.196071 138.673423) + (xy 266.196076 138.673422) + (xy 266.36153 138.623783) + (xy 266.412761 138.608413) + (xy 266.619574 138.507096) + (xy 266.807062 138.373363) + (xy 266.97019 138.210803) + (xy 267.104577 138.023783) + (xy 267.206615 137.817325) + (xy 267.236112 137.720242) + (xy 267.27238 137.600869) + (xy 267.27238 137.600868) + (xy 267.273563 137.596975) + (xy 267.303622 137.368649) + (xy 267.3053 137.3) + (xy 267.304923 137.295414) + (xy 267.302477 137.265665) + (xy 272.495119 137.265665) + (xy 272.508376 137.49558) + (xy 272.509268 137.499537) + (xy 272.541086 137.640723) + (xy 272.559006 137.720242) + (xy 272.560535 137.724006) + (xy 272.560536 137.724011) + (xy 272.632667 137.901648) + (xy 272.645649 137.933618) + (xy 272.647773 137.937084) + (xy 272.647775 137.937088) + (xy 272.689089 138.004506) + (xy 272.765979 138.129978) + (xy 272.768638 138.133048) + (xy 272.768639 138.133049) + (xy 272.891806 138.275237) + (xy 272.916763 138.304048) + (xy 273.093953 138.451154) + (xy 273.29279 138.567345) + (xy 273.507934 138.649501) + (xy 273.511909 138.65031) + (xy 273.51191 138.65031) + (xy 273.729624 138.694604) + (xy 273.729628 138.694604) + (xy 273.733607 138.695414) + (xy 273.737667 138.695563) + (xy 273.737668 138.695563) + (xy 273.779459 138.697095) + (xy 273.963749 138.703853) + (xy 273.967767 138.703338) + (xy 273.967773 138.703338) + (xy 274.188146 138.675108) + (xy 274.188152 138.675107) + (xy 274.192178 138.674591) + (xy 274.196071 138.673423) + (xy 274.196076 138.673422) + (xy 274.36153 138.623783) + (xy 274.412761 138.608413) + (xy 274.619574 138.507096) + (xy 274.807062 138.373363) + (xy 274.97019 138.210803) + (xy 275.104577 138.023783) + (xy 275.206615 137.817325) + (xy 275.236112 137.720242) + (xy 275.27238 137.600869) + (xy 275.27238 137.600868) + (xy 275.273563 137.596975) + (xy 275.303622 137.368649) + (xy 275.3053 137.3) + (xy 275.304923 137.295414) + (xy 275.286763 137.074525) + (xy 275.286762 137.074522) + (xy 275.28643 137.070478) + (xy 275.279419 137.042563) + (xy 275.244625 136.904048) + (xy 275.230326 136.84712) + (xy 275.155309 136.674591) + (xy 275.140118 136.639654) + (xy 275.140117 136.639652) + (xy 275.138496 136.635924) + (xy 275.013405 136.442563) + (xy 274.858412 136.272229) + (xy 274.855226 136.269713) + (xy 274.855223 136.26971) + (xy 274.680869 136.132013) + (xy 274.680863 136.132009) + (xy 274.677681 136.129496) + (xy 274.476065 136.018198) + (xy 274.472234 136.016841) + (xy 274.472231 136.01684) + (xy 274.262814 135.942681) + (xy 274.262809 135.94268) + (xy 274.258978 135.941323) + (xy 274.03225 135.900937) + (xy 274.028204 135.900888) + (xy 274.028198 135.900887) + (xy 273.901094 135.899334) + (xy 273.801971 135.898123) + (xy 273.574325 135.932958) + (xy 273.457116 135.971268) + (xy 273.359281 136.003245) + (xy 273.359277 136.003247) + (xy 273.355424 136.004506) + (xy 273.351824 136.00638) + (xy 273.154754 136.108968) + (xy 273.154751 136.10897) + (xy 273.151149 136.110845) + (xy 272.966984 136.249119) + (xy 272.807877 136.415616) + (xy 272.805585 136.418976) + (xy 272.680389 136.602505) + (xy 272.680386 136.602511) + (xy 272.678099 136.605863) + (xy 272.581136 136.814752) + (xy 272.556372 136.904048) + (xy 272.525432 137.015616) + (xy 272.519592 137.036673) + (xy 272.519159 137.040721) + (xy 272.519159 137.040723) + (xy 272.518321 137.048562) + (xy 272.495119 137.265665) + (xy 267.302477 137.265665) + (xy 267.286763 137.074525) + (xy 267.286762 137.074522) + (xy 267.28643 137.070478) + (xy 267.279419 137.042563) + (xy 267.244625 136.904048) + (xy 267.230326 136.84712) + (xy 267.155309 136.674591) + (xy 267.140118 136.639654) + (xy 267.140117 136.639652) + (xy 267.138496 136.635924) + (xy 267.013405 136.442563) + (xy 266.858412 136.272229) + (xy 266.855226 136.269713) + (xy 266.855223 136.26971) + (xy 266.680869 136.132013) + (xy 266.680863 136.132009) + (xy 266.677681 136.129496) + (xy 266.476065 136.018198) + (xy 266.472234 136.016841) + (xy 266.472231 136.01684) + (xy 266.262814 135.942681) + (xy 266.262809 135.94268) + (xy 266.258978 135.941323) + (xy 266.03225 135.900937) + (xy 266.028204 135.900888) + (xy 266.028198 135.900887) + (xy 265.901094 135.899334) + (xy 265.801971 135.898123) + (xy 265.574325 135.932958) + (xy 265.457116 135.971268) + (xy 265.359281 136.003245) + (xy 265.359277 136.003247) + (xy 265.355424 136.004506) + (xy 265.351824 136.00638) + (xy 265.154754 136.108968) + (xy 265.154751 136.10897) + (xy 265.151149 136.110845) + (xy 264.966984 136.249119) + (xy 264.807877 136.415616) + (xy 264.805585 136.418976) + (xy 264.680389 136.602505) + (xy 264.680386 136.602511) + (xy 264.678099 136.605863) + (xy 264.581136 136.814752) + (xy 264.556372 136.904048) + (xy 264.525432 137.015616) + (xy 264.519592 137.036673) + (xy 264.519159 137.040721) + (xy 264.519159 137.040723) + (xy 264.518321 137.048562) + (xy 264.495119 137.265665) + (xy 169.821931 137.265665) + (xy 169.954836 137.225791) + (xy 170.012761 137.208413) + (xy 170.219574 137.107096) + (xy 170.407062 136.973363) + (xy 170.57019 136.810803) + (xy 170.704577 136.623783) + (xy 170.806615 136.417325) + (xy 170.819256 136.375721) + (xy 170.87238 136.200869) + (xy 170.87238 136.200868) + (xy 170.873563 136.196975) + (xy 170.903622 135.968649) + (xy 170.9053 135.9) + (xy 170.898503 135.817325) + (xy 170.886763 135.674525) + (xy 170.886762 135.674522) + (xy 170.88643 135.670478) + (xy 170.868946 135.600869) + (xy 170.831317 135.451066) + (xy 170.830326 135.44712) + (xy 170.755309 135.274591) + (xy 170.751428 135.265665) + (xy 268.495119 135.265665) + (xy 268.508376 135.49558) + (xy 268.509268 135.499537) + (xy 268.541086 135.640723) + (xy 268.559006 135.720242) + (xy 268.560535 135.724006) + (xy 268.560536 135.724011) + (xy 268.632667 135.901648) + (xy 268.645649 135.933618) + (xy 268.647773 135.937084) + (xy 268.647775 135.937088) + (xy 268.689089 136.004506) + (xy 268.765979 136.129978) + (xy 268.768638 136.133048) + (xy 268.768639 136.133049) + (xy 268.891806 136.275237) + (xy 268.916763 136.304048) + (xy 269.093953 136.451154) + (xy 269.29279 136.567345) + (xy 269.507934 136.649501) + (xy 269.511909 136.65031) + (xy 269.51191 136.65031) + (xy 269.729624 136.694604) + (xy 269.729628 136.694604) + (xy 269.733607 136.695414) + (xy 269.737667 136.695563) + (xy 269.737668 136.695563) + (xy 269.779459 136.697095) + (xy 269.963749 136.703853) + (xy 269.967767 136.703338) + (xy 269.967773 136.703338) + (xy 270.188146 136.675108) + (xy 270.188152 136.675107) + (xy 270.192178 136.674591) + (xy 270.196071 136.673423) + (xy 270.196076 136.673422) + (xy 270.36153 136.623783) + (xy 270.412761 136.608413) + (xy 270.619574 136.507096) + (xy 270.807062 136.373363) + (xy 270.97019 136.210803) + (xy 271.104577 136.023783) + (xy 271.206615 135.817325) + (xy 271.236112 135.720242) + (xy 271.27238 135.600869) + (xy 271.27238 135.600868) + (xy 271.273563 135.596975) + (xy 271.303622 135.368649) + (xy 271.3053 135.3) + (xy 271.304923 135.295414) + (xy 271.286763 135.074525) + (xy 271.286762 135.074522) + (xy 271.28643 135.070478) + (xy 271.279419 135.042563) + (xy 271.244625 134.904048) + (xy 271.230326 134.84712) + (xy 271.155309 134.674591) + (xy 271.140118 134.639654) + (xy 271.140117 134.639652) + (xy 271.138496 134.635924) + (xy 271.013405 134.442563) + (xy 270.858412 134.272229) + (xy 270.855226 134.269713) + (xy 270.855223 134.26971) + (xy 270.680869 134.132013) + (xy 270.680863 134.132009) + (xy 270.677681 134.129496) + (xy 270.476065 134.018198) + (xy 270.472234 134.016841) + (xy 270.472231 134.01684) + (xy 270.262814 133.942681) + (xy 270.262809 133.94268) + (xy 270.258978 133.941323) + (xy 270.03225 133.900937) + (xy 270.028204 133.900888) + (xy 270.028198 133.900887) + (xy 269.901094 133.899334) + (xy 269.801971 133.898123) + (xy 269.574325 133.932958) + (xy 269.457116 133.971268) + (xy 269.359281 134.003245) + (xy 269.359277 134.003247) + (xy 269.355424 134.004506) + (xy 269.351824 134.00638) + (xy 269.154754 134.108968) + (xy 269.154751 134.10897) + (xy 269.151149 134.110845) + (xy 268.966984 134.249119) + (xy 268.807877 134.415616) + (xy 268.805585 134.418976) + (xy 268.680389 134.602505) + (xy 268.680386 134.602511) + (xy 268.678099 134.605863) + (xy 268.581136 134.814752) + (xy 268.556372 134.904048) + (xy 268.525432 135.015616) + (xy 268.519592 135.036673) + (xy 268.519159 135.040721) + (xy 268.519159 135.040723) + (xy 268.518321 135.048562) + (xy 268.495119 135.265665) + (xy 170.751428 135.265665) + (xy 170.740118 135.239654) + (xy 170.740117 135.239652) + (xy 170.738496 135.235924) + (xy 170.613405 135.042563) + (xy 170.608046 135.036673) + (xy 170.461149 134.875237) + (xy 170.458412 134.872229) + (xy 170.455226 134.869713) + (xy 170.455223 134.86971) + (xy 170.280869 134.732013) + (xy 170.280863 134.732009) + (xy 170.277681 134.729496) + (xy 170.230296 134.703338) + (xy 170.079623 134.620162) + (xy 170.079622 134.620161) + (xy 170.076065 134.618198) + (xy 170.072234 134.616841) + (xy 170.072231 134.61684) + (xy 169.862814 134.542681) + (xy 169.862809 134.54268) + (xy 169.858978 134.541323) + (xy 169.63225 134.500937) + (xy 169.628204 134.500888) + (xy 169.628198 134.500887) + (xy 169.501094 134.499334) + (xy 169.401971 134.498123) + (xy 169.174325 134.532958) + (xy 169.075384 134.565297) + (xy 168.959281 134.603245) + (xy 168.959277 134.603247) + (xy 168.955424 134.604506) + (xy 168.951824 134.60638) + (xy 168.754754 134.708968) + (xy 168.754751 134.70897) + (xy 168.751149 134.710845) + (xy 168.566984 134.849119) + (xy 168.407877 135.015616) + (xy 168.405585 135.018976) + (xy 168.280389 135.202505) + (xy 168.280386 135.202511) + (xy 168.278099 135.205863) + (xy 168.181136 135.414752) + (xy 168.119592 135.636673) + (xy 168.095119 135.865665) + (xy 162.902477 135.865665) + (xy 162.898503 135.817325) + (xy 162.886763 135.674525) + (xy 162.886762 135.674522) + (xy 162.88643 135.670478) + (xy 162.868946 135.600869) + (xy 162.831317 135.451066) + (xy 162.830326 135.44712) + (xy 162.755309 135.274591) + (xy 162.740118 135.239654) + (xy 162.740117 135.239652) + (xy 162.738496 135.235924) + (xy 162.613405 135.042563) + (xy 162.608046 135.036673) + (xy 162.461149 134.875237) + (xy 162.458412 134.872229) + (xy 162.455226 134.869713) + (xy 162.455223 134.86971) + (xy 162.280869 134.732013) + (xy 162.280863 134.732009) + (xy 162.277681 134.729496) + (xy 162.230296 134.703338) + (xy 162.079623 134.620162) + (xy 162.079622 134.620161) + (xy 162.076065 134.618198) + (xy 162.072234 134.616841) + (xy 162.072231 134.61684) + (xy 161.862814 134.542681) + (xy 161.862809 134.54268) + (xy 161.858978 134.541323) + (xy 161.63225 134.500937) + (xy 161.628204 134.500888) + (xy 161.628198 134.500887) + (xy 161.501094 134.499334) + (xy 161.401971 134.498123) + (xy 161.174325 134.532958) + (xy 161.075384 134.565297) + (xy 160.959281 134.603245) + (xy 160.959277 134.603247) + (xy 160.955424 134.604506) + (xy 160.951824 134.60638) + (xy 160.754754 134.708968) + (xy 160.754751 134.70897) + (xy 160.751149 134.710845) + (xy 160.566984 134.849119) + (xy 160.407877 135.015616) + (xy 160.405585 135.018976) + (xy 160.280389 135.202505) + (xy 160.280386 135.202511) + (xy 160.278099 135.205863) + (xy 160.181136 135.414752) + (xy 160.119592 135.636673) + (xy 160.095119 135.865665) + (xy 136.661248 135.865665) + (xy 136.661248 133.865665) + (xy 164.095119 133.865665) + (xy 164.108376 134.09558) + (xy 164.115577 134.127533) + (xy 164.155942 134.306644) + (xy 164.159006 134.320242) + (xy 164.160535 134.324006) + (xy 164.160536 134.324011) + (xy 164.244118 134.529848) + (xy 164.245649 134.533618) + (xy 164.247773 134.537084) + (xy 164.247775 134.537088) + (xy 164.316662 134.649501) + (xy 164.365979 134.729978) + (xy 164.368638 134.733048) + (xy 164.368639 134.733049) + (xy 164.491806 134.875237) + (xy 164.516763 134.904048) + (xy 164.693953 135.051154) + (xy 164.89279 135.167345) + (xy 165.107934 135.249501) + (xy 165.111909 135.25031) + (xy 165.11191 135.25031) + (xy 165.329624 135.294604) + (xy 165.329628 135.294604) + (xy 165.333607 135.295414) + (xy 165.337667 135.295563) + (xy 165.337668 135.295563) + (xy 165.379459 135.297095) + (xy 165.563749 135.303853) + (xy 165.567767 135.303338) + (xy 165.567773 135.303338) + (xy 165.788146 135.275108) + (xy 165.788152 135.275107) + (xy 165.792178 135.274591) + (xy 165.796071 135.273423) + (xy 165.796076 135.273422) + (xy 165.954836 135.225791) + (xy 166.012761 135.208413) + (xy 166.219574 135.107096) + (xy 166.407062 134.973363) + (xy 166.57019 134.810803) + (xy 166.704577 134.623783) + (xy 166.806615 134.417325) + (xy 166.819256 134.375721) + (xy 166.87238 134.200869) + (xy 166.87238 134.200868) + (xy 166.873563 134.196975) + (xy 166.903622 133.968649) + (xy 166.9053 133.9) + (xy 166.898503 133.817325) + (xy 166.886763 133.674525) + (xy 166.886762 133.674522) + (xy 166.88643 133.670478) + (xy 166.868946 133.600869) + (xy 166.831317 133.451066) + (xy 166.830326 133.44712) + (xy 166.755309 133.274591) + (xy 166.740118 133.239654) + (xy 166.740117 133.239652) + (xy 166.738496 133.235924) + (xy 166.613405 133.042563) + (xy 166.608046 133.036673) + (xy 166.461149 132.875237) + (xy 166.458412 132.872229) + (xy 166.455226 132.869713) + (xy 166.455223 132.86971) + (xy 166.280869 132.732013) + (xy 166.280863 132.732009) + (xy 166.277681 132.729496) + (xy 166.230296 132.703338) + (xy 166.079623 132.620162) + (xy 166.079622 132.620161) + (xy 166.076065 132.618198) + (xy 166.072234 132.616841) + (xy 166.072231 132.61684) + (xy 165.862814 132.542681) + (xy 165.862809 132.54268) + (xy 165.858978 132.541323) + (xy 165.63225 132.500937) + (xy 165.628204 132.500888) + (xy 165.628198 132.500887) + (xy 165.501094 132.499334) + (xy 165.401971 132.498123) + (xy 165.174325 132.532958) + (xy 165.075384 132.565297) + (xy 164.959281 132.603245) + (xy 164.959277 132.603247) + (xy 164.955424 132.604506) + (xy 164.951824 132.60638) + (xy 164.754754 132.708968) + (xy 164.754751 132.70897) + (xy 164.751149 132.710845) + (xy 164.566984 132.849119) + (xy 164.407877 133.015616) + (xy 164.405585 133.018976) + (xy 164.280389 133.202505) + (xy 164.280386 133.202511) + (xy 164.278099 133.205863) + (xy 164.181136 133.414752) + (xy 164.119592 133.636673) + (xy 164.095119 133.865665) + (xy 136.661248 133.865665) + (xy 136.661248 131.865665) + (xy 160.095119 131.865665) + (xy 160.108376 132.09558) + (xy 160.115577 132.127533) + (xy 160.155942 132.306644) + (xy 160.159006 132.320242) + (xy 160.160535 132.324006) + (xy 160.160536 132.324011) + (xy 160.244118 132.529848) + (xy 160.245649 132.533618) + (xy 160.247773 132.537084) + (xy 160.247775 132.537088) + (xy 160.316662 132.649501) + (xy 160.365979 132.729978) + (xy 160.368638 132.733048) + (xy 160.368639 132.733049) + (xy 160.491806 132.875237) + (xy 160.516763 132.904048) + (xy 160.693953 133.051154) + (xy 160.89279 133.167345) + (xy 161.107934 133.249501) + (xy 161.111909 133.25031) + (xy 161.11191 133.25031) + (xy 161.329624 133.294604) + (xy 161.329628 133.294604) + (xy 161.333607 133.295414) + (xy 161.337667 133.295563) + (xy 161.337668 133.295563) + (xy 161.379459 133.297095) + (xy 161.563749 133.303853) + (xy 161.567767 133.303338) + (xy 161.567773 133.303338) + (xy 161.788146 133.275108) + (xy 161.788152 133.275107) + (xy 161.792178 133.274591) + (xy 161.796071 133.273423) + (xy 161.796076 133.273422) + (xy 161.954836 133.225791) + (xy 162.012761 133.208413) + (xy 162.219574 133.107096) + (xy 162.407062 132.973363) + (xy 162.57019 132.810803) + (xy 162.704577 132.623783) + (xy 162.806615 132.417325) + (xy 162.819256 132.375721) + (xy 162.87238 132.200869) + (xy 162.87238 132.200868) + (xy 162.873563 132.196975) + (xy 162.903622 131.968649) + (xy 162.9053 131.9) + (xy 162.902477 131.865665) + (xy 168.095119 131.865665) + (xy 168.108376 132.09558) + (xy 168.115577 132.127533) + (xy 168.155942 132.306644) + (xy 168.159006 132.320242) + (xy 168.160535 132.324006) + (xy 168.160536 132.324011) + (xy 168.244118 132.529848) + (xy 168.245649 132.533618) + (xy 168.247773 132.537084) + (xy 168.247775 132.537088) + (xy 168.316662 132.649501) + (xy 168.365979 132.729978) + (xy 168.368638 132.733048) + (xy 168.368639 132.733049) + (xy 168.491806 132.875237) + (xy 168.516763 132.904048) + (xy 168.693953 133.051154) + (xy 168.89279 133.167345) + (xy 169.107934 133.249501) + (xy 169.111909 133.25031) + (xy 169.11191 133.25031) + (xy 169.329624 133.294604) + (xy 169.329628 133.294604) + (xy 169.333607 133.295414) + (xy 169.337667 133.295563) + (xy 169.337668 133.295563) + (xy 169.379459 133.297095) + (xy 169.563749 133.303853) + (xy 169.567767 133.303338) + (xy 169.567773 133.303338) + (xy 169.788146 133.275108) + (xy 169.788152 133.275107) + (xy 169.792178 133.274591) + (xy 169.796071 133.273423) + (xy 169.796076 133.273422) + (xy 169.821931 133.265665) + (xy 264.495119 133.265665) + (xy 264.508376 133.49558) + (xy 264.509268 133.499537) + (xy 264.541086 133.640723) + (xy 264.559006 133.720242) + (xy 264.560535 133.724006) + (xy 264.560536 133.724011) + (xy 264.632667 133.901648) + (xy 264.645649 133.933618) + (xy 264.647773 133.937084) + (xy 264.647775 133.937088) + (xy 264.689089 134.004506) + (xy 264.765979 134.129978) + (xy 264.768638 134.133048) + (xy 264.768639 134.133049) + (xy 264.891806 134.275237) + (xy 264.916763 134.304048) + (xy 265.093953 134.451154) + (xy 265.29279 134.567345) + (xy 265.507934 134.649501) + (xy 265.511909 134.65031) + (xy 265.51191 134.65031) + (xy 265.729624 134.694604) + (xy 265.729628 134.694604) + (xy 265.733607 134.695414) + (xy 265.737667 134.695563) + (xy 265.737668 134.695563) + (xy 265.779459 134.697095) + (xy 265.963749 134.703853) + (xy 265.967767 134.703338) + (xy 265.967773 134.703338) + (xy 266.188146 134.675108) + (xy 266.188152 134.675107) + (xy 266.192178 134.674591) + (xy 266.196071 134.673423) + (xy 266.196076 134.673422) + (xy 266.36153 134.623783) + (xy 266.412761 134.608413) + (xy 266.619574 134.507096) + (xy 266.807062 134.373363) + (xy 266.97019 134.210803) + (xy 267.104577 134.023783) + (xy 267.206615 133.817325) + (xy 267.236112 133.720242) + (xy 267.27238 133.600869) + (xy 267.27238 133.600868) + (xy 267.273563 133.596975) + (xy 267.303622 133.368649) + (xy 267.3053 133.3) + (xy 267.304923 133.295414) + (xy 267.302477 133.265665) + (xy 272.495119 133.265665) + (xy 272.508376 133.49558) + (xy 272.509268 133.499537) + (xy 272.541086 133.640723) + (xy 272.559006 133.720242) + (xy 272.560535 133.724006) + (xy 272.560536 133.724011) + (xy 272.632667 133.901648) + (xy 272.645649 133.933618) + (xy 272.647773 133.937084) + (xy 272.647775 133.937088) + (xy 272.689089 134.004506) + (xy 272.765979 134.129978) + (xy 272.768638 134.133048) + (xy 272.768639 134.133049) + (xy 272.891806 134.275237) + (xy 272.916763 134.304048) + (xy 273.093953 134.451154) + (xy 273.29279 134.567345) + (xy 273.507934 134.649501) + (xy 273.511909 134.65031) + (xy 273.51191 134.65031) + (xy 273.729624 134.694604) + (xy 273.729628 134.694604) + (xy 273.733607 134.695414) + (xy 273.737667 134.695563) + (xy 273.737668 134.695563) + (xy 273.779459 134.697095) + (xy 273.963749 134.703853) + (xy 273.967767 134.703338) + (xy 273.967773 134.703338) + (xy 274.188146 134.675108) + (xy 274.188152 134.675107) + (xy 274.192178 134.674591) + (xy 274.196071 134.673423) + (xy 274.196076 134.673422) + (xy 274.36153 134.623783) + (xy 274.412761 134.608413) + (xy 274.619574 134.507096) + (xy 274.807062 134.373363) + (xy 274.97019 134.210803) + (xy 275.104577 134.023783) + (xy 275.206615 133.817325) + (xy 275.236112 133.720242) + (xy 275.27238 133.600869) + (xy 275.27238 133.600868) + (xy 275.273563 133.596975) + (xy 275.303622 133.368649) + (xy 275.3053 133.3) + (xy 275.304923 133.295414) + (xy 275.286763 133.074525) + (xy 275.286762 133.074522) + (xy 275.28643 133.070478) + (xy 275.279419 133.042563) + (xy 275.244625 132.904048) + (xy 275.230326 132.84712) + (xy 275.155309 132.674591) + (xy 275.140118 132.639654) + (xy 275.140117 132.639652) + (xy 275.138496 132.635924) + (xy 275.013405 132.442563) + (xy 274.858412 132.272229) + (xy 274.855226 132.269713) + (xy 274.855223 132.26971) + (xy 274.680869 132.132013) + (xy 274.680863 132.132009) + (xy 274.677681 132.129496) + (xy 274.476065 132.018198) + (xy 274.472234 132.016841) + (xy 274.472231 132.01684) + (xy 274.262814 131.942681) + (xy 274.262809 131.94268) + (xy 274.258978 131.941323) + (xy 274.03225 131.900937) + (xy 274.028204 131.900888) + (xy 274.028198 131.900887) + (xy 273.901094 131.899334) + (xy 273.801971 131.898123) + (xy 273.574325 131.932958) + (xy 273.457116 131.971268) + (xy 273.359281 132.003245) + (xy 273.359277 132.003247) + (xy 273.355424 132.004506) + (xy 273.351824 132.00638) + (xy 273.154754 132.108968) + (xy 273.154751 132.10897) + (xy 273.151149 132.110845) + (xy 272.966984 132.249119) + (xy 272.807877 132.415616) + (xy 272.805585 132.418976) + (xy 272.680389 132.602505) + (xy 272.680386 132.602511) + (xy 272.678099 132.605863) + (xy 272.581136 132.814752) + (xy 272.556372 132.904048) + (xy 272.525432 133.015616) + (xy 272.519592 133.036673) + (xy 272.519159 133.040721) + (xy 272.519159 133.040723) + (xy 272.518321 133.048562) + (xy 272.495119 133.265665) + (xy 267.302477 133.265665) + (xy 267.286763 133.074525) + (xy 267.286762 133.074522) + (xy 267.28643 133.070478) + (xy 267.279419 133.042563) + (xy 267.244625 132.904048) + (xy 267.230326 132.84712) + (xy 267.155309 132.674591) + (xy 267.140118 132.639654) + (xy 267.140117 132.639652) + (xy 267.138496 132.635924) + (xy 267.013405 132.442563) + (xy 266.858412 132.272229) + (xy 266.855226 132.269713) + (xy 266.855223 132.26971) + (xy 266.680869 132.132013) + (xy 266.680863 132.132009) + (xy 266.677681 132.129496) + (xy 266.476065 132.018198) + (xy 266.472234 132.016841) + (xy 266.472231 132.01684) + (xy 266.262814 131.942681) + (xy 266.262809 131.94268) + (xy 266.258978 131.941323) + (xy 266.03225 131.900937) + (xy 266.028204 131.900888) + (xy 266.028198 131.900887) + (xy 265.901094 131.899334) + (xy 265.801971 131.898123) + (xy 265.574325 131.932958) + (xy 265.457116 131.971268) + (xy 265.359281 132.003245) + (xy 265.359277 132.003247) + (xy 265.355424 132.004506) + (xy 265.351824 132.00638) + (xy 265.154754 132.108968) + (xy 265.154751 132.10897) + (xy 265.151149 132.110845) + (xy 264.966984 132.249119) + (xy 264.807877 132.415616) + (xy 264.805585 132.418976) + (xy 264.680389 132.602505) + (xy 264.680386 132.602511) + (xy 264.678099 132.605863) + (xy 264.581136 132.814752) + (xy 264.556372 132.904048) + (xy 264.525432 133.015616) + (xy 264.519592 133.036673) + (xy 264.519159 133.040721) + (xy 264.519159 133.040723) + (xy 264.518321 133.048562) + (xy 264.495119 133.265665) + (xy 169.821931 133.265665) + (xy 169.954836 133.225791) + (xy 170.012761 133.208413) + (xy 170.219574 133.107096) + (xy 170.407062 132.973363) + (xy 170.57019 132.810803) + (xy 170.704577 132.623783) + (xy 170.806615 132.417325) + (xy 170.819256 132.375721) + (xy 170.87238 132.200869) + (xy 170.87238 132.200868) + (xy 170.873563 132.196975) + (xy 170.903622 131.968649) + (xy 170.9053 131.9) + (xy 170.898503 131.817325) + (xy 170.886763 131.674525) + (xy 170.886762 131.674522) + (xy 170.88643 131.670478) + (xy 170.868946 131.600869) + (xy 170.831317 131.451066) + (xy 170.830326 131.44712) + (xy 170.755309 131.274591) + (xy 170.751428 131.265665) + (xy 268.495119 131.265665) + (xy 268.508376 131.49558) + (xy 268.509268 131.499537) + (xy 268.541086 131.640723) + (xy 268.559006 131.720242) + (xy 268.560535 131.724006) + (xy 268.560536 131.724011) + (xy 268.632667 131.901648) + (xy 268.645649 131.933618) + (xy 268.647773 131.937084) + (xy 268.647775 131.937088) + (xy 268.689089 132.004506) + (xy 268.765979 132.129978) + (xy 268.768638 132.133048) + (xy 268.768639 132.133049) + (xy 268.891806 132.275237) + (xy 268.916763 132.304048) + (xy 269.093953 132.451154) + (xy 269.29279 132.567345) + (xy 269.507934 132.649501) + (xy 269.511909 132.65031) + (xy 269.51191 132.65031) + (xy 269.729624 132.694604) + (xy 269.729628 132.694604) + (xy 269.733607 132.695414) + (xy 269.737667 132.695563) + (xy 269.737668 132.695563) + (xy 269.779459 132.697095) + (xy 269.963749 132.703853) + (xy 269.967767 132.703338) + (xy 269.967773 132.703338) + (xy 270.188146 132.675108) + (xy 270.188152 132.675107) + (xy 270.192178 132.674591) + (xy 270.196071 132.673423) + (xy 270.196076 132.673422) + (xy 270.36153 132.623783) + (xy 270.412761 132.608413) + (xy 270.619574 132.507096) + (xy 270.807062 132.373363) + (xy 270.97019 132.210803) + (xy 271.104577 132.023783) + (xy 271.206615 131.817325) + (xy 271.236112 131.720242) + (xy 271.27238 131.600869) + (xy 271.27238 131.600868) + (xy 271.273563 131.596975) + (xy 271.303622 131.368649) + (xy 271.3053 131.3) + (xy 271.304923 131.295414) + (xy 271.286763 131.074525) + (xy 271.286762 131.074522) + (xy 271.28643 131.070478) + (xy 271.279419 131.042563) + (xy 271.244625 130.904048) + (xy 271.230326 130.84712) + (xy 271.155309 130.674591) + (xy 271.140118 130.639654) + (xy 271.140117 130.639652) + (xy 271.138496 130.635924) + (xy 271.013405 130.442563) + (xy 270.858412 130.272229) + (xy 270.855226 130.269713) + (xy 270.855223 130.26971) + (xy 270.680869 130.132013) + (xy 270.680863 130.132009) + (xy 270.677681 130.129496) + (xy 270.476065 130.018198) + (xy 270.472234 130.016841) + (xy 270.472231 130.01684) + (xy 270.262814 129.942681) + (xy 270.262809 129.94268) + (xy 270.258978 129.941323) + (xy 270.03225 129.900937) + (xy 270.028204 129.900888) + (xy 270.028198 129.900887) + (xy 269.901094 129.899334) + (xy 269.801971 129.898123) + (xy 269.574325 129.932958) + (xy 269.457116 129.971268) + (xy 269.359281 130.003245) + (xy 269.359277 130.003247) + (xy 269.355424 130.004506) + (xy 269.351824 130.00638) + (xy 269.154754 130.108968) + (xy 269.154751 130.10897) + (xy 269.151149 130.110845) + (xy 268.966984 130.249119) + (xy 268.807877 130.415616) + (xy 268.805585 130.418976) + (xy 268.680389 130.602505) + (xy 268.680386 130.602511) + (xy 268.678099 130.605863) + (xy 268.581136 130.814752) + (xy 268.556372 130.904048) + (xy 268.525432 131.015616) + (xy 268.519592 131.036673) + (xy 268.519159 131.040721) + (xy 268.519159 131.040723) + (xy 268.518321 131.048562) + (xy 268.495119 131.265665) + (xy 170.751428 131.265665) + (xy 170.740118 131.239654) + (xy 170.740117 131.239652) + (xy 170.738496 131.235924) + (xy 170.613405 131.042563) + (xy 170.608046 131.036673) + (xy 170.461149 130.875237) + (xy 170.458412 130.872229) + (xy 170.455226 130.869713) + (xy 170.455223 130.86971) + (xy 170.280869 130.732013) + (xy 170.280863 130.732009) + (xy 170.277681 130.729496) + (xy 170.230296 130.703338) + (xy 170.079623 130.620162) + (xy 170.079622 130.620161) + (xy 170.076065 130.618198) + (xy 170.072234 130.616841) + (xy 170.072231 130.61684) + (xy 169.862814 130.542681) + (xy 169.862809 130.54268) + (xy 169.858978 130.541323) + (xy 169.63225 130.500937) + (xy 169.628204 130.500888) + (xy 169.628198 130.500887) + (xy 169.501094 130.499334) + (xy 169.401971 130.498123) + (xy 169.174325 130.532958) + (xy 169.075384 130.565297) + (xy 168.959281 130.603245) + (xy 168.959277 130.603247) + (xy 168.955424 130.604506) + (xy 168.951824 130.60638) + (xy 168.754754 130.708968) + (xy 168.754751 130.70897) + (xy 168.751149 130.710845) + (xy 168.566984 130.849119) + (xy 168.407877 131.015616) + (xy 168.405585 131.018976) + (xy 168.280389 131.202505) + (xy 168.280386 131.202511) + (xy 168.278099 131.205863) + (xy 168.181136 131.414752) + (xy 168.119592 131.636673) + (xy 168.095119 131.865665) + (xy 162.902477 131.865665) + (xy 162.898503 131.817325) + (xy 162.886763 131.674525) + (xy 162.886762 131.674522) + (xy 162.88643 131.670478) + (xy 162.868946 131.600869) + (xy 162.831317 131.451066) + (xy 162.830326 131.44712) + (xy 162.755309 131.274591) + (xy 162.740118 131.239654) + (xy 162.740117 131.239652) + (xy 162.738496 131.235924) + (xy 162.613405 131.042563) + (xy 162.608046 131.036673) + (xy 162.461149 130.875237) + (xy 162.458412 130.872229) + (xy 162.455226 130.869713) + (xy 162.455223 130.86971) + (xy 162.280869 130.732013) + (xy 162.280863 130.732009) + (xy 162.277681 130.729496) + (xy 162.230296 130.703338) + (xy 162.079623 130.620162) + (xy 162.079622 130.620161) + (xy 162.076065 130.618198) + (xy 162.072234 130.616841) + (xy 162.072231 130.61684) + (xy 161.862814 130.542681) + (xy 161.862809 130.54268) + (xy 161.858978 130.541323) + (xy 161.63225 130.500937) + (xy 161.628204 130.500888) + (xy 161.628198 130.500887) + (xy 161.501094 130.499334) + (xy 161.401971 130.498123) + (xy 161.174325 130.532958) + (xy 161.075384 130.565297) + (xy 160.959281 130.603245) + (xy 160.959277 130.603247) + (xy 160.955424 130.604506) + (xy 160.951824 130.60638) + (xy 160.754754 130.708968) + (xy 160.754751 130.70897) + (xy 160.751149 130.710845) + (xy 160.566984 130.849119) + (xy 160.407877 131.015616) + (xy 160.405585 131.018976) + (xy 160.280389 131.202505) + (xy 160.280386 131.202511) + (xy 160.278099 131.205863) + (xy 160.181136 131.414752) + (xy 160.119592 131.636673) + (xy 160.095119 131.865665) + (xy 136.661248 131.865665) + (xy 136.661248 129.865665) + (xy 164.095119 129.865665) + (xy 164.108376 130.09558) + (xy 164.115577 130.127533) + (xy 164.155942 130.306644) + (xy 164.159006 130.320242) + (xy 164.160535 130.324006) + (xy 164.160536 130.324011) + (xy 164.244118 130.529848) + (xy 164.245649 130.533618) + (xy 164.247773 130.537084) + (xy 164.247775 130.537088) + (xy 164.316662 130.649501) + (xy 164.365979 130.729978) + (xy 164.368638 130.733048) + (xy 164.368639 130.733049) + (xy 164.491806 130.875237) + (xy 164.516763 130.904048) + (xy 164.693953 131.051154) + (xy 164.89279 131.167345) + (xy 165.107934 131.249501) + (xy 165.111909 131.25031) + (xy 165.11191 131.25031) + (xy 165.329624 131.294604) + (xy 165.329628 131.294604) + (xy 165.333607 131.295414) + (xy 165.337667 131.295563) + (xy 165.337668 131.295563) + (xy 165.379459 131.297095) + (xy 165.563749 131.303853) + (xy 165.567767 131.303338) + (xy 165.567773 131.303338) + (xy 165.788146 131.275108) + (xy 165.788152 131.275107) + (xy 165.792178 131.274591) + (xy 165.796071 131.273423) + (xy 165.796076 131.273422) + (xy 165.954836 131.225791) + (xy 166.012761 131.208413) + (xy 166.219574 131.107096) + (xy 166.407062 130.973363) + (xy 166.57019 130.810803) + (xy 166.704577 130.623783) + (xy 166.806615 130.417325) + (xy 166.819256 130.375721) + (xy 166.87238 130.200869) + (xy 166.87238 130.200868) + (xy 166.873563 130.196975) + (xy 166.903622 129.968649) + (xy 166.9053 129.9) + (xy 166.898503 129.817325) + (xy 166.886763 129.674525) + (xy 166.886762 129.674522) + (xy 166.88643 129.670478) + (xy 166.868946 129.600869) + (xy 166.831317 129.451066) + (xy 166.830326 129.44712) + (xy 166.755309 129.274591) + (xy 166.740118 129.239654) + (xy 166.740117 129.239652) + (xy 166.738496 129.235924) + (xy 166.613405 129.042563) + (xy 166.608046 129.036673) + (xy 166.461149 128.875237) + (xy 166.458412 128.872229) + (xy 166.455226 128.869713) + (xy 166.455223 128.86971) + (xy 166.280869 128.732013) + (xy 166.280863 128.732009) + (xy 166.277681 128.729496) + (xy 166.230296 128.703338) + (xy 166.079623 128.620162) + (xy 166.079622 128.620161) + (xy 166.076065 128.618198) + (xy 166.072234 128.616841) + (xy 166.072231 128.61684) + (xy 165.862814 128.542681) + (xy 165.862809 128.54268) + (xy 165.858978 128.541323) + (xy 165.63225 128.500937) + (xy 165.628204 128.500888) + (xy 165.628198 128.500887) + (xy 165.501094 128.499334) + (xy 165.401971 128.498123) + (xy 165.174325 128.532958) + (xy 165.075384 128.565297) + (xy 164.959281 128.603245) + (xy 164.959277 128.603247) + (xy 164.955424 128.604506) + (xy 164.951824 128.60638) + (xy 164.754754 128.708968) + (xy 164.754751 128.70897) + (xy 164.751149 128.710845) + (xy 164.566984 128.849119) + (xy 164.407877 129.015616) + (xy 164.405585 129.018976) + (xy 164.280389 129.202505) + (xy 164.280386 129.202511) + (xy 164.278099 129.205863) + (xy 164.181136 129.414752) + (xy 164.119592 129.636673) + (xy 164.095119 129.865665) + (xy 136.661248 129.865665) + (xy 136.661248 127.865665) + (xy 160.095119 127.865665) + (xy 160.108376 128.09558) + (xy 160.115577 128.127533) + (xy 160.155942 128.306644) + (xy 160.159006 128.320242) + (xy 160.160535 128.324006) + (xy 160.160536 128.324011) + (xy 160.244118 128.529848) + (xy 160.245649 128.533618) + (xy 160.247773 128.537084) + (xy 160.247775 128.537088) + (xy 160.316662 128.649501) + (xy 160.365979 128.729978) + (xy 160.368638 128.733048) + (xy 160.368639 128.733049) + (xy 160.491806 128.875237) + (xy 160.516763 128.904048) + (xy 160.693953 129.051154) + (xy 160.89279 129.167345) + (xy 161.107934 129.249501) + (xy 161.111909 129.25031) + (xy 161.11191 129.25031) + (xy 161.329624 129.294604) + (xy 161.329628 129.294604) + (xy 161.333607 129.295414) + (xy 161.337667 129.295563) + (xy 161.337668 129.295563) + (xy 161.379459 129.297095) + (xy 161.563749 129.303853) + (xy 161.567767 129.303338) + (xy 161.567773 129.303338) + (xy 161.788146 129.275108) + (xy 161.788152 129.275107) + (xy 161.792178 129.274591) + (xy 161.796071 129.273423) + (xy 161.796076 129.273422) + (xy 161.954836 129.225791) + (xy 162.012761 129.208413) + (xy 162.219574 129.107096) + (xy 162.407062 128.973363) + (xy 162.57019 128.810803) + (xy 162.704577 128.623783) + (xy 162.806615 128.417325) + (xy 162.819256 128.375721) + (xy 162.87238 128.200869) + (xy 162.87238 128.200868) + (xy 162.873563 128.196975) + (xy 162.903622 127.968649) + (xy 162.9053 127.9) + (xy 162.902477 127.865665) + (xy 168.095119 127.865665) + (xy 168.108376 128.09558) + (xy 168.115577 128.127533) + (xy 168.155942 128.306644) + (xy 168.159006 128.320242) + (xy 168.160535 128.324006) + (xy 168.160536 128.324011) + (xy 168.244118 128.529848) + (xy 168.245649 128.533618) + (xy 168.247773 128.537084) + (xy 168.247775 128.537088) + (xy 168.316662 128.649501) + (xy 168.365979 128.729978) + (xy 168.368638 128.733048) + (xy 168.368639 128.733049) + (xy 168.491806 128.875237) + (xy 168.516763 128.904048) + (xy 168.693953 129.051154) + (xy 168.89279 129.167345) + (xy 169.107934 129.249501) + (xy 169.111909 129.25031) + (xy 169.11191 129.25031) + (xy 169.329624 129.294604) + (xy 169.329628 129.294604) + (xy 169.333607 129.295414) + (xy 169.337667 129.295563) + (xy 169.337668 129.295563) + (xy 169.379459 129.297095) + (xy 169.563749 129.303853) + (xy 169.567767 129.303338) + (xy 169.567773 129.303338) + (xy 169.788146 129.275108) + (xy 169.788152 129.275107) + (xy 169.792178 129.274591) + (xy 169.796071 129.273423) + (xy 169.796076 129.273422) + (xy 169.821931 129.265665) + (xy 264.495119 129.265665) + (xy 264.508376 129.49558) + (xy 264.509268 129.499537) + (xy 264.541086 129.640723) + (xy 264.559006 129.720242) + (xy 264.560535 129.724006) + (xy 264.560536 129.724011) + (xy 264.632667 129.901648) + (xy 264.645649 129.933618) + (xy 264.647773 129.937084) + (xy 264.647775 129.937088) + (xy 264.689089 130.004506) + (xy 264.765979 130.129978) + (xy 264.768638 130.133048) + (xy 264.768639 130.133049) + (xy 264.891806 130.275237) + (xy 264.916763 130.304048) + (xy 265.093953 130.451154) + (xy 265.29279 130.567345) + (xy 265.507934 130.649501) + (xy 265.511909 130.65031) + (xy 265.51191 130.65031) + (xy 265.729624 130.694604) + (xy 265.729628 130.694604) + (xy 265.733607 130.695414) + (xy 265.737667 130.695563) + (xy 265.737668 130.695563) + (xy 265.779459 130.697095) + (xy 265.963749 130.703853) + (xy 265.967767 130.703338) + (xy 265.967773 130.703338) + (xy 266.188146 130.675108) + (xy 266.188152 130.675107) + (xy 266.192178 130.674591) + (xy 266.196071 130.673423) + (xy 266.196076 130.673422) + (xy 266.36153 130.623783) + (xy 266.412761 130.608413) + (xy 266.619574 130.507096) + (xy 266.807062 130.373363) + (xy 266.97019 130.210803) + (xy 267.104577 130.023783) + (xy 267.206615 129.817325) + (xy 267.236112 129.720242) + (xy 267.27238 129.600869) + (xy 267.27238 129.600868) + (xy 267.273563 129.596975) + (xy 267.303622 129.368649) + (xy 267.3053 129.3) + (xy 267.304923 129.295414) + (xy 267.302477 129.265665) + (xy 272.495119 129.265665) + (xy 272.508376 129.49558) + (xy 272.509268 129.499537) + (xy 272.541086 129.640723) + (xy 272.559006 129.720242) + (xy 272.560535 129.724006) + (xy 272.560536 129.724011) + (xy 272.632667 129.901648) + (xy 272.645649 129.933618) + (xy 272.647773 129.937084) + (xy 272.647775 129.937088) + (xy 272.689089 130.004506) + (xy 272.765979 130.129978) + (xy 272.768638 130.133048) + (xy 272.768639 130.133049) + (xy 272.891806 130.275237) + (xy 272.916763 130.304048) + (xy 273.093953 130.451154) + (xy 273.29279 130.567345) + (xy 273.507934 130.649501) + (xy 273.511909 130.65031) + (xy 273.51191 130.65031) + (xy 273.729624 130.694604) + (xy 273.729628 130.694604) + (xy 273.733607 130.695414) + (xy 273.737667 130.695563) + (xy 273.737668 130.695563) + (xy 273.779459 130.697095) + (xy 273.963749 130.703853) + (xy 273.967767 130.703338) + (xy 273.967773 130.703338) + (xy 274.188146 130.675108) + (xy 274.188152 130.675107) + (xy 274.192178 130.674591) + (xy 274.196071 130.673423) + (xy 274.196076 130.673422) + (xy 274.36153 130.623783) + (xy 274.412761 130.608413) + (xy 274.619574 130.507096) + (xy 274.807062 130.373363) + (xy 274.97019 130.210803) + (xy 275.104577 130.023783) + (xy 275.206615 129.817325) + (xy 275.236112 129.720242) + (xy 275.27238 129.600869) + (xy 275.27238 129.600868) + (xy 275.273563 129.596975) + (xy 275.303622 129.368649) + (xy 275.3053 129.3) + (xy 275.304923 129.295414) + (xy 275.286763 129.074525) + (xy 275.286762 129.074522) + (xy 275.28643 129.070478) + (xy 275.279419 129.042563) + (xy 275.244625 128.904048) + (xy 275.230326 128.84712) + (xy 275.155309 128.674591) + (xy 275.140118 128.639654) + (xy 275.140117 128.639652) + (xy 275.138496 128.635924) + (xy 275.013405 128.442563) + (xy 274.858412 128.272229) + (xy 274.855226 128.269713) + (xy 274.855223 128.26971) + (xy 274.680869 128.132013) + (xy 274.680863 128.132009) + (xy 274.677681 128.129496) + (xy 274.476065 128.018198) + (xy 274.472234 128.016841) + (xy 274.472231 128.01684) + (xy 274.262814 127.942681) + (xy 274.262809 127.94268) + (xy 274.258978 127.941323) + (xy 274.03225 127.900937) + (xy 274.028204 127.900888) + (xy 274.028198 127.900887) + (xy 273.901094 127.899334) + (xy 273.801971 127.898123) + (xy 273.574325 127.932958) + (xy 273.457116 127.971268) + (xy 273.359281 128.003245) + (xy 273.359277 128.003247) + (xy 273.355424 128.004506) + (xy 273.351824 128.00638) + (xy 273.154754 128.108968) + (xy 273.154751 128.10897) + (xy 273.151149 128.110845) + (xy 272.966984 128.249119) + (xy 272.807877 128.415616) + (xy 272.805585 128.418976) + (xy 272.680389 128.602505) + (xy 272.680386 128.602511) + (xy 272.678099 128.605863) + (xy 272.581136 128.814752) + (xy 272.556372 128.904048) + (xy 272.525432 129.015616) + (xy 272.519592 129.036673) + (xy 272.519159 129.040721) + (xy 272.519159 129.040723) + (xy 272.518321 129.048562) + (xy 272.495119 129.265665) + (xy 267.302477 129.265665) + (xy 267.286763 129.074525) + (xy 267.286762 129.074522) + (xy 267.28643 129.070478) + (xy 267.279419 129.042563) + (xy 267.244625 128.904048) + (xy 267.230326 128.84712) + (xy 267.155309 128.674591) + (xy 267.140118 128.639654) + (xy 267.140117 128.639652) + (xy 267.138496 128.635924) + (xy 267.013405 128.442563) + (xy 266.858412 128.272229) + (xy 266.855226 128.269713) + (xy 266.855223 128.26971) + (xy 266.680869 128.132013) + (xy 266.680863 128.132009) + (xy 266.677681 128.129496) + (xy 266.476065 128.018198) + (xy 266.472234 128.016841) + (xy 266.472231 128.01684) + (xy 266.262814 127.942681) + (xy 266.262809 127.94268) + (xy 266.258978 127.941323) + (xy 266.03225 127.900937) + (xy 266.028204 127.900888) + (xy 266.028198 127.900887) + (xy 265.901094 127.899334) + (xy 265.801971 127.898123) + (xy 265.574325 127.932958) + (xy 265.457116 127.971268) + (xy 265.359281 128.003245) + (xy 265.359277 128.003247) + (xy 265.355424 128.004506) + (xy 265.351824 128.00638) + (xy 265.154754 128.108968) + (xy 265.154751 128.10897) + (xy 265.151149 128.110845) + (xy 264.966984 128.249119) + (xy 264.807877 128.415616) + (xy 264.805585 128.418976) + (xy 264.680389 128.602505) + (xy 264.680386 128.602511) + (xy 264.678099 128.605863) + (xy 264.581136 128.814752) + (xy 264.556372 128.904048) + (xy 264.525432 129.015616) + (xy 264.519592 129.036673) + (xy 264.519159 129.040721) + (xy 264.519159 129.040723) + (xy 264.518321 129.048562) + (xy 264.495119 129.265665) + (xy 169.821931 129.265665) + (xy 169.954836 129.225791) + (xy 170.012761 129.208413) + (xy 170.219574 129.107096) + (xy 170.407062 128.973363) + (xy 170.57019 128.810803) + (xy 170.704577 128.623783) + (xy 170.806615 128.417325) + (xy 170.819256 128.375721) + (xy 170.87238 128.200869) + (xy 170.87238 128.200868) + (xy 170.873563 128.196975) + (xy 170.903622 127.968649) + (xy 170.9053 127.9) + (xy 170.898503 127.817325) + (xy 170.886763 127.674525) + (xy 170.886762 127.674522) + (xy 170.88643 127.670478) + (xy 170.868946 127.600869) + (xy 170.831317 127.451066) + (xy 170.830326 127.44712) + (xy 170.755309 127.274591) + (xy 170.751428 127.265665) + (xy 268.495119 127.265665) + (xy 268.508376 127.49558) + (xy 268.509268 127.499537) + (xy 268.541086 127.640723) + (xy 268.559006 127.720242) + (xy 268.560535 127.724006) + (xy 268.560536 127.724011) + (xy 268.632667 127.901648) + (xy 268.645649 127.933618) + (xy 268.647773 127.937084) + (xy 268.647775 127.937088) + (xy 268.689089 128.004506) + (xy 268.765979 128.129978) + (xy 268.768638 128.133048) + (xy 268.768639 128.133049) + (xy 268.891806 128.275237) + (xy 268.916763 128.304048) + (xy 269.093953 128.451154) + (xy 269.29279 128.567345) + (xy 269.507934 128.649501) + (xy 269.511909 128.65031) + (xy 269.51191 128.65031) + (xy 269.729624 128.694604) + (xy 269.729628 128.694604) + (xy 269.733607 128.695414) + (xy 269.737667 128.695563) + (xy 269.737668 128.695563) + (xy 269.779459 128.697095) + (xy 269.963749 128.703853) + (xy 269.967767 128.703338) + (xy 269.967773 128.703338) + (xy 270.188146 128.675108) + (xy 270.188152 128.675107) + (xy 270.192178 128.674591) + (xy 270.196071 128.673423) + (xy 270.196076 128.673422) + (xy 270.36153 128.623783) + (xy 270.412761 128.608413) + (xy 270.619574 128.507096) + (xy 270.807062 128.373363) + (xy 270.97019 128.210803) + (xy 271.104577 128.023783) + (xy 271.206615 127.817325) + (xy 271.236112 127.720242) + (xy 271.27238 127.600869) + (xy 271.27238 127.600868) + (xy 271.273563 127.596975) + (xy 271.303622 127.368649) + (xy 271.3053 127.3) + (xy 271.304923 127.295414) + (xy 271.286763 127.074525) + (xy 271.286762 127.074522) + (xy 271.28643 127.070478) + (xy 271.279419 127.042563) + (xy 271.244625 126.904048) + (xy 271.230326 126.84712) + (xy 271.155309 126.674591) + (xy 271.140118 126.639654) + (xy 271.140117 126.639652) + (xy 271.138496 126.635924) + (xy 271.013405 126.442563) + (xy 270.858412 126.272229) + (xy 270.855226 126.269713) + (xy 270.855223 126.26971) + (xy 270.680869 126.132013) + (xy 270.680863 126.132009) + (xy 270.677681 126.129496) + (xy 270.476065 126.018198) + (xy 270.472234 126.016841) + (xy 270.472231 126.01684) + (xy 270.262814 125.942681) + (xy 270.262809 125.94268) + (xy 270.258978 125.941323) + (xy 270.03225 125.900937) + (xy 270.028204 125.900888) + (xy 270.028198 125.900887) + (xy 269.901094 125.899334) + (xy 269.801971 125.898123) + (xy 269.574325 125.932958) + (xy 269.457116 125.971268) + (xy 269.359281 126.003245) + (xy 269.359277 126.003247) + (xy 269.355424 126.004506) + (xy 269.351824 126.00638) + (xy 269.154754 126.108968) + (xy 269.154751 126.10897) + (xy 269.151149 126.110845) + (xy 268.966984 126.249119) + (xy 268.807877 126.415616) + (xy 268.805585 126.418976) + (xy 268.680389 126.602505) + (xy 268.680386 126.602511) + (xy 268.678099 126.605863) + (xy 268.581136 126.814752) + (xy 268.556372 126.904048) + (xy 268.525432 127.015616) + (xy 268.519592 127.036673) + (xy 268.519159 127.040721) + (xy 268.519159 127.040723) + (xy 268.518321 127.048562) + (xy 268.495119 127.265665) + (xy 170.751428 127.265665) + (xy 170.740118 127.239654) + (xy 170.740117 127.239652) + (xy 170.738496 127.235924) + (xy 170.613405 127.042563) + (xy 170.608046 127.036673) + (xy 170.461149 126.875237) + (xy 170.458412 126.872229) + (xy 170.455226 126.869713) + (xy 170.455223 126.86971) + (xy 170.280869 126.732013) + (xy 170.280863 126.732009) + (xy 170.277681 126.729496) + (xy 170.230296 126.703338) + (xy 170.079623 126.620162) + (xy 170.079622 126.620161) + (xy 170.076065 126.618198) + (xy 170.072234 126.616841) + (xy 170.072231 126.61684) + (xy 169.862814 126.542681) + (xy 169.862809 126.54268) + (xy 169.858978 126.541323) + (xy 169.63225 126.500937) + (xy 169.628204 126.500888) + (xy 169.628198 126.500887) + (xy 169.501094 126.499334) + (xy 169.401971 126.498123) + (xy 169.174325 126.532958) + (xy 169.075384 126.565297) + (xy 168.959281 126.603245) + (xy 168.959277 126.603247) + (xy 168.955424 126.604506) + (xy 168.951824 126.60638) + (xy 168.754754 126.708968) + (xy 168.754751 126.70897) + (xy 168.751149 126.710845) + (xy 168.566984 126.849119) + (xy 168.407877 127.015616) + (xy 168.405585 127.018976) + (xy 168.280389 127.202505) + (xy 168.280386 127.202511) + (xy 168.278099 127.205863) + (xy 168.181136 127.414752) + (xy 168.119592 127.636673) + (xy 168.095119 127.865665) + (xy 162.902477 127.865665) + (xy 162.898503 127.817325) + (xy 162.886763 127.674525) + (xy 162.886762 127.674522) + (xy 162.88643 127.670478) + (xy 162.868946 127.600869) + (xy 162.831317 127.451066) + (xy 162.830326 127.44712) + (xy 162.755309 127.274591) + (xy 162.740118 127.239654) + (xy 162.740117 127.239652) + (xy 162.738496 127.235924) + (xy 162.613405 127.042563) + (xy 162.608046 127.036673) + (xy 162.461149 126.875237) + (xy 162.458412 126.872229) + (xy 162.455226 126.869713) + (xy 162.455223 126.86971) + (xy 162.280869 126.732013) + (xy 162.280863 126.732009) + (xy 162.277681 126.729496) + (xy 162.230296 126.703338) + (xy 162.079623 126.620162) + (xy 162.079622 126.620161) + (xy 162.076065 126.618198) + (xy 162.072234 126.616841) + (xy 162.072231 126.61684) + (xy 161.862814 126.542681) + (xy 161.862809 126.54268) + (xy 161.858978 126.541323) + (xy 161.63225 126.500937) + (xy 161.628204 126.500888) + (xy 161.628198 126.500887) + (xy 161.501094 126.499334) + (xy 161.401971 126.498123) + (xy 161.174325 126.532958) + (xy 161.075384 126.565297) + (xy 160.959281 126.603245) + (xy 160.959277 126.603247) + (xy 160.955424 126.604506) + (xy 160.951824 126.60638) + (xy 160.754754 126.708968) + (xy 160.754751 126.70897) + (xy 160.751149 126.710845) + (xy 160.566984 126.849119) + (xy 160.407877 127.015616) + (xy 160.405585 127.018976) + (xy 160.280389 127.202505) + (xy 160.280386 127.202511) + (xy 160.278099 127.205863) + (xy 160.181136 127.414752) + (xy 160.119592 127.636673) + (xy 160.095119 127.865665) + (xy 136.661248 127.865665) + (xy 136.661248 125.865665) + (xy 164.095119 125.865665) + (xy 164.108376 126.09558) + (xy 164.115577 126.127533) + (xy 164.155942 126.306644) + (xy 164.159006 126.320242) + (xy 164.160535 126.324006) + (xy 164.160536 126.324011) + (xy 164.244118 126.529848) + (xy 164.245649 126.533618) + (xy 164.247773 126.537084) + (xy 164.247775 126.537088) + (xy 164.316662 126.649501) + (xy 164.365979 126.729978) + (xy 164.368638 126.733048) + (xy 164.368639 126.733049) + (xy 164.491806 126.875237) + (xy 164.516763 126.904048) + (xy 164.693953 127.051154) + (xy 164.89279 127.167345) + (xy 165.107934 127.249501) + (xy 165.111909 127.25031) + (xy 165.11191 127.25031) + (xy 165.329624 127.294604) + (xy 165.329628 127.294604) + (xy 165.333607 127.295414) + (xy 165.337667 127.295563) + (xy 165.337668 127.295563) + (xy 165.379459 127.297095) + (xy 165.563749 127.303853) + (xy 165.567767 127.303338) + (xy 165.567773 127.303338) + (xy 165.788146 127.275108) + (xy 165.788152 127.275107) + (xy 165.792178 127.274591) + (xy 165.796071 127.273423) + (xy 165.796076 127.273422) + (xy 165.954836 127.225791) + (xy 166.012761 127.208413) + (xy 166.219574 127.107096) + (xy 166.407062 126.973363) + (xy 166.57019 126.810803) + (xy 166.704577 126.623783) + (xy 166.806615 126.417325) + (xy 166.819256 126.375721) + (xy 166.87238 126.200869) + (xy 166.87238 126.200868) + (xy 166.873563 126.196975) + (xy 166.903622 125.968649) + (xy 166.9053 125.9) + (xy 166.898503 125.817325) + (xy 166.886763 125.674525) + (xy 166.886762 125.674522) + (xy 166.88643 125.670478) + (xy 166.868946 125.600869) + (xy 166.831317 125.451066) + (xy 166.830326 125.44712) + (xy 166.755309 125.274591) + (xy 166.740118 125.239654) + (xy 166.740117 125.239652) + (xy 166.738496 125.235924) + (xy 166.613405 125.042563) + (xy 166.608046 125.036673) + (xy 166.461149 124.875237) + (xy 166.458412 124.872229) + (xy 166.455226 124.869713) + (xy 166.455223 124.86971) + (xy 166.280869 124.732013) + (xy 166.280863 124.732009) + (xy 166.277681 124.729496) + (xy 166.230296 124.703338) + (xy 166.079623 124.620162) + (xy 166.079622 124.620161) + (xy 166.076065 124.618198) + (xy 166.072234 124.616841) + (xy 166.072231 124.61684) + (xy 165.862814 124.542681) + (xy 165.862809 124.54268) + (xy 165.858978 124.541323) + (xy 165.63225 124.500937) + (xy 165.628204 124.500888) + (xy 165.628198 124.500887) + (xy 165.501094 124.499334) + (xy 165.401971 124.498123) + (xy 165.174325 124.532958) + (xy 165.075384 124.565297) + (xy 164.959281 124.603245) + (xy 164.959277 124.603247) + (xy 164.955424 124.604506) + (xy 164.951824 124.60638) + (xy 164.754754 124.708968) + (xy 164.754751 124.70897) + (xy 164.751149 124.710845) + (xy 164.566984 124.849119) + (xy 164.407877 125.015616) + (xy 164.405585 125.018976) + (xy 164.280389 125.202505) + (xy 164.280386 125.202511) + (xy 164.278099 125.205863) + (xy 164.181136 125.414752) + (xy 164.119592 125.636673) + (xy 164.095119 125.865665) + (xy 136.661248 125.865665) + (xy 136.661248 123.865665) + (xy 160.095119 123.865665) + (xy 160.108376 124.09558) + (xy 160.115577 124.127533) + (xy 160.155942 124.306644) + (xy 160.159006 124.320242) + (xy 160.160535 124.324006) + (xy 160.160536 124.324011) + (xy 160.244118 124.529848) + (xy 160.245649 124.533618) + (xy 160.247773 124.537084) + (xy 160.247775 124.537088) + (xy 160.316662 124.649501) + (xy 160.365979 124.729978) + (xy 160.368638 124.733048) + (xy 160.368639 124.733049) + (xy 160.491806 124.875237) + (xy 160.516763 124.904048) + (xy 160.693953 125.051154) + (xy 160.89279 125.167345) + (xy 161.107934 125.249501) + (xy 161.111909 125.25031) + (xy 161.11191 125.25031) + (xy 161.329624 125.294604) + (xy 161.329628 125.294604) + (xy 161.333607 125.295414) + (xy 161.337667 125.295563) + (xy 161.337668 125.295563) + (xy 161.379459 125.297095) + (xy 161.563749 125.303853) + (xy 161.567767 125.303338) + (xy 161.567773 125.303338) + (xy 161.788146 125.275108) + (xy 161.788152 125.275107) + (xy 161.792178 125.274591) + (xy 161.796071 125.273423) + (xy 161.796076 125.273422) + (xy 161.954836 125.225791) + (xy 162.012761 125.208413) + (xy 162.219574 125.107096) + (xy 162.407062 124.973363) + (xy 162.57019 124.810803) + (xy 162.704577 124.623783) + (xy 162.806615 124.417325) + (xy 162.819256 124.375721) + (xy 162.87238 124.200869) + (xy 162.87238 124.200868) + (xy 162.873563 124.196975) + (xy 162.903622 123.968649) + (xy 162.9053 123.9) + (xy 162.902477 123.865665) + (xy 168.095119 123.865665) + (xy 168.108376 124.09558) + (xy 168.115577 124.127533) + (xy 168.155942 124.306644) + (xy 168.159006 124.320242) + (xy 168.160535 124.324006) + (xy 168.160536 124.324011) + (xy 168.244118 124.529848) + (xy 168.245649 124.533618) + (xy 168.247773 124.537084) + (xy 168.247775 124.537088) + (xy 168.316662 124.649501) + (xy 168.365979 124.729978) + (xy 168.368638 124.733048) + (xy 168.368639 124.733049) + (xy 168.491806 124.875237) + (xy 168.516763 124.904048) + (xy 168.693953 125.051154) + (xy 168.89279 125.167345) + (xy 169.107934 125.249501) + (xy 169.111909 125.25031) + (xy 169.11191 125.25031) + (xy 169.329624 125.294604) + (xy 169.329628 125.294604) + (xy 169.333607 125.295414) + (xy 169.337667 125.295563) + (xy 169.337668 125.295563) + (xy 169.379459 125.297095) + (xy 169.563749 125.303853) + (xy 169.567767 125.303338) + (xy 169.567773 125.303338) + (xy 169.788146 125.275108) + (xy 169.788152 125.275107) + (xy 169.792178 125.274591) + (xy 169.796071 125.273423) + (xy 169.796076 125.273422) + (xy 169.821931 125.265665) + (xy 264.495119 125.265665) + (xy 264.508376 125.49558) + (xy 264.509268 125.499537) + (xy 264.541086 125.640723) + (xy 264.559006 125.720242) + (xy 264.560535 125.724006) + (xy 264.560536 125.724011) + (xy 264.632667 125.901648) + (xy 264.645649 125.933618) + (xy 264.647773 125.937084) + (xy 264.647775 125.937088) + (xy 264.689089 126.004506) + (xy 264.765979 126.129978) + (xy 264.768638 126.133048) + (xy 264.768639 126.133049) + (xy 264.891806 126.275237) + (xy 264.916763 126.304048) + (xy 265.093953 126.451154) + (xy 265.29279 126.567345) + (xy 265.507934 126.649501) + (xy 265.511909 126.65031) + (xy 265.51191 126.65031) + (xy 265.729624 126.694604) + (xy 265.729628 126.694604) + (xy 265.733607 126.695414) + (xy 265.737667 126.695563) + (xy 265.737668 126.695563) + (xy 265.779459 126.697095) + (xy 265.963749 126.703853) + (xy 265.967767 126.703338) + (xy 265.967773 126.703338) + (xy 266.188146 126.675108) + (xy 266.188152 126.675107) + (xy 266.192178 126.674591) + (xy 266.196071 126.673423) + (xy 266.196076 126.673422) + (xy 266.36153 126.623783) + (xy 266.412761 126.608413) + (xy 266.619574 126.507096) + (xy 266.807062 126.373363) + (xy 266.97019 126.210803) + (xy 267.104577 126.023783) + (xy 267.206615 125.817325) + (xy 267.236112 125.720242) + (xy 267.27238 125.600869) + (xy 267.27238 125.600868) + (xy 267.273563 125.596975) + (xy 267.303622 125.368649) + (xy 267.3053 125.3) + (xy 267.304923 125.295414) + (xy 267.302477 125.265665) + (xy 272.495119 125.265665) + (xy 272.508376 125.49558) + (xy 272.509268 125.499537) + (xy 272.541086 125.640723) + (xy 272.559006 125.720242) + (xy 272.560535 125.724006) + (xy 272.560536 125.724011) + (xy 272.632667 125.901648) + (xy 272.645649 125.933618) + (xy 272.647773 125.937084) + (xy 272.647775 125.937088) + (xy 272.689089 126.004506) + (xy 272.765979 126.129978) + (xy 272.768638 126.133048) + (xy 272.768639 126.133049) + (xy 272.891806 126.275237) + (xy 272.916763 126.304048) + (xy 273.093953 126.451154) + (xy 273.29279 126.567345) + (xy 273.507934 126.649501) + (xy 273.511909 126.65031) + (xy 273.51191 126.65031) + (xy 273.729624 126.694604) + (xy 273.729628 126.694604) + (xy 273.733607 126.695414) + (xy 273.737667 126.695563) + (xy 273.737668 126.695563) + (xy 273.779459 126.697095) + (xy 273.963749 126.703853) + (xy 273.967767 126.703338) + (xy 273.967773 126.703338) + (xy 274.188146 126.675108) + (xy 274.188152 126.675107) + (xy 274.192178 126.674591) + (xy 274.196071 126.673423) + (xy 274.196076 126.673422) + (xy 274.36153 126.623783) + (xy 274.412761 126.608413) + (xy 274.619574 126.507096) + (xy 274.807062 126.373363) + (xy 274.97019 126.210803) + (xy 275.104577 126.023783) + (xy 275.206615 125.817325) + (xy 275.236112 125.720242) + (xy 275.27238 125.600869) + (xy 275.27238 125.600868) + (xy 275.273563 125.596975) + (xy 275.303622 125.368649) + (xy 275.3053 125.3) + (xy 275.304923 125.295414) + (xy 275.286763 125.074525) + (xy 275.286762 125.074522) + (xy 275.28643 125.070478) + (xy 275.279419 125.042563) + (xy 275.244625 124.904048) + (xy 275.230326 124.84712) + (xy 275.155309 124.674591) + (xy 275.140118 124.639654) + (xy 275.140117 124.639652) + (xy 275.138496 124.635924) + (xy 275.013405 124.442563) + (xy 274.858412 124.272229) + (xy 274.855226 124.269713) + (xy 274.855223 124.26971) + (xy 274.680869 124.132013) + (xy 274.680863 124.132009) + (xy 274.677681 124.129496) + (xy 274.476065 124.018198) + (xy 274.472234 124.016841) + (xy 274.472231 124.01684) + (xy 274.262814 123.942681) + (xy 274.262809 123.94268) + (xy 274.258978 123.941323) + (xy 274.03225 123.900937) + (xy 274.028204 123.900888) + (xy 274.028198 123.900887) + (xy 273.901094 123.899334) + (xy 273.801971 123.898123) + (xy 273.574325 123.932958) + (xy 273.457116 123.971268) + (xy 273.359281 124.003245) + (xy 273.359277 124.003247) + (xy 273.355424 124.004506) + (xy 273.351824 124.00638) + (xy 273.154754 124.108968) + (xy 273.154751 124.10897) + (xy 273.151149 124.110845) + (xy 272.966984 124.249119) + (xy 272.807877 124.415616) + (xy 272.805585 124.418976) + (xy 272.680389 124.602505) + (xy 272.680386 124.602511) + (xy 272.678099 124.605863) + (xy 272.581136 124.814752) + (xy 272.556372 124.904048) + (xy 272.525432 125.015616) + (xy 272.519592 125.036673) + (xy 272.519159 125.040721) + (xy 272.519159 125.040723) + (xy 272.518321 125.048562) + (xy 272.495119 125.265665) + (xy 267.302477 125.265665) + (xy 267.286763 125.074525) + (xy 267.286762 125.074522) + (xy 267.28643 125.070478) + (xy 267.279419 125.042563) + (xy 267.244625 124.904048) + (xy 267.230326 124.84712) + (xy 267.155309 124.674591) + (xy 267.140118 124.639654) + (xy 267.140117 124.639652) + (xy 267.138496 124.635924) + (xy 267.013405 124.442563) + (xy 266.858412 124.272229) + (xy 266.855226 124.269713) + (xy 266.855223 124.26971) + (xy 266.680869 124.132013) + (xy 266.680863 124.132009) + (xy 266.677681 124.129496) + (xy 266.476065 124.018198) + (xy 266.472234 124.016841) + (xy 266.472231 124.01684) + (xy 266.262814 123.942681) + (xy 266.262809 123.94268) + (xy 266.258978 123.941323) + (xy 266.03225 123.900937) + (xy 266.028204 123.900888) + (xy 266.028198 123.900887) + (xy 265.901094 123.899334) + (xy 265.801971 123.898123) + (xy 265.574325 123.932958) + (xy 265.457116 123.971268) + (xy 265.359281 124.003245) + (xy 265.359277 124.003247) + (xy 265.355424 124.004506) + (xy 265.351824 124.00638) + (xy 265.154754 124.108968) + (xy 265.154751 124.10897) + (xy 265.151149 124.110845) + (xy 264.966984 124.249119) + (xy 264.807877 124.415616) + (xy 264.805585 124.418976) + (xy 264.680389 124.602505) + (xy 264.680386 124.602511) + (xy 264.678099 124.605863) + (xy 264.581136 124.814752) + (xy 264.556372 124.904048) + (xy 264.525432 125.015616) + (xy 264.519592 125.036673) + (xy 264.519159 125.040721) + (xy 264.519159 125.040723) + (xy 264.518321 125.048562) + (xy 264.495119 125.265665) + (xy 169.821931 125.265665) + (xy 169.954836 125.225791) + (xy 170.012761 125.208413) + (xy 170.219574 125.107096) + (xy 170.407062 124.973363) + (xy 170.57019 124.810803) + (xy 170.704577 124.623783) + (xy 170.806615 124.417325) + (xy 170.819256 124.375721) + (xy 170.87238 124.200869) + (xy 170.87238 124.200868) + (xy 170.873563 124.196975) + (xy 170.903622 123.968649) + (xy 170.9053 123.9) + (xy 170.898503 123.817325) + (xy 170.886763 123.674525) + (xy 170.886762 123.674522) + (xy 170.88643 123.670478) + (xy 170.868946 123.600869) + (xy 170.831317 123.451066) + (xy 170.830326 123.44712) + (xy 170.755309 123.274591) + (xy 170.751428 123.265665) + (xy 268.495119 123.265665) + (xy 268.508376 123.49558) + (xy 268.509268 123.499537) + (xy 268.541086 123.640723) + (xy 268.559006 123.720242) + (xy 268.560535 123.724006) + (xy 268.560536 123.724011) + (xy 268.632667 123.901648) + (xy 268.645649 123.933618) + (xy 268.647773 123.937084) + (xy 268.647775 123.937088) + (xy 268.689089 124.004506) + (xy 268.765979 124.129978) + (xy 268.768638 124.133048) + (xy 268.768639 124.133049) + (xy 268.891806 124.275237) + (xy 268.916763 124.304048) + (xy 269.093953 124.451154) + (xy 269.29279 124.567345) + (xy 269.507934 124.649501) + (xy 269.511909 124.65031) + (xy 269.51191 124.65031) + (xy 269.729624 124.694604) + (xy 269.729628 124.694604) + (xy 269.733607 124.695414) + (xy 269.737667 124.695563) + (xy 269.737668 124.695563) + (xy 269.779459 124.697095) + (xy 269.963749 124.703853) + (xy 269.967767 124.703338) + (xy 269.967773 124.703338) + (xy 270.188146 124.675108) + (xy 270.188152 124.675107) + (xy 270.192178 124.674591) + (xy 270.196071 124.673423) + (xy 270.196076 124.673422) + (xy 270.36153 124.623783) + (xy 270.412761 124.608413) + (xy 270.619574 124.507096) + (xy 270.807062 124.373363) + (xy 270.97019 124.210803) + (xy 271.104577 124.023783) + (xy 271.206615 123.817325) + (xy 271.236112 123.720242) + (xy 271.27238 123.600869) + (xy 271.27238 123.600868) + (xy 271.273563 123.596975) + (xy 271.303622 123.368649) + (xy 271.3053 123.3) + (xy 271.304923 123.295414) + (xy 271.286763 123.074525) + (xy 271.286762 123.074522) + (xy 271.28643 123.070478) + (xy 271.279419 123.042563) + (xy 271.244625 122.904048) + (xy 271.230326 122.84712) + (xy 271.155309 122.674591) + (xy 271.140118 122.639654) + (xy 271.140117 122.639652) + (xy 271.138496 122.635924) + (xy 271.013405 122.442563) + (xy 270.858412 122.272229) + (xy 270.855226 122.269713) + (xy 270.855223 122.26971) + (xy 270.680869 122.132013) + (xy 270.680863 122.132009) + (xy 270.677681 122.129496) + (xy 270.476065 122.018198) + (xy 270.472234 122.016841) + (xy 270.472231 122.01684) + (xy 270.262814 121.942681) + (xy 270.262809 121.94268) + (xy 270.258978 121.941323) + (xy 270.03225 121.900937) + (xy 270.028204 121.900888) + (xy 270.028198 121.900887) + (xy 269.901094 121.899334) + (xy 269.801971 121.898123) + (xy 269.574325 121.932958) + (xy 269.457116 121.971268) + (xy 269.359281 122.003245) + (xy 269.359277 122.003247) + (xy 269.355424 122.004506) + (xy 269.351824 122.00638) + (xy 269.154754 122.108968) + (xy 269.154751 122.10897) + (xy 269.151149 122.110845) + (xy 268.966984 122.249119) + (xy 268.807877 122.415616) + (xy 268.805585 122.418976) + (xy 268.680389 122.602505) + (xy 268.680386 122.602511) + (xy 268.678099 122.605863) + (xy 268.581136 122.814752) + (xy 268.556372 122.904048) + (xy 268.525432 123.015616) + (xy 268.519592 123.036673) + (xy 268.519159 123.040721) + (xy 268.519159 123.040723) + (xy 268.518321 123.048562) + (xy 268.495119 123.265665) + (xy 170.751428 123.265665) + (xy 170.740118 123.239654) + (xy 170.740117 123.239652) + (xy 170.738496 123.235924) + (xy 170.613405 123.042563) + (xy 170.608046 123.036673) + (xy 170.461149 122.875237) + (xy 170.458412 122.872229) + (xy 170.455226 122.869713) + (xy 170.455223 122.86971) + (xy 170.280869 122.732013) + (xy 170.280863 122.732009) + (xy 170.277681 122.729496) + (xy 170.230296 122.703338) + (xy 170.079623 122.620162) + (xy 170.079622 122.620161) + (xy 170.076065 122.618198) + (xy 170.072234 122.616841) + (xy 170.072231 122.61684) + (xy 169.862814 122.542681) + (xy 169.862809 122.54268) + (xy 169.858978 122.541323) + (xy 169.63225 122.500937) + (xy 169.628204 122.500888) + (xy 169.628198 122.500887) + (xy 169.501094 122.499334) + (xy 169.401971 122.498123) + (xy 169.174325 122.532958) + (xy 169.075384 122.565297) + (xy 168.959281 122.603245) + (xy 168.959277 122.603247) + (xy 168.955424 122.604506) + (xy 168.951824 122.60638) + (xy 168.754754 122.708968) + (xy 168.754751 122.70897) + (xy 168.751149 122.710845) + (xy 168.566984 122.849119) + (xy 168.407877 123.015616) + (xy 168.405585 123.018976) + (xy 168.280389 123.202505) + (xy 168.280386 123.202511) + (xy 168.278099 123.205863) + (xy 168.181136 123.414752) + (xy 168.119592 123.636673) + (xy 168.095119 123.865665) + (xy 162.902477 123.865665) + (xy 162.898503 123.817325) + (xy 162.886763 123.674525) + (xy 162.886762 123.674522) + (xy 162.88643 123.670478) + (xy 162.868946 123.600869) + (xy 162.831317 123.451066) + (xy 162.830326 123.44712) + (xy 162.755309 123.274591) + (xy 162.740118 123.239654) + (xy 162.740117 123.239652) + (xy 162.738496 123.235924) + (xy 162.613405 123.042563) + (xy 162.608046 123.036673) + (xy 162.461149 122.875237) + (xy 162.458412 122.872229) + (xy 162.455226 122.869713) + (xy 162.455223 122.86971) + (xy 162.280869 122.732013) + (xy 162.280863 122.732009) + (xy 162.277681 122.729496) + (xy 162.230296 122.703338) + (xy 162.079623 122.620162) + (xy 162.079622 122.620161) + (xy 162.076065 122.618198) + (xy 162.072234 122.616841) + (xy 162.072231 122.61684) + (xy 161.862814 122.542681) + (xy 161.862809 122.54268) + (xy 161.858978 122.541323) + (xy 161.63225 122.500937) + (xy 161.628204 122.500888) + (xy 161.628198 122.500887) + (xy 161.501094 122.499334) + (xy 161.401971 122.498123) + (xy 161.174325 122.532958) + (xy 161.075384 122.565297) + (xy 160.959281 122.603245) + (xy 160.959277 122.603247) + (xy 160.955424 122.604506) + (xy 160.951824 122.60638) + (xy 160.754754 122.708968) + (xy 160.754751 122.70897) + (xy 160.751149 122.710845) + (xy 160.566984 122.849119) + (xy 160.407877 123.015616) + (xy 160.405585 123.018976) + (xy 160.280389 123.202505) + (xy 160.280386 123.202511) + (xy 160.278099 123.205863) + (xy 160.181136 123.414752) + (xy 160.119592 123.636673) + (xy 160.095119 123.865665) + (xy 136.661248 123.865665) + (xy 136.661248 121.865665) + (xy 164.095119 121.865665) + (xy 164.108376 122.09558) + (xy 164.115577 122.127533) + (xy 164.155942 122.306644) + (xy 164.159006 122.320242) + (xy 164.160535 122.324006) + (xy 164.160536 122.324011) + (xy 164.244118 122.529848) + (xy 164.245649 122.533618) + (xy 164.247773 122.537084) + (xy 164.247775 122.537088) + (xy 164.316662 122.649501) + (xy 164.365979 122.729978) + (xy 164.368638 122.733048) + (xy 164.368639 122.733049) + (xy 164.491806 122.875237) + (xy 164.516763 122.904048) + (xy 164.693953 123.051154) + (xy 164.89279 123.167345) + (xy 165.107934 123.249501) + (xy 165.111909 123.25031) + (xy 165.11191 123.25031) + (xy 165.329624 123.294604) + (xy 165.329628 123.294604) + (xy 165.333607 123.295414) + (xy 165.337667 123.295563) + (xy 165.337668 123.295563) + (xy 165.379459 123.297095) + (xy 165.563749 123.303853) + (xy 165.567767 123.303338) + (xy 165.567773 123.303338) + (xy 165.788146 123.275108) + (xy 165.788152 123.275107) + (xy 165.792178 123.274591) + (xy 165.796071 123.273423) + (xy 165.796076 123.273422) + (xy 165.954836 123.225791) + (xy 166.012761 123.208413) + (xy 166.219574 123.107096) + (xy 166.407062 122.973363) + (xy 166.57019 122.810803) + (xy 166.704577 122.623783) + (xy 166.806615 122.417325) + (xy 166.819256 122.375721) + (xy 166.87238 122.200869) + (xy 166.87238 122.200868) + (xy 166.873563 122.196975) + (xy 166.903622 121.968649) + (xy 166.9053 121.9) + (xy 166.898503 121.817325) + (xy 166.886763 121.674525) + (xy 166.886762 121.674522) + (xy 166.88643 121.670478) + (xy 166.868946 121.600869) + (xy 166.831317 121.451066) + (xy 166.830326 121.44712) + (xy 166.755309 121.274591) + (xy 166.740118 121.239654) + (xy 166.740117 121.239652) + (xy 166.738496 121.235924) + (xy 166.613405 121.042563) + (xy 166.608046 121.036673) + (xy 166.461149 120.875237) + (xy 166.458412 120.872229) + (xy 166.455226 120.869713) + (xy 166.455223 120.86971) + (xy 166.280869 120.732013) + (xy 166.280863 120.732009) + (xy 166.277681 120.729496) + (xy 166.230296 120.703338) + (xy 166.079623 120.620162) + (xy 166.079622 120.620161) + (xy 166.076065 120.618198) + (xy 166.072234 120.616841) + (xy 166.072231 120.61684) + (xy 165.862814 120.542681) + (xy 165.862809 120.54268) + (xy 165.858978 120.541323) + (xy 165.63225 120.500937) + (xy 165.628204 120.500888) + (xy 165.628198 120.500887) + (xy 165.501094 120.499334) + (xy 165.401971 120.498123) + (xy 165.174325 120.532958) + (xy 165.075384 120.565297) + (xy 164.959281 120.603245) + (xy 164.959277 120.603247) + (xy 164.955424 120.604506) + (xy 164.951824 120.60638) + (xy 164.754754 120.708968) + (xy 164.754751 120.70897) + (xy 164.751149 120.710845) + (xy 164.566984 120.849119) + (xy 164.407877 121.015616) + (xy 164.405585 121.018976) + (xy 164.280389 121.202505) + (xy 164.280386 121.202511) + (xy 164.278099 121.205863) + (xy 164.181136 121.414752) + (xy 164.119592 121.636673) + (xy 164.095119 121.865665) + (xy 136.661248 121.865665) + (xy 136.661248 119.865665) + (xy 160.095119 119.865665) + (xy 160.108376 120.09558) + (xy 160.115577 120.127533) + (xy 160.155942 120.306644) + (xy 160.159006 120.320242) + (xy 160.160535 120.324006) + (xy 160.160536 120.324011) + (xy 160.244118 120.529848) + (xy 160.245649 120.533618) + (xy 160.247773 120.537084) + (xy 160.247775 120.537088) + (xy 160.316662 120.649501) + (xy 160.365979 120.729978) + (xy 160.368638 120.733048) + (xy 160.368639 120.733049) + (xy 160.491806 120.875237) + (xy 160.516763 120.904048) + (xy 160.693953 121.051154) + (xy 160.89279 121.167345) + (xy 161.107934 121.249501) + (xy 161.111909 121.25031) + (xy 161.11191 121.25031) + (xy 161.329624 121.294604) + (xy 161.329628 121.294604) + (xy 161.333607 121.295414) + (xy 161.337667 121.295563) + (xy 161.337668 121.295563) + (xy 161.379459 121.297095) + (xy 161.563749 121.303853) + (xy 161.567767 121.303338) + (xy 161.567773 121.303338) + (xy 161.788146 121.275108) + (xy 161.788152 121.275107) + (xy 161.792178 121.274591) + (xy 161.796071 121.273423) + (xy 161.796076 121.273422) + (xy 161.954836 121.225791) + (xy 162.012761 121.208413) + (xy 162.219574 121.107096) + (xy 162.407062 120.973363) + (xy 162.57019 120.810803) + (xy 162.704577 120.623783) + (xy 162.806615 120.417325) + (xy 162.819256 120.375721) + (xy 162.87238 120.200869) + (xy 162.87238 120.200868) + (xy 162.873563 120.196975) + (xy 162.903622 119.968649) + (xy 162.9053 119.9) + (xy 162.902477 119.865665) + (xy 168.095119 119.865665) + (xy 168.108376 120.09558) + (xy 168.115577 120.127533) + (xy 168.155942 120.306644) + (xy 168.159006 120.320242) + (xy 168.160535 120.324006) + (xy 168.160536 120.324011) + (xy 168.244118 120.529848) + (xy 168.245649 120.533618) + (xy 168.247773 120.537084) + (xy 168.247775 120.537088) + (xy 168.316662 120.649501) + (xy 168.365979 120.729978) + (xy 168.368638 120.733048) + (xy 168.368639 120.733049) + (xy 168.491806 120.875237) + (xy 168.516763 120.904048) + (xy 168.693953 121.051154) + (xy 168.89279 121.167345) + (xy 169.107934 121.249501) + (xy 169.111909 121.25031) + (xy 169.11191 121.25031) + (xy 169.329624 121.294604) + (xy 169.329628 121.294604) + (xy 169.333607 121.295414) + (xy 169.337667 121.295563) + (xy 169.337668 121.295563) + (xy 169.379459 121.297095) + (xy 169.563749 121.303853) + (xy 169.567767 121.303338) + (xy 169.567773 121.303338) + (xy 169.788146 121.275108) + (xy 169.788152 121.275107) + (xy 169.792178 121.274591) + (xy 169.796071 121.273423) + (xy 169.796076 121.273422) + (xy 169.821931 121.265665) + (xy 264.495119 121.265665) + (xy 264.508376 121.49558) + (xy 264.509268 121.499537) + (xy 264.541086 121.640723) + (xy 264.559006 121.720242) + (xy 264.560535 121.724006) + (xy 264.560536 121.724011) + (xy 264.632667 121.901648) + (xy 264.645649 121.933618) + (xy 264.647773 121.937084) + (xy 264.647775 121.937088) + (xy 264.689089 122.004506) + (xy 264.765979 122.129978) + (xy 264.768638 122.133048) + (xy 264.768639 122.133049) + (xy 264.891806 122.275237) + (xy 264.916763 122.304048) + (xy 265.093953 122.451154) + (xy 265.29279 122.567345) + (xy 265.507934 122.649501) + (xy 265.511909 122.65031) + (xy 265.51191 122.65031) + (xy 265.729624 122.694604) + (xy 265.729628 122.694604) + (xy 265.733607 122.695414) + (xy 265.737667 122.695563) + (xy 265.737668 122.695563) + (xy 265.779459 122.697095) + (xy 265.963749 122.703853) + (xy 265.967767 122.703338) + (xy 265.967773 122.703338) + (xy 266.188146 122.675108) + (xy 266.188152 122.675107) + (xy 266.192178 122.674591) + (xy 266.196071 122.673423) + (xy 266.196076 122.673422) + (xy 266.36153 122.623783) + (xy 266.412761 122.608413) + (xy 266.619574 122.507096) + (xy 266.807062 122.373363) + (xy 266.97019 122.210803) + (xy 267.104577 122.023783) + (xy 267.206615 121.817325) + (xy 267.236112 121.720242) + (xy 267.27238 121.600869) + (xy 267.27238 121.600868) + (xy 267.273563 121.596975) + (xy 267.303622 121.368649) + (xy 267.3053 121.3) + (xy 267.304923 121.295414) + (xy 267.302477 121.265665) + (xy 272.495119 121.265665) + (xy 272.508376 121.49558) + (xy 272.509268 121.499537) + (xy 272.541086 121.640723) + (xy 272.559006 121.720242) + (xy 272.560535 121.724006) + (xy 272.560536 121.724011) + (xy 272.632667 121.901648) + (xy 272.645649 121.933618) + (xy 272.647773 121.937084) + (xy 272.647775 121.937088) + (xy 272.689089 122.004506) + (xy 272.765979 122.129978) + (xy 272.768638 122.133048) + (xy 272.768639 122.133049) + (xy 272.891806 122.275237) + (xy 272.916763 122.304048) + (xy 273.093953 122.451154) + (xy 273.29279 122.567345) + (xy 273.507934 122.649501) + (xy 273.511909 122.65031) + (xy 273.51191 122.65031) + (xy 273.729624 122.694604) + (xy 273.729628 122.694604) + (xy 273.733607 122.695414) + (xy 273.737667 122.695563) + (xy 273.737668 122.695563) + (xy 273.779459 122.697095) + (xy 273.963749 122.703853) + (xy 273.967767 122.703338) + (xy 273.967773 122.703338) + (xy 274.188146 122.675108) + (xy 274.188152 122.675107) + (xy 274.192178 122.674591) + (xy 274.196071 122.673423) + (xy 274.196076 122.673422) + (xy 274.36153 122.623783) + (xy 274.412761 122.608413) + (xy 274.619574 122.507096) + (xy 274.807062 122.373363) + (xy 274.97019 122.210803) + (xy 275.104577 122.023783) + (xy 275.206615 121.817325) + (xy 275.236112 121.720242) + (xy 275.27238 121.600869) + (xy 275.27238 121.600868) + (xy 275.273563 121.596975) + (xy 275.303622 121.368649) + (xy 275.3053 121.3) + (xy 275.304923 121.295414) + (xy 275.286763 121.074525) + (xy 275.286762 121.074522) + (xy 275.28643 121.070478) + (xy 275.279419 121.042563) + (xy 275.244625 120.904048) + (xy 275.230326 120.84712) + (xy 275.155309 120.674591) + (xy 275.140118 120.639654) + (xy 275.140117 120.639652) + (xy 275.138496 120.635924) + (xy 275.013405 120.442563) + (xy 274.858412 120.272229) + (xy 274.855226 120.269713) + (xy 274.855223 120.26971) + (xy 274.680869 120.132013) + (xy 274.680863 120.132009) + (xy 274.677681 120.129496) + (xy 274.476065 120.018198) + (xy 274.472234 120.016841) + (xy 274.472231 120.01684) + (xy 274.262814 119.942681) + (xy 274.262809 119.94268) + (xy 274.258978 119.941323) + (xy 274.03225 119.900937) + (xy 274.028204 119.900888) + (xy 274.028198 119.900887) + (xy 273.901094 119.899334) + (xy 273.801971 119.898123) + (xy 273.574325 119.932958) + (xy 273.457116 119.971268) + (xy 273.359281 120.003245) + (xy 273.359277 120.003247) + (xy 273.355424 120.004506) + (xy 273.351824 120.00638) + (xy 273.154754 120.108968) + (xy 273.154751 120.10897) + (xy 273.151149 120.110845) + (xy 272.966984 120.249119) + (xy 272.807877 120.415616) + (xy 272.805585 120.418976) + (xy 272.680389 120.602505) + (xy 272.680386 120.602511) + (xy 272.678099 120.605863) + (xy 272.581136 120.814752) + (xy 272.556372 120.904048) + (xy 272.525432 121.015616) + (xy 272.519592 121.036673) + (xy 272.519159 121.040721) + (xy 272.519159 121.040723) + (xy 272.518321 121.048562) + (xy 272.495119 121.265665) + (xy 267.302477 121.265665) + (xy 267.286763 121.074525) + (xy 267.286762 121.074522) + (xy 267.28643 121.070478) + (xy 267.279419 121.042563) + (xy 267.244625 120.904048) + (xy 267.230326 120.84712) + (xy 267.155309 120.674591) + (xy 267.140118 120.639654) + (xy 267.140117 120.639652) + (xy 267.138496 120.635924) + (xy 267.013405 120.442563) + (xy 266.858412 120.272229) + (xy 266.855226 120.269713) + (xy 266.855223 120.26971) + (xy 266.680869 120.132013) + (xy 266.680863 120.132009) + (xy 266.677681 120.129496) + (xy 266.476065 120.018198) + (xy 266.472234 120.016841) + (xy 266.472231 120.01684) + (xy 266.262814 119.942681) + (xy 266.262809 119.94268) + (xy 266.258978 119.941323) + (xy 266.03225 119.900937) + (xy 266.028204 119.900888) + (xy 266.028198 119.900887) + (xy 265.901094 119.899334) + (xy 265.801971 119.898123) + (xy 265.574325 119.932958) + (xy 265.457116 119.971268) + (xy 265.359281 120.003245) + (xy 265.359277 120.003247) + (xy 265.355424 120.004506) + (xy 265.351824 120.00638) + (xy 265.154754 120.108968) + (xy 265.154751 120.10897) + (xy 265.151149 120.110845) + (xy 264.966984 120.249119) + (xy 264.807877 120.415616) + (xy 264.805585 120.418976) + (xy 264.680389 120.602505) + (xy 264.680386 120.602511) + (xy 264.678099 120.605863) + (xy 264.581136 120.814752) + (xy 264.556372 120.904048) + (xy 264.525432 121.015616) + (xy 264.519592 121.036673) + (xy 264.519159 121.040721) + (xy 264.519159 121.040723) + (xy 264.518321 121.048562) + (xy 264.495119 121.265665) + (xy 169.821931 121.265665) + (xy 169.954836 121.225791) + (xy 170.012761 121.208413) + (xy 170.219574 121.107096) + (xy 170.407062 120.973363) + (xy 170.57019 120.810803) + (xy 170.704577 120.623783) + (xy 170.806615 120.417325) + (xy 170.819256 120.375721) + (xy 170.87238 120.200869) + (xy 170.87238 120.200868) + (xy 170.873563 120.196975) + (xy 170.903622 119.968649) + (xy 170.9053 119.9) + (xy 170.898503 119.817325) + (xy 170.886763 119.674525) + (xy 170.886762 119.674522) + (xy 170.88643 119.670478) + (xy 170.868946 119.600869) + (xy 170.831317 119.451066) + (xy 170.830326 119.44712) + (xy 170.755309 119.274591) + (xy 170.751428 119.265665) + (xy 268.495119 119.265665) + (xy 268.508376 119.49558) + (xy 268.509268 119.499537) + (xy 268.541086 119.640723) + (xy 268.559006 119.720242) + (xy 268.560535 119.724006) + (xy 268.560536 119.724011) + (xy 268.632667 119.901648) + (xy 268.645649 119.933618) + (xy 268.647773 119.937084) + (xy 268.647775 119.937088) + (xy 268.689089 120.004506) + (xy 268.765979 120.129978) + (xy 268.768638 120.133048) + (xy 268.768639 120.133049) + (xy 268.891806 120.275237) + (xy 268.916763 120.304048) + (xy 269.093953 120.451154) + (xy 269.29279 120.567345) + (xy 269.507934 120.649501) + (xy 269.511909 120.65031) + (xy 269.51191 120.65031) + (xy 269.729624 120.694604) + (xy 269.729628 120.694604) + (xy 269.733607 120.695414) + (xy 269.737667 120.695563) + (xy 269.737668 120.695563) + (xy 269.779459 120.697095) + (xy 269.963749 120.703853) + (xy 269.967767 120.703338) + (xy 269.967773 120.703338) + (xy 270.188146 120.675108) + (xy 270.188152 120.675107) + (xy 270.192178 120.674591) + (xy 270.196071 120.673423) + (xy 270.196076 120.673422) + (xy 270.36153 120.623783) + (xy 270.412761 120.608413) + (xy 270.619574 120.507096) + (xy 270.807062 120.373363) + (xy 270.97019 120.210803) + (xy 271.104577 120.023783) + (xy 271.206615 119.817325) + (xy 271.236112 119.720242) + (xy 271.27238 119.600869) + (xy 271.27238 119.600868) + (xy 271.273563 119.596975) + (xy 271.303622 119.368649) + (xy 271.3053 119.3) + (xy 271.304923 119.295414) + (xy 271.286763 119.074525) + (xy 271.286762 119.074522) + (xy 271.28643 119.070478) + (xy 271.279419 119.042563) + (xy 271.244625 118.904048) + (xy 271.230326 118.84712) + (xy 271.155309 118.674591) + (xy 271.140118 118.639654) + (xy 271.140117 118.639652) + (xy 271.138496 118.635924) + (xy 271.013405 118.442563) + (xy 270.858412 118.272229) + (xy 270.855226 118.269713) + (xy 270.855223 118.26971) + (xy 270.680869 118.132013) + (xy 270.680863 118.132009) + (xy 270.677681 118.129496) + (xy 270.476065 118.018198) + (xy 270.472234 118.016841) + (xy 270.472231 118.01684) + (xy 270.262814 117.942681) + (xy 270.262809 117.94268) + (xy 270.258978 117.941323) + (xy 270.03225 117.900937) + (xy 270.028204 117.900888) + (xy 270.028198 117.900887) + (xy 269.901094 117.899334) + (xy 269.801971 117.898123) + (xy 269.574325 117.932958) + (xy 269.457116 117.971268) + (xy 269.359281 118.003245) + (xy 269.359277 118.003247) + (xy 269.355424 118.004506) + (xy 269.351824 118.00638) + (xy 269.154754 118.108968) + (xy 269.154751 118.10897) + (xy 269.151149 118.110845) + (xy 268.966984 118.249119) + (xy 268.807877 118.415616) + (xy 268.805585 118.418976) + (xy 268.680389 118.602505) + (xy 268.680386 118.602511) + (xy 268.678099 118.605863) + (xy 268.581136 118.814752) + (xy 268.556372 118.904048) + (xy 268.525432 119.015616) + (xy 268.519592 119.036673) + (xy 268.519159 119.040721) + (xy 268.519159 119.040723) + (xy 268.518321 119.048562) + (xy 268.495119 119.265665) + (xy 170.751428 119.265665) + (xy 170.740118 119.239654) + (xy 170.740117 119.239652) + (xy 170.738496 119.235924) + (xy 170.613405 119.042563) + (xy 170.608046 119.036673) + (xy 170.461149 118.875237) + (xy 170.458412 118.872229) + (xy 170.455226 118.869713) + (xy 170.455223 118.86971) + (xy 170.280869 118.732013) + (xy 170.280863 118.732009) + (xy 170.277681 118.729496) + (xy 170.230296 118.703338) + (xy 170.079623 118.620162) + (xy 170.079622 118.620161) + (xy 170.076065 118.618198) + (xy 170.072234 118.616841) + (xy 170.072231 118.61684) + (xy 169.862814 118.542681) + (xy 169.862809 118.54268) + (xy 169.858978 118.541323) + (xy 169.63225 118.500937) + (xy 169.628204 118.500888) + (xy 169.628198 118.500887) + (xy 169.501094 118.499334) + (xy 169.401971 118.498123) + (xy 169.174325 118.532958) + (xy 169.075384 118.565297) + (xy 168.959281 118.603245) + (xy 168.959277 118.603247) + (xy 168.955424 118.604506) + (xy 168.951824 118.60638) + (xy 168.754754 118.708968) + (xy 168.754751 118.70897) + (xy 168.751149 118.710845) + (xy 168.566984 118.849119) + (xy 168.407877 119.015616) + (xy 168.405585 119.018976) + (xy 168.280389 119.202505) + (xy 168.280386 119.202511) + (xy 168.278099 119.205863) + (xy 168.181136 119.414752) + (xy 168.119592 119.636673) + (xy 168.095119 119.865665) + (xy 162.902477 119.865665) + (xy 162.898503 119.817325) + (xy 162.886763 119.674525) + (xy 162.886762 119.674522) + (xy 162.88643 119.670478) + (xy 162.868946 119.600869) + (xy 162.831317 119.451066) + (xy 162.830326 119.44712) + (xy 162.755309 119.274591) + (xy 162.740118 119.239654) + (xy 162.740117 119.239652) + (xy 162.738496 119.235924) + (xy 162.613405 119.042563) + (xy 162.608046 119.036673) + (xy 162.461149 118.875237) + (xy 162.458412 118.872229) + (xy 162.455226 118.869713) + (xy 162.455223 118.86971) + (xy 162.280869 118.732013) + (xy 162.280863 118.732009) + (xy 162.277681 118.729496) + (xy 162.230296 118.703338) + (xy 162.079623 118.620162) + (xy 162.079622 118.620161) + (xy 162.076065 118.618198) + (xy 162.072234 118.616841) + (xy 162.072231 118.61684) + (xy 161.862814 118.542681) + (xy 161.862809 118.54268) + (xy 161.858978 118.541323) + (xy 161.63225 118.500937) + (xy 161.628204 118.500888) + (xy 161.628198 118.500887) + (xy 161.501094 118.499334) + (xy 161.401971 118.498123) + (xy 161.174325 118.532958) + (xy 161.075384 118.565297) + (xy 160.959281 118.603245) + (xy 160.959277 118.603247) + (xy 160.955424 118.604506) + (xy 160.951824 118.60638) + (xy 160.754754 118.708968) + (xy 160.754751 118.70897) + (xy 160.751149 118.710845) + (xy 160.566984 118.849119) + (xy 160.407877 119.015616) + (xy 160.405585 119.018976) + (xy 160.280389 119.202505) + (xy 160.280386 119.202511) + (xy 160.278099 119.205863) + (xy 160.181136 119.414752) + (xy 160.119592 119.636673) + (xy 160.095119 119.865665) + (xy 136.661248 119.865665) + (xy 136.661248 117.865665) + (xy 164.095119 117.865665) + (xy 164.108376 118.09558) + (xy 164.115577 118.127533) + (xy 164.155942 118.306644) + (xy 164.159006 118.320242) + (xy 164.160535 118.324006) + (xy 164.160536 118.324011) + (xy 164.244118 118.529848) + (xy 164.245649 118.533618) + (xy 164.247773 118.537084) + (xy 164.247775 118.537088) + (xy 164.316662 118.649501) + (xy 164.365979 118.729978) + (xy 164.368638 118.733048) + (xy 164.368639 118.733049) + (xy 164.491806 118.875237) + (xy 164.516763 118.904048) + (xy 164.693953 119.051154) + (xy 164.89279 119.167345) + (xy 165.107934 119.249501) + (xy 165.111909 119.25031) + (xy 165.11191 119.25031) + (xy 165.329624 119.294604) + (xy 165.329628 119.294604) + (xy 165.333607 119.295414) + (xy 165.337667 119.295563) + (xy 165.337668 119.295563) + (xy 165.379459 119.297095) + (xy 165.563749 119.303853) + (xy 165.567767 119.303338) + (xy 165.567773 119.303338) + (xy 165.788146 119.275108) + (xy 165.788152 119.275107) + (xy 165.792178 119.274591) + (xy 165.796071 119.273423) + (xy 165.796076 119.273422) + (xy 165.954836 119.225791) + (xy 166.012761 119.208413) + (xy 166.219574 119.107096) + (xy 166.407062 118.973363) + (xy 166.57019 118.810803) + (xy 166.704577 118.623783) + (xy 166.806615 118.417325) + (xy 166.819256 118.375721) + (xy 166.87238 118.200869) + (xy 166.87238 118.200868) + (xy 166.873563 118.196975) + (xy 166.903622 117.968649) + (xy 166.9053 117.9) + (xy 166.898503 117.817325) + (xy 166.886763 117.674525) + (xy 166.886762 117.674522) + (xy 166.88643 117.670478) + (xy 166.868946 117.600869) + (xy 166.831317 117.451066) + (xy 166.830326 117.44712) + (xy 166.755309 117.274591) + (xy 166.740118 117.239654) + (xy 166.740117 117.239652) + (xy 166.738496 117.235924) + (xy 166.613405 117.042563) + (xy 166.608046 117.036673) + (xy 166.461149 116.875237) + (xy 166.458412 116.872229) + (xy 166.455226 116.869713) + (xy 166.455223 116.86971) + (xy 166.280869 116.732013) + (xy 166.280863 116.732009) + (xy 166.277681 116.729496) + (xy 166.240495 116.708968) + (xy 166.079623 116.620162) + (xy 166.079622 116.620161) + (xy 166.076065 116.618198) + (xy 166.072234 116.616841) + (xy 166.072231 116.61684) + (xy 165.862814 116.542681) + (xy 165.862809 116.54268) + (xy 165.858978 116.541323) + (xy 165.63225 116.500937) + (xy 165.628204 116.500888) + (xy 165.628198 116.500887) + (xy 165.501094 116.499334) + (xy 165.401971 116.498123) + (xy 165.174325 116.532958) + (xy 165.056433 116.571491) + (xy 164.959281 116.603245) + (xy 164.959277 116.603247) + (xy 164.955424 116.604506) + (xy 164.951824 116.60638) + (xy 164.754754 116.708968) + (xy 164.754751 116.70897) + (xy 164.751149 116.710845) + (xy 164.566984 116.849119) + (xy 164.407877 117.015616) + (xy 164.405585 117.018976) + (xy 164.280389 117.202505) + (xy 164.280386 117.202511) + (xy 164.278099 117.205863) + (xy 164.181136 117.414752) + (xy 164.119592 117.636673) + (xy 164.095119 117.865665) + (xy 136.661248 117.865665) + (xy 136.661248 115.865665) + (xy 160.095119 115.865665) + (xy 160.108376 116.09558) + (xy 160.109268 116.099537) + (xy 160.148864 116.275237) + (xy 160.159006 116.320242) + (xy 160.160535 116.324006) + (xy 160.160536 116.324011) + (xy 160.232667 116.501648) + (xy 160.245649 116.533618) + (xy 160.247773 116.537084) + (xy 160.247775 116.537088) + (xy 160.289089 116.604506) + (xy 160.365979 116.729978) + (xy 160.368638 116.733048) + (xy 160.368639 116.733049) + (xy 160.491806 116.875237) + (xy 160.516763 116.904048) + (xy 160.693953 117.051154) + (xy 160.89279 117.167345) + (xy 161.107934 117.249501) + (xy 161.111909 117.25031) + (xy 161.11191 117.25031) + (xy 161.329624 117.294604) + (xy 161.329628 117.294604) + (xy 161.333607 117.295414) + (xy 161.337667 117.295563) + (xy 161.337668 117.295563) + (xy 161.379459 117.297095) + (xy 161.563749 117.303853) + (xy 161.567767 117.303338) + (xy 161.567773 117.303338) + (xy 161.788146 117.275108) + (xy 161.788152 117.275107) + (xy 161.792178 117.274591) + (xy 161.796071 117.273423) + (xy 161.796076 117.273422) + (xy 161.954836 117.225791) + (xy 162.012761 117.208413) + (xy 162.219574 117.107096) + (xy 162.407062 116.973363) + (xy 162.57019 116.810803) + (xy 162.704577 116.623783) + (xy 162.806615 116.417325) + (xy 162.836112 116.320242) + (xy 162.87238 116.200869) + (xy 162.87238 116.200868) + (xy 162.873563 116.196975) + (xy 162.903622 115.968649) + (xy 162.9053 115.9) + (xy 162.902477 115.865665) + (xy 168.095119 115.865665) + (xy 168.108376 116.09558) + (xy 168.109268 116.099537) + (xy 168.148864 116.275237) + (xy 168.159006 116.320242) + (xy 168.160535 116.324006) + (xy 168.160536 116.324011) + (xy 168.232667 116.501648) + (xy 168.245649 116.533618) + (xy 168.247773 116.537084) + (xy 168.247775 116.537088) + (xy 168.289089 116.604506) + (xy 168.365979 116.729978) + (xy 168.368638 116.733048) + (xy 168.368639 116.733049) + (xy 168.491806 116.875237) + (xy 168.516763 116.904048) + (xy 168.693953 117.051154) + (xy 168.89279 117.167345) + (xy 169.107934 117.249501) + (xy 169.111909 117.25031) + (xy 169.11191 117.25031) + (xy 169.329624 117.294604) + (xy 169.329628 117.294604) + (xy 169.333607 117.295414) + (xy 169.337667 117.295563) + (xy 169.337668 117.295563) + (xy 169.379459 117.297095) + (xy 169.563749 117.303853) + (xy 169.567767 117.303338) + (xy 169.567773 117.303338) + (xy 169.788146 117.275108) + (xy 169.788152 117.275107) + (xy 169.792178 117.274591) + (xy 169.796071 117.273423) + (xy 169.796076 117.273422) + (xy 169.821931 117.265665) + (xy 264.495119 117.265665) + (xy 264.508376 117.49558) + (xy 264.509268 117.499537) + (xy 264.541086 117.640723) + (xy 264.559006 117.720242) + (xy 264.560535 117.724006) + (xy 264.560536 117.724011) + (xy 264.632667 117.901648) + (xy 264.645649 117.933618) + (xy 264.647773 117.937084) + (xy 264.647775 117.937088) + (xy 264.689089 118.004506) + (xy 264.765979 118.129978) + (xy 264.768638 118.133048) + (xy 264.768639 118.133049) + (xy 264.891806 118.275237) + (xy 264.916763 118.304048) + (xy 265.093953 118.451154) + (xy 265.29279 118.567345) + (xy 265.507934 118.649501) + (xy 265.511909 118.65031) + (xy 265.51191 118.65031) + (xy 265.729624 118.694604) + (xy 265.729628 118.694604) + (xy 265.733607 118.695414) + (xy 265.737667 118.695563) + (xy 265.737668 118.695563) + (xy 265.779459 118.697095) + (xy 265.963749 118.703853) + (xy 265.967767 118.703338) + (xy 265.967773 118.703338) + (xy 266.188146 118.675108) + (xy 266.188152 118.675107) + (xy 266.192178 118.674591) + (xy 266.196071 118.673423) + (xy 266.196076 118.673422) + (xy 266.36153 118.623783) + (xy 266.412761 118.608413) + (xy 266.619574 118.507096) + (xy 266.807062 118.373363) + (xy 266.97019 118.210803) + (xy 267.104577 118.023783) + (xy 267.206615 117.817325) + (xy 267.236112 117.720242) + (xy 267.27238 117.600869) + (xy 267.27238 117.600868) + (xy 267.273563 117.596975) + (xy 267.303622 117.368649) + (xy 267.3053 117.3) + (xy 267.304923 117.295414) + (xy 267.302477 117.265665) + (xy 272.495119 117.265665) + (xy 272.508376 117.49558) + (xy 272.509268 117.499537) + (xy 272.541086 117.640723) + (xy 272.559006 117.720242) + (xy 272.560535 117.724006) + (xy 272.560536 117.724011) + (xy 272.632667 117.901648) + (xy 272.645649 117.933618) + (xy 272.647773 117.937084) + (xy 272.647775 117.937088) + (xy 272.689089 118.004506) + (xy 272.765979 118.129978) + (xy 272.768638 118.133048) + (xy 272.768639 118.133049) + (xy 272.891806 118.275237) + (xy 272.916763 118.304048) + (xy 273.093953 118.451154) + (xy 273.29279 118.567345) + (xy 273.507934 118.649501) + (xy 273.511909 118.65031) + (xy 273.51191 118.65031) + (xy 273.729624 118.694604) + (xy 273.729628 118.694604) + (xy 273.733607 118.695414) + (xy 273.737667 118.695563) + (xy 273.737668 118.695563) + (xy 273.779459 118.697095) + (xy 273.963749 118.703853) + (xy 273.967767 118.703338) + (xy 273.967773 118.703338) + (xy 274.188146 118.675108) + (xy 274.188152 118.675107) + (xy 274.192178 118.674591) + (xy 274.196071 118.673423) + (xy 274.196076 118.673422) + (xy 274.36153 118.623783) + (xy 274.412761 118.608413) + (xy 274.619574 118.507096) + (xy 274.807062 118.373363) + (xy 274.97019 118.210803) + (xy 275.104577 118.023783) + (xy 275.206615 117.817325) + (xy 275.236112 117.720242) + (xy 275.27238 117.600869) + (xy 275.27238 117.600868) + (xy 275.273563 117.596975) + (xy 275.303622 117.368649) + (xy 275.3053 117.3) + (xy 275.304923 117.295414) + (xy 275.286763 117.074525) + (xy 275.286762 117.074522) + (xy 275.28643 117.070478) + (xy 275.279419 117.042563) + (xy 275.244625 116.904048) + (xy 275.230326 116.84712) + (xy 275.138496 116.635924) + (xy 275.013405 116.442563) + (xy 274.858412 116.272229) + (xy 274.855226 116.269713) + (xy 274.855223 116.26971) + (xy 274.680869 116.132013) + (xy 274.680863 116.132009) + (xy 274.677681 116.129496) + (xy 274.476065 116.018198) + (xy 274.472234 116.016841) + (xy 274.472231 116.01684) + (xy 274.262814 115.942681) + (xy 274.262809 115.94268) + (xy 274.258978 115.941323) + (xy 274.03225 115.900937) + (xy 274.028204 115.900888) + (xy 274.028198 115.900887) + (xy 273.901094 115.899334) + (xy 273.801971 115.898123) + (xy 273.574325 115.932958) + (xy 273.457116 115.971268) + (xy 273.359281 116.003245) + (xy 273.359277 116.003247) + (xy 273.355424 116.004506) + (xy 273.351824 116.00638) + (xy 273.154754 116.108968) + (xy 273.154751 116.10897) + (xy 273.151149 116.110845) + (xy 272.966984 116.249119) + (xy 272.807877 116.415616) + (xy 272.805585 116.418976) + (xy 272.680389 116.602505) + (xy 272.680386 116.602511) + (xy 272.678099 116.605863) + (xy 272.581136 116.814752) + (xy 272.556372 116.904048) + (xy 272.525432 117.015616) + (xy 272.519592 117.036673) + (xy 272.519159 117.040721) + (xy 272.519159 117.040723) + (xy 272.518321 117.048562) + (xy 272.495119 117.265665) + (xy 267.302477 117.265665) + (xy 267.286763 117.074525) + (xy 267.286762 117.074522) + (xy 267.28643 117.070478) + (xy 267.279419 117.042563) + (xy 267.244625 116.904048) + (xy 267.230326 116.84712) + (xy 267.138496 116.635924) + (xy 267.013405 116.442563) + (xy 266.858412 116.272229) + (xy 266.855226 116.269713) + (xy 266.855223 116.26971) + (xy 266.680869 116.132013) + (xy 266.680863 116.132009) + (xy 266.677681 116.129496) + (xy 266.476065 116.018198) + (xy 266.472234 116.016841) + (xy 266.472231 116.01684) + (xy 266.262814 115.942681) + (xy 266.262809 115.94268) + (xy 266.258978 115.941323) + (xy 266.03225 115.900937) + (xy 266.028204 115.900888) + (xy 266.028198 115.900887) + (xy 265.901094 115.899334) + (xy 265.801971 115.898123) + (xy 265.574325 115.932958) + (xy 265.457116 115.971268) + (xy 265.359281 116.003245) + (xy 265.359277 116.003247) + (xy 265.355424 116.004506) + (xy 265.351824 116.00638) + (xy 265.154754 116.108968) + (xy 265.154751 116.10897) + (xy 265.151149 116.110845) + (xy 264.966984 116.249119) + (xy 264.807877 116.415616) + (xy 264.805585 116.418976) + (xy 264.680389 116.602505) + (xy 264.680386 116.602511) + (xy 264.678099 116.605863) + (xy 264.581136 116.814752) + (xy 264.556372 116.904048) + (xy 264.525432 117.015616) + (xy 264.519592 117.036673) + (xy 264.519159 117.040721) + (xy 264.519159 117.040723) + (xy 264.518321 117.048562) + (xy 264.495119 117.265665) + (xy 169.821931 117.265665) + (xy 169.954836 117.225791) + (xy 170.012761 117.208413) + (xy 170.219574 117.107096) + (xy 170.407062 116.973363) + (xy 170.57019 116.810803) + (xy 170.704577 116.623783) + (xy 170.806615 116.417325) + (xy 170.836112 116.320242) + (xy 170.87238 116.200869) + (xy 170.87238 116.200868) + (xy 170.873563 116.196975) + (xy 170.903622 115.968649) + (xy 170.9053 115.9) + (xy 170.88643 115.670478) + (xy 170.830326 115.44712) + (xy 170.738496 115.235924) + (xy 170.613405 115.042563) + (xy 170.458412 114.872229) + (xy 170.455226 114.869713) + (xy 170.455223 114.86971) + (xy 170.280869 114.732013) + (xy 170.280863 114.732009) + (xy 170.277681 114.729496) + (xy 170.076065 114.618198) + (xy 170.072234 114.616841) + (xy 170.072231 114.61684) + (xy 169.862814 114.542681) + (xy 169.862809 114.54268) + (xy 169.858978 114.541323) + (xy 169.63225 114.500937) + (xy 169.628204 114.500888) + (xy 169.628198 114.500887) + (xy 169.501094 114.499334) + (xy 169.401971 114.498123) + (xy 169.174325 114.532958) + (xy 169.056433 114.571491) + (xy 168.959281 114.603245) + (xy 168.959277 114.603247) + (xy 168.955424 114.604506) + (xy 168.951824 114.60638) + (xy 168.754754 114.708968) + (xy 168.754751 114.70897) + (xy 168.751149 114.710845) + (xy 168.566984 114.849119) + (xy 168.407877 115.015616) + (xy 168.405585 115.018976) + (xy 168.280389 115.202505) + (xy 168.280386 115.202511) + (xy 168.278099 115.205863) + (xy 168.181136 115.414752) + (xy 168.119592 115.636673) + (xy 168.095119 115.865665) + (xy 162.902477 115.865665) + (xy 162.88643 115.670478) + (xy 162.830326 115.44712) + (xy 162.738496 115.235924) + (xy 162.613405 115.042563) + (xy 162.458412 114.872229) + (xy 162.455226 114.869713) + (xy 162.455223 114.86971) + (xy 162.280869 114.732013) + (xy 162.280863 114.732009) + (xy 162.277681 114.729496) + (xy 162.076065 114.618198) + (xy 162.072234 114.616841) + (xy 162.072231 114.61684) + (xy 161.862814 114.542681) + (xy 161.862809 114.54268) + (xy 161.858978 114.541323) + (xy 161.63225 114.500937) + (xy 161.628204 114.500888) + (xy 161.628198 114.500887) + (xy 161.501094 114.499334) + (xy 161.401971 114.498123) + (xy 161.174325 114.532958) + (xy 161.056433 114.571491) + (xy 160.959281 114.603245) + (xy 160.959277 114.603247) + (xy 160.955424 114.604506) + (xy 160.951824 114.60638) + (xy 160.754754 114.708968) + (xy 160.754751 114.70897) + (xy 160.751149 114.710845) + (xy 160.566984 114.849119) + (xy 160.407877 115.015616) + (xy 160.405585 115.018976) + (xy 160.280389 115.202505) + (xy 160.280386 115.202511) + (xy 160.278099 115.205863) + (xy 160.181136 115.414752) + (xy 160.119592 115.636673) + (xy 160.095119 115.865665) + (xy 136.661248 115.865665) + (xy 136.661248 109.4) + (xy 163.369474 109.4) + (xy 163.369779 109.403875) + (xy 163.38915 109.650011) + (xy 163.389548 109.65507) + (xy 163.449278 109.90386) + (xy 163.547191 110.140243) + (xy 163.680877 110.358399) + (xy 163.847044 110.552956) + (xy 164.041601 110.719123) + (xy 164.259757 110.852809) + (xy 164.49614 110.950722) + (xy 164.499915 110.951628) + (xy 164.499917 110.951629) + (xy 164.633099 110.983603) + (xy 164.74493 111.010452) + (xy 164.748795 111.010756) + (xy 164.7488 111.010757) + (xy 164.996125 111.030221) + (xy 165 111.030526) + (xy 165.003875 111.030221) + (xy 165.2512 111.010757) + (xy 165.251205 111.010756) + (xy 165.25507 111.010452) + (xy 165.366901 110.983603) + (xy 165.500083 110.951629) + (xy 165.500085 110.951628) + (xy 165.50386 110.950722) + (xy 165.740243 110.852809) + (xy 165.82642 110.8) + (xy 268.769474 110.8) + (xy 268.769779 110.803875) + (xy 268.786061 111.010757) + (xy 268.789548 111.05507) + (xy 268.849278 111.30386) + (xy 268.947191 111.540243) + (xy 269.080877 111.758399) + (xy 269.247044 111.952956) + (xy 269.441601 112.119123) + (xy 269.659757 112.252809) + (xy 269.89614 112.350722) + (xy 269.899915 112.351628) + (xy 269.899917 112.351629) + (xy 270.033099 112.383603) + (xy 270.14493 112.410452) + (xy 270.148795 112.410756) + (xy 270.1488 112.410757) + (xy 270.396125 112.430221) + (xy 270.4 112.430526) + (xy 270.403875 112.430221) + (xy 270.6512 112.410757) + (xy 270.651205 112.410756) + (xy 270.65507 112.410452) + (xy 270.766901 112.383603) + (xy 270.900083 112.351629) + (xy 270.900085 112.351628) + (xy 270.90386 112.350722) + (xy 271.140243 112.252809) + (xy 271.358399 112.119123) + (xy 271.552956 111.952956) + (xy 271.719123 111.758399) + (xy 271.852809 111.540243) + (xy 271.950722 111.30386) + (xy 272.010452 111.05507) + (xy 272.01394 111.010757) + (xy 272.030221 110.803875) + (xy 272.030526 110.8) + (xy 272.011084 110.552956) + (xy 272.010757 110.5488) + (xy 272.010756 110.548795) + (xy 272.010452 110.54493) + (xy 271.950722 110.29614) + (xy 271.852809 110.059757) + (xy 271.719123 109.841601) + (xy 271.552956 109.647044) + (xy 271.358399 109.480877) + (xy 271.140243 109.347191) + (xy 270.90386 109.249278) + (xy 270.900085 109.248372) + (xy 270.900083 109.248371) + (xy 270.766901 109.216397) + (xy 270.65507 109.189548) + (xy 270.651205 109.189244) + (xy 270.6512 109.189243) + (xy 270.403875 109.169779) + (xy 270.4 109.169474) + (xy 270.396125 109.169779) + (xy 270.1488 109.189243) + (xy 270.148795 109.189244) + (xy 270.14493 109.189548) + (xy 270.033099 109.216397) + (xy 269.899917 109.248371) + (xy 269.899915 109.248372) + (xy 269.89614 109.249278) + (xy 269.659757 109.347191) + (xy 269.441601 109.480877) + (xy 269.247044 109.647044) + (xy 269.080877 109.841601) + (xy 268.947191 110.059757) + (xy 268.849278 110.29614) + (xy 268.789548 110.54493) + (xy 268.789244 110.548795) + (xy 268.789243 110.5488) + (xy 268.788916 110.552956) + (xy 268.769474 110.8) + (xy 165.82642 110.8) + (xy 165.958399 110.719123) + (xy 166.152956 110.552956) + (xy 166.319123 110.358399) + (xy 166.452809 110.140243) + (xy 166.550722 109.90386) + (xy 166.610452 109.65507) + (xy 166.610851 109.650011) + (xy 166.630221 109.403875) + (xy 166.630526 109.4) + (xy 166.614035 109.190454) + (xy 166.610757 109.1488) + (xy 166.610756 109.148795) + (xy 166.610452 109.14493) + (xy 166.550722 108.89614) + (xy 166.452809 108.659757) + (xy 166.319123 108.441601) + (xy 166.152956 108.247044) + (xy 165.974162 108.09434) + (xy 165.961359 108.083405) + (xy 165.961358 108.083405) + (xy 165.958399 108.080877) + (xy 165.740243 107.947191) + (xy 165.50386 107.849278) + (xy 165.500085 107.848372) + (xy 165.500083 107.848371) + (xy 165.269444 107.792999) + (xy 165.25507 107.789548) + (xy 165.251205 107.789244) + (xy 165.2512 107.789243) + (xy 165.003875 107.769779) + (xy 165 107.769474) + (xy 164.996125 107.769779) + (xy 164.7488 107.789243) + (xy 164.748795 107.789244) + (xy 164.74493 107.789548) + (xy 164.730556 107.792999) + (xy 164.499917 107.848371) + (xy 164.499915 107.848372) + (xy 164.49614 107.849278) + (xy 164.259757 107.947191) + (xy 164.041601 108.080877) + (xy 164.038642 108.083405) + (xy 164.038641 108.083405) + (xy 164.025838 108.09434) + (xy 163.847044 108.247044) + (xy 163.680877 108.441601) + (xy 163.547191 108.659757) + (xy 163.449278 108.89614) + (xy 163.389548 109.14493) + (xy 163.389244 109.148795) + (xy 163.389243 109.1488) + (xy 163.385965 109.190454) + (xy 163.369474 109.4) + (xy 136.661248 109.4) + (xy 136.661248 107.56844) + (xy 257.89477 107.56844) + (xy 257.9092 107.788604) + (xy 257.910316 107.792997) + (xy 257.910316 107.792999) + (xy 257.933873 107.885754) + (xy 257.963511 108.002452) + (xy 258.055883 108.202821) + (xy 258.183222 108.383002) + (xy 258.341264 108.536961) + (xy 258.524717 108.65954) + (xy 258.727436 108.746635) + (xy 258.805165 108.764223) + (xy 258.938206 108.794328) + (xy 258.938211 108.794329) + (xy 258.942632 108.795329) + (xy 259.052865 108.79966) + (xy 259.158565 108.803813) + (xy 259.158566 108.803813) + (xy 259.163098 108.803991) + (xy 259.381452 108.772331) + (xy 259.385751 108.770872) + (xy 259.385754 108.770871) + (xy 259.586078 108.70287) + (xy 259.590379 108.70141) + (xy 259.661944 108.661332) + (xy 259.778925 108.595819) + (xy 259.782884 108.593602) + (xy 259.952518 108.452518) + (xy 260.093602 108.282884) + (xy 260.20141 108.090379) + (xy 260.203777 108.083405) + (xy 260.270871 107.885754) + (xy 260.270872 107.885751) + (xy 260.272331 107.881452) + (xy 260.285701 107.789243) + (xy 260.303571 107.665997) + (xy 260.303571 107.665991) + (xy 260.303991 107.663098) + (xy 260.305643 107.6) + (xy 260.285454 107.380289) + (xy 260.250688 107.257018) + (xy 260.226799 107.172311) + (xy 260.226798 107.17231) + (xy 260.225565 107.167936) + (xy 260.223557 107.163864) + (xy 260.223555 107.163859) + (xy 260.129988 106.974125) + (xy 260.12798 106.970053) + (xy 259.995967 106.793267) + (xy 259.967658 106.767098) + (xy 259.837279 106.646577) + (xy 259.837278 106.646576) + (xy 259.833949 106.643499) + (xy 259.775419 106.606569) + (xy 259.651187 106.528185) + (xy 259.64735 106.525764) + (xy 259.442421 106.444006) + (xy 259.226024 106.400962) + (xy 259.117347 106.399539) + (xy 259.009946 106.398133) + (xy 259.009941 106.398133) + (xy 259.005406 106.398074) + (xy 259.000933 106.398843) + (xy 259.000928 106.398843) + (xy 258.792435 106.434668) + (xy 258.792429 106.43467) + (xy 258.787957 106.435438) + (xy 258.760176 106.445687) + (xy 258.58522 106.510231) + (xy 258.585217 106.510232) + (xy 258.580957 106.511804) + (xy 258.577054 106.514126) + (xy 258.577052 106.514127) + (xy 258.557492 106.525764) + (xy 258.391341 106.624614) + (xy 258.387926 106.627609) + (xy 258.387923 106.627611) + (xy 258.306865 106.698697) + (xy 258.225457 106.77009) + (xy 258.222649 106.773652) + (xy 258.133788 106.886373) + (xy 258.088863 106.94336) + (xy 258.086749 106.947378) + (xy 258.066343 106.986164) + (xy 257.986131 107.13862) + (xy 257.984787 107.142949) + (xy 257.937472 107.295329) + (xy 257.920703 107.349333) + (xy 257.920169 107.353843) + (xy 257.920169 107.353844) + (xy 257.917039 107.380289) + (xy 257.89477 107.56844) + (xy 136.661248 107.56844) + (xy 136.661248 106.16844) + (xy 175.09477 106.16844) + (xy 175.100428 106.25476) + (xy 175.108437 106.376955) + (xy 175.1092 106.388604) + (xy 175.110316 106.392997) + (xy 175.110316 106.392999) + (xy 175.144649 106.528185) + (xy 175.163511 106.602452) + (xy 175.255883 106.802821) + (xy 175.383222 106.983002) + (xy 175.541264 107.136961) + (xy 175.724717 107.25954) + (xy 175.927436 107.346635) + (xy 176.005165 107.364223) + (xy 176.138206 107.394328) + (xy 176.138211 107.394329) + (xy 176.142632 107.395329) + (xy 176.252865 107.39966) + (xy 176.358565 107.403813) + (xy 176.358566 107.403813) + (xy 176.363098 107.403991) + (xy 176.581452 107.372331) + (xy 176.585751 107.370872) + (xy 176.585754 107.370871) + (xy 176.786078 107.30287) + (xy 176.790379 107.30141) + (xy 176.801238 107.295329) + (xy 176.978925 107.195819) + (xy 176.982884 107.193602) + (xy 177.152518 107.052518) + (xy 177.293602 106.882884) + (xy 177.40141 106.690379) + (xy 177.434011 106.59434) + (xy 177.470871 106.485754) + (xy 177.470872 106.485751) + (xy 177.472331 106.481452) + (xy 177.485156 106.392999) + (xy 177.503571 106.265997) + (xy 177.503571 106.265991) + (xy 177.503991 106.263098) + (xy 177.505643 106.2) + (xy 177.501984 106.160173) + (xy 177.493554 106.06844) + (xy 275.99477 106.06844) + (xy 276.000428 106.15476) + (xy 276.007337 106.260173) + (xy 276.0092 106.288604) + (xy 276.010316 106.292997) + (xy 276.010316 106.292999) + (xy 276.058178 106.481452) + (xy 276.063511 106.502452) + (xy 276.155883 106.702821) + (xy 276.283222 106.883002) + (xy 276.441264 107.036961) + (xy 276.624717 107.15954) + (xy 276.827436 107.246635) + (xy 276.884468 107.25954) + (xy 277.038206 107.294328) + (xy 277.038211 107.294329) + (xy 277.042632 107.295329) + (xy 277.152865 107.29966) + (xy 277.258565 107.303813) + (xy 277.258566 107.303813) + (xy 277.263098 107.303991) + (xy 277.481452 107.272331) + (xy 277.485751 107.270872) + (xy 277.485754 107.270871) + (xy 277.686078 107.20287) + (xy 277.690379 107.20141) + (xy 277.700363 107.195819) + (xy 277.878925 107.095819) + (xy 277.882884 107.093602) + (xy 278.052518 106.952518) + (xy 278.193602 106.782884) + (xy 278.269938 106.646577) + (xy 278.299192 106.59434) + (xy 278.299193 106.594338) + (xy 278.30141 106.590379) + (xy 278.351397 106.443122) + (xy 278.370871 106.385754) + (xy 278.370872 106.385751) + (xy 278.372331 106.381452) + (xy 278.385156 106.292999) + (xy 278.403571 106.165997) + (xy 278.403571 106.165991) + (xy 278.403991 106.163098) + (xy 278.405643 106.1) + (xy 278.385454 105.880289) + (xy 278.362583 105.799192) + (xy 278.326799 105.672311) + (xy 278.326798 105.67231) + (xy 278.325565 105.667936) + (xy 278.323557 105.663864) + (xy 278.323555 105.663859) + (xy 278.229988 105.474125) + (xy 278.22798 105.470053) + (xy 278.095967 105.293267) + (xy 278.019185 105.22229) + (xy 277.937279 105.146577) + (xy 277.937278 105.146576) + (xy 277.933949 105.143499) + (xy 277.883716 105.111804) + (xy 277.751187 105.028185) + (xy 277.74735 105.025764) + (xy 277.542421 104.944006) + (xy 277.326024 104.900962) + (xy 277.217347 104.899539) + (xy 277.109946 104.898133) + (xy 277.109941 104.898133) + (xy 277.105406 104.898074) + (xy 277.100933 104.898843) + (xy 277.100928 104.898843) + (xy 276.892435 104.934668) + (xy 276.892429 104.93467) + (xy 276.887957 104.935438) + (xy 276.860176 104.945687) + (xy 276.68522 105.010231) + (xy 276.685217 105.010232) + (xy 276.680957 105.011804) + (xy 276.677054 105.014126) + (xy 276.677052 105.014127) + (xy 276.657492 105.025764) + (xy 276.491341 105.124614) + (xy 276.487926 105.127609) + (xy 276.487923 105.127611) + (xy 276.380036 105.222225) + (xy 276.325457 105.27009) + (xy 276.322649 105.273652) + (xy 276.225486 105.396904) + (xy 276.188863 105.44336) + (xy 276.186749 105.447378) + (xy 276.120064 105.574125) + (xy 276.086131 105.63862) + (xy 276.084787 105.642949) + (xy 276.049368 105.757018) + (xy 276.020703 105.849333) + (xy 276.020169 105.853843) + (xy 276.020169 105.853844) + (xy 276.017039 105.880289) + (xy 275.99477 106.06844) + (xy 177.493554 106.06844) + (xy 177.485869 105.984809) + (xy 177.485454 105.980289) + (xy 177.458526 105.884809) + (xy 177.426799 105.772311) + (xy 177.426798 105.77231) + (xy 177.425565 105.767936) + (xy 177.423557 105.763864) + (xy 177.423555 105.763859) + (xy 177.329988 105.574125) + (xy 177.32798 105.570053) + (xy 177.195967 105.393267) + (xy 177.167658 105.367098) + (xy 177.037279 105.246577) + (xy 177.037278 105.246576) + (xy 177.033949 105.243499) + (xy 176.84735 105.125764) + (xy 176.642421 105.044006) + (xy 176.426024 105.000962) + (xy 176.317347 104.999539) + (xy 176.209946 104.998133) + (xy 176.209941 104.998133) + (xy 176.205406 104.998074) + (xy 176.200933 104.998843) + (xy 176.200928 104.998843) + (xy 175.992435 105.034668) + (xy 175.992429 105.03467) + (xy 175.987957 105.035438) + (xy 175.960176 105.045687) + (xy 175.78522 105.110231) + (xy 175.785217 105.110232) + (xy 175.780957 105.111804) + (xy 175.777054 105.114126) + (xy 175.777052 105.114127) + (xy 175.759425 105.124614) + (xy 175.591341 105.224614) + (xy 175.587926 105.227609) + (xy 175.587923 105.227611) + (xy 175.51657 105.290186) + (xy 175.425457 105.37009) + (xy 175.422649 105.373652) + (xy 175.364529 105.447378) + (xy 175.288863 105.54336) + (xy 175.186131 105.73862) + (xy 175.184787 105.742949) + (xy 175.137472 105.895329) + (xy 175.120703 105.949333) + (xy 175.120169 105.953843) + (xy 175.120169 105.953844) + (xy 175.095748 106.160173) + (xy 175.09477 106.16844) + (xy 136.661248 106.16844) + (xy 136.661248 104.66844) + (xy 156.99477 104.66844) + (xy 157.0092 104.888604) + (xy 157.010316 104.892997) + (xy 157.010316 104.892999) + (xy 157.044649 105.028185) + (xy 157.063511 105.102452) + (xy 157.155883 105.302821) + (xy 157.283222 105.483002) + (xy 157.441264 105.636961) + (xy 157.624717 105.75954) + (xy 157.827436 105.846635) + (xy 157.905165 105.864223) + (xy 158.038206 105.894328) + (xy 158.038211 105.894329) + (xy 158.042632 105.895329) + (xy 158.152865 105.89966) + (xy 158.258565 105.903813) + (xy 158.258566 105.903813) + (xy 158.263098 105.903991) + (xy 158.481452 105.872331) + (xy 158.485751 105.870872) + (xy 158.485754 105.870871) + (xy 158.686078 105.80287) + (xy 158.690379 105.80141) + (xy 158.761944 105.761332) + (xy 158.878925 105.695819) + (xy 158.882884 105.693602) + (xy 159.052518 105.552518) + (xy 159.193602 105.382884) + (xy 159.269938 105.246577) + (xy 159.299192 105.19434) + (xy 159.299193 105.194338) + (xy 159.30141 105.190379) + (xy 159.351397 105.043122) + (xy 159.370871 104.985754) + (xy 159.370872 104.985751) + (xy 159.372331 104.981452) + (xy 159.385156 104.892999) + (xy 159.403571 104.765997) + (xy 159.403571 104.765991) + (xy 159.403991 104.763098) + (xy 159.405643 104.7) + (xy 159.385454 104.480289) + (xy 159.325565 104.267936) + (xy 159.323557 104.263864) + (xy 159.323555 104.263859) + (xy 159.229988 104.074125) + (xy 159.22798 104.070053) + (xy 159.095967 103.893267) + (xy 158.933949 103.743499) + (xy 158.74735 103.625764) + (xy 158.542421 103.544006) + (xy 158.326024 103.500962) + (xy 158.217347 103.499539) + (xy 158.109946 103.498133) + (xy 158.109941 103.498133) + (xy 158.105406 103.498074) + (xy 158.100933 103.498843) + (xy 158.100928 103.498843) + (xy 157.892435 103.534668) + (xy 157.892429 103.53467) + (xy 157.887957 103.535438) + (xy 157.860176 103.545687) + (xy 157.68522 103.610231) + (xy 157.685217 103.610232) + (xy 157.680957 103.611804) + (xy 157.677054 103.614126) + (xy 157.677052 103.614127) + (xy 157.657492 103.625764) + (xy 157.491341 103.724614) + (xy 157.487926 103.727609) + (xy 157.487923 103.727611) + (xy 157.380036 103.822225) + (xy 157.325457 103.87009) + (xy 157.188863 104.04336) + (xy 157.086131 104.23862) + (xy 157.020703 104.449333) + (xy 157.020169 104.453843) + (xy 157.020169 104.453844) + (xy 157.017039 104.480289) + (xy 156.99477 104.66844) + (xy 136.661248 104.66844) + (xy 136.661248 90.640237) + (xy 138.357065 90.640237) + (xy 138.359209 90.684863) + (xy 138.369188 90.892622) + (xy 138.418483 91.140442) + (xy 138.419723 91.143897) + (xy 138.419724 91.143899) + (xy 138.465459 91.27128) + (xy 138.503866 91.378254) + (xy 138.623462 91.600834) + (xy 138.625661 91.603779) + (xy 138.625663 91.603782) + (xy 138.700338 91.703784) + (xy 138.774644 91.803291) + (xy 138.95409 91.981178) + (xy 138.957057 91.983354) + (xy 138.95706 91.983356) + (xy 139.06387 92.061672) + (xy 139.157859 92.130587) + (xy 139.381474 92.248237) + (xy 139.384945 92.249449) + (xy 139.384947 92.24945) + (xy 139.46838 92.278586) + (xy 139.620022 92.331541) + (xy 139.868263 92.378672) + (xy 140.005742 92.384074) + (xy 140.117074 92.388448) + (xy 140.117077 92.388448) + (xy 140.120744 92.388592) + (xy 140.249809 92.374457) + (xy 140.368276 92.361483) + (xy 140.368279 92.361482) + (xy 140.371917 92.361084) + (xy 140.616266 92.296752) + (xy 140.848422 92.19701) + (xy 140.95927 92.128415) + (xy 141.060159 92.065983) + (xy 141.060164 92.065979) + (xy 141.063285 92.064048) + (xy 141.256135 91.900789) + (xy 141.422735 91.710817) + (xy 141.559426 91.498308) + (xy 141.612045 91.3815) + (xy 141.661695 91.27128) + (xy 141.663205 91.267928) + (xy 141.731791 91.024739) + (xy 141.763679 90.774084) + (xy 141.766015 90.684863) + (xy 141.74729 90.432882) + (xy 141.691525 90.186437) + (xy 141.676382 90.147495) + (xy 141.601276 89.954362) + (xy 141.599946 89.950942) + (xy 141.474564 89.731569) + (xy 141.318134 89.533139) + (xy 141.315461 89.530625) + (xy 141.315456 89.530619) + (xy 141.136765 89.362524) + (xy 141.134093 89.36001) + (xy 140.926483 89.215986) + (xy 140.699865 89.104231) + (xy 140.459218 89.027199) + (xy 140.209828 88.986583) + (xy 140.206165 88.986535) + (xy 140.206164 88.986535) + (xy 140.083501 88.984929) + (xy 139.957174 88.983276) + (xy 139.953546 88.98377) + (xy 139.953542 88.98377) + (xy 139.794202 89.005455) + (xy 139.706807 89.017349) + (xy 139.464226 89.088055) + (xy 139.234761 89.19384) + (xy 139.023452 89.33238) + (xy 139.020719 89.334819) + (xy 139.020718 89.33482) + (xy 138.837682 89.498185) + (xy 138.837678 89.498189) + (xy 138.834941 89.500632) + (xy 138.832594 89.503454) + (xy 138.832592 89.503456) + (xy 138.796931 89.546334) + (xy 138.673371 89.6949) + (xy 138.671471 89.698032) + (xy 138.671469 89.698034) + (xy 138.629571 89.767079) + (xy 138.542289 89.910915) + (xy 138.444577 90.143932) + (xy 138.443672 90.147494) + (xy 138.443672 90.147495) + (xy 138.420119 90.240237) + (xy 138.38238 90.388833) + (xy 138.357065 90.640237) + (xy 136.661248 90.640237) + (xy 136.661248 88.722928) + (xy 136.680155 88.664737) + (xy 136.690244 88.652924) + (xy 137.344843 87.998325) + (xy 158.398714 87.998325) + (xy 158.398851 88.001816) + (xy 158.398851 88.001821) + (xy 158.403417 88.118011) + (xy 158.409603 88.275464) + (xy 158.440296 88.443522) + (xy 158.45546 88.526552) + (xy 158.459432 88.548303) + (xy 158.460539 88.551621) + (xy 158.46054 88.551625) + (xy 158.54389 88.801456) + (xy 158.547208 88.8114) + (xy 158.671178 89.059504) + (xy 158.828871 89.287666) + (xy 159.017138 89.491332) + (xy 159.019848 89.493539) + (xy 159.019852 89.493542) + (xy 159.167099 89.61342) + (xy 159.232223 89.666439) + (xy 159.469836 89.809494) + (xy 159.725235 89.917641) + (xy 159.993324 89.988724) + (xy 159.996797 89.989135) + (xy 159.996802 89.989136) + (xy 160.20869 90.014214) + (xy 160.268754 90.021323) + (xy 160.272243 90.021241) + (xy 160.272248 90.021241) + (xy 160.398534 90.018265) + (xy 160.54603 90.014789) + (xy 160.819619 89.969251) + (xy 160.822951 89.968197) + (xy 160.822956 89.968196) + (xy 160.921699 89.936968) + (xy 161.084062 89.885619) + (xy 161.08722 89.884103) + (xy 161.087224 89.884101) + (xy 161.330922 89.767079) + (xy 161.330923 89.767078) + (xy 161.334083 89.765561) + (xy 161.342406 89.76) + (xy 266.605971 89.76) + (xy 266.625041 89.977977) + (xy 266.62616 89.982152) + (xy 266.62616 89.982154) + (xy 266.658482 90.10278) + (xy 266.681673 90.18933) + (xy 266.774146 90.387638) + (xy 266.89965 90.566877) + (xy 267.054371 90.721598) + (xy 267.125783 90.771601) + (xy 267.215629 90.834512) + (xy 267.233609 90.847102) + (xy 267.431918 90.939575) + (xy 267.457546 90.946442) + (xy 267.639094 90.995088) + (xy 267.639096 90.995088) + (xy 267.643271 90.996207) + (xy 267.861248 91.015277) + (xy 268.079225 90.996207) + (xy 268.0834 90.995088) + (xy 268.083402 90.995088) + (xy 268.26495 90.946442) + (xy 268.290578 90.939575) + (xy 268.488887 90.847102) + (xy 268.506868 90.834512) + (xy 268.596713 90.771601) + (xy 268.668125 90.721598) + (xy 268.822846 90.566877) + (xy 268.94835 90.387638) + (xy 269.040823 90.18933) + (xy 269.064014 90.10278) + (xy 269.096336 89.982154) + (xy 269.096336 89.982152) + (xy 269.097455 89.977977) + (xy 269.116525 89.76) + (xy 269.097455 89.542023) + (xy 269.0944 89.530619) + (xy 269.041941 89.334843) + (xy 269.040823 89.33067) + (xy 268.94835 89.132362) + (xy 268.822846 88.953123) + (xy 268.668125 88.798402) + (xy 268.553244 88.717961) + (xy 268.513671 88.690252) + (xy 273.110748 88.690252) + (xy 273.110748 90.829748) + (xy 273.122381 90.888231) + (xy 273.166696 90.954552) + (xy 273.233017 90.998867) + (xy 273.24258 91.000769) + (xy 273.242582 91.00077) + (xy 273.265253 91.005279) + (xy 273.2915 91.0105) + (xy 275.430996 91.0105) + (xy 275.457243 91.005279) + (xy 275.479914 91.00077) + (xy 275.479916 91.000769) + (xy 275.489479 90.998867) + (xy 275.5558 90.954552) + (xy 275.600115 90.888231) + (xy 275.611748 90.829748) + (xy 275.611748 90.640237) + (xy 295.157065 90.640237) + (xy 295.159209 90.684863) + (xy 295.169188 90.892622) + (xy 295.218483 91.140442) + (xy 295.219723 91.143897) + (xy 295.219724 91.143899) + (xy 295.265459 91.27128) + (xy 295.303866 91.378254) + (xy 295.423462 91.600834) + (xy 295.425661 91.603779) + (xy 295.425663 91.603782) + (xy 295.500338 91.703784) + (xy 295.574644 91.803291) + (xy 295.75409 91.981178) + (xy 295.757057 91.983354) + (xy 295.75706 91.983356) + (xy 295.86387 92.061672) + (xy 295.957859 92.130587) + (xy 296.181474 92.248237) + (xy 296.184945 92.249449) + (xy 296.184947 92.24945) + (xy 296.26838 92.278586) + (xy 296.420022 92.331541) + (xy 296.668263 92.378672) + (xy 296.805742 92.384074) + (xy 296.917074 92.388448) + (xy 296.917077 92.388448) + (xy 296.920744 92.388592) + (xy 297.049809 92.374457) + (xy 297.168276 92.361483) + (xy 297.168279 92.361482) + (xy 297.171917 92.361084) + (xy 297.416266 92.296752) + (xy 297.648422 92.19701) + (xy 297.75927 92.128415) + (xy 297.860159 92.065983) + (xy 297.860164 92.065979) + (xy 297.863285 92.064048) + (xy 298.056135 91.900789) + (xy 298.222735 91.710817) + (xy 298.359426 91.498308) + (xy 298.412045 91.3815) + (xy 298.461695 91.27128) + (xy 298.463205 91.267928) + (xy 298.531791 91.024739) + (xy 298.563679 90.774084) + (xy 298.566015 90.684863) + (xy 298.54729 90.432882) + (xy 298.491525 90.186437) + (xy 298.476382 90.147495) + (xy 298.401276 89.954362) + (xy 298.399946 89.950942) + (xy 298.274564 89.731569) + (xy 298.118134 89.533139) + (xy 298.115461 89.530625) + (xy 298.115456 89.530619) + (xy 297.936765 89.362524) + (xy 297.934093 89.36001) + (xy 297.726483 89.215986) + (xy 297.499865 89.104231) + (xy 297.259218 89.027199) + (xy 297.009828 88.986583) + (xy 297.006165 88.986535) + (xy 297.006164 88.986535) + (xy 296.883501 88.984929) + (xy 296.757174 88.983276) + (xy 296.753546 88.98377) + (xy 296.753542 88.98377) + (xy 296.594202 89.005455) + (xy 296.506807 89.017349) + (xy 296.264226 89.088055) + (xy 296.034761 89.19384) + (xy 295.823452 89.33238) + (xy 295.820719 89.334819) + (xy 295.820718 89.33482) + (xy 295.637682 89.498185) + (xy 295.637678 89.498189) + (xy 295.634941 89.500632) + (xy 295.632594 89.503454) + (xy 295.632592 89.503456) + (xy 295.596931 89.546334) + (xy 295.473371 89.6949) + (xy 295.471471 89.698032) + (xy 295.471469 89.698034) + (xy 295.429571 89.767079) + (xy 295.342289 89.910915) + (xy 295.244577 90.143932) + (xy 295.243672 90.147494) + (xy 295.243672 90.147495) + (xy 295.220119 90.240237) + (xy 295.18238 90.388833) + (xy 295.157065 90.640237) + (xy 275.611748 90.640237) + (xy 275.611748 88.690252) + (xy 275.600115 88.631769) + (xy 275.5558 88.565448) + (xy 275.489479 88.521133) + (xy 275.479916 88.519231) + (xy 275.479914 88.51923) + (xy 275.457243 88.514721) + (xy 275.430996 88.5095) + (xy 273.2915 88.5095) + (xy 273.265253 88.514721) + (xy 273.242582 88.51923) + (xy 273.24258 88.519231) + (xy 273.233017 88.521133) + (xy 273.166696 88.565448) + (xy 273.122381 88.631769) + (xy 273.110748 88.690252) + (xy 268.513671 88.690252) + (xy 268.492432 88.67538) + (xy 268.49243 88.675379) + (xy 268.488887 88.672898) + (xy 268.290578 88.580425) + (xy 268.183095 88.551625) + (xy 268.083402 88.524912) + (xy 268.0834 88.524912) + (xy 268.079225 88.523793) + (xy 267.861248 88.504723) + (xy 267.643271 88.523793) + (xy 267.639096 88.524912) + (xy 267.639094 88.524912) + (xy 267.539401 88.551625) + (xy 267.431918 88.580425) + (xy 267.23361 88.672898) + (xy 267.230067 88.675379) + (xy 267.230065 88.67538) + (xy 267.208826 88.690252) + (xy 267.054371 88.798402) + (xy 266.89965 88.953123) + (xy 266.774146 89.132362) + (xy 266.681673 89.33067) + (xy 266.680555 89.334843) + (xy 266.628097 89.530619) + (xy 266.625041 89.542023) + (xy 266.605971 89.76) + (xy 161.342406 89.76) + (xy 161.564693 89.611472) + (xy 161.567307 89.609131) + (xy 161.76868 89.428766) + (xy 161.768683 89.428763) + (xy 161.771291 89.426427) + (xy 161.949755 89.214118) + (xy 162.065629 89.02832) + (xy 162.094672 88.981752) + (xy 162.094673 88.981749) + (xy 162.096524 88.978782) + (xy 162.106303 88.956664) + (xy 162.177365 88.795923) + (xy 162.20867 88.725113) + (xy 162.219846 88.685488) + (xy 162.26467 88.526552) + (xy 162.283955 88.458173) + (xy 162.320876 88.183289) + (xy 162.324751 88.06) + (xy 162.320384 87.998325) + (xy 162.30541 87.786836) + (xy 162.305409 87.78683) + (xy 162.305162 87.78334) + (xy 162.246788 87.5122) + (xy 162.172586 87.311069) + (xy 167.106412 87.311069) + (xy 167.11964 87.512894) + (xy 167.169426 87.708928) + (xy 167.254104 87.892607) + (xy 167.370836 88.05778) + (xy 167.515714 88.198913) + (xy 167.683885 88.311282) + (xy 167.869718 88.391122) + (xy 168.066988 88.43576) + (xy 168.26909 88.4437) + (xy 168.322625 88.435938) + (xy 168.464767 88.415329) + (xy 168.46477 88.415328) + (xy 168.469255 88.414678) + (xy 168.565017 88.382171) + (xy 168.656482 88.351123) + (xy 168.656485 88.351121) + (xy 168.660779 88.349664) + (xy 168.837249 88.250837) + (xy 168.992753 88.121505) + (xy 169.122085 87.966001) + (xy 169.220912 87.789531) + (xy 169.224179 87.779909) + (xy 169.284467 87.602304) + (xy 169.285926 87.598007) + (xy 169.29787 87.515633) + (xy 169.314531 87.400717) + (xy 169.314948 87.397842) + (xy 169.316311 87.345813) + (xy 169.316387 87.342913) + (xy 169.316387 87.342908) + (xy 169.316463 87.34) + (xy 169.313805 87.311069) + (xy 171.406412 87.311069) + (xy 171.41964 87.512894) + (xy 171.469426 87.708928) + (xy 171.554104 87.892607) + (xy 171.670836 88.05778) + (xy 171.815714 88.198913) + (xy 171.983885 88.311282) + (xy 172.169718 88.391122) + (xy 172.366988 88.43576) + (xy 172.56909 88.4437) + (xy 172.622625 88.435938) + (xy 172.764767 88.415329) + (xy 172.76477 88.415328) + (xy 172.769255 88.414678) + (xy 172.865017 88.382171) + (xy 172.956482 88.351123) + (xy 172.956485 88.351121) + (xy 172.960779 88.349664) + (xy 173.137249 88.250837) + (xy 173.292753 88.121505) + (xy 173.422085 87.966001) + (xy 173.520912 87.789531) + (xy 173.524179 87.779909) + (xy 173.584467 87.602304) + (xy 173.585926 87.598007) + (xy 173.59787 87.515633) + (xy 173.614531 87.400717) + (xy 173.614948 87.397842) + (xy 173.616311 87.345813) + (xy 173.616387 87.342913) + (xy 173.616387 87.342908) + (xy 173.616463 87.34) + (xy 173.613805 87.311069) + (xy 175.706412 87.311069) + (xy 175.71964 87.512894) + (xy 175.769426 87.708928) + (xy 175.854104 87.892607) + (xy 175.970836 88.05778) + (xy 176.115714 88.198913) + (xy 176.283885 88.311282) + (xy 176.469718 88.391122) + (xy 176.666988 88.43576) + (xy 176.86909 88.4437) + (xy 176.922625 88.435938) + (xy 177.064767 88.415329) + (xy 177.06477 88.415328) + (xy 177.069255 88.414678) + (xy 177.165017 88.382171) + (xy 177.256482 88.351123) + (xy 177.256485 88.351121) + (xy 177.260779 88.349664) + (xy 177.437249 88.250837) + (xy 177.592753 88.121505) + (xy 177.722085 87.966001) + (xy 177.820912 87.789531) + (xy 177.824179 87.779909) + (xy 177.884467 87.602304) + (xy 177.885926 87.598007) + (xy 177.89787 87.515633) + (xy 177.914531 87.400717) + (xy 177.914948 87.397842) + (xy 177.916311 87.345813) + (xy 177.916387 87.342913) + (xy 177.916387 87.342908) + (xy 177.916463 87.34) + (xy 177.913805 87.311069) + (xy 180.006412 87.311069) + (xy 180.01964 87.512894) + (xy 180.069426 87.708928) + (xy 180.154104 87.892607) + (xy 180.270836 88.05778) + (xy 180.415714 88.198913) + (xy 180.583885 88.311282) + (xy 180.769718 88.391122) + (xy 180.966988 88.43576) + (xy 181.16909 88.4437) + (xy 181.222625 88.435938) + (xy 181.364767 88.415329) + (xy 181.36477 88.415328) + (xy 181.369255 88.414678) + (xy 181.465017 88.382171) + (xy 181.556482 88.351123) + (xy 181.556485 88.351121) + (xy 181.560779 88.349664) + (xy 181.737249 88.250837) + (xy 181.892753 88.121505) + (xy 182.022085 87.966001) + (xy 182.120912 87.789531) + (xy 182.124179 87.779909) + (xy 182.184467 87.602304) + (xy 182.185926 87.598007) + (xy 182.19787 87.515633) + (xy 182.214531 87.400717) + (xy 182.214948 87.397842) + (xy 182.216311 87.345813) + (xy 182.216387 87.342913) + (xy 182.216387 87.342908) + (xy 182.216463 87.34) + (xy 182.213805 87.311069) + (xy 184.306412 87.311069) + (xy 184.31964 87.512894) + (xy 184.369426 87.708928) + (xy 184.454104 87.892607) + (xy 184.570836 88.05778) + (xy 184.715714 88.198913) + (xy 184.883885 88.311282) + (xy 185.069718 88.391122) + (xy 185.266988 88.43576) + (xy 185.46909 88.4437) + (xy 185.522625 88.435938) + (xy 185.664767 88.415329) + (xy 185.66477 88.415328) + (xy 185.669255 88.414678) + (xy 185.765017 88.382171) + (xy 185.856482 88.351123) + (xy 185.856485 88.351121) + (xy 185.860779 88.349664) + (xy 186.037249 88.250837) + (xy 186.192753 88.121505) + (xy 186.322085 87.966001) + (xy 186.420912 87.789531) + (xy 186.424179 87.779909) + (xy 186.484467 87.602304) + (xy 186.485926 87.598007) + (xy 186.49787 87.515633) + (xy 186.514531 87.400717) + (xy 186.514948 87.397842) + (xy 186.516311 87.345813) + (xy 186.516387 87.342913) + (xy 186.516387 87.342908) + (xy 186.516463 87.34) + (xy 186.513805 87.311069) + (xy 188.606412 87.311069) + (xy 188.61964 87.512894) + (xy 188.669426 87.708928) + (xy 188.754104 87.892607) + (xy 188.870836 88.05778) + (xy 189.015714 88.198913) + (xy 189.183885 88.311282) + (xy 189.369718 88.391122) + (xy 189.566988 88.43576) + (xy 189.76909 88.4437) + (xy 189.822625 88.435938) + (xy 189.964767 88.415329) + (xy 189.96477 88.415328) + (xy 189.969255 88.414678) + (xy 190.065017 88.382171) + (xy 190.156482 88.351123) + (xy 190.156485 88.351121) + (xy 190.160779 88.349664) + (xy 190.337249 88.250837) + (xy 190.492753 88.121505) + (xy 190.622085 87.966001) + (xy 190.720912 87.789531) + (xy 190.724179 87.779909) + (xy 190.784467 87.602304) + (xy 190.785926 87.598007) + (xy 190.79787 87.515633) + (xy 190.814531 87.400717) + (xy 190.814948 87.397842) + (xy 190.816311 87.345813) + (xy 190.816387 87.342913) + (xy 190.816387 87.342908) + (xy 190.816463 87.34) + (xy 190.813805 87.311069) + (xy 192.906412 87.311069) + (xy 192.91964 87.512894) + (xy 192.969426 87.708928) + (xy 193.054104 87.892607) + (xy 193.170836 88.05778) + (xy 193.315714 88.198913) + (xy 193.483885 88.311282) + (xy 193.669718 88.391122) + (xy 193.866988 88.43576) + (xy 194.06909 88.4437) + (xy 194.122625 88.435938) + (xy 194.264767 88.415329) + (xy 194.26477 88.415328) + (xy 194.269255 88.414678) + (xy 194.365017 88.382171) + (xy 194.456482 88.351123) + (xy 194.456485 88.351121) + (xy 194.460779 88.349664) + (xy 194.637249 88.250837) + (xy 194.792753 88.121505) + (xy 194.922085 87.966001) + (xy 195.020912 87.789531) + (xy 195.024179 87.779909) + (xy 195.084467 87.602304) + (xy 195.085926 87.598007) + (xy 195.09787 87.515633) + (xy 195.114531 87.400717) + (xy 195.114948 87.397842) + (xy 195.116311 87.345813) + (xy 195.116387 87.342913) + (xy 195.116387 87.342908) + (xy 195.116463 87.34) + (xy 195.113805 87.311069) + (xy 210.706412 87.311069) + (xy 210.71964 87.512894) + (xy 210.769426 87.708928) + (xy 210.854104 87.892607) + (xy 210.970836 88.05778) + (xy 211.115714 88.198913) + (xy 211.283885 88.311282) + (xy 211.469718 88.391122) + (xy 211.666988 88.43576) + (xy 211.86909 88.4437) + (xy 211.922625 88.435938) + (xy 212.064767 88.415329) + (xy 212.06477 88.415328) + (xy 212.069255 88.414678) + (xy 212.165017 88.382171) + (xy 212.256482 88.351123) + (xy 212.256485 88.351121) + (xy 212.260779 88.349664) + (xy 212.437249 88.250837) + (xy 212.592753 88.121505) + (xy 212.722085 87.966001) + (xy 212.820912 87.789531) + (xy 212.824179 87.779909) + (xy 212.884467 87.602304) + (xy 212.885926 87.598007) + (xy 212.89787 87.515633) + (xy 212.914531 87.400717) + (xy 212.914948 87.397842) + (xy 212.916311 87.345813) + (xy 212.916387 87.342913) + (xy 212.916387 87.342908) + (xy 212.916463 87.34) + (xy 212.913805 87.311069) + (xy 215.006412 87.311069) + (xy 215.01964 87.512894) + (xy 215.069426 87.708928) + (xy 215.154104 87.892607) + (xy 215.270836 88.05778) + (xy 215.415714 88.198913) + (xy 215.583885 88.311282) + (xy 215.769718 88.391122) + (xy 215.966988 88.43576) + (xy 216.16909 88.4437) + (xy 216.222625 88.435938) + (xy 216.364767 88.415329) + (xy 216.36477 88.415328) + (xy 216.369255 88.414678) + (xy 216.465017 88.382171) + (xy 216.556482 88.351123) + (xy 216.556485 88.351121) + (xy 216.560779 88.349664) + (xy 216.737249 88.250837) + (xy 216.892753 88.121505) + (xy 217.022085 87.966001) + (xy 217.120912 87.789531) + (xy 217.124179 87.779909) + (xy 217.184467 87.602304) + (xy 217.185926 87.598007) + (xy 217.19787 87.515633) + (xy 217.214531 87.400717) + (xy 217.214948 87.397842) + (xy 217.216311 87.345813) + (xy 217.216387 87.342913) + (xy 217.216387 87.342908) + (xy 217.216463 87.34) + (xy 217.213805 87.311069) + (xy 219.306412 87.311069) + (xy 219.31964 87.512894) + (xy 219.369426 87.708928) + (xy 219.454104 87.892607) + (xy 219.570836 88.05778) + (xy 219.715714 88.198913) + (xy 219.883885 88.311282) + (xy 220.069718 88.391122) + (xy 220.266988 88.43576) + (xy 220.46909 88.4437) + (xy 220.522625 88.435938) + (xy 220.664767 88.415329) + (xy 220.66477 88.415328) + (xy 220.669255 88.414678) + (xy 220.765017 88.382171) + (xy 220.856482 88.351123) + (xy 220.856485 88.351121) + (xy 220.860779 88.349664) + (xy 221.037249 88.250837) + (xy 221.192753 88.121505) + (xy 221.322085 87.966001) + (xy 221.420912 87.789531) + (xy 221.424179 87.779909) + (xy 221.484467 87.602304) + (xy 221.485926 87.598007) + (xy 221.49787 87.515633) + (xy 221.514531 87.400717) + (xy 221.514948 87.397842) + (xy 221.516311 87.345813) + (xy 221.516387 87.342913) + (xy 221.516387 87.342908) + (xy 221.516463 87.34) + (xy 221.513805 87.311069) + (xy 223.606412 87.311069) + (xy 223.61964 87.512894) + (xy 223.669426 87.708928) + (xy 223.754104 87.892607) + (xy 223.870836 88.05778) + (xy 224.015714 88.198913) + (xy 224.183885 88.311282) + (xy 224.369718 88.391122) + (xy 224.566988 88.43576) + (xy 224.76909 88.4437) + (xy 224.822625 88.435938) + (xy 224.964767 88.415329) + (xy 224.96477 88.415328) + (xy 224.969255 88.414678) + (xy 225.065017 88.382171) + (xy 225.156482 88.351123) + (xy 225.156485 88.351121) + (xy 225.160779 88.349664) + (xy 225.337249 88.250837) + (xy 225.492753 88.121505) + (xy 225.622085 87.966001) + (xy 225.720912 87.789531) + (xy 225.724179 87.779909) + (xy 225.784467 87.602304) + (xy 225.785926 87.598007) + (xy 225.79787 87.515633) + (xy 225.814531 87.400717) + (xy 225.814948 87.397842) + (xy 225.816311 87.345813) + (xy 225.816387 87.342913) + (xy 225.816387 87.342908) + (xy 225.816463 87.34) + (xy 225.813805 87.311069) + (xy 227.906412 87.311069) + (xy 227.91964 87.512894) + (xy 227.969426 87.708928) + (xy 228.054104 87.892607) + (xy 228.170836 88.05778) + (xy 228.315714 88.198913) + (xy 228.483885 88.311282) + (xy 228.669718 88.391122) + (xy 228.866988 88.43576) + (xy 229.06909 88.4437) + (xy 229.122625 88.435938) + (xy 229.264767 88.415329) + (xy 229.26477 88.415328) + (xy 229.269255 88.414678) + (xy 229.365017 88.382171) + (xy 229.456482 88.351123) + (xy 229.456485 88.351121) + (xy 229.460779 88.349664) + (xy 229.637249 88.250837) + (xy 229.792753 88.121505) + (xy 229.922085 87.966001) + (xy 230.020912 87.789531) + (xy 230.024179 87.779909) + (xy 230.084467 87.602304) + (xy 230.085926 87.598007) + (xy 230.09787 87.515633) + (xy 230.114531 87.400717) + (xy 230.114948 87.397842) + (xy 230.116311 87.345813) + (xy 230.116387 87.342913) + (xy 230.116387 87.342908) + (xy 230.116463 87.34) + (xy 230.113805 87.311069) + (xy 232.206412 87.311069) + (xy 232.21964 87.512894) + (xy 232.269426 87.708928) + (xy 232.354104 87.892607) + (xy 232.470836 88.05778) + (xy 232.615714 88.198913) + (xy 232.783885 88.311282) + (xy 232.969718 88.391122) + (xy 233.166988 88.43576) + (xy 233.36909 88.4437) + (xy 233.422625 88.435938) + (xy 233.564767 88.415329) + (xy 233.56477 88.415328) + (xy 233.569255 88.414678) + (xy 233.665017 88.382171) + (xy 233.756482 88.351123) + (xy 233.756485 88.351121) + (xy 233.760779 88.349664) + (xy 233.937249 88.250837) + (xy 234.092753 88.121505) + (xy 234.222085 87.966001) + (xy 234.320912 87.789531) + (xy 234.324179 87.779909) + (xy 234.384467 87.602304) + (xy 234.385926 87.598007) + (xy 234.39787 87.515633) + (xy 234.414531 87.400717) + (xy 234.414948 87.397842) + (xy 234.416311 87.345813) + (xy 234.416387 87.342913) + (xy 234.416387 87.342908) + (xy 234.416463 87.34) + (xy 234.413805 87.311069) + (xy 236.506412 87.311069) + (xy 236.51964 87.512894) + (xy 236.569426 87.708928) + (xy 236.654104 87.892607) + (xy 236.770836 88.05778) + (xy 236.915714 88.198913) + (xy 237.083885 88.311282) + (xy 237.269718 88.391122) + (xy 237.466988 88.43576) + (xy 237.66909 88.4437) + (xy 237.722625 88.435938) + (xy 237.864767 88.415329) + (xy 237.86477 88.415328) + (xy 237.869255 88.414678) + (xy 237.965017 88.382171) + (xy 238.056482 88.351123) + (xy 238.056485 88.351121) + (xy 238.060779 88.349664) + (xy 238.237249 88.250837) + (xy 238.392753 88.121505) + (xy 238.522085 87.966001) + (xy 238.620912 87.789531) + (xy 238.624179 87.779909) + (xy 238.684467 87.602304) + (xy 238.685926 87.598007) + (xy 238.69787 87.515633) + (xy 238.714531 87.400717) + (xy 238.714948 87.397842) + (xy 238.716311 87.345813) + (xy 238.716387 87.342913) + (xy 238.716387 87.342908) + (xy 238.716463 87.34) + (xy 238.713805 87.311069) + (xy 240.806412 87.311069) + (xy 240.81964 87.512894) + (xy 240.869426 87.708928) + (xy 240.954104 87.892607) + (xy 241.070836 88.05778) + (xy 241.215714 88.198913) + (xy 241.383885 88.311282) + (xy 241.569718 88.391122) + (xy 241.766988 88.43576) + (xy 241.96909 88.4437) + (xy 242.022625 88.435938) + (xy 242.164767 88.415329) + (xy 242.16477 88.415328) + (xy 242.169255 88.414678) + (xy 242.265017 88.382171) + (xy 242.356482 88.351123) + (xy 242.356485 88.351121) + (xy 242.360779 88.349664) + (xy 242.537249 88.250837) + (xy 242.692753 88.121505) + (xy 242.822085 87.966001) + (xy 242.920912 87.789531) + (xy 242.924179 87.779909) + (xy 242.984467 87.602304) + (xy 242.985926 87.598007) + (xy 242.99787 87.515633) + (xy 243.014531 87.400717) + (xy 243.014948 87.397842) + (xy 243.016311 87.345813) + (xy 243.016387 87.342913) + (xy 243.016387 87.342908) + (xy 243.016463 87.34) + (xy 243.013805 87.311069) + (xy 245.106412 87.311069) + (xy 245.11964 87.512894) + (xy 245.169426 87.708928) + (xy 245.254104 87.892607) + (xy 245.370836 88.05778) + (xy 245.515714 88.198913) + (xy 245.683885 88.311282) + (xy 245.869718 88.391122) + (xy 246.066988 88.43576) + (xy 246.26909 88.4437) + (xy 246.322625 88.435938) + (xy 246.464767 88.415329) + (xy 246.46477 88.415328) + (xy 246.469255 88.414678) + (xy 246.565017 88.382171) + (xy 246.656482 88.351123) + (xy 246.656485 88.351121) + (xy 246.660779 88.349664) + (xy 246.837249 88.250837) + (xy 246.992753 88.121505) + (xy 247.122085 87.966001) + (xy 247.220912 87.789531) + (xy 247.224179 87.779909) + (xy 247.284467 87.602304) + (xy 247.285926 87.598007) + (xy 247.29787 87.515633) + (xy 247.314531 87.400717) + (xy 247.314948 87.397842) + (xy 247.316311 87.345813) + (xy 247.316387 87.342913) + (xy 247.316387 87.342908) + (xy 247.316463 87.34) + (xy 247.313805 87.311069) + (xy 249.406412 87.311069) + (xy 249.41964 87.512894) + (xy 249.469426 87.708928) + (xy 249.554104 87.892607) + (xy 249.670836 88.05778) + (xy 249.815714 88.198913) + (xy 249.983885 88.311282) + (xy 250.169718 88.391122) + (xy 250.366988 88.43576) + (xy 250.56909 88.4437) + (xy 250.622625 88.435938) + (xy 250.764767 88.415329) + (xy 250.76477 88.415328) + (xy 250.769255 88.414678) + (xy 250.865017 88.382171) + (xy 250.956482 88.351123) + (xy 250.956485 88.351121) + (xy 250.960779 88.349664) + (xy 251.137249 88.250837) + (xy 251.292753 88.121505) + (xy 251.422085 87.966001) + (xy 251.520912 87.789531) + (xy 251.524179 87.779909) + (xy 251.584467 87.602304) + (xy 251.585926 87.598007) + (xy 251.59787 87.515633) + (xy 251.614531 87.400717) + (xy 251.614948 87.397842) + (xy 251.616311 87.345813) + (xy 251.616387 87.342913) + (xy 251.616387 87.342908) + (xy 251.616463 87.34) + (xy 251.613805 87.311069) + (xy 253.706412 87.311069) + (xy 253.71964 87.512894) + (xy 253.769426 87.708928) + (xy 253.854104 87.892607) + (xy 253.970836 88.05778) + (xy 254.115714 88.198913) + (xy 254.283885 88.311282) + (xy 254.469718 88.391122) + (xy 254.666988 88.43576) + (xy 254.86909 88.4437) + (xy 254.922625 88.435938) + (xy 255.064767 88.415329) + (xy 255.06477 88.415328) + (xy 255.069255 88.414678) + (xy 255.165017 88.382171) + (xy 255.256482 88.351123) + (xy 255.256485 88.351121) + (xy 255.260779 88.349664) + (xy 255.437249 88.250837) + (xy 255.592753 88.121505) + (xy 255.722085 87.966001) + (xy 255.820912 87.789531) + (xy 255.824179 87.779909) + (xy 255.884467 87.602304) + (xy 255.885926 87.598007) + (xy 255.89787 87.515633) + (xy 255.914531 87.400717) + (xy 255.914948 87.397842) + (xy 255.916311 87.345813) + (xy 255.916387 87.342913) + (xy 255.916387 87.342908) + (xy 255.916463 87.34) + (xy 255.913805 87.311069) + (xy 258.006412 87.311069) + (xy 258.01964 87.512894) + (xy 258.069426 87.708928) + (xy 258.154104 87.892607) + (xy 258.270836 88.05778) + (xy 258.415714 88.198913) + (xy 258.583885 88.311282) + (xy 258.769718 88.391122) + (xy 258.966988 88.43576) + (xy 259.16909 88.4437) + (xy 259.222625 88.435938) + (xy 259.364767 88.415329) + (xy 259.36477 88.415328) + (xy 259.369255 88.414678) + (xy 259.465017 88.382171) + (xy 259.556482 88.351123) + (xy 259.556485 88.351121) + (xy 259.560779 88.349664) + (xy 259.737249 88.250837) + (xy 259.892753 88.121505) + (xy 259.995201 87.998325) + (xy 277.198714 87.998325) + (xy 277.198851 88.001816) + (xy 277.198851 88.001821) + (xy 277.203417 88.118011) + (xy 277.209603 88.275464) + (xy 277.240296 88.443522) + (xy 277.25546 88.526552) + (xy 277.259432 88.548303) + (xy 277.260539 88.551621) + (xy 277.26054 88.551625) + (xy 277.34389 88.801456) + (xy 277.347208 88.8114) + (xy 277.471178 89.059504) + (xy 277.628871 89.287666) + (xy 277.817138 89.491332) + (xy 277.819848 89.493539) + (xy 277.819852 89.493542) + (xy 277.967099 89.61342) + (xy 278.032223 89.666439) + (xy 278.269836 89.809494) + (xy 278.525235 89.917641) + (xy 278.793324 89.988724) + (xy 278.796797 89.989135) + (xy 278.796802 89.989136) + (xy 279.00869 90.014214) + (xy 279.068754 90.021323) + (xy 279.072243 90.021241) + (xy 279.072248 90.021241) + (xy 279.198534 90.018265) + (xy 279.34603 90.014789) + (xy 279.619619 89.969251) + (xy 279.622951 89.968197) + (xy 279.622956 89.968196) + (xy 279.721699 89.936968) + (xy 279.884062 89.885619) + (xy 279.88722 89.884103) + (xy 279.887224 89.884101) + (xy 280.130922 89.767079) + (xy 280.130923 89.767078) + (xy 280.134083 89.765561) + (xy 280.364693 89.611472) + (xy 280.367307 89.609131) + (xy 280.56868 89.428766) + (xy 280.568683 89.428763) + (xy 280.571291 89.426427) + (xy 280.749755 89.214118) + (xy 280.865629 89.02832) + (xy 280.894672 88.981752) + (xy 280.894673 88.981749) + (xy 280.896524 88.978782) + (xy 280.906303 88.956664) + (xy 280.977365 88.795923) + (xy 281.00867 88.725113) + (xy 281.019846 88.685488) + (xy 281.06467 88.526552) + (xy 281.083955 88.458173) + (xy 281.120876 88.183289) + (xy 281.124751 88.06) + (xy 281.120384 87.998325) + (xy 281.10541 87.786836) + (xy 281.105409 87.78683) + (xy 281.105162 87.78334) + (xy 281.046788 87.5122) + (xy 280.950791 87.25199) + (xy 280.865538 87.093989) + (xy 280.820749 87.01098) + (xy 280.820748 87.010978) + (xy 280.819088 87.007902) + (xy 280.815109 87.002514) + (xy 280.736698 86.896355) + (xy 280.654307 86.784807) + (xy 280.639064 86.769322) + (xy 280.472177 86.599793) + (xy 280.459736 86.587155) + (xy 280.239256 86.41889) + (xy 280.102762 86.342449) + (xy 280.000317 86.285077) + (xy 280.000316 86.285077) + (xy 279.997267 86.283369) + (xy 279.838664 86.222011) + (xy 279.74187 86.184564) + (xy 279.741867 86.184563) + (xy 279.738597 86.183298) + (xy 279.735184 86.182507) + (xy 279.735181 86.182506) + (xy 279.57534 86.145457) + (xy 279.468408 86.120671) + (xy 279.330249 86.108705) + (xy 279.195577 86.097041) + (xy 279.195576 86.097041) + (xy 279.192089 86.096739) + (xy 279.073293 86.103277) + (xy 278.918655 86.111787) + (xy 278.918649 86.111788) + (xy 278.915156 86.11198) + (xy 278.643132 86.166089) + (xy 278.381447 86.257986) + (xy 278.378346 86.259597) + (xy 278.378341 86.259599) + (xy 278.246597 86.328035) + (xy 278.135321 86.385838) + (xy 278.13248 86.387868) + (xy 278.132477 86.38787) + (xy 277.912514 86.545058) + (xy 277.912511 86.545061) + (xy 277.909664 86.547095) + (xy 277.907131 86.549511) + (xy 277.907129 86.549513) + (xy 277.711518 86.736116) + (xy 277.711512 86.736123) + (xy 277.70898 86.738538) + (xy 277.537273 86.956348) + (xy 277.535518 86.95937) + (xy 277.535517 86.959371) + (xy 277.422698 87.153603) + (xy 277.397968 87.196178) + (xy 277.293846 87.453244) + (xy 277.226983 87.722417) + (xy 277.198714 87.998325) + (xy 259.995201 87.998325) + (xy 260.022085 87.966001) + (xy 260.120912 87.789531) + (xy 260.124179 87.779909) + (xy 260.184467 87.602304) + (xy 260.185926 87.598007) + (xy 260.19787 87.515633) + (xy 260.214531 87.400717) + (xy 260.214948 87.397842) + (xy 260.216311 87.345813) + (xy 260.216387 87.342913) + (xy 260.216387 87.342908) + (xy 260.216463 87.34) + (xy 260.21348 87.307529) + (xy 260.198371 87.143109) + (xy 260.197956 87.138591) + (xy 260.143055 86.943926) + (xy 260.053599 86.762527) + (xy 259.932583 86.600467) + (xy 259.78406 86.463174) + (xy 259.761373 86.448859) + (xy 259.616842 86.357667) + (xy 259.613005 86.355246) + (xy 259.425146 86.280298) + (xy 259.226774 86.240839) + (xy 259.127178 86.239535) + (xy 259.029074 86.238251) + (xy 259.029069 86.238251) + (xy 259.024534 86.238192) + (xy 259.020061 86.238961) + (xy 259.020056 86.238961) + (xy 258.921493 86.255898) + (xy 258.825197 86.272444) + (xy 258.635441 86.342449) + (xy 258.461619 86.445862) + (xy 258.458204 86.448857) + (xy 258.458201 86.448859) + (xy 258.442014 86.463055) + (xy 258.309553 86.57922) + (xy 258.184337 86.738057) + (xy 258.090162 86.917053) + (xy 258.088817 86.921384) + (xy 258.088816 86.921387) + (xy 258.035223 87.093989) + (xy 258.030185 87.110213) + (xy 258.025142 87.152823) + (xy 258.01377 87.248906) + (xy 258.006412 87.311069) + (xy 255.913805 87.311069) + (xy 255.91348 87.307529) + (xy 255.898371 87.143109) + (xy 255.897956 87.138591) + (xy 255.843055 86.943926) + (xy 255.753599 86.762527) + (xy 255.632583 86.600467) + (xy 255.48406 86.463174) + (xy 255.461373 86.448859) + (xy 255.316842 86.357667) + (xy 255.313005 86.355246) + (xy 255.125146 86.280298) + (xy 254.926774 86.240839) + (xy 254.827178 86.239535) + (xy 254.729074 86.238251) + (xy 254.729069 86.238251) + (xy 254.724534 86.238192) + (xy 254.720061 86.238961) + (xy 254.720056 86.238961) + (xy 254.621493 86.255898) + (xy 254.525197 86.272444) + (xy 254.335441 86.342449) + (xy 254.161619 86.445862) + (xy 254.158204 86.448857) + (xy 254.158201 86.448859) + (xy 254.142014 86.463055) + (xy 254.009553 86.57922) + (xy 253.884337 86.738057) + (xy 253.790162 86.917053) + (xy 253.788817 86.921384) + (xy 253.788816 86.921387) + (xy 253.735223 87.093989) + (xy 253.730185 87.110213) + (xy 253.725142 87.152823) + (xy 253.71377 87.248906) + (xy 253.706412 87.311069) + (xy 251.613805 87.311069) + (xy 251.61348 87.307529) + (xy 251.598371 87.143109) + (xy 251.597956 87.138591) + (xy 251.543055 86.943926) + (xy 251.453599 86.762527) + (xy 251.332583 86.600467) + (xy 251.18406 86.463174) + (xy 251.161373 86.448859) + (xy 251.016842 86.357667) + (xy 251.013005 86.355246) + (xy 250.825146 86.280298) + (xy 250.626774 86.240839) + (xy 250.527178 86.239535) + (xy 250.429074 86.238251) + (xy 250.429069 86.238251) + (xy 250.424534 86.238192) + (xy 250.420061 86.238961) + (xy 250.420056 86.238961) + (xy 250.321493 86.255898) + (xy 250.225197 86.272444) + (xy 250.035441 86.342449) + (xy 249.861619 86.445862) + (xy 249.858204 86.448857) + (xy 249.858201 86.448859) + (xy 249.842014 86.463055) + (xy 249.709553 86.57922) + (xy 249.584337 86.738057) + (xy 249.490162 86.917053) + (xy 249.488817 86.921384) + (xy 249.488816 86.921387) + (xy 249.435223 87.093989) + (xy 249.430185 87.110213) + (xy 249.425142 87.152823) + (xy 249.41377 87.248906) + (xy 249.406412 87.311069) + (xy 247.313805 87.311069) + (xy 247.31348 87.307529) + (xy 247.298371 87.143109) + (xy 247.297956 87.138591) + (xy 247.243055 86.943926) + (xy 247.153599 86.762527) + (xy 247.032583 86.600467) + (xy 246.88406 86.463174) + (xy 246.861373 86.448859) + (xy 246.716842 86.357667) + (xy 246.713005 86.355246) + (xy 246.525146 86.280298) + (xy 246.326774 86.240839) + (xy 246.227178 86.239535) + (xy 246.129074 86.238251) + (xy 246.129069 86.238251) + (xy 246.124534 86.238192) + (xy 246.120061 86.238961) + (xy 246.120056 86.238961) + (xy 246.021493 86.255898) + (xy 245.925197 86.272444) + (xy 245.735441 86.342449) + (xy 245.561619 86.445862) + (xy 245.558204 86.448857) + (xy 245.558201 86.448859) + (xy 245.542014 86.463055) + (xy 245.409553 86.57922) + (xy 245.284337 86.738057) + (xy 245.190162 86.917053) + (xy 245.188817 86.921384) + (xy 245.188816 86.921387) + (xy 245.135223 87.093989) + (xy 245.130185 87.110213) + (xy 245.125142 87.152823) + (xy 245.11377 87.248906) + (xy 245.106412 87.311069) + (xy 243.013805 87.311069) + (xy 243.01348 87.307529) + (xy 242.998371 87.143109) + (xy 242.997956 87.138591) + (xy 242.943055 86.943926) + (xy 242.853599 86.762527) + (xy 242.732583 86.600467) + (xy 242.58406 86.463174) + (xy 242.561373 86.448859) + (xy 242.416842 86.357667) + (xy 242.413005 86.355246) + (xy 242.225146 86.280298) + (xy 242.026774 86.240839) + (xy 241.927178 86.239535) + (xy 241.829074 86.238251) + (xy 241.829069 86.238251) + (xy 241.824534 86.238192) + (xy 241.820061 86.238961) + (xy 241.820056 86.238961) + (xy 241.721493 86.255898) + (xy 241.625197 86.272444) + (xy 241.435441 86.342449) + (xy 241.261619 86.445862) + (xy 241.258204 86.448857) + (xy 241.258201 86.448859) + (xy 241.242014 86.463055) + (xy 241.109553 86.57922) + (xy 240.984337 86.738057) + (xy 240.890162 86.917053) + (xy 240.888817 86.921384) + (xy 240.888816 86.921387) + (xy 240.835223 87.093989) + (xy 240.830185 87.110213) + (xy 240.825142 87.152823) + (xy 240.81377 87.248906) + (xy 240.806412 87.311069) + (xy 238.713805 87.311069) + (xy 238.71348 87.307529) + (xy 238.698371 87.143109) + (xy 238.697956 87.138591) + (xy 238.643055 86.943926) + (xy 238.553599 86.762527) + (xy 238.432583 86.600467) + (xy 238.28406 86.463174) + (xy 238.261373 86.448859) + (xy 238.116842 86.357667) + (xy 238.113005 86.355246) + (xy 237.925146 86.280298) + (xy 237.726774 86.240839) + (xy 237.627178 86.239535) + (xy 237.529074 86.238251) + (xy 237.529069 86.238251) + (xy 237.524534 86.238192) + (xy 237.520061 86.238961) + (xy 237.520056 86.238961) + (xy 237.421493 86.255898) + (xy 237.325197 86.272444) + (xy 237.135441 86.342449) + (xy 236.961619 86.445862) + (xy 236.958204 86.448857) + (xy 236.958201 86.448859) + (xy 236.942014 86.463055) + (xy 236.809553 86.57922) + (xy 236.684337 86.738057) + (xy 236.590162 86.917053) + (xy 236.588817 86.921384) + (xy 236.588816 86.921387) + (xy 236.535223 87.093989) + (xy 236.530185 87.110213) + (xy 236.525142 87.152823) + (xy 236.51377 87.248906) + (xy 236.506412 87.311069) + (xy 234.413805 87.311069) + (xy 234.41348 87.307529) + (xy 234.398371 87.143109) + (xy 234.397956 87.138591) + (xy 234.343055 86.943926) + (xy 234.253599 86.762527) + (xy 234.132583 86.600467) + (xy 233.98406 86.463174) + (xy 233.961373 86.448859) + (xy 233.816842 86.357667) + (xy 233.813005 86.355246) + (xy 233.625146 86.280298) + (xy 233.426774 86.240839) + (xy 233.327178 86.239535) + (xy 233.229074 86.238251) + (xy 233.229069 86.238251) + (xy 233.224534 86.238192) + (xy 233.220061 86.238961) + (xy 233.220056 86.238961) + (xy 233.121493 86.255898) + (xy 233.025197 86.272444) + (xy 232.835441 86.342449) + (xy 232.661619 86.445862) + (xy 232.658204 86.448857) + (xy 232.658201 86.448859) + (xy 232.642014 86.463055) + (xy 232.509553 86.57922) + (xy 232.384337 86.738057) + (xy 232.290162 86.917053) + (xy 232.288817 86.921384) + (xy 232.288816 86.921387) + (xy 232.235223 87.093989) + (xy 232.230185 87.110213) + (xy 232.225142 87.152823) + (xy 232.21377 87.248906) + (xy 232.206412 87.311069) + (xy 230.113805 87.311069) + (xy 230.11348 87.307529) + (xy 230.098371 87.143109) + (xy 230.097956 87.138591) + (xy 230.043055 86.943926) + (xy 229.953599 86.762527) + (xy 229.832583 86.600467) + (xy 229.68406 86.463174) + (xy 229.661373 86.448859) + (xy 229.516842 86.357667) + (xy 229.513005 86.355246) + (xy 229.325146 86.280298) + (xy 229.126774 86.240839) + (xy 229.027178 86.239535) + (xy 228.929074 86.238251) + (xy 228.929069 86.238251) + (xy 228.924534 86.238192) + (xy 228.920061 86.238961) + (xy 228.920056 86.238961) + (xy 228.821493 86.255898) + (xy 228.725197 86.272444) + (xy 228.535441 86.342449) + (xy 228.361619 86.445862) + (xy 228.358204 86.448857) + (xy 228.358201 86.448859) + (xy 228.342014 86.463055) + (xy 228.209553 86.57922) + (xy 228.084337 86.738057) + (xy 227.990162 86.917053) + (xy 227.988817 86.921384) + (xy 227.988816 86.921387) + (xy 227.935223 87.093989) + (xy 227.930185 87.110213) + (xy 227.925142 87.152823) + (xy 227.91377 87.248906) + (xy 227.906412 87.311069) + (xy 225.813805 87.311069) + (xy 225.81348 87.307529) + (xy 225.798371 87.143109) + (xy 225.797956 87.138591) + (xy 225.743055 86.943926) + (xy 225.653599 86.762527) + (xy 225.532583 86.600467) + (xy 225.38406 86.463174) + (xy 225.361373 86.448859) + (xy 225.216842 86.357667) + (xy 225.213005 86.355246) + (xy 225.025146 86.280298) + (xy 224.826774 86.240839) + (xy 224.727178 86.239535) + (xy 224.629074 86.238251) + (xy 224.629069 86.238251) + (xy 224.624534 86.238192) + (xy 224.620061 86.238961) + (xy 224.620056 86.238961) + (xy 224.521493 86.255898) + (xy 224.425197 86.272444) + (xy 224.235441 86.342449) + (xy 224.061619 86.445862) + (xy 224.058204 86.448857) + (xy 224.058201 86.448859) + (xy 224.042014 86.463055) + (xy 223.909553 86.57922) + (xy 223.784337 86.738057) + (xy 223.690162 86.917053) + (xy 223.688817 86.921384) + (xy 223.688816 86.921387) + (xy 223.635223 87.093989) + (xy 223.630185 87.110213) + (xy 223.625142 87.152823) + (xy 223.61377 87.248906) + (xy 223.606412 87.311069) + (xy 221.513805 87.311069) + (xy 221.51348 87.307529) + (xy 221.498371 87.143109) + (xy 221.497956 87.138591) + (xy 221.443055 86.943926) + (xy 221.353599 86.762527) + (xy 221.232583 86.600467) + (xy 221.08406 86.463174) + (xy 221.061373 86.448859) + (xy 220.916842 86.357667) + (xy 220.913005 86.355246) + (xy 220.725146 86.280298) + (xy 220.526774 86.240839) + (xy 220.427178 86.239535) + (xy 220.329074 86.238251) + (xy 220.329069 86.238251) + (xy 220.324534 86.238192) + (xy 220.320061 86.238961) + (xy 220.320056 86.238961) + (xy 220.221493 86.255898) + (xy 220.125197 86.272444) + (xy 219.935441 86.342449) + (xy 219.761619 86.445862) + (xy 219.758204 86.448857) + (xy 219.758201 86.448859) + (xy 219.742014 86.463055) + (xy 219.609553 86.57922) + (xy 219.484337 86.738057) + (xy 219.390162 86.917053) + (xy 219.388817 86.921384) + (xy 219.388816 86.921387) + (xy 219.335223 87.093989) + (xy 219.330185 87.110213) + (xy 219.325142 87.152823) + (xy 219.31377 87.248906) + (xy 219.306412 87.311069) + (xy 217.213805 87.311069) + (xy 217.21348 87.307529) + (xy 217.198371 87.143109) + (xy 217.197956 87.138591) + (xy 217.143055 86.943926) + (xy 217.053599 86.762527) + (xy 216.932583 86.600467) + (xy 216.78406 86.463174) + (xy 216.761373 86.448859) + (xy 216.616842 86.357667) + (xy 216.613005 86.355246) + (xy 216.425146 86.280298) + (xy 216.226774 86.240839) + (xy 216.127178 86.239535) + (xy 216.029074 86.238251) + (xy 216.029069 86.238251) + (xy 216.024534 86.238192) + (xy 216.020061 86.238961) + (xy 216.020056 86.238961) + (xy 215.921493 86.255898) + (xy 215.825197 86.272444) + (xy 215.635441 86.342449) + (xy 215.461619 86.445862) + (xy 215.458204 86.448857) + (xy 215.458201 86.448859) + (xy 215.442014 86.463055) + (xy 215.309553 86.57922) + (xy 215.184337 86.738057) + (xy 215.090162 86.917053) + (xy 215.088817 86.921384) + (xy 215.088816 86.921387) + (xy 215.035223 87.093989) + (xy 215.030185 87.110213) + (xy 215.025142 87.152823) + (xy 215.01377 87.248906) + (xy 215.006412 87.311069) + (xy 212.913805 87.311069) + (xy 212.91348 87.307529) + (xy 212.898371 87.143109) + (xy 212.897956 87.138591) + (xy 212.843055 86.943926) + (xy 212.753599 86.762527) + (xy 212.632583 86.600467) + (xy 212.48406 86.463174) + (xy 212.461373 86.448859) + (xy 212.316842 86.357667) + (xy 212.313005 86.355246) + (xy 212.125146 86.280298) + (xy 211.926774 86.240839) + (xy 211.827178 86.239535) + (xy 211.729074 86.238251) + (xy 211.729069 86.238251) + (xy 211.724534 86.238192) + (xy 211.720061 86.238961) + (xy 211.720056 86.238961) + (xy 211.621493 86.255898) + (xy 211.525197 86.272444) + (xy 211.335441 86.342449) + (xy 211.161619 86.445862) + (xy 211.158204 86.448857) + (xy 211.158201 86.448859) + (xy 211.142014 86.463055) + (xy 211.009553 86.57922) + (xy 210.884337 86.738057) + (xy 210.790162 86.917053) + (xy 210.788817 86.921384) + (xy 210.788816 86.921387) + (xy 210.735223 87.093989) + (xy 210.730185 87.110213) + (xy 210.725142 87.152823) + (xy 210.71377 87.248906) + (xy 210.706412 87.311069) + (xy 195.113805 87.311069) + (xy 195.11348 87.307529) + (xy 195.098371 87.143109) + (xy 195.097956 87.138591) + (xy 195.043055 86.943926) + (xy 194.953599 86.762527) + (xy 194.832583 86.600467) + (xy 194.68406 86.463174) + (xy 194.661373 86.448859) + (xy 194.516842 86.357667) + (xy 194.513005 86.355246) + (xy 194.325146 86.280298) + (xy 194.126774 86.240839) + (xy 194.027178 86.239535) + (xy 193.929074 86.238251) + (xy 193.929069 86.238251) + (xy 193.924534 86.238192) + (xy 193.920061 86.238961) + (xy 193.920056 86.238961) + (xy 193.821493 86.255898) + (xy 193.725197 86.272444) + (xy 193.535441 86.342449) + (xy 193.361619 86.445862) + (xy 193.358204 86.448857) + (xy 193.358201 86.448859) + (xy 193.342014 86.463055) + (xy 193.209553 86.57922) + (xy 193.084337 86.738057) + (xy 192.990162 86.917053) + (xy 192.988817 86.921384) + (xy 192.988816 86.921387) + (xy 192.935223 87.093989) + (xy 192.930185 87.110213) + (xy 192.925142 87.152823) + (xy 192.91377 87.248906) + (xy 192.906412 87.311069) + (xy 190.813805 87.311069) + (xy 190.81348 87.307529) + (xy 190.798371 87.143109) + (xy 190.797956 87.138591) + (xy 190.743055 86.943926) + (xy 190.653599 86.762527) + (xy 190.532583 86.600467) + (xy 190.38406 86.463174) + (xy 190.361373 86.448859) + (xy 190.216842 86.357667) + (xy 190.213005 86.355246) + (xy 190.025146 86.280298) + (xy 189.826774 86.240839) + (xy 189.727178 86.239535) + (xy 189.629074 86.238251) + (xy 189.629069 86.238251) + (xy 189.624534 86.238192) + (xy 189.620061 86.238961) + (xy 189.620056 86.238961) + (xy 189.521493 86.255898) + (xy 189.425197 86.272444) + (xy 189.235441 86.342449) + (xy 189.061619 86.445862) + (xy 189.058204 86.448857) + (xy 189.058201 86.448859) + (xy 189.042014 86.463055) + (xy 188.909553 86.57922) + (xy 188.784337 86.738057) + (xy 188.690162 86.917053) + (xy 188.688817 86.921384) + (xy 188.688816 86.921387) + (xy 188.635223 87.093989) + (xy 188.630185 87.110213) + (xy 188.625142 87.152823) + (xy 188.61377 87.248906) + (xy 188.606412 87.311069) + (xy 186.513805 87.311069) + (xy 186.51348 87.307529) + (xy 186.498371 87.143109) + (xy 186.497956 87.138591) + (xy 186.443055 86.943926) + (xy 186.353599 86.762527) + (xy 186.232583 86.600467) + (xy 186.08406 86.463174) + (xy 186.061373 86.448859) + (xy 185.916842 86.357667) + (xy 185.913005 86.355246) + (xy 185.725146 86.280298) + (xy 185.526774 86.240839) + (xy 185.427178 86.239535) + (xy 185.329074 86.238251) + (xy 185.329069 86.238251) + (xy 185.324534 86.238192) + (xy 185.320061 86.238961) + (xy 185.320056 86.238961) + (xy 185.221493 86.255898) + (xy 185.125197 86.272444) + (xy 184.935441 86.342449) + (xy 184.761619 86.445862) + (xy 184.758204 86.448857) + (xy 184.758201 86.448859) + (xy 184.742014 86.463055) + (xy 184.609553 86.57922) + (xy 184.484337 86.738057) + (xy 184.390162 86.917053) + (xy 184.388817 86.921384) + (xy 184.388816 86.921387) + (xy 184.335223 87.093989) + (xy 184.330185 87.110213) + (xy 184.325142 87.152823) + (xy 184.31377 87.248906) + (xy 184.306412 87.311069) + (xy 182.213805 87.311069) + (xy 182.21348 87.307529) + (xy 182.198371 87.143109) + (xy 182.197956 87.138591) + (xy 182.143055 86.943926) + (xy 182.053599 86.762527) + (xy 181.932583 86.600467) + (xy 181.78406 86.463174) + (xy 181.761373 86.448859) + (xy 181.616842 86.357667) + (xy 181.613005 86.355246) + (xy 181.425146 86.280298) + (xy 181.226774 86.240839) + (xy 181.127178 86.239535) + (xy 181.029074 86.238251) + (xy 181.029069 86.238251) + (xy 181.024534 86.238192) + (xy 181.020061 86.238961) + (xy 181.020056 86.238961) + (xy 180.921493 86.255898) + (xy 180.825197 86.272444) + (xy 180.635441 86.342449) + (xy 180.461619 86.445862) + (xy 180.458204 86.448857) + (xy 180.458201 86.448859) + (xy 180.442014 86.463055) + (xy 180.309553 86.57922) + (xy 180.184337 86.738057) + (xy 180.090162 86.917053) + (xy 180.088817 86.921384) + (xy 180.088816 86.921387) + (xy 180.035223 87.093989) + (xy 180.030185 87.110213) + (xy 180.025142 87.152823) + (xy 180.01377 87.248906) + (xy 180.006412 87.311069) + (xy 177.913805 87.311069) + (xy 177.91348 87.307529) + (xy 177.898371 87.143109) + (xy 177.897956 87.138591) + (xy 177.843055 86.943926) + (xy 177.753599 86.762527) + (xy 177.632583 86.600467) + (xy 177.48406 86.463174) + (xy 177.461373 86.448859) + (xy 177.316842 86.357667) + (xy 177.313005 86.355246) + (xy 177.125146 86.280298) + (xy 176.926774 86.240839) + (xy 176.827178 86.239535) + (xy 176.729074 86.238251) + (xy 176.729069 86.238251) + (xy 176.724534 86.238192) + (xy 176.720061 86.238961) + (xy 176.720056 86.238961) + (xy 176.621493 86.255898) + (xy 176.525197 86.272444) + (xy 176.335441 86.342449) + (xy 176.161619 86.445862) + (xy 176.158204 86.448857) + (xy 176.158201 86.448859) + (xy 176.142014 86.463055) + (xy 176.009553 86.57922) + (xy 175.884337 86.738057) + (xy 175.790162 86.917053) + (xy 175.788817 86.921384) + (xy 175.788816 86.921387) + (xy 175.735223 87.093989) + (xy 175.730185 87.110213) + (xy 175.725142 87.152823) + (xy 175.71377 87.248906) + (xy 175.706412 87.311069) + (xy 173.613805 87.311069) + (xy 173.61348 87.307529) + (xy 173.598371 87.143109) + (xy 173.597956 87.138591) + (xy 173.543055 86.943926) + (xy 173.453599 86.762527) + (xy 173.332583 86.600467) + (xy 173.18406 86.463174) + (xy 173.161373 86.448859) + (xy 173.016842 86.357667) + (xy 173.013005 86.355246) + (xy 172.825146 86.280298) + (xy 172.626774 86.240839) + (xy 172.527178 86.239535) + (xy 172.429074 86.238251) + (xy 172.429069 86.238251) + (xy 172.424534 86.238192) + (xy 172.420061 86.238961) + (xy 172.420056 86.238961) + (xy 172.321493 86.255898) + (xy 172.225197 86.272444) + (xy 172.035441 86.342449) + (xy 171.861619 86.445862) + (xy 171.858204 86.448857) + (xy 171.858201 86.448859) + (xy 171.842014 86.463055) + (xy 171.709553 86.57922) + (xy 171.584337 86.738057) + (xy 171.490162 86.917053) + (xy 171.488817 86.921384) + (xy 171.488816 86.921387) + (xy 171.435223 87.093989) + (xy 171.430185 87.110213) + (xy 171.425142 87.152823) + (xy 171.41377 87.248906) + (xy 171.406412 87.311069) + (xy 169.313805 87.311069) + (xy 169.31348 87.307529) + (xy 169.298371 87.143109) + (xy 169.297956 87.138591) + (xy 169.243055 86.943926) + (xy 169.153599 86.762527) + (xy 169.032583 86.600467) + (xy 168.88406 86.463174) + (xy 168.861373 86.448859) + (xy 168.716842 86.357667) + (xy 168.713005 86.355246) + (xy 168.525146 86.280298) + (xy 168.326774 86.240839) + (xy 168.227178 86.239535) + (xy 168.129074 86.238251) + (xy 168.129069 86.238251) + (xy 168.124534 86.238192) + (xy 168.120061 86.238961) + (xy 168.120056 86.238961) + (xy 168.021493 86.255898) + (xy 167.925197 86.272444) + (xy 167.735441 86.342449) + (xy 167.561619 86.445862) + (xy 167.558204 86.448857) + (xy 167.558201 86.448859) + (xy 167.542014 86.463055) + (xy 167.409553 86.57922) + (xy 167.284337 86.738057) + (xy 167.190162 86.917053) + (xy 167.188817 86.921384) + (xy 167.188816 86.921387) + (xy 167.135223 87.093989) + (xy 167.130185 87.110213) + (xy 167.125142 87.152823) + (xy 167.11377 87.248906) + (xy 167.106412 87.311069) + (xy 162.172586 87.311069) + (xy 162.150791 87.25199) + (xy 162.065538 87.093989) + (xy 162.020749 87.01098) + (xy 162.020748 87.010978) + (xy 162.019088 87.007902) + (xy 162.015109 87.002514) + (xy 161.936697 86.896354) + (xy 161.854307 86.784807) + (xy 161.839064 86.769322) + (xy 161.672177 86.599793) + (xy 161.659736 86.587155) + (xy 161.439256 86.41889) + (xy 161.302762 86.342449) + (xy 161.200317 86.285077) + (xy 161.200316 86.285077) + (xy 161.197267 86.283369) + (xy 161.038664 86.222011) + (xy 160.94187 86.184564) + (xy 160.941867 86.184563) + (xy 160.938597 86.183298) + (xy 160.935184 86.182507) + (xy 160.935181 86.182506) + (xy 160.77534 86.145457) + (xy 160.668408 86.120671) + (xy 160.530249 86.108705) + (xy 160.395577 86.097041) + (xy 160.395576 86.097041) + (xy 160.392089 86.096739) + (xy 160.273293 86.103277) + (xy 160.118655 86.111787) + (xy 160.118649 86.111788) + (xy 160.115156 86.11198) + (xy 159.843132 86.166089) + (xy 159.581447 86.257986) + (xy 159.578346 86.259597) + (xy 159.578341 86.259599) + (xy 159.446597 86.328035) + (xy 159.335321 86.385838) + (xy 159.33248 86.387868) + (xy 159.332477 86.38787) + (xy 159.112514 86.545058) + (xy 159.112511 86.545061) + (xy 159.109664 86.547095) + (xy 159.107131 86.549511) + (xy 159.107129 86.549513) + (xy 158.911518 86.736116) + (xy 158.911512 86.736123) + (xy 158.90898 86.738538) + (xy 158.737273 86.956348) + (xy 158.735518 86.95937) + (xy 158.735517 86.959371) + (xy 158.622698 87.153603) + (xy 158.597968 87.196178) + (xy 158.493846 87.453244) + (xy 158.426983 87.722417) + (xy 158.398714 87.998325) + (xy 137.344843 87.998325) + (xy 137.929309 87.413859) + (xy 137.983826 87.386082) + (xy 137.999313 87.384863) + (xy 146.406146 87.384863) + (xy 146.426634 87.387595) + (xy 146.426647 87.387508) + (xy 146.431282 87.388215) + (xy 146.43209 87.388323) + (xy 146.432221 87.388358) + (xy 146.43766 87.389835) + (xy 146.438375 87.389862) + (xy 146.440028 87.38955) + (xy 146.441092 87.389712) + (xy 146.441089 87.389349) + (xy 146.858835 87.386386) + (xy 146.858844 87.386386) + (xy 146.861034 87.38637) + (xy 147.281735 87.345813) + (xy 147.283891 87.345409) + (xy 147.2839 87.345408) + (xy 147.695008 87.268422) + (xy 147.69501 87.268422) + (xy 147.697165 87.268018) + (xy 147.941113 87.199418) + (xy 148.101913 87.1542) + (xy 148.101919 87.154198) + (xy 148.104035 87.153603) + (xy 148.106088 87.152823) + (xy 148.497076 87.00425) + (xy 148.497079 87.004249) + (xy 148.499123 87.003472) + (xy 148.50109 87.002517) + (xy 148.501096 87.002514) + (xy 148.87732 86.819776) + (xy 148.879301 86.818814) + (xy 148.894697 86.809561) + (xy 149.239676 86.602223) + (xy 149.239681 86.60222) + (xy 149.241559 86.601091) + (xy 149.275647 86.576228) + (xy 149.581243 86.353331) + (xy 149.581248 86.353327) + (xy 149.583029 86.352028) + (xy 149.604011 86.333656) + (xy 149.899359 86.075039) + (xy 149.901007 86.073596) + (xy 149.902514 86.072018) + (xy 149.902523 86.07201) + (xy 150.191455 85.76959) + (xy 150.191456 85.769589) + (xy 150.192974 85.768) + (xy 150.280037 85.658913) + (xy 150.455248 85.439379) + (xy 150.455256 85.439369) + (xy 150.45662 85.437659) + (xy 150.614173 85.199564) + (xy 150.68864 85.08703) + (xy 150.688645 85.087021) + (xy 150.689857 85.08519) + (xy 150.690903 85.083255) + (xy 150.859655 84.771069) + (xy 164.956412 84.771069) + (xy 164.956709 84.775597) + (xy 164.969183 84.965918) + (xy 164.96964 84.972894) + (xy 164.970756 84.977287) + (xy 164.970756 84.977289) + (xy 164.992005 85.060956) + (xy 165.019426 85.168928) + (xy 165.104104 85.352607) + (xy 165.220836 85.51778) + (xy 165.365714 85.658913) + (xy 165.533885 85.771282) + (xy 165.719718 85.851122) + (xy 165.916988 85.89576) + (xy 166.11909 85.9037) + (xy 166.172625 85.895938) + (xy 166.314767 85.875329) + (xy 166.31477 85.875328) + (xy 166.319255 85.874678) + (xy 166.415017 85.842171) + (xy 166.506482 85.811123) + (xy 166.506485 85.811121) + (xy 166.510779 85.809664) + (xy 166.671664 85.719565) + (xy 166.683287 85.713056) + (xy 166.683289 85.713055) + (xy 166.687249 85.710837) + (xy 166.842753 85.581505) + (xy 166.972085 85.426001) + (xy 167.070912 85.249531) + (xy 167.135926 85.058007) + (xy 167.164948 84.857842) + (xy 167.166463 84.8) + (xy 167.163805 84.771069) + (xy 169.256412 84.771069) + (xy 169.256709 84.775597) + (xy 169.269183 84.965918) + (xy 169.26964 84.972894) + (xy 169.270756 84.977287) + (xy 169.270756 84.977289) + (xy 169.292005 85.060956) + (xy 169.319426 85.168928) + (xy 169.404104 85.352607) + (xy 169.520836 85.51778) + (xy 169.665714 85.658913) + (xy 169.833885 85.771282) + (xy 170.019718 85.851122) + (xy 170.216988 85.89576) + (xy 170.41909 85.9037) + (xy 170.472625 85.895938) + (xy 170.614767 85.875329) + (xy 170.61477 85.875328) + (xy 170.619255 85.874678) + (xy 170.715017 85.842171) + (xy 170.806482 85.811123) + (xy 170.806485 85.811121) + (xy 170.810779 85.809664) + (xy 170.971664 85.719565) + (xy 170.983287 85.713056) + (xy 170.983289 85.713055) + (xy 170.987249 85.710837) + (xy 171.142753 85.581505) + (xy 171.272085 85.426001) + (xy 171.370912 85.249531) + (xy 171.435926 85.058007) + (xy 171.464948 84.857842) + (xy 171.466463 84.8) + (xy 171.463805 84.771069) + (xy 173.556412 84.771069) + (xy 173.556709 84.775597) + (xy 173.569183 84.965918) + (xy 173.56964 84.972894) + (xy 173.570756 84.977287) + (xy 173.570756 84.977289) + (xy 173.592005 85.060956) + (xy 173.619426 85.168928) + (xy 173.704104 85.352607) + (xy 173.820836 85.51778) + (xy 173.965714 85.658913) + (xy 174.133885 85.771282) + (xy 174.319718 85.851122) + (xy 174.516988 85.89576) + (xy 174.71909 85.9037) + (xy 174.772625 85.895938) + (xy 174.914767 85.875329) + (xy 174.91477 85.875328) + (xy 174.919255 85.874678) + (xy 175.015017 85.842171) + (xy 175.106482 85.811123) + (xy 175.106485 85.811121) + (xy 175.110779 85.809664) + (xy 175.271664 85.719565) + (xy 175.283287 85.713056) + (xy 175.283289 85.713055) + (xy 175.287249 85.710837) + (xy 175.442753 85.581505) + (xy 175.572085 85.426001) + (xy 175.670912 85.249531) + (xy 175.735926 85.058007) + (xy 175.764948 84.857842) + (xy 175.766463 84.8) + (xy 175.763805 84.771069) + (xy 177.856412 84.771069) + (xy 177.856709 84.775597) + (xy 177.869183 84.965918) + (xy 177.86964 84.972894) + (xy 177.870756 84.977287) + (xy 177.870756 84.977289) + (xy 177.892005 85.060956) + (xy 177.919426 85.168928) + (xy 178.004104 85.352607) + (xy 178.120836 85.51778) + (xy 178.265714 85.658913) + (xy 178.433885 85.771282) + (xy 178.619718 85.851122) + (xy 178.816988 85.89576) + (xy 179.01909 85.9037) + (xy 179.072625 85.895938) + (xy 179.214767 85.875329) + (xy 179.21477 85.875328) + (xy 179.219255 85.874678) + (xy 179.315017 85.842171) + (xy 179.406482 85.811123) + (xy 179.406485 85.811121) + (xy 179.410779 85.809664) + (xy 179.571664 85.719565) + (xy 179.583287 85.713056) + (xy 179.583289 85.713055) + (xy 179.587249 85.710837) + (xy 179.742753 85.581505) + (xy 179.872085 85.426001) + (xy 179.970912 85.249531) + (xy 180.035926 85.058007) + (xy 180.064948 84.857842) + (xy 180.066463 84.8) + (xy 180.063805 84.771069) + (xy 182.156412 84.771069) + (xy 182.156709 84.775597) + (xy 182.169183 84.965918) + (xy 182.16964 84.972894) + (xy 182.170756 84.977287) + (xy 182.170756 84.977289) + (xy 182.192005 85.060956) + (xy 182.219426 85.168928) + (xy 182.304104 85.352607) + (xy 182.420836 85.51778) + (xy 182.565714 85.658913) + (xy 182.733885 85.771282) + (xy 182.919718 85.851122) + (xy 183.116988 85.89576) + (xy 183.31909 85.9037) + (xy 183.372625 85.895938) + (xy 183.514767 85.875329) + (xy 183.51477 85.875328) + (xy 183.519255 85.874678) + (xy 183.615017 85.842171) + (xy 183.706482 85.811123) + (xy 183.706485 85.811121) + (xy 183.710779 85.809664) + (xy 183.871664 85.719565) + (xy 183.883287 85.713056) + (xy 183.883289 85.713055) + (xy 183.887249 85.710837) + (xy 184.042753 85.581505) + (xy 184.172085 85.426001) + (xy 184.270912 85.249531) + (xy 184.335926 85.058007) + (xy 184.364948 84.857842) + (xy 184.366463 84.8) + (xy 184.363805 84.771069) + (xy 186.456412 84.771069) + (xy 186.456709 84.775597) + (xy 186.469183 84.965918) + (xy 186.46964 84.972894) + (xy 186.470756 84.977287) + (xy 186.470756 84.977289) + (xy 186.492005 85.060956) + (xy 186.519426 85.168928) + (xy 186.604104 85.352607) + (xy 186.720836 85.51778) + (xy 186.865714 85.658913) + (xy 187.033885 85.771282) + (xy 187.219718 85.851122) + (xy 187.416988 85.89576) + (xy 187.61909 85.9037) + (xy 187.672625 85.895938) + (xy 187.814767 85.875329) + (xy 187.81477 85.875328) + (xy 187.819255 85.874678) + (xy 187.915017 85.842171) + (xy 188.006482 85.811123) + (xy 188.006485 85.811121) + (xy 188.010779 85.809664) + (xy 188.171664 85.719565) + (xy 188.183287 85.713056) + (xy 188.183289 85.713055) + (xy 188.187249 85.710837) + (xy 188.342753 85.581505) + (xy 188.472085 85.426001) + (xy 188.570912 85.249531) + (xy 188.635926 85.058007) + (xy 188.664948 84.857842) + (xy 188.666463 84.8) + (xy 188.663805 84.771069) + (xy 190.756412 84.771069) + (xy 190.756709 84.775597) + (xy 190.769183 84.965918) + (xy 190.76964 84.972894) + (xy 190.770756 84.977287) + (xy 190.770756 84.977289) + (xy 190.792005 85.060956) + (xy 190.819426 85.168928) + (xy 190.904104 85.352607) + (xy 191.020836 85.51778) + (xy 191.165714 85.658913) + (xy 191.333885 85.771282) + (xy 191.519718 85.851122) + (xy 191.716988 85.89576) + (xy 191.91909 85.9037) + (xy 191.972625 85.895938) + (xy 192.114767 85.875329) + (xy 192.11477 85.875328) + (xy 192.119255 85.874678) + (xy 192.215017 85.842171) + (xy 192.306482 85.811123) + (xy 192.306485 85.811121) + (xy 192.310779 85.809664) + (xy 192.471664 85.719565) + (xy 192.483287 85.713056) + (xy 192.483289 85.713055) + (xy 192.487249 85.710837) + (xy 192.642753 85.581505) + (xy 192.772085 85.426001) + (xy 192.870912 85.249531) + (xy 192.935926 85.058007) + (xy 192.964948 84.857842) + (xy 192.966463 84.8) + (xy 192.963805 84.771069) + (xy 208.556412 84.771069) + (xy 208.556709 84.775597) + (xy 208.569183 84.965918) + (xy 208.56964 84.972894) + (xy 208.570756 84.977287) + (xy 208.570756 84.977289) + (xy 208.592005 85.060956) + (xy 208.619426 85.168928) + (xy 208.704104 85.352607) + (xy 208.820836 85.51778) + (xy 208.965714 85.658913) + (xy 209.133885 85.771282) + (xy 209.319718 85.851122) + (xy 209.516988 85.89576) + (xy 209.71909 85.9037) + (xy 209.772625 85.895938) + (xy 209.914767 85.875329) + (xy 209.91477 85.875328) + (xy 209.919255 85.874678) + (xy 210.015017 85.842171) + (xy 210.106482 85.811123) + (xy 210.106485 85.811121) + (xy 210.110779 85.809664) + (xy 210.271664 85.719565) + (xy 210.283287 85.713056) + (xy 210.283289 85.713055) + (xy 210.287249 85.710837) + (xy 210.442753 85.581505) + (xy 210.572085 85.426001) + (xy 210.670912 85.249531) + (xy 210.735926 85.058007) + (xy 210.764948 84.857842) + (xy 210.766463 84.8) + (xy 210.763805 84.771069) + (xy 212.856412 84.771069) + (xy 212.856709 84.775597) + (xy 212.869183 84.965918) + (xy 212.86964 84.972894) + (xy 212.870756 84.977287) + (xy 212.870756 84.977289) + (xy 212.892005 85.060956) + (xy 212.919426 85.168928) + (xy 213.004104 85.352607) + (xy 213.120836 85.51778) + (xy 213.265714 85.658913) + (xy 213.433885 85.771282) + (xy 213.619718 85.851122) + (xy 213.816988 85.89576) + (xy 214.01909 85.9037) + (xy 214.072625 85.895938) + (xy 214.214767 85.875329) + (xy 214.21477 85.875328) + (xy 214.219255 85.874678) + (xy 214.315017 85.842171) + (xy 214.406482 85.811123) + (xy 214.406485 85.811121) + (xy 214.410779 85.809664) + (xy 214.571664 85.719565) + (xy 214.583287 85.713056) + (xy 214.583289 85.713055) + (xy 214.587249 85.710837) + (xy 214.742753 85.581505) + (xy 214.872085 85.426001) + (xy 214.970912 85.249531) + (xy 215.035926 85.058007) + (xy 215.064948 84.857842) + (xy 215.066463 84.8) + (xy 215.063805 84.771069) + (xy 217.156412 84.771069) + (xy 217.156709 84.775597) + (xy 217.169183 84.965918) + (xy 217.16964 84.972894) + (xy 217.170756 84.977287) + (xy 217.170756 84.977289) + (xy 217.192005 85.060956) + (xy 217.219426 85.168928) + (xy 217.304104 85.352607) + (xy 217.420836 85.51778) + (xy 217.565714 85.658913) + (xy 217.733885 85.771282) + (xy 217.919718 85.851122) + (xy 218.116988 85.89576) + (xy 218.31909 85.9037) + (xy 218.372625 85.895938) + (xy 218.514767 85.875329) + (xy 218.51477 85.875328) + (xy 218.519255 85.874678) + (xy 218.615017 85.842171) + (xy 218.706482 85.811123) + (xy 218.706485 85.811121) + (xy 218.710779 85.809664) + (xy 218.871664 85.719565) + (xy 218.883287 85.713056) + (xy 218.883289 85.713055) + (xy 218.887249 85.710837) + (xy 219.042753 85.581505) + (xy 219.172085 85.426001) + (xy 219.270912 85.249531) + (xy 219.335926 85.058007) + (xy 219.364948 84.857842) + (xy 219.366463 84.8) + (xy 219.363805 84.771069) + (xy 221.456412 84.771069) + (xy 221.456709 84.775597) + (xy 221.469183 84.965918) + (xy 221.46964 84.972894) + (xy 221.470756 84.977287) + (xy 221.470756 84.977289) + (xy 221.492005 85.060956) + (xy 221.519426 85.168928) + (xy 221.604104 85.352607) + (xy 221.720836 85.51778) + (xy 221.865714 85.658913) + (xy 222.033885 85.771282) + (xy 222.219718 85.851122) + (xy 222.416988 85.89576) + (xy 222.61909 85.9037) + (xy 222.672625 85.895938) + (xy 222.814767 85.875329) + (xy 222.81477 85.875328) + (xy 222.819255 85.874678) + (xy 222.915017 85.842171) + (xy 223.006482 85.811123) + (xy 223.006485 85.811121) + (xy 223.010779 85.809664) + (xy 223.171664 85.719565) + (xy 223.183287 85.713056) + (xy 223.183289 85.713055) + (xy 223.187249 85.710837) + (xy 223.342753 85.581505) + (xy 223.472085 85.426001) + (xy 223.570912 85.249531) + (xy 223.635926 85.058007) + (xy 223.664948 84.857842) + (xy 223.666463 84.8) + (xy 223.663805 84.771069) + (xy 225.756412 84.771069) + (xy 225.756709 84.775597) + (xy 225.769183 84.965918) + (xy 225.76964 84.972894) + (xy 225.770756 84.977287) + (xy 225.770756 84.977289) + (xy 225.792005 85.060956) + (xy 225.819426 85.168928) + (xy 225.904104 85.352607) + (xy 226.020836 85.51778) + (xy 226.165714 85.658913) + (xy 226.333885 85.771282) + (xy 226.519718 85.851122) + (xy 226.716988 85.89576) + (xy 226.91909 85.9037) + (xy 226.972625 85.895938) + (xy 227.114767 85.875329) + (xy 227.11477 85.875328) + (xy 227.119255 85.874678) + (xy 227.215017 85.842171) + (xy 227.306482 85.811123) + (xy 227.306485 85.811121) + (xy 227.310779 85.809664) + (xy 227.471664 85.719565) + (xy 227.483287 85.713056) + (xy 227.483289 85.713055) + (xy 227.487249 85.710837) + (xy 227.642753 85.581505) + (xy 227.772085 85.426001) + (xy 227.870912 85.249531) + (xy 227.935926 85.058007) + (xy 227.964948 84.857842) + (xy 227.966463 84.8) + (xy 227.963805 84.771069) + (xy 230.056412 84.771069) + (xy 230.056709 84.775597) + (xy 230.069183 84.965918) + (xy 230.06964 84.972894) + (xy 230.070756 84.977287) + (xy 230.070756 84.977289) + (xy 230.092005 85.060956) + (xy 230.119426 85.168928) + (xy 230.204104 85.352607) + (xy 230.320836 85.51778) + (xy 230.465714 85.658913) + (xy 230.633885 85.771282) + (xy 230.819718 85.851122) + (xy 231.016988 85.89576) + (xy 231.21909 85.9037) + (xy 231.272625 85.895938) + (xy 231.414767 85.875329) + (xy 231.41477 85.875328) + (xy 231.419255 85.874678) + (xy 231.515017 85.842171) + (xy 231.606482 85.811123) + (xy 231.606485 85.811121) + (xy 231.610779 85.809664) + (xy 231.771664 85.719565) + (xy 231.783287 85.713056) + (xy 231.783289 85.713055) + (xy 231.787249 85.710837) + (xy 231.942753 85.581505) + (xy 232.072085 85.426001) + (xy 232.170912 85.249531) + (xy 232.235926 85.058007) + (xy 232.264948 84.857842) + (xy 232.266463 84.8) + (xy 232.263805 84.771069) + (xy 234.356412 84.771069) + (xy 234.356709 84.775597) + (xy 234.369183 84.965918) + (xy 234.36964 84.972894) + (xy 234.370756 84.977287) + (xy 234.370756 84.977289) + (xy 234.392005 85.060956) + (xy 234.419426 85.168928) + (xy 234.504104 85.352607) + (xy 234.620836 85.51778) + (xy 234.765714 85.658913) + (xy 234.933885 85.771282) + (xy 235.119718 85.851122) + (xy 235.316988 85.89576) + (xy 235.51909 85.9037) + (xy 235.572625 85.895938) + (xy 235.714767 85.875329) + (xy 235.71477 85.875328) + (xy 235.719255 85.874678) + (xy 235.815017 85.842171) + (xy 235.906482 85.811123) + (xy 235.906485 85.811121) + (xy 235.910779 85.809664) + (xy 236.071664 85.719565) + (xy 236.083287 85.713056) + (xy 236.083289 85.713055) + (xy 236.087249 85.710837) + (xy 236.242753 85.581505) + (xy 236.372085 85.426001) + (xy 236.470912 85.249531) + (xy 236.535926 85.058007) + (xy 236.564948 84.857842) + (xy 236.566463 84.8) + (xy 236.563805 84.771069) + (xy 238.656412 84.771069) + (xy 238.656709 84.775597) + (xy 238.669183 84.965918) + (xy 238.66964 84.972894) + (xy 238.670756 84.977287) + (xy 238.670756 84.977289) + (xy 238.692005 85.060956) + (xy 238.719426 85.168928) + (xy 238.804104 85.352607) + (xy 238.920836 85.51778) + (xy 239.065714 85.658913) + (xy 239.233885 85.771282) + (xy 239.419718 85.851122) + (xy 239.616988 85.89576) + (xy 239.81909 85.9037) + (xy 239.872625 85.895938) + (xy 240.014767 85.875329) + (xy 240.01477 85.875328) + (xy 240.019255 85.874678) + (xy 240.115017 85.842171) + (xy 240.206482 85.811123) + (xy 240.206485 85.811121) + (xy 240.210779 85.809664) + (xy 240.371664 85.719565) + (xy 240.383287 85.713056) + (xy 240.383289 85.713055) + (xy 240.387249 85.710837) + (xy 240.542753 85.581505) + (xy 240.672085 85.426001) + (xy 240.770912 85.249531) + (xy 240.835926 85.058007) + (xy 240.864948 84.857842) + (xy 240.866463 84.8) + (xy 240.863805 84.771069) + (xy 242.956412 84.771069) + (xy 242.956709 84.775597) + (xy 242.969183 84.965918) + (xy 242.96964 84.972894) + (xy 242.970756 84.977287) + (xy 242.970756 84.977289) + (xy 242.992005 85.060956) + (xy 243.019426 85.168928) + (xy 243.104104 85.352607) + (xy 243.220836 85.51778) + (xy 243.365714 85.658913) + (xy 243.533885 85.771282) + (xy 243.719718 85.851122) + (xy 243.916988 85.89576) + (xy 244.11909 85.9037) + (xy 244.172625 85.895938) + (xy 244.314767 85.875329) + (xy 244.31477 85.875328) + (xy 244.319255 85.874678) + (xy 244.415017 85.842171) + (xy 244.506482 85.811123) + (xy 244.506485 85.811121) + (xy 244.510779 85.809664) + (xy 244.671664 85.719565) + (xy 244.683287 85.713056) + (xy 244.683289 85.713055) + (xy 244.687249 85.710837) + (xy 244.842753 85.581505) + (xy 244.972085 85.426001) + (xy 245.070912 85.249531) + (xy 245.135926 85.058007) + (xy 245.164948 84.857842) + (xy 245.166463 84.8) + (xy 245.163805 84.771069) + (xy 247.256412 84.771069) + (xy 247.256709 84.775597) + (xy 247.269183 84.965918) + (xy 247.26964 84.972894) + (xy 247.270756 84.977287) + (xy 247.270756 84.977289) + (xy 247.292005 85.060956) + (xy 247.319426 85.168928) + (xy 247.404104 85.352607) + (xy 247.520836 85.51778) + (xy 247.665714 85.658913) + (xy 247.833885 85.771282) + (xy 248.019718 85.851122) + (xy 248.216988 85.89576) + (xy 248.41909 85.9037) + (xy 248.472625 85.895938) + (xy 248.614767 85.875329) + (xy 248.61477 85.875328) + (xy 248.619255 85.874678) + (xy 248.715017 85.842171) + (xy 248.806482 85.811123) + (xy 248.806485 85.811121) + (xy 248.810779 85.809664) + (xy 248.971664 85.719565) + (xy 248.983287 85.713056) + (xy 248.983289 85.713055) + (xy 248.987249 85.710837) + (xy 249.142753 85.581505) + (xy 249.272085 85.426001) + (xy 249.370912 85.249531) + (xy 249.435926 85.058007) + (xy 249.464948 84.857842) + (xy 249.466463 84.8) + (xy 249.463805 84.771069) + (xy 251.556412 84.771069) + (xy 251.556709 84.775597) + (xy 251.569183 84.965918) + (xy 251.56964 84.972894) + (xy 251.570756 84.977287) + (xy 251.570756 84.977289) + (xy 251.592005 85.060956) + (xy 251.619426 85.168928) + (xy 251.704104 85.352607) + (xy 251.820836 85.51778) + (xy 251.965714 85.658913) + (xy 252.133885 85.771282) + (xy 252.319718 85.851122) + (xy 252.516988 85.89576) + (xy 252.71909 85.9037) + (xy 252.772625 85.895938) + (xy 252.914767 85.875329) + (xy 252.91477 85.875328) + (xy 252.919255 85.874678) + (xy 253.015017 85.842171) + (xy 253.106482 85.811123) + (xy 253.106485 85.811121) + (xy 253.110779 85.809664) + (xy 253.271664 85.719565) + (xy 253.283287 85.713056) + (xy 253.283289 85.713055) + (xy 253.287249 85.710837) + (xy 253.442753 85.581505) + (xy 253.572085 85.426001) + (xy 253.670912 85.249531) + (xy 253.735926 85.058007) + (xy 253.764948 84.857842) + (xy 253.766463 84.8) + (xy 253.763805 84.771069) + (xy 255.856412 84.771069) + (xy 255.856709 84.775597) + (xy 255.869183 84.965918) + (xy 255.86964 84.972894) + (xy 255.870756 84.977287) + (xy 255.870756 84.977289) + (xy 255.892005 85.060956) + (xy 255.919426 85.168928) + (xy 256.004104 85.352607) + (xy 256.120836 85.51778) + (xy 256.265714 85.658913) + (xy 256.433885 85.771282) + (xy 256.619718 85.851122) + (xy 256.816988 85.89576) + (xy 257.01909 85.9037) + (xy 257.072625 85.895938) + (xy 257.214767 85.875329) + (xy 257.21477 85.875328) + (xy 257.219255 85.874678) + (xy 257.315017 85.842171) + (xy 257.406482 85.811123) + (xy 257.406485 85.811121) + (xy 257.410779 85.809664) + (xy 257.571664 85.719565) + (xy 257.583287 85.713056) + (xy 257.583289 85.713055) + (xy 257.587249 85.710837) + (xy 257.742753 85.581505) + (xy 257.872085 85.426001) + (xy 257.970912 85.249531) + (xy 258.035926 85.058007) + (xy 258.064948 84.857842) + (xy 258.066463 84.8) + (xy 258.057633 84.703898) + (xy 258.048371 84.603109) + (xy 258.047956 84.598591) + (xy 257.993055 84.403926) + (xy 257.903599 84.222527) + (xy 257.782583 84.060467) + (xy 257.63406 83.923174) + (xy 257.611373 83.908859) + (xy 257.466842 83.817667) + (xy 257.463005 83.815246) + (xy 257.275146 83.740298) + (xy 257.076774 83.700839) + (xy 256.977178 83.699535) + (xy 256.879074 83.698251) + (xy 256.879069 83.698251) + (xy 256.874534 83.698192) + (xy 256.870061 83.698961) + (xy 256.870056 83.698961) + (xy 256.771493 83.715898) + (xy 256.675197 83.732444) + (xy 256.485441 83.802449) + (xy 256.311619 83.905862) + (xy 256.308204 83.908857) + (xy 256.308201 83.908859) + (xy 256.264419 83.947255) + (xy 256.159553 84.03922) + (xy 256.156745 84.042782) + (xy 256.047106 84.18186) + (xy 256.034337 84.198057) + (xy 255.940162 84.377053) + (xy 255.938817 84.381384) + (xy 255.938816 84.381387) + (xy 255.890501 84.53699) + (xy 255.880185 84.570213) + (xy 255.856412 84.771069) + (xy 253.763805 84.771069) + (xy 253.757633 84.703898) + (xy 253.748371 84.603109) + (xy 253.747956 84.598591) + (xy 253.693055 84.403926) + (xy 253.603599 84.222527) + (xy 253.482583 84.060467) + (xy 253.33406 83.923174) + (xy 253.311373 83.908859) + (xy 253.166842 83.817667) + (xy 253.163005 83.815246) + (xy 252.975146 83.740298) + (xy 252.776774 83.700839) + (xy 252.677178 83.699535) + (xy 252.579074 83.698251) + (xy 252.579069 83.698251) + (xy 252.574534 83.698192) + (xy 252.570061 83.698961) + (xy 252.570056 83.698961) + (xy 252.471493 83.715898) + (xy 252.375197 83.732444) + (xy 252.185441 83.802449) + (xy 252.011619 83.905862) + (xy 252.008204 83.908857) + (xy 252.008201 83.908859) + (xy 251.964419 83.947255) + (xy 251.859553 84.03922) + (xy 251.856745 84.042782) + (xy 251.747106 84.18186) + (xy 251.734337 84.198057) + (xy 251.640162 84.377053) + (xy 251.638817 84.381384) + (xy 251.638816 84.381387) + (xy 251.590501 84.53699) + (xy 251.580185 84.570213) + (xy 251.556412 84.771069) + (xy 249.463805 84.771069) + (xy 249.457633 84.703898) + (xy 249.448371 84.603109) + (xy 249.447956 84.598591) + (xy 249.393055 84.403926) + (xy 249.303599 84.222527) + (xy 249.182583 84.060467) + (xy 249.03406 83.923174) + (xy 249.011373 83.908859) + (xy 248.866842 83.817667) + (xy 248.863005 83.815246) + (xy 248.675146 83.740298) + (xy 248.476774 83.700839) + (xy 248.377178 83.699535) + (xy 248.279074 83.698251) + (xy 248.279069 83.698251) + (xy 248.274534 83.698192) + (xy 248.270061 83.698961) + (xy 248.270056 83.698961) + (xy 248.171493 83.715898) + (xy 248.075197 83.732444) + (xy 247.885441 83.802449) + (xy 247.711619 83.905862) + (xy 247.708204 83.908857) + (xy 247.708201 83.908859) + (xy 247.664419 83.947255) + (xy 247.559553 84.03922) + (xy 247.556745 84.042782) + (xy 247.447106 84.18186) + (xy 247.434337 84.198057) + (xy 247.340162 84.377053) + (xy 247.338817 84.381384) + (xy 247.338816 84.381387) + (xy 247.290501 84.53699) + (xy 247.280185 84.570213) + (xy 247.256412 84.771069) + (xy 245.163805 84.771069) + (xy 245.157633 84.703898) + (xy 245.148371 84.603109) + (xy 245.147956 84.598591) + (xy 245.093055 84.403926) + (xy 245.003599 84.222527) + (xy 244.882583 84.060467) + (xy 244.73406 83.923174) + (xy 244.711373 83.908859) + (xy 244.566842 83.817667) + (xy 244.563005 83.815246) + (xy 244.375146 83.740298) + (xy 244.176774 83.700839) + (xy 244.077178 83.699535) + (xy 243.979074 83.698251) + (xy 243.979069 83.698251) + (xy 243.974534 83.698192) + (xy 243.970061 83.698961) + (xy 243.970056 83.698961) + (xy 243.871493 83.715898) + (xy 243.775197 83.732444) + (xy 243.585441 83.802449) + (xy 243.411619 83.905862) + (xy 243.408204 83.908857) + (xy 243.408201 83.908859) + (xy 243.364419 83.947255) + (xy 243.259553 84.03922) + (xy 243.256745 84.042782) + (xy 243.147106 84.18186) + (xy 243.134337 84.198057) + (xy 243.040162 84.377053) + (xy 243.038817 84.381384) + (xy 243.038816 84.381387) + (xy 242.990501 84.53699) + (xy 242.980185 84.570213) + (xy 242.956412 84.771069) + (xy 240.863805 84.771069) + (xy 240.857633 84.703898) + (xy 240.848371 84.603109) + (xy 240.847956 84.598591) + (xy 240.793055 84.403926) + (xy 240.703599 84.222527) + (xy 240.582583 84.060467) + (xy 240.43406 83.923174) + (xy 240.411373 83.908859) + (xy 240.266842 83.817667) + (xy 240.263005 83.815246) + (xy 240.075146 83.740298) + (xy 239.876774 83.700839) + (xy 239.777178 83.699535) + (xy 239.679074 83.698251) + (xy 239.679069 83.698251) + (xy 239.674534 83.698192) + (xy 239.670061 83.698961) + (xy 239.670056 83.698961) + (xy 239.571493 83.715898) + (xy 239.475197 83.732444) + (xy 239.285441 83.802449) + (xy 239.111619 83.905862) + (xy 239.108204 83.908857) + (xy 239.108201 83.908859) + (xy 239.064419 83.947255) + (xy 238.959553 84.03922) + (xy 238.956745 84.042782) + (xy 238.847106 84.18186) + (xy 238.834337 84.198057) + (xy 238.740162 84.377053) + (xy 238.738817 84.381384) + (xy 238.738816 84.381387) + (xy 238.690501 84.53699) + (xy 238.680185 84.570213) + (xy 238.656412 84.771069) + (xy 236.563805 84.771069) + (xy 236.557633 84.703898) + (xy 236.548371 84.603109) + (xy 236.547956 84.598591) + (xy 236.493055 84.403926) + (xy 236.403599 84.222527) + (xy 236.282583 84.060467) + (xy 236.13406 83.923174) + (xy 236.111373 83.908859) + (xy 235.966842 83.817667) + (xy 235.963005 83.815246) + (xy 235.775146 83.740298) + (xy 235.576774 83.700839) + (xy 235.477178 83.699535) + (xy 235.379074 83.698251) + (xy 235.379069 83.698251) + (xy 235.374534 83.698192) + (xy 235.370061 83.698961) + (xy 235.370056 83.698961) + (xy 235.271493 83.715898) + (xy 235.175197 83.732444) + (xy 234.985441 83.802449) + (xy 234.811619 83.905862) + (xy 234.808204 83.908857) + (xy 234.808201 83.908859) + (xy 234.764419 83.947255) + (xy 234.659553 84.03922) + (xy 234.656745 84.042782) + (xy 234.547106 84.18186) + (xy 234.534337 84.198057) + (xy 234.440162 84.377053) + (xy 234.438817 84.381384) + (xy 234.438816 84.381387) + (xy 234.390501 84.53699) + (xy 234.380185 84.570213) + (xy 234.356412 84.771069) + (xy 232.263805 84.771069) + (xy 232.257633 84.703898) + (xy 232.248371 84.603109) + (xy 232.247956 84.598591) + (xy 232.193055 84.403926) + (xy 232.103599 84.222527) + (xy 231.982583 84.060467) + (xy 231.83406 83.923174) + (xy 231.811373 83.908859) + (xy 231.666842 83.817667) + (xy 231.663005 83.815246) + (xy 231.475146 83.740298) + (xy 231.276774 83.700839) + (xy 231.177178 83.699535) + (xy 231.079074 83.698251) + (xy 231.079069 83.698251) + (xy 231.074534 83.698192) + (xy 231.070061 83.698961) + (xy 231.070056 83.698961) + (xy 230.971493 83.715898) + (xy 230.875197 83.732444) + (xy 230.685441 83.802449) + (xy 230.511619 83.905862) + (xy 230.508204 83.908857) + (xy 230.508201 83.908859) + (xy 230.464419 83.947255) + (xy 230.359553 84.03922) + (xy 230.356745 84.042782) + (xy 230.247106 84.18186) + (xy 230.234337 84.198057) + (xy 230.140162 84.377053) + (xy 230.138817 84.381384) + (xy 230.138816 84.381387) + (xy 230.090501 84.53699) + (xy 230.080185 84.570213) + (xy 230.056412 84.771069) + (xy 227.963805 84.771069) + (xy 227.957633 84.703898) + (xy 227.948371 84.603109) + (xy 227.947956 84.598591) + (xy 227.893055 84.403926) + (xy 227.803599 84.222527) + (xy 227.682583 84.060467) + (xy 227.53406 83.923174) + (xy 227.511373 83.908859) + (xy 227.366842 83.817667) + (xy 227.363005 83.815246) + (xy 227.175146 83.740298) + (xy 226.976774 83.700839) + (xy 226.877178 83.699535) + (xy 226.779074 83.698251) + (xy 226.779069 83.698251) + (xy 226.774534 83.698192) + (xy 226.770061 83.698961) + (xy 226.770056 83.698961) + (xy 226.671493 83.715898) + (xy 226.575197 83.732444) + (xy 226.385441 83.802449) + (xy 226.211619 83.905862) + (xy 226.208204 83.908857) + (xy 226.208201 83.908859) + (xy 226.164419 83.947255) + (xy 226.059553 84.03922) + (xy 226.056745 84.042782) + (xy 225.947106 84.18186) + (xy 225.934337 84.198057) + (xy 225.840162 84.377053) + (xy 225.838817 84.381384) + (xy 225.838816 84.381387) + (xy 225.790501 84.53699) + (xy 225.780185 84.570213) + (xy 225.756412 84.771069) + (xy 223.663805 84.771069) + (xy 223.657633 84.703898) + (xy 223.648371 84.603109) + (xy 223.647956 84.598591) + (xy 223.593055 84.403926) + (xy 223.503599 84.222527) + (xy 223.382583 84.060467) + (xy 223.23406 83.923174) + (xy 223.211373 83.908859) + (xy 223.066842 83.817667) + (xy 223.063005 83.815246) + (xy 222.875146 83.740298) + (xy 222.676774 83.700839) + (xy 222.577178 83.699535) + (xy 222.479074 83.698251) + (xy 222.479069 83.698251) + (xy 222.474534 83.698192) + (xy 222.470061 83.698961) + (xy 222.470056 83.698961) + (xy 222.371493 83.715898) + (xy 222.275197 83.732444) + (xy 222.085441 83.802449) + (xy 221.911619 83.905862) + (xy 221.908204 83.908857) + (xy 221.908201 83.908859) + (xy 221.864419 83.947255) + (xy 221.759553 84.03922) + (xy 221.756745 84.042782) + (xy 221.647106 84.18186) + (xy 221.634337 84.198057) + (xy 221.540162 84.377053) + (xy 221.538817 84.381384) + (xy 221.538816 84.381387) + (xy 221.490501 84.53699) + (xy 221.480185 84.570213) + (xy 221.456412 84.771069) + (xy 219.363805 84.771069) + (xy 219.357633 84.703898) + (xy 219.348371 84.603109) + (xy 219.347956 84.598591) + (xy 219.293055 84.403926) + (xy 219.203599 84.222527) + (xy 219.082583 84.060467) + (xy 218.93406 83.923174) + (xy 218.911373 83.908859) + (xy 218.766842 83.817667) + (xy 218.763005 83.815246) + (xy 218.575146 83.740298) + (xy 218.376774 83.700839) + (xy 218.277178 83.699535) + (xy 218.179074 83.698251) + (xy 218.179069 83.698251) + (xy 218.174534 83.698192) + (xy 218.170061 83.698961) + (xy 218.170056 83.698961) + (xy 218.071493 83.715898) + (xy 217.975197 83.732444) + (xy 217.785441 83.802449) + (xy 217.611619 83.905862) + (xy 217.608204 83.908857) + (xy 217.608201 83.908859) + (xy 217.564419 83.947255) + (xy 217.459553 84.03922) + (xy 217.456745 84.042782) + (xy 217.347106 84.18186) + (xy 217.334337 84.198057) + (xy 217.240162 84.377053) + (xy 217.238817 84.381384) + (xy 217.238816 84.381387) + (xy 217.190501 84.53699) + (xy 217.180185 84.570213) + (xy 217.156412 84.771069) + (xy 215.063805 84.771069) + (xy 215.057633 84.703898) + (xy 215.048371 84.603109) + (xy 215.047956 84.598591) + (xy 214.993055 84.403926) + (xy 214.903599 84.222527) + (xy 214.782583 84.060467) + (xy 214.63406 83.923174) + (xy 214.611373 83.908859) + (xy 214.466842 83.817667) + (xy 214.463005 83.815246) + (xy 214.275146 83.740298) + (xy 214.076774 83.700839) + (xy 213.977178 83.699535) + (xy 213.879074 83.698251) + (xy 213.879069 83.698251) + (xy 213.874534 83.698192) + (xy 213.870061 83.698961) + (xy 213.870056 83.698961) + (xy 213.771493 83.715898) + (xy 213.675197 83.732444) + (xy 213.485441 83.802449) + (xy 213.311619 83.905862) + (xy 213.308204 83.908857) + (xy 213.308201 83.908859) + (xy 213.264419 83.947255) + (xy 213.159553 84.03922) + (xy 213.156745 84.042782) + (xy 213.047106 84.18186) + (xy 213.034337 84.198057) + (xy 212.940162 84.377053) + (xy 212.938817 84.381384) + (xy 212.938816 84.381387) + (xy 212.890501 84.53699) + (xy 212.880185 84.570213) + (xy 212.856412 84.771069) + (xy 210.763805 84.771069) + (xy 210.757633 84.703898) + (xy 210.748371 84.603109) + (xy 210.747956 84.598591) + (xy 210.693055 84.403926) + (xy 210.603599 84.222527) + (xy 210.482583 84.060467) + (xy 210.33406 83.923174) + (xy 210.311373 83.908859) + (xy 210.166842 83.817667) + (xy 210.163005 83.815246) + (xy 209.975146 83.740298) + (xy 209.776774 83.700839) + (xy 209.677178 83.699535) + (xy 209.579074 83.698251) + (xy 209.579069 83.698251) + (xy 209.574534 83.698192) + (xy 209.570061 83.698961) + (xy 209.570056 83.698961) + (xy 209.471493 83.715898) + (xy 209.375197 83.732444) + (xy 209.185441 83.802449) + (xy 209.011619 83.905862) + (xy 209.008204 83.908857) + (xy 209.008201 83.908859) + (xy 208.964419 83.947255) + (xy 208.859553 84.03922) + (xy 208.856745 84.042782) + (xy 208.747106 84.18186) + (xy 208.734337 84.198057) + (xy 208.640162 84.377053) + (xy 208.638817 84.381384) + (xy 208.638816 84.381387) + (xy 208.590501 84.53699) + (xy 208.580185 84.570213) + (xy 208.556412 84.771069) + (xy 192.963805 84.771069) + (xy 192.957633 84.703898) + (xy 192.948371 84.603109) + (xy 192.947956 84.598591) + (xy 192.893055 84.403926) + (xy 192.803599 84.222527) + (xy 192.682583 84.060467) + (xy 192.53406 83.923174) + (xy 192.511373 83.908859) + (xy 192.366842 83.817667) + (xy 192.363005 83.815246) + (xy 192.175146 83.740298) + (xy 191.976774 83.700839) + (xy 191.877178 83.699535) + (xy 191.779074 83.698251) + (xy 191.779069 83.698251) + (xy 191.774534 83.698192) + (xy 191.770061 83.698961) + (xy 191.770056 83.698961) + (xy 191.671493 83.715898) + (xy 191.575197 83.732444) + (xy 191.385441 83.802449) + (xy 191.211619 83.905862) + (xy 191.208204 83.908857) + (xy 191.208201 83.908859) + (xy 191.164419 83.947255) + (xy 191.059553 84.03922) + (xy 191.056745 84.042782) + (xy 190.947106 84.18186) + (xy 190.934337 84.198057) + (xy 190.840162 84.377053) + (xy 190.838817 84.381384) + (xy 190.838816 84.381387) + (xy 190.790501 84.53699) + (xy 190.780185 84.570213) + (xy 190.756412 84.771069) + (xy 188.663805 84.771069) + (xy 188.657633 84.703898) + (xy 188.648371 84.603109) + (xy 188.647956 84.598591) + (xy 188.593055 84.403926) + (xy 188.503599 84.222527) + (xy 188.382583 84.060467) + (xy 188.23406 83.923174) + (xy 188.211373 83.908859) + (xy 188.066842 83.817667) + (xy 188.063005 83.815246) + (xy 187.875146 83.740298) + (xy 187.676774 83.700839) + (xy 187.577178 83.699535) + (xy 187.479074 83.698251) + (xy 187.479069 83.698251) + (xy 187.474534 83.698192) + (xy 187.470061 83.698961) + (xy 187.470056 83.698961) + (xy 187.371493 83.715898) + (xy 187.275197 83.732444) + (xy 187.085441 83.802449) + (xy 186.911619 83.905862) + (xy 186.908204 83.908857) + (xy 186.908201 83.908859) + (xy 186.864419 83.947255) + (xy 186.759553 84.03922) + (xy 186.756745 84.042782) + (xy 186.647106 84.18186) + (xy 186.634337 84.198057) + (xy 186.540162 84.377053) + (xy 186.538817 84.381384) + (xy 186.538816 84.381387) + (xy 186.490501 84.53699) + (xy 186.480185 84.570213) + (xy 186.456412 84.771069) + (xy 184.363805 84.771069) + (xy 184.357633 84.703898) + (xy 184.348371 84.603109) + (xy 184.347956 84.598591) + (xy 184.293055 84.403926) + (xy 184.203599 84.222527) + (xy 184.082583 84.060467) + (xy 183.93406 83.923174) + (xy 183.911373 83.908859) + (xy 183.766842 83.817667) + (xy 183.763005 83.815246) + (xy 183.575146 83.740298) + (xy 183.376774 83.700839) + (xy 183.277178 83.699535) + (xy 183.179074 83.698251) + (xy 183.179069 83.698251) + (xy 183.174534 83.698192) + (xy 183.170061 83.698961) + (xy 183.170056 83.698961) + (xy 183.071493 83.715898) + (xy 182.975197 83.732444) + (xy 182.785441 83.802449) + (xy 182.611619 83.905862) + (xy 182.608204 83.908857) + (xy 182.608201 83.908859) + (xy 182.564419 83.947255) + (xy 182.459553 84.03922) + (xy 182.456745 84.042782) + (xy 182.347106 84.18186) + (xy 182.334337 84.198057) + (xy 182.240162 84.377053) + (xy 182.238817 84.381384) + (xy 182.238816 84.381387) + (xy 182.190501 84.53699) + (xy 182.180185 84.570213) + (xy 182.156412 84.771069) + (xy 180.063805 84.771069) + (xy 180.057633 84.703898) + (xy 180.048371 84.603109) + (xy 180.047956 84.598591) + (xy 179.993055 84.403926) + (xy 179.903599 84.222527) + (xy 179.782583 84.060467) + (xy 179.63406 83.923174) + (xy 179.611373 83.908859) + (xy 179.466842 83.817667) + (xy 179.463005 83.815246) + (xy 179.275146 83.740298) + (xy 179.076774 83.700839) + (xy 178.977178 83.699535) + (xy 178.879074 83.698251) + (xy 178.879069 83.698251) + (xy 178.874534 83.698192) + (xy 178.870061 83.698961) + (xy 178.870056 83.698961) + (xy 178.771493 83.715898) + (xy 178.675197 83.732444) + (xy 178.485441 83.802449) + (xy 178.311619 83.905862) + (xy 178.308204 83.908857) + (xy 178.308201 83.908859) + (xy 178.264419 83.947255) + (xy 178.159553 84.03922) + (xy 178.156745 84.042782) + (xy 178.047106 84.18186) + (xy 178.034337 84.198057) + (xy 177.940162 84.377053) + (xy 177.938817 84.381384) + (xy 177.938816 84.381387) + (xy 177.890501 84.53699) + (xy 177.880185 84.570213) + (xy 177.856412 84.771069) + (xy 175.763805 84.771069) + (xy 175.757633 84.703898) + (xy 175.748371 84.603109) + (xy 175.747956 84.598591) + (xy 175.693055 84.403926) + (xy 175.603599 84.222527) + (xy 175.482583 84.060467) + (xy 175.33406 83.923174) + (xy 175.311373 83.908859) + (xy 175.166842 83.817667) + (xy 175.163005 83.815246) + (xy 174.975146 83.740298) + (xy 174.776774 83.700839) + (xy 174.677178 83.699535) + (xy 174.579074 83.698251) + (xy 174.579069 83.698251) + (xy 174.574534 83.698192) + (xy 174.570061 83.698961) + (xy 174.570056 83.698961) + (xy 174.471493 83.715898) + (xy 174.375197 83.732444) + (xy 174.185441 83.802449) + (xy 174.011619 83.905862) + (xy 174.008204 83.908857) + (xy 174.008201 83.908859) + (xy 173.964419 83.947255) + (xy 173.859553 84.03922) + (xy 173.856745 84.042782) + (xy 173.747106 84.18186) + (xy 173.734337 84.198057) + (xy 173.640162 84.377053) + (xy 173.638817 84.381384) + (xy 173.638816 84.381387) + (xy 173.590501 84.53699) + (xy 173.580185 84.570213) + (xy 173.556412 84.771069) + (xy 171.463805 84.771069) + (xy 171.457633 84.703898) + (xy 171.448371 84.603109) + (xy 171.447956 84.598591) + (xy 171.393055 84.403926) + (xy 171.303599 84.222527) + (xy 171.182583 84.060467) + (xy 171.03406 83.923174) + (xy 171.011373 83.908859) + (xy 170.866842 83.817667) + (xy 170.863005 83.815246) + (xy 170.675146 83.740298) + (xy 170.476774 83.700839) + (xy 170.377178 83.699535) + (xy 170.279074 83.698251) + (xy 170.279069 83.698251) + (xy 170.274534 83.698192) + (xy 170.270061 83.698961) + (xy 170.270056 83.698961) + (xy 170.171493 83.715898) + (xy 170.075197 83.732444) + (xy 169.885441 83.802449) + (xy 169.711619 83.905862) + (xy 169.708204 83.908857) + (xy 169.708201 83.908859) + (xy 169.664419 83.947255) + (xy 169.559553 84.03922) + (xy 169.556745 84.042782) + (xy 169.447106 84.18186) + (xy 169.434337 84.198057) + (xy 169.340162 84.377053) + (xy 169.338817 84.381384) + (xy 169.338816 84.381387) + (xy 169.290501 84.53699) + (xy 169.280185 84.570213) + (xy 169.256412 84.771069) + (xy 167.163805 84.771069) + (xy 167.157633 84.703898) + (xy 167.148371 84.603109) + (xy 167.147956 84.598591) + (xy 167.093055 84.403926) + (xy 167.003599 84.222527) + (xy 166.882583 84.060467) + (xy 166.73406 83.923174) + (xy 166.711373 83.908859) + (xy 166.566842 83.817667) + (xy 166.563005 83.815246) + (xy 166.375146 83.740298) + (xy 166.176774 83.700839) + (xy 166.077178 83.699535) + (xy 165.979074 83.698251) + (xy 165.979069 83.698251) + (xy 165.974534 83.698192) + (xy 165.970061 83.698961) + (xy 165.970056 83.698961) + (xy 165.871493 83.715898) + (xy 165.775197 83.732444) + (xy 165.585441 83.802449) + (xy 165.411619 83.905862) + (xy 165.408204 83.908857) + (xy 165.408201 83.908859) + (xy 165.364419 83.947255) + (xy 165.259553 84.03922) + (xy 165.256745 84.042782) + (xy 165.147106 84.18186) + (xy 165.134337 84.198057) + (xy 165.040162 84.377053) + (xy 165.038817 84.381384) + (xy 165.038816 84.381387) + (xy 164.990501 84.53699) + (xy 164.980185 84.570213) + (xy 164.956412 84.771069) + (xy 150.859655 84.771069) + (xy 150.889791 84.715319) + (xy 150.889791 84.715318) + (xy 150.890838 84.713382) + (xy 151.057972 84.325181) + (xy 151.100924 84.194492) + (xy 151.189248 83.92575) + (xy 151.189249 83.925745) + (xy 151.189935 83.923659) + (xy 151.193378 83.908859) + (xy 151.285183 83.514143) + (xy 151.285184 83.514135) + (xy 151.285682 83.511996) + (xy 151.339345 83.129847) + (xy 151.34415 83.095631) + (xy 151.34415 83.095628) + (xy 151.344456 83.093451) + (xy 151.36271 82.732317) + (xy 151.365614 82.674853) + (xy 151.366029 82.674874) + (xy 151.3659 82.673654) + (xy 151.36628 82.672058) + (xy 151.366285 82.671342) + (xy 151.363414 82.658397) + (xy 151.361066 82.637208) + (xy 151.355163 80.238477) + (xy 152.80607 80.238477) + (xy 152.806264 80.241842) + (xy 152.806264 80.241846) + (xy 152.809793 80.303043) + (xy 152.822164 80.517596) + (xy 152.875989 80.791948) + (xy 152.877082 80.79514) + (xy 152.955751 81.024912) + (xy 152.966551 81.056457) + (xy 153.092173 81.306228) + (xy 153.25053 81.536639) + (xy 153.2528 81.539133) + (xy 153.252801 81.539135) + (xy 153.386983 81.686599) + (xy 153.438692 81.743427) + (xy 153.441279 81.74559) + (xy 153.44128 81.745591) + (xy 153.474508 81.773374) + (xy 153.653178 81.922765) + (xy 153.890019 82.071335) + (xy 153.893087 82.07272) + (xy 153.893094 82.072724) + (xy 154.058606 82.147455) + (xy 154.144831 82.186387) + (xy 154.148053 82.187341) + (xy 154.14806 82.187344) + (xy 154.295674 82.231069) + (xy 154.4129 82.265793) + (xy 154.416225 82.266302) + (xy 154.416226 82.266302) + (xy 154.685932 82.307573) + (xy 154.685935 82.307573) + (xy 154.689266 82.308083) + (xy 154.692637 82.308136) + (xy 154.692638 82.308136) + (xy 154.740819 82.308893) + (xy 154.968814 82.312474) + (xy 154.972151 82.31207) + (xy 154.972155 82.31207) + (xy 155.243027 82.279291) + (xy 155.243032 82.27929) + (xy 155.246371 82.278886) + (xy 155.428639 82.231069) + (xy 164.956412 82.231069) + (xy 164.96964 82.432894) + (xy 164.970756 82.437287) + (xy 164.970756 82.437289) + (xy 164.98215 82.482154) + (xy 165.019426 82.628928) + (xy 165.104104 82.812607) + (xy 165.220836 82.97778) + (xy 165.365714 83.118913) + (xy 165.533885 83.231282) + (xy 165.719718 83.311122) + (xy 165.916988 83.35576) + (xy 166.11909 83.3637) + (xy 166.172625 83.355938) + (xy 166.314767 83.335329) + (xy 166.31477 83.335328) + (xy 166.319255 83.334678) + (xy 166.415017 83.302171) + (xy 166.506482 83.271123) + (xy 166.506485 83.271121) + (xy 166.510779 83.269664) + (xy 166.687249 83.170837) + (xy 166.842753 83.041505) + (xy 166.972085 82.886001) + (xy 167.050389 82.746178) + (xy 167.095318 82.704645) + (xy 167.15608 82.697453) + (xy 167.209464 82.727349) + (xy 167.226672 82.753103) + (xy 167.254104 82.812607) + (xy 167.370836 82.97778) + (xy 167.515714 83.118913) + (xy 167.683885 83.231282) + (xy 167.869718 83.311122) + (xy 168.066988 83.35576) + (xy 168.26909 83.3637) + (xy 168.322625 83.355938) + (xy 168.464767 83.335329) + (xy 168.46477 83.335328) + (xy 168.469255 83.334678) + (xy 168.565017 83.302171) + (xy 168.656482 83.271123) + (xy 168.656485 83.271121) + (xy 168.660779 83.269664) + (xy 168.837249 83.170837) + (xy 168.992753 83.041505) + (xy 169.122085 82.886001) + (xy 169.200389 82.746178) + (xy 169.245318 82.704645) + (xy 169.30608 82.697453) + (xy 169.359464 82.727349) + (xy 169.376672 82.753103) + (xy 169.404104 82.812607) + (xy 169.520836 82.97778) + (xy 169.665714 83.118913) + (xy 169.833885 83.231282) + (xy 170.019718 83.311122) + (xy 170.216988 83.35576) + (xy 170.41909 83.3637) + (xy 170.472625 83.355938) + (xy 170.614767 83.335329) + (xy 170.61477 83.335328) + (xy 170.619255 83.334678) + (xy 170.715017 83.302171) + (xy 170.806482 83.271123) + (xy 170.806485 83.271121) + (xy 170.810779 83.269664) + (xy 170.987249 83.170837) + (xy 171.142753 83.041505) + (xy 171.272085 82.886001) + (xy 171.350389 82.746178) + (xy 171.395318 82.704645) + (xy 171.45608 82.697453) + (xy 171.509464 82.727349) + (xy 171.526672 82.753103) + (xy 171.554104 82.812607) + (xy 171.670836 82.97778) + (xy 171.815714 83.118913) + (xy 171.983885 83.231282) + (xy 172.169718 83.311122) + (xy 172.366988 83.35576) + (xy 172.56909 83.3637) + (xy 172.622625 83.355938) + (xy 172.764767 83.335329) + (xy 172.76477 83.335328) + (xy 172.769255 83.334678) + (xy 172.865017 83.302171) + (xy 172.956482 83.271123) + (xy 172.956485 83.271121) + (xy 172.960779 83.269664) + (xy 173.137249 83.170837) + (xy 173.292753 83.041505) + (xy 173.422085 82.886001) + (xy 173.500389 82.746178) + (xy 173.545318 82.704645) + (xy 173.60608 82.697453) + (xy 173.659464 82.727349) + (xy 173.676672 82.753103) + (xy 173.704104 82.812607) + (xy 173.820836 82.97778) + (xy 173.965714 83.118913) + (xy 174.133885 83.231282) + (xy 174.319718 83.311122) + (xy 174.516988 83.35576) + (xy 174.71909 83.3637) + (xy 174.772625 83.355938) + (xy 174.914767 83.335329) + (xy 174.91477 83.335328) + (xy 174.919255 83.334678) + (xy 175.015017 83.302171) + (xy 175.106482 83.271123) + (xy 175.106485 83.271121) + (xy 175.110779 83.269664) + (xy 175.287249 83.170837) + (xy 175.442753 83.041505) + (xy 175.572085 82.886001) + (xy 175.650389 82.746178) + (xy 175.695318 82.704645) + (xy 175.75608 82.697453) + (xy 175.809464 82.727349) + (xy 175.826672 82.753103) + (xy 175.854104 82.812607) + (xy 175.970836 82.97778) + (xy 176.115714 83.118913) + (xy 176.283885 83.231282) + (xy 176.469718 83.311122) + (xy 176.666988 83.35576) + (xy 176.86909 83.3637) + (xy 176.922625 83.355938) + (xy 177.064767 83.335329) + (xy 177.06477 83.335328) + (xy 177.069255 83.334678) + (xy 177.165017 83.302171) + (xy 177.256482 83.271123) + (xy 177.256485 83.271121) + (xy 177.260779 83.269664) + (xy 177.437249 83.170837) + (xy 177.592753 83.041505) + (xy 177.722085 82.886001) + (xy 177.800389 82.746178) + (xy 177.845318 82.704645) + (xy 177.90608 82.697453) + (xy 177.959464 82.727349) + (xy 177.976672 82.753103) + (xy 178.004104 82.812607) + (xy 178.120836 82.97778) + (xy 178.265714 83.118913) + (xy 178.433885 83.231282) + (xy 178.619718 83.311122) + (xy 178.816988 83.35576) + (xy 179.01909 83.3637) + (xy 179.072625 83.355938) + (xy 179.214767 83.335329) + (xy 179.21477 83.335328) + (xy 179.219255 83.334678) + (xy 179.315017 83.302171) + (xy 179.406482 83.271123) + (xy 179.406485 83.271121) + (xy 179.410779 83.269664) + (xy 179.587249 83.170837) + (xy 179.742753 83.041505) + (xy 179.872085 82.886001) + (xy 179.950389 82.746178) + (xy 179.995318 82.704645) + (xy 180.05608 82.697453) + (xy 180.109464 82.727349) + (xy 180.126672 82.753103) + (xy 180.154104 82.812607) + (xy 180.270836 82.97778) + (xy 180.415714 83.118913) + (xy 180.583885 83.231282) + (xy 180.769718 83.311122) + (xy 180.966988 83.35576) + (xy 181.16909 83.3637) + (xy 181.222625 83.355938) + (xy 181.364767 83.335329) + (xy 181.36477 83.335328) + (xy 181.369255 83.334678) + (xy 181.465017 83.302171) + (xy 181.556482 83.271123) + (xy 181.556485 83.271121) + (xy 181.560779 83.269664) + (xy 181.737249 83.170837) + (xy 181.892753 83.041505) + (xy 182.022085 82.886001) + (xy 182.100389 82.746178) + (xy 182.145318 82.704645) + (xy 182.20608 82.697453) + (xy 182.259464 82.727349) + (xy 182.276672 82.753103) + (xy 182.304104 82.812607) + (xy 182.420836 82.97778) + (xy 182.565714 83.118913) + (xy 182.733885 83.231282) + (xy 182.919718 83.311122) + (xy 183.116988 83.35576) + (xy 183.31909 83.3637) + (xy 183.372625 83.355938) + (xy 183.514767 83.335329) + (xy 183.51477 83.335328) + (xy 183.519255 83.334678) + (xy 183.615017 83.302171) + (xy 183.706482 83.271123) + (xy 183.706485 83.271121) + (xy 183.710779 83.269664) + (xy 183.887249 83.170837) + (xy 184.042753 83.041505) + (xy 184.172085 82.886001) + (xy 184.250389 82.746178) + (xy 184.295318 82.704645) + (xy 184.35608 82.697453) + (xy 184.409464 82.727349) + (xy 184.426672 82.753103) + (xy 184.454104 82.812607) + (xy 184.570836 82.97778) + (xy 184.715714 83.118913) + (xy 184.883885 83.231282) + (xy 185.069718 83.311122) + (xy 185.266988 83.35576) + (xy 185.46909 83.3637) + (xy 185.522625 83.355938) + (xy 185.664767 83.335329) + (xy 185.66477 83.335328) + (xy 185.669255 83.334678) + (xy 185.765017 83.302171) + (xy 185.856482 83.271123) + (xy 185.856485 83.271121) + (xy 185.860779 83.269664) + (xy 186.037249 83.170837) + (xy 186.192753 83.041505) + (xy 186.322085 82.886001) + (xy 186.400389 82.746178) + (xy 186.445318 82.704645) + (xy 186.50608 82.697453) + (xy 186.559464 82.727349) + (xy 186.576672 82.753103) + (xy 186.604104 82.812607) + (xy 186.720836 82.97778) + (xy 186.865714 83.118913) + (xy 187.033885 83.231282) + (xy 187.219718 83.311122) + (xy 187.416988 83.35576) + (xy 187.61909 83.3637) + (xy 187.672625 83.355938) + (xy 187.814767 83.335329) + (xy 187.81477 83.335328) + (xy 187.819255 83.334678) + (xy 187.915017 83.302171) + (xy 188.006482 83.271123) + (xy 188.006485 83.271121) + (xy 188.010779 83.269664) + (xy 188.187249 83.170837) + (xy 188.342753 83.041505) + (xy 188.472085 82.886001) + (xy 188.550389 82.746178) + (xy 188.595318 82.704645) + (xy 188.65608 82.697453) + (xy 188.709464 82.727349) + (xy 188.726672 82.753103) + (xy 188.754104 82.812607) + (xy 188.870836 82.97778) + (xy 189.015714 83.118913) + (xy 189.183885 83.231282) + (xy 189.369718 83.311122) + (xy 189.566988 83.35576) + (xy 189.76909 83.3637) + (xy 189.822625 83.355938) + (xy 189.964767 83.335329) + (xy 189.96477 83.335328) + (xy 189.969255 83.334678) + (xy 190.065017 83.302171) + (xy 190.156482 83.271123) + (xy 190.156485 83.271121) + (xy 190.160779 83.269664) + (xy 190.337249 83.170837) + (xy 190.492753 83.041505) + (xy 190.622085 82.886001) + (xy 190.700389 82.746178) + (xy 190.745318 82.704645) + (xy 190.80608 82.697453) + (xy 190.859464 82.727349) + (xy 190.876672 82.753103) + (xy 190.904104 82.812607) + (xy 191.020836 82.97778) + (xy 191.165714 83.118913) + (xy 191.333885 83.231282) + (xy 191.519718 83.311122) + (xy 191.716988 83.35576) + (xy 191.91909 83.3637) + (xy 191.972625 83.355938) + (xy 192.114767 83.335329) + (xy 192.11477 83.335328) + (xy 192.119255 83.334678) + (xy 192.215017 83.302171) + (xy 192.306482 83.271123) + (xy 192.306485 83.271121) + (xy 192.310779 83.269664) + (xy 192.487249 83.170837) + (xy 192.642753 83.041505) + (xy 192.772085 82.886001) + (xy 192.850389 82.746178) + (xy 192.895318 82.704645) + (xy 192.95608 82.697453) + (xy 193.009464 82.727349) + (xy 193.026672 82.753103) + (xy 193.054104 82.812607) + (xy 193.170836 82.97778) + (xy 193.315714 83.118913) + (xy 193.483885 83.231282) + (xy 193.669718 83.311122) + (xy 193.866988 83.35576) + (xy 194.06909 83.3637) + (xy 194.122625 83.355938) + (xy 194.264767 83.335329) + (xy 194.26477 83.335328) + (xy 194.269255 83.334678) + (xy 194.365017 83.302171) + (xy 194.456482 83.271123) + (xy 194.456485 83.271121) + (xy 194.460779 83.269664) + (xy 194.637249 83.170837) + (xy 194.792753 83.041505) + (xy 194.922085 82.886001) + (xy 195.020912 82.709531) + (xy 195.02777 82.68933) + (xy 195.084467 82.522304) + (xy 195.085926 82.518007) + (xy 195.091125 82.482154) + (xy 195.114531 82.320717) + (xy 195.114948 82.317842) + (xy 195.116298 82.266302) + (xy 195.116387 82.262913) + (xy 195.116387 82.262908) + (xy 195.116463 82.26) + (xy 195.113805 82.231069) + (xy 208.556412 82.231069) + (xy 208.56964 82.432894) + (xy 208.570756 82.437287) + (xy 208.570756 82.437289) + (xy 208.58215 82.482154) + (xy 208.619426 82.628928) + (xy 208.704104 82.812607) + (xy 208.820836 82.97778) + (xy 208.965714 83.118913) + (xy 209.133885 83.231282) + (xy 209.319718 83.311122) + (xy 209.516988 83.35576) + (xy 209.71909 83.3637) + (xy 209.772625 83.355938) + (xy 209.914767 83.335329) + (xy 209.91477 83.335328) + (xy 209.919255 83.334678) + (xy 210.015017 83.302171) + (xy 210.106482 83.271123) + (xy 210.106485 83.271121) + (xy 210.110779 83.269664) + (xy 210.287249 83.170837) + (xy 210.442753 83.041505) + (xy 210.572085 82.886001) + (xy 210.650389 82.746178) + (xy 210.695318 82.704645) + (xy 210.75608 82.697453) + (xy 210.809464 82.727349) + (xy 210.826672 82.753103) + (xy 210.854104 82.812607) + (xy 210.970836 82.97778) + (xy 211.115714 83.118913) + (xy 211.283885 83.231282) + (xy 211.469718 83.311122) + (xy 211.666988 83.35576) + (xy 211.86909 83.3637) + (xy 211.922625 83.355938) + (xy 212.064767 83.335329) + (xy 212.06477 83.335328) + (xy 212.069255 83.334678) + (xy 212.165017 83.302171) + (xy 212.256482 83.271123) + (xy 212.256485 83.271121) + (xy 212.260779 83.269664) + (xy 212.437249 83.170837) + (xy 212.592753 83.041505) + (xy 212.722085 82.886001) + (xy 212.800389 82.746178) + (xy 212.845318 82.704645) + (xy 212.90608 82.697453) + (xy 212.959464 82.727349) + (xy 212.976672 82.753103) + (xy 213.004104 82.812607) + (xy 213.120836 82.97778) + (xy 213.265714 83.118913) + (xy 213.433885 83.231282) + (xy 213.619718 83.311122) + (xy 213.816988 83.35576) + (xy 214.01909 83.3637) + (xy 214.072625 83.355938) + (xy 214.214767 83.335329) + (xy 214.21477 83.335328) + (xy 214.219255 83.334678) + (xy 214.315017 83.302171) + (xy 214.406482 83.271123) + (xy 214.406485 83.271121) + (xy 214.410779 83.269664) + (xy 214.587249 83.170837) + (xy 214.742753 83.041505) + (xy 214.872085 82.886001) + (xy 214.950389 82.746178) + (xy 214.995318 82.704645) + (xy 215.05608 82.697453) + (xy 215.109464 82.727349) + (xy 215.126672 82.753103) + (xy 215.154104 82.812607) + (xy 215.270836 82.97778) + (xy 215.415714 83.118913) + (xy 215.583885 83.231282) + (xy 215.769718 83.311122) + (xy 215.966988 83.35576) + (xy 216.16909 83.3637) + (xy 216.222625 83.355938) + (xy 216.364767 83.335329) + (xy 216.36477 83.335328) + (xy 216.369255 83.334678) + (xy 216.465017 83.302171) + (xy 216.556482 83.271123) + (xy 216.556485 83.271121) + (xy 216.560779 83.269664) + (xy 216.737249 83.170837) + (xy 216.892753 83.041505) + (xy 217.022085 82.886001) + (xy 217.100389 82.746178) + (xy 217.145318 82.704645) + (xy 217.20608 82.697453) + (xy 217.259464 82.727349) + (xy 217.276672 82.753103) + (xy 217.304104 82.812607) + (xy 217.420836 82.97778) + (xy 217.565714 83.118913) + (xy 217.733885 83.231282) + (xy 217.919718 83.311122) + (xy 218.116988 83.35576) + (xy 218.31909 83.3637) + (xy 218.372625 83.355938) + (xy 218.514767 83.335329) + (xy 218.51477 83.335328) + (xy 218.519255 83.334678) + (xy 218.615017 83.302171) + (xy 218.706482 83.271123) + (xy 218.706485 83.271121) + (xy 218.710779 83.269664) + (xy 218.887249 83.170837) + (xy 219.042753 83.041505) + (xy 219.172085 82.886001) + (xy 219.250389 82.746178) + (xy 219.295318 82.704645) + (xy 219.35608 82.697453) + (xy 219.409464 82.727349) + (xy 219.426672 82.753103) + (xy 219.454104 82.812607) + (xy 219.570836 82.97778) + (xy 219.715714 83.118913) + (xy 219.883885 83.231282) + (xy 220.069718 83.311122) + (xy 220.266988 83.35576) + (xy 220.46909 83.3637) + (xy 220.522625 83.355938) + (xy 220.664767 83.335329) + (xy 220.66477 83.335328) + (xy 220.669255 83.334678) + (xy 220.765017 83.302171) + (xy 220.856482 83.271123) + (xy 220.856485 83.271121) + (xy 220.860779 83.269664) + (xy 221.037249 83.170837) + (xy 221.192753 83.041505) + (xy 221.322085 82.886001) + (xy 221.400389 82.746178) + (xy 221.445318 82.704645) + (xy 221.50608 82.697453) + (xy 221.559464 82.727349) + (xy 221.576672 82.753103) + (xy 221.604104 82.812607) + (xy 221.720836 82.97778) + (xy 221.865714 83.118913) + (xy 222.033885 83.231282) + (xy 222.219718 83.311122) + (xy 222.416988 83.35576) + (xy 222.61909 83.3637) + (xy 222.672625 83.355938) + (xy 222.814767 83.335329) + (xy 222.81477 83.335328) + (xy 222.819255 83.334678) + (xy 222.915017 83.302171) + (xy 223.006482 83.271123) + (xy 223.006485 83.271121) + (xy 223.010779 83.269664) + (xy 223.187249 83.170837) + (xy 223.342753 83.041505) + (xy 223.472085 82.886001) + (xy 223.550389 82.746178) + (xy 223.595318 82.704645) + (xy 223.65608 82.697453) + (xy 223.709464 82.727349) + (xy 223.726672 82.753103) + (xy 223.754104 82.812607) + (xy 223.870836 82.97778) + (xy 224.015714 83.118913) + (xy 224.183885 83.231282) + (xy 224.369718 83.311122) + (xy 224.566988 83.35576) + (xy 224.76909 83.3637) + (xy 224.822625 83.355938) + (xy 224.964767 83.335329) + (xy 224.96477 83.335328) + (xy 224.969255 83.334678) + (xy 225.065017 83.302171) + (xy 225.156482 83.271123) + (xy 225.156485 83.271121) + (xy 225.160779 83.269664) + (xy 225.337249 83.170837) + (xy 225.492753 83.041505) + (xy 225.622085 82.886001) + (xy 225.700389 82.746178) + (xy 225.745318 82.704645) + (xy 225.80608 82.697453) + (xy 225.859464 82.727349) + (xy 225.876672 82.753103) + (xy 225.904104 82.812607) + (xy 226.020836 82.97778) + (xy 226.165714 83.118913) + (xy 226.333885 83.231282) + (xy 226.519718 83.311122) + (xy 226.716988 83.35576) + (xy 226.91909 83.3637) + (xy 226.972625 83.355938) + (xy 227.114767 83.335329) + (xy 227.11477 83.335328) + (xy 227.119255 83.334678) + (xy 227.215017 83.302171) + (xy 227.306482 83.271123) + (xy 227.306485 83.271121) + (xy 227.310779 83.269664) + (xy 227.487249 83.170837) + (xy 227.642753 83.041505) + (xy 227.772085 82.886001) + (xy 227.850389 82.746178) + (xy 227.895318 82.704645) + (xy 227.95608 82.697453) + (xy 228.009464 82.727349) + (xy 228.026672 82.753103) + (xy 228.054104 82.812607) + (xy 228.170836 82.97778) + (xy 228.315714 83.118913) + (xy 228.483885 83.231282) + (xy 228.669718 83.311122) + (xy 228.866988 83.35576) + (xy 229.06909 83.3637) + (xy 229.122625 83.355938) + (xy 229.264767 83.335329) + (xy 229.26477 83.335328) + (xy 229.269255 83.334678) + (xy 229.365017 83.302171) + (xy 229.456482 83.271123) + (xy 229.456485 83.271121) + (xy 229.460779 83.269664) + (xy 229.637249 83.170837) + (xy 229.792753 83.041505) + (xy 229.922085 82.886001) + (xy 230.000389 82.746178) + (xy 230.045318 82.704645) + (xy 230.10608 82.697453) + (xy 230.159464 82.727349) + (xy 230.176672 82.753103) + (xy 230.204104 82.812607) + (xy 230.320836 82.97778) + (xy 230.465714 83.118913) + (xy 230.633885 83.231282) + (xy 230.819718 83.311122) + (xy 231.016988 83.35576) + (xy 231.21909 83.3637) + (xy 231.272625 83.355938) + (xy 231.414767 83.335329) + (xy 231.41477 83.335328) + (xy 231.419255 83.334678) + (xy 231.515017 83.302171) + (xy 231.606482 83.271123) + (xy 231.606485 83.271121) + (xy 231.610779 83.269664) + (xy 231.787249 83.170837) + (xy 231.942753 83.041505) + (xy 232.072085 82.886001) + (xy 232.150389 82.746178) + (xy 232.195318 82.704645) + (xy 232.25608 82.697453) + (xy 232.309464 82.727349) + (xy 232.326672 82.753103) + (xy 232.354104 82.812607) + (xy 232.470836 82.97778) + (xy 232.615714 83.118913) + (xy 232.783885 83.231282) + (xy 232.969718 83.311122) + (xy 233.166988 83.35576) + (xy 233.36909 83.3637) + (xy 233.422625 83.355938) + (xy 233.564767 83.335329) + (xy 233.56477 83.335328) + (xy 233.569255 83.334678) + (xy 233.665017 83.302171) + (xy 233.756482 83.271123) + (xy 233.756485 83.271121) + (xy 233.760779 83.269664) + (xy 233.937249 83.170837) + (xy 234.092753 83.041505) + (xy 234.222085 82.886001) + (xy 234.300389 82.746178) + (xy 234.345318 82.704645) + (xy 234.40608 82.697453) + (xy 234.459464 82.727349) + (xy 234.476672 82.753103) + (xy 234.504104 82.812607) + (xy 234.620836 82.97778) + (xy 234.765714 83.118913) + (xy 234.933885 83.231282) + (xy 235.119718 83.311122) + (xy 235.316988 83.35576) + (xy 235.51909 83.3637) + (xy 235.572625 83.355938) + (xy 235.714767 83.335329) + (xy 235.71477 83.335328) + (xy 235.719255 83.334678) + (xy 235.815017 83.302171) + (xy 235.906482 83.271123) + (xy 235.906485 83.271121) + (xy 235.910779 83.269664) + (xy 236.087249 83.170837) + (xy 236.242753 83.041505) + (xy 236.372085 82.886001) + (xy 236.450389 82.746178) + (xy 236.495318 82.704645) + (xy 236.55608 82.697453) + (xy 236.609464 82.727349) + (xy 236.626672 82.753103) + (xy 236.654104 82.812607) + (xy 236.770836 82.97778) + (xy 236.915714 83.118913) + (xy 237.083885 83.231282) + (xy 237.269718 83.311122) + (xy 237.466988 83.35576) + (xy 237.66909 83.3637) + (xy 237.722625 83.355938) + (xy 237.864767 83.335329) + (xy 237.86477 83.335328) + (xy 237.869255 83.334678) + (xy 237.965017 83.302171) + (xy 238.056482 83.271123) + (xy 238.056485 83.271121) + (xy 238.060779 83.269664) + (xy 238.237249 83.170837) + (xy 238.392753 83.041505) + (xy 238.522085 82.886001) + (xy 238.600389 82.746178) + (xy 238.645318 82.704645) + (xy 238.70608 82.697453) + (xy 238.759464 82.727349) + (xy 238.776672 82.753103) + (xy 238.804104 82.812607) + (xy 238.920836 82.97778) + (xy 239.065714 83.118913) + (xy 239.233885 83.231282) + (xy 239.419718 83.311122) + (xy 239.616988 83.35576) + (xy 239.81909 83.3637) + (xy 239.872625 83.355938) + (xy 240.014767 83.335329) + (xy 240.01477 83.335328) + (xy 240.019255 83.334678) + (xy 240.115017 83.302171) + (xy 240.206482 83.271123) + (xy 240.206485 83.271121) + (xy 240.210779 83.269664) + (xy 240.387249 83.170837) + (xy 240.542753 83.041505) + (xy 240.672085 82.886001) + (xy 240.750389 82.746178) + (xy 240.795318 82.704645) + (xy 240.85608 82.697453) + (xy 240.909464 82.727349) + (xy 240.926672 82.753103) + (xy 240.954104 82.812607) + (xy 241.070836 82.97778) + (xy 241.215714 83.118913) + (xy 241.383885 83.231282) + (xy 241.569718 83.311122) + (xy 241.766988 83.35576) + (xy 241.96909 83.3637) + (xy 242.022625 83.355938) + (xy 242.164767 83.335329) + (xy 242.16477 83.335328) + (xy 242.169255 83.334678) + (xy 242.265017 83.302171) + (xy 242.356482 83.271123) + (xy 242.356485 83.271121) + (xy 242.360779 83.269664) + (xy 242.537249 83.170837) + (xy 242.692753 83.041505) + (xy 242.822085 82.886001) + (xy 242.900389 82.746178) + (xy 242.945318 82.704645) + (xy 243.00608 82.697453) + (xy 243.059464 82.727349) + (xy 243.076672 82.753103) + (xy 243.104104 82.812607) + (xy 243.220836 82.97778) + (xy 243.365714 83.118913) + (xy 243.533885 83.231282) + (xy 243.719718 83.311122) + (xy 243.916988 83.35576) + (xy 244.11909 83.3637) + (xy 244.172625 83.355938) + (xy 244.314767 83.335329) + (xy 244.31477 83.335328) + (xy 244.319255 83.334678) + (xy 244.415017 83.302171) + (xy 244.506482 83.271123) + (xy 244.506485 83.271121) + (xy 244.510779 83.269664) + (xy 244.687249 83.170837) + (xy 244.842753 83.041505) + (xy 244.972085 82.886001) + (xy 245.050389 82.746178) + (xy 245.095318 82.704645) + (xy 245.15608 82.697453) + (xy 245.209464 82.727349) + (xy 245.226672 82.753103) + (xy 245.254104 82.812607) + (xy 245.370836 82.97778) + (xy 245.515714 83.118913) + (xy 245.683885 83.231282) + (xy 245.869718 83.311122) + (xy 246.066988 83.35576) + (xy 246.26909 83.3637) + (xy 246.322625 83.355938) + (xy 246.464767 83.335329) + (xy 246.46477 83.335328) + (xy 246.469255 83.334678) + (xy 246.565017 83.302171) + (xy 246.656482 83.271123) + (xy 246.656485 83.271121) + (xy 246.660779 83.269664) + (xy 246.837249 83.170837) + (xy 246.992753 83.041505) + (xy 247.122085 82.886001) + (xy 247.200389 82.746178) + (xy 247.245318 82.704645) + (xy 247.30608 82.697453) + (xy 247.359464 82.727349) + (xy 247.376672 82.753103) + (xy 247.404104 82.812607) + (xy 247.520836 82.97778) + (xy 247.665714 83.118913) + (xy 247.833885 83.231282) + (xy 248.019718 83.311122) + (xy 248.216988 83.35576) + (xy 248.41909 83.3637) + (xy 248.472625 83.355938) + (xy 248.614767 83.335329) + (xy 248.61477 83.335328) + (xy 248.619255 83.334678) + (xy 248.715017 83.302171) + (xy 248.806482 83.271123) + (xy 248.806485 83.271121) + (xy 248.810779 83.269664) + (xy 248.987249 83.170837) + (xy 249.142753 83.041505) + (xy 249.272085 82.886001) + (xy 249.350389 82.746178) + (xy 249.395318 82.704645) + (xy 249.45608 82.697453) + (xy 249.509464 82.727349) + (xy 249.526672 82.753103) + (xy 249.554104 82.812607) + (xy 249.670836 82.97778) + (xy 249.815714 83.118913) + (xy 249.983885 83.231282) + (xy 250.169718 83.311122) + (xy 250.366988 83.35576) + (xy 250.56909 83.3637) + (xy 250.622625 83.355938) + (xy 250.764767 83.335329) + (xy 250.76477 83.335328) + (xy 250.769255 83.334678) + (xy 250.865017 83.302171) + (xy 250.956482 83.271123) + (xy 250.956485 83.271121) + (xy 250.960779 83.269664) + (xy 251.137249 83.170837) + (xy 251.292753 83.041505) + (xy 251.422085 82.886001) + (xy 251.500389 82.746178) + (xy 251.545318 82.704645) + (xy 251.60608 82.697453) + (xy 251.659464 82.727349) + (xy 251.676672 82.753103) + (xy 251.704104 82.812607) + (xy 251.820836 82.97778) + (xy 251.965714 83.118913) + (xy 252.133885 83.231282) + (xy 252.319718 83.311122) + (xy 252.516988 83.35576) + (xy 252.71909 83.3637) + (xy 252.772625 83.355938) + (xy 252.914767 83.335329) + (xy 252.91477 83.335328) + (xy 252.919255 83.334678) + (xy 253.015017 83.302171) + (xy 253.106482 83.271123) + (xy 253.106485 83.271121) + (xy 253.110779 83.269664) + (xy 253.287249 83.170837) + (xy 253.442753 83.041505) + (xy 253.572085 82.886001) + (xy 253.650389 82.746178) + (xy 253.695318 82.704645) + (xy 253.75608 82.697453) + (xy 253.809464 82.727349) + (xy 253.826672 82.753103) + (xy 253.854104 82.812607) + (xy 253.970836 82.97778) + (xy 254.115714 83.118913) + (xy 254.283885 83.231282) + (xy 254.469718 83.311122) + (xy 254.666988 83.35576) + (xy 254.86909 83.3637) + (xy 254.922625 83.355938) + (xy 255.064767 83.335329) + (xy 255.06477 83.335328) + (xy 255.069255 83.334678) + (xy 255.165017 83.302171) + (xy 255.256482 83.271123) + (xy 255.256485 83.271121) + (xy 255.260779 83.269664) + (xy 255.437249 83.170837) + (xy 255.592753 83.041505) + (xy 255.722085 82.886001) + (xy 255.800389 82.746178) + (xy 255.845318 82.704645) + (xy 255.90608 82.697453) + (xy 255.959464 82.727349) + (xy 255.976672 82.753103) + (xy 256.004104 82.812607) + (xy 256.120836 82.97778) + (xy 256.265714 83.118913) + (xy 256.433885 83.231282) + (xy 256.619718 83.311122) + (xy 256.816988 83.35576) + (xy 257.01909 83.3637) + (xy 257.072625 83.355938) + (xy 257.214767 83.335329) + (xy 257.21477 83.335328) + (xy 257.219255 83.334678) + (xy 257.315017 83.302171) + (xy 257.406482 83.271123) + (xy 257.406485 83.271121) + (xy 257.410779 83.269664) + (xy 257.587249 83.170837) + (xy 257.742753 83.041505) + (xy 257.872085 82.886001) + (xy 257.950389 82.746178) + (xy 257.995318 82.704645) + (xy 258.05608 82.697453) + (xy 258.109464 82.727349) + (xy 258.126672 82.753103) + (xy 258.154104 82.812607) + (xy 258.270836 82.97778) + (xy 258.415714 83.118913) + (xy 258.583885 83.231282) + (xy 258.769718 83.311122) + (xy 258.966988 83.35576) + (xy 259.16909 83.3637) + (xy 259.222625 83.355938) + (xy 259.364767 83.335329) + (xy 259.36477 83.335328) + (xy 259.369255 83.334678) + (xy 259.465017 83.302171) + (xy 259.556482 83.271123) + (xy 259.556485 83.271121) + (xy 259.560779 83.269664) + (xy 259.737249 83.170837) + (xy 259.892753 83.041505) + (xy 260.022085 82.886001) + (xy 260.120912 82.709531) + (xy 260.12777 82.68933) + (xy 260.184467 82.522304) + (xy 260.185926 82.518007) + (xy 260.191125 82.482154) + (xy 260.214531 82.320717) + (xy 260.214948 82.317842) + (xy 260.216298 82.266302) + (xy 260.216387 82.262913) + (xy 260.216387 82.262908) + (xy 260.216463 82.26) + (xy 263.355971 82.26) + (xy 263.375041 82.477977) + (xy 263.431673 82.68933) + (xy 263.524146 82.887638) + (xy 263.64965 83.066877) + (xy 263.804371 83.221598) + (xy 263.8751 83.271123) + (xy 263.965866 83.334678) + (xy 263.983609 83.347102) + (xy 264.181918 83.439575) + (xy 264.268468 83.462766) + (xy 264.389094 83.495088) + (xy 264.389096 83.495088) + (xy 264.393271 83.496207) + (xy 264.611248 83.515277) + (xy 264.829225 83.496207) + (xy 264.8334 83.495088) + (xy 264.833402 83.495088) + (xy 264.954028 83.462766) + (xy 265.040578 83.439575) + (xy 265.238887 83.347102) + (xy 265.256631 83.334678) + (xy 265.347396 83.271123) + (xy 265.418125 83.221598) + (xy 265.572846 83.066877) + (xy 265.69835 82.887638) + (xy 265.790823 82.68933) + (xy 265.847455 82.477977) + (xy 265.866525 82.26) + (xy 269.855971 82.26) + (xy 269.875041 82.477977) + (xy 269.931673 82.68933) + (xy 270.024146 82.887638) + (xy 270.14965 83.066877) + (xy 270.304371 83.221598) + (xy 270.3751 83.271123) + (xy 270.465866 83.334678) + (xy 270.483609 83.347102) + (xy 270.681918 83.439575) + (xy 270.768468 83.462766) + (xy 270.889094 83.495088) + (xy 270.889096 83.495088) + (xy 270.893271 83.496207) + (xy 271.111248 83.515277) + (xy 271.329225 83.496207) + (xy 271.3334 83.495088) + (xy 271.333402 83.495088) + (xy 271.454028 83.462766) + (xy 271.540578 83.439575) + (xy 271.738887 83.347102) + (xy 271.756631 83.334678) + (xy 271.847396 83.271123) + (xy 271.918125 83.221598) + (xy 272.072846 83.066877) + (xy 272.19835 82.887638) + (xy 272.290823 82.68933) + (xy 272.347455 82.477977) + (xy 272.366525 82.26) + (xy 272.347455 82.042023) + (xy 272.345498 82.034717) + (xy 272.298642 81.859852) + (xy 272.290823 81.83067) + (xy 272.19835 81.632362) + (xy 272.072846 81.453123) + (xy 271.918125 81.298402) + (xy 271.738887 81.172898) + (xy 271.540578 81.080425) + (xy 271.439197 81.05326) + (xy 271.333402 81.024912) + (xy 271.3334 81.024912) + (xy 271.329225 81.023793) + (xy 271.111248 81.004723) + (xy 270.893271 81.023793) + (xy 270.889096 81.024912) + (xy 270.889094 81.024912) + (xy 270.783299 81.05326) + (xy 270.681918 81.080425) + (xy 270.48361 81.172898) + (xy 270.480067 81.175379) + (xy 270.480065 81.17538) + (xy 270.442078 81.201979) + (xy 270.304371 81.298402) + (xy 270.14965 81.453123) + (xy 270.024146 81.632362) + (xy 269.931673 81.83067) + (xy 269.923854 81.859852) + (xy 269.876999 82.034717) + (xy 269.875041 82.042023) + (xy 269.855971 82.26) + (xy 265.866525 82.26) + (xy 265.847455 82.042023) + (xy 265.845498 82.034717) + (xy 265.798642 81.859852) + (xy 265.790823 81.83067) + (xy 265.69835 81.632362) + (xy 265.572846 81.453123) + (xy 265.418125 81.298402) + (xy 265.238887 81.172898) + (xy 265.040578 81.080425) + (xy 264.939197 81.05326) + (xy 264.833402 81.024912) + (xy 264.8334 81.024912) + (xy 264.829225 81.023793) + (xy 264.611248 81.004723) + (xy 264.393271 81.023793) + (xy 264.389096 81.024912) + (xy 264.389094 81.024912) + (xy 264.283299 81.05326) + (xy 264.181918 81.080425) + (xy 263.98361 81.172898) + (xy 263.980067 81.175379) + (xy 263.980065 81.17538) + (xy 263.942078 81.201979) + (xy 263.804371 81.298402) + (xy 263.64965 81.453123) + (xy 263.524146 81.632362) + (xy 263.431673 81.83067) + (xy 263.423854 81.859852) + (xy 263.376999 82.034717) + (xy 263.375041 82.042023) + (xy 263.355971 82.26) + (xy 260.216463 82.26) + (xy 260.21168 82.20794) + (xy 260.198371 82.063109) + (xy 260.197956 82.058591) + (xy 260.143055 81.863926) + (xy 260.053599 81.682527) + (xy 259.932583 81.520467) + (xy 259.78406 81.383174) + (xy 259.761373 81.368859) + (xy 259.616842 81.277667) + (xy 259.613005 81.275246) + (xy 259.425146 81.200298) + (xy 259.226774 81.160839) + (xy 259.127178 81.159535) + (xy 259.029074 81.158251) + (xy 259.029069 81.158251) + (xy 259.024534 81.158192) + (xy 259.020061 81.158961) + (xy 259.020056 81.158961) + (xy 258.949599 81.171068) + (xy 258.825197 81.192444) + (xy 258.635441 81.262449) + (xy 258.461619 81.365862) + (xy 258.458204 81.368857) + (xy 258.458201 81.368859) + (xy 258.441878 81.383174) + (xy 258.309553 81.49922) + (xy 258.306745 81.502782) + (xy 258.207388 81.628817) + (xy 258.184337 81.658057) + (xy 258.182223 81.662075) + (xy 258.123665 81.773374) + (xy 258.079837 81.816069) + (xy 258.019285 81.824848) + (xy 257.965137 81.796359) + (xy 257.947261 81.771064) + (xy 257.90561 81.686603) + (xy 257.905605 81.686595) + (xy 257.903599 81.682527) + (xy 257.782583 81.520467) + (xy 257.63406 81.383174) + (xy 257.611373 81.368859) + (xy 257.466842 81.277667) + (xy 257.463005 81.275246) + (xy 257.275146 81.200298) + (xy 257.076774 81.160839) + (xy 256.977178 81.159535) + (xy 256.879074 81.158251) + (xy 256.879069 81.158251) + (xy 256.874534 81.158192) + (xy 256.870061 81.158961) + (xy 256.870056 81.158961) + (xy 256.799599 81.171068) + (xy 256.675197 81.192444) + (xy 256.485441 81.262449) + (xy 256.311619 81.365862) + (xy 256.308204 81.368857) + (xy 256.308201 81.368859) + (xy 256.291878 81.383174) + (xy 256.159553 81.49922) + (xy 256.156745 81.502782) + (xy 256.057388 81.628817) + (xy 256.034337 81.658057) + (xy 256.032223 81.662075) + (xy 255.973665 81.773374) + (xy 255.929837 81.816069) + (xy 255.869285 81.824848) + (xy 255.815137 81.796359) + (xy 255.797261 81.771064) + (xy 255.75561 81.686603) + (xy 255.755605 81.686595) + (xy 255.753599 81.682527) + (xy 255.632583 81.520467) + (xy 255.48406 81.383174) + (xy 255.461373 81.368859) + (xy 255.316842 81.277667) + (xy 255.313005 81.275246) + (xy 255.125146 81.200298) + (xy 254.926774 81.160839) + (xy 254.827178 81.159535) + (xy 254.729074 81.158251) + (xy 254.729069 81.158251) + (xy 254.724534 81.158192) + (xy 254.720061 81.158961) + (xy 254.720056 81.158961) + (xy 254.649599 81.171068) + (xy 254.525197 81.192444) + (xy 254.335441 81.262449) + (xy 254.161619 81.365862) + (xy 254.158204 81.368857) + (xy 254.158201 81.368859) + (xy 254.141878 81.383174) + (xy 254.009553 81.49922) + (xy 254.006745 81.502782) + (xy 253.907388 81.628817) + (xy 253.884337 81.658057) + (xy 253.882223 81.662075) + (xy 253.823665 81.773374) + (xy 253.779837 81.816069) + (xy 253.719285 81.824848) + (xy 253.665137 81.796359) + (xy 253.647261 81.771064) + (xy 253.60561 81.686603) + (xy 253.605605 81.686595) + (xy 253.603599 81.682527) + (xy 253.482583 81.520467) + (xy 253.33406 81.383174) + (xy 253.311373 81.368859) + (xy 253.166842 81.277667) + (xy 253.163005 81.275246) + (xy 252.975146 81.200298) + (xy 252.776774 81.160839) + (xy 252.677178 81.159535) + (xy 252.579074 81.158251) + (xy 252.579069 81.158251) + (xy 252.574534 81.158192) + (xy 252.570061 81.158961) + (xy 252.570056 81.158961) + (xy 252.499599 81.171068) + (xy 252.375197 81.192444) + (xy 252.185441 81.262449) + (xy 252.011619 81.365862) + (xy 252.008204 81.368857) + (xy 252.008201 81.368859) + (xy 251.991878 81.383174) + (xy 251.859553 81.49922) + (xy 251.856745 81.502782) + (xy 251.757388 81.628817) + (xy 251.734337 81.658057) + (xy 251.732223 81.662075) + (xy 251.673665 81.773374) + (xy 251.629837 81.816069) + (xy 251.569285 81.824848) + (xy 251.515137 81.796359) + (xy 251.497261 81.771064) + (xy 251.45561 81.686603) + (xy 251.455605 81.686595) + (xy 251.453599 81.682527) + (xy 251.332583 81.520467) + (xy 251.18406 81.383174) + (xy 251.161373 81.368859) + (xy 251.016842 81.277667) + (xy 251.013005 81.275246) + (xy 250.825146 81.200298) + (xy 250.626774 81.160839) + (xy 250.527178 81.159535) + (xy 250.429074 81.158251) + (xy 250.429069 81.158251) + (xy 250.424534 81.158192) + (xy 250.420061 81.158961) + (xy 250.420056 81.158961) + (xy 250.349599 81.171068) + (xy 250.225197 81.192444) + (xy 250.035441 81.262449) + (xy 249.861619 81.365862) + (xy 249.858204 81.368857) + (xy 249.858201 81.368859) + (xy 249.841878 81.383174) + (xy 249.709553 81.49922) + (xy 249.706745 81.502782) + (xy 249.607388 81.628817) + (xy 249.584337 81.658057) + (xy 249.582223 81.662075) + (xy 249.523665 81.773374) + (xy 249.479837 81.816069) + (xy 249.419285 81.824848) + (xy 249.365137 81.796359) + (xy 249.347261 81.771064) + (xy 249.30561 81.686603) + (xy 249.305605 81.686595) + (xy 249.303599 81.682527) + (xy 249.182583 81.520467) + (xy 249.03406 81.383174) + (xy 249.011373 81.368859) + (xy 248.866842 81.277667) + (xy 248.863005 81.275246) + (xy 248.675146 81.200298) + (xy 248.476774 81.160839) + (xy 248.377178 81.159535) + (xy 248.279074 81.158251) + (xy 248.279069 81.158251) + (xy 248.274534 81.158192) + (xy 248.270061 81.158961) + (xy 248.270056 81.158961) + (xy 248.199599 81.171068) + (xy 248.075197 81.192444) + (xy 247.885441 81.262449) + (xy 247.711619 81.365862) + (xy 247.708204 81.368857) + (xy 247.708201 81.368859) + (xy 247.691878 81.383174) + (xy 247.559553 81.49922) + (xy 247.556745 81.502782) + (xy 247.457388 81.628817) + (xy 247.434337 81.658057) + (xy 247.432223 81.662075) + (xy 247.373665 81.773374) + (xy 247.329837 81.816069) + (xy 247.269285 81.824848) + (xy 247.215137 81.796359) + (xy 247.197261 81.771064) + (xy 247.15561 81.686603) + (xy 247.155605 81.686595) + (xy 247.153599 81.682527) + (xy 247.032583 81.520467) + (xy 246.88406 81.383174) + (xy 246.861373 81.368859) + (xy 246.716842 81.277667) + (xy 246.713005 81.275246) + (xy 246.525146 81.200298) + (xy 246.326774 81.160839) + (xy 246.227178 81.159535) + (xy 246.129074 81.158251) + (xy 246.129069 81.158251) + (xy 246.124534 81.158192) + (xy 246.120061 81.158961) + (xy 246.120056 81.158961) + (xy 246.049599 81.171068) + (xy 245.925197 81.192444) + (xy 245.735441 81.262449) + (xy 245.561619 81.365862) + (xy 245.558204 81.368857) + (xy 245.558201 81.368859) + (xy 245.541878 81.383174) + (xy 245.409553 81.49922) + (xy 245.406745 81.502782) + (xy 245.307388 81.628817) + (xy 245.284337 81.658057) + (xy 245.282223 81.662075) + (xy 245.223665 81.773374) + (xy 245.179837 81.816069) + (xy 245.119285 81.824848) + (xy 245.065137 81.796359) + (xy 245.047261 81.771064) + (xy 245.00561 81.686603) + (xy 245.005605 81.686595) + (xy 245.003599 81.682527) + (xy 244.882583 81.520467) + (xy 244.73406 81.383174) + (xy 244.711373 81.368859) + (xy 244.566842 81.277667) + (xy 244.563005 81.275246) + (xy 244.375146 81.200298) + (xy 244.176774 81.160839) + (xy 244.077178 81.159535) + (xy 243.979074 81.158251) + (xy 243.979069 81.158251) + (xy 243.974534 81.158192) + (xy 243.970061 81.158961) + (xy 243.970056 81.158961) + (xy 243.899599 81.171068) + (xy 243.775197 81.192444) + (xy 243.585441 81.262449) + (xy 243.411619 81.365862) + (xy 243.408204 81.368857) + (xy 243.408201 81.368859) + (xy 243.391878 81.383174) + (xy 243.259553 81.49922) + (xy 243.256745 81.502782) + (xy 243.157388 81.628817) + (xy 243.134337 81.658057) + (xy 243.132223 81.662075) + (xy 243.073665 81.773374) + (xy 243.029837 81.816069) + (xy 242.969285 81.824848) + (xy 242.915137 81.796359) + (xy 242.897261 81.771064) + (xy 242.85561 81.686603) + (xy 242.855605 81.686595) + (xy 242.853599 81.682527) + (xy 242.732583 81.520467) + (xy 242.58406 81.383174) + (xy 242.561373 81.368859) + (xy 242.416842 81.277667) + (xy 242.413005 81.275246) + (xy 242.225146 81.200298) + (xy 242.026774 81.160839) + (xy 241.927178 81.159535) + (xy 241.829074 81.158251) + (xy 241.829069 81.158251) + (xy 241.824534 81.158192) + (xy 241.820061 81.158961) + (xy 241.820056 81.158961) + (xy 241.749599 81.171068) + (xy 241.625197 81.192444) + (xy 241.435441 81.262449) + (xy 241.261619 81.365862) + (xy 241.258204 81.368857) + (xy 241.258201 81.368859) + (xy 241.241878 81.383174) + (xy 241.109553 81.49922) + (xy 241.106745 81.502782) + (xy 241.007388 81.628817) + (xy 240.984337 81.658057) + (xy 240.982223 81.662075) + (xy 240.923665 81.773374) + (xy 240.879837 81.816069) + (xy 240.819285 81.824848) + (xy 240.765137 81.796359) + (xy 240.747261 81.771064) + (xy 240.70561 81.686603) + (xy 240.705605 81.686595) + (xy 240.703599 81.682527) + (xy 240.582583 81.520467) + (xy 240.43406 81.383174) + (xy 240.411373 81.368859) + (xy 240.266842 81.277667) + (xy 240.263005 81.275246) + (xy 240.075146 81.200298) + (xy 239.876774 81.160839) + (xy 239.777178 81.159535) + (xy 239.679074 81.158251) + (xy 239.679069 81.158251) + (xy 239.674534 81.158192) + (xy 239.670061 81.158961) + (xy 239.670056 81.158961) + (xy 239.599599 81.171068) + (xy 239.475197 81.192444) + (xy 239.285441 81.262449) + (xy 239.111619 81.365862) + (xy 239.108204 81.368857) + (xy 239.108201 81.368859) + (xy 239.091878 81.383174) + (xy 238.959553 81.49922) + (xy 238.956745 81.502782) + (xy 238.857388 81.628817) + (xy 238.834337 81.658057) + (xy 238.832223 81.662075) + (xy 238.773665 81.773374) + (xy 238.729837 81.816069) + (xy 238.669285 81.824848) + (xy 238.615137 81.796359) + (xy 238.597261 81.771064) + (xy 238.55561 81.686603) + (xy 238.555605 81.686595) + (xy 238.553599 81.682527) + (xy 238.432583 81.520467) + (xy 238.28406 81.383174) + (xy 238.261373 81.368859) + (xy 238.116842 81.277667) + (xy 238.113005 81.275246) + (xy 237.925146 81.200298) + (xy 237.726774 81.160839) + (xy 237.627178 81.159535) + (xy 237.529074 81.158251) + (xy 237.529069 81.158251) + (xy 237.524534 81.158192) + (xy 237.520061 81.158961) + (xy 237.520056 81.158961) + (xy 237.449599 81.171068) + (xy 237.325197 81.192444) + (xy 237.135441 81.262449) + (xy 236.961619 81.365862) + (xy 236.958204 81.368857) + (xy 236.958201 81.368859) + (xy 236.941878 81.383174) + (xy 236.809553 81.49922) + (xy 236.806745 81.502782) + (xy 236.707388 81.628817) + (xy 236.684337 81.658057) + (xy 236.682223 81.662075) + (xy 236.623665 81.773374) + (xy 236.579837 81.816069) + (xy 236.519285 81.824848) + (xy 236.465137 81.796359) + (xy 236.447261 81.771064) + (xy 236.40561 81.686603) + (xy 236.405605 81.686595) + (xy 236.403599 81.682527) + (xy 236.282583 81.520467) + (xy 236.13406 81.383174) + (xy 236.111373 81.368859) + (xy 235.966842 81.277667) + (xy 235.963005 81.275246) + (xy 235.775146 81.200298) + (xy 235.576774 81.160839) + (xy 235.477178 81.159535) + (xy 235.379074 81.158251) + (xy 235.379069 81.158251) + (xy 235.374534 81.158192) + (xy 235.370061 81.158961) + (xy 235.370056 81.158961) + (xy 235.299599 81.171068) + (xy 235.175197 81.192444) + (xy 234.985441 81.262449) + (xy 234.811619 81.365862) + (xy 234.808204 81.368857) + (xy 234.808201 81.368859) + (xy 234.791878 81.383174) + (xy 234.659553 81.49922) + (xy 234.656745 81.502782) + (xy 234.557388 81.628817) + (xy 234.534337 81.658057) + (xy 234.532223 81.662075) + (xy 234.473665 81.773374) + (xy 234.429837 81.816069) + (xy 234.369285 81.824848) + (xy 234.315137 81.796359) + (xy 234.297261 81.771064) + (xy 234.25561 81.686603) + (xy 234.255605 81.686595) + (xy 234.253599 81.682527) + (xy 234.132583 81.520467) + (xy 233.98406 81.383174) + (xy 233.961373 81.368859) + (xy 233.816842 81.277667) + (xy 233.813005 81.275246) + (xy 233.625146 81.200298) + (xy 233.426774 81.160839) + (xy 233.327178 81.159535) + (xy 233.229074 81.158251) + (xy 233.229069 81.158251) + (xy 233.224534 81.158192) + (xy 233.220061 81.158961) + (xy 233.220056 81.158961) + (xy 233.149599 81.171068) + (xy 233.025197 81.192444) + (xy 232.835441 81.262449) + (xy 232.661619 81.365862) + (xy 232.658204 81.368857) + (xy 232.658201 81.368859) + (xy 232.641878 81.383174) + (xy 232.509553 81.49922) + (xy 232.506745 81.502782) + (xy 232.407388 81.628817) + (xy 232.384337 81.658057) + (xy 232.382223 81.662075) + (xy 232.323665 81.773374) + (xy 232.279837 81.816069) + (xy 232.219285 81.824848) + (xy 232.165137 81.796359) + (xy 232.147261 81.771064) + (xy 232.10561 81.686603) + (xy 232.105605 81.686595) + (xy 232.103599 81.682527) + (xy 231.982583 81.520467) + (xy 231.83406 81.383174) + (xy 231.811373 81.368859) + (xy 231.666842 81.277667) + (xy 231.663005 81.275246) + (xy 231.475146 81.200298) + (xy 231.276774 81.160839) + (xy 231.177178 81.159535) + (xy 231.079074 81.158251) + (xy 231.079069 81.158251) + (xy 231.074534 81.158192) + (xy 231.070061 81.158961) + (xy 231.070056 81.158961) + (xy 230.999599 81.171068) + (xy 230.875197 81.192444) + (xy 230.685441 81.262449) + (xy 230.511619 81.365862) + (xy 230.508204 81.368857) + (xy 230.508201 81.368859) + (xy 230.491878 81.383174) + (xy 230.359553 81.49922) + (xy 230.356745 81.502782) + (xy 230.257388 81.628817) + (xy 230.234337 81.658057) + (xy 230.232223 81.662075) + (xy 230.173665 81.773374) + (xy 230.129837 81.816069) + (xy 230.069285 81.824848) + (xy 230.015137 81.796359) + (xy 229.997261 81.771064) + (xy 229.95561 81.686603) + (xy 229.955605 81.686595) + (xy 229.953599 81.682527) + (xy 229.832583 81.520467) + (xy 229.68406 81.383174) + (xy 229.661373 81.368859) + (xy 229.516842 81.277667) + (xy 229.513005 81.275246) + (xy 229.325146 81.200298) + (xy 229.126774 81.160839) + (xy 229.027178 81.159535) + (xy 228.929074 81.158251) + (xy 228.929069 81.158251) + (xy 228.924534 81.158192) + (xy 228.920061 81.158961) + (xy 228.920056 81.158961) + (xy 228.849599 81.171068) + (xy 228.725197 81.192444) + (xy 228.535441 81.262449) + (xy 228.361619 81.365862) + (xy 228.358204 81.368857) + (xy 228.358201 81.368859) + (xy 228.341878 81.383174) + (xy 228.209553 81.49922) + (xy 228.206745 81.502782) + (xy 228.107388 81.628817) + (xy 228.084337 81.658057) + (xy 228.082223 81.662075) + (xy 228.023665 81.773374) + (xy 227.979837 81.816069) + (xy 227.919285 81.824848) + (xy 227.865137 81.796359) + (xy 227.847261 81.771064) + (xy 227.80561 81.686603) + (xy 227.805605 81.686595) + (xy 227.803599 81.682527) + (xy 227.682583 81.520467) + (xy 227.53406 81.383174) + (xy 227.511373 81.368859) + (xy 227.366842 81.277667) + (xy 227.363005 81.275246) + (xy 227.175146 81.200298) + (xy 226.976774 81.160839) + (xy 226.877178 81.159535) + (xy 226.779074 81.158251) + (xy 226.779069 81.158251) + (xy 226.774534 81.158192) + (xy 226.770061 81.158961) + (xy 226.770056 81.158961) + (xy 226.699599 81.171068) + (xy 226.575197 81.192444) + (xy 226.385441 81.262449) + (xy 226.211619 81.365862) + (xy 226.208204 81.368857) + (xy 226.208201 81.368859) + (xy 226.191878 81.383174) + (xy 226.059553 81.49922) + (xy 226.056745 81.502782) + (xy 225.957388 81.628817) + (xy 225.934337 81.658057) + (xy 225.932223 81.662075) + (xy 225.873665 81.773374) + (xy 225.829837 81.816069) + (xy 225.769285 81.824848) + (xy 225.715137 81.796359) + (xy 225.697261 81.771064) + (xy 225.65561 81.686603) + (xy 225.655605 81.686595) + (xy 225.653599 81.682527) + (xy 225.532583 81.520467) + (xy 225.38406 81.383174) + (xy 225.361373 81.368859) + (xy 225.216842 81.277667) + (xy 225.213005 81.275246) + (xy 225.025146 81.200298) + (xy 224.826774 81.160839) + (xy 224.727178 81.159535) + (xy 224.629074 81.158251) + (xy 224.629069 81.158251) + (xy 224.624534 81.158192) + (xy 224.620061 81.158961) + (xy 224.620056 81.158961) + (xy 224.549599 81.171068) + (xy 224.425197 81.192444) + (xy 224.235441 81.262449) + (xy 224.061619 81.365862) + (xy 224.058204 81.368857) + (xy 224.058201 81.368859) + (xy 224.041878 81.383174) + (xy 223.909553 81.49922) + (xy 223.906745 81.502782) + (xy 223.807388 81.628817) + (xy 223.784337 81.658057) + (xy 223.782223 81.662075) + (xy 223.723665 81.773374) + (xy 223.679837 81.816069) + (xy 223.619285 81.824848) + (xy 223.565137 81.796359) + (xy 223.547261 81.771064) + (xy 223.50561 81.686603) + (xy 223.505605 81.686595) + (xy 223.503599 81.682527) + (xy 223.382583 81.520467) + (xy 223.23406 81.383174) + (xy 223.211373 81.368859) + (xy 223.066842 81.277667) + (xy 223.063005 81.275246) + (xy 222.875146 81.200298) + (xy 222.676774 81.160839) + (xy 222.577178 81.159535) + (xy 222.479074 81.158251) + (xy 222.479069 81.158251) + (xy 222.474534 81.158192) + (xy 222.470061 81.158961) + (xy 222.470056 81.158961) + (xy 222.399599 81.171068) + (xy 222.275197 81.192444) + (xy 222.085441 81.262449) + (xy 221.911619 81.365862) + (xy 221.908204 81.368857) + (xy 221.908201 81.368859) + (xy 221.891878 81.383174) + (xy 221.759553 81.49922) + (xy 221.756745 81.502782) + (xy 221.657388 81.628817) + (xy 221.634337 81.658057) + (xy 221.632223 81.662075) + (xy 221.573665 81.773374) + (xy 221.529837 81.816069) + (xy 221.469285 81.824848) + (xy 221.415137 81.796359) + (xy 221.397261 81.771064) + (xy 221.35561 81.686603) + (xy 221.355605 81.686595) + (xy 221.353599 81.682527) + (xy 221.232583 81.520467) + (xy 221.08406 81.383174) + (xy 221.061373 81.368859) + (xy 220.916842 81.277667) + (xy 220.913005 81.275246) + (xy 220.725146 81.200298) + (xy 220.526774 81.160839) + (xy 220.427178 81.159535) + (xy 220.329074 81.158251) + (xy 220.329069 81.158251) + (xy 220.324534 81.158192) + (xy 220.320061 81.158961) + (xy 220.320056 81.158961) + (xy 220.249599 81.171068) + (xy 220.125197 81.192444) + (xy 219.935441 81.262449) + (xy 219.761619 81.365862) + (xy 219.758204 81.368857) + (xy 219.758201 81.368859) + (xy 219.741878 81.383174) + (xy 219.609553 81.49922) + (xy 219.606745 81.502782) + (xy 219.507388 81.628817) + (xy 219.484337 81.658057) + (xy 219.482223 81.662075) + (xy 219.423665 81.773374) + (xy 219.379837 81.816069) + (xy 219.319285 81.824848) + (xy 219.265137 81.796359) + (xy 219.247261 81.771064) + (xy 219.20561 81.686603) + (xy 219.205605 81.686595) + (xy 219.203599 81.682527) + (xy 219.082583 81.520467) + (xy 218.93406 81.383174) + (xy 218.911373 81.368859) + (xy 218.766842 81.277667) + (xy 218.763005 81.275246) + (xy 218.575146 81.200298) + (xy 218.376774 81.160839) + (xy 218.277178 81.159535) + (xy 218.179074 81.158251) + (xy 218.179069 81.158251) + (xy 218.174534 81.158192) + (xy 218.170061 81.158961) + (xy 218.170056 81.158961) + (xy 218.099599 81.171068) + (xy 217.975197 81.192444) + (xy 217.785441 81.262449) + (xy 217.611619 81.365862) + (xy 217.608204 81.368857) + (xy 217.608201 81.368859) + (xy 217.591878 81.383174) + (xy 217.459553 81.49922) + (xy 217.456745 81.502782) + (xy 217.357388 81.628817) + (xy 217.334337 81.658057) + (xy 217.332223 81.662075) + (xy 217.273665 81.773374) + (xy 217.229837 81.816069) + (xy 217.169285 81.824848) + (xy 217.115137 81.796359) + (xy 217.097261 81.771064) + (xy 217.05561 81.686603) + (xy 217.055605 81.686595) + (xy 217.053599 81.682527) + (xy 216.932583 81.520467) + (xy 216.78406 81.383174) + (xy 216.761373 81.368859) + (xy 216.616842 81.277667) + (xy 216.613005 81.275246) + (xy 216.425146 81.200298) + (xy 216.226774 81.160839) + (xy 216.127178 81.159535) + (xy 216.029074 81.158251) + (xy 216.029069 81.158251) + (xy 216.024534 81.158192) + (xy 216.020061 81.158961) + (xy 216.020056 81.158961) + (xy 215.949599 81.171068) + (xy 215.825197 81.192444) + (xy 215.635441 81.262449) + (xy 215.461619 81.365862) + (xy 215.458204 81.368857) + (xy 215.458201 81.368859) + (xy 215.441878 81.383174) + (xy 215.309553 81.49922) + (xy 215.306745 81.502782) + (xy 215.207388 81.628817) + (xy 215.184337 81.658057) + (xy 215.182223 81.662075) + (xy 215.123665 81.773374) + (xy 215.079837 81.816069) + (xy 215.019285 81.824848) + (xy 214.965137 81.796359) + (xy 214.947261 81.771064) + (xy 214.90561 81.686603) + (xy 214.905605 81.686595) + (xy 214.903599 81.682527) + (xy 214.782583 81.520467) + (xy 214.63406 81.383174) + (xy 214.611373 81.368859) + (xy 214.466842 81.277667) + (xy 214.463005 81.275246) + (xy 214.275146 81.200298) + (xy 214.076774 81.160839) + (xy 213.977178 81.159535) + (xy 213.879074 81.158251) + (xy 213.879069 81.158251) + (xy 213.874534 81.158192) + (xy 213.870061 81.158961) + (xy 213.870056 81.158961) + (xy 213.799599 81.171068) + (xy 213.675197 81.192444) + (xy 213.485441 81.262449) + (xy 213.311619 81.365862) + (xy 213.308204 81.368857) + (xy 213.308201 81.368859) + (xy 213.291878 81.383174) + (xy 213.159553 81.49922) + (xy 213.156745 81.502782) + (xy 213.057388 81.628817) + (xy 213.034337 81.658057) + (xy 213.032223 81.662075) + (xy 212.973665 81.773374) + (xy 212.929837 81.816069) + (xy 212.869285 81.824848) + (xy 212.815137 81.796359) + (xy 212.797261 81.771064) + (xy 212.75561 81.686603) + (xy 212.755605 81.686595) + (xy 212.753599 81.682527) + (xy 212.632583 81.520467) + (xy 212.48406 81.383174) + (xy 212.461373 81.368859) + (xy 212.316842 81.277667) + (xy 212.313005 81.275246) + (xy 212.125146 81.200298) + (xy 211.926774 81.160839) + (xy 211.827178 81.159535) + (xy 211.729074 81.158251) + (xy 211.729069 81.158251) + (xy 211.724534 81.158192) + (xy 211.720061 81.158961) + (xy 211.720056 81.158961) + (xy 211.649599 81.171068) + (xy 211.525197 81.192444) + (xy 211.335441 81.262449) + (xy 211.161619 81.365862) + (xy 211.158204 81.368857) + (xy 211.158201 81.368859) + (xy 211.141878 81.383174) + (xy 211.009553 81.49922) + (xy 211.006745 81.502782) + (xy 210.907388 81.628817) + (xy 210.884337 81.658057) + (xy 210.882223 81.662075) + (xy 210.823665 81.773374) + (xy 210.779837 81.816069) + (xy 210.719285 81.824848) + (xy 210.665137 81.796359) + (xy 210.647261 81.771064) + (xy 210.60561 81.686603) + (xy 210.605605 81.686595) + (xy 210.603599 81.682527) + (xy 210.482583 81.520467) + (xy 210.33406 81.383174) + (xy 210.311373 81.368859) + (xy 210.166842 81.277667) + (xy 210.163005 81.275246) + (xy 209.975146 81.200298) + (xy 209.776774 81.160839) + (xy 209.677178 81.159535) + (xy 209.579074 81.158251) + (xy 209.579069 81.158251) + (xy 209.574534 81.158192) + (xy 209.570061 81.158961) + (xy 209.570056 81.158961) + (xy 209.499599 81.171068) + (xy 209.375197 81.192444) + (xy 209.185441 81.262449) + (xy 209.011619 81.365862) + (xy 209.008204 81.368857) + (xy 209.008201 81.368859) + (xy 208.991878 81.383174) + (xy 208.859553 81.49922) + (xy 208.856745 81.502782) + (xy 208.757388 81.628817) + (xy 208.734337 81.658057) + (xy 208.640162 81.837053) + (xy 208.638817 81.841384) + (xy 208.638816 81.841387) + (xy 208.585304 82.013728) + (xy 208.580185 82.030213) + (xy 208.572014 82.099249) + (xy 208.55915 82.20794) + (xy 208.556412 82.231069) + (xy 195.113805 82.231069) + (xy 195.11168 82.20794) + (xy 195.098371 82.063109) + (xy 195.097956 82.058591) + (xy 195.043055 81.863926) + (xy 194.953599 81.682527) + (xy 194.832583 81.520467) + (xy 194.68406 81.383174) + (xy 194.661373 81.368859) + (xy 194.516842 81.277667) + (xy 194.513005 81.275246) + (xy 194.325146 81.200298) + (xy 194.126774 81.160839) + (xy 194.027178 81.159535) + (xy 193.929074 81.158251) + (xy 193.929069 81.158251) + (xy 193.924534 81.158192) + (xy 193.920061 81.158961) + (xy 193.920056 81.158961) + (xy 193.849599 81.171068) + (xy 193.725197 81.192444) + (xy 193.535441 81.262449) + (xy 193.361619 81.365862) + (xy 193.358204 81.368857) + (xy 193.358201 81.368859) + (xy 193.341878 81.383174) + (xy 193.209553 81.49922) + (xy 193.206745 81.502782) + (xy 193.107388 81.628817) + (xy 193.084337 81.658057) + (xy 193.082223 81.662075) + (xy 193.023665 81.773374) + (xy 192.979837 81.816069) + (xy 192.919285 81.824848) + (xy 192.865137 81.796359) + (xy 192.847261 81.771064) + (xy 192.80561 81.686603) + (xy 192.805605 81.686595) + (xy 192.803599 81.682527) + (xy 192.682583 81.520467) + (xy 192.53406 81.383174) + (xy 192.511373 81.368859) + (xy 192.366842 81.277667) + (xy 192.363005 81.275246) + (xy 192.175146 81.200298) + (xy 191.976774 81.160839) + (xy 191.877178 81.159535) + (xy 191.779074 81.158251) + (xy 191.779069 81.158251) + (xy 191.774534 81.158192) + (xy 191.770061 81.158961) + (xy 191.770056 81.158961) + (xy 191.699599 81.171068) + (xy 191.575197 81.192444) + (xy 191.385441 81.262449) + (xy 191.211619 81.365862) + (xy 191.208204 81.368857) + (xy 191.208201 81.368859) + (xy 191.191878 81.383174) + (xy 191.059553 81.49922) + (xy 191.056745 81.502782) + (xy 190.957388 81.628817) + (xy 190.934337 81.658057) + (xy 190.932223 81.662075) + (xy 190.873665 81.773374) + (xy 190.829837 81.816069) + (xy 190.769285 81.824848) + (xy 190.715137 81.796359) + (xy 190.697261 81.771064) + (xy 190.65561 81.686603) + (xy 190.655605 81.686595) + (xy 190.653599 81.682527) + (xy 190.532583 81.520467) + (xy 190.38406 81.383174) + (xy 190.361373 81.368859) + (xy 190.216842 81.277667) + (xy 190.213005 81.275246) + (xy 190.025146 81.200298) + (xy 189.826774 81.160839) + (xy 189.727178 81.159535) + (xy 189.629074 81.158251) + (xy 189.629069 81.158251) + (xy 189.624534 81.158192) + (xy 189.620061 81.158961) + (xy 189.620056 81.158961) + (xy 189.549599 81.171068) + (xy 189.425197 81.192444) + (xy 189.235441 81.262449) + (xy 189.061619 81.365862) + (xy 189.058204 81.368857) + (xy 189.058201 81.368859) + (xy 189.041878 81.383174) + (xy 188.909553 81.49922) + (xy 188.906745 81.502782) + (xy 188.807388 81.628817) + (xy 188.784337 81.658057) + (xy 188.782223 81.662075) + (xy 188.723665 81.773374) + (xy 188.679837 81.816069) + (xy 188.619285 81.824848) + (xy 188.565137 81.796359) + (xy 188.547261 81.771064) + (xy 188.50561 81.686603) + (xy 188.505605 81.686595) + (xy 188.503599 81.682527) + (xy 188.382583 81.520467) + (xy 188.23406 81.383174) + (xy 188.211373 81.368859) + (xy 188.066842 81.277667) + (xy 188.063005 81.275246) + (xy 187.875146 81.200298) + (xy 187.676774 81.160839) + (xy 187.577178 81.159535) + (xy 187.479074 81.158251) + (xy 187.479069 81.158251) + (xy 187.474534 81.158192) + (xy 187.470061 81.158961) + (xy 187.470056 81.158961) + (xy 187.399599 81.171068) + (xy 187.275197 81.192444) + (xy 187.085441 81.262449) + (xy 186.911619 81.365862) + (xy 186.908204 81.368857) + (xy 186.908201 81.368859) + (xy 186.891878 81.383174) + (xy 186.759553 81.49922) + (xy 186.756745 81.502782) + (xy 186.657388 81.628817) + (xy 186.634337 81.658057) + (xy 186.632223 81.662075) + (xy 186.573665 81.773374) + (xy 186.529837 81.816069) + (xy 186.469285 81.824848) + (xy 186.415137 81.796359) + (xy 186.397261 81.771064) + (xy 186.35561 81.686603) + (xy 186.355605 81.686595) + (xy 186.353599 81.682527) + (xy 186.232583 81.520467) + (xy 186.08406 81.383174) + (xy 186.061373 81.368859) + (xy 185.916842 81.277667) + (xy 185.913005 81.275246) + (xy 185.725146 81.200298) + (xy 185.526774 81.160839) + (xy 185.427178 81.159535) + (xy 185.329074 81.158251) + (xy 185.329069 81.158251) + (xy 185.324534 81.158192) + (xy 185.320061 81.158961) + (xy 185.320056 81.158961) + (xy 185.249599 81.171068) + (xy 185.125197 81.192444) + (xy 184.935441 81.262449) + (xy 184.761619 81.365862) + (xy 184.758204 81.368857) + (xy 184.758201 81.368859) + (xy 184.741878 81.383174) + (xy 184.609553 81.49922) + (xy 184.606745 81.502782) + (xy 184.507388 81.628817) + (xy 184.484337 81.658057) + (xy 184.482223 81.662075) + (xy 184.423665 81.773374) + (xy 184.379837 81.816069) + (xy 184.319285 81.824848) + (xy 184.265137 81.796359) + (xy 184.247261 81.771064) + (xy 184.20561 81.686603) + (xy 184.205605 81.686595) + (xy 184.203599 81.682527) + (xy 184.082583 81.520467) + (xy 183.93406 81.383174) + (xy 183.911373 81.368859) + (xy 183.766842 81.277667) + (xy 183.763005 81.275246) + (xy 183.575146 81.200298) + (xy 183.376774 81.160839) + (xy 183.277178 81.159535) + (xy 183.179074 81.158251) + (xy 183.179069 81.158251) + (xy 183.174534 81.158192) + (xy 183.170061 81.158961) + (xy 183.170056 81.158961) + (xy 183.099599 81.171068) + (xy 182.975197 81.192444) + (xy 182.785441 81.262449) + (xy 182.611619 81.365862) + (xy 182.608204 81.368857) + (xy 182.608201 81.368859) + (xy 182.591878 81.383174) + (xy 182.459553 81.49922) + (xy 182.456745 81.502782) + (xy 182.357388 81.628817) + (xy 182.334337 81.658057) + (xy 182.332223 81.662075) + (xy 182.273665 81.773374) + (xy 182.229837 81.816069) + (xy 182.169285 81.824848) + (xy 182.115137 81.796359) + (xy 182.097261 81.771064) + (xy 182.05561 81.686603) + (xy 182.055605 81.686595) + (xy 182.053599 81.682527) + (xy 181.932583 81.520467) + (xy 181.78406 81.383174) + (xy 181.761373 81.368859) + (xy 181.616842 81.277667) + (xy 181.613005 81.275246) + (xy 181.425146 81.200298) + (xy 181.226774 81.160839) + (xy 181.127178 81.159535) + (xy 181.029074 81.158251) + (xy 181.029069 81.158251) + (xy 181.024534 81.158192) + (xy 181.020061 81.158961) + (xy 181.020056 81.158961) + (xy 180.949599 81.171068) + (xy 180.825197 81.192444) + (xy 180.635441 81.262449) + (xy 180.461619 81.365862) + (xy 180.458204 81.368857) + (xy 180.458201 81.368859) + (xy 180.441878 81.383174) + (xy 180.309553 81.49922) + (xy 180.306745 81.502782) + (xy 180.207388 81.628817) + (xy 180.184337 81.658057) + (xy 180.182223 81.662075) + (xy 180.123665 81.773374) + (xy 180.079837 81.816069) + (xy 180.019285 81.824848) + (xy 179.965137 81.796359) + (xy 179.947261 81.771064) + (xy 179.90561 81.686603) + (xy 179.905605 81.686595) + (xy 179.903599 81.682527) + (xy 179.782583 81.520467) + (xy 179.63406 81.383174) + (xy 179.611373 81.368859) + (xy 179.466842 81.277667) + (xy 179.463005 81.275246) + (xy 179.275146 81.200298) + (xy 179.076774 81.160839) + (xy 178.977178 81.159535) + (xy 178.879074 81.158251) + (xy 178.879069 81.158251) + (xy 178.874534 81.158192) + (xy 178.870061 81.158961) + (xy 178.870056 81.158961) + (xy 178.799599 81.171068) + (xy 178.675197 81.192444) + (xy 178.485441 81.262449) + (xy 178.311619 81.365862) + (xy 178.308204 81.368857) + (xy 178.308201 81.368859) + (xy 178.291878 81.383174) + (xy 178.159553 81.49922) + (xy 178.156745 81.502782) + (xy 178.057388 81.628817) + (xy 178.034337 81.658057) + (xy 178.032223 81.662075) + (xy 177.973665 81.773374) + (xy 177.929837 81.816069) + (xy 177.869285 81.824848) + (xy 177.815137 81.796359) + (xy 177.797261 81.771064) + (xy 177.75561 81.686603) + (xy 177.755605 81.686595) + (xy 177.753599 81.682527) + (xy 177.632583 81.520467) + (xy 177.48406 81.383174) + (xy 177.461373 81.368859) + (xy 177.316842 81.277667) + (xy 177.313005 81.275246) + (xy 177.125146 81.200298) + (xy 176.926774 81.160839) + (xy 176.827178 81.159535) + (xy 176.729074 81.158251) + (xy 176.729069 81.158251) + (xy 176.724534 81.158192) + (xy 176.720061 81.158961) + (xy 176.720056 81.158961) + (xy 176.649599 81.171068) + (xy 176.525197 81.192444) + (xy 176.335441 81.262449) + (xy 176.161619 81.365862) + (xy 176.158204 81.368857) + (xy 176.158201 81.368859) + (xy 176.141878 81.383174) + (xy 176.009553 81.49922) + (xy 176.006745 81.502782) + (xy 175.907388 81.628817) + (xy 175.884337 81.658057) + (xy 175.882223 81.662075) + (xy 175.823665 81.773374) + (xy 175.779837 81.816069) + (xy 175.719285 81.824848) + (xy 175.665137 81.796359) + (xy 175.647261 81.771064) + (xy 175.60561 81.686603) + (xy 175.605605 81.686595) + (xy 175.603599 81.682527) + (xy 175.482583 81.520467) + (xy 175.33406 81.383174) + (xy 175.311373 81.368859) + (xy 175.166842 81.277667) + (xy 175.163005 81.275246) + (xy 174.975146 81.200298) + (xy 174.776774 81.160839) + (xy 174.677178 81.159535) + (xy 174.579074 81.158251) + (xy 174.579069 81.158251) + (xy 174.574534 81.158192) + (xy 174.570061 81.158961) + (xy 174.570056 81.158961) + (xy 174.499599 81.171068) + (xy 174.375197 81.192444) + (xy 174.185441 81.262449) + (xy 174.011619 81.365862) + (xy 174.008204 81.368857) + (xy 174.008201 81.368859) + (xy 173.991878 81.383174) + (xy 173.859553 81.49922) + (xy 173.856745 81.502782) + (xy 173.757388 81.628817) + (xy 173.734337 81.658057) + (xy 173.732223 81.662075) + (xy 173.673665 81.773374) + (xy 173.629837 81.816069) + (xy 173.569285 81.824848) + (xy 173.515137 81.796359) + (xy 173.497261 81.771064) + (xy 173.45561 81.686603) + (xy 173.455605 81.686595) + (xy 173.453599 81.682527) + (xy 173.332583 81.520467) + (xy 173.18406 81.383174) + (xy 173.161373 81.368859) + (xy 173.016842 81.277667) + (xy 173.013005 81.275246) + (xy 172.825146 81.200298) + (xy 172.626774 81.160839) + (xy 172.527178 81.159535) + (xy 172.429074 81.158251) + (xy 172.429069 81.158251) + (xy 172.424534 81.158192) + (xy 172.420061 81.158961) + (xy 172.420056 81.158961) + (xy 172.349599 81.171068) + (xy 172.225197 81.192444) + (xy 172.035441 81.262449) + (xy 171.861619 81.365862) + (xy 171.858204 81.368857) + (xy 171.858201 81.368859) + (xy 171.841878 81.383174) + (xy 171.709553 81.49922) + (xy 171.706745 81.502782) + (xy 171.607388 81.628817) + (xy 171.584337 81.658057) + (xy 171.582223 81.662075) + (xy 171.523665 81.773374) + (xy 171.479837 81.816069) + (xy 171.419285 81.824848) + (xy 171.365137 81.796359) + (xy 171.347261 81.771064) + (xy 171.30561 81.686603) + (xy 171.305605 81.686595) + (xy 171.303599 81.682527) + (xy 171.182583 81.520467) + (xy 171.03406 81.383174) + (xy 171.011373 81.368859) + (xy 170.866842 81.277667) + (xy 170.863005 81.275246) + (xy 170.675146 81.200298) + (xy 170.476774 81.160839) + (xy 170.377178 81.159535) + (xy 170.279074 81.158251) + (xy 170.279069 81.158251) + (xy 170.274534 81.158192) + (xy 170.270061 81.158961) + (xy 170.270056 81.158961) + (xy 170.199599 81.171068) + (xy 170.075197 81.192444) + (xy 169.885441 81.262449) + (xy 169.711619 81.365862) + (xy 169.708204 81.368857) + (xy 169.708201 81.368859) + (xy 169.691878 81.383174) + (xy 169.559553 81.49922) + (xy 169.556745 81.502782) + (xy 169.457388 81.628817) + (xy 169.434337 81.658057) + (xy 169.432223 81.662075) + (xy 169.373665 81.773374) + (xy 169.329837 81.816069) + (xy 169.269285 81.824848) + (xy 169.215137 81.796359) + (xy 169.197261 81.771064) + (xy 169.15561 81.686603) + (xy 169.155605 81.686595) + (xy 169.153599 81.682527) + (xy 169.032583 81.520467) + (xy 168.88406 81.383174) + (xy 168.861373 81.368859) + (xy 168.716842 81.277667) + (xy 168.713005 81.275246) + (xy 168.525146 81.200298) + (xy 168.326774 81.160839) + (xy 168.227178 81.159535) + (xy 168.129074 81.158251) + (xy 168.129069 81.158251) + (xy 168.124534 81.158192) + (xy 168.120061 81.158961) + (xy 168.120056 81.158961) + (xy 168.049599 81.171068) + (xy 167.925197 81.192444) + (xy 167.735441 81.262449) + (xy 167.561619 81.365862) + (xy 167.558204 81.368857) + (xy 167.558201 81.368859) + (xy 167.541878 81.383174) + (xy 167.409553 81.49922) + (xy 167.406745 81.502782) + (xy 167.307388 81.628817) + (xy 167.284337 81.658057) + (xy 167.282223 81.662075) + (xy 167.223665 81.773374) + (xy 167.179837 81.816069) + (xy 167.119285 81.824848) + (xy 167.065137 81.796359) + (xy 167.047261 81.771064) + (xy 167.00561 81.686603) + (xy 167.005605 81.686595) + (xy 167.003599 81.682527) + (xy 166.882583 81.520467) + (xy 166.73406 81.383174) + (xy 166.711373 81.368859) + (xy 166.566842 81.277667) + (xy 166.563005 81.275246) + (xy 166.375146 81.200298) + (xy 166.176774 81.160839) + (xy 166.077178 81.159535) + (xy 165.979074 81.158251) + (xy 165.979069 81.158251) + (xy 165.974534 81.158192) + (xy 165.970061 81.158961) + (xy 165.970056 81.158961) + (xy 165.899599 81.171068) + (xy 165.775197 81.192444) + (xy 165.585441 81.262449) + (xy 165.411619 81.365862) + (xy 165.408204 81.368857) + (xy 165.408201 81.368859) + (xy 165.391878 81.383174) + (xy 165.259553 81.49922) + (xy 165.256745 81.502782) + (xy 165.157388 81.628817) + (xy 165.134337 81.658057) + (xy 165.040162 81.837053) + (xy 165.038817 81.841384) + (xy 165.038816 81.841387) + (xy 164.985304 82.013728) + (xy 164.980185 82.030213) + (xy 164.972014 82.099249) + (xy 164.95915 82.20794) + (xy 164.956412 82.231069) + (xy 155.428639 82.231069) + (xy 155.513547 82.208794) + (xy 155.513548 82.208794) + (xy 155.516802 82.20794) + (xy 155.682838 82.139165) + (xy 155.771994 82.102236) + (xy 155.771997 82.102235) + (xy 155.775103 82.100948) + (xy 155.778008 82.099251) + (xy 155.778011 82.099249) + (xy 156.013577 81.961595) + (xy 156.016493 81.959891) + (xy 156.236506 81.787379) + (xy 156.431071 81.586603) + (xy 156.467774 81.536639) + (xy 156.529122 81.453123) + (xy 156.596588 81.36128) + (xy 156.628112 81.303221) + (xy 156.706438 81.158961) + (xy 156.729993 81.115578) + (xy 156.828818 80.854044) + (xy 156.855009 80.739689) + (xy 156.890482 80.584808) + (xy 156.890483 80.584801) + (xy 156.891235 80.581518) + (xy 156.916088 80.303043) + (xy 156.916539 80.26) + (xy 156.915072 80.238477) + (xy 279.56607 80.238477) + (xy 279.566264 80.241842) + (xy 279.566264 80.241846) + (xy 279.569793 80.303043) + (xy 279.582164 80.517596) + (xy 279.635989 80.791948) + (xy 279.637082 80.79514) + (xy 279.715751 81.024912) + (xy 279.726551 81.056457) + (xy 279.852173 81.306228) + (xy 280.01053 81.536639) + (xy 280.0128 81.539133) + (xy 280.012801 81.539135) + (xy 280.146983 81.686599) + (xy 280.198692 81.743427) + (xy 280.201279 81.74559) + (xy 280.20128 81.745591) + (xy 280.234508 81.773374) + (xy 280.413178 81.922765) + (xy 280.650019 82.071335) + (xy 280.653087 82.07272) + (xy 280.653094 82.072724) + (xy 280.818606 82.147455) + (xy 280.904831 82.186387) + (xy 280.908053 82.187341) + (xy 280.90806 82.187344) + (xy 281.055674 82.231069) + (xy 281.1729 82.265793) + (xy 281.176225 82.266302) + (xy 281.176226 82.266302) + (xy 281.445932 82.307573) + (xy 281.445935 82.307573) + (xy 281.449266 82.308083) + (xy 281.452637 82.308136) + (xy 281.452638 82.308136) + (xy 281.500819 82.308893) + (xy 281.728814 82.312474) + (xy 281.732151 82.31207) + (xy 281.732155 82.31207) + (xy 282.003027 82.279291) + (xy 282.003032 82.27929) + (xy 282.006371 82.278886) + (xy 282.188639 82.231069) + (xy 282.273547 82.208794) + (xy 282.273548 82.208794) + (xy 282.276802 82.20794) + (xy 282.442838 82.139165) + (xy 282.531994 82.102236) + (xy 282.531997 82.102235) + (xy 282.535103 82.100948) + (xy 282.538008 82.099251) + (xy 282.538011 82.099249) + (xy 282.773577 81.961595) + (xy 282.776493 81.959891) + (xy 282.996506 81.787379) + (xy 283.191071 81.586603) + (xy 283.227774 81.536639) + (xy 283.289122 81.453123) + (xy 283.356588 81.36128) + (xy 283.388112 81.303221) + (xy 283.466438 81.158961) + (xy 283.489993 81.115578) + (xy 283.588818 80.854044) + (xy 283.615009 80.739688) + (xy 283.650482 80.584808) + (xy 283.650483 80.584801) + (xy 283.651235 80.581518) + (xy 283.676088 80.303043) + (xy 283.676539 80.26) + (xy 283.657523 79.981065) + (xy 283.600827 79.707292) + (xy 283.507501 79.443746) + (xy 283.49579 79.421056) + (xy 283.380821 79.198308) + (xy 283.380818 79.198302) + (xy 283.37927 79.195304) + (xy 283.218509 78.966563) + (xy 283.20076 78.947462) + (xy 283.030486 78.764226) + (xy 283.028192 78.761757) + (xy 282.811839 78.584675) + (xy 282.573456 78.438594) + (xy 282.570374 78.437241) + (xy 282.320536 78.327569) + (xy 282.320532 78.327567) + (xy 282.317453 78.326216) + (xy 282.268165 78.312176) + (xy 282.051819 78.250548) + (xy 282.051814 78.250547) + (xy 282.048567 78.249622) + (xy 281.771774 78.210229) + (xy 281.768407 78.210211) + (xy 281.768402 78.210211) + (xy 281.643435 78.209557) + (xy 281.492195 78.208765) + (xy 281.215005 78.245257) + (xy 281.211754 78.246146) + (xy 281.211751 78.246147) + (xy 281.120863 78.271012) + (xy 280.945331 78.319032) + (xy 280.688165 78.428722) + (xy 280.448266 78.572299) + (xy 280.230071 78.747106) + (xy 280.037619 78.949908) + (xy 280.035654 78.952643) + (xy 280.035652 78.952645) + (xy 280.023669 78.969322) + (xy 279.874471 79.176952) + (xy 279.743646 79.424038) + (xy 279.647565 79.686592) + (xy 279.588005 79.959757) + (xy 279.56607 80.238477) + (xy 156.915072 80.238477) + (xy 156.897523 79.981065) + (xy 156.840827 79.707292) + (xy 156.747501 79.443746) + (xy 156.73579 79.421056) + (xy 156.620821 79.198308) + (xy 156.620818 79.198302) + (xy 156.61927 79.195304) + (xy 156.458509 78.966563) + (xy 156.44076 78.947462) + (xy 156.270486 78.764226) + (xy 156.268192 78.761757) + (xy 156.051839 78.584675) + (xy 155.813456 78.438594) + (xy 155.810374 78.437241) + (xy 155.560536 78.327569) + (xy 155.560532 78.327567) + (xy 155.557453 78.326216) + (xy 155.508165 78.312176) + (xy 155.291819 78.250548) + (xy 155.291814 78.250547) + (xy 155.288567 78.249622) + (xy 155.011774 78.210229) + (xy 155.008407 78.210211) + (xy 155.008402 78.210211) + (xy 154.883435 78.209557) + (xy 154.732195 78.208765) + (xy 154.455005 78.245257) + (xy 154.451754 78.246146) + (xy 154.451751 78.246147) + (xy 154.360863 78.271012) + (xy 154.185331 78.319032) + (xy 153.928165 78.428722) + (xy 153.688266 78.572299) + (xy 153.470071 78.747106) + (xy 153.277619 78.949908) + (xy 153.275654 78.952643) + (xy 153.275652 78.952645) + (xy 153.263669 78.969322) + (xy 153.114471 79.176952) + (xy 152.983646 79.424038) + (xy 152.887565 79.686592) + (xy 152.828005 79.959757) + (xy 152.80607 80.238477) + (xy 151.355163 80.238477) + (xy 151.346667 76.785938) + (xy 151.365431 76.727701) + (xy 151.414843 76.691615) + (xy 151.428494 76.688195) + (xy 162.510203 74.736364) + (xy 162.527376 74.734863) + (xy 274.395082 74.734863) + ) + ) + ) + (zone (net 0) (net_name "") (layer "B.Mask") (tstamp 38f18188-ebdb-49d3-96c8-53502c600e14) (hatch edge 0.508) + (connect_pads (clearance 0.254)) + (min_thickness 0.254) (filled_areas_thickness no) + (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 294.579848 87.195664) + (xy 298.999448 87.144864) + (xy 300.498048 88.668864) + (xy 300.498048 178.203864) + (xy 299.050248 179.702464) + (xy 296.180048 179.702464) + (xy 294.935448 179.829464) + (xy 293.513048 180.261264) + (xy 291.862048 180.870864) + (xy 290.414248 181.988464) + (xy 289.347448 183.182264) + (xy 288.077448 185.620664) + (xy 287.798048 187.195464) + (xy 287.671048 188.617864) + (xy 287.645648 189.456064) + (xy 287.620248 191.132464) + (xy 286.197848 192.580264) + (xy 150.688848 192.605664) + (xy 149.317248 191.132464) + (xy 149.291848 188.490864) + (xy 149.241048 187.678064) + (xy 149.164848 186.865264) + (xy 148.707648 185.493664) + (xy 148.402848 184.782464) + (xy 148.072648 184.198264) + (xy 147.894848 183.868064) + (xy 147.310648 183.055264) + (xy 146.777248 182.369464) + (xy 145.507248 181.226464) + (xy 143.780048 180.235864) + (xy 141.722648 179.753264) + (xy 139.589048 179.677064) + (xy 137.887248 179.702464) + (xy 136.464848 178.280064) + (xy 136.490248 88.592664) + (xy 137.912648 87.144864) + (xy 142.408448 87.170264) + (xy 142.408448 175.028864) + (xy 144.288048 175.079664) + (xy 146.955048 175.917864) + (xy 148.428248 176.629064) + (xy 150.180848 177.924464) + (xy 151.527048 179.219864) + (xy 152.822448 181.201064) + (xy 153.736848 183.461664) + (xy 154.219448 185.925464) + (xy 154.244848 186.662064) + (xy 282.921248 186.509664) + (xy 282.997448 185.265064) + (xy 283.759448 182.115464) + (xy 284.953248 180.032664) + (xy 286.299448 178.432464) + (xy 287.747248 177.238664) + (xy 289.144248 176.375064) + (xy 291.455648 175.384464) + (xy 292.979648 175.130464) + (xy 293.589248 174.978064) + (xy 294.097248 174.901864) + (xy 294.503648 174.901864) + ) + ) + (filled_polygon + (layer "B.Mask") + (island) + (pts + (xy 298.986002 87.184832) + (xy 299.054112 87.204869) + (xy 299.075778 87.222488) + (xy 300.425089 88.594668) + (xy 300.458589 88.657264) + (xy 300.461248 88.683012) + (xy 300.461248 151.559225) + (xy 300.441246 151.627346) + (xy 300.38759 151.673839) + (xy 300.335609 151.685224) + (xy 298.163564 151.691446) + (xy 298.158551 151.69166) + (xy 297.919891 151.711436) + (xy 297.909643 151.713146) + (xy 297.680121 151.77127) + (xy 297.670299 151.774641) + (xy 297.453468 151.869751) + (xy 297.444329 151.874697) + (xy 297.246121 152.004193) + (xy 297.237914 152.010581) + (xy 297.063723 152.170935) + (xy 297.056686 152.178578) + (xy 296.911255 152.365429) + (xy 296.905577 152.37412) + (xy 296.79289 152.582346) + (xy 296.78871 152.591874) + (xy 296.711834 152.815811) + (xy 296.709284 152.825881) + (xy 296.670313 153.059421) + (xy 296.669455 153.069769) + (xy 296.669455 153.306547) + (xy 296.670313 153.316895) + (xy 296.709284 153.550435) + (xy 296.711834 153.560505) + (xy 296.78871 153.784442) + (xy 296.79289 153.79397) + (xy 296.905577 154.002196) + (xy 296.911255 154.010887) + (xy 297.056686 154.197738) + (xy 297.063723 154.205381) + (xy 297.237914 154.365735) + (xy 297.246121 154.372123) + (xy 297.444329 154.501619) + (xy 297.453468 154.506565) + (xy 297.670299 154.601675) + (xy 297.680121 154.605046) + (xy 297.909643 154.66317) + (xy 297.919891 154.66488) + (xy 298.158447 154.684648) + (xy 298.163662 154.684863) + (xy 300.335248 154.684863) + (xy 300.403369 154.704865) + (xy 300.449862 154.758521) + (xy 300.461248 154.810863) + (xy 300.461248 178.191033) + (xy 300.441246 178.259154) + (xy 300.425866 178.278579) + (xy 299.929227 178.792644) + (xy 299.927704 178.794194) + (xy 299.083938 179.637959) + (xy 299.021626 179.671984) + (xy 298.994843 179.674863) + (xy 296.602249 179.674863) + (xy 296.600261 179.674895) + (xy 296.014659 179.694066) + (xy 296.010578 179.694334) + (xy 295.428582 179.751655) + (xy 295.424463 179.752197) + (xy 294.847478 179.847458) + (xy 294.843413 179.848267) + (xy 294.273884 179.981063) + (xy 294.269918 179.982125) + (xy 293.71025 180.151898) + (xy 293.706367 180.153217) + (xy 293.159024 180.359222) + (xy 293.155228 180.360794) + (xy 292.622535 180.602154) + (xy 292.618823 180.603985) + (xy 292.462096 180.687757) + (xy 292.43968 180.697086) + (xy 292.379071 180.715694) + (xy 292.362241 180.723769) + (xy 292.248014 180.801385) + (xy 292.236595 180.80829) + (xy 292.103068 180.879661) + (xy 292.099494 180.881725) + (xy 291.602874 181.190543) + (xy 291.599437 181.192839) + (xy 291.124054 181.533494) + (xy 291.120816 181.535979) + (xy 290.903382 181.714423) + (xy 290.894262 181.721242) + (xy 290.396211 182.059661) + (xy 290.383168 182.071519) + (xy 289.156418 183.55208) + (xy 289.149074 183.563143) + (xy 288.953607 183.938439) + (xy 288.948855 183.946772) + (xy 288.80811 184.173109) + (xy 288.806046 184.176683) + (xy 288.53037 184.692438) + (xy 288.528539 184.69615) + (xy 288.287179 185.228843) + (xy 288.285607 185.232639) + (xy 288.079602 185.779982) + (xy 288.078283 185.783865) + (xy 287.90851 186.343533) + (xy 287.907448 186.347499) + (xy 287.774652 186.917028) + (xy 287.773843 186.921093) + (xy 287.678582 187.498078) + (xy 287.67804 187.502197) + (xy 287.620719 188.084193) + (xy 287.620451 188.088274) + (xy 287.60128 188.673876) + (xy 287.601248 188.675864) + (xy 287.601248 191.06846) + (xy 287.581246 191.136581) + (xy 287.564343 191.157555) + (xy 286.223939 192.497958) + (xy 286.161627 192.531984) + (xy 286.134844 192.534863) + (xy 150.787651 192.534864) + (xy 150.71953 192.514862) + (xy 150.698556 192.497959) + (xy 149.358153 191.157555) + (xy 149.324127 191.095243) + (xy 149.321248 191.06846) + (xy 149.321248 189.029675) + (xy 206.221678 189.029675) + (xy 206.221678 189.040053) + (xy 206.241275 189.27656) + (xy 206.242987 189.286815) + (xy 206.301242 189.51686) + (xy 206.304615 189.526685) + (xy 206.399943 189.744011) + (xy 206.404886 189.753146) + (xy 206.534687 189.951821) + (xy 206.541066 189.960017) + (xy 206.701797 190.134616) + (xy 206.709437 190.14165) + (xy 206.896716 190.287415) + (xy 206.905408 190.293094) + (xy 207.11412 190.406043) + (xy 207.123637 190.410217) + (xy 207.348092 190.487272) + (xy 207.358162 190.489822) + (xy 207.592239 190.528883) + (xy 207.602587 190.529741) + (xy 207.839909 190.529741) + (xy 207.850257 190.528883) + (xy 208.084334 190.489822) + (xy 208.094404 190.487272) + (xy 208.318859 190.410217) + (xy 208.328376 190.406043) + (xy 208.537088 190.293094) + (xy 208.54578 190.287415) + (xy 208.733059 190.14165) + (xy 208.740699 190.134616) + (xy 208.90143 189.960017) + (xy 208.907809 189.951821) + (xy 209.03761 189.753146) + (xy 209.042553 189.744011) + (xy 209.137881 189.526685) + (xy 209.141254 189.51686) + (xy 209.199509 189.286815) + (xy 209.201221 189.27656) + (xy 209.220818 189.040053) + (xy 209.220818 189.029675) + (xy 222.421679 189.029675) + (xy 222.421679 189.040053) + (xy 222.441276 189.27656) + (xy 222.442988 189.286815) + (xy 222.501243 189.51686) + (xy 222.504616 189.526685) + (xy 222.599944 189.744011) + (xy 222.604887 189.753146) + (xy 222.734686 189.951816) + (xy 222.741073 189.960023) + (xy 222.901794 190.134612) + (xy 222.909437 190.141649) + (xy 223.096716 190.287414) + (xy 223.105408 190.293093) + (xy 223.31412 190.406042) + (xy 223.323637 190.410216) + (xy 223.548092 190.487271) + (xy 223.558162 190.489821) + (xy 223.792239 190.528882) + (xy 223.802587 190.52974) + (xy 224.039909 190.52974) + (xy 224.050257 190.528882) + (xy 224.284334 190.489821) + (xy 224.294404 190.487271) + (xy 224.518859 190.410216) + (xy 224.528376 190.406042) + (xy 224.737088 190.293093) + (xy 224.74578 190.287414) + (xy 224.933059 190.141649) + (xy 224.940702 190.134612) + (xy 225.101423 189.960023) + (xy 225.10781 189.951816) + (xy 225.237609 189.753146) + (xy 225.242552 189.744011) + (xy 225.33788 189.526685) + (xy 225.341253 189.51686) + (xy 225.399508 189.286815) + (xy 225.40122 189.27656) + (xy 225.420817 189.040053) + (xy 225.420817 189.029675) + (xy 225.40122 188.793168) + (xy 225.399508 188.782913) + (xy 225.341253 188.552868) + (xy 225.33788 188.543043) + (xy 225.242552 188.325717) + (xy 225.237609 188.316582) + (xy 225.10781 188.117912) + (xy 225.101423 188.109705) + (xy 224.940702 187.935116) + (xy 224.933059 187.928079) + (xy 224.74578 187.782314) + (xy 224.737088 187.776635) + (xy 224.528376 187.663686) + (xy 224.518859 187.659512) + (xy 224.294404 187.582457) + (xy 224.284334 187.579907) + (xy 224.050257 187.540846) + (xy 224.039909 187.539988) + (xy 223.802587 187.539988) + (xy 223.792239 187.540846) + (xy 223.558162 187.579907) + (xy 223.548092 187.582457) + (xy 223.323637 187.659512) + (xy 223.31412 187.663686) + (xy 223.105408 187.776635) + (xy 223.096716 187.782314) + (xy 222.909437 187.928079) + (xy 222.901794 187.935116) + (xy 222.741073 188.109705) + (xy 222.734686 188.117912) + (xy 222.604887 188.316582) + (xy 222.599944 188.325717) + (xy 222.504616 188.543043) + (xy 222.501243 188.552868) + (xy 222.442988 188.782913) + (xy 222.441276 188.793168) + (xy 222.421679 189.029675) + (xy 209.220818 189.029675) + (xy 209.201221 188.793168) + (xy 209.199509 188.782913) + (xy 209.141254 188.552868) + (xy 209.137881 188.543043) + (xy 209.042553 188.325717) + (xy 209.03761 188.316582) + (xy 208.907809 188.117907) + (xy 208.90143 188.109711) + (xy 208.740699 187.935112) + (xy 208.733059 187.928078) + (xy 208.54578 187.782313) + (xy 208.537088 187.776634) + (xy 208.328376 187.663685) + (xy 208.318859 187.659511) + (xy 208.094404 187.582456) + (xy 208.084334 187.579906) + (xy 207.850257 187.540845) + (xy 207.839909 187.539987) + (xy 207.602587 187.539987) + (xy 207.592239 187.540845) + (xy 207.358162 187.579906) + (xy 207.348092 187.582456) + (xy 207.123637 187.659511) + (xy 207.11412 187.663685) + (xy 206.905408 187.776634) + (xy 206.896716 187.782313) + (xy 206.709437 187.928078) + (xy 206.701797 187.935112) + (xy 206.541066 188.109711) + (xy 206.534687 188.117907) + (xy 206.404886 188.316582) + (xy 206.399943 188.325717) + (xy 206.304615 188.543043) + (xy 206.301242 188.552868) + (xy 206.242987 188.782913) + (xy 206.241275 188.793168) + (xy 206.221678 189.029675) + (xy 149.321248 189.029675) + (xy 149.321248 188.675865) + (xy 149.321216 188.673877) + (xy 149.302045 188.088275) + (xy 149.301777 188.084194) + (xy 149.244456 187.502198) + (xy 149.243914 187.498079) + (xy 149.198959 187.22579) + (xy 149.197826 187.217026) + (xy 149.191803 187.152783) + (xy 149.191499 187.150153) + (xy 149.144475 186.80755) + (xy 149.141834 186.796222) + (xy 149.069942 186.580547) + (xy 149.066768 186.569314) + (xy 149.015048 186.3475) + (xy 149.013986 186.343534) + (xy 148.844213 185.783866) + (xy 148.842894 185.779983) + (xy 148.636889 185.23264) + (xy 148.635317 185.228844) + (xy 148.393957 184.696151) + (xy 148.392126 184.692439) + (xy 148.11645 184.176684) + (xy 148.114386 184.17311) + (xy 147.805568 183.67649) + (xy 147.803272 183.673053) + (xy 147.462617 183.19767) + (xy 147.460132 183.194432) + (xy 147.115792 182.774854) + (xy 149.461678 182.774854) + (xy 149.461678 182.785232) + (xy 149.481275 183.021739) + (xy 149.482987 183.031994) + (xy 149.541242 183.262039) + (xy 149.544615 183.271864) + (xy 149.639943 183.48919) + (xy 149.644886 183.498325) + (xy 149.774687 183.697) + (xy 149.781066 183.705196) + (xy 149.941797 183.879795) + (xy 149.949437 183.886829) + (xy 150.136716 184.032594) + (xy 150.145408 184.038273) + (xy 150.35412 184.151222) + (xy 150.363637 184.155396) + (xy 150.588092 184.232451) + (xy 150.598162 184.235001) + (xy 150.832239 184.274062) + (xy 150.842587 184.27492) + (xy 151.079909 184.27492) + (xy 151.090257 184.274062) + (xy 151.324334 184.235001) + (xy 151.334404 184.232451) + (xy 151.558859 184.155396) + (xy 151.568376 184.151222) + (xy 151.777088 184.038273) + (xy 151.78578 184.032594) + (xy 151.973059 183.886829) + (xy 151.980699 183.879795) + (xy 152.14143 183.705196) + (xy 152.147809 183.697) + (xy 152.27761 183.498325) + (xy 152.282553 183.48919) + (xy 152.377881 183.271864) + (xy 152.381254 183.262039) + (xy 152.439509 183.031994) + (xy 152.441221 183.021739) + (xy 152.460818 182.785232) + (xy 152.460818 182.774854) + (xy 152.441221 182.538347) + (xy 152.439509 182.528092) + (xy 152.381254 182.298047) + (xy 152.377881 182.288222) + (xy 152.282553 182.070896) + (xy 152.27761 182.061761) + (xy 152.147809 181.863086) + (xy 152.14143 181.85489) + (xy 151.980699 181.680291) + (xy 151.973059 181.673257) + (xy 151.78578 181.527492) + (xy 151.777088 181.521813) + (xy 151.568376 181.408864) + (xy 151.558859 181.40469) + (xy 151.334404 181.327635) + (xy 151.324334 181.325085) + (xy 151.090257 181.286024) + (xy 151.079909 181.285166) + (xy 150.842587 181.285166) + (xy 150.832239 181.286024) + (xy 150.598162 181.325085) + (xy 150.588092 181.327635) + (xy 150.363637 181.40469) + (xy 150.35412 181.408864) + (xy 150.145408 181.521813) + (xy 150.136716 181.527492) + (xy 149.949437 181.673257) + (xy 149.941797 181.680291) + (xy 149.781066 181.85489) + (xy 149.774687 181.863086) + (xy 149.644886 182.061761) + (xy 149.639943 182.070896) + (xy 149.544615 182.288222) + (xy 149.541242 182.298047) + (xy 149.482987 182.528092) + (xy 149.481275 182.538347) + (xy 149.461678 182.774854) + (xy 147.115792 182.774854) + (xy 147.089112 182.742344) + (xy 147.086401 182.739252) + (xy 146.686598 182.312386) + (xy 146.683726 182.309514) + (xy 146.25686 181.909711) + (xy 146.253768 181.907) + (xy 145.80168 181.53598) + (xy 145.798442 181.533495) + (xy 145.534577 181.344412) + (xy 145.526676 181.338261) + (xy 145.308543 181.15406) + (xy 145.298925 181.147368) + (xy 144.140838 180.492797) + (xy 144.129992 180.487956) + (xy 143.815086 180.381766) + (xy 143.803347 180.377141) + (xy 143.76728 180.3608) + (xy 143.763472 180.359223) + (xy 143.216129 180.153218) + (xy 143.212246 180.151899) + (xy 142.652578 179.982126) + (xy 142.648612 179.981064) + (xy 142.583805 179.965953) + (xy 142.572156 179.96264) + (xy 142.4765 179.930384) + (xy 142.470757 179.928746) + (xy 141.728626 179.754666) + (xy 141.716515 179.753045) + (xy 141.393323 179.741502) + (xy 141.38547 179.740975) + (xy 140.911918 179.694335) + (xy 140.907837 179.694067) + (xy 140.322235 179.674896) + (xy 140.320247 179.674864) + (xy 137.927651 179.674864) + (xy 137.85953 179.654862) + (xy 137.838556 179.637959) + (xy 136.501765 178.301168) + (xy 136.467739 178.238856) + (xy 136.46486 178.212037) + (xy 136.471492 154.799139) + (xy 136.491513 154.731024) + (xy 136.545182 154.684547) + (xy 136.597789 154.673175) + (xy 138.758587 154.678268) + (xy 138.7639 154.678054) + (xy 139.002405 154.658291) + (xy 139.012653 154.656581) + (xy 139.242175 154.598457) + (xy 139.251997 154.595086) + (xy 139.468828 154.499976) + (xy 139.477967 154.49503) + (xy 139.676175 154.365534) + (xy 139.684382 154.359146) + (xy 139.858573 154.198792) + (xy 139.86561 154.191149) + (xy 140.011041 154.004298) + (xy 140.016719 153.995607) + (xy 140.129406 153.787381) + (xy 140.133586 153.777853) + (xy 140.210462 153.553916) + (xy 140.213012 153.543846) + (xy 140.251983 153.310306) + (xy 140.252841 153.299958) + (xy 140.252841 153.06318) + (xy 140.251983 153.052832) + (xy 140.213012 152.819292) + (xy 140.210462 152.809222) + (xy 140.133586 152.585285) + (xy 140.129406 152.575757) + (xy 140.016719 152.367531) + (xy 140.011041 152.35884) + (xy 139.86561 152.171989) + (xy 139.858573 152.164346) + (xy 139.684382 152.003992) + (xy 139.676175 151.997604) + (xy 139.477967 151.868108) + (xy 139.468828 151.863162) + (xy 139.251997 151.768052) + (xy 139.242175 151.764681) + (xy 139.012653 151.706557) + (xy 139.002405 151.704847) + (xy 138.763849 151.685079) + (xy 138.758634 151.684864) + (xy 136.598411 151.684864) + (xy 136.53029 151.664862) + (xy 136.483797 151.611206) + (xy 136.472411 151.558828) + (xy 136.489656 90.679674) + (xy 138.561678 90.679674) + (xy 138.561678 90.690052) + (xy 138.581275 90.926559) + (xy 138.582987 90.936814) + (xy 138.641242 91.166859) + (xy 138.644615 91.176684) + (xy 138.739943 91.39401) + (xy 138.744886 91.403145) + (xy 138.874687 91.60182) + (xy 138.881066 91.610016) + (xy 139.041797 91.784615) + (xy 139.049437 91.791649) + (xy 139.236716 91.937414) + (xy 139.245408 91.943093) + (xy 139.45412 92.056042) + (xy 139.463637 92.060216) + (xy 139.688092 92.137271) + (xy 139.698162 92.139821) + (xy 139.932239 92.178882) + (xy 139.942587 92.17974) + (xy 140.179909 92.17974) + (xy 140.190257 92.178882) + (xy 140.424334 92.139821) + (xy 140.434404 92.137271) + (xy 140.658859 92.060216) + (xy 140.668376 92.056042) + (xy 140.877088 91.943093) + (xy 140.88578 91.937414) + (xy 141.073059 91.791649) + (xy 141.080699 91.784615) + (xy 141.24143 91.610016) + (xy 141.247809 91.60182) + (xy 141.37761 91.403145) + (xy 141.382553 91.39401) + (xy 141.477881 91.176684) + (xy 141.481254 91.166859) + (xy 141.539509 90.936814) + (xy 141.541221 90.926559) + (xy 141.560818 90.690052) + (xy 141.560818 90.679674) + (xy 141.541221 90.443167) + (xy 141.539509 90.432912) + (xy 141.481254 90.202867) + (xy 141.477881 90.193042) + (xy 141.382553 89.975716) + (xy 141.37761 89.966581) + (xy 141.247809 89.767906) + (xy 141.24143 89.75971) + (xy 141.080699 89.585111) + (xy 141.073059 89.578077) + (xy 140.88578 89.432312) + (xy 140.877088 89.426633) + (xy 140.668376 89.313684) + (xy 140.658859 89.30951) + (xy 140.434404 89.232455) + (xy 140.424334 89.229905) + (xy 140.190257 89.190844) + (xy 140.179909 89.189986) + (xy 139.942587 89.189986) + (xy 139.932239 89.190844) + (xy 139.698162 89.229905) + (xy 139.688092 89.232455) + (xy 139.463637 89.30951) + (xy 139.45412 89.313684) + (xy 139.245408 89.426633) + (xy 139.236716 89.432312) + (xy 139.049437 89.578077) + (xy 139.041797 89.585111) + (xy 138.881066 89.75971) + (xy 138.874687 89.767906) + (xy 138.744886 89.966581) + (xy 138.739943 89.975716) + (xy 138.644615 90.193042) + (xy 138.641242 90.202867) + (xy 138.582987 90.432912) + (xy 138.581275 90.443167) + (xy 138.561678 90.679674) + (xy 136.489656 90.679674) + (xy 136.490233 88.644182) + (xy 136.510254 88.576067) + (xy 136.526353 88.555914) + (xy 137.754784 87.305547) + (xy 137.755569 87.304756) + (xy 137.838556 87.221769) + (xy 137.900868 87.187743) + (xy 137.927651 87.184864) + (xy 142.282448 87.184863) + (xy 142.350569 87.204865) + (xy 142.397062 87.258521) + (xy 142.408448 87.310863) + (xy 142.408448 175.010749) + (xy 142.412923 175.025988) + (xy 142.414665 175.027498) + (xy 142.421551 175.029046) + (xy 144.218328 175.054001) + (xy 144.25526 175.060074) + (xy 145.37417 175.421013) + (xy 145.375007 175.42128) + (xy 146.256179 175.698219) + (xy 146.256906 175.698444) + (xy 147.04271 175.938997) + (xy 147.069241 175.950599) + (xy 147.291823 176.080235) + (xy 147.296126 176.082522) + (xy 148.417624 176.623935) + (xy 148.437739 176.636079) + (xy 149.146827 177.160187) + (xy 149.152575 177.16397) + (xy 149.355359 177.282075) + (xy 149.378698 177.299575) + (xy 149.528077 177.441391) + (xy 149.534005 177.446363) + (xy 150.174268 177.9196) + (xy 150.186741 177.930134) + (xy 151.516767 179.209971) + (xy 151.534859 179.23181) + (xy 151.919666 179.820339) + (xy 151.924864 179.827213) + (xy 152.378744 180.349176) + (xy 152.398206 180.379355) + (xy 152.661218 180.953197) + (xy 152.665754 180.961414) + (xy 152.815714 181.190765) + (xy 152.827062 181.212471) + (xy 153.179714 182.084303) + (xy 153.180854 182.086948) + (xy 153.227069 182.18778) + (xy 153.230357 182.195647) + (xy 153.312707 182.413053) + (xy 153.313209 182.414335) + (xy 153.732323 183.450478) + (xy 153.739167 183.473504) + (xy 153.764676 183.603732) + (xy 153.76758 183.613917) + (xy 153.859842 183.85749) + (xy 153.865906 183.879179) + (xy 154.116972 185.234936) + (xy 154.118361 185.244456) + (xy 154.15708 185.605829) + (xy 154.157897 185.611227) + (xy 154.217519 185.915615) + (xy 154.219794 185.935493) + (xy 154.232716 186.31022) + (xy 154.233036 186.314748) + (xy 154.268315 186.64402) + (xy 154.274388 186.658697) + (xy 154.27879 186.661756) + (xy 154.280436 186.662022) + (xy 154.421601 186.661855) + (xy 282.801532 186.484289) + (xy 282.816766 186.479793) + (xy 282.820998 186.474895) + (xy 283.223525 183.682366) + (xy 283.23329 183.648734) + (xy 283.567471 182.904424) + (xy 283.571227 182.893448) + (xy 283.599919 182.774854) + (xy 284.461678 182.774854) + (xy 284.461678 182.785232) + (xy 284.481275 183.021739) + (xy 284.482987 183.031994) + (xy 284.541242 183.262039) + (xy 284.544615 183.271864) + (xy 284.639943 183.48919) + (xy 284.644886 183.498325) + (xy 284.774687 183.697) + (xy 284.781066 183.705196) + (xy 284.941797 183.879795) + (xy 284.949437 183.886829) + (xy 285.136716 184.032594) + (xy 285.145408 184.038273) + (xy 285.35412 184.151222) + (xy 285.363637 184.155396) + (xy 285.588092 184.232451) + (xy 285.598162 184.235001) + (xy 285.832239 184.274062) + (xy 285.842587 184.27492) + (xy 286.079909 184.27492) + (xy 286.090257 184.274062) + (xy 286.324334 184.235001) + (xy 286.334404 184.232451) + (xy 286.558859 184.155396) + (xy 286.568376 184.151222) + (xy 286.777088 184.038273) + (xy 286.78578 184.032594) + (xy 286.973059 183.886829) + (xy 286.980699 183.879795) + (xy 287.14143 183.705196) + (xy 287.147809 183.697) + (xy 287.27761 183.498325) + (xy 287.282553 183.48919) + (xy 287.377881 183.271864) + (xy 287.381254 183.262039) + (xy 287.439509 183.031994) + (xy 287.441221 183.021739) + (xy 287.460818 182.785232) + (xy 287.460818 182.774854) + (xy 287.441221 182.538347) + (xy 287.439509 182.528092) + (xy 287.381254 182.298047) + (xy 287.377881 182.288222) + (xy 287.282553 182.070896) + (xy 287.27761 182.061761) + (xy 287.147809 181.863086) + (xy 287.14143 181.85489) + (xy 286.980699 181.680291) + (xy 286.973059 181.673257) + (xy 286.78578 181.527492) + (xy 286.777088 181.521813) + (xy 286.568376 181.408864) + (xy 286.558859 181.40469) + (xy 286.334404 181.327635) + (xy 286.324334 181.325085) + (xy 286.090257 181.286024) + (xy 286.079909 181.285166) + (xy 285.842587 181.285166) + (xy 285.832239 181.286024) + (xy 285.598162 181.325085) + (xy 285.588092 181.327635) + (xy 285.363637 181.40469) + (xy 285.35412 181.408864) + (xy 285.145408 181.521813) + (xy 285.136716 181.527492) + (xy 284.949437 181.673257) + (xy 284.941797 181.680291) + (xy 284.781066 181.85489) + (xy 284.774687 181.863086) + (xy 284.644886 182.061761) + (xy 284.639943 182.070896) + (xy 284.544615 182.288222) + (xy 284.541242 182.298047) + (xy 284.482987 182.528092) + (xy 284.481275 182.538347) + (xy 284.461678 182.774854) + (xy 283.599919 182.774854) + (xy 283.755226 182.132916) + (xy 283.768376 182.099888) + (xy 284.506722 180.811708) + (xy 284.509536 180.806185) + (xy 284.89426 179.949301) + (xy 284.915875 179.91626) + (xy 286.870697 177.760943) + (xy 286.900281 177.736908) + (xy 287.736762 177.24628) + (xy 287.747896 177.238498) + (xy 287.753823 177.234599) + (xy 289.136262 176.38) + (xy 289.152882 176.371363) + (xy 289.429728 176.252715) + (xy 289.43677 176.249158) + (xy 289.515069 176.203234) + (xy 289.536358 176.193288) + (xy 289.728781 176.12442) + (xy 289.732403 176.122998) + (xy 291.441696 175.390443) + (xy 291.470616 175.381969) + (xy 292.291374 175.245176) + (xy 292.296279 175.244156) + (xy 293.84841 174.856123) + (xy 293.889434 174.852796) + (xy 294.460197 174.90036) + (xy 294.475233 174.897273) + (xy 294.478267 174.885698) + (xy 294.575812 90.679674) + (xy 295.361678 90.679674) + (xy 295.361678 90.690052) + (xy 295.381275 90.926559) + (xy 295.382987 90.936814) + (xy 295.441242 91.166859) + (xy 295.444615 91.176684) + (xy 295.539943 91.39401) + (xy 295.544886 91.403145) + (xy 295.674687 91.60182) + (xy 295.681066 91.610016) + (xy 295.841797 91.784615) + (xy 295.849437 91.791649) + (xy 296.036716 91.937414) + (xy 296.045408 91.943093) + (xy 296.25412 92.056042) + (xy 296.263637 92.060216) + (xy 296.488092 92.137271) + (xy 296.498162 92.139821) + (xy 296.732239 92.178882) + (xy 296.742587 92.17974) + (xy 296.979909 92.17974) + (xy 296.990257 92.178882) + (xy 297.224334 92.139821) + (xy 297.234404 92.137271) + (xy 297.458859 92.060216) + (xy 297.468376 92.056042) + (xy 297.677088 91.943093) + (xy 297.68578 91.937414) + (xy 297.873059 91.791649) + (xy 297.880699 91.784615) + (xy 298.04143 91.610016) + (xy 298.047809 91.60182) + (xy 298.17761 91.403145) + (xy 298.182553 91.39401) + (xy 298.277881 91.176684) + (xy 298.281254 91.166859) + (xy 298.339509 90.936814) + (xy 298.341221 90.926559) + (xy 298.360818 90.690052) + (xy 298.360818 90.679674) + (xy 298.341221 90.443167) + (xy 298.339509 90.432912) + (xy 298.281254 90.202867) + (xy 298.277881 90.193042) + (xy 298.182553 89.975716) + (xy 298.17761 89.966581) + (xy 298.047809 89.767906) + (xy 298.04143 89.75971) + (xy 297.880699 89.585111) + (xy 297.873059 89.578077) + (xy 297.68578 89.432312) + (xy 297.677088 89.426633) + (xy 297.468376 89.313684) + (xy 297.458859 89.30951) + (xy 297.234404 89.232455) + (xy 297.224334 89.229905) + (xy 296.990257 89.190844) + (xy 296.979909 89.189986) + (xy 296.742587 89.189986) + (xy 296.732239 89.190844) + (xy 296.498162 89.229905) + (xy 296.488092 89.232455) + (xy 296.263637 89.30951) + (xy 296.25412 89.313684) + (xy 296.045408 89.426633) + (xy 296.036716 89.432312) + (xy 295.849437 89.578077) + (xy 295.841797 89.585111) + (xy 295.681066 89.75971) + (xy 295.674687 89.767906) + (xy 295.544886 89.966581) + (xy 295.539943 89.975716) + (xy 295.444615 90.193042) + (xy 295.441242 90.202867) + (xy 295.382987 90.432912) + (xy 295.381275 90.443167) + (xy 295.361678 90.679674) + (xy 294.575812 90.679674) + (xy 294.579704 87.32008) + (xy 294.599785 87.251983) + (xy 294.653495 87.205552) + (xy 294.704256 87.194234) + (xy 295.669959 87.183134) + (xy 295.671472 87.183126) + ) + ) + ) + (zone (net 0) (net_name "") (layer "B.Mask") (tstamp 6ba01750-139a-4417-a42f-02ddcc3b4d5b) (hatch edge 0.508) + (connect_pads (clearance 0.254)) + (min_thickness 0.254) (filled_areas_thickness no) + (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 294.579848 87.195664) + (xy 298.999448 87.144864) + (xy 300.498048 88.668864) + (xy 300.472648 178.203864) + (xy 299.050248 179.677064) + (xy 295.265648 179.829464) + (xy 292.370048 180.718464) + (xy 290.388848 182.064664) + (xy 288.915648 183.842664) + (xy 288.356848 185.239664) + (xy 287.747248 186.890664) + (xy 287.620248 188.998864) + (xy 287.645648 191.107064) + (xy 286.172448 192.554864) + (xy 150.841248 192.529464) + (xy 149.342648 191.132464) + (xy 149.291848 187.881264) + (xy 149.114048 186.585864) + (xy 148.199648 184.299864) + (xy 147.386848 183.080664) + (xy 146.447048 182.115464) + (xy 145.304048 181.150264) + (xy 144.135648 180.489864) + (xy 141.951248 179.753264) + (xy 140.681248 179.677064) + (xy 139.157248 179.651664) + (xy 137.912648 179.677064) + (xy 136.464848 178.254664) + (xy 136.490248 88.592664) + (xy 137.912648 87.170264) + (xy 142.408448 87.170264) + (xy 142.408448 175.028864) + (xy 144.237248 175.054264) + (xy 145.812048 175.562264) + (xy 147.056648 175.943264) + (xy 149.368048 177.289464) + (xy 151.374648 179.194464) + (xy 152.390648 180.362864) + (xy 153.228848 182.191664) + (xy 153.863848 183.868064) + (xy 154.117848 185.239664) + (xy 154.270248 186.662064) + (xy 282.819648 186.484264) + (xy 283.226048 183.664864) + (xy 284.902448 179.931064) + (xy 286.883648 177.746664) + (xy 289.525248 176.197264) + (xy 291.938248 175.333664) + (xy 293.868648 174.851064) + (xy 294.478248 174.901864) + ) + ) + (filled_polygon + (layer "B.Mask") + (island) + (pts + (xy 298.986002 87.184832) + (xy 299.054112 87.204869) + (xy 299.075778 87.222488) + (xy 300.425089 88.594668) + (xy 300.458589 88.657264) + (xy 300.461248 88.683012) + (xy 300.461248 151.559225) + (xy 300.441246 151.627346) + (xy 300.38759 151.673839) + (xy 300.335609 151.685224) + (xy 298.163564 151.691446) + (xy 298.158551 151.69166) + (xy 297.919891 151.711436) + (xy 297.909643 151.713146) + (xy 297.680121 151.77127) + (xy 297.670299 151.774641) + (xy 297.453468 151.869751) + (xy 297.444329 151.874697) + (xy 297.246121 152.004193) + (xy 297.237914 152.010581) + (xy 297.063723 152.170935) + (xy 297.056686 152.178578) + (xy 296.911255 152.365429) + (xy 296.905577 152.37412) + (xy 296.79289 152.582346) + (xy 296.78871 152.591874) + (xy 296.711834 152.815811) + (xy 296.709284 152.825881) + (xy 296.670313 153.059421) + (xy 296.669455 153.069769) + (xy 296.669455 153.306547) + (xy 296.670313 153.316895) + (xy 296.709284 153.550435) + (xy 296.711834 153.560505) + (xy 296.78871 153.784442) + (xy 296.79289 153.79397) + (xy 296.905577 154.002196) + (xy 296.911255 154.010887) + (xy 297.056686 154.197738) + (xy 297.063723 154.205381) + (xy 297.237914 154.365735) + (xy 297.246121 154.372123) + (xy 297.444329 154.501619) + (xy 297.453468 154.506565) + (xy 297.670299 154.601675) + (xy 297.680121 154.605046) + (xy 297.909643 154.66317) + (xy 297.919891 154.66488) + (xy 298.158447 154.684648) + (xy 298.163662 154.684863) + (xy 300.335248 154.684863) + (xy 300.403369 154.704865) + (xy 300.449862 154.758521) + (xy 300.461248 154.810863) + (xy 300.461248 178.191033) + (xy 300.441246 178.259154) + (xy 300.425866 178.278579) + (xy 299.929227 178.792644) + (xy 299.927704 178.794194) + (xy 299.083938 179.637959) + (xy 299.021626 179.671984) + (xy 298.994843 179.674863) + (xy 296.602249 179.674863) + (xy 296.600261 179.674895) + (xy 296.014659 179.694066) + (xy 296.010578 179.694334) + (xy 295.428582 179.751655) + (xy 295.424463 179.752197) + (xy 294.847478 179.847458) + (xy 294.843413 179.848267) + (xy 294.273884 179.981063) + (xy 294.269918 179.982125) + (xy 293.71025 180.151898) + (xy 293.706367 180.153217) + (xy 293.159024 180.359222) + (xy 293.155228 180.360794) + (xy 292.622535 180.602154) + (xy 292.618823 180.603985) + (xy 292.462096 180.687757) + (xy 292.43968 180.697086) + (xy 292.379071 180.715694) + (xy 292.362241 180.723769) + (xy 292.248014 180.801385) + (xy 292.236595 180.80829) + (xy 292.103068 180.879661) + (xy 292.099494 180.881725) + (xy 291.602874 181.190543) + (xy 291.599437 181.192839) + (xy 291.124054 181.533494) + (xy 291.120816 181.535979) + (xy 290.903382 181.714423) + (xy 290.894262 181.721242) + (xy 290.396211 182.059661) + (xy 290.383168 182.071519) + (xy 289.156418 183.55208) + (xy 289.149074 183.563143) + (xy 288.953607 183.938439) + (xy 288.948855 183.946772) + (xy 288.80811 184.173109) + (xy 288.806046 184.176683) + (xy 288.53037 184.692438) + (xy 288.528539 184.69615) + (xy 288.287179 185.228843) + (xy 288.285607 185.232639) + (xy 288.079602 185.779982) + (xy 288.078283 185.783865) + (xy 287.90851 186.343533) + (xy 287.907448 186.347499) + (xy 287.774652 186.917028) + (xy 287.773843 186.921093) + (xy 287.678582 187.498078) + (xy 287.67804 187.502197) + (xy 287.620719 188.084193) + (xy 287.620451 188.088274) + (xy 287.60128 188.673876) + (xy 287.601248 188.675864) + (xy 287.601248 191.06846) + (xy 287.581246 191.136581) + (xy 287.564343 191.157555) + (xy 286.223939 192.497958) + (xy 286.161627 192.531984) + (xy 286.134844 192.534863) + (xy 150.787651 192.534864) + (xy 150.71953 192.514862) + (xy 150.698556 192.497959) + (xy 149.358153 191.157555) + (xy 149.324127 191.095243) + (xy 149.321248 191.06846) + (xy 149.321248 189.029675) + (xy 206.221678 189.029675) + (xy 206.221678 189.040053) + (xy 206.241275 189.27656) + (xy 206.242987 189.286815) + (xy 206.301242 189.51686) + (xy 206.304615 189.526685) + (xy 206.399943 189.744011) + (xy 206.404886 189.753146) + (xy 206.534687 189.951821) + (xy 206.541066 189.960017) + (xy 206.701797 190.134616) + (xy 206.709437 190.14165) + (xy 206.896716 190.287415) + (xy 206.905408 190.293094) + (xy 207.11412 190.406043) + (xy 207.123637 190.410217) + (xy 207.348092 190.487272) + (xy 207.358162 190.489822) + (xy 207.592239 190.528883) + (xy 207.602587 190.529741) + (xy 207.839909 190.529741) + (xy 207.850257 190.528883) + (xy 208.084334 190.489822) + (xy 208.094404 190.487272) + (xy 208.318859 190.410217) + (xy 208.328376 190.406043) + (xy 208.537088 190.293094) + (xy 208.54578 190.287415) + (xy 208.733059 190.14165) + (xy 208.740699 190.134616) + (xy 208.90143 189.960017) + (xy 208.907809 189.951821) + (xy 209.03761 189.753146) + (xy 209.042553 189.744011) + (xy 209.137881 189.526685) + (xy 209.141254 189.51686) + (xy 209.199509 189.286815) + (xy 209.201221 189.27656) + (xy 209.220818 189.040053) + (xy 209.220818 189.029675) + (xy 222.421679 189.029675) + (xy 222.421679 189.040053) + (xy 222.441276 189.27656) + (xy 222.442988 189.286815) + (xy 222.501243 189.51686) + (xy 222.504616 189.526685) + (xy 222.599944 189.744011) + (xy 222.604887 189.753146) + (xy 222.734686 189.951816) + (xy 222.741073 189.960023) + (xy 222.901794 190.134612) + (xy 222.909437 190.141649) + (xy 223.096716 190.287414) + (xy 223.105408 190.293093) + (xy 223.31412 190.406042) + (xy 223.323637 190.410216) + (xy 223.548092 190.487271) + (xy 223.558162 190.489821) + (xy 223.792239 190.528882) + (xy 223.802587 190.52974) + (xy 224.039909 190.52974) + (xy 224.050257 190.528882) + (xy 224.284334 190.489821) + (xy 224.294404 190.487271) + (xy 224.518859 190.410216) + (xy 224.528376 190.406042) + (xy 224.737088 190.293093) + (xy 224.74578 190.287414) + (xy 224.933059 190.141649) + (xy 224.940702 190.134612) + (xy 225.101423 189.960023) + (xy 225.10781 189.951816) + (xy 225.237609 189.753146) + (xy 225.242552 189.744011) + (xy 225.33788 189.526685) + (xy 225.341253 189.51686) + (xy 225.399508 189.286815) + (xy 225.40122 189.27656) + (xy 225.420817 189.040053) + (xy 225.420817 189.029675) + (xy 225.40122 188.793168) + (xy 225.399508 188.782913) + (xy 225.341253 188.552868) + (xy 225.33788 188.543043) + (xy 225.242552 188.325717) + (xy 225.237609 188.316582) + (xy 225.10781 188.117912) + (xy 225.101423 188.109705) + (xy 224.940702 187.935116) + (xy 224.933059 187.928079) + (xy 224.74578 187.782314) + (xy 224.737088 187.776635) + (xy 224.528376 187.663686) + (xy 224.518859 187.659512) + (xy 224.294404 187.582457) + (xy 224.284334 187.579907) + (xy 224.050257 187.540846) + (xy 224.039909 187.539988) + (xy 223.802587 187.539988) + (xy 223.792239 187.540846) + (xy 223.558162 187.579907) + (xy 223.548092 187.582457) + (xy 223.323637 187.659512) + (xy 223.31412 187.663686) + (xy 223.105408 187.776635) + (xy 223.096716 187.782314) + (xy 222.909437 187.928079) + (xy 222.901794 187.935116) + (xy 222.741073 188.109705) + (xy 222.734686 188.117912) + (xy 222.604887 188.316582) + (xy 222.599944 188.325717) + (xy 222.504616 188.543043) + (xy 222.501243 188.552868) + (xy 222.442988 188.782913) + (xy 222.441276 188.793168) + (xy 222.421679 189.029675) + (xy 209.220818 189.029675) + (xy 209.201221 188.793168) + (xy 209.199509 188.782913) + (xy 209.141254 188.552868) + (xy 209.137881 188.543043) + (xy 209.042553 188.325717) + (xy 209.03761 188.316582) + (xy 208.907809 188.117907) + (xy 208.90143 188.109711) + (xy 208.740699 187.935112) + (xy 208.733059 187.928078) + (xy 208.54578 187.782313) + (xy 208.537088 187.776634) + (xy 208.328376 187.663685) + (xy 208.318859 187.659511) + (xy 208.094404 187.582456) + (xy 208.084334 187.579906) + (xy 207.850257 187.540845) + (xy 207.839909 187.539987) + (xy 207.602587 187.539987) + (xy 207.592239 187.540845) + (xy 207.358162 187.579906) + (xy 207.348092 187.582456) + (xy 207.123637 187.659511) + (xy 207.11412 187.663685) + (xy 206.905408 187.776634) + (xy 206.896716 187.782313) + (xy 206.709437 187.928078) + (xy 206.701797 187.935112) + (xy 206.541066 188.109711) + (xy 206.534687 188.117907) + (xy 206.404886 188.316582) + (xy 206.399943 188.325717) + (xy 206.304615 188.543043) + (xy 206.301242 188.552868) + (xy 206.242987 188.782913) + (xy 206.241275 188.793168) + (xy 206.221678 189.029675) + (xy 149.321248 189.029675) + (xy 149.321248 188.675865) + (xy 149.321216 188.673877) + (xy 149.302045 188.088275) + (xy 149.301777 188.084194) + (xy 149.244456 187.502198) + (xy 149.243914 187.498079) + (xy 149.198959 187.22579) + (xy 149.197826 187.217026) + (xy 149.191803 187.152783) + (xy 149.191499 187.150153) + (xy 149.144475 186.80755) + (xy 149.141834 186.796222) + (xy 149.069942 186.580547) + (xy 149.066768 186.569314) + (xy 149.015048 186.3475) + (xy 149.013986 186.343534) + (xy 148.844213 185.783866) + (xy 148.842894 185.779983) + (xy 148.636889 185.23264) + (xy 148.635317 185.228844) + (xy 148.393957 184.696151) + (xy 148.392126 184.692439) + (xy 148.11645 184.176684) + (xy 148.114386 184.17311) + (xy 147.805568 183.67649) + (xy 147.803272 183.673053) + (xy 147.462617 183.19767) + (xy 147.460132 183.194432) + (xy 147.115792 182.774854) + (xy 149.461678 182.774854) + (xy 149.461678 182.785232) + (xy 149.481275 183.021739) + (xy 149.482987 183.031994) + (xy 149.541242 183.262039) + (xy 149.544615 183.271864) + (xy 149.639943 183.48919) + (xy 149.644886 183.498325) + (xy 149.774687 183.697) + (xy 149.781066 183.705196) + (xy 149.941797 183.879795) + (xy 149.949437 183.886829) + (xy 150.136716 184.032594) + (xy 150.145408 184.038273) + (xy 150.35412 184.151222) + (xy 150.363637 184.155396) + (xy 150.588092 184.232451) + (xy 150.598162 184.235001) + (xy 150.832239 184.274062) + (xy 150.842587 184.27492) + (xy 151.079909 184.27492) + (xy 151.090257 184.274062) + (xy 151.324334 184.235001) + (xy 151.334404 184.232451) + (xy 151.558859 184.155396) + (xy 151.568376 184.151222) + (xy 151.777088 184.038273) + (xy 151.78578 184.032594) + (xy 151.973059 183.886829) + (xy 151.980699 183.879795) + (xy 152.14143 183.705196) + (xy 152.147809 183.697) + (xy 152.27761 183.498325) + (xy 152.282553 183.48919) + (xy 152.377881 183.271864) + (xy 152.381254 183.262039) + (xy 152.439509 183.031994) + (xy 152.441221 183.021739) + (xy 152.460818 182.785232) + (xy 152.460818 182.774854) + (xy 152.441221 182.538347) + (xy 152.439509 182.528092) + (xy 152.381254 182.298047) + (xy 152.377881 182.288222) + (xy 152.282553 182.070896) + (xy 152.27761 182.061761) + (xy 152.147809 181.863086) + (xy 152.14143 181.85489) + (xy 151.980699 181.680291) + (xy 151.973059 181.673257) + (xy 151.78578 181.527492) + (xy 151.777088 181.521813) + (xy 151.568376 181.408864) + (xy 151.558859 181.40469) + (xy 151.334404 181.327635) + (xy 151.324334 181.325085) + (xy 151.090257 181.286024) + (xy 151.079909 181.285166) + (xy 150.842587 181.285166) + (xy 150.832239 181.286024) + (xy 150.598162 181.325085) + (xy 150.588092 181.327635) + (xy 150.363637 181.40469) + (xy 150.35412 181.408864) + (xy 150.145408 181.521813) + (xy 150.136716 181.527492) + (xy 149.949437 181.673257) + (xy 149.941797 181.680291) + (xy 149.781066 181.85489) + (xy 149.774687 181.863086) + (xy 149.644886 182.061761) + (xy 149.639943 182.070896) + (xy 149.544615 182.288222) + (xy 149.541242 182.298047) + (xy 149.482987 182.528092) + (xy 149.481275 182.538347) + (xy 149.461678 182.774854) + (xy 147.115792 182.774854) + (xy 147.089112 182.742344) + (xy 147.086401 182.739252) + (xy 146.686598 182.312386) + (xy 146.683726 182.309514) + (xy 146.25686 181.909711) + (xy 146.253768 181.907) + (xy 145.80168 181.53598) + (xy 145.798442 181.533495) + (xy 145.534577 181.344412) + (xy 145.526676 181.338261) + (xy 145.308543 181.15406) + (xy 145.298925 181.147368) + (xy 144.140838 180.492797) + (xy 144.129992 180.487956) + (xy 143.815086 180.381766) + (xy 143.803347 180.377141) + (xy 143.76728 180.3608) + (xy 143.763472 180.359223) + (xy 143.216129 180.153218) + (xy 143.212246 180.151899) + (xy 142.652578 179.982126) + (xy 142.648612 179.981064) + (xy 142.583805 179.965953) + (xy 142.572156 179.96264) + (xy 142.4765 179.930384) + (xy 142.470757 179.928746) + (xy 141.728626 179.754666) + (xy 141.716515 179.753045) + (xy 141.393323 179.741502) + (xy 141.38547 179.740975) + (xy 140.911918 179.694335) + (xy 140.907837 179.694067) + (xy 140.322235 179.674896) + (xy 140.320247 179.674864) + (xy 137.927651 179.674864) + (xy 137.85953 179.654862) + (xy 137.838556 179.637959) + (xy 136.501765 178.301168) + (xy 136.467739 178.238856) + (xy 136.46486 178.212037) + (xy 136.471492 154.799139) + (xy 136.491513 154.731024) + (xy 136.545182 154.684547) + (xy 136.597789 154.673175) + (xy 138.758587 154.678268) + (xy 138.7639 154.678054) + (xy 139.002405 154.658291) + (xy 139.012653 154.656581) + (xy 139.242175 154.598457) + (xy 139.251997 154.595086) + (xy 139.468828 154.499976) + (xy 139.477967 154.49503) + (xy 139.676175 154.365534) + (xy 139.684382 154.359146) + (xy 139.858573 154.198792) + (xy 139.86561 154.191149) + (xy 140.011041 154.004298) + (xy 140.016719 153.995607) + (xy 140.129406 153.787381) + (xy 140.133586 153.777853) + (xy 140.210462 153.553916) + (xy 140.213012 153.543846) + (xy 140.251983 153.310306) + (xy 140.252841 153.299958) + (xy 140.252841 153.06318) + (xy 140.251983 153.052832) + (xy 140.213012 152.819292) + (xy 140.210462 152.809222) + (xy 140.133586 152.585285) + (xy 140.129406 152.575757) + (xy 140.016719 152.367531) + (xy 140.011041 152.35884) + (xy 139.86561 152.171989) + (xy 139.858573 152.164346) + (xy 139.684382 152.003992) + (xy 139.676175 151.997604) + (xy 139.477967 151.868108) + (xy 139.468828 151.863162) + (xy 139.251997 151.768052) + (xy 139.242175 151.764681) + (xy 139.012653 151.706557) + (xy 139.002405 151.704847) + (xy 138.763849 151.685079) + (xy 138.758634 151.684864) + (xy 136.598411 151.684864) + (xy 136.53029 151.664862) + (xy 136.483797 151.611206) + (xy 136.472411 151.558828) + (xy 136.489656 90.679674) + (xy 138.561678 90.679674) + (xy 138.561678 90.690052) + (xy 138.581275 90.926559) + (xy 138.582987 90.936814) + (xy 138.641242 91.166859) + (xy 138.644615 91.176684) + (xy 138.739943 91.39401) + (xy 138.744886 91.403145) + (xy 138.874687 91.60182) + (xy 138.881066 91.610016) + (xy 139.041797 91.784615) + (xy 139.049437 91.791649) + (xy 139.236716 91.937414) + (xy 139.245408 91.943093) + (xy 139.45412 92.056042) + (xy 139.463637 92.060216) + (xy 139.688092 92.137271) + (xy 139.698162 92.139821) + (xy 139.932239 92.178882) + (xy 139.942587 92.17974) + (xy 140.179909 92.17974) + (xy 140.190257 92.178882) + (xy 140.424334 92.139821) + (xy 140.434404 92.137271) + (xy 140.658859 92.060216) + (xy 140.668376 92.056042) + (xy 140.877088 91.943093) + (xy 140.88578 91.937414) + (xy 141.073059 91.791649) + (xy 141.080699 91.784615) + (xy 141.24143 91.610016) + (xy 141.247809 91.60182) + (xy 141.37761 91.403145) + (xy 141.382553 91.39401) + (xy 141.477881 91.176684) + (xy 141.481254 91.166859) + (xy 141.539509 90.936814) + (xy 141.541221 90.926559) + (xy 141.560818 90.690052) + (xy 141.560818 90.679674) + (xy 141.541221 90.443167) + (xy 141.539509 90.432912) + (xy 141.481254 90.202867) + (xy 141.477881 90.193042) + (xy 141.382553 89.975716) + (xy 141.37761 89.966581) + (xy 141.247809 89.767906) + (xy 141.24143 89.75971) + (xy 141.080699 89.585111) + (xy 141.073059 89.578077) + (xy 140.88578 89.432312) + (xy 140.877088 89.426633) + (xy 140.668376 89.313684) + (xy 140.658859 89.30951) + (xy 140.434404 89.232455) + (xy 140.424334 89.229905) + (xy 140.190257 89.190844) + (xy 140.179909 89.189986) + (xy 139.942587 89.189986) + (xy 139.932239 89.190844) + (xy 139.698162 89.229905) + (xy 139.688092 89.232455) + (xy 139.463637 89.30951) + (xy 139.45412 89.313684) + (xy 139.245408 89.426633) + (xy 139.236716 89.432312) + (xy 139.049437 89.578077) + (xy 139.041797 89.585111) + (xy 138.881066 89.75971) + (xy 138.874687 89.767906) + (xy 138.744886 89.966581) + (xy 138.739943 89.975716) + (xy 138.644615 90.193042) + (xy 138.641242 90.202867) + (xy 138.582987 90.432912) + (xy 138.581275 90.443167) + (xy 138.561678 90.679674) + (xy 136.489656 90.679674) + (xy 136.490233 88.644182) + (xy 136.510254 88.576067) + (xy 136.526353 88.555914) + (xy 137.754784 87.305547) + (xy 137.755569 87.304756) + (xy 137.838556 87.221769) + (xy 137.900868 87.187743) + (xy 137.927651 87.184864) + (xy 142.282448 87.184863) + (xy 142.350569 87.204865) + (xy 142.397062 87.258521) + (xy 142.408448 87.310863) + (xy 142.408448 175.010749) + (xy 142.412923 175.025988) + (xy 142.414665 175.027498) + (xy 142.421551 175.029046) + (xy 144.218328 175.054001) + (xy 144.25526 175.060074) + (xy 145.37417 175.421013) + (xy 145.375007 175.42128) + (xy 146.256179 175.698219) + (xy 146.256906 175.698444) + (xy 147.04271 175.938997) + (xy 147.069241 175.950599) + (xy 147.291823 176.080235) + (xy 147.296126 176.082522) + (xy 148.417624 176.623935) + (xy 148.437739 176.636079) + (xy 149.146827 177.160187) + (xy 149.152575 177.16397) + (xy 149.355359 177.282075) + (xy 149.378698 177.299575) + (xy 149.528077 177.441391) + (xy 149.534005 177.446363) + (xy 150.174268 177.9196) + (xy 150.186741 177.930134) + (xy 151.516767 179.209971) + (xy 151.534859 179.23181) + (xy 151.919666 179.820339) + (xy 151.924864 179.827213) + (xy 152.378744 180.349176) + (xy 152.398206 180.379355) + (xy 152.661218 180.953197) + (xy 152.665754 180.961414) + (xy 152.815714 181.190765) + (xy 152.827062 181.212471) + (xy 153.179714 182.084303) + (xy 153.180854 182.086948) + (xy 153.227069 182.18778) + (xy 153.230357 182.195647) + (xy 153.312707 182.413053) + (xy 153.313209 182.414335) + (xy 153.732323 183.450478) + (xy 153.739167 183.473504) + (xy 153.764676 183.603732) + (xy 153.76758 183.613917) + (xy 153.859842 183.85749) + (xy 153.865906 183.879179) + (xy 154.116972 185.234936) + (xy 154.118361 185.244456) + (xy 154.15708 185.605829) + (xy 154.157897 185.611227) + (xy 154.217519 185.915615) + (xy 154.219794 185.935493) + (xy 154.232716 186.31022) + (xy 154.233036 186.314748) + (xy 154.268315 186.64402) + (xy 154.274388 186.658697) + (xy 154.27879 186.661756) + (xy 154.280436 186.662022) + (xy 154.421601 186.661855) + (xy 282.801532 186.484289) + (xy 282.816766 186.479793) + (xy 282.820998 186.474895) + (xy 283.223525 183.682366) + (xy 283.23329 183.648734) + (xy 283.567471 182.904424) + (xy 283.571227 182.893448) + (xy 283.599919 182.774854) + (xy 284.461678 182.774854) + (xy 284.461678 182.785232) + (xy 284.481275 183.021739) + (xy 284.482987 183.031994) + (xy 284.541242 183.262039) + (xy 284.544615 183.271864) + (xy 284.639943 183.48919) + (xy 284.644886 183.498325) + (xy 284.774687 183.697) + (xy 284.781066 183.705196) + (xy 284.941797 183.879795) + (xy 284.949437 183.886829) + (xy 285.136716 184.032594) + (xy 285.145408 184.038273) + (xy 285.35412 184.151222) + (xy 285.363637 184.155396) + (xy 285.588092 184.232451) + (xy 285.598162 184.235001) + (xy 285.832239 184.274062) + (xy 285.842587 184.27492) + (xy 286.079909 184.27492) + (xy 286.090257 184.274062) + (xy 286.324334 184.235001) + (xy 286.334404 184.232451) + (xy 286.558859 184.155396) + (xy 286.568376 184.151222) + (xy 286.777088 184.038273) + (xy 286.78578 184.032594) + (xy 286.973059 183.886829) + (xy 286.980699 183.879795) + (xy 287.14143 183.705196) + (xy 287.147809 183.697) + (xy 287.27761 183.498325) + (xy 287.282553 183.48919) + (xy 287.377881 183.271864) + (xy 287.381254 183.262039) + (xy 287.439509 183.031994) + (xy 287.441221 183.021739) + (xy 287.460818 182.785232) + (xy 287.460818 182.774854) + (xy 287.441221 182.538347) + (xy 287.439509 182.528092) + (xy 287.381254 182.298047) + (xy 287.377881 182.288222) + (xy 287.282553 182.070896) + (xy 287.27761 182.061761) + (xy 287.147809 181.863086) + (xy 287.14143 181.85489) + (xy 286.980699 181.680291) + (xy 286.973059 181.673257) + (xy 286.78578 181.527492) + (xy 286.777088 181.521813) + (xy 286.568376 181.408864) + (xy 286.558859 181.40469) + (xy 286.334404 181.327635) + (xy 286.324334 181.325085) + (xy 286.090257 181.286024) + (xy 286.079909 181.285166) + (xy 285.842587 181.285166) + (xy 285.832239 181.286024) + (xy 285.598162 181.325085) + (xy 285.588092 181.327635) + (xy 285.363637 181.40469) + (xy 285.35412 181.408864) + (xy 285.145408 181.521813) + (xy 285.136716 181.527492) + (xy 284.949437 181.673257) + (xy 284.941797 181.680291) + (xy 284.781066 181.85489) + (xy 284.774687 181.863086) + (xy 284.644886 182.061761) + (xy 284.639943 182.070896) + (xy 284.544615 182.288222) + (xy 284.541242 182.298047) + (xy 284.482987 182.528092) + (xy 284.481275 182.538347) + (xy 284.461678 182.774854) + (xy 283.599919 182.774854) + (xy 283.755226 182.132916) + (xy 283.768376 182.099888) + (xy 284.506722 180.811708) + (xy 284.509536 180.806185) + (xy 284.89426 179.949301) + (xy 284.915875 179.91626) + (xy 286.870697 177.760943) + (xy 286.900281 177.736908) + (xy 287.736762 177.24628) + (xy 287.747896 177.238498) + (xy 287.753823 177.234599) + (xy 289.136262 176.38) + (xy 289.152882 176.371363) + (xy 289.429728 176.252715) + (xy 289.43677 176.249158) + (xy 289.515069 176.203234) + (xy 289.536358 176.193288) + (xy 289.728781 176.12442) + (xy 289.732403 176.122998) + (xy 291.441696 175.390443) + (xy 291.470616 175.381969) + (xy 292.291374 175.245176) + (xy 292.296279 175.244156) + (xy 293.84841 174.856123) + (xy 293.889434 174.852796) + (xy 294.460197 174.90036) + (xy 294.475233 174.897273) + (xy 294.478267 174.885698) + (xy 294.575812 90.679674) + (xy 295.361678 90.679674) + (xy 295.361678 90.690052) + (xy 295.381275 90.926559) + (xy 295.382987 90.936814) + (xy 295.441242 91.166859) + (xy 295.444615 91.176684) + (xy 295.539943 91.39401) + (xy 295.544886 91.403145) + (xy 295.674687 91.60182) + (xy 295.681066 91.610016) + (xy 295.841797 91.784615) + (xy 295.849437 91.791649) + (xy 296.036716 91.937414) + (xy 296.045408 91.943093) + (xy 296.25412 92.056042) + (xy 296.263637 92.060216) + (xy 296.488092 92.137271) + (xy 296.498162 92.139821) + (xy 296.732239 92.178882) + (xy 296.742587 92.17974) + (xy 296.979909 92.17974) + (xy 296.990257 92.178882) + (xy 297.224334 92.139821) + (xy 297.234404 92.137271) + (xy 297.458859 92.060216) + (xy 297.468376 92.056042) + (xy 297.677088 91.943093) + (xy 297.68578 91.937414) + (xy 297.873059 91.791649) + (xy 297.880699 91.784615) + (xy 298.04143 91.610016) + (xy 298.047809 91.60182) + (xy 298.17761 91.403145) + (xy 298.182553 91.39401) + (xy 298.277881 91.176684) + (xy 298.281254 91.166859) + (xy 298.339509 90.936814) + (xy 298.341221 90.926559) + (xy 298.360818 90.690052) + (xy 298.360818 90.679674) + (xy 298.341221 90.443167) + (xy 298.339509 90.432912) + (xy 298.281254 90.202867) + (xy 298.277881 90.193042) + (xy 298.182553 89.975716) + (xy 298.17761 89.966581) + (xy 298.047809 89.767906) + (xy 298.04143 89.75971) + (xy 297.880699 89.585111) + (xy 297.873059 89.578077) + (xy 297.68578 89.432312) + (xy 297.677088 89.426633) + (xy 297.468376 89.313684) + (xy 297.458859 89.30951) + (xy 297.234404 89.232455) + (xy 297.224334 89.229905) + (xy 296.990257 89.190844) + (xy 296.979909 89.189986) + (xy 296.742587 89.189986) + (xy 296.732239 89.190844) + (xy 296.498162 89.229905) + (xy 296.488092 89.232455) + (xy 296.263637 89.30951) + (xy 296.25412 89.313684) + (xy 296.045408 89.426633) + (xy 296.036716 89.432312) + (xy 295.849437 89.578077) + (xy 295.841797 89.585111) + (xy 295.681066 89.75971) + (xy 295.674687 89.767906) + (xy 295.544886 89.966581) + (xy 295.539943 89.975716) + (xy 295.444615 90.193042) + (xy 295.441242 90.202867) + (xy 295.382987 90.432912) + (xy 295.381275 90.443167) + (xy 295.361678 90.679674) + (xy 294.575812 90.679674) + (xy 294.579704 87.32008) + (xy 294.599785 87.251983) + (xy 294.653495 87.205552) + (xy 294.704256 87.194234) + (xy 295.669959 87.183134) + (xy 295.671472 87.183126) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Mask") (tstamp 62b7b8da-6b44-42e5-8b67-907c414daba7) (hatch edge 0.508) + (connect_pads (clearance 0.254)) + (min_thickness 0.254) (filled_areas_thickness no) + (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 294.579848 87.195664) + (xy 298.999448 87.144864) + (xy 300.498048 88.668864) + (xy 300.498048 178.203864) + (xy 299.050248 179.702464) + (xy 296.180048 179.702464) + (xy 294.935448 179.829464) + (xy 293.513048 180.261264) + (xy 291.862048 180.870864) + (xy 290.414248 181.988464) + (xy 289.347448 183.182264) + (xy 288.077448 185.620664) + (xy 287.798048 187.195464) + (xy 287.671048 188.617864) + (xy 287.645648 189.456064) + (xy 287.620248 191.132464) + (xy 286.197848 192.580264) + (xy 150.688848 192.605664) + (xy 149.317248 191.132464) + (xy 149.291848 188.490864) + (xy 149.241048 187.678064) + (xy 149.164848 186.865264) + (xy 148.707648 185.493664) + (xy 148.402848 184.782464) + (xy 148.072648 184.198264) + (xy 147.894848 183.868064) + (xy 147.310648 183.055264) + (xy 146.777248 182.369464) + (xy 145.507248 181.226464) + (xy 143.780048 180.235864) + (xy 141.722648 179.753264) + (xy 139.589048 179.677064) + (xy 137.887248 179.702464) + (xy 136.464848 178.280064) + (xy 136.490248 88.592664) + (xy 137.912648 87.144864) + (xy 142.408448 87.170264) + (xy 142.408448 175.028864) + (xy 144.288048 175.079664) + (xy 146.955048 175.917864) + (xy 148.428248 176.629064) + (xy 150.180848 177.924464) + (xy 151.527048 179.219864) + (xy 152.822448 181.201064) + (xy 153.736848 183.461664) + (xy 154.219448 185.925464) + (xy 154.244848 186.662064) + (xy 282.921248 186.509664) + (xy 282.997448 185.265064) + (xy 283.759448 182.115464) + (xy 284.953248 180.032664) + (xy 286.299448 178.432464) + (xy 287.747248 177.238664) + (xy 289.144248 176.375064) + (xy 291.455648 175.384464) + (xy 292.979648 175.130464) + (xy 293.589248 174.978064) + (xy 294.097248 174.901864) + (xy 294.503648 174.901864) + ) + ) + (filled_polygon + (layer "F.Mask") + (island) + (pts + (xy 298.986002 87.184832) + (xy 299.054112 87.204869) + (xy 299.075778 87.222488) + (xy 300.425089 88.594668) + (xy 300.458589 88.657264) + (xy 300.461248 88.683012) + (xy 300.461248 151.559225) + (xy 300.441246 151.627346) + (xy 300.38759 151.673839) + (xy 300.335609 151.685224) + (xy 298.163564 151.691446) + (xy 298.158551 151.69166) + (xy 297.919891 151.711436) + (xy 297.909643 151.713146) + (xy 297.680121 151.77127) + (xy 297.670299 151.774641) + (xy 297.453468 151.869751) + (xy 297.444329 151.874697) + (xy 297.246121 152.004193) + (xy 297.237914 152.010581) + (xy 297.063723 152.170935) + (xy 297.056686 152.178578) + (xy 296.911255 152.365429) + (xy 296.905577 152.37412) + (xy 296.79289 152.582346) + (xy 296.78871 152.591874) + (xy 296.711834 152.815811) + (xy 296.709284 152.825881) + (xy 296.670313 153.059421) + (xy 296.669455 153.069769) + (xy 296.669455 153.306547) + (xy 296.670313 153.316895) + (xy 296.709284 153.550435) + (xy 296.711834 153.560505) + (xy 296.78871 153.784442) + (xy 296.79289 153.79397) + (xy 296.905577 154.002196) + (xy 296.911255 154.010887) + (xy 297.056686 154.197738) + (xy 297.063723 154.205381) + (xy 297.237914 154.365735) + (xy 297.246121 154.372123) + (xy 297.444329 154.501619) + (xy 297.453468 154.506565) + (xy 297.670299 154.601675) + (xy 297.680121 154.605046) + (xy 297.909643 154.66317) + (xy 297.919891 154.66488) + (xy 298.158447 154.684648) + (xy 298.163662 154.684863) + (xy 300.335248 154.684863) + (xy 300.403369 154.704865) + (xy 300.449862 154.758521) + (xy 300.461248 154.810863) + (xy 300.461248 178.191033) + (xy 300.441246 178.259154) + (xy 300.425866 178.278579) + (xy 299.929227 178.792644) + (xy 299.927704 178.794194) + (xy 299.083938 179.637959) + (xy 299.021626 179.671984) + (xy 298.994843 179.674863) + (xy 296.602249 179.674863) + (xy 296.600261 179.674895) + (xy 296.014659 179.694066) + (xy 296.010578 179.694334) + (xy 295.428582 179.751655) + (xy 295.424463 179.752197) + (xy 294.994323 179.823213) + (xy 294.98659 179.824245) + (xy 294.941499 179.828847) + (xy 294.929629 179.831231) + (xy 294.918307 179.834668) + (xy 294.902227 179.838419) + (xy 294.847482 179.847457) + (xy 294.843413 179.848267) + (xy 294.273884 179.981063) + (xy 294.269918 179.982125) + (xy 293.71025 180.151898) + (xy 293.706367 180.153217) + (xy 293.159024 180.359222) + (xy 293.155228 180.360794) + (xy 292.770753 180.534997) + (xy 292.762395 180.538428) + (xy 291.871042 180.867543) + (xy 291.854459 180.876722) + (xy 290.418837 181.984921) + (xy 290.410386 181.992785) + (xy 289.352681 183.176408) + (xy 289.343819 183.189231) + (xy 288.953606 183.938441) + (xy 288.948854 183.946774) + (xy 288.80811 184.173109) + (xy 288.806046 184.176683) + (xy 288.53037 184.692438) + (xy 288.528539 184.69615) + (xy 288.326443 185.142186) + (xy 288.323425 185.148389) + (xy 288.081889 185.612137) + (xy 288.075769 185.630128) + (xy 288.007832 186.013047) + (xy 288.004343 186.027612) + (xy 287.908518 186.343505) + (xy 287.907448 186.347499) + (xy 287.774652 186.917028) + (xy 287.773843 186.921093) + (xy 287.678582 187.498078) + (xy 287.67804 187.502197) + (xy 287.620719 188.084193) + (xy 287.620451 188.088274) + (xy 287.60128 188.673876) + (xy 287.601248 188.675864) + (xy 287.601248 191.06846) + (xy 287.581246 191.136581) + (xy 287.564343 191.157555) + (xy 286.223939 192.497958) + (xy 286.161627 192.531984) + (xy 286.134844 192.534863) + (xy 150.787651 192.534864) + (xy 150.71953 192.514862) + (xy 150.698556 192.497959) + (xy 149.358153 191.157555) + (xy 149.324127 191.095243) + (xy 149.321248 191.06846) + (xy 149.321248 189.029675) + (xy 206.221678 189.029675) + (xy 206.221678 189.040053) + (xy 206.241275 189.27656) + (xy 206.242987 189.286815) + (xy 206.301242 189.51686) + (xy 206.304615 189.526685) + (xy 206.399943 189.744011) + (xy 206.404886 189.753146) + (xy 206.534687 189.951821) + (xy 206.541066 189.960017) + (xy 206.701797 190.134616) + (xy 206.709437 190.14165) + (xy 206.896716 190.287415) + (xy 206.905408 190.293094) + (xy 207.11412 190.406043) + (xy 207.123637 190.410217) + (xy 207.348092 190.487272) + (xy 207.358162 190.489822) + (xy 207.592239 190.528883) + (xy 207.602587 190.529741) + (xy 207.839909 190.529741) + (xy 207.850257 190.528883) + (xy 208.084334 190.489822) + (xy 208.094404 190.487272) + (xy 208.318859 190.410217) + (xy 208.328376 190.406043) + (xy 208.537088 190.293094) + (xy 208.54578 190.287415) + (xy 208.733059 190.14165) + (xy 208.740699 190.134616) + (xy 208.90143 189.960017) + (xy 208.907809 189.951821) + (xy 209.03761 189.753146) + (xy 209.042553 189.744011) + (xy 209.137881 189.526685) + (xy 209.141254 189.51686) + (xy 209.199509 189.286815) + (xy 209.201221 189.27656) + (xy 209.220818 189.040053) + (xy 209.220818 189.029675) + (xy 222.421679 189.029675) + (xy 222.421679 189.040053) + (xy 222.441276 189.27656) + (xy 222.442988 189.286815) + (xy 222.501243 189.51686) + (xy 222.504616 189.526685) + (xy 222.599944 189.744011) + (xy 222.604887 189.753146) + (xy 222.734686 189.951816) + (xy 222.741073 189.960023) + (xy 222.901794 190.134612) + (xy 222.909437 190.141649) + (xy 223.096716 190.287414) + (xy 223.105408 190.293093) + (xy 223.31412 190.406042) + (xy 223.323637 190.410216) + (xy 223.548092 190.487271) + (xy 223.558162 190.489821) + (xy 223.792239 190.528882) + (xy 223.802587 190.52974) + (xy 224.039909 190.52974) + (xy 224.050257 190.528882) + (xy 224.284334 190.489821) + (xy 224.294404 190.487271) + (xy 224.518859 190.410216) + (xy 224.528376 190.406042) + (xy 224.737088 190.293093) + (xy 224.74578 190.287414) + (xy 224.933059 190.141649) + (xy 224.940702 190.134612) + (xy 225.101423 189.960023) + (xy 225.10781 189.951816) + (xy 225.237609 189.753146) + (xy 225.242552 189.744011) + (xy 225.33788 189.526685) + (xy 225.341253 189.51686) + (xy 225.399508 189.286815) + (xy 225.40122 189.27656) + (xy 225.420817 189.040053) + (xy 225.420817 189.029675) + (xy 225.40122 188.793168) + (xy 225.399508 188.782913) + (xy 225.341253 188.552868) + (xy 225.33788 188.543043) + (xy 225.242552 188.325717) + (xy 225.237609 188.316582) + (xy 225.10781 188.117912) + (xy 225.101423 188.109705) + (xy 224.940702 187.935116) + (xy 224.933059 187.928079) + (xy 224.74578 187.782314) + (xy 224.737088 187.776635) + (xy 224.528376 187.663686) + (xy 224.518859 187.659512) + (xy 224.294404 187.582457) + (xy 224.284334 187.579907) + (xy 224.050257 187.540846) + (xy 224.039909 187.539988) + (xy 223.802587 187.539988) + (xy 223.792239 187.540846) + (xy 223.558162 187.579907) + (xy 223.548092 187.582457) + (xy 223.323637 187.659512) + (xy 223.31412 187.663686) + (xy 223.105408 187.776635) + (xy 223.096716 187.782314) + (xy 222.909437 187.928079) + (xy 222.901794 187.935116) + (xy 222.741073 188.109705) + (xy 222.734686 188.117912) + (xy 222.604887 188.316582) + (xy 222.599944 188.325717) + (xy 222.504616 188.543043) + (xy 222.501243 188.552868) + (xy 222.442988 188.782913) + (xy 222.441276 188.793168) + (xy 222.421679 189.029675) + (xy 209.220818 189.029675) + (xy 209.201221 188.793168) + (xy 209.199509 188.782913) + (xy 209.141254 188.552868) + (xy 209.137881 188.543043) + (xy 209.042553 188.325717) + (xy 209.03761 188.316582) + (xy 208.907809 188.117907) + (xy 208.90143 188.109711) + (xy 208.740699 187.935112) + (xy 208.733059 187.928078) + (xy 208.54578 187.782313) + (xy 208.537088 187.776634) + (xy 208.328376 187.663685) + (xy 208.318859 187.659511) + (xy 208.094404 187.582456) + (xy 208.084334 187.579906) + (xy 207.850257 187.540845) + (xy 207.839909 187.539987) + (xy 207.602587 187.539987) + (xy 207.592239 187.540845) + (xy 207.358162 187.579906) + (xy 207.348092 187.582456) + (xy 207.123637 187.659511) + (xy 207.11412 187.663685) + (xy 206.905408 187.776634) + (xy 206.896716 187.782313) + (xy 206.709437 187.928078) + (xy 206.701797 187.935112) + (xy 206.541066 188.109711) + (xy 206.534687 188.117907) + (xy 206.404886 188.316582) + (xy 206.399943 188.325717) + (xy 206.304615 188.543043) + (xy 206.301242 188.552868) + (xy 206.242987 188.782913) + (xy 206.241275 188.793168) + (xy 206.221678 189.029675) + (xy 149.321248 189.029675) + (xy 149.321248 188.675865) + (xy 149.321216 188.673877) + (xy 149.302045 188.088275) + (xy 149.301777 188.084194) + (xy 149.244456 187.502198) + (xy 149.243914 187.498079) + (xy 149.198959 187.22579) + (xy 149.197826 187.217026) + (xy 149.16552 186.872429) + (xy 149.162572 186.858437) + (xy 149.069941 186.580545) + (xy 149.066766 186.569311) + (xy 149.015042 186.347478) + (xy 149.013986 186.343534) + (xy 148.844213 185.783866) + (xy 148.842894 185.779983) + (xy 148.636889 185.23264) + (xy 148.635317 185.228844) + (xy 148.393957 184.696151) + (xy 148.392126 184.692439) + (xy 148.11645 184.176684) + (xy 148.114386 184.17311) + (xy 147.805568 183.67649) + (xy 147.803272 183.673053) + (xy 147.462617 183.19767) + (xy 147.460132 183.194432) + (xy 147.115793 182.774854) + (xy 149.461678 182.774854) + (xy 149.461678 182.785232) + (xy 149.481275 183.021739) + (xy 149.482987 183.031994) + (xy 149.541242 183.262039) + (xy 149.544615 183.271864) + (xy 149.639943 183.48919) + (xy 149.644886 183.498325) + (xy 149.774687 183.697) + (xy 149.781066 183.705196) + (xy 149.941797 183.879795) + (xy 149.949437 183.886829) + (xy 150.136716 184.032594) + (xy 150.145408 184.038273) + (xy 150.35412 184.151222) + (xy 150.363637 184.155396) + (xy 150.588092 184.232451) + (xy 150.598162 184.235001) + (xy 150.832239 184.274062) + (xy 150.842587 184.27492) + (xy 151.079909 184.27492) + (xy 151.090257 184.274062) + (xy 151.324334 184.235001) + (xy 151.334404 184.232451) + (xy 151.558859 184.155396) + (xy 151.568376 184.151222) + (xy 151.777088 184.038273) + (xy 151.78578 184.032594) + (xy 151.973059 183.886829) + (xy 151.980699 183.879795) + (xy 152.14143 183.705196) + (xy 152.147809 183.697) + (xy 152.27761 183.498325) + (xy 152.282553 183.48919) + (xy 152.377881 183.271864) + (xy 152.381254 183.262039) + (xy 152.439509 183.031994) + (xy 152.441221 183.021739) + (xy 152.460818 182.785232) + (xy 152.460818 182.774854) + (xy 152.441221 182.538347) + (xy 152.439509 182.528092) + (xy 152.381254 182.298047) + (xy 152.377881 182.288222) + (xy 152.282553 182.070896) + (xy 152.27761 182.061761) + (xy 152.147809 181.863086) + (xy 152.14143 181.85489) + (xy 151.980699 181.680291) + (xy 151.973059 181.673257) + (xy 151.78578 181.527492) + (xy 151.777088 181.521813) + (xy 151.568376 181.408864) + (xy 151.558859 181.40469) + (xy 151.334404 181.327635) + (xy 151.324334 181.325085) + (xy 151.090257 181.286024) + (xy 151.079909 181.285166) + (xy 150.842587 181.285166) + (xy 150.832239 181.286024) + (xy 150.598162 181.325085) + (xy 150.588092 181.327635) + (xy 150.363637 181.40469) + (xy 150.35412 181.408864) + (xy 150.145408 181.521813) + (xy 150.136716 181.527492) + (xy 149.949437 181.673257) + (xy 149.941797 181.680291) + (xy 149.781066 181.85489) + (xy 149.774687 181.863086) + (xy 149.644886 182.061761) + (xy 149.639943 182.070896) + (xy 149.544615 182.288222) + (xy 149.541242 182.298047) + (xy 149.482987 182.528092) + (xy 149.481275 182.538347) + (xy 149.461678 182.774854) + (xy 147.115793 182.774854) + (xy 147.089116 182.742348) + (xy 147.086405 182.739257) + (xy 146.963327 182.607848) + (xy 146.955832 182.599072) + (xy 146.780676 182.373871) + (xy 146.773099 182.36573) + (xy 145.512215 181.230934) + (xy 145.501453 181.223141) + (xy 143.787994 180.240421) + (xy 143.771128 180.233772) + (xy 141.728626 179.754666) + (xy 141.716515 179.753045) + (xy 141.393323 179.741502) + (xy 141.38547 179.740975) + (xy 140.911918 179.694335) + (xy 140.907837 179.694067) + (xy 140.322235 179.674896) + (xy 140.320247 179.674864) + (xy 137.927651 179.674864) + (xy 137.85953 179.654862) + (xy 137.838556 179.637959) + (xy 136.501772 178.301175) + (xy 136.467746 178.238863) + (xy 136.464867 178.212044) + (xy 136.471498 154.799139) + (xy 136.491519 154.731024) + (xy 136.545188 154.684547) + (xy 136.597795 154.673175) + (xy 138.758587 154.678268) + (xy 138.7639 154.678054) + (xy 139.002405 154.658291) + (xy 139.012653 154.656581) + (xy 139.242175 154.598457) + (xy 139.251997 154.595086) + (xy 139.468828 154.499976) + (xy 139.477967 154.49503) + (xy 139.676175 154.365534) + (xy 139.684382 154.359146) + (xy 139.858573 154.198792) + (xy 139.86561 154.191149) + (xy 140.011041 154.004298) + (xy 140.016719 153.995607) + (xy 140.129406 153.787381) + (xy 140.133586 153.777853) + (xy 140.210462 153.553916) + (xy 140.213012 153.543846) + (xy 140.251983 153.310306) + (xy 140.252841 153.299958) + (xy 140.252841 153.06318) + (xy 140.251983 153.052832) + (xy 140.213012 152.819292) + (xy 140.210462 152.809222) + (xy 140.133586 152.585285) + (xy 140.129406 152.575757) + (xy 140.016719 152.367531) + (xy 140.011041 152.35884) + (xy 139.86561 152.171989) + (xy 139.858573 152.164346) + (xy 139.684382 152.003992) + (xy 139.676175 151.997604) + (xy 139.477967 151.868108) + (xy 139.468828 151.863162) + (xy 139.251997 151.768052) + (xy 139.242175 151.764681) + (xy 139.012653 151.706557) + (xy 139.002405 151.704847) + (xy 138.763849 151.685079) + (xy 138.758634 151.684864) + (xy 136.598416 151.684864) + (xy 136.530295 151.664862) + (xy 136.483802 151.611206) + (xy 136.472416 151.558828) + (xy 136.489657 90.679674) + (xy 138.561678 90.679674) + (xy 138.561678 90.690052) + (xy 138.581275 90.926559) + (xy 138.582987 90.936814) + (xy 138.641242 91.166859) + (xy 138.644615 91.176684) + (xy 138.739943 91.39401) + (xy 138.744886 91.403145) + (xy 138.874687 91.60182) + (xy 138.881066 91.610016) + (xy 139.041797 91.784615) + (xy 139.049437 91.791649) + (xy 139.236716 91.937414) + (xy 139.245408 91.943093) + (xy 139.45412 92.056042) + (xy 139.463637 92.060216) + (xy 139.688092 92.137271) + (xy 139.698162 92.139821) + (xy 139.932239 92.178882) + (xy 139.942587 92.17974) + (xy 140.179909 92.17974) + (xy 140.190257 92.178882) + (xy 140.424334 92.139821) + (xy 140.434404 92.137271) + (xy 140.658859 92.060216) + (xy 140.668376 92.056042) + (xy 140.877088 91.943093) + (xy 140.88578 91.937414) + (xy 141.073059 91.791649) + (xy 141.080699 91.784615) + (xy 141.24143 91.610016) + (xy 141.247809 91.60182) + (xy 141.37761 91.403145) + (xy 141.382553 91.39401) + (xy 141.477881 91.176684) + (xy 141.481254 91.166859) + (xy 141.539509 90.936814) + (xy 141.541221 90.926559) + (xy 141.560818 90.690052) + (xy 141.560818 90.679674) + (xy 141.541221 90.443167) + (xy 141.539509 90.432912) + (xy 141.481254 90.202867) + (xy 141.477881 90.193042) + (xy 141.382553 89.975716) + (xy 141.37761 89.966581) + (xy 141.247809 89.767906) + (xy 141.24143 89.75971) + (xy 141.080699 89.585111) + (xy 141.073059 89.578077) + (xy 140.88578 89.432312) + (xy 140.877088 89.426633) + (xy 140.668376 89.313684) + (xy 140.658859 89.30951) + (xy 140.434404 89.232455) + (xy 140.424334 89.229905) + (xy 140.190257 89.190844) + (xy 140.179909 89.189986) + (xy 139.942587 89.189986) + (xy 139.932239 89.190844) + (xy 139.698162 89.229905) + (xy 139.688092 89.232455) + (xy 139.463637 89.30951) + (xy 139.45412 89.313684) + (xy 139.245408 89.426633) + (xy 139.236716 89.432312) + (xy 139.049437 89.578077) + (xy 139.041797 89.585111) + (xy 138.881066 89.75971) + (xy 138.874687 89.767906) + (xy 138.744886 89.966581) + (xy 138.739943 89.975716) + (xy 138.644615 90.193042) + (xy 138.641242 90.202867) + (xy 138.582987 90.432912) + (xy 138.581275 90.443167) + (xy 138.561678 90.679674) + (xy 136.489657 90.679674) + (xy 136.490233 88.644182) + (xy 136.510254 88.576067) + (xy 136.526353 88.555914) + (xy 137.754784 87.305547) + (xy 137.755569 87.304756) + (xy 137.838556 87.221769) + (xy 137.900868 87.187743) + (xy 137.927651 87.184864) + (xy 142.282448 87.184863) + (xy 142.350569 87.204865) + (xy 142.397062 87.258521) + (xy 142.408448 87.310863) + (xy 142.408448 175.010749) + (xy 142.412923 175.025988) + (xy 142.414997 175.027785) + (xy 142.421131 175.029207) + (xy 144.27046 175.079189) + (xy 144.304834 175.08494) + (xy 146.946299 175.915114) + (xy 146.963299 175.921847) + (xy 148.417624 176.623935) + (xy 148.437739 176.636079) + (xy 150.174268 177.9196) + (xy 150.186741 177.930134) + (xy 151.516767 179.20997) + (xy 151.534859 179.231809) + (xy 152.815714 181.190765) + (xy 152.827062 181.212471) + (xy 153.732323 183.450478) + (xy 153.739167 183.473505) + (xy 154.217519 185.915615) + (xy 154.219794 185.935493) + (xy 154.244224 186.643957) + (xy 154.249221 186.659034) + (xy 154.251521 186.660893) + (xy 154.257335 186.662049) + (xy 282.903131 186.509685) + (xy 282.918367 186.505192) + (xy 282.920934 186.502223) + (xy 282.921954 186.498127) + (xy 282.996768 185.276172) + (xy 283.000066 185.254243) + (xy 283.599918 182.774854) + (xy 284.461678 182.774854) + (xy 284.461678 182.785232) + (xy 284.481275 183.021739) + (xy 284.482987 183.031994) + (xy 284.541242 183.262039) + (xy 284.544615 183.271864) + (xy 284.639943 183.48919) + (xy 284.644886 183.498325) + (xy 284.774687 183.697) + (xy 284.781066 183.705196) + (xy 284.941797 183.879795) + (xy 284.949437 183.886829) + (xy 285.136716 184.032594) + (xy 285.145408 184.038273) + (xy 285.35412 184.151222) + (xy 285.363637 184.155396) + (xy 285.588092 184.232451) + (xy 285.598162 184.235001) + (xy 285.832239 184.274062) + (xy 285.842587 184.27492) + (xy 286.079909 184.27492) + (xy 286.090257 184.274062) + (xy 286.324334 184.235001) + (xy 286.334404 184.232451) + (xy 286.558859 184.155396) + (xy 286.568376 184.151222) + (xy 286.777088 184.038273) + (xy 286.78578 184.032594) + (xy 286.973059 183.886829) + (xy 286.980699 183.879795) + (xy 287.14143 183.705196) + (xy 287.147809 183.697) + (xy 287.27761 183.498325) + (xy 287.282553 183.48919) + (xy 287.377881 183.271864) + (xy 287.381254 183.262039) + (xy 287.439509 183.031994) + (xy 287.441221 183.021739) + (xy 287.460818 182.785232) + (xy 287.460818 182.774854) + (xy 287.441221 182.538347) + (xy 287.439509 182.528092) + (xy 287.381254 182.298047) + (xy 287.377881 182.288222) + (xy 287.282553 182.070896) + (xy 287.27761 182.061761) + (xy 287.147809 181.863086) + (xy 287.14143 181.85489) + (xy 286.980699 181.680291) + (xy 286.973059 181.673257) + (xy 286.78578 181.527492) + (xy 286.777088 181.521813) + (xy 286.568376 181.408864) + (xy 286.558859 181.40469) + (xy 286.334404 181.327635) + (xy 286.324334 181.325085) + (xy 286.090257 181.286024) + (xy 286.079909 181.285166) + (xy 285.842587 181.285166) + (xy 285.832239 181.286024) + (xy 285.598162 181.325085) + (xy 285.588092 181.327635) + (xy 285.363637 181.40469) + (xy 285.35412 181.408864) + (xy 285.145408 181.521813) + (xy 285.136716 181.527492) + (xy 284.949437 181.673257) + (xy 284.941797 181.680291) + (xy 284.781066 181.85489) + (xy 284.774687 181.863086) + (xy 284.644886 182.061761) + (xy 284.639943 182.070896) + (xy 284.544615 182.288222) + (xy 284.541242 182.298047) + (xy 284.482987 182.528092) + (xy 284.481275 182.538347) + (xy 284.461678 182.774854) + (xy 283.599918 182.774854) + (xy 283.755226 182.132916) + (xy 283.768376 182.099888) + (xy 284.947629 180.042468) + (xy 284.960528 180.024011) + (xy 286.292051 178.441257) + (xy 286.30831 178.425157) + (xy 287.740633 177.244118) + (xy 287.754538 177.234157) + (xy 289.136262 176.380001) + (xy 289.152882 176.371364) + (xy 291.441696 175.390443) + (xy 291.470616 175.381969) + (xy 292.977174 175.130876) + (xy 292.982085 175.129855) + (xy 293.58337 174.979534) + (xy 293.595238 174.977166) + (xy 294.087955 174.903258) + (xy 294.106646 174.901864) + (xy 294.485533 174.901864) + (xy 294.500772 174.897389) + (xy 294.501996 174.895976) + (xy 294.50366 174.888346) + (xy 294.576821 90.679674) + (xy 295.361678 90.679674) + (xy 295.361678 90.690052) + (xy 295.381275 90.926559) + (xy 295.382987 90.936814) + (xy 295.441242 91.166859) + (xy 295.444615 91.176684) + (xy 295.539943 91.39401) + (xy 295.544886 91.403145) + (xy 295.674687 91.60182) + (xy 295.681066 91.610016) + (xy 295.841797 91.784615) + (xy 295.849437 91.791649) + (xy 296.036716 91.937414) + (xy 296.045408 91.943093) + (xy 296.25412 92.056042) + (xy 296.263637 92.060216) + (xy 296.488092 92.137271) + (xy 296.498162 92.139821) + (xy 296.732239 92.178882) + (xy 296.742587 92.17974) + (xy 296.979909 92.17974) + (xy 296.990257 92.178882) + (xy 297.224334 92.139821) + (xy 297.234404 92.137271) + (xy 297.458859 92.060216) + (xy 297.468376 92.056042) + (xy 297.677088 91.943093) + (xy 297.68578 91.937414) + (xy 297.873059 91.791649) + (xy 297.880699 91.784615) + (xy 298.04143 91.610016) + (xy 298.047809 91.60182) + (xy 298.17761 91.403145) + (xy 298.182553 91.39401) + (xy 298.277881 91.176684) + (xy 298.281254 91.166859) + (xy 298.339509 90.936814) + (xy 298.341221 90.926559) + (xy 298.360818 90.690052) + (xy 298.360818 90.679674) + (xy 298.341221 90.443167) + (xy 298.339509 90.432912) + (xy 298.281254 90.202867) + (xy 298.277881 90.193042) + (xy 298.182553 89.975716) + (xy 298.17761 89.966581) + (xy 298.047809 89.767906) + (xy 298.04143 89.75971) + (xy 297.880699 89.585111) + (xy 297.873059 89.578077) + (xy 297.68578 89.432312) + (xy 297.677088 89.426633) + (xy 297.468376 89.313684) + (xy 297.458859 89.30951) + (xy 297.234404 89.232455) + (xy 297.224334 89.229905) + (xy 296.990257 89.190844) + (xy 296.979909 89.189986) + (xy 296.742587 89.189986) + (xy 296.732239 89.190844) + (xy 296.498162 89.229905) + (xy 296.488092 89.232455) + (xy 296.263637 89.30951) + (xy 296.25412 89.313684) + (xy 296.045408 89.426633) + (xy 296.036716 89.432312) + (xy 295.849437 89.578077) + (xy 295.841797 89.585111) + (xy 295.681066 89.75971) + (xy 295.674687 89.767906) + (xy 295.544886 89.966581) + (xy 295.539943 89.975716) + (xy 295.444615 90.193042) + (xy 295.441242 90.202867) + (xy 295.382987 90.432912) + (xy 295.381275 90.443167) + (xy 295.361678 90.679674) + (xy 294.576821 90.679674) + (xy 294.57974 87.320117) + (xy 294.599801 87.252013) + (xy 294.653497 87.205567) + (xy 294.704292 87.194234) + (xy 295.669959 87.183134) + (xy 295.671472 87.183126) + ) + ) + ) +) diff --git a/proteus80vag.kicad_pro b/proteus80vag.kicad_pro new file mode 100644 index 0000000..4169712 --- /dev/null +++ b/proteus80vag.kicad_pro @@ -0,0 +1,436 @@ +{ + "board": { + "design_settings": { + "defaults": { + "board_outline_line_width": 0.049999999999999996, + "copper_line_width": 0.19999999999999998, + "copper_text_italic": false, + "copper_text_size_h": 1.5, + "copper_text_size_v": 1.5, + "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.049999999999999996, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.09999999999999999, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, + "other_line_width": 0.09999999999999999, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 0.762, + "height": 1.524, + "width": 1.524 + }, + "silk_line_width": 0.12, + "silk_text_italic": false, + "silk_text_size_h": 1.0, + "silk_text_size_v": 1.0, + "silk_text_thickness": 0.15, + "silk_text_upright": false, + "zones": { + "45_degree_only": false, + "min_clearance": 0.508 + } + }, + "diff_pair_dimensions": [ + { + "gap": 0.0, + "via_gap": 0.0, + "width": 0.0 + } + ], + "drc_exclusions": [], + "meta": { + "filename": "board_design_settings.json", + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "copper_edge_clearance": "error", + "courtyards_overlap": "error", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "warning", + "extra_footprint": "warning", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "warning", + "net_conflict": "warning", + "npth_inside_courtyard": "ignore", + "padstack": "error", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_over_copper": "error", + "silk_overlap": "error", + "skew_out_of_range": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zone_has_empty_net": "error", + "zones_intersect": "error" + }, + "rule_severitieslegacy_courtyards_overlap": true, + "rule_severitieslegacy_no_courtyard_defined": false, + "rules": { + "allow_blind_buried_vias": false, + "allow_microvias": false, + "max_error": 0.005, + "min_clearance": 0.0, + "min_copper_edge_clearance": 0.09999999999999999, + "min_hole_clearance": 0.0, + "min_hole_to_hole": 0.25, + "min_microvia_diameter": 0.19999999999999998, + "min_microvia_drill": 0.09999999999999999, + "min_silk_clearance": 0.0, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.19999999999999998, + "min_via_annular_width": 0.049999999999999996, + "min_via_diameter": 0.39999999999999997, + "use_height_for_length_calcs": true + }, + "track_widths": [ + 0.0, + 0.2 + ], + "via_dimensions": [ + { + "diameter": 0.0, + "drill": 0.0 + } + ], + "zones_allow_external_fillets": false, + "zones_use_no_outline": true + }, + "layer_presets": [] + }, + "boards": [], + "cvpcb": { + "equivalence_files": [] + }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_entry_needed": "error", + "bus_label_syntax": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "extra_units": "error", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "lib_symbol_issues": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "ignore", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "warning", + "power_pin_not_driven": "ignore", + "similar_labels": "warning", + "unannotated": "error", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, + "libraries": { + "pinned_footprint_libs": [], + "pinned_symbol_libs": [] + }, + "meta": { + "filename": "proteus125honda.kicad_pro", + "version": 1 + }, + "net_settings": { + "classes": [ + { + "bus_width": 12.0, + "clearance": 0.2, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Default", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.25, + "via_diameter": 0.8, + "via_drill": 0.4, + "wire_width": 6.0 + } + ], + "meta": { + "version": 2 + }, + "net_colors": null + }, + "pcbnew": { + "last_paths": { + "gencad": "", + "idf": "", + "netlist": "hw48na.net", + "specctra_dsn": "", + "step": "", + "vrml": "gerber/hellen64_miataNA6_94.wrl" + }, + "page_layout_descr_file": "" + }, + "schematic": { + "annotate_start_num": 0, + "drawing": { + "default_bus_thickness": 12.0, + "default_junction_size": 40.0, + "default_line_thickness": 6.0, + "default_text_size": 50.0, + "default_wire_thickness": 6.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": false, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, + "label_size_ratio": 0.3, + "pin_symbol_size": 25.0, + "text_offset_ratio": 0.3 + }, + "legacy_lib_dir": "", + "legacy_lib_list": [], + "meta": { + "version": 1 + }, + "net_format_name": "Pcbnew", + "ngspice": { + "fix_include_paths": true, + "fix_passive_vals": false, + "meta": { + "version": 0 + }, + "model_mode": 0, + "workbook_filename": "" + }, + "page_layout_descr_file": "", + "plot_directory": "gerber/", + "spice_adjust_passive_values": false, + "spice_external_command": "spice \"%I\"", + "subpart_first_id": 65, + "subpart_id_separator": 0 + }, + "sheets": [ + [ + "63d2dd9f-d5ff-4811-a88d-0ba932475460", + "" + ] + ], + "text_variables": {} +} diff --git a/proteus80vag.kicad_sch b/proteus80vag.kicad_sch new file mode 100644 index 0000000..5b055ca --- /dev/null +++ b/proteus80vag.kicad_sch @@ -0,0 +1,2925 @@ +(kicad_sch (version 20210621) (generator eeschema) + + (uuid 63d2dd9f-d5ff-4811-a88d-0ba932475460) + + (paper "A2") + + (title_block + (title "proteus80vag") + (date "2021-09-27") + (rev "a") + (company "rusEFI") + ) + + (lib_symbols + (symbol "8-1393476-0:8-1393476-0" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 0.5334 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Value" "8-1393476-0" (id 1) (at 0 -213.36 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Footprint" "lib:8-1393476-0" (id 2) (at 5.08 -36.83 90) + (effects (font (size 1.27 1.27)) (justify left bottom) hide) + ) + (property "Datasheet" "MANUFACTURER RECOMMENDATIONS" (id 3) (at 5.08 -36.83 90) + (effects (font (size 1.27 1.27)) (justify left bottom) hide) + ) + (symbol "8-1393476-0_0_1" + (rectangle (start 0 0) (end 11.43 -210.82) + (stroke (width 0.1524)) (fill (type none)) + ) + ) + (symbol "8-1393476-0_1_1" + (pin passive line (at 16.51 -2.54 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -25.4 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -27.94 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -30.48 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -33.02 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -35.56 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -38.1 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -40.64 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -43.18 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -45.72 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -48.26 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -5.08 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -50.8 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -53.34 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -55.88 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -58.42 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -60.96 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -63.5 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -66.04 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -68.58 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -71.12 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -73.66 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -7.62 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -76.2 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -78.74 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -81.28 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -83.82 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -86.36 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -88.9 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -91.44 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -93.98 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -96.52 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -99.06 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -10.16 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -101.6 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -104.14 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -106.68 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -109.22 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -111.76 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -114.3 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -116.84 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -119.38 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -121.92 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -124.46 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -12.7 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -127 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -129.54 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -132.08 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -139.7 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -142.24 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -144.78 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -147.32 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -149.86 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -152.4 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -154.94 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -15.24 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -157.48 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -160.02 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -162.56 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -165.1 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -167.64 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -170.18 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -172.72 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -175.26 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -177.8 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -180.34 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -17.78 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -182.88 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -185.42 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -187.96 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -190.5 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -193.04 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -195.58 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -198.12 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -200.66 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -203.2 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -205.74 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -20.32 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -208.28 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 16.51 -22.86 180) (length 5.08) + (name "" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_01x06" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x06" (id 1) (at 0 -10.16 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x06, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x06_1_1" + (rectangle (start -1.27 -7.493) (end 0 -7.747) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 6.35) (end 1.27 -8.89) + (stroke (width 0.254)) (fill (type background)) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_01x08" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 10.16 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x08" (id 1) (at 0 -12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x08, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x08_1_1" + (rectangle (start -1.27 -10.033) (end 0 -10.287) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -7.493) (end 0 -7.747) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 7.747) (end 0 7.493) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 8.89) (end 1.27 -11.43) + (stroke (width 0.254)) (fill (type background)) + ) + (pin passive line (at -5.08 7.62 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 3.81) + (name "Pin_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -10.16 0) (length 3.81) + (name "Pin_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_01x23" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 30.48 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x23" (id 1) (at 0 -30.48 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x23, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x23_1_1" + (rectangle (start -1.27 -27.813) (end 0 -28.067) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -25.273) (end 0 -25.527) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -22.733) (end 0 -22.987) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -20.193) (end 0 -20.447) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -17.653) (end 0 -17.907) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -15.113) (end 0 -15.367) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -12.573) (end 0 -12.827) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -10.033) (end 0 -10.287) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -7.493) (end 0 -7.747) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 7.747) (end 0 7.493) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 10.287) (end 0 10.033) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 12.827) (end 0 12.573) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 15.367) (end 0 15.113) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 17.907) (end 0 17.653) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 20.447) (end 0 20.193) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 22.987) (end 0 22.733) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 25.527) (end 0 25.273) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 28.067) (end 0 27.813) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 29.21) (end 1.27 -29.21) + (stroke (width 0.254)) (fill (type background)) + ) + (pin passive line (at -5.08 27.94 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_11" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_12" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_13" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_14" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 3.81) + (name "Pin_15" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -10.16 0) (length 3.81) + (name "Pin_16" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -12.7 0) (length 3.81) + (name "Pin_17" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -15.24 0) (length 3.81) + (name "Pin_18" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -17.78 0) (length 3.81) + (name "Pin_19" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 25.4 0) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -20.32 0) (length 3.81) + (name "Pin_20" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -22.86 0) (length 3.81) + (name "Pin_21" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -25.4 0) (length 3.81) + (name "Pin_22" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -27.94 0) (length 3.81) + (name "Pin_23" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 22.86 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 20.32 0) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 17.78 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 15.24 0) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 12.7 0) (length 3.81) + (name "Pin_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 10.16 0) (length 3.81) + (name "Pin_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 7.62 0) (length 3.81) + (name "Pin_9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_01x35" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 45.72 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x35" (id 1) (at 0 -45.72 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x35, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x35_1_1" + (rectangle (start -1.27 -43.053) (end 0 -43.307) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -40.513) (end 0 -40.767) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -37.973) (end 0 -38.227) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -35.433) (end 0 -35.687) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -32.893) (end 0 -33.147) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -30.353) (end 0 -30.607) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -27.813) (end 0 -28.067) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -25.273) (end 0 -25.527) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -22.733) (end 0 -22.987) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -20.193) (end 0 -20.447) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -17.653) (end 0 -17.907) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -15.113) (end 0 -15.367) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -12.573) (end 0 -12.827) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -10.033) (end 0 -10.287) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -7.493) (end 0 -7.747) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 7.747) (end 0 7.493) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 10.287) (end 0 10.033) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 12.827) (end 0 12.573) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 15.367) (end 0 15.113) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 17.907) (end 0 17.653) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 20.447) (end 0 20.193) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 22.987) (end 0 22.733) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 25.527) (end 0 25.273) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 28.067) (end 0 27.813) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 30.607) (end 0 30.353) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 33.147) (end 0 32.893) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 35.687) (end 0 35.433) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 38.227) (end 0 37.973) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 40.767) (end 0 40.513) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 43.307) (end 0 43.053) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 44.45) (end 1.27 -44.45) + (stroke (width 0.254)) (fill (type background)) + ) + (pin passive line (at -5.08 43.18 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 20.32 0) (length 3.81) + (name "Pin_10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 17.78 0) (length 3.81) + (name "Pin_11" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 15.24 0) (length 3.81) + (name "Pin_12" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 12.7 0) (length 3.81) + (name "Pin_13" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 10.16 0) (length 3.81) + (name "Pin_14" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 7.62 0) (length 3.81) + (name "Pin_15" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_16" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_17" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_18" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_19" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 40.64 0) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_20" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 3.81) + (name "Pin_21" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -10.16 0) (length 3.81) + (name "Pin_22" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -12.7 0) (length 3.81) + (name "Pin_23" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -15.24 0) (length 3.81) + (name "Pin_24" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -17.78 0) (length 3.81) + (name "Pin_25" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -20.32 0) (length 3.81) + (name "Pin_26" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -22.86 0) (length 3.81) + (name "Pin_27" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -25.4 0) (length 3.81) + (name "Pin_28" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -27.94 0) (length 3.81) + (name "Pin_29" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 38.1 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -30.48 0) (length 3.81) + (name "Pin_30" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -33.02 0) (length 3.81) + (name "Pin_31" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -35.56 0) (length 3.81) + (name "Pin_32" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -38.1 0) (length 3.81) + (name "Pin_33" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -40.64 0) (length 3.81) + (name "Pin_34" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -43.18 0) (length 3.81) + (name "Pin_35" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 35.56 0) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 33.02 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 30.48 0) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 27.94 0) (length 3.81) + (name "Pin_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 25.4 0) (length 3.81) + (name "Pin_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 22.86 0) (length 3.81) + (name "Pin_9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:Q_NIGBT_GCE" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "Q" (id 0) (at 5.08 1.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Q_NIGBT_GCE" (id 1) (at 5.08 -1.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 5.08 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "transistor IGBT N-IGBT" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "N-IGBT transistor, gate/collector/emitter" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Q_NIGBT_GCE_0_1" + (circle (center 1.27 0) (radius 2.8194) (stroke (width 0.254)) (fill (type none))) + (polyline + (pts + (xy 0.762 -1.016) + (xy 0.762 -2.032) + ) + (stroke (width 0.254)) (fill (type none)) + ) + (polyline + (pts + (xy 0.762 0.508) + (xy 0.762 -0.508) + ) + (stroke (width 0.254)) (fill (type none)) + ) + (polyline + (pts + (xy 0.762 2.032) + (xy 0.762 1.016) + ) + (stroke (width 0.254)) (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -2.413) + (xy 0.762 -1.524) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -0.889) + (xy 0.762 0) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 2.54 2.413) + (xy 0.762 1.524) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 0.254 1.905) + (xy 0.254 -1.905) + (xy 0.254 -1.905) + ) + (stroke (width 0.254)) (fill (type none)) + ) + (polyline + (pts + (xy 1.397 -2.159) + (xy 1.651 -1.651) + (xy 2.54 -2.413) + (xy 1.397 -2.159) + ) + (stroke (width 0)) (fill (type outline)) + ) + (polyline + (pts + (xy 2.159 1.905) + (xy 1.905 2.413) + (xy 1.016 1.651) + (xy 2.159 1.905) + ) + (stroke (width 0)) (fill (type outline)) + ) + ) + (symbol "Q_NIGBT_GCE_1_1" + (pin input line (at -5.08 0 0) (length 5.334) + (name "G" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 5.08 270) (length 2.54) + (name "C" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 -5.08 90) (length 2.54) + (name "E" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "hellen-one-can-0.1:Module-can-0.1" (in_bom yes) (on_board yes) + (property "Reference" "M" (id 0) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Module-can-0.1" (id 1) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "hellen-one-can-0.1:can" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Hellen-One CAN Module" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Module-can-0.1_1_0" + (rectangle (start 33.02 0) (end 0 -17.78) + (stroke (width 0)) (fill (type background)) + ) + (pin passive line (at 38.1 -12.7 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "E1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 38.1 -5.08 180) (length 5.08) + (name "CANL" (effects (font (size 1.27 1.27)))) + (number "S1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 38.1 -7.62 180) (length 5.08) + (name "CANH" (effects (font (size 1.27 1.27)))) + (number "S2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 5.08) + (name "V5" (effects (font (size 1.27 1.27)))) + (number "V1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 5.08) + (name "CAN_VIO" (effects (font (size 1.27 1.27)))) + (number "V2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -12.7 0) (length 5.08) + (name "CAN_TX" (effects (font (size 1.27 1.27)))) + (number "V5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -10.16 0) (length 5.08) + (name "CAN_RX" (effects (font (size 1.27 1.27)))) + (number "V6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "hellen-one-common:Fuse-Pad-Pad" (pin_numbers hide) (pin_names (offset 0.762) hide) (in_bom yes) (on_board yes) + (property "Reference" "F" (id 0) (at 0 2.54 0) + (effects (font (size 1.016 1.016))) + ) + (property "Value" "Fuse-Pad-Pad" (id 1) (at 0 -1.778 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Footprint" "hellen-one-common:PAD-1206-PAD" (id 2) (at 0 -3.81 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC" "C182446" (id 4) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "12H1500D" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Fuse-Pad-Pad_0_1" + (arc (start -1.27 0) (end 0 0) (radius (at -0.635 0.2137) (length 0.67) (angles -161.4 -18.6)) + (stroke (width 0.0006)) (fill (type none)) + ) + (arc (start 0 0) (end 1.27 0) (radius (at 0.635 -0.3076) (length 0.7056) (angles 154.2 25.8)) + (stroke (width 0.0006)) (fill (type none)) + ) + (circle (center -2.54 0) (radius 0.762) (stroke (width 0)) (fill (type none))) + (circle (center 2.54 0) (radius 0.762) (stroke (width 0)) (fill (type none))) + (pin passive line (at -5.08 0 0) (length 2.54) + (name "1" (effects (font (size 1.524 1.524)))) + (number "1" (effects (font (size 1.524 1.524)))) + ) + (pin passive line (at 5.08 0 180) (length 2.54) + (name "2" (effects (font (size 1.524 1.524)))) + (number "2" (effects (font (size 1.524 1.524)))) + ) + ) + (symbol "Fuse-Pad-Pad_1_0" + (rectangle (start -2.54 -1.27) (end 2.54 1.27) + (stroke (width 0.254)) (fill (type background)) + ) + ) + ) + (symbol "hellen-one-common:Pad" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "P" (id 0) (at 2.54 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Pad" (id 1) (at 0 -2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "hellen-one-common:PAD-TH" (id 2) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x01, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Pad_1_1" + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 1.27) (end 1.27 -1.27) + (stroke (width 0.254)) (fill (type background)) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "hellen-one-common:Res" (pin_numbers hide) (in_bom yes) (on_board yes) + (property "Reference" "R" (id 0) (at 3.81 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Res" (id 1) (at 5.08 -2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "hellen-one-common:R0603" (id 2) (at 3.81 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Resistor" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Res_1_0" + (rectangle (start 2.54 -1.27) (end 7.62 1.27) + (stroke (width 0.254)) (fill (type background)) + ) + (pin passive line (at 0 0 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 0 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "hellen-one-wbo-0.2:Module-wbo-0.2" (in_bom yes) (on_board yes) + (property "Reference" "M" (id 0) (at 1.27 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Module-wbo-0.2" (id 1) (at 8.89 -40.64 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "hellen-one-wbo-0.2:wbo" (id 2) (at 25.4 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Module-wbo-0.2_1_0" + (rectangle (start 0 0) (end 33.02 -39.37) + (stroke (width 0)) (fill (type background)) + ) + (pin passive line (at 40.64 -17.78 180) (length 7.62) + (name "LSU_Un" (effects (font (size 1.27 1.27)))) + (number "E1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 40.64 -15.24 180) (length 7.62) + (name "LSU_Vm" (effects (font (size 1.27 1.27)))) + (number "E2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 40.64 -12.7 180) (length 7.62) + (name "LSU_Ip" (effects (font (size 1.27 1.27)))) + (number "E3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 40.64 -10.16 180) (length 7.62) + (name "LSU_Rtrim" (effects (font (size 1.27 1.27)))) + (number "E4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 40.64 -7.62 180) (length 7.62) + (name "LSU_H+" (effects (font (size 1.27 1.27)))) + (number "E5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 40.64 -5.08 180) (length 7.62) + (name "LSU_H-" (effects (font (size 1.27 1.27)))) + (number "E6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 40.64 -20.32 180) (length 7.62) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "G" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 40.64 -27.94 180) (length 7.62) + (name "SEL1" (effects (font (size 1.27 1.27)))) + (number "J1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 40.64 -35.56 180) (length 7.62) + (name "SEL2" (effects (font (size 1.27 1.27)))) + (number "J2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 40.64 -30.48 180) (length 7.62) + (name "PULL_DOWN1" (effects (font (size 1.27 1.27)))) + (number "J_GND1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 40.64 -38.1 180) (length 7.62) + (name "PULL_DOWN2" (effects (font (size 1.27 1.27)))) + (number "J_GND2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 40.64 -25.4 180) (length 7.62) + (name "PULL_UP1" (effects (font (size 1.27 1.27)))) + (number "J_VCC1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 40.64 -33.02 180) (length 7.62) + (name "PULL_UP2" (effects (font (size 1.27 1.27)))) + (number "J_VCC2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 40.64 -2.54 180) (length 7.62) + (name "V5_IN" (effects (font (size 1.27 1.27)))) + (number "W1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 -2.54 0) (length 7.62) + (name "CAN_VIO" (effects (font (size 1.27 1.27)))) + (number "W2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 -5.08 0) (length 7.62) + (name "CAN_RX" (effects (font (size 1.27 1.27)))) + (number "W3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 -7.62 0) (length 7.62) + (name "CAN_TX" (effects (font (size 1.27 1.27)))) + (number "W4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 -20.32 0) (length 7.62) + (name "nReset" (effects (font (size 1.27 1.27)))) + (number "W5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 -17.78 0) (length 7.62) + (name "SWDIO" (effects (font (size 1.27 1.27)))) + (number "W6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 -15.24 0) (length 7.62) + (name "SWCLK" (effects (font (size 1.27 1.27)))) + (number "W7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 -12.7 0) (length 7.62) + (name "V33_OUT" (effects (font (size 1.27 1.27)))) + (number "W8" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0)) (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 135.89 64.77) (diameter 0) (color 0 0 0 0)) + (junction (at 224.79 33.02) (diameter 1.016) (color 0 0 0 0)) + (junction (at 224.79 38.1) (diameter 1.016) (color 0 0 0 0)) + (junction (at 243.84 326.39) (diameter 1.016) (color 0 0 0 0)) + (junction (at 245.11 186.69) (diameter 1.016) (color 0 0 0 0)) + (junction (at 245.11 189.23) (diameter 1.016) (color 0 0 0 0)) + (junction (at 245.11 191.77) (diameter 1.016) (color 0 0 0 0)) + (junction (at 245.11 194.31) (diameter 1.016) (color 0 0 0 0)) + (junction (at 245.11 196.85) (diameter 1.016) (color 0 0 0 0)) + (junction (at 245.11 199.39) (diameter 1.016) (color 0 0 0 0)) + (junction (at 245.11 201.93) (diameter 1.016) (color 0 0 0 0)) + + (no_connect (at 245.11 55.88) (uuid 9f1c9597-3a28-4ab8-9a77-e67f06896f00)) + (no_connect (at 245.11 63.5) (uuid d7ab6996-b273-445d-9dbb-b04d52426864)) + (no_connect (at 245.11 66.04) (uuid 3c43ad60-0528-4ae8-b168-0d4d2fbd510a)) + (no_connect (at 245.11 68.58) (uuid 926015ef-6249-434a-ac59-031090853de9)) + (no_connect (at 302.26 55.88) (uuid ed27200d-2321-4c78-8ce5-b9adde82a93d)) + + (wire (pts (xy 118.11 27.94) (xy 152.4 27.94)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid f4d24935-8fc2-4d9e-b408-7354bc3a9b5b) + ) + (wire (pts (xy 118.11 43.18) (xy 152.4 43.18)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid a5b275cf-1729-4c6d-882c-aa44657d1212) + ) + (wire (pts (xy 118.11 53.34) (xy 152.4 53.34)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid c5b2f641-30f9-405e-8fa4-5f77ebfd934f) + ) + (wire (pts (xy 120.65 64.77) (xy 125.73 64.77)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d7be382b-c282-4902-861f-cda99083fdc0) + ) + (wire (pts (xy 121.92 30.48) (xy 118.11 30.48)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 9efb5a4a-5134-4e05-b61a-adef07c76d2f) + ) + (wire (pts (xy 121.92 33.02) (xy 118.11 33.02)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b327979e-2c47-4c5f-a953-b218efef55d7) + ) + (wire (pts (xy 135.89 64.77) (xy 143.51 64.77)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 453830a6-713d-4bd0-9ea9-5e3b2335a025) + ) + (wire (pts (xy 137.16 74.93) (xy 135.89 74.93)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 19d0a28c-b6ef-4962-98cb-3cb35bcae460) + ) + (wire (pts (xy 152.4 30.48) (xy 132.08 30.48)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid c9cff6ae-2197-4607-986b-9edb7e7eec04) + ) + (wire (pts (xy 152.4 33.02) (xy 132.08 33.02)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid c6e212cf-0ae5-400a-b65c-e527b1a46f43) + ) + (wire (pts (xy 152.4 40.64) (xy 118.11 40.64)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid ac28098c-68da-47df-afb8-a9fbbc35ed20) + ) + (wire (pts (xy 153.67 64.77) (xy 153.67 69.85)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 87002e3b-c8e2-42d3-89f2-bc4dbdc5b03a) + ) + (wire (pts (xy 224.79 30.48) (xy 224.79 33.02)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 7f9d4b68-0242-49c8-8a11-f886d5fa655b) + ) + (wire (pts (xy 224.79 35.56) (xy 224.79 38.1)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 4948be29-c1e5-4af4-bf8d-91cc9a6585e2) + ) + (wire (pts (xy 224.79 38.1) (xy 245.11 38.1)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1d53c800-3f18-404b-8968-9d6b25a5699a) + ) + (wire (pts (xy 224.79 40.64) (xy 245.11 40.64)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid fda45d41-042d-4506-ac1c-3b874c3928d8) + ) + (wire (pts (xy 224.79 43.18) (xy 245.11 43.18)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 64dbda4f-ddb7-4937-bf90-2db48ac14d48) + ) + (wire (pts (xy 224.79 45.72) (xy 245.11 45.72)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e735a0c3-8a5b-4843-a8d6-ddb818ba9803) + ) + (wire (pts (xy 224.79 48.26) (xy 245.11 48.26)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid a0c8d212-9e05-45c3-899a-000ee791ec29) + ) + (wire (pts (xy 231.14 212.09) (xy 245.11 212.09)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid a1415acb-e747-4810-85a9-20a92728b2a6) + ) + (wire (pts (xy 231.14 214.63) (xy 245.11 214.63)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 16844aff-1a91-4735-b62d-13a16efddcdb) + ) + (wire (pts (xy 241.3 242.57) (xy 245.11 242.57)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 9879e46f-6dff-46f3-997c-ea1c0027c99b) + ) + (wire (pts (xy 242.57 326.39) (xy 243.84 326.39)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 9c69a88f-42f4-4181-888a-32a98d60178b) + ) + (wire (pts (xy 242.57 336.55) (xy 245.11 336.55)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8f78e74f-bd43-4ca4-9515-c0c3e75e1a4d) + ) + (wire (pts (xy 243.84 186.69) (xy 245.11 186.69)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1200a263-93dc-4781-906e-64c8397a4c9c) + ) + (wire (pts (xy 243.84 313.69) (xy 245.11 313.69)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1cf68bcf-91d1-40d4-b99f-eab2e7fc5660) + ) + (wire (pts (xy 243.84 326.39) (xy 243.84 328.93)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b1c3846e-ef55-4b53-8bc7-9af54041af25) + ) + (wire (pts (xy 243.84 328.93) (xy 245.11 328.93)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 723ec012-f2da-407e-9cb1-bf1d13bb9d4f) + ) + (wire (pts (xy 245.11 26.67) (xy 245.11 33.02)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 897c4cd9-b366-4fae-b3f4-7e8e3ec0be71) + ) + (wire (pts (xy 245.11 58.42) (xy 245.11 60.96)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8ded378d-9a4f-4ab1-af62-11a7f94986a6) + ) + (wire (pts (xy 245.11 186.69) (xy 245.11 189.23)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1f05922c-2ec2-4331-9f9f-1539715dce65) + ) + (wire (pts (xy 245.11 189.23) (xy 245.11 191.77)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 44be8fda-5eac-48ad-b949-c8463338d292) + ) + (wire (pts (xy 245.11 191.77) (xy 245.11 194.31)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid f99badd6-0b36-4cf9-8c2c-465007dfedd9) + ) + (wire (pts (xy 245.11 194.31) (xy 245.11 196.85)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid c7df21e5-a722-43fc-ab3f-ef19219eb22a) + ) + (wire (pts (xy 245.11 196.85) (xy 245.11 199.39)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 05f789f6-0e1d-4a7b-a026-046a2a3ab3e7) + ) + (wire (pts (xy 245.11 199.39) (xy 245.11 201.93)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b7e2da3d-5845-4f5e-bba7-4b21291a03d0) + ) + (wire (pts (xy 245.11 201.93) (xy 245.11 204.47)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b9b680d1-27cd-4cc9-a7d0-4e85d7abffde) + ) + (wire (pts (xy 245.11 326.39) (xy 243.84 326.39)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid ab0e1f9e-7896-468a-b70e-9a987ddbe738) + ) + (wire (pts (xy 293.37 33.02) (xy 304.8 33.02)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 238dbe15-d40c-448b-b828-9d18f775469b) + ) + (wire (pts (xy 293.37 35.56) (xy 304.8 35.56)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 129feeb4-85e2-4475-8b0b-5beffdad5493) + ) + (wire (pts (xy 293.37 38.1) (xy 304.8 38.1)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid c2782311-d097-460c-ae78-bbb9f0ccaca4) + ) + (wire (pts (xy 293.37 43.18) (xy 302.26 43.18)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 0aa92f63-2f10-4dcc-bd24-0019a8fea966) + ) + (wire (pts (xy 293.37 45.72) (xy 302.26 45.72)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid d1e60a90-f9c0-4b10-9b84-b4dde8b7a1e8) + ) + (wire (pts (xy 293.37 48.26) (xy 294.64 48.26)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2e42e1d3-b528-4671-baeb-6b9ce1457706) + ) + (wire (pts (xy 293.37 50.8) (xy 293.37 53.34)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid ae90ea30-26ac-485c-80ed-047605442cbc) + ) + (wire (pts (xy 293.37 53.34) (xy 302.26 53.34)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 82a0a00b-5e2e-463c-bb54-55d93ba5c485) + ) + (wire (pts (xy 294.64 48.26) (xy 294.64 50.8)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 635688a4-6add-495b-b31f-f865b964cec2) + ) + (wire (pts (xy 294.64 50.8) (xy 302.26 50.8)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid db9633d3-0786-4929-8ff8-d6a6c9f6ae4c) + ) + (wire (pts (xy 302.26 27.94) (xy 304.8 27.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9158e556-aacf-4620-9fe1-bd9733cfedc6) + ) + (wire (pts (xy 347.98 30.48) (xy 359.41 30.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0d8dcf2e-c146-4f0b-a013-13d5bb801cdb) + ) + (wire (pts (xy 347.98 33.02) (xy 359.41 33.02)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 91df82a6-4fa9-497e-9b4f-c23dd9858a9b) + ) + + (text "Example" (at 133.35 24.13 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9e5edadc-1bcc-4ba8-b32e-5e083abd17e7) + ) + (text "#0" (at 243.84 60.96 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c200c036-29e3-4c30-abd3-59edd0e30f16) + ) + (text "WHITE" (at 246.38 185.42 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9bde84d4-987c-4d37-b784-57874013ada6) + ) + (text "BLACK" (at 248.285 90.805 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9b24a0b1-3aa5-4836-bff0-b65d571b487c) + ) + (text "BLACK" (at 248.92 278.765 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 794f85bc-8eff-4eb4-8be8-3dfc30f69f90) + ) + (text "Connectors\n776228-1\n\n776231-1\n\n776231-2\n\nMating plugs\n770680-1\n\n776164-1\n\n776164-2\n\nTerminals\n770854-1\n\nall of the above cheap from ttiinc.com" + (at 260.35 179.07 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 11d34cf8-52c8-4162-a9be-a949b833d640) + ) + + (label "HV1" (at 120.65 64.77 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6936a10a-e0b6-431f-bd0e-2cb75ea850c9) + ) + (label "OUT_IGN1" (at 135.89 64.77 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a3a19520-090a-4bbc-96d6-e28de5b670e4) + ) + (label "IN_KNOCK" (at 152.4 27.94 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c8f7c345-6023-4b01-b948-392165bd573c) + ) + (label "12V_MR" (at 152.4 30.48 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ec77822f-0dc1-4bb4-b202-ad7c182e592a) + ) + (label "12V_MR" (at 152.4 33.02 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d2a8809a-94fc-42bc-ad68-0fa5d80b1ae2) + ) + (label "IN_CAM2" (at 152.4 40.64 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0898d363-7fbc-4b5d-aaa5-190bf1f3965b) + ) + (label "IN_CRANK" (at 152.4 43.18 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3054cf6b-58ba-45f9-b106-00bebee118fb) + ) + (label "OUT_IMRC" (at 152.4 53.34 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 651ff042-5470-43e5-8259-3e1b49645673) + ) + (label "GATE_IGN1" (at 153.67 64.77 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d5bde2ce-86a4-441e-9d16-526c87973873) + ) + (label "WBO_H-" (at 224.79 38.1 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c526a098-1f8c-4d32-9c99-1665deaeb17b) + ) + (label "WBO_R_Trim" (at 224.79 40.64 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dab61adf-f964-4b36-8bc4-dcbf3f720589) + ) + (label "WBO_Ip" (at 224.79 43.18 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f4c7af29-85e2-42b9-8774-84afde61800f) + ) + (label "WBO_Vs{slash}Ip" (at 224.79 45.72 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 09b50db8-43a5-4f4c-bbe2-bc70417e7a81) + ) + (label "WBO_Vs" (at 224.79 48.26 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d1047075-76dc-4ea7-8e16-0e921695ae98) + ) + (label "12V_PROT" (at 231.14 212.09 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 83ebd2f4-4610-4693-a26b-98c2f6440b50) + ) + (label "12V_PROT" (at 231.14 214.63 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6310b272-733b-4ca7-a586-d628e1850681) + ) + (label "12V_MR" (at 242.57 336.55 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 69230fc8-1eb9-473d-ba60-eda1c3c31fbc) + ) + (label "5V_SENSOR_2" (at 245.11 26.67 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8a1f12e7-2718-407d-a232-b509060fd078) + ) + (label "HS2" (at 245.11 92.71 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a1c7b8f7-f96b-4350-a4c2-d8d71c96cc06) + ) + (label "HS1" (at 245.11 95.25 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f9e9c2dd-531c-4cdd-ad13-a478ee5bcb24) + ) + (label "LS1" (at 245.11 97.79 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 20e51fae-da72-4a16-b746-255077591a96) + ) + (label "LS3" (at 245.11 100.33 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e1326cb7-7458-462a-9ba9-d88bff061f5b) + ) + (label "LS5" (at 245.11 102.87 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4037c897-c4bc-4b13-a137-b32ec295e32f) + ) + (label "LS6" (at 245.11 105.41 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2279fe54-3cc4-402a-ada1-2e92db837260) + ) + (label "LS7" (at 245.11 107.95 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f102ef1c-2f8e-4296-8ff3-e88a1b0859cc) + ) + (label "LS9" (at 245.11 110.49 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0a06bae1-89a0-4d89-ae18-97d2efdc7c5f) + ) + (label "LS11" (at 245.11 113.03 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1817b0ed-5353-496d-b9dd-7ba05e5542b7) + ) + (label "LS13" (at 245.11 115.57 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 53617b32-7cd5-4160-94cc-77b579d79f1e) + ) + (label "LS14" (at 245.11 118.11 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 25121e75-3aee-45ce-9b6a-61c96f64ddbc) + ) + (label "LS15" (at 245.11 120.65 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 472383b2-5de6-48a0-a349-c222633a625a) + ) + (label "HS3" (at 245.11 123.19 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 76287956-4093-4c9f-ae4a-4d9517cb4ce4) + ) + (label "HS4" (at 245.11 125.73 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 60b0ef4e-36a6-41be-9414-a04cd0c2f210) + ) + (label "LS2" (at 245.11 128.27 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid dfa22b09-90eb-4399-91de-a33ec30a1294) + ) + (label "LS4" (at 245.11 130.81 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 57fdce34-e3fe-4fce-af88-54d8a854f042) + ) + (label "LS8" (at 245.11 138.43 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f5b2e3b6-10ef-45f8-8758-e9080f935248) + ) + (label "LS10" (at 245.11 140.97 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f63fb84d-80d6-4017-ab26-dce91d64de57) + ) + (label "LS12" (at 245.11 143.51 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 40d6ed5f-247c-42c9-95e8-b5442a6c83db) + ) + (label "IGN3" (at 245.11 146.05 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0d990fc8-4b11-45b2-bb66-22856aab606e) + ) + (label "LS16" (at 245.11 148.59 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ede9df3a-9169-4af9-a7a2-bcac536aa1e1) + ) + (label "IGN12" (at 245.11 153.67 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0e1fe481-5655-4b65-a43b-44071012dc2c) + ) + (label "IGN11" (at 245.11 156.21 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b82e06e7-2087-42f8-bd70-da5ebd5974ef) + ) + (label "IGN10" (at 245.11 158.75 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid bc7765d9-853b-4ded-932d-91e98d8565f8) + ) + (label "IGN9" (at 245.11 161.29 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7b265fb7-57f3-4058-a7d8-4049ca1ebcb5) + ) + (label "IGN8" (at 245.11 163.83 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 01678207-72b9-4ae3-abfa-d3f4ec4171a4) + ) + (label "IGN7" (at 245.11 166.37 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 693354fb-6e9d-4300-90d9-24e4b1c8d720) + ) + (label "IGN6" (at 245.11 168.91 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8a9300bf-46cd-4e1b-b4e8-18f2518354b9) + ) + (label "IGN5" (at 245.11 171.45 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8025ae7b-2271-4d4f-91e4-2716a7f7f42b) + ) + (label "IGN4" (at 245.11 173.99 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1395a4af-410f-4b73-a103-482ba15946ee) + ) + (label "IGN2" (at 245.11 176.53 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 074be3c0-c6d7-4ced-a134-164aee2ce664) + ) + (label "IGN1" (at 245.11 179.07 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e0d64434-74a5-4826-bb65-d927106c8685) + ) + (label "5V_SENSOR_1" (at 245.11 207.01 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 57cfb640-337a-4704-9a8f-fb849aac5519) + ) + (label "5V_SENSOR_2" (at 245.11 209.55 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f81b8d2f-ae79-4af8-ba49-6c2152696f7d) + ) + (label "AV1" (at 245.11 217.17 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 51cc75b0-8bc5-4df4-b435-dd80f736bf9a) + ) + (label "AV3" (at 245.11 219.71 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b0165847-125f-4c3f-a3b3-c5f3e76c8c69) + ) + (label "AV5" (at 245.11 222.25 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a01b4f4f-f77a-486f-8b0d-ae1e1c542ad0) + ) + (label "AV7" (at 245.11 224.79 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7d1938fa-c702-48b0-9bfa-32594a0f0fdd) + ) + (label "AV9" (at 245.11 227.33 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 35c32ede-c319-449d-a892-e57ef71721b7) + ) + (label "AV11" (at 245.11 229.87 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3ac0ba5a-649b-4c33-9932-e100320849f3) + ) + (label "AT1" (at 245.11 232.41 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e534cca7-32d0-4350-89b9-47c492e92214) + ) + (label "AT3" (at 245.11 234.95 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 70d2b209-e82f-41a8-8ea6-85766b411c4a) + ) + (label "5V_SENSOR_1" (at 245.11 237.49 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 11cf9948-fc35-45d0-8ee8-c6bb976139c2) + ) + (label "5V_SENSOR_2" (at 245.11 240.03 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8c6c47dd-dcf3-48d9-8ea1-fb53c52e1d8e) + ) + (label "AV2" (at 245.11 245.11 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 88306b60-b3e9-48e8-864b-df85633ceb66) + ) + (label "AV4" (at 245.11 247.65 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 79f34bbc-7046-4786-af18-8eff92dc78a9) + ) + (label "AV6" (at 245.11 250.19 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1ef39db7-8fc7-46fe-8cea-bae15bff6cb9) + ) + (label "AV8" (at 245.11 252.73 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a4ffd6a3-7f52-47b9-add4-0335712a768c) + ) + (label "AV10" (at 245.11 255.27 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 699293cd-7ff6-438a-93fd-c3c6828f1d03) + ) + (label "AT2" (at 245.11 260.35 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3460ee08-e290-42e0-9b00-db0dc1fc1879) + ) + (label "AT4" (at 245.11 262.89 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e526a078-3451-4500-86ca-97f04dd239b0) + ) + (label "5V_SENSOR_1" (at 245.11 265.43 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f56f5b03-755c-4312-853e-18b6e4ae61fe) + ) + (label "5V_SENSOR_2" (at 245.11 267.97 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ff6f2e6b-fe41-4bac-9e79-0840e0e7f61d) + ) + (label "KNOCK_1" (at 245.11 270.51 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1b215ecc-41c8-4e99-9a7d-b618dd26f751) + ) + (label "KNOCK_2" (at 245.11 273.05 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 33d073f5-a66f-4f77-8761-b25d4a53a0b7) + ) + (label "DIGITAL_2" (at 245.11 280.67 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid de53001e-f855-4c3c-9ba6-04aa7d0084ee) + ) + (label "DIGITAL_3" (at 245.11 283.21 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f4237f35-eccf-4091-b231-0e186a452c51) + ) + (label "DIGITAL_4" (at 245.11 285.75 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 20b16abd-85fd-4d69-bec2-561b715055c0) + ) + (label "VR2+" (at 245.11 288.29 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9605c76c-87b0-4f78-a1f1-584fac8f192f) + ) + (label "VR1+" (at 245.11 290.83 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 46bc175e-283a-4468-ae5d-48826ac7db9e) + ) + (label "ETB1-" (at 245.11 293.37 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0096a026-69b0-4a2e-9a66-e13244db8073) + ) + (label "ETB1+" (at 245.11 295.91 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 442f63fa-016e-443d-a711-457f861728f1) + ) + (label "ETB2-" (at 245.11 298.45 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7f067bea-4586-4058-af4c-e48a4861bfc2) + ) + (label "DIGITAL_5" (at 245.11 300.99 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c93d14f6-eaaa-4097-990b-f24eef16d081) + ) + (label "DIGITAL_1" (at 245.11 303.53 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 55cf8f1c-ec87-42a8-a948-ca7c1b72954d) + ) + (label "DIGITAL_6" (at 245.11 306.07 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e5398803-be38-42f7-b481-a86ffd37f03a) + ) + (label "VR2-" (at 245.11 308.61 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fd024ac4-e639-4425-90d3-4fabab9e770a) + ) + (label "VR1-" (at 245.11 311.15 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8a5dacef-be10-475d-aed5-f858bf79b4e0) + ) + (label "ETB2+" (at 245.11 316.23 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 198bd2fb-c7b7-4665-80d4-e8b6f314e47d) + ) + (label "CAN-" (at 245.11 318.77 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 583d8356-03d8-4f26-88f6-2a5eb848c895) + ) + (label "CAN+" (at 245.11 321.31 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0b88eb87-2f38-4b20-8d82-3a9c90de3e1b) + ) + (label "12V_RAW" (at 245.11 323.85 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid dee82ac8-a09a-4ec2-b06d-fc88c987972c) + ) + (label "CAN2-" (at 245.11 331.47 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d5c84848-c360-4897-8856-ef75ceaa715a) + ) + (label "CAN2+" (at 245.11 334.01 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4789bef6-a654-4988-a181-1845f59cb3ba) + ) + (label "5V_SENSOR_2" (at 302.26 27.94 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid dd11ee74-90ac-4061-a303-30c5a9401b46) + ) + (label "CAN_L" (at 359.41 30.48 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8287dd3d-9f02-4bdb-8f3e-d5d4cbda5fdf) + ) + (label "CAN_H" (at 359.41 33.02 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f4eac358-b168-401f-b3d3-c5c31376d3a9) + ) + + (symbol (lib_id "power:GND") (at 118.11 35.56 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 913d5c05-1fd1-4bd0-bc69-e520d8ec787a) + (property "Reference" "#PWR01" (id 0) (at 124.46 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 121.92 35.56 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 118.11 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 118.11 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 59842c1d-0cea-4c1c-84e3-573005f97755)) + ) + + (symbol (lib_id "power:GND") (at 118.11 38.1 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid d8416e04-e344-4f61-9c12-054f59a2c3f7) + (property "Reference" "#PWR02" (id 0) (at 124.46 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 121.92 38.1 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 118.11 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 118.11 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 363a4898-f834-4a8e-9ea5-4d69876c4376)) + ) + + (symbol (lib_id "power:GND") (at 118.11 45.72 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 1a1c7331-b64d-476b-81f6-76df5f20092e) + (property "Reference" "#PWR03" (id 0) (at 124.46 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 121.92 45.72 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 118.11 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 118.11 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 77c789bd-7958-4077-9d50-979200a6968a)) + ) + + (symbol (lib_id "power:GND") (at 118.11 48.26 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 843edb98-5680-441d-ac25-860b0dafeaa8) + (property "Reference" "#PWR04" (id 0) (at 124.46 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 121.92 48.26 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 118.11 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 118.11 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 09dd25d2-a32e-4a9f-9c7a-d0bab50fc437)) + ) + + (symbol (lib_id "power:GND") (at 137.16 74.93 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid af530a47-1ee0-45c5-ba6c-6f3fbb09299e) + (property "Reference" "#PWR05" (id 0) (at 143.51 74.93 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 143.6434 74.8792 90)) + (property "Footprint" "" (id 2) (at 137.16 74.93 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 137.16 74.93 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ac5891d4-5ab2-415f-9fc1-88a3b1ad4643)) + ) + + (symbol (lib_id "power:GND") (at 224.79 33.02 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 092e0d34-ce88-4c0d-b7f1-59d5031a3de8) + (property "Reference" "#PWR08" (id 0) (at 231.14 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 228.7334 33.0708 0)) + (property "Footprint" "" (id 2) (at 224.79 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 224.79 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e589619a-d220-413a-bd2e-208eb11fa967)) + ) + + (symbol (lib_id "power:GND") (at 241.3 242.57 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 6b2f78ec-0344-4ec7-9aa2-56d8dd80a00a) + (property "Reference" "#PWR013" (id 0) (at 234.95 242.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 237.49 242.57 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 241.3 242.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 241.3 242.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c1637741-bf33-4323-be76-53790b076046)) + ) + + (symbol (lib_id "power:GND") (at 242.57 326.39 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid a9271ae5-2749-4686-94c2-f735b00f6755) + (property "Reference" "#PWR014" (id 0) (at 236.22 326.39 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 239.395 326.39 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 242.57 326.39 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 242.57 326.39 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6bdf6e24-73c6-4cad-a98a-120a5f572527)) + ) + + (symbol (lib_id "power:GND") (at 243.84 186.69 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 73d26058-3554-4954-bf27-7964f2d90833) + (property "Reference" "#PWR015" (id 0) (at 237.49 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 240.03 186.69 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 243.84 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 243.84 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 947140a6-b115-4629-9b9a-e6414c6933eb)) + ) + + (symbol (lib_id "power:GND") (at 243.84 313.69 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 920f06f9-d2a8-44c3-b1c0-a15592d3aa34) + (property "Reference" "#PWR016" (id 0) (at 237.49 313.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 240.03 313.69 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 243.84 313.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 243.84 313.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 970cd6d6-08c6-45f2-a1fb-1062ae3c6b55)) + ) + + (symbol (lib_id "power:GND") (at 245.11 35.56 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid fed50f26-fea7-4a99-adee-a851e922f771) + (property "Reference" "#PWR09" (id 0) (at 238.76 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 241.1666 35.5092 0)) + (property "Footprint" "" (id 2) (at 245.11 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 245.11 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f9e62c4f-682e-4f7c-82a2-243a91e9ce31)) + ) + + (symbol (lib_id "power:GND") (at 245.11 50.8 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 701c2fb0-9719-4ebd-926f-34ee08640768) + (property "Reference" "#PWR010" (id 0) (at 238.76 50.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 241.1666 50.7492 0)) + (property "Footprint" "" (id 2) (at 245.11 50.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 245.11 50.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a47427ac-e784-4d77-bd83-daa0cdec7579)) + ) + + (symbol (lib_id "power:GND") (at 245.11 133.35 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 14d9d890-d56f-4453-a05e-961cd6893000) + (property "Reference" "#PWR017" (id 0) (at 238.76 133.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 241.3 133.35 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 245.11 133.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 245.11 133.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 883408ca-b8c4-4d25-a072-f84d18349f40)) + ) + + (symbol (lib_id "power:GND") (at 245.11 135.89 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 7ed69cba-c18f-4895-89cd-2740def416b8) + (property "Reference" "#PWR018" (id 0) (at 238.76 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 241.3 135.89 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 245.11 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 245.11 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1a820122-85f1-4de6-abb2-e83e14098b28)) + ) + + (symbol (lib_id "power:GND") (at 245.11 151.13 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 402a370d-26c8-42d2-95a7-5bd00f197257) + (property "Reference" "#PWR019" (id 0) (at 238.76 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 241.3 151.13 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 245.11 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 245.11 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b7ca4406-229f-4c1d-ba9f-3e6d9bcbeba2)) + ) + + (symbol (lib_id "power:GND") (at 245.11 257.81 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 344f5fea-6687-48d2-891d-7033ec14b100) + (property "Reference" "#PWR020" (id 0) (at 238.76 257.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 241.3 257.81 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 245.11 257.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 245.11 257.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 16597c10-eb4a-4bf5-a76f-b3e8185cab08)) + ) + + (symbol (lib_id "power:GND") (at 302.26 48.26 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 7881a3fc-6eab-46e3-818d-c8ab7c33cbc5) + (property "Reference" "#PWR011" (id 0) (at 295.91 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 297.0466 48.2092 90)) + (property "Footprint" "" (id 2) (at 302.26 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 302.26 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 00438f73-7157-475c-8b04-120278b9be7d)) + ) + + (symbol (lib_id "power:GND") (at 347.98 38.1 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 4abdd396-20f6-45ae-a22f-bab39f57b75c) + (property "Reference" "#PWR012" (id 0) (at 347.98 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 350.52 41.91 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 347.98 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 347.98 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 99046e14-5588-46b8-b2b9-41382ca49832)) + ) + + (symbol (lib_id "hellen-one-common:Pad") (at 123.19 50.8 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 4d36a048-946d-4e96-9db1-dac514a86acc) + (property "Reference" "P1" (id 0) (at 125.2221 51.279 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Pad" (id 1) (at 123.19 53.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "hellen-one-common:PAD-TH" (id 2) (at 123.19 54.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 123.19 50.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8f6bd70f-376a-47a3-add9-336faf67f842)) + ) + + (symbol (lib_id "hellen-one-common:Fuse-Pad-Pad") (at 127 30.48 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid fc56e9a2-c028-444d-8060-0df02860ead7) + (property "Reference" "F1" (id 0) (at 121.92 29.3489 0) + (effects (font (size 1.016 1.016))) + ) + (property "Value" "Fuse-Pad-Pad" (id 1) (at 127 32.258 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Footprint" "hellen-one-common:PAD-1206-PAD" (id 2) (at 127 34.29 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "Datasheet" "" (id 3) (at 127 30.48 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC" "C182446" (id 4) (at 127 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 67acfd34-fab6-4970-a1a8-b05bc3429f97)) + (pin "2" (uuid f0d2f0a4-5c47-4933-854c-d0a4ddec0ddf)) + ) + + (symbol (lib_id "hellen-one-common:Fuse-Pad-Pad") (at 127 33.02 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid c987bad8-c498-4cba-816c-6193ef738e26) + (property "Reference" "F2" (id 0) (at 121.92 31.8889 0) + (effects (font (size 1.016 1.016))) + ) + (property "Value" "Fuse-Pad-Pad" (id 1) (at 127 34.798 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Footprint" "hellen-one-common:PAD-1206-PAD" (id 2) (at 127 36.83 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "Datasheet" "" (id 3) (at 127 33.02 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "MyComment" "DNP" (id 5) (at 127 33.02 0)) + (pin "1" (uuid bab67c37-a9ee-47f1-9475-8faae891e085)) + (pin "2" (uuid e1e8a8ee-19cd-48c6-8878-a76c77cef5fd)) + ) + + (symbol (lib_id "hellen-one-common:Fuse-Pad-Pad") (at 130.81 64.77 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 30e38d91-93d3-4abb-a53c-99d9cb6cf40d) + (property "Reference" "F3" (id 0) (at 125.73 63.6848 0) + (effects (font (size 1.016 1.016))) + ) + (property "Value" "10A" (id 1) (at 130.81 66.548 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Footprint" "hellen-one-common:36911600000" (id 2) (at 130.81 68.58 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "Datasheet" "" (id 3) (at 130.81 64.77 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC" "C354908" (id 4) (at 130.81 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 62bc37d0-0794-488c-8cd8-f495a5ee7477)) + (pin "2" (uuid ff2ae035-7243-46ad-b559-70c601820cfb)) + ) + + (symbol (lib_id "hellen-one-common:Res") (at 143.51 69.85 0) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 7cddf22c-c095-477e-93a2-a09cb0272084) + (property "Reference" "R1" (id 0) (at 148.832 67.5018 0)) + (property "Value" "22" (id 1) (at 148.2761 72.5256 0)) + (property "Footprint" "hellen-one-common:R0603" (id 2) (at 147.32 66.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 143.51 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C23345" (id 4) (at 143.51 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid aae8ae8c-1101-4359-8c79-54761b4421c5)) + (pin "2" (uuid 8b43fe54-8578-4093-9351-22bda54d4532)) + ) + + (symbol (lib_id "hellen-one-common:Res") (at 153.67 64.77 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid f5751c1a-52b7-42ff-a8f1-1892babc4a4f) + (property "Reference" "R2" (id 0) (at 148.2696 62.1253 0)) + (property "Value" "DNP" (id 1) (at 148.6165 64.9327 0)) + (property "Footprint" "Resistor_SMD:R_2512_6332Metric" (id 2) (at 149.86 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 153.67 64.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7f8d31bf-e088-4e2a-968b-8a5d0ca46fac)) + (pin "2" (uuid d39cef92-a2e7-4632-8efa-71a0102a5a54)) + ) + + (symbol (lib_id "Device:Q_NIGBT_GCE") (at 138.43 69.85 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 7674b495-4df8-4183-80be-7745de771d59) + (property "Reference" "Q1" (id 0) (at 133.5785 69.0891 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "ISL9V3040S3S" (id 1) (at 133.5785 71.3878 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:TO-263-2" (id 2) (at 133.35 67.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 138.43 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C898702" (id 4) (at 138.43 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6f503616-3364-48d5-b879-9f813bbf476b)) + (pin "2" (uuid ffd01a0d-49d0-4aa4-ad16-1f7fd13badf2)) + (pin "3" (uuid 6a8637fa-d7c7-4ac8-bf02-40f10bd070b7)) + ) + + (symbol (lib_id "Connector_Generic:Conn_01x06") (at 307.34 48.26 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 398f8f70-e91c-4da2-a829-819a6f9d0c83) + (property "Reference" "J3" (id 0) (at 309.3721 48.7691 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Conn_01x06" (id 1) (at 309.3721 51.0678 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Vertical" (id 2) (at 307.34 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 307.34 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d04df114-7d45-45d0-b26a-585695dc5455)) + (pin "2" (uuid dc81f7ac-9948-44cb-8698-d898c87c7ff3)) + (pin "3" (uuid aca4fa59-0854-4ae8-b21a-eb4a6cabd2c8)) + (pin "4" (uuid 315729cc-e9ee-4ded-8921-6bc584453f94)) + (pin "5" (uuid 61c337a5-feb1-4124-9cdc-49e12edfa3f6)) + (pin "6" (uuid 1eef1a96-deae-4b74-8737-f2a998d346cb)) + ) + + (symbol (lib_id "Connector_Generic:Conn_01x08") (at 219.71 38.1 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 0cb5ddb1-f8e8-48a8-822e-a325cf82bfc1) + (property "Reference" "J2" (id 0) (at 221.742 25.7768 0)) + (property "Value" "XH-8A" (id 1) (at 221.742 28.0755 0)) + (property "Footprint" "hellen-one-common:XH-8A" (id 2) (at 219.71 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 219.71 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C10385" (id 4) (at 219.71 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 240cb097-9c79-4600-bb4e-069d406ecfa5)) + (pin "2" (uuid 881bd2c0-936d-4a02-be5b-86b9f780e238)) + (pin "3" (uuid 8f58b598-1a03-4842-9c75-961d0124a7d4)) + (pin "4" (uuid e0da1b64-1394-4b89-b8f3-8fd4f9e3f995)) + (pin "5" (uuid baf85233-b10e-4d9d-a87e-1ac04a9ee44e)) + (pin "6" (uuid 4a3f561b-eefc-4226-9c39-394888aa8c6e)) + (pin "7" (uuid 4d733003-6501-4f81-a999-7fae309ed60d)) + (pin "8" (uuid 21767b06-cbd5-4f9e-b734-73357523fa3b)) + ) + + (symbol (lib_id "Connector_Generic:Conn_01x23") (at 250.19 308.61 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid bf46c383-1544-4f32-9dc8-272a093a95f5) + (property "Reference" "J6" (id 0) (at 252.73 307.34 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Conn_01x23" (id 1) (at 252.73 309.88 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "lib:ampseal-23" (id 2) (at 250.19 308.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 250.19 308.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "PN" "776228-1" (id 4) (at 250.19 308.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "N/A" (id 5) (at 250.19 308.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 65310207-4a03-48cf-8ee5-f9c71ac8c075)) + (pin "10" (uuid b7c550b5-4757-416d-92ea-8b1782df61a7)) + (pin "11" (uuid 3f69bddf-c86b-4e8a-964a-a5c2cc5a66d0)) + (pin "12" (uuid ea888fe9-ca3a-469d-9047-98a7857a02a3)) + (pin "13" (uuid 1a10e0b7-ba38-4639-921d-d8d4c6002fae)) + (pin "14" (uuid 43f5f75a-30f7-4ef9-a566-e8f92bb31c39)) + (pin "15" (uuid fe2df91e-1a72-40bf-ae4c-e89458cb9f5c)) + (pin "16" (uuid 19711670-4a91-4cfe-aceb-f7783b1da7e2)) + (pin "17" (uuid 45647313-6452-45a7-a082-eaa84cc2036f)) + (pin "18" (uuid 35fccb42-e306-4c38-ad8d-4f59cc7426e4)) + (pin "19" (uuid 1e8d44e2-e437-4262-88fa-6532a7da086b)) + (pin "2" (uuid c2abf2a2-09a1-48ac-9bfd-10a9c0cb4b9e)) + (pin "20" (uuid ab45f0ce-2db8-43d6-bc69-b1f347a0e94f)) + (pin "21" (uuid 48220f56-75c2-4415-adc1-9daa533501fd)) + (pin "22" (uuid 466b6848-34b0-4a85-ad05-32e954a0e234)) + (pin "23" (uuid 5d1d2d06-da33-4e88-9e2d-9f886d8e616a)) + (pin "3" (uuid 87f330d5-b401-41e3-b417-32d6160064c6)) + (pin "4" (uuid f5157bd9-fbfe-44c8-aca5-978536de931d)) + (pin "5" (uuid a338fe15-2908-4315-8b02-4acb9d82ea33)) + (pin "6" (uuid 07998808-63bf-4ff1-b49f-bd20c1e0586b)) + (pin "7" (uuid 28bc6564-17cf-4ad1-a643-428e2c9a943f)) + (pin "8" (uuid 56f205b5-38e1-4564-b9ba-dfd7f9dbb95c)) + (pin "9" (uuid 7aadc466-9526-4424-9eda-4842dc5665c6)) + ) + + (symbol (lib_id "Connector_Generic:Conn_01x35") (at 250.19 135.89 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 536961b9-4d14-4eeb-baa7-f8e496bca204) + (property "Reference" "J4" (id 0) (at 252.73 134.62 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Conn_01x35" (id 1) (at 252.73 137.16 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "lib:ampseal-35" (id 2) (at 250.19 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 250.19 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "PN" "776231-1" (id 4) (at 250.19 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "N/A" (id 5) (at 250.19 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c8048555-edd3-4e4d-866a-77ac00e2fe0c)) + (pin "10" (uuid aede9c31-d5ed-4e26-84d0-224e5ed97f5f)) + (pin "11" (uuid bc0bdbff-7497-4344-8e20-beb800dda5ec)) + (pin "12" (uuid da848c20-3deb-451f-9c7d-de05b8420392)) + (pin "13" (uuid 89df19f7-e456-4371-a3dd-416348c2a1e0)) + (pin "14" (uuid 9c430059-c66e-467e-898a-c68807821940)) + (pin "15" (uuid 8128df93-14d0-4eca-90c7-391e3aef1cbe)) + (pin "16" (uuid 933925ec-eaf8-4f99-b6ac-decb62d969c6)) + (pin "17" (uuid 12cf6585-b3c9-4520-951e-5dec533a582d)) + (pin "18" (uuid e4c11f24-f870-4642-a0ce-9617973eb4a4)) + (pin "19" (uuid 22944cad-8a4f-4a99-9696-f7ee7f5cb05d)) + (pin "2" (uuid 4e53b255-2c4e-4724-bd65-3250c2f73ee9)) + (pin "20" (uuid 2afe861a-7b2b-42a6-89c0-508ca9616e65)) + (pin "21" (uuid 355c073d-65b5-4464-a059-a3c21c58b83b)) + (pin "22" (uuid 9432000a-bbb6-44c0-be43-e762ce618042)) + (pin "23" (uuid bb3c512f-8603-486b-8ed2-a06072cc03e1)) + (pin "24" (uuid bf6f993f-0af3-402d-a81a-52af0d999b1f)) + (pin "25" (uuid a86ccab9-8777-4bdf-b3d5-9de0309204e2)) + (pin "26" (uuid 71c9dc72-27f1-4d27-8a15-9bfcd7febb4b)) + (pin "27" (uuid fd6d5de2-1f98-41f3-a94f-8426a638da2f)) + (pin "28" (uuid 5569dcb1-6f27-48b3-8624-802473947b94)) + (pin "29" (uuid 76ce7903-bddd-4194-8108-ef826335550e)) + (pin "3" (uuid b5b0a3b5-bb29-4317-8d6f-a472447d2b94)) + (pin "30" (uuid fb96ae29-07e1-4e61-a6d5-20a18a43dc7b)) + (pin "31" (uuid 9c6dbd55-1daf-458c-8ccf-b78bb687b652)) + (pin "32" (uuid 75a7970c-65ae-472d-9256-cb3a7519e776)) + (pin "33" (uuid 4b738ca8-3bd4-4b75-811e-088f93557455)) + (pin "34" (uuid 040f4763-e16d-417c-b6ea-67e93a8daf87)) + (pin "35" (uuid 781c0361-556c-4f8b-9984-a6cc25a9e180)) + (pin "4" (uuid 3175ac63-9a4e-4f76-9093-321f5648c6aa)) + (pin "5" (uuid 659fe0ca-b8c7-4904-a69c-d8ab13e3bb78)) + (pin "6" (uuid ea17e45d-6095-42e9-a103-2a836374cd7a)) + (pin "7" (uuid bf19d8d1-7ec6-461d-9135-b4bc1fa65848)) + (pin "8" (uuid c51168e5-da15-48fe-9755-247677eafba6)) + (pin "9" (uuid 9dacf624-ec36-4733-a487-04767a42d133)) + ) + + (symbol (lib_id "Connector_Generic:Conn_01x35") (at 250.19 229.87 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 1613baca-8001-478c-9401-39fbfab32dbf) + (property "Reference" "J5" (id 0) (at 252.73 228.6 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Conn_01x35" (id 1) (at 252.73 231.14 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "lib:ampseal-35-2" (id 2) (at 250.19 229.87 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 250.19 229.87 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "PN" "776231-2" (id 4) (at 250.19 229.87 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "N/A" (id 5) (at 250.19 229.87 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5a52a239-2262-4008-b88c-b324418fc0f8)) + (pin "10" (uuid 6e3e0373-df2b-4bb3-b06b-c978069e2a2e)) + (pin "11" (uuid 074892f7-8a72-4b48-9dd3-bf0e79cde316)) + (pin "12" (uuid 2db72b90-6600-4fe8-bda9-8427a0d7bca9)) + (pin "13" (uuid 22aa7666-8c19-4d31-843f-4a91200cd484)) + (pin "14" (uuid 5d24e88a-601f-4a22-a4eb-1714fe4d3bc3)) + (pin "15" (uuid 63dae748-a791-4100-a076-4bc06ad405aa)) + (pin "16" (uuid 1fc81eb0-16ca-4a05-9998-f7dc063deb0d)) + (pin "17" (uuid 3d767bb0-7c37-4677-b987-853fe0e3acc3)) + (pin "18" (uuid 3b3af1c6-502d-4c1b-9e59-1156d65e8ca7)) + (pin "19" (uuid 7ce59730-1989-48ba-abee-34672eada4ae)) + (pin "2" (uuid 3061889b-9b96-49b2-b0ff-c59c23d0b9f6)) + (pin "20" (uuid 4fdd4111-6883-49b8-98ff-ad3b88e41fc2)) + (pin "21" (uuid 3563134d-e1de-44e0-80be-e63b8e41eff7)) + (pin "22" (uuid 6aecb1da-4a7e-4e73-b5ed-5592090245b7)) + (pin "23" (uuid 513039ac-f662-42a0-8367-1cfb242d61ca)) + (pin "24" (uuid a8f1a07e-f1c5-4dc1-8253-79d6d7340c3d)) + (pin "25" (uuid 531fb1f8-c8c3-447c-8d9b-7cb71186f6c1)) + (pin "26" (uuid 1729c4e2-55ca-4522-a6ec-cbda65f5cfe3)) + (pin "27" (uuid 238299e3-fdff-40fb-ab02-5e0f69605fdf)) + (pin "28" (uuid e1a3ae85-c9bf-4a64-bd9b-0dac0380e680)) + (pin "29" (uuid 3114308d-c7a0-4b9b-b946-209e4c7e0a1b)) + (pin "3" (uuid 1e719af4-6d2f-43f8-b577-6340656584f1)) + (pin "30" (uuid 35a6098c-4a09-4da6-a3fe-9082c275db4d)) + (pin "31" (uuid 1cbb97af-f2bf-481a-86cb-a6c46eaee493)) + (pin "32" (uuid 6420af5a-fab8-4435-9aaa-06bbb4cc9864)) + (pin "33" (uuid 0fd1107b-4ebe-40d6-8797-5b970cf98c21)) + (pin "34" (uuid 68de8a57-dd31-4042-adc1-781beb32a3ca)) + (pin "35" (uuid dbf5af9b-a670-4838-af32-2093779d873a)) + (pin "4" (uuid c506c020-80e4-417a-9562-31b02f519649)) + (pin "5" (uuid c5528981-225b-41fb-9e07-6edfdc41935a)) + (pin "6" (uuid 5d38c230-d166-454c-b651-caa5f30cfabd)) + (pin "7" (uuid 1408d9fa-0840-4fe5-9920-6d48042d7be3)) + (pin "8" (uuid 24447d72-ee3f-44ee-9dd6-f1fbd4dcd9f2)) + (pin "9" (uuid 1d54034b-40cb-4890-bdf7-e1c5ceec6cea)) + ) + + (symbol (lib_id "hellen-one-can-0.1:Module-can-0.1") (at 309.88 25.4 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid ade6cfb0-8ec1-44e7-b4a1-c4a3643afa51) + (property "Reference" "M2" (id 0) (at 326.39 21.59 0)) + (property "Value" "Module-can-0.1" (id 1) (at 326.39 24.13 0)) + (property "Footprint" "hellen-one-can-0.1:can" (id 2) (at 309.88 25.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 309.88 25.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "E1" (uuid 483271f7-1a70-4ce9-ac5b-69f41e0e436a)) + (pin "S1" (uuid 987d33ca-58a3-41aa-ae1a-f4e906a19eab)) + (pin "S2" (uuid 7c1aa2ce-cd0c-4ffe-a4cb-51644ca4d0f1)) + (pin "V1" (uuid 5cb68a9b-d5c6-4df4-9af7-1b1e22b08ecd)) + (pin "V2" (uuid 0aa81d86-ba8c-4da3-99a3-7415212a5cce)) + (pin "V5" (uuid 8cd498cd-0b57-4d0c-8c6f-da9703a4003e)) + (pin "V6" (uuid b3fe4ac0-9a2c-4bdf-882a-175dc1da9ce5)) + ) + + (symbol (lib_id "hellen-one-wbo-0.2:Module-wbo-0.2") (at 285.75 30.48 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 2697616e-04bc-4c47-b6b7-0a3b39e6e0d7) + (property "Reference" "M1" (id 0) (at 269.24 26.67 0)) + (property "Value" "Module-wbo-0.2" (id 1) (at 269.24 29.21 0)) + (property "Footprint" "hellen-one-wbo-0.2:wbo" (id 2) (at 260.35 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 285.75 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "E1" (uuid 8475f256-e62f-49bf-8376-eae77fb74eed)) + (pin "E2" (uuid 53de73e1-d482-4a1e-b3fb-3c937f42bbbf)) + (pin "E3" (uuid 09eb5598-1208-4ef1-972d-ae46efefc890)) + (pin "E4" (uuid cb2d03c1-3691-4795-a653-40a279d57da5)) + (pin "E5" (uuid c1303f4f-3078-4a3b-a207-0e9d891dfc18)) + (pin "E6" (uuid 5bce99d4-072a-4a5f-8891-d342732b7907)) + (pin "G" (uuid 3c999bd9-5f2b-4ccb-8553-e7cd3c2f41bf)) + (pin "J1" (uuid 721b126c-89b8-4439-850e-11fb918b4527)) + (pin "J2" (uuid 753c1898-4559-4ec2-a3d3-b3dc44ae7b5a)) + (pin "J_GND1" (uuid cc5c2bdb-4334-4c58-a037-083a0498e439)) + (pin "J_GND2" (uuid 5a0d49c6-1fd0-4df8-a6ec-bb6909cda04d)) + (pin "J_VCC1" (uuid 63bf154b-68e2-40e3-8f8d-29052284b80a)) + (pin "J_VCC2" (uuid 04daf4af-5335-450a-b060-938ef2c15854)) + (pin "W1" (uuid 1a6ec8a7-fb35-4ddf-88c9-f4c8c0672a59)) + (pin "W2" (uuid e8f12d8c-c72d-4ca5-ae42-aac6a1e350e1)) + (pin "W3" (uuid e9e7320e-e5b8-4b36-b2ac-d2e94a59286e)) + (pin "W4" (uuid aaaf3a00-4003-4dd2-b57c-c745867f185e)) + (pin "W5" (uuid 54918907-f8b1-42b9-af97-c94445767bce)) + (pin "W6" (uuid e6bc917f-cb62-4783-97d8-ab8db2d72120)) + (pin "W7" (uuid 917ee5c3-36a9-4772-8ba0-9286c5e5eb18)) + (pin "W8" (uuid 055858d7-8cf8-42ee-a244-82824b20efa2)) + ) + + (symbol (lib_id "8-1393476-0:8-1393476-0") (at 20.32 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 721c225e-99e1-47d5-b951-a27a532d03ef) + (property "Reference" "J1" (id 0) (at 28.5369 16.4042 0)) + (property "Value" "8-1393476-0" (id 1) (at 28.5369 19.1793 0)) + (property "Footprint" "lib:8-1393476-0" (id 2) (at 25.4 57.15 90) + (effects (font (size 1.27 1.27)) (justify left bottom) hide) + ) + (property "Datasheet" "MANUFACTURER RECOMMENDATIONS" (id 3) (at 25.4 57.15 90) + (effects (font (size 1.27 1.27)) (justify left bottom) hide) + ) + (pin "1" (uuid a61d64e4-2963-48f3-a40c-da3d8f93cece)) + (pin "10" (uuid 74777b78-cbf4-49e7-9750-34b125697a8f)) + (pin "11" (uuid 15958bcc-a658-4691-ae59-6fca7c6b670f)) + (pin "12" (uuid b75e8e2b-356c-44ba-bf9a-141f39d3e2fd)) + (pin "13" (uuid bc33e5ac-4ea7-40b6-b1c1-cdd7cf49cd30)) + (pin "14" (uuid 42575bd9-d1c0-4c7e-972b-6389df4fbc09)) + (pin "15" (uuid 77c997d8-b85d-482a-997c-b297f5193645)) + (pin "16" (uuid 2590ca01-bbb8-4c94-ab47-63587bbe1f2d)) + (pin "17" (uuid 90a95ec1-0404-4a47-a0b7-a1ce1ec6d1a2)) + (pin "18" (uuid a69a17e1-1301-4434-8567-ac548f934ed3)) + (pin "19" (uuid 5b197af0-0969-4a44-aa20-c39e33e624a6)) + (pin "2" (uuid d7e09dad-8dbc-4510-9318-482a6bffb83f)) + (pin "20" (uuid d2a45947-dfa0-4cdf-b0a5-78e0c6745bcc)) + (pin "21" (uuid 175bbdd4-b83d-42be-b9df-648d7b870138)) + (pin "22" (uuid fff8f4d6-da41-46c8-81e9-2b151080b1c4)) + (pin "23" (uuid dc554fbb-3613-4546-a2e2-a96a9c8e73c8)) + (pin "24" (uuid c158e6ac-246a-408e-931d-ef22bce48233)) + (pin "25" (uuid a51d7a1a-0f24-4c78-8e4e-3d1b4963da8a)) + (pin "26" (uuid a2fdc378-12bb-41e2-92ef-e87c6d92f0b2)) + (pin "27" (uuid b3bb6c4c-262e-44c4-85f3-79e5c182fcc9)) + (pin "28" (uuid 6aed93d5-a951-46f3-8e89-079cc1c8e200)) + (pin "29" (uuid 76c7881b-9f47-4183-b9c5-823b61fcf3c4)) + (pin "3" (uuid cd0e8067-f6df-4d78-98b0-c06bda2898b7)) + (pin "30" (uuid 4f34f80e-7202-4f4d-ab01-54a37803f2ed)) + (pin "31" (uuid c27c3952-5064-4d22-9b79-8f0aeee1c86b)) + (pin "32" (uuid 08b2284f-ed4d-4b89-bb6c-551a46a9d3fa)) + (pin "33" (uuid f4bb0d17-eec9-4635-a834-f3a9028bd4db)) + (pin "34" (uuid ffc8a6b8-09a1-4034-8ef6-56524320ac1d)) + (pin "35" (uuid fe5854ae-0296-4fdf-b092-0854cb004511)) + (pin "36" (uuid 240dbe9b-ddb0-48c0-a9fa-3966203f397d)) + (pin "37" (uuid 32e2d43a-ae55-4f65-a434-e4fb2cd6e035)) + (pin "38" (uuid 1a1c9bc4-a74a-470b-9db5-af9855d2ec5c)) + (pin "39" (uuid 5786b93a-8583-40aa-9609-7b50c5643222)) + (pin "4" (uuid ba6a26a0-e18c-4763-ab29-50de11d0517a)) + (pin "40" (uuid 2966cd8c-e682-4a6c-9a42-d0985af9d940)) + (pin "41" (uuid e84256d5-70cc-4373-86fc-623f0d1d005b)) + (pin "42" (uuid b3420800-eb03-43e7-ae0d-3086f5a82a5a)) + (pin "43" (uuid 0e2931de-3dd7-4904-9486-aaf906f2ba6d)) + (pin "44" (uuid 9a2e9b0a-b761-4336-874d-5fade12e9917)) + (pin "45" (uuid 639fc21e-bb46-487b-888f-1ca4e7fe52bd)) + (pin "46" (uuid 2a5e3586-c640-41f5-8307-8db41b11ac38)) + (pin "47" (uuid 80c2dc98-9794-4b9d-abe9-60c716eb43a6)) + (pin "48" (uuid e0220e9b-7cfc-49a7-b250-764929dd8031)) + (pin "49" (uuid e8db2b14-9833-4ee7-8d11-77c0cfcda5e7)) + (pin "5" (uuid a372f129-5139-4883-a57c-7861e5e2b9c5)) + (pin "50" (uuid 9ab96d77-9b15-4aee-9b00-03a80ad6525f)) + (pin "51" (uuid e285f532-0825-44e4-89fa-b2f41700e2de)) + (pin "52" (uuid 48e8d45a-89ee-4566-98b7-f3b9ae4e3aea)) + (pin "53" (uuid 6b7a6f7c-0522-48c5-9d22-3b296c810355)) + (pin "54" (uuid f715d7f5-47aa-4cfe-bfcd-1313ae229585)) + (pin "55" (uuid 0c86cf87-6928-456d-8794-c493afece0fa)) + (pin "56" (uuid 565af13f-898f-4fa7-ad3f-1058219256a1)) + (pin "57" (uuid 9090001f-6807-4742-a55e-e68de0890f11)) + (pin "58" (uuid bfcad962-a2a8-4345-95ad-55e10037435d)) + (pin "59" (uuid f1ed69f0-5cf6-4e83-83bb-6d95a7efd1bc)) + (pin "6" (uuid 7e6d393e-3eeb-4f88-bc0c-6845c296357e)) + (pin "60" (uuid 83daeeed-5321-4ced-9caa-7aae5f11c28c)) + (pin "61" (uuid cc1ace9d-75bb-4a77-8613-0c403fa12546)) + (pin "62" (uuid 32195630-4bb0-4bab-864d-2006aaa30086)) + (pin "63" (uuid af079bcc-b776-45d2-b009-8fe378108284)) + (pin "64" (uuid c69a64c7-d290-43d4-a7d9-2052677c084d)) + (pin "65" (uuid 418e935e-b548-4dd8-9839-99119882a48b)) + (pin "66" (uuid e96c2835-e9e0-4e8d-8747-086bf822950c)) + (pin "67" (uuid a7ecc243-875b-4d59-8a97-eb6da22dca09)) + (pin "68" (uuid 003c1de6-e6d8-495d-a374-03993522ccd8)) + (pin "69" (uuid 4fc1fd0b-9973-4366-8d4e-2c53d71ac599)) + (pin "7" (uuid d50959db-09ce-4ce3-a9f0-c4c8c9c18cca)) + (pin "70" (uuid 318148e6-75ed-4453-a383-39e1bc193d6e)) + (pin "71" (uuid 96eea26b-e75f-4eaa-835b-d66be7e4a119)) + (pin "72" (uuid 10dfc64e-cb77-4bf8-86ec-9392e53a1032)) + (pin "73" (uuid 50fe8dd6-8bf3-412e-9f0b-a91463bdc425)) + (pin "74" (uuid d9b28956-bd54-47d7-b795-e01f1ad5f724)) + (pin "75" (uuid 798f33f1-9710-4640-beea-d60847e5ce65)) + (pin "76" (uuid 0ed41722-4dac-4b2f-8973-66460ad9d4a2)) + (pin "77" (uuid f7275d16-4302-4dc3-bd10-d0e8de5c20b6)) + (pin "78" (uuid 408ebc11-652f-4883-bcd9-965e42b2898d)) + (pin "79" (uuid 394f8662-a55b-4103-89bd-4d656cf88489)) + (pin "8" (uuid a2e41dea-f8db-4356-9868-2b555e17135c)) + (pin "80" (uuid d2073a90-73e2-424d-8eb5-9554f4a1d455)) + (pin "9" (uuid 1d63d5af-1e3e-4bb5-925f-35caa29f5876)) + ) + + (sheet_instances + (path "/" (page "1")) + ) + + (symbol_instances + (path "/913d5c05-1fd1-4bd0-bc69-e520d8ec787a" + (reference "#PWR01") (unit 1) (value "GND") (footprint "") + ) + (path "/d8416e04-e344-4f61-9c12-054f59a2c3f7" + (reference "#PWR02") (unit 1) (value "GND") (footprint "") + ) + (path "/1a1c7331-b64d-476b-81f6-76df5f20092e" + (reference "#PWR03") (unit 1) (value "GND") (footprint "") + ) + (path "/843edb98-5680-441d-ac25-860b0dafeaa8" + (reference "#PWR04") (unit 1) (value "GND") (footprint "") + ) + (path "/af530a47-1ee0-45c5-ba6c-6f3fbb09299e" + (reference "#PWR05") (unit 1) (value "GND") (footprint "") + ) + (path "/092e0d34-ce88-4c0d-b7f1-59d5031a3de8" + (reference "#PWR08") (unit 1) (value "GND") (footprint "") + ) + (path "/fed50f26-fea7-4a99-adee-a851e922f771" + (reference "#PWR09") (unit 1) (value "GND") (footprint "") + ) + (path "/701c2fb0-9719-4ebd-926f-34ee08640768" + (reference "#PWR010") (unit 1) (value "GND") (footprint "") + ) + (path "/7881a3fc-6eab-46e3-818d-c8ab7c33cbc5" + (reference "#PWR011") (unit 1) (value "GND") (footprint "") + ) + (path "/4abdd396-20f6-45ae-a22f-bab39f57b75c" + (reference "#PWR012") (unit 1) (value "GND") (footprint "") + ) + (path "/6b2f78ec-0344-4ec7-9aa2-56d8dd80a00a" + (reference "#PWR013") (unit 1) (value "GND") (footprint "") + ) + (path "/a9271ae5-2749-4686-94c2-f735b00f6755" + (reference "#PWR014") (unit 1) (value "GND") (footprint "") + ) + (path "/73d26058-3554-4954-bf27-7964f2d90833" + (reference "#PWR015") (unit 1) (value "GND") (footprint "") + ) + (path "/920f06f9-d2a8-44c3-b1c0-a15592d3aa34" + (reference "#PWR016") (unit 1) (value "GND") (footprint "") + ) + (path "/14d9d890-d56f-4453-a05e-961cd6893000" + (reference "#PWR017") (unit 1) (value "GND") (footprint "") + ) + (path "/7ed69cba-c18f-4895-89cd-2740def416b8" + (reference "#PWR018") (unit 1) (value "GND") (footprint "") + ) + (path "/402a370d-26c8-42d2-95a7-5bd00f197257" + (reference "#PWR019") (unit 1) (value "GND") (footprint "") + ) + (path "/344f5fea-6687-48d2-891d-7033ec14b100" + (reference "#PWR020") (unit 1) (value "GND") (footprint "") + ) + (path "/fc56e9a2-c028-444d-8060-0df02860ead7" + (reference "F1") (unit 1) (value "Fuse-Pad-Pad") (footprint "hellen-one-common:PAD-1206-PAD") + ) + (path "/c987bad8-c498-4cba-816c-6193ef738e26" + (reference "F2") (unit 1) (value "Fuse-Pad-Pad") (footprint "hellen-one-common:PAD-1206-PAD") + ) + (path "/30e38d91-93d3-4abb-a53c-99d9cb6cf40d" + (reference "F3") (unit 1) (value "10A") (footprint "hellen-one-common:36911600000") + ) + (path "/721c225e-99e1-47d5-b951-a27a532d03ef" + (reference "J1") (unit 1) (value "8-1393476-0") (footprint "lib:8-1393476-0") + ) + (path "/0cb5ddb1-f8e8-48a8-822e-a325cf82bfc1" + (reference "J2") (unit 1) (value "XH-8A") (footprint "hellen-one-common:XH-8A") + ) + (path "/398f8f70-e91c-4da2-a829-819a6f9d0c83" + (reference "J3") (unit 1) (value "Conn_01x06") (footprint "Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Vertical") + ) + (path "/536961b9-4d14-4eeb-baa7-f8e496bca204" + (reference "J4") (unit 1) (value "Conn_01x35") (footprint "lib:ampseal-35") + ) + (path "/1613baca-8001-478c-9401-39fbfab32dbf" + (reference "J5") (unit 1) (value "Conn_01x35") (footprint "lib:ampseal-35-2") + ) + (path "/bf46c383-1544-4f32-9dc8-272a093a95f5" + (reference "J6") (unit 1) (value "Conn_01x23") (footprint "lib:ampseal-23") + ) + (path "/2697616e-04bc-4c47-b6b7-0a3b39e6e0d7" + (reference "M1") (unit 1) (value "Module-wbo-0.2") (footprint "hellen-one-wbo-0.2:wbo") + ) + (path "/ade6cfb0-8ec1-44e7-b4a1-c4a3643afa51" + (reference "M2") (unit 1) (value "Module-can-0.1") (footprint "hellen-one-can-0.1:can") + ) + (path "/4d36a048-946d-4e96-9db1-dac514a86acc" + (reference "P1") (unit 1) (value "Pad") (footprint "hellen-one-common:PAD-TH") + ) + (path "/7674b495-4df8-4183-80be-7745de771d59" + (reference "Q1") (unit 1) (value "ISL9V3040S3S") (footprint "Package_TO_SOT_SMD:TO-263-2") + ) + (path "/7cddf22c-c095-477e-93a2-a09cb0272084" + (reference "R1") (unit 1) (value "22") (footprint "hellen-one-common:R0603") + ) + (path "/f5751c1a-52b7-42ff-a8f1-1892babc4a4f" + (reference "R2") (unit 1) (value "DNP") (footprint "Resistor_SMD:R_2512_6332Metric") + ) + ) +) diff --git a/sym-lib-table b/sym-lib-table new file mode 100644 index 0000000..21c9112 --- /dev/null +++ b/sym-lib-table @@ -0,0 +1,6 @@ +(sym_lib_table + (lib (name "hellen-one-wbo-0.2")(type "KiCad")(uri "${KIPRJMOD}/hellen-one/modules/wbo/0.2/wbo.kicad_sym")(options "")(descr "Hellen-One WBO Module")) + (lib (name "hellen-one-can-0.1")(type "KiCad")(uri "${KIPRJMOD}/hellen-one/modules/can/0.1/can.kicad_sym")(options "")(descr "Hellen-One CAN Module")) + (lib (name "hellen-one-common")(type "KiCad")(uri "${KIPRJMOD}/hellen-one/kicad/hellen-one-common.kicad_sym")(options "")(descr "Hellen-One common library")) + (lib (name "8-1393476-0")(type "KiCad")(uri "${KIPRJMOD}/lib/8-1393476-0.kicad_sym")(options "")(descr "")) +)